From 6c8e2bd23d8d7e0d605ac5f26b6ee752471267ef Mon Sep 17 00:00:00 2001 From: Alex Xue Date: Tue, 25 Aug 2020 13:29:30 -0400 Subject: [PATCH] add dmoz --- dmozparser/README.md | 72 + dmozparser/handlers.py | 54 + dmozparser/parsed.json | 149934 +++++++++++++++++++++++++++++++++ dmozparser/parser.py | 128 + dmozparser/requirements.txt | 1 + dmozparser/sample.py | 27 + dmozparser/seeds.txt | 21406 +++++ 7 files changed, 171622 insertions(+) create mode 100644 dmozparser/README.md create mode 100644 dmozparser/handlers.py create mode 100644 dmozparser/parsed.json create mode 100644 dmozparser/parser.py create mode 100644 dmozparser/requirements.txt create mode 100755 dmozparser/sample.py create mode 100644 dmozparser/seeds.txt diff --git a/dmozparser/README.md b/dmozparser/README.md new file mode 100644 index 0000000..5a2faa4 --- /dev/null +++ b/dmozparser/README.md @@ -0,0 +1,72 @@ +Dmoz +==== +[Dmoz](http://www.dmoz.org) is an open directory which lists and groups web pages into categories (directories). Their data is publicly available, but provided as an RDF file - a huge, funny XML file. + +Dmoz Parser +======== + +This is a really simple python implementation of the Dmoz RDF parser. It does not try to be smart and process the parsed XML for you, you have to provide a handler implementation where YOU decide what to do with the data (store it in file, database, print, etc.). + +This parser makes the assumption is the last entity in each dmoz page is _topic_: + + + Animation World Network + Provides information resources to the international animation community. Features include searchable database archives, monthly magazine, web animation guide, the Animation Village, discussion forums and other useful resources. + 1 + Top/Arts/Animation + + +This assumption is strictly checked, and processing will abort if it is violated. + +The RDF file needs to be downloaded, but can stay packed. You can [download the RDF](http://rdf.dmoz.org/rdf/content.rdf.u8.gz) from Dmoz site. + +The RDF is pretty large, over 2G unpacked and parsing it takes some time, so there is a progress indicator. + +Warnings +-------- + +This parser does not check for links between topics in the hierarchy, or any sophisticated parsing of the hierarchy. + +The same URL might appear in multiple locations in the hierarchy. + +Dependencied +------------ +You need to install dependencies from the requirements.txt file, for example by `pip install -r requirements.txt` + +Usage +----- +Instantiate the parser, provide the handler and run. + + #!/usr/bin/env python + + from parser import DmozParser + from handlers import JSONWriter + + parser = DmozParser() + parser.add_handler(JSONWriter('output.json')) + parser.run() + +JSONWriter is the builtin handler which outputs the pages, one JSON object per line. +(Note: This is different than saying that the entire file is a large JSON list.) + +Terminal Usage +-------------- +`python parser.py ` +example: `python parser.py ./data/content.rdf.u8 ./data/parsed.json` + +Built-in handlers +----------------- +There are two builtin handlers so far - _JSONWriter_ and _CSVWriter_. +_CSVWriter_ is buggy (see "handler.py" to understand why), and we recommend the _JSONWriter_. + +Handlers +-------- +A handler must implement two methods: + + def page(self, page, content) + +this method will be called every time a new page is extracted from the RDF, argument _page_ will contain the URL of the page and _content_ will contain a dictionary of page content. + + def finish(self) + +The finish method will be called after the parsing is done. You may want to clean up here, close the files, etc. diff --git a/dmozparser/handlers.py b/dmozparser/handlers.py new file mode 100644 index 0000000..1fb60ba --- /dev/null +++ b/dmozparser/handlers.py @@ -0,0 +1,54 @@ +import copy +import json +import logging + +from smart_open import smart_open + +logger = logging.getLogger(__name__) + + +class JSONWriter: + def __init__(self, name): + self._file = smart_open(name, 'w') + + def page(self, page, content): + if page is not None and page != "": + newcontent = copy.copy(content) + newcontent["url"] = page + + self._file.write(json.dumps(newcontent) + "\n") + else: + logger.info("Skipping page %s, page attribute is missing", page) + + def finish(self): + self._file.close() + + +class CSVWriter: + # Note: The CSVWriter has several bugs and assumptions, as documented below. + def __init__(self, name): + self._file = smart_open(name, 'w') + + def page(self, page, content): + if page is not None and page != "": + page = page.encode("utf-8") + page = page.replace('"', '') + page = page.replace('"', '') + + self._file.write('"%(page)s"' % {'page': page}) + # for type in content: + # For CSV, read only these fields, in only this order. + newcontent = {} + for type in ['d:Title', 'd:Description', 'priority', 'topic']: + newcontent[type] = content[type].encode("utf-8") + newcontent[type] = newcontent[type].replace('"', '') + newcontent[type] = newcontent[type].replace('"', '') + # BUG: Convert comma to something else? Otherwise, it will trip up the CSV parser. + self._file.write(',"%s"' % newcontent[type]) + + self._file.write("\n") + else: + logger.info("Skipping page %s, page attribute is missing", page) + + def finish(self): + self._file.close() diff --git a/dmozparser/parsed.json b/dmozparser/parsed.json new file mode 100644 index 0000000..7c0e14f --- /dev/null +++ b/dmozparser/parsed.json @@ -0,0 +1,149934 @@ +{"d:Title": "Animation World Network", "d:Description": "Provides information resources to the international animation community. Features include searchable database archives, monthly magazine, web animation guide, the Animation Village, discussion forums and other useful resources.", "priority": "1", "topic": "Top/Arts/Animation", "url": "http://www.awn.com/"} +{"d:Title": "About.com: Animation Guide", "d:Description": "Keep up with developments in online animation for all skill levels. Download tools, and seek inspiration from online work.", "topic": "Top/Arts/Animation", "url": "http://animation.about.com/"} +{"d:Title": "Toonhound", "d:Description": "British cartoon, animation and comic strip creations - links, reviews and news from the UK.", "topic": "Top/Arts/Animation", "url": "http://www.toonhound.com/"} +{"d:Title": "Digital Media FX: The History of Animation", "d:Description": "Michael Crandol takes an exhaustive look at the history of animation and animators/visionaries like Max Fleisher, Walter Lantz, and Otto Messmer.", "topic": "Top/Arts/Animation", "url": "http://www.digitalmediafx.com/Features/animationhistory.html"} +{"d:Title": "Richard's Animated Divots", "d:Description": "Chronology of animated movies, television programs, and short cartoons. Includes animation filmographies and a list of anime television series.", "topic": "Top/Arts/Animation", "url": "http://www.animated-divots.net/"} +{"d:Title": "Nini's Bishonen Dungeon", "d:Description": "Shrines to Vega, Taiki, Dilandau, and Tiger Eye, as well as fan art, adoptions, and links.", "topic": "Top/Arts/Animation/Anime/Characters", "url": "http://www.angelfire.com/anime2/ninisbishonen/"} +{"d:Title": "Site for Liz's Anime Favorites", "d:Description": "Shrines to Duo, Ryoko, Shampoo, Katy the Kitty, Lady Une, Mimete, Junjun, Parapara, and Sailor Saturn with images, profiles, and links.", "topic": "Top/Arts/Animation/Anime/Characters", "url": "http://www.angelfire.com/anime2/bestanimecharacters/"} +{"d:Title": "Azure Valley", "d:Description": "Dedicated to anthropomorphic characters. Fan art, images, profiles, and links.", "topic": "Top/Arts/Animation/Anime/Characters", "url": "http://valleyofazure.tripod.com/"} +{"d:Title": "Neko Central", "d:Description": "Image galleries, descriptions, information, and media on cats from different series.", "topic": "Top/Arts/Animation/Anime/Characters", "url": "http://www.angelfire.com/nv/neko/"} +{"d:Title": "Chibi Hime's Magic Shoppe 2", "d:Description": "Shrines to Escaflowne's Dilandau, Final Fantasy's Vincent, SoulTaker's Kyousuke, and Hsi Wu from Jackie Chan Adventures. Includes images, information, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Characters", "url": "http://www.angelfire.com/grrl/magicshoppe2/"} +{"d:Title": "AniMen", "d:Description": "Features men from Fushigi Yuugi, Sailor Moon, Escaflowne, Rayearth, Gundam Wing, Bubblegum Crisis/AD Police, Tenchi Muyo, Utena, and Ayashi no Ceres. Profiles, commentary, polls, fan fiction, images, downloads, and links.", "topic": "Top/Arts/Animation/Anime/Characters", "url": "http://shotani.www2.50megs.com/animen_uno.html"} +{"d:Title": "American High School Anime Association", "d:Description": "Mission statement, reviews, member area, links, and how to join.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.angelfire.com/yt/ahsaa/"} +{"d:Title": "No-Name Anime Society", "d:Description": "Information about monthly showings and copies of newsletters. Saratoga, California, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.nnanime.com/"} +{"d:Title": "Carolina Otaku Uprising", "d:Description": "General information, showing schedule, kendo club, and links. Chapel Hill, North Carolina, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.unc.edu/coup/"} +{"d:Title": "University of Richmond Japanese Anime Club", "d:Description": "UR Anime is no longer an active organization. However, the history of the club and all anime convention photo galleries are still showcased as a service to the public. Virginia, USA at University of Richmond.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://uranime.nekomusume.net/"} +{"d:Title": "Anime @ Carleton", "d:Description": "Includes information about the club, events, constitution, and anime facts. Ottawa, Ontario, Canada.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://carleton.ottawa-anime.org/"} +{"d:Title": "Society for Ottawa Anime Promotion", "d:Description": "Events, news, forum, and newsletter. Ontario, Canada.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://soap.ottawa-anime.org/"} +{"d:Title": "Japanese Animation Club of Orlando", "d:Description": "Schedule, news, staff profiles, and photos. Orlando, Florida, USA at University of Central Florida.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.jaco.org/"} +{"d:Title": "York University Anime and Manga Association (YAMA)", "d:Description": "Events, club information, library, news, fan art, chat, and links. York University, Canada.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.yorku.ca/anime/"} +{"d:Title": "Emerald Tokyo Entertainment", "d:Description": "Forum, membership information, news, art work, and links. Greenville, South Carolina, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.emeraldtokyo.com/"} +{"d:Title": "Neo Anime Club", "d:Description": "News, message boards, contact details, and mailing list. Pomona, California, USA at California State Polytechnic University.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.neoanime.org/"} +{"d:Title": "Harvard Anime Society", "d:Description": "Information, news, officer list, library, links, and schedule. Cambridge, Massachusetts, USA at Harvard University.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.hcs.harvard.edu/~anime/"} +{"d:Title": "Huntsville Alabama Anime Society", "d:Description": "Fan works, links, events and member list. Huntsville, Alabama, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.haas-online.net/"} +{"d:Title": "University of Michigan's Animania", "d:Description": "List of screenings, a few MP3s, and series information. [Ann Arbor, Michigan, USA at University of Michigan.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.umich.edu/~animania/"} +{"d:Title": "Northern Virginia Anime Association", "d:Description": "Forum, officers, schedule, directions, contacts, and links. Fairfax, Virginia, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://animeclub.org/"} +{"d:Title": "Goddess of Love and Beauty Group", "d:Description": "Yahoo Group for general shoujo anime and manga discussions.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://groups.yahoo.com/group/goddessofloveandbeauty/"} +{"d:Title": "Bloomsburg University Manga and Anime Club", "d:Description": "Contains group information, news, meetings, member list, contact details, and links. Bloomsburg, Pennsylvania, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.bumac.org/"} +{"d:Title": "Indiana Animation Club", "d:Description": "Club information, soapbox forum, art gallery, links and contact information. Indianapolis, Indiana, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.indyanime.org/"} +{"d:Title": "Fresno Anime", "d:Description": "Schedule information, forums, contact information, and news. Fresno, California, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.fresnoanime.com/"} +{"d:Title": "Delaware Anime Society", "d:Description": "Mailing list, club information, forum, member spotlight, streaming radio shows, newsletter, store, oekaki, media, affiliates and linking, cultural exchange and japanese penpals. Wilmington and Dover, Delaware, USA and Pennsylvania, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.delawareanimesociety.com/"} +{"d:Title": "CTRL-A", "d:Description": "A gathering place for anime fans in Waterloo, Ontario, Canada. Hosts weekly gatherings including activities, showings, and social outings.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.ctrl-a.org/"} +{"d:Title": "Stevens Tech Anime Club", "d:Description": "Club calendar, constitution, contact info, and links. Hoboken, New Jersey, USA at Stevens Institute of Technology.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.stevens.edu/anime/"} +{"d:Title": "DC Anime", "d:Description": "Events calendar, forums, and gallery. Washington DC, Maryland and Virginia, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://dcanimeclub.org/"} +{"d:Title": "Brown Anime Society", "d:Description": "Brown University's Club. Information, news, events, synopses, library, and links. Providence, Rhode Island, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://dampfkraft.com/bas/"} +{"d:Title": "Pin0yotaku", "d:Description": "Yahoo group for people located in Sacramento, San Francisco, San Jose, CA . Primarily for Filipinos to promote and enjoy anime.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://tech.groups.yahoo.com/group/pin0yotaku/"} +{"d:Title": "Green Mustard / Wasabi Anime", "d:Description": "Provides support and anime resources to the Southeastern United States. Includes contact information, forum, links, news and photographs. Florida, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.greenmustard.com/"} +{"d:Title": "Anime and Manga Research Circle", "d:Description": "Yahoo Group for scholars engaged in the academic study of anime and manga, associated subcultures, and Japanese popular culture.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://tech.groups.yahoo.com/group/amrc-l/"} +{"d:Title": "Cal-Animage Alpha", "d:Description": "Meets weekly during the school year to show videos. Archives, schedule, and library. Berkeley, California, USA.", "topic": "Top/Arts/Animation/Anime/Clubs_and_Organizations", "url": "http://www.calanimagealpha.com/"} +{"d:Title": "Ryen's Anime Page", "d:Description": "Personal collection of shitajiki, cards, and cels. Japanese and English text.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://ryen.net/"} +{"d:Title": "Perpetual Fire", "d:Description": "Trades and lists wanted cards from Sailor Moon, Clamp, Di Gi Charat, and Inu-Yasha.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://www.perpetualfire.com/"} +{"d:Title": "Darla's Home Page", "d:Description": "Information and illustrations of dolls, Sailor Moon kirakira cards, and shitajiki.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://www.celmart.com/darla/homepage.htm"} +{"d:Title": "Muraki's Doujinshi Delight", "d:Description": "Collection of yaoi and shounen-ai doujinshi and cels from Yami no Matsuei, Rurouni Kenshin, and Card Captor Sakura. Also highlights My Little Ponies.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://www.vamppire.com/doujinshi/"} +{"d:Title": "House O' Cards", "d:Description": "Anime phonecard collection, listed by series title. Has separate pages for puzzle cards.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://www.animephonecards.com/"} +{"d:Title": "Kestrel at Sempai", "d:Description": "Personal collection of Fushigi Yuugi cels and other anime merchandise.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://www.kestrelsempai.com/"} +{"d:Title": "Pui", "d:Description": "A personal collection displaying anime and manga related shitajiki and telephone cards. Also links to the site owner's weblog.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://hayuran.fc2web.com/index.html"} +{"d:Title": "Hitoshi Doi", "d:Description": "Expert information on merchandise from a variety of shoujo titles. Includes cels, UFO catchers, and telephone and trading cards.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://www.usagi.org/doi/anime2.html"} +{"d:Title": "Dragon Den", "d:Description": "Displays pencil boards and cels from a variety of series, including Cowboy Bebop, X, Ranma 1/2, and Himiko-den.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://dragonden.kisekinohana.net/"} +{"d:Title": "Kari's Anime", "d:Description": "Cel, pencil board, and UFO catcher galleries.", "topic": "Top/Arts/Animation/Anime/Collectibles", "url": "http://makota.tripod.com/"} +{"d:Title": "Cels.org", "d:Description": "Forum, free auction, mailing list, webring, links and an update tracking system for cel sites.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://www.cels.org/"} +{"d:Title": "Dozoya Cel Gallery", "d:Description": "A and hanken cels from Ah My Goddess, Fushigi Yuugi, Miyazaki, Tenchi Muyo, Ninja Scroll, and Urusei Yatsura.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://www.hankenmono.com/"} +{"d:Title": "Rubberslug", "d:Description": "Galleries of user's collections, member information, and cel resources.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://www.rubberslug.com/"} +{"d:Title": "Animezu", "d:Description": "Cels from a variety of series, including Blue Seed, Fancy Lala, and Saber Marionette J.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://www.animezu.com/Anime/animeindex.html"} +{"d:Title": "E", "d:Description": "A collection of Battle Angel/GUNNM, Iczer, Ghibli, and other Japanese cels and art.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://e.rubberslug.com/"} +{"d:Title": "Jenny&Katie's Cels", "d:Description": "A personal cel collection featuring Syaoran (Card Captor Sakura), Kei-kun (Marmalade Boy), Killua (Hunter x Hunter), and Daichi (Hime-chan no Ribon).", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://purpleplum.rubberslug.com/"} +{"d:Title": "Animanga.com", "d:Description": "Galleries of several collectors' cels from series such as Alice in Cyberspace, Flame of Recca, Wild Seven, and Maison Ikkoku.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://www.animanga.com/cels/gallery/"} +{"d:Title": "TK's Cel Gallery", "d:Description": "Personal collection of El Hazard and Tenchi Muyo cels.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://www-personal.umich.edu/~tdkim/cel/"} +{"d:Title": "Hanafubuki", "d:Description": "Cels from multiple series, including Cowboy Beebop, Lupin III, Sailor Moon, and Mon Colle Knights. Includes wishlist and cels for sale.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://joseishijin.tripod.com/"} +{"d:Title": "Dragon_gal's Cel Gallery", "d:Description": "Includes cels from Dragonball Z and Saint Seiya. Some cels are for sale.", "topic": "Top/Arts/Animation/Anime/Collectibles/Cels", "url": "http://dragongal.rubberslug.com/gallery/home.asp"} +{"d:Title": "ToyboxDX", "d:Description": "All-in-one action figure fansite for anime mecha enthusiasts. Images, editorials, reviews on anime series, toys and books, chat room and links.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures", "url": "http://www.toyboxdx.com/"} +{"d:Title": "GR Crosscut's Ludicrus Toy Pictures", "d:Description": "Humorous picture galleries of Gundam, Transformers and Zoids figures.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures", "url": "http://www.angelfire.com/apes/grcrosscut/toypics/index.html"} +{"d:Title": "Raving Toy Maniac", "d:Description": "Latest news and columns on Japanese and American toys and action figures, chat room, message boards and online shop.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures", "url": "http://www.toymania.com/columns/speedlines/"} +{"d:Title": "The Outer Heaven", "d:Description": "Information and image gallery of McFarlane's action figures for Trigun, Akira, Tenchi Muyo and other Japanese Sci-Fi animations.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures", "url": "http://outer_heaven4.tripod.com/index2.htm"} +{"d:Title": "Captain Harlock Toy Page", "d:Description": "A showcase of comprehensive personal collection of Captain Harlock toys from around the world.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures", "url": "http://geoffreypeeters.tripod.com/"} +{"d:Title": "Scrap Heap's MSiA Gundam Gallery", "d:Description": "Reviews and picture galleries of Gundam action figures.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures/Gundam", "url": "http://scrap_heap.tripod.com/"} +{"d:Title": "Zoids", "d:Description": "Photo gallery of Zoids action figure series.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures/Zoids", "url": "http://zoidsforyou.freeservers.com/"} +{"d:Title": "Momonga", "d:Description": "Contains information on the Zoids models. Includes Battle Stories, data, and customs.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Action_Figures/Zoids", "url": "http://momonga.zink.net.nz/"} +{"d:Title": "Ultima's Lair", "d:Description": "Picture galleries of Gundam and Sci-Fi mecha models, plus fan art on various American comic heros.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models", "url": "http://ultimaslair.tripod.com/"} +{"d:Title": "Cody's Coop", "d:Description": "English/Japanese modelling site with galleries of Gundam/Sci-Fi models, figure, and garage kits.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models", "url": "http://codyscoop.com/"} +{"d:Title": "Gremlins in the Garage: The Basics of Figure Modelling", "d:Description": "An article archive for Gremlins in the Garage, with specifics and broad topics on resin figure modelling.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models", "url": "http://www.gremlins.com/garage/how_to.html"} +{"d:Title": "Sogni di Gundam", "d:Description": "Bilingual Italian/English Gundam modelling site with models selected for exhibition in JAFCON, plus useful reference materials and techniques.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://www.gundamworld.it/sognidigundam/"} +{"d:Title": "Gundam Base", "d:Description": "Reviews of Master Grade Gundam models with comprehensive photo galleries.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://gundam_base.tripod.com/"} +{"d:Title": "The Gundam Model Base", "d:Description": "Picture galleries of Gundam models.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://www.angelfire.com/anime/whitebase/index.html"} +{"d:Title": "Operation V", "d:Description": "Gundam model gallery and reviews; Fan submissions are welcome.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://operationv.tripod.com/"} +{"d:Title": "The Gundam-Stop", "d:Description": "Information, photos and reviews of Gundam models by series and grades.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://gundam_stop.tripod.com/"} +{"d:Title": "1/144th MS Team", "d:Description": "Gundam modelling site features 1/144 scale models with write-ups on modifications.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://spazioinwind.libero.it/ms_team/"} +{"d:Title": "Jermgundam's Gundam Web", "d:Description": "Kit bash model gallery and message board. Public submission are welcome.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://jermgundam.tripod.com/"} +{"d:Title": "Ultima's Lair", "d:Description": "Picture galleries of completed Gundam models plus modelling tips.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://ultimaslair.tripod.com/"} +{"d:Title": "Kit Bash", "d:Description": "Galleries of anime and Gundam models and garage kits, tips on modeling supplies and techniques, and links.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://kitbash.net/"} +{"d:Title": "Gamera Baenre's Model Page", "d:Description": "Gundam / mecha model galleries, modelling tips and techniques for beginners.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://www.gamerabaenre.com/gameramodelpage.htm"} +{"d:Title": "FichtenFoo", "d:Description": "Gallery of recently finished models, current projects, and a tutorial on how to assemble kits.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://www.fichtenfoo.com/"} +{"d:Title": "Flyby's Mecha Museum", "d:Description": "Gundam modelling site featuring a collection of 1/144 models with pictures and write-ups.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://bargm.users4.50megs.com/"} +{"d:Title": "Senses Lumines", "d:Description": "Weblog reviewing Transformers toys and Gundam assembly tips.", "topic": "Top/Arts/Animation/Anime/Collectibles/Models_and_Figures/Models/Gundam", "url": "http://eckoysnook.blogspot.com/"} +{"d:Title": "Eviljap's Shitajiki and Other Junk", "d:Description": "Images of boards the owner has, as well as information on ones the webmaster wants and is willing to trade.", "topic": "Top/Arts/Animation/Anime/Collectibles/Shitajiki", "url": "http://www.eviljap.com/"} +{"d:Title": "Sumire's Anime English Index", "d:Description": "Quotes the decorative English sentences printed on shitajiki, notebooks, and other consumer products, ranging from the \"comically unfluent\" to the \"bizarrely poetic\".", "topic": "Top/Arts/Animation/Anime/Collectibles/Shitajiki", "url": "http://members.tripod.com/~sumirechan/eng/eng_index.html"} +{"d:Title": "Lola's Shitajiki Cupboard", "d:Description": "Scans of boards from anime and manga, want list, and links.", "topic": "Top/Arts/Animation/Anime/Collectibles/Shitajiki", "url": "http://www.angelfire.com/anime3/lolaspencilboards/"} +{"d:Title": "Never Enough Shitajiki", "d:Description": "Images from the owner's personal collection, plus boards for sale, as well as a want list.", "topic": "Top/Arts/Animation/Anime/Collectibles/Shitajiki", "url": "http://members.shaw.ca/tasuki/PBFS/PBFS.html"} +{"d:Title": "Crazyboy's Shitajiki Collection", "d:Description": "Scans of Kimagure Orange Road, Magic Knight Rayearth, and Angel Sanctuary boards.", "topic": "Top/Arts/Animation/Anime/Collectibles/Shitajiki", "url": "http://free2.kyberlandia.it/CB_Aquarium/"} +{"d:Title": "An Addict's Attic", "d:Description": "Personal collection of shitajiki, teleka, clearfiles and artbooks, with a trade and sale section and wishlist. Featured series include Angel Sanctuary, Chobits, and Xenosaga.", "topic": "Top/Arts/Animation/Anime/Collectibles/Shitajiki", "url": "http://addictsattic.tripod.com/shitajikiindex.html"} +{"d:Title": "The Pencil Board/Shitajiki Webring", "d:Description": "Contains information on how to join the webring and index of member sites.", "topic": "Top/Arts/Animation/Anime/Collectibles/Shitajiki", "url": "http://www.webring.org/hub?ring=pencilboard"} +{"d:Title": "Alice6", "d:Description": "Official site of writer Chiaki J. Konaka. Profile, interview, and information on The Big O and Digimon Tamers. [Japanese and English]", "topic": "Top/Arts/Animation/Anime/Creators", "url": "http://www.konaka.com/"} +{"d:Title": "What the Avant Gardesman Have to Say", "d:Description": "Anno Hideaki and Ikuhara Kunihiko discuss the state of the industry. Translated from Newtype Magazine in 1998.", "topic": "Top/Arts/Animation/Anime/Creators", "url": "http://www.cjas.org/~leng/anno-ikuhara.txt"} +{"d:Title": "Ikuniweb", "d:Description": "Official site, with profile, list of works, series details, news, and links. [Japanese and English]", "topic": "Top/Arts/Animation/Anime/Creators/Ikuhara,_Kunihiko", "url": "http://www.jrt.co.jp/yos/ikuniweb/"} +{"d:Title": "Anime News Network: Kunihiko Ikuhara Interview", "d:Description": "Conducted by Justin Sevakis at the New York Anime Festival in 2000. Topics include Utena and other works.", "topic": "Top/Arts/Animation/Anime/Creators/Ikuhara,_Kunihiko", "url": "http://www.animenewsnetwork.com/archives/feature/ikuhara.php"} +{"d:Title": "Nausicaa.net: Hayao Miyazaki", "d:Description": "Biography, list of works, personal details, articles, and interviews.", "topic": "Top/Arts/Animation/Anime/Creators/Miyazaki,_Hayao", "url": "http://www.nausicaa.net/miyazaki/miyazaki/"} +{"d:Title": "WebRing: Miyazaki Anime&Manga", "d:Description": "Ring for sites about the works of Studio Ghibli and Hayao Miyazaki, including Nausicaa, Porco Rosso and Totoro.", "topic": "Top/Arts/Animation/Anime/Creators/Miyazaki,_Hayao", "url": "http://www.webring.org/hub/skycastle"} +{"d:Title": "Tatsunoko Production", "d:Description": "Information on the company's works. [Japanese and English]", "topic": "Top/Arts/Animation/Anime/Creators/Studios", "url": "http://www.tatsunoko.co.jp/"} +{"d:Title": "Gainax", "d:Description": "Catalog of works, writer and artist pages, and technical support. [Japanese and English]", "topic": "Top/Arts/Animation/Anime/Creators/Studios", "url": "http://www.gainax.co.jp/"} +{"d:Title": "Studio Pierrot", "d:Description": "Information on the company and its productions. [Japanese and English]", "topic": "Top/Arts/Animation/Anime/Creators/Studios", "url": "http://www.pierrot.co.jp/"} +{"d:Title": "Production I.G", "d:Description": "Projects, services, licensing, company information, and columns. [Japanese and English]", "topic": "Top/Arts/Animation/Anime/Creators/Studios", "url": "http://www.productionig.com/"} +{"d:Title": "Nausicaa.net", "d:Description": "Information about the company and its films, history, virtual tour, staff profiles, merchandise, and details of the Disney-Tokuma deal.", "topic": "Top/Arts/Animation/Anime/Creators/Studios/Studio_Ghibli", "url": "http://www.nausicaa.net/"} +{"d:Title": "Wingsee.com/ghibli", "d:Description": "Sections dedicated to the company's films and a message board.", "topic": "Top/Arts/Animation/Anime/Creators/Studios/Studio_Ghibli", "url": "http://www.wingsee.com/ghibli/"} +{"d:Title": "Ghibli Museum", "d:Description": "Information on the memorial in Mitaka, Japan, including how to get a ticket and how to get there. [English and Italian]", "topic": "Top/Arts/Animation/Anime/Creators/Studios/Studio_Ghibli", "url": "http://digilander.libero.it/joe.chip/ghibli_e.htm"} +{"d:Title": "Online Ghibli", "d:Description": "News, film information and images, and forum.", "topic": "Top/Arts/Animation/Anime/Creators/Studios/Studio_Ghibli", "url": "http://www.onlineghibli.com/"} +{"d:Title": "Viz Communications", "d:Description": "Information on anime, manga, graphic novels, and merchandise from Viz. With news, profiles and downloads.", "topic": "Top/Arts/Animation/Anime/Distribution/Companies", "url": "http://www.viz.com/"} +{"d:Title": "AnimEigo", "d:Description": "Anime and Samurai films. Videos, LDs, character goods, images and a chatroom.", "topic": "Top/Arts/Animation/Anime/Distribution/Companies", "url": "http://www.animeigo.com/"} +{"d:Title": "Manga Entertainment", "d:Description": "Catalog, online store, newsletter, wallpaper, contests, FAQ, and trailers.", "topic": "Top/Arts/Animation/Anime/Distribution/Companies", "url": "http://www.manga.com/"} +{"d:Title": "At Anime.Net", "d:Description": "Series information, reviews, images, lyrics, wallpaper, fan art, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.atanime.net/"} +{"d:Title": "Anime 8", "d:Description": "Image galleries, anime summaries and specialized link pages.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/fl2/8anime/"} +{"d:Title": "Anime Eyes", "d:Description": "Includes reviews, specific title guides and link lists.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://satoshi00.tripod.com/hitomi/"} +{"d:Title": "Anime Palace", "d:Description": "Message board and a few links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://animeapprentice.tripod.com/animepalace.html"} +{"d:Title": "Arimi's Page of Eternal Cuteness", "d:Description": "Images and summaries of Marmalade Boy and Mahou Tsukai Tai.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/bc/arimi/index.html"} +{"d:Title": "Anime Manga Land", "d:Description": "Reviews and summaries of various series. Also features wallpaper and MP3s.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://nickyroony.tripod.com/"} +{"d:Title": "Anime4ever", "d:Description": "Contains information on Card Captor Sakura, Dragon Ball Z, and Digimon.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/tx5/anime4ever/"} +{"d:Title": "Anime: The Gathering", "d:Description": "Pictures and information- Neon Genesis Evangelion and Slayers.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www-personal.umich.edu/~sjwu/"} +{"d:Title": "Anime City 3", "d:Description": "Basic information and summaries from series including Yu-Gi-Oh, Gundam, Medabots, and Dragon Ball Z.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://animecity3.tripod.com/"} +{"d:Title": "Anime Gallery", "d:Description": "Gundam Wing and Dragon Ball summaries, character profiles, pictures and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://sonic851.tripod.com/"} +{"d:Title": "Archie's Page of Anime", "d:Description": "Short summaries and images from Evangelion and Sorcerer Hunters.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/ca5/archie/"} +{"d:Title": "Anrui and Kyorei's Really Cool Site", "d:Description": "Fan works, image galleries, series-specific shrines, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/ca6/thatcoolsite/index.html"} +{"d:Title": "Aquaviann's Crystalline Castle", "d:Description": "Sailor Moon and Escaflowne images, fan art, anime archetypes, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime/TaigaRa/"} +{"d:Title": "Andes World", "d:Description": "Images, wallpaper, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://andesworld.tripod.com/"} +{"d:Title": "Anime Xtrme", "d:Description": "Fan fiction and pages on Dragon Ball Z and Pok\u00e9mon.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://princeeverest.tripod.com/"} +{"d:Title": "Animejin", "d:Description": "News, information and reviews on various titles.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.animejin.org.uk/"} +{"d:Title": "Anne Onimus", "d:Description": "Adoptions, pictures, artwork, quick guide to anime, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://members.tripod.com/anne_onimus/index-1.html"} +{"d:Title": "AkaiAme-kun's Anime Info Cavern", "d:Description": "Angel Sanctuary, Dragon Ball Z, Fushigi Yuugi, Inu Yasha, Jubei-chan, Lain, Evangelion, Outlaw Star, Ranma, Kenshi, and Weiss Kreuz summaries, images, and character profiles.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/ab5/akaiame/"} +{"d:Title": "Akemi's Anime World", "d:Description": "List of commercially released anime, reviews, cast lists, FAQ, glossary, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://animeworld.com/"} +{"d:Title": "Anime Universe", "d:Description": "Sections with pictures and profiles from Sailor Moon, Gundam Wing, Dragon Ball Z, Princess Mononoke, and X/1999.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime/animeuniverse0/"} +{"d:Title": "Ariel's Paradise", "d:Description": "Images from Hana Yori Dango, Card Captor Sakura, and Sailor Moon. Various MP3s, MIDI files, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://members.tripod.com/anime_princess87/"} +{"d:Title": "Anime Bar", "d:Description": "Gundam Wing, Evangelion, Escaflowne, and Outlaw Star character profiles, introductions, and general information.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://members.tripod.com/anime_bar0/index.htm"} +{"d:Title": "The Anime Shrine", "d:Description": "General information, lyrics, and images for multiple anime. Fan fiction, awards, banners, Win Amp skins, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://theanimeshrine.8m.com/"} +{"d:Title": "Anime World", "d:Description": "Poll, roleplaying game, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/nv/animeworld1/"} +{"d:Title": "Aurins Dungeon", "d:Description": "Fan art, jokes, images from The Record of Lodoss War, Gundam Wing information, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/wv2/aurinsdungeon/"} +{"d:Title": "Abaddon", "d:Description": "Adoptions, jokes, reviews, pictures, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://elletan.tripod.com/abaddon/index.html"} +{"d:Title": "Anime Gtzone", "d:Description": "General information, summaries, and images from Dragon Ball and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/ca7/Gtzone/index.html"} +{"d:Title": "Anime Legend (The Legend Lives On)", "d:Description": "MIDI files, images and animations, and poll.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime2/AnimeChronicles/"} +{"d:Title": "@nime Asylum", "d:Description": "Wei\u00df Kreuz \"Choose Your Own Adventure\" story, Koka wa Greenwood manga translations and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.animeasylum.suzaku.com/"} +{"d:Title": "Anime Action", "d:Description": "Summaries, character profiles, and images from various anime. Fan works, chat room, RPG, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://mrbagel.tripod.com/"} +{"d:Title": "Anime Angel's Lair", "d:Description": "General information, profiles, opinions, pictures and reviews.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime/Angel/"} +{"d:Title": "Anime Evolution", "d:Description": "Character profiles, summaries, reviews, and image galleries for multiple anime.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime3/evolution/index.html"} +{"d:Title": "Anime Foot-Prints", "d:Description": "General information, fan works, chat room, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://elandtra.tripod.com/"} +{"d:Title": "The Anime Cafe: The Laws of Anime", "d:Description": "Humorous list of scientific-sounding rules and principles that govern anime.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.abcb.com/laws/index.htm"} +{"d:Title": "Anime An-nai", "d:Description": "Sections on Digimon, Pok\u00e9mon, Magic Knight Rayearth, Fushigi Yuugi, and Sakura Taisen.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://anime_annai.tripod.com/"} +{"d:Title": "Anime Admirers", "d:Description": "Information and images from anime including Card Captor Sakura, Digimon, Flint the Time Detective, Medabots, and Zoids.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.animeadmirers.com/"} +{"d:Title": "Anime Girlky", "d:Description": "Sections on Fushigi Yuugi, Dragon Ball, Ranma, Ah My Goddess, and Marmalade Boy. Character profiles and pictures.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://animegirlky.tripod.com/animegirlky/"} +{"d:Title": "Zoids Universe", "d:Description": "Offers sections on Zoids and Yu-Gi-Oh. Episode guide, profiles, and images.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://gameboyoh.tripod.com/"} +{"d:Title": "Archen's Anime Page", "d:Description": "Series information, reviews, and image galleries for several titles. Also offers anime-related programs for download.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://scythe.net/archen/"} +{"d:Title": "Aelina and Sphinikmon's Digi-world", "d:Description": "Fan art and fiction, character information, quizzes, and links. Works from Digimon, Sonic the Hedgehog, and Oh My Goddess.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://chimeramon.users4.50megs.com/"} +{"d:Title": "SailorClef's MissingNo", "d:Description": "Information on the glitch Pokemon MissingNo and the rumored \"Pokegods\"", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/pokemon2/animerpg/"} +{"d:Title": "Angel Anime", "d:Description": "Information, character profiles, and images for Yu Yu Hakusho, Kamikaze Kaitou Jeanne, and Final Fantasy X.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime4/angelanime89/"} +{"d:Title": "Ayame no Uchuu", "d:Description": "Information, image galleries, MIDI files, song lyrics, fan art, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://irisayame.tripod.com/"} +{"d:Title": "Anime Fury", "d:Description": "Shrines to Yu Yu Hakusho and Dragonball Z with images and information.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://slogan78.tripod.com/"} +{"d:Title": "Anime Yume", "d:Description": "Information and images from Card Captor Sakura, Digimon, Mon Colle Knights, Pokemon, and Slayers.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://animeyume.com/homepage.html"} +{"d:Title": "Anime Anx", "d:Description": "Images, wallpaper, and fan art featuring Ah My Goddess, Tenchi Muyo, Rurouni Kenshin, and Tokimeki Memorial.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/gundam/wingzerobarton/"} +{"d:Title": "The Anime Punch Zone", "d:Description": "Sailor Moon and Ronin Warriors images, fan fiction, and fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://anipunchzone.tripod.com/"} +{"d:Title": "Amara's Anime Center", "d:Description": "Series information, character profiles, images, and multimedia downloads for Sailor Moon, Dragonball Z, and Card Captor Sakura.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime4/light_moon/"} +{"d:Title": "The Animequeens' Anime Reviews and What Not", "d:Description": "Reviews, original and fan art, drawing tutorials, and terminology.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://members.tripod.com/anime_queens/"} +{"d:Title": "Anigate", "d:Description": "Images including Slam Dunk, Card Captor Sakura, and Harlem Beat, as well as fan art, wallpaper, and Winamp skins.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.anigate.de/"} +{"d:Title": "AnimeFlow", "d:Description": "Information, reviews, icons, DVD inserts, and skins for Grand Theft Auto III.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime4/animeflow/"} +{"d:Title": "The Anime Flip Side", "d:Description": "Information, image galleries, music, and video clips including Sol Bianca, Silent Mobius, Slayers, Vandread, Plastic Little, and Bubblegum Crisis Tokyo 2040. Also has science fiction and fantasy stories written with an anime-influenced style.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.animeflipside.net/"} +{"d:Title": "Anime Speed Bump", "d:Description": "Index of humor sites with features like \"Pretty Fly for a Samurai\" and others.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/nt/anime7/"} +{"d:Title": "Aqualine Reflections", "d:Description": "Episode guides and character information including Ayashi no Ceres, Angel Tail, Onegai Teacher, Hand Maid May, and Gravitation. Contains spoilers.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://osotmirror.tripod.com/"} +{"d:Title": "The Anime Apocalypse", "d:Description": "Series summaries, forums, articles, images, avatars, fan art, and Winamp skins.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://animapocalypse2.tripod.com/"} +{"d:Title": "Anime Realms", "d:Description": "Character profiles, image galleries and wallpaper from Yu-Gi-Oh and Card Captor Sakura.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime5/animerealms/"} +{"d:Title": "The Anime Playground", "d:Description": "Image galleries, wallpapers, reviews, articles, humor, quotes and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/anime4/theanimeplayground/"} +{"d:Title": "Anime-Storm", "d:Description": "Character profiles, image galleries, movie reviews, chat and discussion board.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://anime-st0rm.tripod.com/"} +{"d:Title": "Avian Dragoness", "d:Description": "A shrine for Fushigi Yuugi, Gundam Wing and Ranma 1/2, with a picture gallery.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/gundam/heerobird/main.html"} +{"d:Title": "Anime Random", "d:Description": "Content focused on Inu Yasha, Gundam Wing, Fushigi Yuugi, and Rurouni Kenshin.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/magic/animerandom/"} +{"d:Title": "Anime Sekai", "d:Description": "Includes beginner's guide, history of anime in Japan and the US, and series synopses. Features computer graphics, cosplay, and Japanese.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.animesekai.net/"} +{"d:Title": "Anime Euphoria", "d:Description": "Contains information on Inuyasha and Fruits Basket.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.animeeuphoria.com/"} +{"d:Title": "AniManga eXclusive", "d:Description": "Layouts, avatars, news, wallpapers, tutorials, and reviews.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.animangax.com/"} +{"d:Title": "Animetrioxide", "d:Description": "Information on Dragonball Z, CardCaptor, and Pokemon with pictures, media, character biographies, episode lists, attack lists, battle charts, pokedex, humour and webmaster tools.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://www.angelfire.com/d20/animetrioxide/"} +{"d:Title": "The Anime Zone", "d:Description": "Reviews, miscellaneous images, how to draw and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://daols.tripod.com/"} +{"d:Title": "Anime Tricorders", "d:Description": "General information and images for a variety of series including Sakura Wars, Ranma, Evangelion, Slayers, Tenchi and Macross.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://scgames.tripod.com/MainAnime.html"} +{"d:Title": "Applesaucer's Realm of Anime", "d:Description": "Image gallery, fan fiction, web rings, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://anifreak01.tripod.com/"} +{"d:Title": "Anime Forge", "d:Description": "Roleplaying characters, Sailor Moon fan fiction, chat room, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/A", "url": "http://ramiel.org/"} +{"d:Title": "Beyond Eternal", "d:Description": "Sailor Moon and Final Fantasy information and fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/B", "url": "http://members.tripod.com/Okami_N_Akume/index.html"} +{"d:Title": "Black and Blue Translations", "d:Description": "Fan dubbed videos of various anime episodes, translations, and tips.", "topic": "Top/Arts/Animation/Anime/Fandom/B", "url": "http://cutefandubs.tripod.com/"} +{"d:Title": "Bluestar", "d:Description": "Explanation of anime, tips on downloading songs and video clips, individuals willing to trade files, and list of titles the owner has.", "topic": "Top/Arts/Animation/Anime/Fandom/B", "url": "http://bluewitchstar.tripod.com/"} +{"d:Title": "Brazil's Animeland", "d:Description": "Shrine to Elektra from Nadia, Tenchi Muyo fanfiction, and fanfiction reviews.", "topic": "Top/Arts/Animation/Anime/Fandom/B", "url": "http://www.brazils-animeland.de/"} +{"d:Title": "Bla", "d:Description": "Fan fiction articles, quotes, and an image gallery.", "topic": "Top/Arts/Animation/Anime/Fandom/B", "url": "http://www.angelfire.com/anime3/quatredusk/"} +{"d:Title": "Chaos Renditions Production Studios", "d:Description": "Reviews and links.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://www.angelfire.com/anime/chaosrenditions/"} +{"d:Title": "Castle Skydawn", "d:Description": "Image gallery, reviews, multimedia, fan fiction, club, links, chat and music.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://skydawn1.tripod.com/"} +{"d:Title": "Cyber Angel's Home Page", "d:Description": "Image galleries, fan works, and general information for series including Kenshin, Yu Yu Hakusho, Sailor Moon, and Fushigi Yuugi.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://www.angelfire.com/ma/CyberspaceAngel/index.html"} +{"d:Title": "Cat's Dragonball and Anime Page", "d:Description": "Images and information from Dragonball, and fan art from a variety of series.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://lunchbuckit.tripod.com/"} +{"d:Title": "Cartoonheaven2000", "d:Description": "Sections on Pok\u00e9mon, Dragon Ball Z, and Gundam Wing. Images, character pages, episode lists, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://cartoonheaven2000.tripod.com/"} +{"d:Title": "ChibiChibiMoon's World", "d:Description": "Images from Dragon Ball Z and Sailor Moon. Also includes episode guides and pictures from Digimon.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://www.angelfire.com/anime3/ChibiChibiMoonsworld/"} +{"d:Title": "Chibi-Usa's Anime Dream", "d:Description": "Sections on Sailor Moon, Dragon Ball Z, Digimon, and Fushigi Yuugi. Also had links and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://www.angelfire.com/anime3/chibiusasanimedream/index.html"} +{"d:Title": "Cool Togepi's HQ for Anime", "d:Description": "Fan works, FAQ, reviews, television schedules, and sections devoted to specific series.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://cooltogepi.tripod.com/index.html"} +{"d:Title": "Chibi's Anime", "d:Description": "Information and images from series including Sailor Moon, Tenchi Muyo, Card Captors, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://www.angelfire.com/anime3/chibisanime/"} +{"d:Title": "Chibi-Trunks' Anime Site", "d:Description": "Pictures, reviews, and general information about shows Dragon Ball Z, Gundam Wing and Outlaw Star.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://www.angelfire.com/nj3/chibitrunks7/index.html"} +{"d:Title": "Caly's Mini Anime Reference", "d:Description": "Images, summaries, Final Fantasy music and links.", "topic": "Top/Arts/Animation/Anime/Fandom/C", "url": "http://caly29.tripod.com/"} +{"d:Title": "Animeboards", "d:Description": "Anime message boards grouped by community chit-chat, specific titles, roleplaying, music, fan art, fan fiction, movies, video games, and news.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://animeboards.com/"} +{"d:Title": "Animenation Forums", "d:Description": "Message boards cover general anime topics, fan works, conventions, clubs, toys, and music.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://animenation.net/forums/"} +{"d:Title": "Anime Matrix 3000", "d:Description": "Contests, image galleries, chat room, and message board. Yahoo group; requires registration.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://groups.yahoo.com/group/animematrix3000/"} +{"d:Title": "Canofoo's Anime Conversations", "d:Description": "Forums for several titles including Gundam, Dragonball, Cowboy Bebop, and Love Hina.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://www.angelfire.com/anime4/canafooanimeboard/"} +{"d:Title": "Icchan Laboratory", "d:Description": "A general anime and manga forum with a dedicated section for Angelic Layer.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://hikaru.suddenlaunch.com/"} +{"d:Title": "Anime-Chat", "d:Description": "Free java-based chat rooms and IRC server. Also features fan artwork.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://www.anime-chat.com/"} +{"d:Title": "OtakuBoards", "d:Description": "An anime and video game community with general anime as well as series specific discussions for Dragonball, Digimon, and Gundam among others.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://www.otakuboards.com/"} +{"d:Title": "Flying Koi Anime", "d:Description": "Message board for anime, manga, music, and games. Includes areas for fanworks, neko discussion, and off-topic subjects.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s4.invisionfree.com/Flying_Koi/index.php"} +{"d:Title": "Animeleague", "d:Description": "Discussion board with anime reviews and multimedia.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://www.animeleague.net/"} +{"d:Title": "Anime Red", "d:Description": "Anime, manga, and RPG forums with specific areas for various fan works.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s2.invisionfree.com/Anime_Red/index.php"} +{"d:Title": "Chibi Otaku Paradise", "d:Description": "Texas-based cosplay group site. Topics mainly focus on anime conventions and costumes.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s4.invisionfree.com/Chibi_Otaku_Paradise/index.php"} +{"d:Title": "Taijiya no Mura", "d:Description": "Discussions for specific titles such as Inu-Yasha, Rurouni Kenshin, and Yu Yu Hakusho. Also has general anime and social areas.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s3.invisionfree.com/Taijiya_no_Mura/index.php"} +{"d:Title": "Anime Cosmo", "d:Description": "General anime as well as title-specific discussions, also several console gaming areas.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s6.invisionfree.com/Anime_Cosmo/index.php"} +{"d:Title": "The Storm Will Anime Forum", "d:Description": "General anime and manga discussion with a section for non-Japanese animation.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s2.invisionfree.com/The_storm_will/"} +{"d:Title": "Virtual Infusion", "d:Description": "Anime, manga, gaming, and fan work discussions.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://www.virtualinfusion.net/"} +{"d:Title": "Noated", "d:Description": "An anime community with forums, auctions, and a search engine.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://noated.net/"} +{"d:Title": "Schweet Forums", "d:Description": "A discussion board powered by Invision Power Board.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s6.invisionfree.com/Schweet_Forums/"} +{"d:Title": "Anime Neko", "d:Description": "A message board powered by Invision Power Board.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s8.invisionfree.com/Anime_Neko/"} +{"d:Title": "Moonwings", "d:Description": "A discussion board dedicated to providing its members with role playing games.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://space-kitten.org/"} +{"d:Title": "Anime Freaks", "d:Description": "A discussion board powered by Invision Power Board.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://s10.invisionfree.com/Animefreaks/"} +{"d:Title": "Christian Anime Alliance", "d:Description": "A discussion board that was created by christian fans. Discussion topics include reviews, games, role playing games and art.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://www.christiananime.net/"} +{"d:Title": "Beloved Anime", "d:Description": "A discussion board powered by Invision Power Board.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://z3.invisionfree.com/Beloved_Anime/index.php?"} +{"d:Title": "Animetric.com", "d:Description": "Discussions for anime, hentai, manga, bishoujo games, soundtracks, live action films, as well as social topics.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://animetric.com/forum/"} +{"d:Title": "Natalie's CCS Mansion Forums", "d:Description": "Discusses all aspects of anime series. Focuses on Card Captor Sakura but has sections for other shows and topics.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://nataliesccsmansionforums.yuku.com/"} +{"d:Title": "Tea's DreamWorld", "d:Description": "Discussions include specific titles, DVD releases, previews and reviews, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://teasdreamworld.yuku.com/"} +{"d:Title": "The Garden of Dreams", "d:Description": "Message board for discussions on anime and manga, RPGs, and art.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://thegardenofdreams74329.yuku.com/"} +{"d:Title": "Ancient Clan Forums", "d:Description": "An active online community that caters to anime, sci-fi, and video game enthusiasts.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://forums.ancientclan.com/"} +{"d:Title": "Bodhisattva Cathedral", "d:Description": "A message board on proboards.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://linalin.proboards.com/"} +{"d:Title": "DOA Graphic Connection", "d:Description": "A proboards discussion board.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://saiyatrunks.proboards.com/"} +{"d:Title": "Naruto-No-Jutsu", "d:Description": "A discussion board on proboards.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://narutonojutsu.proboards.com/"} +{"d:Title": "New World", "d:Description": "Anime, games, and computer discussions.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://darkmarlfox.proboards.com/"} +{"d:Title": "Sakaseyou RPGs", "d:Description": "An anime RPG board featuring various series.", "topic": "Top/Arts/Animation/Anime/Fandom/Chats_and_Forums", "url": "http://sakaseyourpg.proboards.com/"} +{"d:Title": "Marry Me", "d:Description": "For those who would like to claim a character as their bride or groom. Rules, join form, codes, and member list.", "topic": "Top/Arts/Animation/Anime/Fandom/Cliques", "url": "http://www.angelfire.com/realm2/guildwebsite/"} +{"d:Title": "Anime Toy Chest", "d:Description": "For all anime lovers. Member list, banners, rules, and join form.", "topic": "Top/Arts/Animation/Anime/Fandom/Cliques", "url": "http://www.angelfire.com/anime4/2002atcn/"} +{"d:Title": "Mystic Glory", "d:Description": "Members pick beings with supernatural powers as their protectors. Rules, codes, and member list.", "topic": "Top/Arts/Animation/Anime/Fandom/Cliques", "url": "http://uncertainty3.tripod.com/"} +{"d:Title": "AnimeCon.nl", "d:Description": "Annual convention in Haarlem, Netherlands.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animecon.nl/"} +{"d:Title": "Tokyo International Anime Fair", "d:Description": "Annual Fair in Tokyo, Japan.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.tokyoanime.jp/"} +{"d:Title": "AnimeExpo", "d:Description": "Annual convention in Anaheim, California.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.anime-expo.org/"} +{"d:Title": "Fanime Con", "d:Description": "Annual convention in San Jose, California.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.fanime.com/"} +{"d:Title": "Project A-Kon", "d:Description": "Annual convention in Dallas/Fort Worth, Texas. The oldest anime convention in the US.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.a-kon.com/"} +{"d:Title": "Otakon", "d:Description": "Annual convention in Baltimore, Maryland.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.otakon.com/"} +{"d:Title": "Anime North", "d:Description": "Annual convention in Toronto, Ontario, Canada.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animenorth.com/"} +{"d:Title": "Sakura Con", "d:Description": "Annual convention in Seattle, Washington. Formerly known as Baka!-con prior to 2000.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.sakuracon.org/"} +{"d:Title": "Anime Central", "d:Description": "Annual convention in Rosemont, Illinois.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.acen.org/"} +{"d:Title": "Katsucon", "d:Description": "Annual convention in Arlington, Virginia.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.katsucon.com/"} +{"d:Title": "Anime Mid-Atlantic", "d:Description": "Annual convention in Richmond, Virginia.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animemidatlantic.com/"} +{"d:Title": "AnimeFest", "d:Description": "Annual convention in Dallas, Texas.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animefest.org/"} +{"d:Title": "Anime Weekend Atlanta", "d:Description": "Annual convention in Atlanta, Georgia. AWA was first held in 1995.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.awa-con.com/"} +{"d:Title": "Anime Boston", "d:Description": "Annual convention in Boston, Massachusetts. Anime Boston was first held in April, 2003, and has since grown to be one of the largest anime conventions on the East Coast.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animeboston.com/"} +{"d:Title": "Colossalcon", "d:Description": "Annual convention in Sandusky, Ohio.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.colossalcon.com/"} +{"d:Title": "Anime USA", "d:Description": "Annual convention in Washington, DC. First held in 1999 in Crystal City, VA, Anime USA is a non-profit educational convention.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animeusa.org/"} +{"d:Title": "ConnectiCon", "d:Description": "Annual convention in West Hartford, Connecticut.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.connecticon.org/"} +{"d:Title": "Yaoi-Con", "d:Description": "Annual Anime Convention in San Francisco, California. The only convention dedicated to the Boys Love (yaoi -- m/m relationship) genre.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.yaoicon.com/"} +{"d:Title": "Minami Con", "d:Description": "Annual convention in Southampton, United Kingdom.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.minamicon.org.uk/"} +{"d:Title": "Otafest", "d:Description": "Annual 2-day event in Calgary, Alberta, Canada.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.otafest.com/"} +{"d:Title": "Animethon", "d:Description": "Annual convention in Edmonton, Alberta, Canada.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animethon.org/"} +{"d:Title": "Anime Evolution", "d:Description": "Annual convention in Vancouver, British Columbia, Canada.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animeevolution.com/"} +{"d:Title": "Ushicon", "d:Description": "Annual convention in Austin, Texas.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.ushicon.com/"} +{"d:Title": "Anime Oasis", "d:Description": "Annual convention in Boise, Idaho.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animeoasis.org/"} +{"d:Title": "Amecon", "d:Description": "Annual convention in Leicester, United Kingdom.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.amecon.org/"} +{"d:Title": "Bakuretsu Con", "d:Description": "Annual convention in Burlington, Vermont.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.bakuretsucon.org/"} +{"d:Title": "Anime Detour", "d:Description": "Annual convention in Twin Cities, Minnesota. Anime Detour is organized by Anime Twin Cities, Inc., a nonprofit organization.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animedetour.com/"} +{"d:Title": "Ikasucon", "d:Description": "Annual convention in Cincinnati, Ohio.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.ikasucon.org/"} +{"d:Title": "Manifest", "d:Description": "Annual convention in Melbourne, Australia, that existed between 1999 and 2013.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.manifest.org.au/"} +{"d:Title": "NomiCon", "d:Description": "Defunct convention last held in 2000 in Bradford, United Kingdom.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.yata.org.uk/nomicon/"} +{"d:Title": "Kawaii Kon", "d:Description": "Annual convention in Honolulu, Hawaii.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.kawaii-kon.org/"} +{"d:Title": "Tokonatsu", "d:Description": "Anime and manga event held in Bedfordshire, England.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "https://www.tokonatsu.org.uk/"} +{"d:Title": "JAFAX", "d:Description": "Annual convention in Allendale, Michigan. Admission to JAFAX was free until 2016.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.jafax.org/"} +{"d:Title": "Chibi-Pa", "d:Description": "Annual convention in West Palm, Florida.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.chibipa.com/"} +{"d:Title": "Anime Punch!", "d:Description": "Annual convention in Columbus, Ohio.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animepunch.org/"} +{"d:Title": "Anime Los Angeles", "d:Description": "Anime convention held in Los Angeles, California.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animelosangeles.org/"} +{"d:Title": "AOD", "d:Description": "San Francisco animation convention.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.aodsf.org/"} +{"d:Title": "San Japan", "d:Description": "Anime convention in San Antonio, Texas.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.san-japan.org/"} +{"d:Title": "Ikkicon LLC", "d:Description": "Anime convention in Austin, Texas", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://ikkicon.com/"} +{"d:Title": "Setsucon", "d:Description": "An anime convention located in State College, Pennsylvania.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.setsucon.com/"} +{"d:Title": "AnimeNext", "d:Description": "Annual convention in New York/New Jersey/Connecticut/Pennsylvania Metro area.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animenext.org/"} +{"d:Title": "Nan Desu Kan", "d:Description": "Annual convention in Denver, Colorado.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.ndkdenver.org/"} +{"d:Title": "MetroCon", "d:Description": "Annual convention in Tampa, Florida. Typically held at the Tampa Convention Center.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.metroconventions.com/"} +{"d:Title": "SacAnime", "d:Description": "Annual convention in Sacramento, California.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.sacanime.com/"} +{"d:Title": "PortConMaine", "d:Description": "Annual convention in South Portland, Maine.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.portconmaine.com/"} +{"d:Title": "Ohayocon", "d:Description": "Annual convention in Columbus, Ohio.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.ohayocon.org/"} +{"d:Title": "AnimeIowa", "d:Description": "Annual convention in Coralville, Iowa.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animeiowa.com/"} +{"d:Title": "NoBrandCon", "d:Description": "Annual convention in Eau Claire, Wisconsin.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.nobrandcon.org/"} +{"d:Title": "Tokyo in Tulsa", "d:Description": "Annual anime convention in Tulsa, OK.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.tokyointulsa.com/"} +{"d:Title": "AniMaritime", "d:Description": "Annual convention held in Fredericton, New Brunswick, Canada. Features a masquerade, karaoke, video games, board games, vendor room, artist's alley, AMV contest, and art contest.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animaritime.org/"} +{"d:Title": "Geek.Kon", "d:Description": "Annual convention in Madison, Wisconsin.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.geekkon.net/"} +{"d:Title": "Yaoi North", "d:Description": "Annual Yaoi convention in Toronto, Ontario, Canada.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.yaoinorth.com/"} +{"d:Title": "Eville Con", "d:Description": "Annual convention in Evansville, Indiana.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://evillecon.com/"} +{"d:Title": "Anime Midwest", "d:Description": "Annual convention in Rosemont, Illinois. Anime Midwest, organized by AnimeCon.org, was first held in 2011 in St. Charles, Illinois.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animemidwest.com/"} +{"d:Title": "Anime Minneapolis", "d:Description": "Annual convention in Minneapolis, Minnesota. AniMinneapolis, organized by AnimeCon.org, was first held in July of 2011 at The Northland Inn in Minneapolis.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animinneapolis.com/"} +{"d:Title": "Anime-ZAP!", "d:Description": "Annual convention in East Peoria, Illinois. Anime-ZAP!, organized by AnimeCon.org, was first held in 2010 in Peoria, Illinois.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animezapcon.com/"} +{"d:Title": "Anime NebrasKon", "d:Description": "Annual convention in Omaha, Nebraska.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animenebraskon.com/"} +{"d:Title": "Anime-zing!", "d:Description": "Annual convention in Davenport, Iowa. Anime-zing!, organized by AnimeCon.org, was first held in 2010 in Davenport.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.qcanimezing.com/"} +{"d:Title": "Anime Festival Orlando", "d:Description": "Annual convention held in Orlando, Florida. Typically held in the summertime.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animefestivalorlando.com/"} +{"d:Title": "Tsubasacon", "d:Description": "Annual convention in Huntington, West Virginia.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.tsubasacon.org/"} +{"d:Title": "Con-G", "d:Description": "Annual convention in Guelph, Ontario.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.con-g.com/"} +{"d:Title": "MomoCon", "d:Description": "Annual convention in Atlanta, Georgia. MomoCon originally started as a free event on Georgia Tech's campus.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.momocon.com/"} +{"d:Title": "Con Alt Delete", "d:Description": "Annual convention happening in Lisle, Illinois.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://conaltdelete.com/"} +{"d:Title": "Banzaicon", "d:Description": "Annual convention in Columbia, SC.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://banzaicon.com/"} +{"d:Title": "Anime California", "d:Description": "Annual convention held in Long Beach, California. Anime California was first held in 2014 in Orange County, California.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animecalifornia.com/"} +{"d:Title": "Kumoricon", "d:Description": "Annual convention held in Portland, Oregon.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "https://www.kumoricon.org/"} +{"d:Title": "Anime Kaiju", "d:Description": "Annual convention in Nashville, TN.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://animekaiju.com/"} +{"d:Title": "Star City Anime", "d:Description": "Annual convention in Roanoke, Virginia.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.starcityanime.com/"} +{"d:Title": "Taiga Con", "d:Description": "Annual convention in Atlanta, Georgia.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://taigacon.com/"} +{"d:Title": "Oni-Con", "d:Description": "Annual convention in Houston, Texas.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.oni-con.net/"} +{"d:Title": "Yuricon", "d:Description": "Organization that disseminates and celebrates yuri and shoujoai in anime and manga, and organizes occasional events.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.yuricon.com/"} +{"d:Title": "Auchinawa", "d:Description": "Anime convention held in Glasgow, Scotland.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.auchinawa.org.uk/"} +{"d:Title": "Fan Expo Canada", "d:Description": "Annual convention in Toronto, Canada.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://fanexpocanada.com/"} +{"d:Title": "Animarathon", "d:Description": "Annual 1-day convention in Bowling Green, Ohio. Animarathon was first held in 2003 and celebrates all aspects of anime and Japanese media.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animarathon.com/"} +{"d:Title": "Tekko", "d:Description": "Annual convention in Pittsburgh, Pennsylvania.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.teamtekko.us/"} +{"d:Title": "Liberty City Anime Con", "d:Description": "Annual convention held in New York. Hosted by Liberty City Anime Con Inc., promoting Japanese culture, reading manga, and anime.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://libertycityanimecon.com/"} +{"d:Title": "Yoi-Con", "d:Description": "Annual anime convention held in Columbus, OH.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.yoi-con.com/"} +{"d:Title": "Animazement", "d:Description": "Annual anime convention. Registration, guests, dealer information, rules, and FAQ. Durham, North Carolina, US.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.animazement.com/"} +{"d:Title": "Anime Anime Convention", "d:Description": "Annual convention in Manchester, New Hampshire.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://anotheranimecon.com/"} +{"d:Title": "Cosplacon", "d:Description": "Annual convention in Jefferson City, MO. An anime, comic book, science fiction and cosplay convention.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://cosplacon.com/"} +{"d:Title": "Middle Tennessee Anime Convention", "d:Description": "An annual convention that with events related to anime, manga, and relevant popular arts.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.mtac.net/"} +{"d:Title": "Nekocon", "d:Description": "Hampton Roads annual anime and Japanese culture convention. VA, US.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.nekocon.org/"} +{"d:Title": "Go!Daiko-Con!", "d:Description": "Great Lakes Anime Festival. Annual anime convention in Pontiac, Michigan. US.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.godaikocon.com/"} +{"d:Title": "Castle Point Anime Convention", "d:Description": "Convention held at Stevens Institute of Technology campus in Hoboken, NJ. US.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.castlepointanime.com/"} +{"d:Title": "Saboten-Con", "d:Description": "Japanese pop-culture, anime convention. Phoenix, Arizona.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://sabotencon.com/"} +{"d:Title": "SNAFU Con", "d:Description": "Sierra Nevada Anime Fans Unite Con is an anime convention in Reno, NV. A collaborative effort of local anime, game, comic-book, and science-fiction fans.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://snafucon.com/"} +{"d:Title": "Zenkaikon", "d:Description": "An annual celebration of anime, comics, games, science fiction and fandom. Lancaster, PA. US.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions", "url": "http://www.zenkaikon.com/"} +{"d:Title": "Green Mustard Entertainment", "d:Description": "Organizes events in Southeastern United States, mostly in Florida.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Organizations", "url": "http://www.greenmustard.com/"} +{"d:Title": "Mindbridge Foundation", "d:Description": "Organizes events in Eastern Iowa.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Organizations", "url": "http://mindbridge.org/"} +{"d:Title": "Society for the Promotion of Japanese Animation", "d:Description": "Organizes Anime Expo, Anime Conji, and Project Anime.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Organizations", "url": "http://www.spja.org/"} +{"d:Title": "Catsy and Oni-chan's Wild Ride To, At and From Animazement 98", "d:Description": "Well-told detailed convention report with photos.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.therossman.com/animazement98/"} +{"d:Title": "Koko wa Greenwood Events", "d:Description": "Convention photos of various events attended by the Greenwood crew.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.firebasealpha.com/greenwood/events/"} +{"d:Title": "Oni-chan's Excellent Adventure at AX 97", "d:Description": "Detailed narrative with some photos from the 1997 convention.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.therossman.com/uganime.ax97.html"} +{"d:Title": "AnimeCons.com Photo Gallery", "d:Description": "Themed galleries for conventions from the year 2000 onwards.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.animecons.com/reports/photos.shtml"} +{"d:Title": "Otakon 2004 - fps Magazine", "d:Description": "Article by Brett Rogers on Otakon 2004 for fps Magazine.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.fpsmagazine.com/festival/040903otakon.php"} +{"d:Title": "Usagichan Company Search and Rescue", "d:Description": "Provides annual coverage on select anime conventions that the websites members have visited.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.usagichan2.com/"} +{"d:Title": "RDFN Media Organization", "d:Description": "Galleries of photos taken at anime conventions.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.rdfn.org/"} +{"d:Title": "UpcomingCons.com Reports and Video", "d:Description": "A growing amount of reports, video, and pictures of several anime conventions.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://www.upcomingcons.com/reports"} +{"d:Title": "The Convention Fans Blog", "d:Description": "Blog with convention reviews, news, and photos.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://conventionfansblog.com/"} +{"d:Title": "AnimeCons TV", "d:Description": "A weekly video podcast with reports from conventions and topics related to conventions.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Reports_and_Photo_Albums", "url": "http://animecons.com/tv/"} +{"d:Title": "AnimeCons.com", "d:Description": "Anime conventions and events across the world with links to news, reports, and guest information.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Schedules", "url": "http://www.animecons.com/"} +{"d:Title": "Wikipedia: List of anime conventions", "d:Description": "List of conventions, worldwide.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Schedules", "url": "http://en.wikipedia.org/wiki/List_of_anime_conventions"} +{"d:Title": "UpcomingCons", "d:Description": "List of anime conventions from around the world, with ratings, pictures, and news.", "topic": "Top/Arts/Animation/Anime/Fandom/Conventions/Schedules", "url": "http://www.upcomingcons.com/anime-cons"} +{"d:Title": "Poro-Poro", "d:Description": "Costumes listed by character. Convention reports and pictures listed by event.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.poro-poro.com/"} +{"d:Title": "Acme Boyz Cosplay", "d:Description": "Photos and reports from a male anime cosplay group.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://acme_boyz.tripod.com/"} +{"d:Title": "Paper Wings Cosplay", "d:Description": "Cosplaying trio specializing in matching costume groups. Includes galleries and member profiles.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.paper-wings.org/"} +{"d:Title": "Through the Mirror: Kenzie-chan's Cosplay", "d:Description": "This is my collection of anime cosplay, other costumes, and artwork.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://kenziechans_cosplay.tripod.com/"} +{"d:Title": "nt2099 Cosplay Underground", "d:Description": "Interviews, convention reports with images, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.nt2099.com/cosplay/"} +{"d:Title": "Cosplay.com", "d:Description": "Cosplay community anime, manga, role-playing games (rpgs), japanese rock (jrock), and japanese pop (jpop) can join. Has a forum and gallery for members to discuss and upload their photos from anime conventions.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.cosplay.com/"} +{"d:Title": "Storm Breaker.com", "d:Description": "A cosplay tribute site featuring Tristen Citrine and Francesca Dani. Also featuring custom wallpapers, a lot of my own original artwork, hotbar skins and photos.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.angelfire.com/art2/stormbreaker/"} +{"d:Title": "Francesca Atelier's Cosplay Showroom", "d:Description": "Italian cosplayer, costumes sorted by character.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.francescadani.com/"} +{"d:Title": "Cosplay Kiseki", "d:Description": "Photographs and summaries of her costumes, convention reports, and biography.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.angelfire.com/va/mysteriousplay/costumes.html"} +{"d:Title": "Small Wish", "d:Description": "Cosplay from an Ah! Megami-sama fan, with a small shrine to the series on the site alongside the costumes and some fanart.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.smallwish.com/"} +{"d:Title": "SkyPirate - A-kon Gallery", "d:Description": "SkyPirate's photos from various Texas conventions over the years.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.skypirate.net/cosplay/index.htm"} +{"d:Title": "Hitomi Angel Cosplayer", "d:Description": "Images from an Italian cosplayer. Profile, message board, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.angelhitomi.com/"} +{"d:Title": "Eight of Hearts", "d:Description": "A message board for cosplayers, crossplayers, and crossover. Topics mainly focus on anime conventions and costumes.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://s7.invisionfree.com/Eight_of_Hearts/"} +{"d:Title": "Cosplay Portraits at Photino101", "d:Description": "Cosplay portrait galleries from the FanimeCon, AnimeExpo, Japantown Anime Fair, Metreon Festival of Anime, WonderCon, and other California events.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.photino101.com/"} +{"d:Title": "Charmed Seed Cosplay", "d:Description": "Pictures of original costumes by Beverly. Costumes include Aeris, Yuna, Azmaria, and Rosa.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://cosplay.charmedseed.net/"} +{"d:Title": "Cosplay Destiny", "d:Description": "Cosplay gallery that also includes some videos.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.cosplay-destiny.de/"} +{"d:Title": "Animedieval", "d:Description": "Contains photos and Matrix fan art pictures.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://rain.chez-alice.fr/"} +{"d:Title": "Tattered Wings", "d:Description": "Judy talks about her hobby of media recreation costuming.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.tatteredwings.net/"} +{"d:Title": "Michi's Cosplay", "d:Description": "Costumes organized by anime, video games, and J-pop, with convention reports and photo galleries.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://michi.crackerboxpalace.com/"} +{"d:Title": "American Cosplay Paradise", "d:Description": "Features a searchable database of members, with photograph galleries, and a forum covering upcoming conventions and costumes", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.acparadise.com/"} +{"d:Title": "Amethyst's Closet", "d:Description": "Personal cosplay website including step-by-step tutorials. Accepts commissions.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.amethyst-angel.com/cosplay.html"} +{"d:Title": "Cosplay Island", "d:Description": "UK cosplay site including member photos, news, tutorials, event reports, and community forum.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.cosplayisland.co.uk/"} +{"d:Title": "Ann's Anime Costumes", "d:Description": "Costumes, props, and wigs made by request.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://animecostumes.awardspace.com/"} +{"d:Title": "YayaHan.com", "d:Description": "Costuming of Yaya Han. Costumes arranged by genre. Includes construction notes.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://yayahan.com/"} +{"d:Title": "Alisa's Cosplay", "d:Description": "Images sorted by character, individual and groups, CG cosplay, costume shop, personal information and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Cosplay", "url": "http://www.alisakiss.com/alisachan/"} +{"d:Title": "Duo and Goku", "d:Description": "Information on Gundam Wing and Dragon Ball. Polls, fan fiction, pictures, chat, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/tv2/gundamanddbz/"} +{"d:Title": "Digimon Pokemon Sounds", "d:Description": "Pokemon and Digimon images, sounds, links and adoptions.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/pokemon/mewtogepiconnection/"} +{"d:Title": "Digimon Pokemon Dimension", "d:Description": "Fan art, pictures, fiction, and games focusing on the two titles.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/anime2/digimonpoke/"} +{"d:Title": "Dragon Ball Z Forever", "d:Description": "General information, character biographies, and pictures from Dragon Ball Z and Outlaw Star.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/anime2/DragonBallZForever/"} +{"d:Title": "Dark Star Alliance", "d:Description": "Fan art, Sailor Moon role playing characters, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://darkstaralliance.tripod.com/"} +{"d:Title": "Death Scythe Animations", "d:Description": "Sections on Sailor Moon, Tenchi Muyo, and Dragon Ball Z.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/gundam/DeathSythe/Main"} +{"d:Title": "Daughters of Dominance II", "d:Description": "Information and images from Vampire Princess Miyu, X, and Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/goth/daughtersofdominance/"} +{"d:Title": "DBZania", "d:Description": "Offers sections on Dragon Ball, Gundam Wing, and Tenchi Muyo. Images, character profiles, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/anime3/dbzania/main.html"} +{"d:Title": "DNA Style", "d:Description": "Character profiles, wallpaper, and episode lists for Digimon and Dragonball.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://dnastyle.tripod.com/"} +{"d:Title": "The Domain of the Goddess", "d:Description": "Fan art, fan fiction, poetry, humor, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://www.angelfire.com/anime4/ssj4gwenchan/"} +{"d:Title": "The Dominion", "d:Description": "Profiles, wallpaper, animated GIFs, and images from Cowboy Bebop, Dragon Ball Z, Neon Genesis Evangelion, Fatal Fury, Fushigi Yuugi, Gundam Wing, Sailor Moon, Tenchi Muyo, and Vampire Hunter D.", "topic": "Top/Arts/Animation/Anime/Fandom/D", "url": "http://isis-de.tripod.com/index.htm"} +{"d:Title": "Everything Anime", "d:Description": "Images and character profiles from Sailor Moon, Tenchi Muyo, Pok\u00e9mon, and Escaflowne.", "topic": "Top/Arts/Animation/Anime/Fandom/E", "url": "http://www.angelfire.com/anime2/princessmiaka/"} +{"d:Title": "El Cesto de Papeles", "d:Description": "Fan art, manga scans, lyrics, bishonen shrines, personal opinions, fan fiction, Muza shrine, humor and links.", "topic": "Top/Arts/Animation/Anime/Fandom/E", "url": "http://www.angelfire.com/anime/curse/"} +{"d:Title": "Eta - Naru", "d:Description": "Character shrines and image galleries.", "topic": "Top/Arts/Animation/Anime/Fandom/E", "url": "http://eta-naru.tripod.com/"} +{"d:Title": "Eternal Collective", "d:Description": "Cliques for various anime, character shrines, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/E", "url": "http://eternalevil.tripod.com/"} +{"d:Title": "Endy-chan's Anime Heaven", "d:Description": "Sections on Fushigi Yuugi, Kamikaze Kaito Jeanne, and Kodomo no Omocha. Images, humor, and story summaries.", "topic": "Top/Arts/Animation/Anime/Fandom/E", "url": "http://www.angelfire.com/anime3/otakupage/"} +{"d:Title": "The eMotions's Anime Villains Super Site", "d:Description": "Information, pictures, and profiles of villains from Sailor Moon, Dragon Ball, Zodiak Worriors, Pokemon, Transformers and Digimon.", "topic": "Top/Arts/Animation/Anime/Fandom/E", "url": "http://eavss.tripod.com/"} +{"d:Title": "Fanime", "d:Description": "Fan fiction and art, message boards, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/F", "url": "http://www.angelfire.com/anime3/fanime/"} +{"d:Title": "Final Fantasy Gundamia", "d:Description": "Final Fantasy and Gundam Wing characters, storylines, and media.", "topic": "Top/Arts/Animation/Anime/Fandom/F", "url": "http://www.angelfire.com/anime3/FinalFantasyGundamia/"} +{"d:Title": "Forever's Realm", "d:Description": "Information, character profiles, and images for Cardcaptors, Pokemon, Slayers, and Chrono Trigger.", "topic": "Top/Arts/Animation/Anime/Fandom/F", "url": "http://www.angelfire.com/in4/f4ever/"} +{"d:Title": "Fanficcers", "d:Description": "Fan fiction articles, short stories and poetry.", "topic": "Top/Arts/Animation/Anime/Fandom/F", "url": "http://fanficcers.tripod.com/"} +{"d:Title": "Fandub VA Egroup", "d:Description": "An egroup for VAs to find parts and producers to find VAs.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Dubbed", "url": "http://groups.yahoo.com/group/fandubva/"} +{"d:Title": "Fandub Voice Acting", "d:Description": "Information, tips, resume, scripts and clips.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Dubbed", "url": "http://utenaangel.tripod.com/voiceacting.htm"} +{"d:Title": "Swift Foot Productions", "d:Description": "Featuring fan dubbed productions and radio plays. Audition rules and important dates.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Dubbed", "url": "http://www.angelfire.com/grrl/summerspage0/"} +{"d:Title": "M. Glorri's VA Resume", "d:Description": "Projects include Twilight Zone, Gothique, and Destiny Warriors.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Dubbed/Personal_Pages", "url": "http://gothick.stormloader.com/resume.html"} +{"d:Title": "The Millennium Star Voice Acting and Productions Webring", "d:Description": "Devoted to voice acting and fan dubbed or radio play productions.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Dubbed/Web_Rings", "url": "http://www.webring.org/hub?ring=millennium"} +{"d:Title": "The Fansub and Voice Actors Webring", "d:Description": "Created to promote anime fandubbing and those who work to make it possible.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Dubbed/Web_Rings", "url": "http://www.webring.org/hub?ring=vafandub"} +{"d:Title": "Gotwoot Network", "d:Description": "Hosting related and non related content including subtitled series from fan subtitling groups.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled", "url": "http://www.gotwoot.net/"} +{"d:Title": "The Beginner's Guide to Subtitling", "d:Description": "Introduction, equipment list, project choices, scripting, timing dialogue, editing, and distribution.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled", "url": "http://armitage.crinkle.net/karinkuru/howtosub/"} +{"d:Title": "Hikari no Kiseki", "d:Description": "A translation group providing translations for the series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled", "url": "http://www.hikarinokiseki.com/"} +{"d:Title": "AnimeVortex Online", "d:Description": "Reviews, previews, media, pictures, clips, discussion, and an IRC channel.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled", "url": "http://www.animevortex.net/"} +{"d:Title": "Shinomori Misao's Anime Distro", "d:Description": "Distribution and trades, sliding scale. Cash or money order, international shipping for extra fee.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/mn/AnimeDistro/index.html"} +{"d:Title": "Smackin Anine Fansubs", "d:Description": "Tape list, request information, and images.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime/smackin/"} +{"d:Title": "Anime Land", "d:Description": "Distribution and trade of manga and fan subtitled anime Also has a mini a mini picture gallery.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/comics/animeland/"} +{"d:Title": "Legend of the Super Sai-ya-jin Fansubs", "d:Description": "Reviews and list of Dragon Ball tapes.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/dbz/heroicfansubs/index.html"} +{"d:Title": "Julia's Video Trade Center", "d:Description": "Tape trading of anime and J-pop/J-rock videos. Rules, tape list and wanted list.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://innainna0.tripod.com/main.html"} +{"d:Title": "SuperAnimeFans Trading Site", "d:Description": "Tape list.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime4/safans/SuperAnimeFansPage.htm"} +{"d:Title": "World Anime 888", "d:Description": "List of titles and quality, request form, and rules.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://worldanime888.tripod.com/"} +{"d:Title": "Hisoka's Anime Fansubs Distribution", "d:Description": "VHS distribution with order status page.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime4/hisoka-fansubs/"} +{"d:Title": "Beestro Anime VCD Fansub Distro", "d:Description": "Distribution of VCDs with status page.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/on4/shmickin/distro.htm"} +{"d:Title": "Sarjilian Fansubs", "d:Description": "Distribution and trading on VHS and VCD, with order status page. Soundtracks also available.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime/mwong/sarjilianfansubs.html"} +{"d:Title": "Anime Enchantress Fansub Distro", "d:Description": "VHS distribution within the U.S.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://anime-enchantress.0catch.com/"} +{"d:Title": "Universe of the Four Youkai Anime Distro", "d:Description": "VHS distribution and limited trading.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime4/youkai/"} +{"d:Title": "Geek's Homepage", "d:Description": "Distribution on VCD with online ordering.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime4/splatterpro/"} +{"d:Title": "Aily's Digital Distribution", "d:Description": "Distribution and trading on VCD.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://home.earthlink.net/~aily/"} +{"d:Title": "Rinny's Anime CD Trading Page", "d:Description": "Distribution and trading of CDs and VCDs, as well as manga and soundtracks. Includes list of references.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://rinny.deep-ice.com/anime/"} +{"d:Title": "Infidel's Anime Trading", "d:Description": "Trading on CD-R, with list of titles, rules, and status page.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://infidelbydefault.0catch.com/entrance.htm"} +{"d:Title": "Marichan's Anime CD Trade&Distribution", "d:Description": "Offering anime and manga, with list of titles, rules, and FAQ.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://marichan88.tripod.com/"} +{"d:Title": "Decube's Anime Page", "d:Description": "Distribution on VHS and VCD, as well as used goods for sale.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://ssjdecube.tripod.com/"} +{"d:Title": "Anime Divx VCD DVD Online", "d:Description": "List of various anime fansub titles in Divx, DVD and VCD format.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.animedivxonline.com/"} +{"d:Title": "Anime Infinity", "d:Description": "FTP distribution, with reviews, forum and images.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime3/nfinity/"} +{"d:Title": "Anime Stars Fansubs", "d:Description": "Sailor Moon, Marmalade Boy, and Dragonball tapes.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/stars2/starfansub/StarFansub.htm"} +{"d:Title": "Cutesy's Anime", "d:Description": "Distribution, price based on sliding scale. Cash or money order, no international shipping.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/tv/cutesy/main.html"} +{"d:Title": "Sevothtarte Anime", "d:Description": "Title listing, trading and ordering rules.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/rpg/fansub/"} +{"d:Title": "Kaorimoch's Fansub Trades", "d:Description": "Distribution and trading on CDs, with music files and manga scans also available. Based in Australia.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.pnc.com.au/~mryland/"} +{"d:Title": "Dragon Fansubs", "d:Description": "Kodomo no Omocha, Yu Yu Hakusho Sailor Moon, Marmalade Boy, Dragonball Z and Dragonball GT.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/rnb/dragonfansub/MainDragon.html"} +{"d:Title": "Grandfansubs", "d:Description": "Distributes and trades fansub anime DVD, VHS and CDs.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.grandfansubs.com/"} +{"d:Title": "Anime on CD", "d:Description": "Offers users the ability to purchase fansubbed series on CDs.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Distributors_and_Traders", "url": "http://www.angelfire.com/anime5/animeoncd/"} +{"d:Title": "Undercut", "d:Description": "Offers Galaxy Angel for download.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Subtitlers", "url": "http://www.undercut.org/fansubs/"} +{"d:Title": "The Anime Fansub Ring of Trades and Sales", "d:Description": "Open to anyone interested in trading fan-subtitled anime or selling tapes for the cost of shipping and supplies.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Web_Rings", "url": "http://www.webring.org/hub?ring=animetc"} +{"d:Title": "WebRing: Fansub Traders", "d:Description": "Open to actively trading website owners.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Subtitled/Web_Rings", "url": "http://www.webring.org/hub/fansub_traders"} +{"d:Title": "Maison Otaku", "d:Description": "Red Frog Studios, Kamishibai stories, downloads, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/nj/maisonotaku/index.html"} +{"d:Title": "Only the Best Fan Fic and Art Archive", "d:Description": "Art and fiction from various series. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.onlythebest.animewebhost.com/"} +{"d:Title": "Amberlyn Artworks Anime Page", "d:Description": "Art and fan fiction from anime including Jubei-chan, Final Fantasy, and Slayers.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.jcamberlyn.com/fanart.htm"} +{"d:Title": "A Non-Yaoi Romance", "d:Description": "Fan works from Dragon Ball Z, Tenchi Muyo, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/anime/gw0/"} +{"d:Title": "Chousa Camyou's Realm", "d:Description": "Fan fiction and art, with some original works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://lakefront.iwarp.com/"} +{"d:Title": "DarkMagick.net", "d:Description": "Fan fiction archive with Sailor Moon and Dragon Ball works. Also a fan art and a Slayers image gallery.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.darkmagick.net/"} +{"d:Title": "Paths to Another World", "d:Description": "Fan art and fan fiction for Rayearth and Inu Yasha.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://andi_z_fuu.tripod.com/"} +{"d:Title": "KnightHeart", "d:Description": "Original anime-style artwork and fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/anime3/zephyr/"} +{"d:Title": "Neko-Chan", "d:Description": "Fiction and art featuring Gundam, Digimon, Evangelion, and Harry Potter.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/nc3/canonfire/main.html"} +{"d:Title": "Anime Notebook", "d:Description": "Mailing list for fan fiction and fan art. Restricted membership.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/anime3/animenotebook/"} +{"d:Title": "Pokemon Ver. B&Sailor Moon Zero", "d:Description": "Original manga \"Sailor Moon Zero\" with character profiles and image gallery, as well as Pokemon profiles, fan fiction, and fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/az/janeba/1index.html"} +{"d:Title": "Misty AMV Online", "d:Description": "Music videos, fan art, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/anime4/anivasion/"} +{"d:Title": "Darkness Rising", "d:Description": "Fan fiction and fan art including Slayers, Rockman, Final Fantasy VII, and Wei\u00df Kreuz, as well as doujinshi scans and Winamp skins.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.darknessrising.com/"} +{"d:Title": "Zen Pocky", "d:Description": "Poetry, anime fanfiction, fanart, original art, and wallpapers.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://k-taro.org/koneko"} +{"d:Title": "Chibi Savant", "d:Description": "Original art and fan art from several series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://chibi_savant.tripod.com/"} +{"d:Title": "Oekaki Matrix", "d:Description": "Oekaki boards, as well as original and fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/hi5/sq2001/Oekaki_Matrix.html"} +{"d:Title": "Frozen Wings", "d:Description": "Contains fan art and fan fiction from series including Digimon, Escaflowne, and Harry Potter.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.angelfire.com/art2/frozen_wings/index.html"} +{"d:Title": "Anime/Manga Generators", "d:Description": "Randomly create anime/manga powers, mecha names, martial arts moves and super vehicles.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.seventhsanctum.com/index-anim.php"} +{"d:Title": "Paper Demon", "d:Description": "Fan fiction and fan art from series including Dragon Ball Z, Final Fantasy, Zelda, Inuyasha, Naruto and Yu-Gi-Oh.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.paperdemon.com/"} +{"d:Title": "Forgiving Eyes", "d:Description": "Art gallery of Jateshi, home network of the Sirius/Remus Fuh-Q-Fest and the stories of Lia Doran.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.forgiving-eyes.net/"} +{"d:Title": "Vanduran", "d:Description": "Manga art gallery, comics and illustration.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.vanduran.com/"} +{"d:Title": "Atashi no Sekai", "d:Description": "The story of Ajimaki Takashi.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.freewebs.com/ajtakashi/"} +{"d:Title": "Kinoko and Friends", "d:Description": "CG anime and images by Jamie Woodhead.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://www.kinoko.plus.com/"} +{"d:Title": "Disenchanted Anime", "d:Description": "Miscellaneous fan art and original work classified by date.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://disenchanted.deviantart.com/"} +{"d:Title": "Blue Moon Zine", "d:Description": "Contains fan art, stories, and an artist contest.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://evakisu2.tripod.com/bluemoonzine/"} +{"d:Title": "Amis's Anime Fanfiction", "d:Description": "Yu Yu Hakusho, Slam Dunk, King of Fighters and Evangelion fan fiction and fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works", "url": "http://amis_lee.tripod.com/fanfics.html"} +{"d:Title": "Anime Artworx", "d:Description": "Custom pictures of anime characters. Photo-quality prints of posted pictures are available upon request.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.angelfire.com/co2/animeartworx/"} +{"d:Title": "Anime Attic", "d:Description": "Fan art and original characters. Also guest galleries, submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.angelfire.com/anime/attic/"} +{"d:Title": "Artificial Moon", "d:Description": "Fan art from Sailor Moon, Magic Knight Rayearth, Neon Genesis Evangelion, and Nuku Nuku.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://son-gotenks.tripod.com/"} +{"d:Title": "AO Studio", "d:Description": "Mostly original art, with fan art from Dead or Alive, Ah! My Goddess, and Ranma 1/2.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.members.tripod.com/~ANIMEKIT/index.html"} +{"d:Title": "Anime-Jennie.com", "d:Description": "Color and black and white original and fan drawings. Includes FAQ and artist profile.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.anime-jennie.com/"} +{"d:Title": "Anime and Other Art", "d:Description": "Drawings from anime such as Evangelion, Sailor Moon, and Gundam Wing, as well as original art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://aaa_anime_and_stuff.tripod.com/"} +{"d:Title": "Anime Art Central", "d:Description": "Images from various artists from series. Submissions and requests accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.angelfire.com/anime2/artcentral/"} +{"d:Title": "Art This", "d:Description": "Fan art and original drawings. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.angelfire.com/pokemon2/artthis/"} +{"d:Title": "Anime FanArt Gallery", "d:Description": "Drawings from Dragon Ball, Escaflowne, and Tenchi Muyo. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://wackie2u.tripod.com/"} +{"d:Title": "Angel Blade", "d:Description": "Drawings from Final Fantasy, Chrono Cross, and other games, as well as original characters.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://members.tripod.com/filter_fan/"} +{"d:Title": "Apsylus", "d:Description": "Drawings from RPGs, games, and anime and manga series. Also features original and gift art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.apsylus.com/"} +{"d:Title": "Avalon", "d:Description": "Fan art by various artists. Also features fantasy drawings.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.angelfire.com/journal2/avalon/"} +{"d:Title": "Artoki: The Fantasy Anime and Fan Art of Rachel Young", "d:Description": "Fan art, CGs and drawings with commentary and list of materials used.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://artoki.co.uk/gallery.htm"} +{"d:Title": "Aimo Ahmed's Ink Soup", "d:Description": "Fan and original CG, ink, and sketched art work.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://aimostudio.com/"} +{"d:Title": "Anime Kittens", "d:Description": "Features drawings of female characters from Final Fantasy, Evangelion, Slayers, and Cowboy Bebop.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://zemus101.tripod.com/"} +{"d:Title": "Animagine", "d:Description": "Works based on Sailor Moon and Blade of the Immortal, and original art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://coreo1.tripod.com/"} +{"d:Title": "Arioch's Well of Souls", "d:Description": "Original and fan works by several artists. Also features CG tutorials.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://well-of-souls.com/"} +{"d:Title": "Andrew Joshua Talon's Site", "d:Description": "Fan art by the webmaster. Based on Gundam Wing, Tenchi Muyo, Love Hina, and other series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://ajtalon.20megsfree.com/"} +{"d:Title": "Artplaymix", "d:Description": "Online portfolio of penciller/inker Jason Martin, and colorist Heather. Contains works from several series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.artplaymix.com/"} +{"d:Title": "Anime Stuffs for All!", "d:Description": "Contains wallpapers.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.animestuffs69.iwarp.com/"} +{"d:Title": "Ashen Ray", "d:Description": "Original art made by Shilin.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.ashen-ray.com/"} +{"d:Title": "Anim\u00e9 Deviation", "d:Description": "Fan art and original drawings by three artists. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://www.animedeviation.com/"} +{"d:Title": "Anime Drawing Center", "d:Description": "Artist profiles and drawings from Dragon Ball and Gundam Wing. Also contains trivia, jokes, and multimedia.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/A", "url": "http://min_jun.tripod.com/"} +{"d:Title": "Bowl of Noodles", "d:Description": "Original anime art, fan art, and guest works as well.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/B", "url": "http://www.artlair.com/"} +{"d:Title": "Black Roses", "d:Description": "Drawings from anime such as Fushigi Yuugi, Cowboy Bebop, Gundam Wing, and Rurouni Kenshin.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/B", "url": "http://colored_roses.tripod.com/blackroses/index.html"} +{"d:Title": "Bwana Studio", "d:Description": "Art from Dragon Ball Z, Gundam Wing, and comic books. Also features original drawings.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/B", "url": "http://bostudio.tripod.com/"} +{"d:Title": "Cricket-chan's Anime and Manga Fanart", "d:Description": "Original art and guest art; submissions accepted. Fan art from Street Fighter, X/1999, Wei\u00df Kreuz, and Evangelion.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/C", "url": "http://www.angelfire.com/ak2/minami/"} +{"d:Title": "Chiaroscuro", "d:Description": "Anime art, CG, original drawings, tutorials and links. Some shounen ai content.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/C", "url": "http://pirotess.rydia.net/"} +{"d:Title": "Cereal Experiments:Neurocon", "d:Description": "Contains anime and manga inspired drawings, original characters, and artist biography.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/C", "url": "http://wingneurocon.tripod.com/"} +{"d:Title": "Dilandau Radinov's Art Studio", "d:Description": "Fan, original and guest art. Also tips and techniques.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://www.angelfire.com/anime/dilandauradinov/"} +{"d:Title": "Dead Triangle", "d:Description": "Art from Digimon, Final Fantasy, Suikoden, and Xenogears. Original art, sketches, gifts, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://www.tinsc.com/vera/"} +{"d:Title": "Diamond Mind", "d:Description": "Features artist profile and works, including sketches, CGs, and gifts.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://www.diamondmind.8m.com/"} +{"d:Title": "DayDreamer", "d:Description": "Original and fan art by Julie Dillon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://jdillon.net/"} +{"d:Title": "Delyera's Diabolical Domain", "d:Description": "CG and hard media art featuring characters from Trigun, Final Fantasy, and Escaflowne, as well as a line art coloring tutorial.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://delyera.tripod.com/"} +{"d:Title": "Dragon's Den Unlimited", "d:Description": "Portfolio of works in CG, marker, and pencil, as well as wallpaper and an oekaki board. Commissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://www.drgnden.com/"} +{"d:Title": "Diana X. Sprinkle's Art", "d:Description": "Anime, furry, comic, and weird art. Covering over seven years of work.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://www.ghostcircles.com/"} +{"d:Title": "Digital Inkk 2.0", "d:Description": "Fan art from several series, as well as some original art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://www.angelfire.com/nj4/vann/"} +{"d:Title": "Drawings by Rene Robles", "d:Description": "Urusei Yatsura, Ranma 1/2, Ah! My Goddess, and Final Fantasy fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/D", "url": "http://home.earthlink.net/~roblesr76/"} +{"d:Title": "Eccentricity", "d:Description": "Fan art from Yuu Yuu Hakusho, Sailor Moon, Fushigi Yuugi, Kenshin. Original and guest galleries and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/E", "url": "http://members.tripod.com/~emerald_nova/yyhmain.html"} +{"d:Title": "EK's Art Gallery: Supplement", "d:Description": "Art from Final Fantasy, Evangelion, Magic Knight Rayearth, Galaxy 999, original art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/E", "url": "http://www.angelfire.com/ga/cheapart/"} +{"d:Title": "Final Fantasy Heaven's Fan Art", "d:Description": "Final Fantasy fan art from various different artists.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/F", "url": "http://ffheavens.iwarp.com/fanart/"} +{"d:Title": "FanaticArtwork", "d:Description": "Drawings from anime, games, and fantasy. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/F", "url": "http://www.angelfire.com/art/fanaticartwork/"} +{"d:Title": "Fanart and Stuff", "d:Description": "Art from series such as Inuyasha, Rurouni Kenshin, and the Legend of Zelda.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/F", "url": "http://www.angelfire.com/art2/fanartandstuff/"} +{"d:Title": "Fallen Angels", "d:Description": "Contains content for bishounen and shounen-ai fans.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/F", "url": "http://www.angelfire.com/moon2/fallen_angels/index.html"} +{"d:Title": "Gut Studio", "d:Description": "Capcom fan art, original drawings, CG art, links, and webrings.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/G", "url": "http://members.tripod.com/one_gut/"} +{"d:Title": "Genesoul.net", "d:Description": "Original and fan drawings, tutorials, and projects. Artists are available for commission.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/G", "url": "http://www.genesoul.net/"} +{"d:Title": "Gunshy", "d:Description": "Cowboy Bebop artwork, with Card Captor Sakura and Gundam Wing pieces as well.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/G", "url": "http://www.angelfire.com/anime/fanficgems/c/"} +{"d:Title": "Heather's Anime&Manga Illustrations", "d:Description": "Works including Card Captor Sakura, Magic Knight Rayearth, Ranma 1/2, Sailor Moon, and Utena. Original art and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/H", "url": "http://www.heatherchan.com/"} +{"d:Title": "Hyper Arts Studios", "d:Description": "CG original and fan art, pencil sketches, and forum.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/H", "url": "http://www.angelfire.com/co2/hyperarts/"} +{"d:Title": "HikaruClaire's Oddball", "d:Description": "Sketchbook scans.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/H", "url": "http://www.angelfire.com/dragon/hikaruclaire/index.html"} +{"d:Title": "Wisdom of a Fellow Fan", "d:Description": "Tips on how to draw using the mouse and computer paint programs. Also, Sailor Moon, Dragonball, and Magic Knight Rayearth fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://www.angelfire.com/anime/sunkissedrose/draw.html"} +{"d:Title": "PolyKarbon", "d:Description": "Lessons on how to draw in the anime and manga style. Includes a gallery of fan art and original creations.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://www.polykarbon.com/"} +{"d:Title": "Mangakas.de", "d:Description": "Information on tips on basic facial structure, hair, movement, perspective, hands, and feet.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://home.arcor.de/gworon/mangakas/html/english/lessons.html"} +{"d:Title": "123 Bishoujo", "d:Description": "Step-by-step tutorials on drawing Anime/Manga characters. Aimed at beginners and people frustrated by their lack of progress.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://waylands_forge.tripod.com/123/"} +{"d:Title": "The Nameless Page.com", "d:Description": "Detailed tutorials for drawing, inking, and coloring anime-style art, as well as original artwork.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://www.thenamelesspage.com/"} +{"d:Title": "Julie's How to Draw Manga", "d:Description": "Paintshop and Photoshop tutorials, tips for drawing faces, clothing, women, and specific anime characters.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://www.howtodrawmanga.com/"} +{"d:Title": "Airwalking Studios", "d:Description": "Contains tips and tutorials over Realism and Furry styled drawings.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://www.airwalking.com/"} +{"d:Title": "Manga Tutorials", "d:Description": "Learn how to draw manga from tutorials covering anatomy, clothing, cging, and publishing your comic. Features include forum, oekaki and contests.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://www.mangatutorials.com/"} +{"d:Title": "How to Animate", "d:Description": "Lessons and examples on how to create animated images.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://www.idleworm.com/how/index.shtml"} +{"d:Title": "Rocket's How to Draw Manga", "d:Description": "Gives instructions on drawing anime-style hair, eyes, and super-deformed characters. Also, Photoshop tips.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/How_to_Draw", "url": "http://incomming.tripod.com/"} +{"d:Title": "Into the Darkness", "d:Description": "Original drawings and fan art from Vampire Princess Miyu, Rurouni Kenshin, and Final Fantasy.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/I", "url": "http://www.angelfire.com/nj/oro/index.html"} +{"d:Title": "Icarus Falls", "d:Description": "Anime-style drawings, including Utena, Fushigi Yuugi, Ayashi no Ceres, CLAMP, and Evangelion.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/I", "url": "http://icarusfalls.com/"} +{"d:Title": "Jen's Skratchpad", "d:Description": "Illustrations, original characters, computer colored, animations, doodles and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/J", "url": "http://www.skratch-pad.com/"} +{"d:Title": "Jupitor's Anime Art Contest", "d:Description": "Art contests. Includes rules, entries, and winning images.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/J", "url": "http://jupitor82.tripod.com/animeartcontest"} +{"d:Title": "K Complex", "d:Description": "Original and fan art, contact information, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/K", "url": "http://kcomplex.com/"} +{"d:Title": "Kojika's Neko Page", "d:Description": "Art featuring characters from Yu-Gi-Oh, Dragonball Z, and Pokemon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/K", "url": "http://www.angelfire.com/anime4/nekotachi/"} +{"d:Title": "KH Art", "d:Description": "Works based on Lain, Inu Yasha, and Final Fantasy.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/K", "url": "http://www.angelfire.com/anime4/khart/"} +{"d:Title": "Kimaera's Place", "d:Description": "A personal gallery with pictures based on Berserk, Card Captor Sakura, Corrector Yui, Gravion, Magic Knight Rayearth and Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/K", "url": "http://www.kimaera.net/"} +{"d:Title": "Kuautli", "d:Description": "Original art and drawings from Final Fantasy, Utena, Gundam Wing, and Slayers.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/K", "url": "http://www.kuautli.com/"} +{"d:Title": "LilFungBee's Place", "d:Description": "Color and black and white art from Sailor Moon, Pokemon, Rayearth and other series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/L", "url": "http://lilfungbee.tripod.com/"} +{"d:Title": "Lyrical Nonsense", "d:Description": "Original and gift art, and works from Gundam Wing, Digimon, and Pok\u00e9mon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/L", "url": "http://www.angelfire.com/anime3/silverkaiser/splash.html"} +{"d:Title": "MAD Artists HQ", "d:Description": "Original and fan art from anime/RPG/manga. Galleries sorted by artist.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://www.angelfire.com/ok2/MADartists/"} +{"d:Title": "Mozzie's Place", "d:Description": "Original and fan art, in color and black and white. Also gifts, club and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://s_mosquito.tripod.com/"} +{"d:Title": "My Online Art Gallery", "d:Description": "Gallery of mostly pencil sketches from Dragon Ball Z.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://www.angelfire.com/ny3/hyouheki/"} +{"d:Title": "Moon Rivers", "d:Description": "Color and black and white images. Fan art, gifts, original drawings, FAQ, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://moonrivers.tripod.com/"} +{"d:Title": "Mangamonster", "d:Description": "Original drawings and fan works. Also features chat room and biographies of the artists.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://www.members.tripod.com/mangamonsterz/"} +{"d:Title": "Matahari", "d:Description": "Final Fantasy and Tenchi fan art as well as original works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://matahari.faithweb.com/"} +{"d:Title": "Misty's Anime Page", "d:Description": "Pencil drawings of characters from Dragon Ball Z, Gundam Wing, Final Fantasy VIII, Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://www.angelfire.com/anime3/misty_karrde/"} +{"d:Title": "Matches Art Palace", "d:Description": "Drawings done in color, pen and ink, and markers.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://www.angelfire.com/art/melly/index.html"} +{"d:Title": "Misato.co.uk", "d:Description": "Visitor's art, original characters, coloring tips, and fan drawings.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://www.misato.co.uk/"} +{"d:Title": "My World - Z Style", "d:Description": "Illustrations in both traditional comic and anime styles, 3D art work, and tutorial.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/M", "url": "http://www.theartz.net/MW/"} +{"d:Title": "Nu Manga Artist", "d:Description": "Yahoo! club where manga and anime artists can talk and share their work.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/N", "url": "http://members.tripod.com/shinji_2029/"} +{"d:Title": "Noux's Corner", "d:Description": "Sketches, anime inspired art, original drawings, and gift works. [English and French]", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/N", "url": "http://nouxanimeart.free.fr/"} +{"d:Title": "Organized Confusion", "d:Description": "Fan art for sale based on Gundam Wing, Lodoss, and Dragon Ball.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/O", "url": "http://fanartsale.0catch.com/Pages/ArtHomePages.htm"} +{"d:Title": "Organic Metal", "d:Description": "Contains original drawings and artwork, Adobe Photoshop tutorials, forum and weblog.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/O", "url": "http://www.organicmetal.co.uk/"} +{"d:Title": "Pencil Town", "d:Description": "Drawings by various artists. Neon Genesis Evangelion, Yuu Yuu Hakusho, Rurouni Kenshin, and Final Fantasy. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/P", "url": "http://www.angelfire.com/anime/fanarts/"} +{"d:Title": "Pimpingly Evil", "d:Description": "Art from Sailor Moon, Gundam Wing, Fushigi Yuugi, Evangelion, Utena. Original art, guest art, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/P", "url": "http://www.angelfire.com/nv/JunJun/"} +{"d:Title": "Panda-Chimp Comics", "d:Description": "Trigun and Sailor Moon fan art, as well as original character works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/P", "url": "http://www.angelfire.com/nj3/pandachimp/"} +{"d:Title": "Platina", "d:Description": "Original and fan art, profile, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/P", "url": "http://mizuageyo.tripod.com/"} +{"d:Title": "Risu-chan's Nest", "d:Description": "Original and fan art as well as a diary and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/R", "url": "http://www.skwerlyonline.com/"} +{"d:Title": "Rays of Joy Jam", "d:Description": "Variety of original and fan works. Also contact information and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/R", "url": "http://lazy.evilsmile.net/"} +{"d:Title": "Studio Spiff : Main Page", "d:Description": "Anime Fan art from multiple series. Also, guest art and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://bladeangel.tripod.com/testing_2.html"} +{"d:Title": "Sammi and Jessi's Toga Party", "d:Description": "J-Rock fan art and original art. Also, art from Gundam Wing, Ranma 1/2, Final Fantasy, Angel Sanctuary, and Saber Marionette J.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://www.angelfire.com/anime/sammi/"} +{"d:Title": "Sailorart", "d:Description": "Galleries feature Sailor Moon, Tenchi Muyo, and original art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://www.angelfire.com/anime2/sailorart/"} +{"d:Title": "S.O.D.A.", "d:Description": "Works from Gundam Wing, Digimon, Dragon Ball Z, Time Stranger Kyoko as well as original works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://www.angelfire.com/anime/utenanomiko/"} +{"d:Title": "Studio Usagi", "d:Description": "Works including Card Captor Sakura, Sailor Moon, and Digi Charat.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://studiousagi.tripod.com/"} +{"d:Title": "Space Cat Samba", "d:Description": "Features collections of digitally created artworks and Winamp skins.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://www.spacecatsamba.com/"} +{"d:Title": "Sergio Quijada", "d:Description": "Contains drawings done by pencil inspired by american comics. Also contains some Flash animations.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://www.sergioquijada.cl/"} +{"d:Title": "Studio Kawaii Site", "d:Description": "Fanart drawn and coloured by twins in Spain.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://www.stkawaii.com/"} +{"d:Title": "Sunnie Art Page", "d:Description": "Original manga and art, fan art, fiction, shrines to Sephiroth, Nakago, Kaworu and Id, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/S", "url": "http://hack-slash.com/"} +{"d:Title": "Tim's Art Gallery", "d:Description": "Several anime drawings and other sketches.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/T", "url": "http://www.angelfire.com/ca6/tdawg/timsart.html"} +{"d:Title": "Transcendence", "d:Description": "Fan art from anime, manga and video games. Original drawings, gifts, and doujinshi.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/T", "url": "http://nightshroud.tripod.com/"} +{"d:Title": "Tenshi no Megumi", "d:Description": "CG original and fan art, as well as guest artwork and convention photos.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/T", "url": "http://www.angelfire.com/anime/sailorrinoa/"} +{"d:Title": "Under the Sakura Tree", "d:Description": "Fan and original art, doujinshi, personal insights and information, links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/U", "url": "http://underthesakuratree.iwarp.com/"} +{"d:Title": "Utena's Fanart Gallery", "d:Description": "Drawings from Sailor Moon, Utena, Fushigi Yuugi, Kenshin, and some original art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/U", "url": "http://revogutena.tripod.com/index.html"} +{"d:Title": "Victoria's Anime Fan Art", "d:Description": "Original and gift art. Drawings from Rurouni Kenshin, Fatal Fury, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/V", "url": "http://www.angelfire.com/ab2/victoriasanimefanart/"} +{"d:Title": "Valhalla", "d:Description": "Original and fan art as well and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/V", "url": "http://www.members.tripod.com/Samoth_N/main.html"} +{"d:Title": "Vhea - Beatriz Gonzalez Online Portfolio", "d:Description": "Illustration gallery featuring original art along with Naruto, Soul Calibur, Pirates of the Caribbean, Metroid Prime, Ragnarok, Tales of Symphonia, Chrono Cross and Star Wars fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/V", "url": "http://www.beagonzalez.com/"} +{"d:Title": "Video Game/Anime Fan Art Ring", "d:Description": "For sites featuring art from anime or games.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/Web_Rings", "url": "http://www.webring.org/hub/fanart"} +{"d:Title": "Anime Fan Art Web Ring", "d:Description": "All anime fan artists are welcome.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/Web_Rings", "url": "http://www.webring.org/hub/anime"} +{"d:Title": "Zoe Tsukino's Fan Art", "d:Description": "Fan fiction related pictures, Sailor Moon, Vampire Princess Miyu and other miscellaneous anime art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Art/Z", "url": "http://ztsukino.tripod.com/fanart/"} +{"d:Title": "Everything Anime", "d:Description": "Designed for fans of anime includes submissions of fan art, fanfics, multimedia, and a forum.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/anime4/cisern/"} +{"d:Title": "Andrew Huang- Links and Own Work", "d:Description": "Andrew Huang's links to anime works along with his commentary on the sites he lists and his own works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://www.hcs.harvard.edu/~alhuang/anime/fanfic/"} +{"d:Title": "Angus MacSpon's Fan Fiction", "d:Description": "Works written by the webmaster, based on Sailor Moon, Ranma 1/2, and other series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://shell.ihug.co.nz/~macspon/fanfic/"} +{"d:Title": "All Anime Fan Fiction Archive", "d:Description": "Crossover and regular works. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://www.angelfire.com/anime2/animefanfic/"} +{"d:Title": "The Anime Fanfic Index", "d:Description": "Collection of anime fan fictions. Writings listed by invitation only.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://darkness.and.light.tripod.com/"} +{"d:Title": "The Anime Shrine - Fanfiction Archive", "d:Description": "Fiction sorted by title and theme. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://fanfiction_shrine.tripod.com/"} +{"d:Title": "Anime Nonsense", "d:Description": "Gundam Wing, Sailor Moon, Ranma and Tenchi Muyo works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://members.tripod.com/~anime_nonsense/index.html"} +{"d:Title": "Anime Perspective Fanfiction", "d:Description": "Has Slayers, Lost Universe, Gundam Wing, Samurai Troopers and Neon Genesis Evangelion works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://anime_perspective.tripod.com/Fics/"} +{"d:Title": "Anime Shack: Anime Fan Fiction and Fan Fics", "d:Description": "Contains fan fiction for dozens of series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/A", "url": "http://www.animeshack.com/fan_fiction/index.shtml"} +{"d:Title": "Between Laughter and Forgetting", "d:Description": "Ranma, Pokemon and anime crossover works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/B", "url": "http://schimmel.sandwich.net/fanfic/findex.html"} +{"d:Title": "Blue and White Stripes", "d:Description": "Original anime-style stories.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/B", "url": "http://strider166.tripod.com/"} +{"d:Title": "B-chan's Corner", "d:Description": "Features a work entitled \"The Ultimate Challenge\" in which characters from Ranma, Sailor Moon, Dragonball, Pokemon, Digimon and Final Fantasy IX compete against each other.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/B", "url": "http://ultimatechallenge.i8.com/"} +{"d:Title": "Concerning Flight", "d:Description": "Contains Pokemon, Sailor Moon and other stories.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/C", "url": "http://www.angelfire.com/anime3/concerningflight/"} +{"d:Title": "Circle Gynocrat", "d:Description": "Features a Yu Yu Hakusho yaoi doujinshi called Blood In Snow, written by Tina Anderson and Drawn by Misty Schmitt. Also fiction inspired by fan art drawn by NAGA.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/C", "url": "http://gynocrat.org/"} +{"d:Title": "Dream a Little Dream", "d:Description": "Works from Gundam Wing, Sailor Moon, Yuu Yuu Hakusho, X/1999, and Card Captor Sakura. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/D", "url": "http://www.angelfire.com/anime3/yosei/dream.html"} +{"d:Title": "DragonBlond 04's Chibi Domain", "d:Description": "Fiction separated by series. Includes Gundam Wing, Card Captor Sakura, Digimon, and Pok\u00e9mon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/D", "url": "http://dragonblond_04.tripod.com/"} +{"d:Title": "Destiny's Gateway Romance Fanfiction Archives", "d:Description": "Archive of romance stories based on various series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/D", "url": "http://www.destinysgateway.com/"} +{"d:Title": "Eyrie Productions Unlimited", "d:Description": "Large producer of anime works. Several series including Street Fighter and Neon Genesis Evangelion.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/E", "url": "http://www.eyrie.net/"} +{"d:Title": "Eric Oh's Anime Fanfic Page", "d:Description": "Fiction from Ranma 1/2, Bastard, and Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/E", "url": "http://www.angelfire.com/anime2/ericheeohfic/index.html"} +{"d:Title": "Eternal Light's Homepage", "d:Description": "Original series created by Laney Sanchez. Features versions in English and with Japanese terms.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/E", "url": "http://garnetofalexandria.tripod.com/eternal.html"} +{"d:Title": "Fanfic Authors Spotlight", "d:Description": "Exploring the minds of fan authors through interviews and essays.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/F", "url": "http://www.thekeep.org/~tls/spotlight.htm"} +{"d:Title": "Firecat Fanfics", "d:Description": "X, Wei\u00df Kreuz, and Please Save My Earth- multi-chapter works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/F", "url": "http://www.firecat.net/fanfics/"} +{"d:Title": "Fanfiction Mailing List", "d:Description": "The official FAQ for the FFML.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/F", "url": "http://webpages.csus.edu/~seifertv/ffml/"} +{"d:Title": "The Home of Darkness and Light", "d:Description": "Fiction from Ranma 1/2, Neon Genesis Evangelion, Pok\u00e9mon, and crossovers.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/H", "url": "http://www.angelfire.com/sd/darknessandlight/index.html"} +{"d:Title": "Hedge Net Anime Fan Fiction", "d:Description": "Stories by multiple authors based on series including the Bubblegum Crisis, Evangelion, Ranma 1/2, and Sailor Moon. Related links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/H", "url": "http://www.jhedge.com/story/anime/"} +{"d:Title": "ImproFanfic", "d:Description": "Anime-themed improvisational fiction. Every week a new part of each story is posted, written by a different author.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/I", "url": "http://www.improfanfic.com/"} +{"d:Title": "Insane Musings", "d:Description": "CLAMP, Detective Conan, Gundam Wing, Pokemon, Ranma 1/2, Revolutionary Girl Utena, and Sailor Moon stories written by Jaelle and Orla.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/I", "url": "http://homepages.ihug.co.nz/~jaelle/Jaelle_Orla.htm"} +{"d:Title": "Jada's Fanfiction Cove", "d:Description": "Gundam Wing, Yu Yu Hakusho and Ranma works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/J", "url": "http://www.angelfire.com/az/jadahome/"} +{"d:Title": "A Kagami Archive", "d:Description": "Rurouni Kenshin, Maison Ikkoku, Slayers, Tenchi and notably the Ranma based \"An Awakening of Demons.\"", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/K", "url": "http://www.csus.edu/indiv/s/seifertv/kagami/"} +{"d:Title": "Kaeera's Fanfiction Archive", "d:Description": "Fiction from Digimon, Ranma, and the American cartoon Mighty Ducks. Also features poetry.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/K", "url": "http://www.angelfire.com/comics/kaeera/"} +{"d:Title": "Kagayaki Ame's Journal", "d:Description": "Romantic fiction from Utena, Slayers, Sailor Moon, and Rurouni Kenshin.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/K", "url": "http://amechou.tripod.com/"} +{"d:Title": "Koneko Pavilion", "d:Description": "Sailor Moon, Rurouni Kenshin, and Yu-Gi-Oh works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/K", "url": "http://www.koneko.org/authoress/ff/"} +{"d:Title": "L2 Colony", "d:Description": "Sailor Moon and Gundam Wing fiction. Listed according to author name.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/L", "url": "http://l2col.tripod.com/"} +{"d:Title": "A Long Strange Webpage", "d:Description": "An archive of authors from the Fanfiction Mailing List and their works, including links and images.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/L", "url": "http://nekohanten.tripod.com/Fureimuzu/frames.htm"} +{"d:Title": "M Productions", "d:Description": "Ranma 1/2, Tenchi Muyo, Evangelion, Bubblegum Crisis, and Street Fighter fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/M", "url": "http://www.thekeep.org/~rpm/fanfic/fics.htm"} +{"d:Title": "Mayonaka Majik", "d:Description": "Writings from Yami no Matsuei, Wei\u00df Kreuz, and Card Captor Sakura. Some shounen-ai content.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/M", "url": "http://mayonaka-majik.50megs.com/"} +{"d:Title": "Mind Fan Fic Fan Club", "d:Description": "Think of yourself as a fiction character. Member list, how to join, rules, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/M", "url": "http://www.angelfire.com/anime3/mfffc/index.html"} +{"d:Title": "Meeshy's Webpage of Fanfiction and Other Scrawlings", "d:Description": "Home to Slayers and Sailor Moon works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/M", "url": "http://members.tripod.com/yumeko_hime/"} +{"d:Title": "Matt's Page of Stuff", "d:Description": "Humorous and dramatic fiction from Dragon Ball Z and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/M", "url": "http://www.angelfire.com/rpg/MATTSPAGE/"} +{"d:Title": "Madamhydra's Lair", "d:Description": "Fiction from Ranma, Kenshin, Gundam Wing and Final Fantasy.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/M", "url": "http://www.madamhydra.net/"} +{"d:Title": "Moon Goddess's Palace", "d:Description": "Fiction from Dragon Ball Z and Card Captor Sakura. Also features submitted works and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/M", "url": "http://moon_goddess88.tripod.com/"} +{"d:Title": "Neoqueen's Fanfiction Base", "d:Description": "Fiction from different series, including Sailor Moon, Escaflowne, and Magic Knight Rayearth. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/N", "url": "http://www.angelfire.com/stars3/neoqueen/index.html"} +{"d:Title": "Oddzilla Productions: Anime Fanfiction", "d:Description": "One-shots and series based on Ranma 1/2; stories from Evangelion, Samurai Shodown, Ah! Megami-Sama!, and Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/O", "url": "http://www.hcs.harvard.edu/~alhuang/anime/fanfic/myfics/"} +{"d:Title": "Otaku Dedicated to Quality Anime Fanfiction", "d:Description": "Fiction separated by series. Includes submission rules.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/O", "url": "http://www.angelfire.com/anime3/otaku_no_hime/"} +{"d:Title": "The Priest - A Fan Fiction Archive", "d:Description": "Sailor Moon, Dragonball Z, Ronin Warriors, Vampire Hunter D, Tenchi Muyo, Gundam Wing and Trigun works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/P", "url": "http://www.angelfire.com/anime2/priest2010/"} +{"d:Title": "Pyro's Anime Archive", "d:Description": "Fiction for Sailor Moon, Ronin Warriors, Dragon Ball Z, and Gundam Wing. Also includes Japanese dictionary and image gallery.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/P", "url": "http://www.angelfire.com/gundam/ladypyro3/index1.html"} +{"d:Title": "Pocket Monsters: Ai no Natsu", "d:Description": "Pokemon/Sailor Moon crossover story.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/P", "url": "http://www.angelfire.com/anime4/ainonatsu/"} +{"d:Title": "Platypus3333's Stuff", "d:Description": "Evangelion and Pokemon fiction and miscellaneous observations.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/P", "url": "http://platypus3333.tripod.com/"} +{"d:Title": "A Rayne Storm: One Writer Unblocked", "d:Description": "Contains works from Voltron, Dragonball Z, and other non-anime series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/R", "url": "http://www.angelfire.com/ny/writerunblocked/index.html"} +{"d:Title": "Rec.Arts.Anime.Creative Archive", "d:Description": "Officially maintained ftp archive of the newsgroup Rec.Arts.Anime.Creative.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/R", "url": "ftp://ftp.cs.ubc.ca/pub/local/edmonds/anime-fan-works/"} +{"d:Title": "Sean Gaffney's Webpage", "d:Description": "Works based on many anime titles.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/S", "url": "http://www.thekeep.org/~sean/"} +{"d:Title": "The Shrine of Chaota Company", "d:Description": "Fiction site for Sonic the Hedgehog, Robotech, and Gundam Wing. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/S", "url": "http://www.angelfire.com/scifi/shrineofchaota/"} +{"d:Title": "Stephanie's Anime Fan Fiction Collection", "d:Description": "Stories from Rurouni Kenshin, Gundam Wing, Marmalade Boy, and Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/S", "url": "http://kawaiikenshin.tripod.com/fanfic.html"} +{"d:Title": "Sarcasm Unmasked", "d:Description": "Contains Fushigi Yuugi and Gundam Wing fictions.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/S", "url": "http://www.angelfire.com/anime2/shunchan003/index.html"} +{"d:Title": "Sekai no Anime", "d:Description": "Magic Knights Rayearth, Fushigi Yuugi, Gundam Wing, Rurouni Kenshin, Weiss Kruz, and Yuu Yuu Hakusho yaoi fan fiction written by multiple authors. Some adult content.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/S", "url": "http://www.angelfire.com/anime/kaeru/index.html"} +{"d:Title": "Tokoma's Anime Stop", "d:Description": "Pokemon and Ranma works. Also links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/T", "url": "http://www.angelfire.com/anime/tokoma/index.html"} +{"d:Title": "Tenchi in College", "d:Description": "Crossover fiction between Tenchi Muyo, Dragonball Z and Sailro Moon.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/T", "url": "http://www.angelfire.com/anime3/ticfanfics/index.html"} +{"d:Title": "Tailweaver's Chronicles", "d:Description": "Dragonball Z, Ranma and Inuyasha works.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/T", "url": "http://tailweaver.faithweb.com/"} +{"d:Title": "Usagi, a Saiyan Child", "d:Description": "Crossover between Sailor Moon and Dragon Ball Z written by Kichigai Joshi. Over 150 chapters.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/U", "url": "http://www.angelfire.com/anime4/demise/USC/"} +{"d:Title": "The Valley of the Wind", "d:Description": "Ranma 1/2, Evangelion, and RPG works written by Intrasonic. Also contains fan art and related links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/V", "url": "http://www.angelfire.com/on2/intrasonic/"} +{"d:Title": "The Unofficial FFML Fanfiction Ring", "d:Description": "Guidelines, HTML fragments, over 130 sites.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/Web_Rings", "url": "http://www.webring.org/hub?ring=ffmlring"} +{"d:Title": "WebRing: Anime Fan Fiction Ring", "d:Description": "Open to any anime fiction sites. Over 600 listings.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/Web_Rings", "url": "http://www.webring.org/hub/fanfiction"} +{"d:Title": "Yaoi Hypnosis", "d:Description": "Several yaoi fan fictions.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/Y", "url": "http://yaoihypnosis.8k.com/"} +{"d:Title": "Yoake Halo's Fan Fic Palace", "d:Description": "Dragonball Z, Ronin Warriors, Sailor Moon, and Devil Hunter Yohko works written by multiple authors.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/Y", "url": "http://yoake72.tripod.com/"} +{"d:Title": "Zero's Fanfiction Website", "d:Description": "Gundam Wing, Sailor Moon and Dragonball Z stories.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Fan_Fiction/Z", "url": "http://www.angelfire.com/games2/zeldamm/"} +{"d:Title": "Artgolem Gallery", "d:Description": "Original and fan art, including an online comic in progress.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/A", "url": "http://well-of-souls.com/artgolem/"} +{"d:Title": "Akui", "d:Description": "Sketches, original characters and fan art, and Phantasy Star doujinshi.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/A", "url": "http://www.ill-intent.com/"} +{"d:Title": "BlackCat", "d:Description": "The auroreblackcat's homepage. Color original illustrations, manga on line, sketchs, fan-art, tutorials, diary, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/B", "url": "http://auroreblackcat.free.fr/"} +{"d:Title": "Blaster", "d:Description": "Original and fan art sketches.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/B", "url": "http://c30blaster3.tripod.com/"} +{"d:Title": "Bjoink's Webpage!", "d:Description": "Artworks, illustrations and sketches.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/B", "url": "http://joinks1.tripod.com/"} +{"d:Title": "Blackmoontides", "d:Description": "CGed art, black and white, photos, oekaki, commissions, along with artist and work information.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/B", "url": "http://www.blackmoontides.com/"} +{"d:Title": "Charles Chen: Original Manga Designs", "d:Description": "Original sketches, manga, and photographs. [popups]", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/C", "url": "http://www.angelfire.com/anime/un1ty/"} +{"d:Title": "Crushed Paper", "d:Description": "Original artwork focusing on faces and figures by Wei Keong.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/C", "url": "http://www.crushedpaper.com/"} +{"d:Title": "Disanthus", "d:Description": "Original and fan art, traditional paintings as well as digital creations and sculptures.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/D", "url": "http://www.disanthus.com/"} +{"d:Title": "EXIT", "d:Description": "Manga-style illustrations by Japanese artist Taro. In English and Japanese.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/E", "url": "http://ntjank7.pekori.to/noiz/"} +{"d:Title": "Enriko's Sketchbook", "d:Description": "Fan art, original art, planes, animations, figure drawing and landscapes. Ghibli influenced.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/E", "url": "http://www.enricocasarosa.com/"} +{"d:Title": "Ephemeral Emancipation", "d:Description": "Oekaki and CG art, photography, and message board.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/E", "url": "http://ii.imess.net/"} +{"d:Title": "Forgotten Legend Unit 2", "d:Description": "Artwork and personal photographs.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/F", "url": "http://zorb_king.tripod.com/"} +{"d:Title": "Factory Law", "d:Description": "Primarily pen and ink character studies, mecha, and a small Gunnm fan art gallery. Artist profile, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/F", "url": "http://factorylaw.s5.com/"} +{"d:Title": "Felaxx's Gallery", "d:Description": "Comics, illustrations, and animation by Amy Kim Ganter", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/F", "url": "http://www.felaxx.com/"} +{"d:Title": "Guardianfox.net", "d:Description": "Anime, art, and foxes. Anime-style original art, furrie art, and anime fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/G", "url": "http://www.guardianfox.net/"} +{"d:Title": "Geo Holyhart", "d:Description": "Dedicated to original and fan anime art. Also contains manga and tutorials.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/G", "url": "http://geoholyhart.freehostia.com/"} +{"d:Title": "Hanamaru Weekly", "d:Description": "\"Mangazine\" created by a professional group of Japanese manga artists. Online manga, tutorials, and games. [French, Japanese, English, Russian, German and Spanish]", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/H", "url": "http://www.cybermanga.com/"} +{"d:Title": "Iinja Nai's Anime Web Page", "d:Description": "Original art work. Color as well as black and white.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/I", "url": "http://iinja_nai.tripod.com/"} +{"d:Title": "John's Sketches", "d:Description": "Pencil sketches of mecha and anime by John Brassell.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/J", "url": "http://www.angelfire.com/art2/blue_severin/"} +{"d:Title": "Jimmy's World Graphics and Comics", "d:Description": "Gallery, commissions, artist profile, and information on the Romancing Dragon series.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/J", "url": "http://www.jimmysworld.net/"} +{"d:Title": "Jason's Cat Girls", "d:Description": "Cat girl images, adoptions, forum, and oekaki.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/J", "url": "http://www.catgirlz.com/"} +{"d:Title": "Knight-Edge", "d:Description": "Self-published manga by Gary Lau about ninja assassin Daenon Merrick. Preview art, ordering, and contact information.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/K", "url": "http://www.knight-edge.com/"} +{"d:Title": "Lola Palacios Art", "d:Description": "Shoujo-style original art. Also winamp skins, wallpaper, fan art, oekaki art, tutorials, and links. In English and Spanish.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/L", "url": "http://www.lolaart.net/"} +{"d:Title": "Lowroad75", "d:Description": "Gallery of Carlos Gonzalez.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/L", "url": "http://lowroad75.comicgenesis.com/"} +{"d:Title": "Matthew Garrett Brown's You Call That Art Gallery", "d:Description": "Original anime-style science fiction and fantasy artwork.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/M", "url": "http://www.angelfire.com/realm/coldboot/"} +{"d:Title": "MortiStar Alliance Anime", "d:Description": "Original and fan art and online comic.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/M", "url": "http://pstarclan.tripod.com/artgame/comics.htm"} +{"d:Title": "Mirana's Mythology", "d:Description": "Fan and original works by Mirana Reveier.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/M", "url": "http://www.hikarikat.com/mirana/"} +{"d:Title": "Midnight Dreaming", "d:Description": "Personal site for anime art and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/M", "url": "http://www.angelfire.com/fl5/bluefoca/midnight.html"} +{"d:Title": "Muto Anime", "d:Description": "Original anime artwork, comics, drawing tutorials, and game downloads.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/M", "url": "http://www.mutoanime.com/"} +{"d:Title": "Mako Fufu\u00b4s Crystal Empire", "d:Description": "Original and fan galleries in CG and traditional media. Includes commission information, works published, oekaki, forum, and graphics. [English / Spanish]", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/M", "url": "http://www.makofufu.com.ar/"} +{"d:Title": "Manzo", "d:Description": "Anime/manga type illustrations by Manzo. Including CGs, colored, sketches, and oekakis.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/M", "url": "http://manzo.deviantart.com/"} +{"d:Title": "Not of the World Design", "d:Description": "Original color works and personal information.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/N", "url": "http://notwdesign.tripod.com/"} +{"d:Title": "Not Quite Right Art", "d:Description": "Gothic-styled artwork.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/N", "url": "http://members.tripod.com/filter_fan2/"} +{"d:Title": "Nummyz", "d:Description": "Dress up games, love dating sims, movies, love stories, website portfolio and forum.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/N", "url": "http://nummyz.com/"} +{"d:Title": "OkumAnime", "d:Description": "Project list, published works and RPG information.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/O", "url": "http://www.angelfire.com/anime/okumanime/"} +{"d:Title": "Ochiba.Net", "d:Description": "An oekaki community using Oekaki, ArtBBS and PaintChat. Fanart is welcome; however original art is preferred. Includes an art game to help people come up with characters.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/O", "url": "http://www.ochiba.net/"} +{"d:Title": "Pchans Page O Art", "d:Description": "Original art and characters, as well as comics.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/P", "url": "http://kiyonetn.tripod.com/"} +{"d:Title": "Pinky Character Art", "d:Description": "Artwork featuring original characters by Michael John Morris.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/P", "url": "http://www.angelfire.com/ego/ozoneocean/"} +{"d:Title": "P16", "d:Description": "Girls and magic in pencil and photoshop. Also contains an original comic.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/P", "url": "http://www.angelfire.com/scary/p16/"} +{"d:Title": "Panda Pad", "d:Description": "Specializes in anime/manga style illustrations.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/P", "url": "http://pandapad.com/"} +{"d:Title": "Revengeful Lobster", "d:Description": "Original and fanart sketches.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/R", "url": "http://www.revengefullobster.bravepages.com/"} +{"d:Title": "Snow", "d:Description": "Anime styled drawings in color and black and white. [English/French]", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/S", "url": "http://www.angelfire.com/weird/snow/"} +{"d:Title": "Sketch City", "d:Description": "Original and fan/copied sketches by a young girl, and maintained by a parent. Also includes writing.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/S", "url": "http://sketchcity.tripod.com/"} +{"d:Title": "Spider's Soul - Online Art Gallery by Cris Ortega", "d:Description": "Realistic digital art and comics. Doujinshi for sale online.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/S", "url": "http://crisortega.com/"} +{"d:Title": "Si-Arts Creations", "d:Description": "Original and fan art, as well as manga pages.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/S", "url": "http://www.si-arts.nl/"} +{"d:Title": "True Color", "d:Description": "Various color and black and white works, supplies used, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/T", "url": "http://www.angelfire.com/anime2/mangaschool/"} +{"d:Title": "Tavis Harts' Gallery", "d:Description": "Anime, fantasy and videogame fanart by Heather Dennis. Also sells prints and commissions.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/T", "url": "http://tavisharts.kamiki.net/main.htm"} +{"d:Title": "Untitled Art", "d:Description": "Original anime-style sketches and graphics.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/U", "url": "http://sushi.bebto.com/"} +{"d:Title": "Anime Art Goddess Web Ring", "d:Description": "Sites with a majority of original artwork. List of sites, statistics, and how to join.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Original_Art/Web_Rings", "url": "http://www.webring.org/hub?ring=animeartgoddess"} +{"d:Title": "WebRing: Shoujo Kakumei Utena", "d:Description": "For the makers of anime/manga art, original art, and fanfiction.", "topic": "Top/Arts/Animation/Anime/Fandom/Fan_Works/Web_Rings", "url": "http://www.webring.org/hub/skutena"} +{"d:Title": "The Garden of Anime", "d:Description": "Slayers, Fushigi Yuugi, Ice Blade, Kodomo no Omocha, Mermaid Saga, Urusei Yatsura, Mahou Tsukai Tai, Magic Knight Rayearth, Pok\u00e9mon, and introduction to anime.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://celesstar.osiriscomm.com/anime/anime.html"} +{"d:Title": "Gally's Anime Hangout", "d:Description": "Sailor Moon, Blade of the Immortal, Rayearth, Ah! My Goddess, Tenchi images, links, poll, profiles and links.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://www.angelfire.com/anime/Gally/"} +{"d:Title": "Galaxy Anime.org", "d:Description": "Images, postcards, fan art, adoptions, links, and multimedia from a variety of anime.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://sathotmis9.tripod.com/index.html"} +{"d:Title": "Giovanni's Evil Empire", "d:Description": "Poll, fan fiction, and character profiles from Pok\u00e9mon and Dragon Ball Z.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://www.angelfire.com/pokemon/azrael/"} +{"d:Title": "Galaxy Anime", "d:Description": "Zelda-based sprite comic and Dragonball Z images and humor.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://www.angelfire.com/anime4/saiyanprincess07/"} +{"d:Title": "Greg's Page", "d:Description": "Images from Tenchi Muyo, Cowboy Bebop, and Gundam, as well as various published reviews.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://grekomon.freeservers.com/"} +{"d:Title": "Greenbean's Home Page", "d:Description": "Essays and opinions relating to Sailor Moon, and original and submitted fan fiction and art including Sailor Moon, Evangelion, and Ranma.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://gbeans.tyrlen.org/homepage/"} +{"d:Title": "The Girl's Site", "d:Description": "Stories, quizzes, art and biographies about Sonic and Inuyasha.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://girlsite.50megs.com/"} +{"d:Title": "Garnet's Sanctum", "d:Description": "Information about shoujo anime. Contains synopses and reviews of titles in this genre.", "topic": "Top/Arts/Animation/Anime/Fandom/G", "url": "http://cardinalgarnet.tripod.com/"} +{"d:Title": "The House of Lunacy", "d:Description": "Character biographies, fan works, sounds, and images from Sailor Moon and Dragon Ball.", "topic": "Top/Arts/Animation/Anime/Fandom/H", "url": "http://www.angelfire.com/anime/sailorsolarflare/index.html"} +{"d:Title": "Hiei and Yukina's A-Home", "d:Description": "Awards, image galleries, multimedia, and files for Final Fantasy, Evangelion, and Slayers.", "topic": "Top/Arts/Animation/Anime/Fandom/H", "url": "http://koorimetwins.www7.50megs.com/"} +{"d:Title": "Hidden Palace", "d:Description": "Fan art, roleplaying statistics, humor, quotes, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/H", "url": "http://www.angelfire.com/anime/rika/index.html"} +{"d:Title": "Half-Moon Anime", "d:Description": "Information, images and synopsis for several series.", "topic": "Top/Arts/Animation/Anime/Fandom/H", "url": "http://www.angelfire.com/realm/halfmoon/"} +{"d:Title": "High School Life", "d:Description": "Covers Dragonball Z and Inu-Yasha, with fan fiction, fan art, fashions, images, and humor.", "topic": "Top/Arts/Animation/Anime/Fandom/H", "url": "http://fruityammy.tripod.com/"} +{"d:Title": ".hack//Rurouni", "d:Description": "Content about .hack and Rurouni Kenshin including character profiles, fan art, and fan fiction articles.", "topic": "Top/Arts/Animation/Anime/Fandom/H", "url": "http://www.angelfire.com/anime6/animefanatic0/"} +{"d:Title": "InsAnime", "d:Description": "Information, news, and multimedia for Dragon Ball and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/I", "url": "http://www.angelfire.com/fl4/MrGotenks/"} +{"d:Title": "Japanese Animation Section", "d:Description": "Images and information. Slayers, Yu Yu Hakusho, Utena, Sailor Moon, City Hunter, and other series.", "topic": "Top/Arts/Animation/Anime/Fandom/J", "url": "http://japacartoon.8m.com/"} +{"d:Title": "Junboy's Canteloupe Sanctuary", "d:Description": "Sections on Monster Rancher, Cowboy Bebop, and Digimon. Fan fiction, profiles, fan art, MP3s, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/J", "url": "http://junboy0.tripod.com/dframe.htm"} +{"d:Title": "Japanimation Infatuation", "d:Description": "Fan fiction and art, writings, Pocket Bishounen page, and links page.", "topic": "Top/Arts/Animation/Anime/Fandom/J", "url": "http://www.angelfire.com/anime4/infatuation/"} +{"d:Title": "Keiko's J-pop and Anime Page", "d:Description": "Final Fantasy 7 and 8 information and images, shrine to Sephiroth. Sailor Moon images, poll and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/ns/iluvjapan4everanime/"} +{"d:Title": "Kelvin's Domain", "d:Description": "Dragonball, Slamdunk, Final Fantasy VII, and Tamagotchi images, character profiles, information, downloads and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://keldom.tripod.com/"} +{"d:Title": "Ki Tenno's House of Anime", "d:Description": "Links, character profiles, poetry, fan fiction and music.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/anime/sailorsaturn9/"} +{"d:Title": "Ketch's Anime Palace", "d:Description": "Fan fiction, character biographies, and episode lists for Pok\u00e9mon, Cardcaptors, Gundam, and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/pokemon/moonketch/"} +{"d:Title": "Kimballa.com", "d:Description": "Message board, music videos, and information on Dragon Ball Z, Gundam Wing, and Outlaw Star. [Flash]", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.kimballa.com/"} +{"d:Title": "Kiabud's Gundam Dragonball Center", "d:Description": "Character information and images from Dragon Ball Z and Gundam Wing. Fan fiction, animations, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/bc/kiabudspage/"} +{"d:Title": "KayJayGee", "d:Description": "Wallpapers, fan art, WinAmp skins, information on video and computer games, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/anime3/kayjaygee/"} +{"d:Title": "Koneko Studios", "d:Description": "Humorous tips for watching anime, reviews, fan works, recipes, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://konekostudios.tripod.com/"} +{"d:Title": "KisakiAmatsu: Imperial Empress of Space", "d:Description": "Images, music, and multimedia for Gundam Wing and Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/anime2/kisakiamatsu/"} +{"d:Title": "Kim's Anime Page", "d:Description": "Image galleries from Fushigi Yuugi, Rurouni Kenshin, and Gundam Wing. Also has fan works and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://animegurl2285.tripod.com/"} +{"d:Title": "Kage", "d:Description": "Profiles and information on anime villains, adoptions, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/anime3/darkness/"} +{"d:Title": "Keiya's Tenpouin Clan Anime Page", "d:Description": "Fan fiction, music videos, images, and humor for multiple anime.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/anime2/castercarrier1/index.html"} +{"d:Title": "Kerenza's Site, on Just About Everything", "d:Description": "Images, profiles, and basic series information from Magic Knight Rayearth and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/anime4/cutewashuu/"} +{"d:Title": "KMP Nummiest Collectives", "d:Description": "Offers humor, MP3s, profiles of sidekick animals, the perfect bishounen, gaming information, original manga, awards, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.angelfire.com/realm/nummyz/"} +{"d:Title": "Kurokumo.com", "d:Description": "General image gallery, Sailor Moon fan fiction, convention reports, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://www.kurokumo.com/"} +{"d:Title": "The Kawaii Korner", "d:Description": "Anime movie reviews, original characters, and artwork.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://flipcat6.tripod.com/"} +{"d:Title": "K-Bug Anime", "d:Description": "News, image galleries, rants, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/K", "url": "http://capsule-j.tripod.com/"} +{"d:Title": "Lady Celeste's Anime Page", "d:Description": "Fushigi Yuugi, Sailor Moon, X and Ronin Warriors: images, links, fan works, music, poems, lyrics and quotes.", "topic": "Top/Arts/Animation/Anime/Fandom/L", "url": "http://ravendark.iwarp.com/"} +{"d:Title": "Louise's Homepage", "d:Description": "Pokemon, Fushigi Yuugi, and Final Fantasy images, fan fiction, and polls.", "topic": "Top/Arts/Animation/Anime/Fandom/L", "url": "http://party_louise.tripod.com/partylouise/index.html"} +{"d:Title": "Lelola", "d:Description": "Information, episode guides, and image galleries from series including Dragon Ball Z, Escaflowne, Digimon, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/L", "url": "http://www.lelola.net/"} +{"d:Title": "Love Vs. Peace", "d:Description": "Picture gallery and wallpapers.", "topic": "Top/Arts/Animation/Anime/Fandom/L", "url": "http://www.lovepeace.fcpages.com/"} +{"d:Title": "Linake's Anime-tion Art", "d:Description": "Cels/genga from anime series, fan art, blog, and large collection of voice actor autographs.", "topic": "Top/Arts/Animation/Anime/Fandom/L", "url": "http://linake.rubberslug.com/gallery/home.asp"} +{"d:Title": "My Anime Page", "d:Description": "Fan fiction, image galleries, character profiles, and links from Dragonball Z, Ronin Warriors, Armitage III, Sailor Moon, Tenchi, Gundam Wing, and Ghost in the Shell.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.angelfire.com/ri/wl/"} +{"d:Title": "Merline's Personal Homepage", "d:Description": "Images and theme song lyrics from Sailor Moon, Evangelion, Yu Yu Hakusho, Rurouni Kenshin, and Flame of Recca.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.angelfire.com/journal/mylife18/"} +{"d:Title": "Make a Brilliant Star Shine in my Heart", "d:Description": "Images, songs, movies, and fan fiction from Gundam Wing, Sailor Moon, Blue Submarine 6, and Fushigi Yuugi.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://serisy_maxwell.tripod.com/sersiyaswebsite/"} +{"d:Title": "The Melancholy Refuge", "d:Description": "Character profiles, fan art, personal information and links.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.angelfire.com/anime/refuge/f.html"} +{"d:Title": "Misty's Pokemon and Digimon Gym", "d:Description": "Character profiles, card and TV information.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.angelfire.com/ab2/moltres13/index.html"} +{"d:Title": "My Universe", "d:Description": "Information, pictures, and links for Rurouni Kenshin and Dragon Ball Z.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://my-universe.20fr.com/"} +{"d:Title": "Mirei's Kawaii Anime Sites", "d:Description": "MIDIs, profiles, and images from Gundam Wing, Sailor Moon, and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.angelfire.com/anime2/AnimeSites/"} +{"d:Title": "My Anime", "d:Description": "Features images and brief overview of several anime series, including Dragon Ball Z, Sailor Moon and Tenchi.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://chiknboy90000.tripod.com/"} +{"d:Title": "The Magical Land of Fluff and Anime", "d:Description": "Information on various anime titles, Japanese vocabulary, and manga.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.angelfire.com/anime2/tundramara/"} +{"d:Title": "My Animanga", "d:Description": "Bubblegum Crisis, El Hazard, Ninja Scroll, Project A-ko and Sakura Wars information and images.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://roywilliams.50megs.com/animanga.htm"} +{"d:Title": "Mahamari's Universe", "d:Description": "Artwork, information, chat, forums, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.mahamari.com/"} +{"d:Title": "Mike's Anime Open", "d:Description": "Dictionary of commonly used anime terms, anime resource list, introduction into anime, listing of symbolism found in anime, listing of upcoming releases, webmaster's opinions on anime topics, and an anime timeline.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.three-musketeers.net/mike/AnimeOpen.html"} +{"d:Title": "Meiko Anime", "d:Description": "Contains images galleries, lyrics, and fan works.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://meikoanime.tripod.com/"} +{"d:Title": "Manga Brothers", "d:Description": "Original artwork, Oh My Goddess images and information, and recipes.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.angelfire.com/gundam/mangabrothers/"} +{"d:Title": "Mandisa's Everything Page", "d:Description": "Sections on antiheroes and villains, Kia Asamiya, Hana Yori Dango, Fushigi Yuugi, Escaflowne, and Alice 19th.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.flowerstorm.net/disa/"} +{"d:Title": "MoonAnime-Reflections of Anime", "d:Description": "Information, character biographies, summaries, forums and image galleries from various series.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://www.moonanime.com/"} +{"d:Title": "Mako Chan Productions", "d:Description": "Music, images, and information from Utena, Maximum Max, and Hayashibara Megumi.", "topic": "Top/Arts/Animation/Anime/Fandom/M", "url": "http://makoproductions.tripod.com/mpindex.htm"} +{"d:Title": "Neon's Anime Website", "d:Description": "Reviews and pictures of Pok\u00e9mon, Ranma 1/2, Tenchi Muyo, Slayers, Project A-ko, Dragon Ball Z, and others.", "topic": "Top/Arts/Animation/Anime/Fandom/N", "url": "http://www.angelfire.com/mn/techno/index.html"} +{"d:Title": "Night Creature Thing's Anime Junction", "d:Description": "Pages devoted to Dragon Ball Z, Fushigi Yuugi, Gundam Wing, Sailor Moon, Vision of Escaflowne, and Record of Lodoss War.", "topic": "Top/Arts/Animation/Anime/Fandom/N", "url": "http://nightcreaturething.tripod.com/"} +{"d:Title": "Niko-Niko.Net", "d:Description": "Fan art, Winamp skins, web comic, and sites covering Mizuiro Jidai, Tokyo Underground, Katte ni Kaizou, and Cowboy Bebeop.", "topic": "Top/Arts/Animation/Anime/Fandom/N", "url": "http://niko-niko.net/"} +{"d:Title": "Nutzoide's", "d:Description": "Contains reviews, assorted fan works, a glossary of common terms used in anime culture, and anime music videos. Series featured include Ranma 1/2, Sailor Moon, Pretty Sammy, El Hazard and Ah! My Goddess.", "topic": "Top/Arts/Animation/Anime/Fandom/N", "url": "http://www.nutzoide.net/"} +{"d:Title": "Orchard Park Anime", "d:Description": "Series spotlights, wallpaper, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/O", "url": "http://www.angelfire.com/anime/op/"} +{"d:Title": "Onewingedangel Studios", "d:Description": "Collective of sites dealing with anime, manga, and games.", "topic": "Top/Arts/Animation/Anime/Fandom/O", "url": "http://www.onewingedangel.com/"} +{"d:Title": "The Otaku Weekly", "d:Description": "Reviews and summaries for various series.", "topic": "Top/Arts/Animation/Anime/Fandom/O", "url": "http://littlemaphu.tripod.com/"} +{"d:Title": "Operation Anime", "d:Description": "News, images, information, reviews, contests, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/O", "url": "http://www.angelfire.com/anime2/OA/"} +{"d:Title": "PBXAnime.com", "d:Description": "Pictures, multimedia, information, and interactive media for Dragon Ball, Trigun, and Cowboy Bebop.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://www.pbxanime.com/"} +{"d:Title": "The Palace of Quotes", "d:Description": "Quotes, voice actor lists, image galleries, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://www.angelfire.com/dbz/quoteprince/"} +{"d:Title": "Planet Otaku", "d:Description": "Episode guides, lyrics, MP3s, web graphics, news, images, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://www.angelfire.com/anime/PlanetOtaku/"} +{"d:Title": "PokeMecha", "d:Description": "Information on G Gundam, Gundam Wing and Pok\u00e9mon. Pictures, plot information, and news.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://pokemecha.20m.com/"} +{"d:Title": "Pokemon Meets DBZ", "d:Description": "Pok\u00e9mon codes, Dragon Ball picture archive, and news.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://www.angelfire.com/anime/pokemonmeetsdbz/"} +{"d:Title": "Palace Heavens", "d:Description": "Original drawings, fan art, dolls, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://www.angelfire.com/anime3/cravin4candy/index.html"} +{"d:Title": "Pichu and Pikachu's Paradise", "d:Description": "Offers sections on Hamtaro, Dragonball Z, and Pok\u00e9mon.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://www.angelfire.com/pokemon2/mrpikahomepage/index.html"} +{"d:Title": "Philippine Anime Portal", "d:Description": "Song lyrics, user-contributed reviews, message board, and searchable list of links.", "topic": "Top/Arts/Animation/Anime/Fandom/P", "url": "http://www.philanime.com/"} +{"d:Title": "Q's Anime", "d:Description": "Images and animated GIFs, general anime information, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Q", "url": "http://kaiserq.tripod.com/homepage.html"} +{"d:Title": "Ryeka- Anime", "d:Description": "Animated gifs, reviews, pages for El-Hazard, Ah! Megami-Sama, Rurouni Kenshin, Sailor Moon, and Fushigi Yuugi.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/la/Rykea/index.html"} +{"d:Title": "Ron's and Jailen's Anime Etc.", "d:Description": "Links regarding various aspects of fandom.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/md/babaloo/"} +{"d:Title": "RD's Anime Shrine", "d:Description": "Lunar and Dragon Ball images, animations, fan fiction, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/anime2/rdanimes/"} +{"d:Title": "Redphantasm's Realm of Anime", "d:Description": "Gundam Wing, Tenchi, and Sailor Moon images, information, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/scifi/Redphantasm/index.html"} +{"d:Title": "Rhea's Anime Insanity", "d:Description": "Pictures and profiles of her favorite characters, jokes, lyrics, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://rhea_maxwell.tripod.com/"} +{"d:Title": "Ribena and Vodka", "d:Description": "Character shrines, pictures, humor, and fan works for Dragon Ball Z and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/anime3/muhahaha/index.html"} +{"d:Title": "RC's Anime Land", "d:Description": "Image galleries from various series, humor, AOL buddy icons, original works, WAV files, music videos, wallpapers, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/nh/FushigiYuugi/"} +{"d:Title": "Ruki Mikuki", "d:Description": "Image galleries separated by series, fan works, original manga, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/anime3/rukimikuki/"} +{"d:Title": "Reiko's Anime Shrine", "d:Description": "Picture galleries of Full Metal Panic, Samurai Deeper Kyo and Rurouni Kenshin.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/anime6/reikosanimeshrine/"} +{"d:Title": "Red Otaku Anime Fanpage", "d:Description": "Contains information on Cardcaptor Sakura, Dragonball Z/GT, Gundam Wing, Saint Tail and Saiyuki as well as image galleries, fan art, fan fiction articles and profiles.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/realm3/redotaku/"} +{"d:Title": "Rukis Realm", "d:Description": "Art work and fan fiction articles.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/ultra/rukis-realm/"} +{"d:Title": "Ruki's Anime Remembrance", "d:Description": "Pictures and content from the Dragon Ball Z, Sailor Moon, and Ranma 1/2 series.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://www.angelfire.com/anime3/thegreatruki/"} +{"d:Title": "Random0911's Dragon Ball Z/Gundam Wing Page", "d:Description": "Images, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://random0911.tripod.com/index.htm"} +{"d:Title": "Ryo-Ohki's Anime Loft", "d:Description": "Anime and manga reviews.", "topic": "Top/Arts/Animation/Anime/Fandom/R", "url": "http://ryoohkianimeloft.wordpress.com/"} +{"d:Title": "Smashed Ice", "d:Description": "Images, information, and links for various animes such as Cherry Project, Gestalt, and Princess Rouge.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/oh3/C/SI.html"} +{"d:Title": "Sandar's Dimension", "d:Description": "Images, sounds, links and other multimedia from such series as Dragon Ball, Armitage, Iria, and Vampire Hunter D.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.sandarsdimension.com/"} +{"d:Title": "Shadowhand's Gits-O-Fire", "d:Description": "Images and general information about a variety of titles.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/anime/shadowhand/"} +{"d:Title": "Snow Star Productions", "d:Description": "Information on voice acting and fan dubbing, cosplay photographs, and fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://utenaangel.tripod.com/"} +{"d:Title": "A Starlit Anime Realm", "d:Description": "Gundam Wing, Sailor Moon and Ronin Warriors images, character profiles and fan fiction.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/celeb/silentclown/"} +{"d:Title": "Sam's Roadside Page O' Anime", "d:Description": "Anime term guide, Rurouni Kenshin drinking game, humor, reviews, fan art, wallpapers, MIDI files, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/anime3/samspage/"} +{"d:Title": "Super Anime Zone", "d:Description": "Gundam, Pokemon and Dragon Ball Z humor, reviews, quiz and editorials.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/anime2/superzone/"} +{"d:Title": "Sci-fi Anime", "d:Description": "Gundam Wing, Evangelion, and Kenshin character profiles, multimedia, episode guides, and images.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://sfanime.tripod.com/"} +{"d:Title": "Saiyan to the Max", "d:Description": "Images, fan art and other miscellaneous anime information.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/oh3/starwars999333/frames.html"} +{"d:Title": "Shokan: Land of the Summoners", "d:Description": "Sections devoted to CLAMP's works and the Final Fantasy series.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://ascot.50megs.com/"} +{"d:Title": "Snowy's World", "d:Description": "Images, character profiles, and links for Sailor Moon and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/rpg/dreamer/"} +{"d:Title": "Stained in Monochrome", "d:Description": "Images, character profiles, and links for Lain and Wei\u00df Kreuz. Also features fan art, fiction, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/darkside/monochrome/"} +{"d:Title": "Shiroi Tsubasa", "d:Description": "Reviews, MP3s, adoptions, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.shiroitsubasa.com/"} +{"d:Title": "Spectrum Nexus", "d:Description": "Includes reviews, images, online manga scans, and video.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.thespectrum.net/"} +{"d:Title": "Sparkle Shout", "d:Description": "Interpreting anime expressions, comparisons between anime series and Tolkien, fan art, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/wizard/sparkleshout/"} +{"d:Title": "Saiyan Anime Planet", "d:Description": "Dragon Ball and Tenchi Muyo image galleries, episode lists, and fan art.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/anime4/saiyananimeplanet/"} +{"d:Title": "Sweet Dreams", "d:Description": "Original artwork, web design tutorials, and quiz results.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/anime4/sweetdreamer/"} +{"d:Title": "Sailor Moon's Anime Web Page", "d:Description": "Information, character profiles, and animated GIFs for Sailor Moon and Cardcaptors.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/anime3/moonanime/"} +{"d:Title": "Silver Sugar Bunnies", "d:Description": "Character shrines, Gundam Wing fan fiction, MIDIs, and a poll.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/ar2/ariamirai/"} +{"d:Title": "Sailor Anime", "d:Description": "Contains information on cosplay and fan fiction articles. Also includes information on Sailor Moon, Trigun, Dragon Ball Z, Evangelion, Rurouni Kenshin, Cardcaptors and Inuyasha.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://www.angelfire.com/anime2/sailoranime/"} +{"d:Title": "Sara's Anime Page", "d:Description": "Character profiles and images for Sailor Moon and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://littlesmurf.0catch.com/"} +{"d:Title": "Screamer's Sky", "d:Description": "Links, images, fan art, and multimedia. Assorted anime, but mainly Dragon Ball Z.", "topic": "Top/Arts/Animation/Anime/Fandom/S", "url": "http://skyscreamer2.tripod.com/index.html"} +{"d:Title": "The Trinity Cross Collective", "d:Description": "Includes sites on X/1999, Rurouni Kenshin, Escaflowne, and Final Fantasy. Offers character shrines, fan works, and series information.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://www.trinitycross.net/"} +{"d:Title": "Trunks138's Domain", "d:Description": "Dragon Ball Z and Final Fantasy images, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://trunks138.freeservers.com/"} +{"d:Title": "Trina's Home Page", "d:Description": "Sailor Moon, Hello Kitty and Dragon Ball images and gifs.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://angelfire.com/anime/Katrina"} +{"d:Title": "Tenchi-DBZ", "d:Description": "Pictures, music, and links from Dragon Ball Z and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://tenchi2500.tripod.com/Tenchi0001.html"} +{"d:Title": "The Two Rivers", "d:Description": "Reviews, ratings, and links dealing with his favorite anime and roleplaying games. [English/German]", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://www.angelfire.com/anime/thetworivers/"} +{"d:Title": "Ten-san's Anime Crib", "d:Description": "Contains general information, images, and multimedia for Dragon Ball, Gundam Wing, Street Fighter, and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://www.angelfire.com/dbz/kk/"} +{"d:Title": "Thoughts of an Angel", "d:Description": "Essays, thoughts, images, and MP3s from Neon Genesis Evangelion and Wei\u00df Kreuz.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://thouangel.animenut.com/"} +{"d:Title": "The Thorn Within", "d:Description": "Cosplay photos and experiences, bishounen, site history, and web logs.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://www.angelfire.com/realm2/thorn/index.html"} +{"d:Title": "Tonyweb's Anime and Jpop Fan Site", "d:Description": "Images from Evangelion and Gundam Wing, song lyrics, MIDIs, and summary of the Gundam series.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://www.angelfire.com/anime3/tonyweb/"} +{"d:Title": "theOtaku.com", "d:Description": "Contains e-cards, wallpapers, reviews, news, fan art, articles, personality quizzes and forums.", "topic": "Top/Arts/Animation/Anime/Fandom/T", "url": "http://www.theotaku.com/"} +{"d:Title": "Uhu's Anime Zone", "d:Description": "Character profiles, image galleries, links, fan art and multimedia for several series.", "topic": "Top/Arts/Animation/Anime/Fandom/U", "url": "http://www.anizone.de/"} +{"d:Title": "The Ultimate Source for Gundam and Dragonball", "d:Description": "Introductions, character profiles, picture gallery, anime timelines and links.", "topic": "Top/Arts/Animation/Anime/Fandom/U", "url": "http://www.angelfire.com/biz6/GundamWingDB/"} +{"d:Title": "Ultimate Anime", "d:Description": "Pictures, character profiles, and multimedia from Dragonball Z, Sailor Moon, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/U", "url": "http://www.angelfire.com/anime2/dbzgundamwsailormoon/"} +{"d:Title": "Undying Love", "d:Description": "Photo galleries, character biographies, fan fiction and fan art. Information about Sailor Moon, Card Captor Sakura, Rayearth and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/U", "url": "http://www.angelfire.com/anime3/sailormoonrayearth/"} +{"d:Title": "Vega's Anime Site", "d:Description": "General information and images from Evangelion, Lain and Vampire Princess Miyu.", "topic": "Top/Arts/Animation/Anime/Fandom/V", "url": "http://vega3000.tripod.com/vegas_anime_site.html"} +{"d:Title": "Weasel Girl's Escape", "d:Description": "Reviews, image gallery, fan art, WinAmp skins, shrine to Rurouni Kenshin's Misao, greeting cards, forums, and section for the Super Nintendo game Tales of Phantasia. [Not viewable with Netscape]", "topic": "Top/Arts/Animation/Anime/Fandom/W", "url": "http://www.angelfire.com/anime/misao/"} +{"d:Title": "Washuu's Anime/Video Game Fan Page", "d:Description": "Introduction to anime, fan art, character shrines, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/W", "url": "http://nekocrab.tripod.com/madscientistcrab/"} +{"d:Title": "Wampa Crossing", "d:Description": "Images and summaries from various anime.", "topic": "Top/Arts/Animation/Anime/Fandom/W", "url": "http://www.wampa-x-ing.8m.com/"} +{"d:Title": "Winz's Thy Virtual Hideout", "d:Description": "Anime wallpapers, shrines to Pok\u00e9mon and Rurouni Kenshin, articles, and links.", "topic": "Top/Arts/Animation/Anime/Fandom/W", "url": "http://edotz.tripod.com/"} +{"d:Title": "WM Anime", "d:Description": "Dragon Ball, Sailor Moon, Street Fighter, Final Fantasy and Pokemon images, fan art, humor, quotes and multimedia.", "topic": "Top/Arts/Animation/Anime/Fandom/W", "url": "http://www.angelfire.com/anime3/wmanime/"} +{"d:Title": "Warp's Anime Dungeon", "d:Description": "Character shrines, fan fiction, and humor featuring Digimon and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Fandom/W", "url": "http://www.angelfire.com/anime3/warp379/"} +{"d:Title": "WonderMay.com", "d:Description": "Fan fiction, fan art, song lyrics, MIDI files, wallpaper, and Winamp and MSN skins. [English and Thai]", "topic": "Top/Arts/Animation/Anime/Fandom/W", "url": "http://www.wondermay.com/"} +{"d:Title": "Pink Stars", "d:Description": "Includes characters from Gundam Wing, Tenchi Muyo, Sailor Moon, and Dragon Ball.", "topic": "Top/Arts/Animation/Anime/Fandom/Webpage_Assistance/Adoption_Centers", "url": "http://www.angelfire.com/nm/PinkStars/"} +{"d:Title": "Crystalis Designs", "d:Description": "Banners, layouts, and buttons.", "topic": "Top/Arts/Animation/Anime/Fandom/Webpage_Assistance/Graphics", "url": "http://coroneta.tripod.com/"} +{"d:Title": "Moonlinkware", "d:Description": "Layouts, banners, buttons, splash images, and avatars.", "topic": "Top/Arts/Animation/Anime/Fandom/Webpage_Assistance/Graphics", "url": "http://finalfantasymoon.tripod.com/"} +{"d:Title": "The Forgotten Lair", "d:Description": "Large selection of anime graphics, Myspace layouts, image galleries, and resources.", "topic": "Top/Arts/Animation/Anime/Fandom/Webpage_Assistance/Graphics", "url": "http://www.theforgottenlair.net/"} +{"d:Title": "Ambrosial Designs", "d:Description": "A collection of layouts, avatars, transparent PNGs, and wallpapers.", "topic": "Top/Arts/Animation/Anime/Fandom/Webpage_Assistance/Graphics", "url": "http://www.ambrosial.org/"} +{"d:Title": "Picult", "d:Description": "A collection of anime avatars and smileys.", "topic": "Top/Arts/Animation/Anime/Fandom/Webpage_Assistance/Graphics", "url": "http://picult.com/"} +{"d:Title": "XDimension", "d:Description": "Images, information and links.", "topic": "Top/Arts/Animation/Anime/Fandom/X", "url": "http://x-dimension.tripod.com/index2.shtml"} +{"d:Title": "Yunyin's Page", "d:Description": "Links, quotes and personal profile.", "topic": "Top/Arts/Animation/Anime/Fandom/Y", "url": "http://www.angelfire.com/anime/yunyin/"} +{"d:Title": "ZamStuff", "d:Description": "Images and general information on Dragon Ball Z and Sailor Moon. Also contains polls and links.", "topic": "Top/Arts/Animation/Anime/Fandom/Z", "url": "http://zamboni182.tripod.com/"} +{"d:Title": "Bingo Boards and Drinking Games", "d:Description": "Games involving anime viewing, rules and randomly generated boards available.", "topic": "Top/Arts/Animation/Anime/Games", "url": "http://www.angelfire.com/ri/lance2/"} +{"d:Title": "The Fantasy Anime RPG", "d:Description": "Create an original character, and character art. Post archive, RPG humor, and world map. Played by e-mail.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://farpg.tripod.com/"} +{"d:Title": "Anime Extreme RPG", "d:Description": "Rules, how to train, weapons, and sign-up information. Also images, character profiles, and summaries for a variety of series. Played in e-mail. [Pop-up voting window]", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/rockingdragonballz/"} +{"d:Title": "The MegaDragonballFantasy RPG", "d:Description": "RPG with a mix of Megaman, Final Fantasy, and Dragonball/Z/GT.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.angelfire.com/rpg/juno/"} +{"d:Title": "Legacy Of Darkness RPG", "d:Description": "This is a small, RPG. You can be people from Invader Zim, Zelda, And all of The DBZ Series (DBGT, DBZ, DBX). If you get someone to join, you get 200 credits.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.angelfire.com/rpg2/dark_legacy/index.html"} +{"d:Title": "Squall and REDXIII's Anime RPG", "d:Description": "An RPG based around anime.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://redxiii_171.tripod.com/"} +{"d:Title": "USAnimeRPG: the All anime RPG", "d:Description": "This is an RPG based on both AOL and BBS. You may use a character from any anime, or create your own.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://usanimerpg.tripod.com/"} +{"d:Title": "The Next Generation: White Dragons", "d:Description": "Weiss Kreuz is back in action, this time training a new assassin group, called the White Dragons. Their fight is against Wakiza, a group headed by the second remaining Takatori.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.angelfire.com/rpg2/w_dragonus/index.html"} +{"d:Title": "Anime Warriors RPG", "d:Description": "This is probably going to be one of the best Anime RPGs.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.network54.com/Hide/Forum/226322"} +{"d:Title": "Gene Starwind's Outlaw Star RPG Page", "d:Description": "An RPG page based on the famed anime series Outlaw Star with players opting to play as a character from the show or create an original character.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.angelfire.com/gundam/super_saiyan_zero/"} +{"d:Title": "Billy Vs. SNAKEMAN", "d:Description": "Free, no-download, play-from-anywhere Anime game.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.animecubed.com/billy/"} +{"d:Title": "zOMG!", "d:Description": "A free 2D massive multiplayer online role-playing game (MMORPG) from Gaia Online, with anime-based avatars.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://www.zomg.com/"} +{"d:Title": "Bleach: Soul Evolution", "d:Description": "A free-to-play browser MMORPG based off the Bleach anime/ manga.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying", "url": "http://bleachsoulevolution.com/"} +{"d:Title": "Gaia Interactive", "d:Description": "Online community incorporating social networking, forums, gaming and a virtual world.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying/Message_Boards", "url": "http://www.gaiaonline.com/"} +{"d:Title": "Every Anime RPG", "d:Description": "Members take on the persona of their favorite anime character in a message board style RPG.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/anime2/everyanimerpg/"} +{"d:Title": "Trilogy Star Anime RPG", "d:Description": "Role playing message boards from a variety of series.", "topic": "Top/Arts/Animation/Anime/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/anime2/trilogystar/index.html"} +{"d:Title": "Anime Video Game Resource Center", "d:Description": "Title list with images and platform information, glossary, regional lock-out information, where to buy, FAQ and links.", "topic": "Top/Arts/Animation/Anime/Games/Video_Games", "url": "http://www.lupinencyclopedia.com/avrc/"} +{"d:Title": "Magical Girls Anime Ring", "d:Description": "Ring for anime sites featuring magical girls with around 30 sites.", "topic": "Top/Arts/Animation/Anime/Genres/Magical_Girl", "url": "http://www.webring.org/hub?ring=magicalgirl"} +{"d:Title": "Pray to Mecha", "d:Description": "Lists of characters and anime which feature mecha, image gallery, and links.", "topic": "Top/Arts/Animation/Anime/Genres/Mecha", "url": "http://www.angelfire.com/anime3/mecha1/index.html"} +{"d:Title": "Mecha and Anime HQ", "d:Description": "Profiles, images, and episode summaries for various series, as well as articles, fan fiction, and forum.", "topic": "Top/Arts/Animation/Anime/Genres/Mecha", "url": "http://www.mahq.net/"} +{"d:Title": "GEARS Online", "d:Description": "A site dedicated to mecha and other battle machines seen in animes.", "topic": "Top/Arts/Animation/Anime/Genres/Mecha", "url": "http://www.gearsonline.net/"} +{"d:Title": "Mechanized Propulsion Systems", "d:Description": "General info on the creation of real, life size mecha.", "topic": "Top/Arts/Animation/Anime/Genres/Mecha", "url": "http://www.mechaps.com/"} +{"d:Title": "Realm of Mecha", "d:Description": "Features information on animes and video games related to mecha.", "topic": "Top/Arts/Animation/Anime/Genres/Mecha", "url": "http://www.angelfire.com/realm/ofmechs/rom/"} +{"d:Title": "Robot Anime Ring", "d:Description": "Sites dedicated to giant robots.", "topic": "Top/Arts/Animation/Anime/Genres/Mecha/Web_Rings", "url": "http://www.webring.org/hub?ring=robots"} +{"d:Title": "When Love is the Salvation", "d:Description": "Shrine dedicated to character relationships. Has personal opinions, information and images.", "topic": "Top/Arts/Animation/Anime/Genres/Romance", "url": "http://www.angelfire.com/ok/instabitter/"} +{"d:Title": "Aeris and Selphie's Anime Central", "d:Description": "Sailor Moon, Dragon Ball Z, and Ah! My Goddess images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://aeris_zayden.tripod.com/animemangaandcomicbooks"} +{"d:Title": "AnimeHead's Realm of Kawaii Bishounen", "d:Description": "Images from Rurouni Kenshin and DN Angel.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://www.angelfire.com/geek/kawaii/index.html"} +{"d:Title": "Animaction", "d:Description": "Images from Dragon Ball Z, Sailor Moon, and Ranma.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://www.angelfire.com/anime3/Animaction/"} +{"d:Title": "Aquantis' Dragon and Anime Homepage", "d:Description": "Images sorted by series, Rayearth, Card Captor Sakura, Sailor Moon, Voltron, Fushigi Yuugi and others.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://members.tripod.com/~Aquantis/"} +{"d:Title": "Anime Safari", "d:Description": "Sailor Moon, Inu-Yasha, Yu Yu Hakusho, and Gravitation images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://members.tripod.com/anime_safari/"} +{"d:Title": "Anime 4 Ever", "d:Description": "Images and wallpaper including Card Captor Sakura, Fushigi Yuugi, Kamikaze Kaitou Jeanne, and Sentimental Graffiti.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://angel_kitten180.tripod.com/"} +{"d:Title": "Anime Dream Land", "d:Description": "Images and fan art from Sailor Moon, Rurouni Kenshin, Tenchi Muyo, Rayearth.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://neptunian_siamese.tripod.com/anime1.html"} +{"d:Title": "Anime Shrine 1134", "d:Description": "Unsorted collection of images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://www.angelfire.com/anime4/animeshrine1134/gallery/page1.html"} +{"d:Title": "Anime Galleries dot Net", "d:Description": "Automatic avatar/buddy icon maker, postcards, slideshow, and over ten thousand thumbnailed images sorted by character from over 50 anime series.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://www.animegalleries.net/"} +{"d:Title": "Anime Girl and Schoolgirl Collection", "d:Description": "Images of anime girls, predominantly those in uniforms.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/A", "url": "http://girls140.tripod.com/anime/"} +{"d:Title": "Cartoon", "d:Description": "Images from anime, manga, and cartoons. City Hunter, Dragon Ball, Devilman, Gundam, and Ranma are among the titles.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/C", "url": "http://web.tiscali.it/bertolina/"} +{"d:Title": "EvilMooCowGod's Domain", "d:Description": "Blue Seed, Gundam Wing, Ninja Scroll and Evangelion images. Also multimedia and links.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/E", "url": "http://www.angelfire.com/anime/evilmoocowgod/index.html"} +{"d:Title": "GokuMew2's Anime Image Gallery", "d:Description": "Dragon Ball, Fushigi Yuugi, Pokemon, Ronin Warriors, and Kenshin images. Also fan art and links.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/G", "url": "http://www.angelfire.com/anime/igallery/"} +{"d:Title": "Gotenks and Gohan's Place", "d:Description": "Pokemon, Gundam Wing, and Dragon Ball Z images. Also multimedia and links.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/G", "url": "http://mysticgohanson.tripod.com/"} +{"d:Title": "Grywa to All", "d:Description": "Images and animated gifs from Dragon Ball and Pok\u00e9mon.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/G", "url": "http://www.angelfire.com/ne/grywa/"} +{"d:Title": "Japanamator's Home Page", "d:Description": "Unsorted Final Fantasy and Dragon Ball images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/J", "url": "http://www.angelfire.com/anime/japanamator/"} +{"d:Title": "Kela's Anime Site", "d:Description": "Dragonball Z, Gundam Wing, Sailor Moon, and Tenchi Muyo images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/K", "url": "http://www.angelfire.com/anime2/kelaspictures/"} +{"d:Title": "Little Washu's Anime", "d:Description": "Gunsmith Cats, Evangelion, and DragonBall Z images, wallpaper, and fan art.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/L", "url": "http://animelsw.tripod.com/"} +{"d:Title": "Mangadoodles", "d:Description": "Scans from series including Card Captor Sakura, Revolutionary Girl Utena, Trigun, and Slayers.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/M", "url": "http://eternalmoon.com/scans/"} +{"d:Title": "Master Monkspace's Image Galleries", "d:Description": "Images from Armitage III Poly Matrix, Burn Up W, Gundam Wing, Neon Genesis Evangelion, and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/M", "url": "http://www.angelfire.com/anime3/monkspace/"} +{"d:Title": "Maria Li's Anime Site", "d:Description": "Images sorted by series. Includes Angel Sanctuary, Lain, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/M", "url": "http://maria_li16.tripod.com/index.html"} +{"d:Title": "Nonic", "d:Description": "Images and links from Gundam Wing, Pok\u00e9mon, Evangelion, Doraemon, Digimon, and Dragon Ball.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/N", "url": "http://nonics.tripod.com/"} +{"d:Title": "Oli's Domain", "d:Description": "Personal drawings and comic strip with original characters.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/O", "url": "http://www.angelfire.com/anime3/spang/"} +{"d:Title": "Omega and Amara's Anime Site", "d:Description": "Images from Sailor Moon, Tenchi Muyo, Evangelion, and Outlaw Star.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/O", "url": "http://www.angelfire.com/anime3/tuxedomasksmus/"} +{"d:Title": "Pookies Anime Archive", "d:Description": "Unsorted Ranma and Evangelion images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/P", "url": "http://www.angelfire.com/anime/PookyAnime/index.html"} +{"d:Title": "Pstfreak Homepage", "d:Description": "Images from Dragon Ball Z, El Hazaard, Evangelion, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/P", "url": "http://www.angelfire.com/ca7/pstfreak/"} +{"d:Title": "Radiation's Anime Oasis", "d:Description": "Miscellaneous images, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/R", "url": "http://www.angelfire.com/electronic/andmore/"} +{"d:Title": "Ria's Cartoon", "d:Description": "Images from Sailor Moon and Dragon Ball Z, as well as Sanrio characters and the Powerpuff Girls.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/R", "url": "http://ria_359.tripod.com/"} +{"d:Title": "Ryu Moon", "d:Description": "Images from Dragon Ball Z, Sailor Moon, and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/R", "url": "http://www.angelfire.com/anime2/ryumoon/"} +{"d:Title": "Saskya's Realm", "d:Description": "Image collections of anime, comics, and fantasy series.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/S", "url": "http://www.saskya.com/"} +{"d:Title": "Starlet's Home", "d:Description": "Images separated by title. Includes Sailor Moon, Heroic Legend of Arislan, and Final Fantasy.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/S", "url": "http://angelfire.com/ab2/lovelysilvermoon"} +{"d:Title": "Super Saiyin Katozi's Anime Site", "d:Description": "Sections on Dragon Ball Z, Tenchi Muyo, Sailor Moon, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/S", "url": "http://www.angelfire.com/anime2/tigerart/"} +{"d:Title": "Shinji's Anime Website", "d:Description": "Images from anime including Rurouni Kenshin, Ranma, and Cowboy Bebop.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/S", "url": "http://www.angelfire.com/my/kouhakushokerokero4/"} +{"d:Title": "Turtle Pond", "d:Description": "Features pictures from Sailor Moon, Pok\u00e9mon, Gundam Wing, and Dragon Ball Z.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/T", "url": "http://www.angelfire.com/anime2/turtlepond/anime.html"} +{"d:Title": "Ultimate Anime", "d:Description": "Images, sorted by series, from Tenchi Muyo, Trigun, Dragon Ball Z, Saber Marionette J, X, and Street Fighter.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/U", "url": "http://www.angelfire.com/anime2/jahot/"} +{"d:Title": "Ultimate Anime Stop", "d:Description": "Sections on Dragon Ball, Tenchi Muyo, Digimon, and Shadow Skill.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/U", "url": "http://traviskyleultimate.tripod.com/"} +{"d:Title": "Vantage Point - Shards of Black", "d:Description": "Gundam Wing, Sailor Moon, Fushigi Yuugi, Dragon Ball, and Tenchi images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/V", "url": "http://www.angelfire.com/anime2/gundamdbzmore/index.html"} +{"d:Title": "Cat In a Cream", "d:Description": "Various wallpapers and backgrounds from several series.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://yumeneko.tripod.com/"} +{"d:Title": "Nagi's Anime Wallpapers", "d:Description": "Boys Next Door, Saiyuki, Ranma 1/2, Fushigi Yuugi, and Angel Sanctuary works.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://tiger_eye4.tripod.com/naw/wallpapers.htm"} +{"d:Title": "Guardian Spirits", "d:Description": "FLCL, Gravitation, Inuyasha, Utena, and Sailor Moon images.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.angelfire.com/anime3/ofakwen/"} +{"d:Title": "Anime Cubed Wallpapers", "d:Description": "A selection of 800x600 anime and related video game wallpapers.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.animecubed.com/wallpapers/"} +{"d:Title": "Deadzero's Custom Anime Wallpapers", "d:Description": "Wallpapers including Gundam, Evangelion, King of Fighters and Tenchi Muyo.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.angelfire.com/anime4/deadzero/"} +{"d:Title": "Anime Wallpapers by Carina", "d:Description": "Desktops in 1024 x 768 resolution, with multiple entries from series like Scrapped Princess, Noir, and Cowboy Bebop.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.angelfire.com/anime5/animewallpapers/"} +{"d:Title": "Jilm's Palace", "d:Description": "All original anime wallpapers from Love Hina, Hellsing, Outlaw Star, Cowoy Bebop, and Trigun.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.angelfire.com/anime5/jilmspalace/"} +{"d:Title": "Mercurial Design", "d:Description": "High resolution wallpapers featuring characters from recent and premiering anime series created by redxxii.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.mercurialdesign.net/"} +{"d:Title": "Peorth - Anime Wallpapers", "d:Description": "Anime desktops with a mystical theme. Supports all resolutions with lots of Ah! My Goddess wallpapers.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.peorth.com.ar/"} +{"d:Title": "Winter Gallery", "d:Description": "Original anime wallpapers in high resolutions from series such as Tenjo Tenge, and AIR.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://personal.inet.fi/private/home/WG/"} +{"d:Title": "Ningen's Anime Wallpapers", "d:Description": "Many high resolution wallpapers created by ningen from currently airing, new, and popular anime series .", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://ningen.nattoli.net/"} +{"d:Title": "Desktop Delirium", "d:Description": "Wallpapers including Cutey Honey, Secret of Mana, Sailor Moon, and Burn Up W.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://desktopdelirium.deep-ice.com/"} +{"d:Title": "Boy's Wallpaper Studio", "d:Description": "CG wallpapers of anime girls.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.boyis.com/"} +{"d:Title": "AnimEast", "d:Description": "Wallpapers from new and old series in 1024 x 768.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.animeast.com/"} +{"d:Title": "Naruto Backgrounds", "d:Description": "Primarily Naruto backgrounds made by the site owner.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.angelfire.com/bug/sireen/"} +{"d:Title": "Saknika's Anime Wallpapers", "d:Description": "Yu Yu Hakusho, Inuyasha, and various other 1024 x 768 wallpapers.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.angelfire.com/anime5/saknikaswallpapers/index.html"} +{"d:Title": "Dreams of Anime", "d:Description": "A few wallpapers from Clover, Fruits Basket and a couple more in 1024x768.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://www.dreamsofanime.com/"} +{"d:Title": "Pixel Heaven", "d:Description": "Original Anime and Gaming Wallpapers by w00tazn", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Wallpaper", "url": "http://pixelheaven.xdbit.com/phinterim2/site/"} +{"d:Title": "X-F@CTORY", "d:Description": "Wallpaper and mobile phone images including Bubblegum Crisis, Card Captor Sakura, Evangelion, and Lain.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/X", "url": "http://xfa.yoll.net/"} +{"d:Title": "Yoda's Anime Page", "d:Description": "Dragon Ball and Evangelion image gallery sorted by text descriptions and links.", "topic": "Top/Arts/Animation/Anime/Image_Galleries/Y", "url": "http://www.angelfire.com/anime/yoda68/"} +{"d:Title": "Tokyo Game Designer School", "d:Description": "Downloadable graduation project of the animator course. Screen shots, music, and links.", "topic": "Top/Arts/Animation/Anime/Independent", "url": "http://tokyogame.50megs.com/"} +{"d:Title": "Pseudome' Studio", "d:Description": "Independent animation studio attempting to successfully meld anime and 3D. Multimedia, story information, character profiles and image gallery included.", "topic": "Top/Arts/Animation/Anime/Independent", "url": "http://www.pseudome.net/"} +{"d:Title": "Darkain Arts animation studio", "d:Description": "Canadian based anime company founded by Les Major. Produces animations and video games for Antarctic Press, but also runs independent and contract projects as well. Projects are for sale on site.", "topic": "Top/Arts/Animation/Anime/Independent", "url": "http://www.darkainarts.com/"} +{"d:Title": "Anime Crave", "d:Description": "Contains full episodes, movies, music, trailers and music videos for a large number of series. Also offers avatars, wallpapers, and other images.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://www.animecrave.com/"} +{"d:Title": "PBX Anime", "d:Description": "Games, music, images, info, and quizzes for several anime.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://www.pbxanime.com/"} +{"d:Title": "White Mirage", "d:Description": "Original artwork and wallpaper.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://whitemirage.deep-ice.com/"} +{"d:Title": "Kayu no Media", "d:Description": "MP3s, videos, screenshots, animated gifs, midis, and videos.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://www.angelfire.com/anime4/kayunomedia/"} +{"d:Title": "Artists' Hangout", "d:Description": "Wallpapers, icons, and avatars.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://artists_hangout.tripod.com/"} +{"d:Title": "Giniko-Chan's Screen Savers", "d:Description": "Screensavers from several series.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://www.otakuworld.com/toys/savers.html"} +{"d:Title": "Tower of Tinabula", "d:Description": "Wallpapers and Winamp skins.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://angiwyn.tripod.com/index.htm"} +{"d:Title": "Speculate", "d:Description": "Winamp skins, AIM icons, and avatars.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://pandywandy.tripod.com/Winskins/Updates.html"} +{"d:Title": "Ripper's Anime Page", "d:Description": "Downloads of games, music videos, manga, and music. Also includes image gallery's and a manga translation forum.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://www.rippersanime.info/"} +{"d:Title": "Anime Web Graphics", "d:Description": "Web ring for those who create free anime-related graphics services.", "topic": "Top/Arts/Animation/Anime/Multimedia", "url": "http://www.webring.org/hub?ring=animewebgraphic"} +{"d:Title": "Nikki's Music Videos", "d:Description": "Music videos from various series.", "topic": "Top/Arts/Animation/Anime/Multimedia/Anime_Music_Videos", "url": "http://www.angelfire.com/anime4/nikki2_007/"} +{"d:Title": "StormCrow's Mark", "d:Description": "Various music videos.", "topic": "Top/Arts/Animation/Anime/Multimedia/Anime_Music_Videos", "url": "http://flash_point_amv.tripod.com/index.htm"} +{"d:Title": "Anime Music Videos", "d:Description": "Hundreds of music videos, as well as guides, hardware, and software.", "topic": "Top/Arts/Animation/Anime/Multimedia/Anime_Music_Videos", "url": "http://www.animemusicvideos.org/"} +{"d:Title": "Bakablonde's Shoujo Ai Retreat", "d:Description": "Videos featuring various female couples.", "topic": "Top/Arts/Animation/Anime/Multimedia/Anime_Music_Videos", "url": "http://www.bakablonde.com/"} +{"d:Title": "AnimeSuki.com", "d:Description": "Fan-subtitled episode downloads through BitTorrent. Includes forum.", "topic": "Top/Arts/Animation/Anime/Multimedia/Full_Episodes", "url": "http://www.animesuki.com/"} +{"d:Title": "Otaku Request", "d:Description": "Episodes sent to Streamload accounts on request.", "topic": "Top/Arts/Animation/Anime/Multimedia/Full_Episodes", "url": "http://otaku-request.bravepages.com/"} +{"d:Title": "Fansub TV", "d:Description": "Fansubbed anime episodes available on direct download and bittorrent.", "topic": "Top/Arts/Animation/Anime/Multimedia/Full_Episodes", "url": "http://www.fansub.tv/"} +{"d:Title": "AnimeFreak TV", "d:Description": "Features a large variety of series available for streaming.", "topic": "Top/Arts/Animation/Anime/Multimedia/Full_Episodes", "url": "http://www.animefreak.tv/"} +{"d:Title": "Japan-A-Radio", "d:Description": "Web radio station that plays anime music and JPop from 1970's to current.", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://www.japanaradio.com/"} +{"d:Title": "Smoo's Guitar Tabs", "d:Description": "Featuring guitar tabs for anime, J-pop/J-rock, and video game music.", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://www.cjas.org/~smoo/tabs.htm"} +{"d:Title": "Yet Another Anime CD Cyclopedia", "d:Description": "Database of information on anime soundtracks, welcomes submissions.", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://yaacc.cjas.org/"} +{"d:Title": "Anime Song Lyrics", "d:Description": "Primarily romanizations done by Jerry Hsu.", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://www.cjas.org/~jhsu/lyrics/"} +{"d:Title": "Anime Lyrics.com", "d:Description": "Lyrics from over 7000 anime, J-pop, and video game songs.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://www.animelyrics.com/"} +{"d:Title": "Anime in the Limelight", "d:Description": "Radio show available in real audio.", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://www.limepub.com/radio.html"} +{"d:Title": "Heaven's Warble", "d:Description": "Large collection of romanized lyrics from anime and video games, with lists of artists and seiyuus.", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://members.tripod.com/isnou/"} +{"d:Title": "Studio Neko-Han-Ten Anime/Manga CD Guide", "d:Description": "CD information site, includes tracklists, tracklist translations, and reviews.", "topic": "Top/Arts/Animation/Anime/Music", "url": "http://anime.geocities.jp/st_nht/"} +{"d:Title": "Anime Music", "d:Description": "Anime theme songs for download in mp3 and wma format.", "topic": "Top/Arts/Animation/Anime/Music/Downloads", "url": "http://www.angelfire.com/amiga2/anime-music/"} +{"d:Title": "Animation MP3", "d:Description": "Anime and videogames music in mp3 format for evaluation, galleries, and classics anime.", "topic": "Top/Arts/Animation/Anime/Music/Downloads", "url": "http://luisangel.animania.free.fr/"} +{"d:Title": "Ichigo's Sheet Music", "d:Description": "Free sheet music and MIDI files for popular Anime and Games.", "topic": "Top/Arts/Animation/Anime/Music/Downloads", "url": "http://ichigos.com/"} +{"d:Title": "Gendou's Anime Music", "d:Description": "Free MP3 files from various anime series. Offers a search engine, lyrics, a request system, song ratings, and a discussion forum.", "topic": "Top/Arts/Animation/Anime/Music/Downloads", "url": "http://gendou.com/amusic/"} +{"d:Title": "Josh's Anime Music Sheet Collection", "d:Description": "Provides free music sheets for various anime series in downloadable PDF format. MIDI files for certain music sheets are included.", "topic": "Top/Arts/Animation/Anime/Music/Downloads", "url": "http://josh.agarrado.net/music/anime/"} +{"d:Title": "Download Anime Music", "d:Description": "Download over 3500+ Anime OSTs along with opening and ending themes from various anime series. Contains a discussion forum with various topics and contests.", "topic": "Top/Arts/Animation/Anime/Music/Downloads", "url": "http://www.animeosts.net/"} +{"d:Title": "Jill-J\u00eann's Game and Anime Sheet Music", "d:Description": "Download transcribed music sheets for anime series and games. MIDI files and YouTube links are also available for aid.", "topic": "Top/Arts/Animation/Anime/Music/Downloads", "url": "http://jill-jenn.net/score/"} +{"d:Title": "Akadot", "d:Description": "News, release dates, conventions, top ten lists and columns.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.akadot.com/"} +{"d:Title": "Anime News Network", "d:Description": "Anime industry news and reviews. Features an encyclopedia, forums, surveys, and contests.", "priority": "1", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.animenewsnetwork.com/"} +{"d:Title": "Neo Anime", "d:Description": "A news blog and forum that focuses on the anime industry.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.neo-anime.org/"} +{"d:Title": "ASO Radio", "d:Description": "Internet radio station that discusses issues regarding Japanese animation, comics, music, and video games.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.nz17.com/asoradio/"} +{"d:Title": "Anime Mojo", "d:Description": "Concentrates on news from the anime industry in Japan and America.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.animemojo.com/"} +{"d:Title": "Animekon", "d:Description": "Anime news, reviews, trailers, image galleries, and Japanese releases.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.animekon.com/"} +{"d:Title": "Giapet", "d:Description": "A blog that covers anime news, manga, and anime conventions.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://giapet.net/"} +{"d:Title": "The Girl Nerd's Podcast", "d:Description": "A podcast dedicated to anime and industry news and reviews as well as convention reports.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://thegirlnerd.wordpress.com/"} +{"d:Title": "Sankaku Complex", "d:Description": "Features articles on anime, manga, cosplay, and games from Japan. Also includes an image gallery for sharing wallpapers.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.sankakucomplex.com/"} +{"d:Title": "Ani-Gamers", "d:Description": "Anime, manga, and video game blog featuring news, reviews, columns, a podcast.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.anigamers.com/"} +{"d:Title": "Nanoda", "d:Description": "A manga, anime and Japan news portal. Features wallpapers, fanart, and forums.", "topic": "Top/Arts/Animation/Anime/News_and_Media", "url": "http://www.nanoda.com/en/"} +{"d:Title": "At Anime", "d:Description": "Magazine devoted to anime and manga, with features, reviews, commentaries and editorials.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://www.atanime.com/"} +{"d:Title": "TCP Otaku", "d:Description": "Reviews, previews, gallery, FAQs and cover stories.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://tcpotaku.tripod.com/"} +{"d:Title": "Animefringe Online Magazine", "d:Description": "Cover stories, interviews, reviews, editorials, forums, and archives.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://www.animefringe.com/"} +{"d:Title": "Anime Freak! Magazine", "d:Description": "Dedicated to Asian entertainment and lifestyle. News, reviews, and links.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://lady_mercury_3g.tripod.com/"} +{"d:Title": "Animeco Magazine", "d:Description": "Online independent ezine.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://www.limepub.com/animeco/index.html"} +{"d:Title": "Exploded Goat", "d:Description": "Anime reviews, critical episode guides, and editorials. Also reviews movies, music, video games.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://www.explodedgoat.com/"} +{"d:Title": "Anifanatikku", "d:Description": "Magazine featuring reviews, fan fiction, and editorials on Otaku culture.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://www.nz17.com/anifanatikku/"} +{"d:Title": "Anime", "d:Description": "Monthly magazine featuring DVD, manga, and anime related book reviews.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://www.anime.com/"} +{"d:Title": "Xorsyst", "d:Description": "Online magazine featuring anime and gaming news, cosplay, manga, videos, and Japanese pop culture articles.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://xorsyst.com/"} +{"d:Title": "Anime Work in Japan", "d:Description": "Article giving a reality check to those wanting to be an anime artist in Japan.", "topic": "Top/Arts/Animation/Anime/News_and_Media/Magazines_and_E-zines", "url": "http://www.geocities.jp/japanliving/anime.html"} +{"d:Title": "Panchi Worlds of Manga and Anime", "d:Description": "Information on series such as Patlabor, Strange Dawn, Nausicaa, Perfect Blue, Sailor Moon, Shamanic Princess, and Touch. Italian and English languages.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://spazioinwind.libero.it/panchi/"} +{"d:Title": "Animanga Services", "d:Description": "Shops, graphical index of French and English translated anime, mailing lists, forum, and link directory.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animanga.com/"} +{"d:Title": "Online Bibliography of Anime and Manga Research", "d:Description": "A guide to books, book chapters, and articles in scholarly/academic journals on all aspects of Japanese animation (anime) and Japanese comics (manga).", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.corneredangel.com/amwess/"} +{"d:Title": "AnimeResearch.com", "d:Description": "Bibliographies of online and offline resources and research papers related to manga and anime.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animeresearch.com/"} +{"d:Title": "Anime on TV", "d:Description": "Lists stations and air dates for shows on US and Canadian television.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animeontv.com/"} +{"d:Title": "Anime Cubed", "d:Description": "Wallpaper, images, contests, reviews, music, lyrics, manga translations, and postcards.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animecubed.com/"} +{"d:Title": "Anime Shack", "d:Description": "News, images, fan art, fan fiction, wallpaper, reviews and links.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animeshack.com/"} +{"d:Title": "Anihabara", "d:Description": "News, information, frequently asked questions about Japanese culture with regard to anime. A character database, and a guide to shops in Akihabara.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://east.sakura.ne.jp/aniba/"} +{"d:Title": "UK Anime Net", "d:Description": "Reviews, interviews, articles, downloads, fansubs, and anime availability in the UK.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.uk-anime.net/"} +{"d:Title": "Arctic Nightfall", "d:Description": "Information, multimedia, news, series index, movie index and fan art.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.arcticnightfall.com/"} +{"d:Title": "Atanime.net", "d:Description": "Wallpaper, music, lyrics, gallery, reviews and fan art.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.atanime.net/"} +{"d:Title": "AnimeNfo.Com", "d:Description": "Anime and Manga online database with a search engine, general information, genre, japanese studios, U.S distribution, cast and characters.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animenfo.com/"} +{"d:Title": "USA Anime Release Dates", "d:Description": "Dates of upcoming US releases of anime and manga.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.koyagi.com/USAAnimeRelease.html"} +{"d:Title": "RNET Entertainment News Site", "d:Description": "Editorials and news.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.neosaiyan.50megs.com/index.html"} +{"d:Title": "Anime Theme", "d:Description": "Contains themes and wallpapers.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animetheme.com/"} +{"d:Title": "Ottawa-Anime.org", "d:Description": "Web portal for Ottawa, Canada, with community events, local clubs, and shopping guide.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.ottawa-anime.org/"} +{"d:Title": "Anime Macrocosm", "d:Description": "DVD news, information and release schedules.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://animemacrocosm.tripod.com/index.html"} +{"d:Title": "The Anime Cafe", "d:Description": "Episode-by-episode reviews of numerous anime series and movies, as well as trivia and a cross-referenced encyclopedia with images and audio clips.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.abcb.com/"} +{"d:Title": "Anime-Source.Com", "d:Description": "Reports on new anime series, conventions, fansub releases, and video games. Also offers reviews, editorials, manga translations, biographies of voice actors, Japanese history, and information about anime being televised in North America.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.anime-source.com/"} +{"d:Title": "Anime Lives", "d:Description": "Anime and manga news, DVD releases, trailers, reviews, fan fiction, image galleries, links, forum, live chat, and games.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animelives.com/"} +{"d:Title": "Animesou.com", "d:Description": "Anime news, reviews, wallpaper, fan art, editorials, contests, and forums.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animesou.com/"} +{"d:Title": "Keiichi Anime Forever", "d:Description": "Anime forums, multimedia, roleplaying, fan art, manga scans, translation services, and a guide to downloading fan-subtitled anime.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.keiichianimeforever.com/"} +{"d:Title": "Being Upfront: A Pictorial Guide to Bodacious Women in Anime", "d:Description": "Guide to overendowed girls with pictures (no nudity).", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://eyevocal.ottawa-anime.org/beingupfront/"} +{"d:Title": "Anime Crave", "d:Description": "Offers live chat with anime fans around the world. Also offers news, reviews and anime videos.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animecrave.com/"} +{"d:Title": "Anime Evo", "d:Description": "News, reviews, previews, special feature articles and image galleries.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.anime-evo.net/"} +{"d:Title": "Anime Rulezzz", "d:Description": "Unique articles and fan fictions, fan art, read manga online, download anime and manga.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://animerulezzz.org/"} +{"d:Title": "Anime-Planet.com", "d:Description": "Anime and manga database, reviews, and social networking features such as blogs and the ability to keep track of what you've seen and read.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.anime-planet.com/"} +{"d:Title": "Anime Characters Database", "d:Description": "Visual search engine for animated characters. Search images by visual traits such as eye color, hair color, hair length, age, and gender.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://www.animecharactersdatabase.com/"} +{"d:Title": "Anime Room", "d:Description": "Not your typical anime merchandise portal site.", "topic": "Top/Arts/Animation/Anime/Resources", "url": "http://animeroom.net/"} +{"d:Title": "Anime Blood", "d:Description": "Offers blood types of popular anime characters, searchable by series or by blood type; explains the character traits associated with different blood types in modern Japanese folklore.", "topic": "Top/Arts/Animation/Anime/Resources/Databases", "url": "http://www.angelfire.com/gundam/animeblood/"} +{"d:Title": "Double-J-Anime", "d:Description": "Links to personal and fan art sites, sorted alphabetically.", "topic": "Top/Arts/Animation/Anime/Resources/Directories", "url": "http://double-j-anime.tripod.com/"} +{"d:Title": "Anime100.com", "d:Description": "Numerous anime sites ranked by visitor votes.", "topic": "Top/Arts/Animation/Anime/Resources/Directories", "url": "http://www.anime100.com/"} +{"d:Title": "Otaku World", "d:Description": "Various links and information plus downloads.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.otakuworld.com/"} +{"d:Title": "Hanabatake", "d:Description": "Summaries and links for One Piece, Mahoujin Guru Guru, Kodomo no Omocha and other obscure titles. Also doujinshi, fan fiction and research papers.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.hanabatake.com/"} +{"d:Title": "DragonWing", "d:Description": "A comprehensive information database dealing with Gundam and Dragonball--mobile suits and Japanese animated sagas. Covers series information, story background, merchandise, and links.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.angelfire.com/dbz/dbzwing/"} +{"d:Title": "Sub Rosa: Rare and Literary Anime", "d:Description": "Database of literary and old anim\u00e9, focusing on Nippon Animation's World Masterpiece Theater series. Staff listings, video release information, pictures, and reviews.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.pelleas.net/wmt/"} +{"d:Title": "Zerotopia", "d:Description": "A page where you can give your opinions and make requests for what you want to see on the page.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://zerotopia.tripod.com/"} +{"d:Title": "Subzero Anime Network", "d:Description": "A network with information on series including Dragon Ball Z, Final Fantasy, Card Captors, and Gundam Wing.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.angelfire.com/anime2/subzeroanimenetwork/"} +{"d:Title": "Lord Ashram's Anime Reviews", "d:Description": "Collection of reviews; includes images. Review submissions accepted.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://members.tripod.com/animelord1/"} +{"d:Title": "Chill's Land of Eternal Weirdness", "d:Description": "Fan art, anime model gallery, and multimedia of anim\u00e9s like Pok\u00e9mon, Outlaw Star, and Macross 2.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://members.tripod.com/~Chill_the_IceDragon/"} +{"d:Title": "Otaku Reviews", "d:Description": "Reviews of anim\u00e9 series, movies, and music videos.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.angelfire.com/anime4/otaku1/index.html"} +{"d:Title": "Yamitsuki Anime", "d:Description": "Anim\u00e9 reviews of series like Cowboy Bebop, Dragon Ball, Dragon Ball Z, and Trigun. Also has some theme songs and clips.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.yanime.com/"} +{"d:Title": "THEM Anime Review", "d:Description": "Dedicated to reviews, as well as information, humor, and discussion on Japanese animation.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.themanime.org/"} +{"d:Title": "Laura's Pardise", "d:Description": "Character information on series like Dragonball Z, Gundam Wing, Digimon, Lain, and Ranma 1/2. Also has anime reviews, RPGs, and gallery.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.angelfire.com/gundam/cuteheeroyuy/"} +{"d:Title": "Recommended Anime", "d:Description": "Recommendations on Japanese animation masterpieces, with brief comments. Reviews done by a Japanese living in Tokyo.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www001.upp.so-net.ne.jp/tsuribe/anime/animee.html"} +{"d:Title": "Just Another Anime Site", "d:Description": "General information about, Magic Knight Rayearth, Escaflowne, Serial Experiments Lain, Angel Sanctuary and Utena.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.angelfire.com/anime2/animedreamstar/index.html"} +{"d:Title": "Black Moon Japanese Culture", "d:Description": "Articles, glossary, reviews, and art contests, as well as information on Japanese culture and art.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.theblackmoon.com/"} +{"d:Title": "Rossman's Reviews and Ratings", "d:Description": "Anim\u00e9 reviews site.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.therossman.com/rrr/index.html"} +{"d:Title": "Exploded Goat", "d:Description": "Anime, music, video game and movie reviews , including in depth features and episode guides.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.explodedgoat.com/anime.php"} +{"d:Title": "Torrasque's Realm", "d:Description": "A site containing mostly anime reviews and game tips. Other items contained in the site are links for anime research, and Internet Relay Chat networks.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.angelfire.com/dragon/torrasque/"} +{"d:Title": "Excelsis", "d:Description": "Fans can submit and view ratings, polls, and reviews of anime series.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.excelsis.com/1.0/catalog.php?categoryid=1"} +{"d:Title": "Community Anime Reviews", "d:Description": "A review site where anyone can add their own reviews. Multiple listings of by category, reviewer, and title.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://anime.mikomi.org/"} +{"d:Title": "60's Anime", "d:Description": "A timeline with information and reviews regarding series of the 1960s. Also includes some rare '60s cartoons (non-anim\u00e9).", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://home.alphalink.com.au/~roglen/"} +{"d:Title": "Aligator Pop", "d:Description": "Featured reviews and articles about anime, manga, comic, movie and music works.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.aligatorpop.com/"} +{"d:Title": "Paradise on Earth", "d:Description": "Contains reviews on different anim\u00e9s like fushigi yuugi, card captor sakura, ayashi no ceres, and rurouni kenshin. Also has a multimedia section.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.angelfire.com/stars4/rachell/index1.html"} +{"d:Title": "The Anime Review", "d:Description": "Large collection of anime reviews sorted by genre.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.theanimereview.com/"} +{"d:Title": "Sea Slugs! Anime Blog", "d:Description": "Daily summaries and reviews of the newest anime series.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.seaslugteam.com/"} +{"d:Title": "Baka Updates", "d:Description": "Features news and release dates for popular Anime titles.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.baka-updates.com/"} +{"d:Title": "AnimeStat", "d:Description": "Provides an overview of anime reviews and scores from different sites.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.animestat.com/"} +{"d:Title": "AniManga Corner", "d:Description": "Review archives of popular anime series and movies.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://animangacorner.blogspot.com/"} +{"d:Title": "Anizeen", "d:Description": "A community and online magazine offering information and media on anime, manga, jpop and jrock.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.anizeen.com/"} +{"d:Title": "Daisuki.com.br", "d:Description": "Anime reviews, essays, community-written impressions, general information, wallpapers and images.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.daisuki.com.br/"} +{"d:Title": "Animea", "d:Description": "Contains several anime reviews submitted by users.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.animea.net/"} +{"d:Title": "Animated Meanderings", "d:Description": "Personal blog with anime and manga news and reviews.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://www.quantumworx.co.za/blog/"} +{"d:Title": "Anime Diet", "d:Description": "Anime team blog and podcast, with reviews, editorials, news commentaries, and convention videos.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://animediet.net/"} +{"d:Title": "J Project", "d:Description": "Reviews (includes Godzilla), introduction, articles, links and fan fiction.", "topic": "Top/Arts/Animation/Anime/Reviews", "url": "http://gogetza.tripod.com/index.htm"} +{"d:Title": "Anime Voice Actors/Actresses", "d:Description": "List of Seiyuu links.", "topic": "Top/Arts/Animation/Anime/Seiyuu", "url": "http://www.asahi-net.or.jp/~AE5T-KSN/anime/voice-e.html"} +{"d:Title": "Seiyuu Enterprises", "d:Description": "Fan clubs for Hayashibara Megumi and Mitsuishi Kotono. [English and Japanese]", "topic": "Top/Arts/Animation/Anime/Seiyuu", "url": "http://www.seiyuu.com/"} +{"d:Title": "Ryu's Seiyuu Infos", "d:Description": "Database with character images and seiyuu galleries.", "topic": "Top/Arts/Animation/Anime/Seiyuu", "url": "http://www.seiyuu.info/"} +{"d:Title": "Hitoshi Doi's Seiyuu Database", "d:Description": "A database with photos, voiceography, discography (with CD cover scans), articles, interviews, events and index of available merchandise. Database searchable by genre, title, or actor. [Japanese and English]", "priority": "1", "topic": "Top/Arts/Animation/Anime/Seiyuu", "url": "http://www.usagi.org/~doi/seiyuu/"} +{"d:Title": "Yocchin Rabu!", "d:Description": "A community for the fans of Yoshino Hiroyuki.", "topic": "Top/Arts/Animation/Anime/Seiyuu", "url": "http://yoshinohiroyuki.livejournal.com/"} +{"d:Title": "Konishi Katsuyuki Community", "d:Description": "A Community for his fans.", "topic": "Top/Arts/Animation/Anime/Seiyuu", "url": "http://konitan-rabu.livejournal.com/"} +{"d:Title": "Hitoshi Doi's Seiyuu Database", "d:Description": "Provides information on voice roles, CDs, books, radio and events.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Adachi_Shinobu", "url": "http://www.usagi.org/~doi/seiyuu/adachi-shinobu/"} +{"d:Title": "Anime and the Seiyuus", "d:Description": "Yahoo! Group for discussing Japanese voice actors, featuring a different Seiyuu every month.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Chats_and_Forums", "url": "http://groups.yahoo.com/group/animeandtheseiyuus/"} +{"d:Title": "Tabibito's Ally - Fukami Rika", "d:Description": "Non-anime credits, including dub roles for TV series and movies.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Fukami_Rica", "url": "http://tabibito_lifefarer.tripod.com/VoiceActor/fkm_rk.htm"} +{"d:Title": "Knight of Fire - Jun \u2665", "d:Description": "A Live Journal Community for FukuJun Fans.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Fukuyama_Jun", "url": "http://fukuyama-jun.livejournal.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Han_Keiko", "url": "http://www.imdb.com/name/nm0359168/"} +{"d:Title": "Hayashibara Megumi Internet Fan Club", "d:Description": "Open to all fans. Links to information from Hitoshi Doi's seiyuu database; contains archives of the fan club's newsletters.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Hayashibara_Megumi", "url": "http://www.seiyuu.com/megumi/"} +{"d:Title": "No Name Anime's Megumi-Toon", "d:Description": "Contains translated manga from the Megumi-Toons book with file size and page number for each image.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Hayashibara_Megumi", "url": "http://www.nnanime.com/megumi-toon/"} +{"d:Title": "Megumi Hayashibara Fan Club", "d:Description": "Yahoo! Club for discussing related anime shows and characters, music, radio, essays, and news.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Hayashibara_Megumi", "url": "http://groups.yahoo.com/group/megumihayashibara/"} +{"d:Title": "The Anime Encyclop\u00e6dia - Hisakawa, Aya", "d:Description": "Some personal information, picture, and roles.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Hisakawa_Aya", "url": "http://www.abcb.com/ency/h/hisakawa_aya.htm"} +{"d:Title": "Hisakawa Aya", "d:Description": "Short biography and list of roles and CD recordings.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Hisakawa_Aya", "url": "http://www.tapanime.com/series/devil/va/aya.html"} +{"d:Title": "IMDb: Tomoko Kawakami (I)", "d:Description": "Filmography and information.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Kawakami_Tomoko", "url": "http://www.imdb.com/name/nm0442808/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Kouda_Mariko", "url": "http://www.imdb.com/name/nm0467929/"} +{"d:Title": "Koyasu Takehito-san ga Daisuki", "d:Description": "Fan site with images, profile, filmography, interviews, and links.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Koyasu_Takehito", "url": "http://members.tripod.com/sumirechan/koyasu/koyasu_index.html"} +{"d:Title": "Hikaru Midorikawa", "d:Description": "Fansite has links to Hikaru's personal homepage [japanese] and information on his roles.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Midorikawa_Hikaru", "url": "http://members.tripod.com/marleycat/Midorikawa11.htm"} +{"d:Title": "The Midorikawa Hikaru Yahoo Group", "d:Description": "The Emotional Intricacy of Characters. Homepage for the mailing list. Focuses on character discussions, information, pictures, sound files, links, and polls for members.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Midorikawa_Hikaru", "url": "http://cyberpsychos.netonecom.net/hikaru"} +{"d:Title": "Hikaru Midorikawa Fan Club", "d:Description": "Yahoo! Club devoted to the Seiyuu.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Midorikawa_Hikaru", "url": "http://groups.yahoo.com/group/hikarumidorikawafanclub/"} +{"d:Title": "Mitsuishi Kotono International Fan Club", "d:Description": "Their newsletter can be downloaded here.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Mitsuishi_Kotono", "url": "http://www.seiyuu.com/kotono/index.htm"} +{"d:Title": "Perry and Ansatsusha's Seiyuu Page", "d:Description": "Anime list with character images.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Mitsuishi_Kotono", "url": "http://www.perryandtsua.com/Seiyuu/Mitsuishi_Kotono.html"} +{"d:Title": "Akemi's Anime World", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Mitsuishi_Kotono", "url": "http://animeworld.com/cast/mlist.html#mitsuishikotono"} +{"d:Title": "Shadow Box Studio", "d:Description": "Information and audio clips. [Flash required]", "topic": "Top/Arts/Animation/Anime/Seiyuu/North_American_Voice_Actors", "url": "http://www.shadowboxstudio.com/"} +{"d:Title": "English Voice Actor and Production Staff Database", "d:Description": "Fan-created database logging roles played by voice actors. Also notes production staff of the English release of a series.", "topic": "Top/Arts/Animation/Anime/Seiyuu/North_American_Voice_Actors", "url": "http://www.crystalacids.com/database/"} +{"d:Title": "American Anime Dubbing", "d:Description": "Mailing list devoted to the American Anime Dubbing Industry. Serious discussions and critiques are welcome.", "topic": "Top/Arts/Animation/Anime/Seiyuu/North_American_Voice_Actors", "url": "http://groups.yahoo.com/group/aad/"} +{"d:Title": "Zathoros' Animation Voice Actor Database", "d:Description": "Credits organized by actor and series.", "topic": "Top/Arts/Animation/Anime/Seiyuu/North_American_Voice_Actors", "url": "http://www.avadb.com/"} +{"d:Title": "Crystal Acids", "d:Description": "English voice actor and production staff database.", "topic": "Top/Arts/Animation/Anime/Seiyuu/North_American_Voice_Actors", "url": "http://www.crystalacids.com/"} +{"d:Title": "Mike Pollock", "d:Description": "Everything you've ever wanted to know about Mike Pollock, but were afraid to ask.", "topic": "Top/Arts/Animation/Anime/Seiyuu/North_American_Voice_Actors", "url": "http://www.itsamike.com/"} +{"d:Title": "Yahoo! Groups: Megumi Ogata", "d:Description": "Fan club.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Ogata_Megumi", "url": "http://groups.yahoo.com/group/MegumiOgata/"} +{"d:Title": "MaayaSakamoto.net", "d:Description": "News, discography, reviews, and song clips.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Sakamoto_Maaya", "url": "http://www.maayasakamoto.net/"} +{"d:Title": "Maaya's", "d:Description": "The International Unofficial Sakamoto Maaya Fan Club Forum.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Sakamoto_Maaya", "url": "http://maayas.net/"} +{"d:Title": "Naresome: Maaya Sakamoto", "d:Description": "Detailed profile information, track lists, discography, character roles and cover art.", "topic": "Top/Arts/Animation/Anime/Seiyuu/Sakamoto_Maaya", "url": "http://www.angelfire.com/super/naresome/maaya.html"} +{"d:Title": "Sazan Eyes Ring of the Third Eye", "d:Description": "Webring with rules, member services and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/3/3\u00d73_Eyes", "url": "http://otakuworld.com/rings/sazan.html"} +{"d:Title": "Community Anime Reviews: 3x3 Eyes", "d:Description": "A summary and several reviews.", "topic": "Top/Arts/Animation/Anime/Titles/3/3\u00d73_Eyes", "url": "http://anime.mikomi.org/series/8.html"} +{"d:Title": "Art of Fighting", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://animeworld.com/reviews/artoffighting.html"} +{"d:Title": "Afro Samurai", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/afro-samurai/"} +{"d:Title": "Air Gear", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/air-gear/"} +{"d:Title": "Akagi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/akagi/"} +{"d:Title": "Animation Runner Kuromi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/animation-runner-kuromi/"} +{"d:Title": "ARIA The Animation", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/aria-the-animation/"} +{"d:Title": "ARIA The Natural", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/aria-the-natural/"} +{"d:Title": "ARIA The OVA: Arietta", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/aria-the-ova-arietta/"} +{"d:Title": "Armor Hunter Merowlink", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/armor-hunter-merowlink/"} +{"d:Title": "Armored Troopers VOTOMS", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/armored-troopers-votoms-red-shoulder-document-roots-of-ambition/"} +{"d:Title": "Asatte no Houkou", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A", "url": "http://www.nihonreview.com/anime/asatte-no-houkou/"} +{"d:Title": "The Technogirls Aim for the Ace", "d:Description": "Series information, images and characters.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ace_o_Nerae", "url": "http://www.quixium.com/technogirls/ace.htm"} +{"d:Title": "AD Police Synopsis Index", "d:Description": "Spoiler-level synopsis of each episode of the OAV series.", "topic": "Top/Arts/Animation/Anime/Titles/A/AD_Police", "url": "http://www.jhedge.com/adpolice/index.htm"} +{"d:Title": "AAW: Agent Aika Review", "d:Description": "Reviews, summary, information, and cast list.", "topic": "Top/Arts/Animation/Anime/Titles/A/Agent_Aika", "url": "http://animeworld.com/reviews/aika.html"} +{"d:Title": "Yahoo! Groups: Ah-My_Goddess", "d:Description": "Discussion group for the series.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess", "url": "http://groups.yahoo.com/group/Ah-My_Goddess/"} +{"d:Title": "Absolute Anime / Oh My Goddess!", "d:Description": "Character profile, summary, and release information.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess", "url": "http://absoluteanime.com/oh_my_goddess/index.htm"} +{"d:Title": "Heaven On Earth", "d:Description": "Includes brief character profiles, and fanart.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess", "url": "http://heavenly_realm.tripod.com/enter.htm"} +{"d:Title": "The Goddess Project", "d:Description": "Fansite with OVA summaries and analysis, articles, Norn mythology reference material, cover and images galleries and forum.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess", "url": "http://www.goddess-project.net/"} +{"d:Title": "Aoshima", "d:Description": "General information and small selection of images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess/Characters", "url": "http://members.tripod.com/~Danielle_Landgren/anime/aoshima.html"} +{"d:Title": "The Belldandy's Table", "d:Description": "Belldandy character shrine, with image galleries, photographs of figures, fan fiction and art, media and news.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess/Characters/Belldandy", "url": "http://witit.fortunecity.ws/idx.html"} +{"d:Title": "Skuld", "d:Description": "Character description and image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess/Characters/Skuld", "url": "http://members.tripod.com/~Danielle_Landgren/skuld/skuld.html"} +{"d:Title": "Urd's Domain", "d:Description": "Urd character shrine with profile, magic, quotes, and gallery.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ah_My_Goddess/Characters/Urd", "url": "http://members.tripod.com/~GoddessUrd/"} +{"d:Title": "The Nihon Review - Air", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Air", "url": "http://www.nihonreview.com/anime/air/"} +{"d:Title": "The Nihon Review - Air In Summer", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Air", "url": "http://www.nihonreview.com/anime/air-in-summer/"} +{"d:Title": "The Nihon Review - Air Movie", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Air", "url": "http://www.nihonreview.com/anime/air-movie/"} +{"d:Title": "Mochi Mochi Mountain", "d:Description": "General information, character guide and images, multimedia, fan fiction, classifieds, links, and an ICQ exchange.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akazukin_Chacha", "url": "http://community.fortunecity.ws/lavender/ridleyford/354/"} +{"d:Title": "Animetric.com: Cyber Team in Akihabara", "d:Description": "Synopsis, review, and buying information.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akihabara_Denno_Gumi", "url": "http://www.animetric.com/abc/ctia.html"} +{"d:Title": "Akira Image Reproductions", "d:Description": "Includes character profiles and images from the manga, movie and fanfiction. [English and German]", "topic": "Top/Arts/Animation/Anime/Titles/A/Akira", "url": "http://www3.microwar.de/"} +{"d:Title": "BlueBlade Akira", "d:Description": "Original cel, trading card and fan art galleries, links, character and film information, anime vs. manga debates and forum.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akira", "url": "http://www.bbakira.co.uk/"} +{"d:Title": "Akemi's Anime World: Akira", "d:Description": "Find a plot synopsis and movie review.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akira", "url": "http://animeworld.com/reviews/akira.html"} +{"d:Title": "Akira 2019", "d:Description": "Featuring original information, articles, reviews, images, film releases, collectables and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akira", "url": "http://www.akira2019.com/"} +{"d:Title": "Neo Akira", "d:Description": "History and characters from the anime.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akira", "url": "http://www.neo-akira.20m.com/"} +{"d:Title": "The Sci-Fi Movie Page: Akira", "d:Description": "Read the three and a half star review of the film.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akira", "url": "http://www.scifimoviepage.com/akira.html"} +{"d:Title": "WebRing: Akira Ring of Destruction", "d:Description": "Open to sites concerning the anime, manga or both.", "topic": "Top/Arts/Animation/Anime/Titles/A/Akira", "url": "http://www.webring.org/hub/akira"} +{"d:Title": "The Amazing 3", "d:Description": "Summary and episode list.", "topic": "Top/Arts/Animation/Anime/Titles/A/Amazing_3,_The", "url": "http://home.alphalink.com.au/~roglen/amazing3.htm"} +{"d:Title": "AAW: Amazing Nurse Nanako Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/A/Amazing_Nurse_Nanako", "url": "http://animeworld.com/reviews/amazingnursenanako.html"} +{"d:Title": "Mamoru Oshii's Angel's Egg", "d:Description": "Comparison of Angel's Egg and the live action version of the film, In The Aftermath. Includes commentary, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/A/Angel's_Egg", "url": "http://www.cultivatetwiddle.com/angelsegg/"} +{"d:Title": "Animetric.com: Angelic Layer", "d:Description": "Synopsis, review, image gallery, and buying information.", "topic": "Top/Arts/Animation/Anime/Titles/A/Angelic_Layer", "url": "http://www.animetric.com/abc/angelic.html"} +{"d:Title": "The Nihon Review - Angelic Layer", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Angelic_Layer", "url": "http://www.nihonreview.com/anime/angelic-layer/"} +{"d:Title": "Animetric.com: Angel Links", "d:Description": "Review by Fushigi Tenshi. Offers synopsis and screen captures.", "topic": "Top/Arts/Animation/Anime/Titles/A/Angel_Links", "url": "http://www.animetric.com/abc/alinks.html"} +{"d:Title": "Broken Wings", "d:Description": "Information about the anime and manga, characters, music, manga scans, wallpaper, and links.", "topic": "Top/Arts/Animation/Anime/Titles/A/Angel_Sanctuary", "url": "http://shininggirl.tripod.com/angel/"} +{"d:Title": "AAW: Angel Sanctuary Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/A/Angel_Sanctuary", "url": "http://animeworld.com/reviews/angelsanctuary.html"} +{"d:Title": "MatrixFans.net: Anime Project", "d:Description": "Information, images, and news reports about the series.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.matrixfans.net/anime/"} +{"d:Title": "World Famous Comics: Behind the Animatrix", "d:Description": "News articles, interviews, review, and pictures.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.worldfamouscomics.com/matrix/animatrix/"} +{"d:Title": "DVD Movie Central: The Animatrix", "d:Description": "Gordon Justesen reviews the DVD.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.dvdmoviecentral.com/ReviewsText/animatrix.htm"} +{"d:Title": "The Cinema Laser: The Animatrix", "d:Description": "Brief synopses of the episodes and comments on disc quality.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.thecinemalaser.com/dvd_2003/animatrix-dvd.htm"} +{"d:Title": "Current Film: The Animatrix", "d:Description": "Review of the series and disc quality.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.currentfilm.com/dvdreviews4/animatrixdvd.html"} +{"d:Title": "DVD Movie Guide: The Animatrix", "d:Description": "Synopses of the episodes and details about the extra features found on the DVD.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://dvdmg.com/animatrix.shtml"} +{"d:Title": "The Arrow in the Head: The Animatrix", "d:Description": "Humorous review of the series.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.joblo.com/arrow/animatrix.htm"} +{"d:Title": "DVD Talk: The Animatrix", "d:Description": "Reviews of the DVD.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.dvdtalk.com/reviews/6354/animatrix-the/"} +{"d:Title": "Digitally Obsessed: The Animatrix", "d:Description": "Kevin Clemons reviews the image and video transfer, extras, and content of the disc.", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=4758"} +{"d:Title": "The Animatrix", "d:Description": "Official site for the series. Lists all episodes by title and principal artist. Includes screenshots of each episode as well as a Flash preview.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/A/Animatrix,_The", "url": "http://www.intothematrix.com/"} +{"d:Title": "Anpanman", "d:Description": "Summary, episode list, and buying information.", "topic": "Top/Arts/Animation/Anime/Titles/A/Anpanman", "url": "http://www.jamco.or.jp/english/library/animation/an029800.html"} +{"d:Title": "The Anpanman Museum", "d:Description": "Photographs and article about the Anpanman museum.", "topic": "Top/Arts/Animation/Anime/Titles/A/Anpanman", "url": "http://www.awn.com/mag/issue3.11/3.11pages/onoanpanman.php3"} +{"d:Title": "The Nihon Review - Appleseed", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Appleseed", "url": "http://www.nihonreview.com/anime/appleseed/"} +{"d:Title": "Mizuiro Jidai", "d:Description": "Story, character, manga information, lyrics, and episode guide.", "topic": "Top/Arts/Animation/Anime/Titles/A/Aqua_Age", "url": "http://niko-niko.net/mizuiro/"} +{"d:Title": "The TechnoGirls Blue-Green Years Page", "d:Description": "Introduction, summaries, fansubs, episode information, articles, title explanation, script sample, and news.", "topic": "Top/Arts/Animation/Anime/Titles/A/Aqua_Age", "url": "http://www.quixium.com/technogirls/blugreen.htm"} +{"d:Title": "anime.mikomi.org: Mizuiro Jidai", "d:Description": "Summary and review.", "topic": "Top/Arts/Animation/Anime/Titles/A/Aqua_Age", "url": "http://anime.mikomi.org/series/199.html"} +{"d:Title": "Gary's \"Area 88\" Page", "d:Description": "Image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/A/Area_88", "url": "http://www.inetres.com/gp/anime/area88/"} +{"d:Title": "The Anime Review: Area 88", "d:Description": "Review of the anime.", "topic": "Top/Arts/Animation/Anime/Titles/A/Area_88", "url": "http://www.theanimereview.com/index.html?reviews/area88.html"} +{"d:Title": "Area 88", "d:Description": "Scripts listed by episode.", "topic": "Top/Arts/Animation/Anime/Titles/A/Area_88", "url": "http://plotong.tripod.com/a88.html"} +{"d:Title": "Animefringe: Argent Soma", "d:Description": "Review of the series.", "topic": "Top/Arts/Animation/Anime/Titles/A/Argent_Soma", "url": "http://www.animefringe.com/magazine/01.04/reviews/4/index.php3"} +{"d:Title": "Animetric.com: Armitage III (OAV series)", "d:Description": "Synopsis, review, miscellaneous, screen shots, and buying information.", "topic": "Top/Arts/Animation/Anime/Titles/A/Armitage_III", "url": "http://www.animetric.com/abc/a3.html"} +{"d:Title": "Animefringe: Assemble Insert", "d:Description": "Description and review.", "topic": "Top/Arts/Animation/Anime/Titles/A/Assemble_Insert", "url": "http://www.animefringe.com/magazine/01.06/reviews/3/index.php3"} +{"d:Title": "The Toby Project", "d:Description": "Music, news, introduction, general information and petition to revive the show.", "topic": "Top/Arts/Animation/Anime/Titles/A/Astroboy", "url": "http://www.angelfire.com/mt/astroboy/"} +{"d:Title": "Astroboy Online", "d:Description": "History, images, downloads and links.", "topic": "Top/Arts/Animation/Anime/Titles/A/Astroboy", "url": "http://astroboy.8m.com/"} +{"d:Title": "Astroboy's Homepage", "d:Description": "Creation history, images, story line, and multimedia. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/A/Astroboy", "url": "http://www.members.tripod.com/coolastroboy/"} +{"d:Title": "The Astroboy Epsiode Guide", "d:Description": "Episode synopsis with manga and artbook scans and screen captures from the anime.", "topic": "Top/Arts/Animation/Anime/Titles/A/Astroboy", "url": "http://www.astroboy.tv/"} +{"d:Title": "Astroboy Cartoons", "d:Description": "Gallery, forums, video information, characters, history, and shop.", "topic": "Top/Arts/Animation/Anime/Titles/A/Astroboy", "url": "http://astroboy.com.au/"} +{"d:Title": "Astroboy", "d:Description": "Retrospective of the Astroboy television series with an episode list and links.", "topic": "Top/Arts/Animation/Anime/Titles/A/Astroboy", "url": "http://home.alphalink.com.au/~roglen/astroboy.htm"} +{"d:Title": "Review: Ayane's High Kick", "d:Description": "Plot outline, review, production notes, ratings, and availability.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ayane's_High_Kick", "url": "http://animeworld.com/reviews/ayaneshighkick.html"} +{"d:Title": "Animetric.com: Ayashi no Ceres / Ceres: Celestial Legend", "d:Description": "Summary, review, and screen captures from the anime.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ayashi_no_Ceres", "url": "http://www.animetric.com/abc/ayashi.html"} +{"d:Title": "Ceres: Celestial Legend, Vols. 1-4 (2000)", "d:Description": "Review of the first four DVDs, with disk features and buying information.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ayashi_no_Ceres", "url": "http://www.needcoffee.com/2003/12/07/ceres-celestial-legend-vols-1-4-dvd-review/"} +{"d:Title": "The Nihon Review - Ayashi no Ceres", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Ayashi_no_Ceres", "url": "http://www.nihonreview.com/anime/ayashi-no-ceres/"} +{"d:Title": "The Azuki-chan Database", "d:Description": "Summary, highlights, episode titles, cast list, related media, and links.", "topic": "Top/Arts/Animation/Anime/Titles/A/Azuki-chan", "url": "http://kan-chan.stbbs.net/manga/azuki/maine.html"} +{"d:Title": "Azuki-chan Graffiti", "d:Description": "Fan art and video cover gallery from around the world.", "topic": "Top/Arts/Animation/Anime/Titles/A/Azuki-chan", "url": "http://park14.wakwak.com/~kaoru/take4/azuki/eazgra1.htm"} +{"d:Title": "The Nihon Review - Azumanga Daioh", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/A/Azumanga_Daioh", "url": "http://www.nihonreview.com/anime/azumanga-daioh/"} +{"d:Title": "Baoh", "d:Description": "Brief review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://animeworld.com/reviews/baoh.html"} +{"d:Title": "Battle Arena Toshinden", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://animeworld.com/reviews/toshinden.html"} +{"d:Title": "Battle Royal High School", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://animeworld.com/reviews/battleroyalhighschool.html"} +{"d:Title": "Black Lion", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://animeworld.com/reviews/blacklion.html"} +{"d:Title": "Guide to Blue Gender", "d:Description": "Series information, character guide, and message board.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://www.guide-to.net/bg/index.html"} +{"d:Title": "Baccano!", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://www.nihonreview.com/anime/baccano/"} +{"d:Title": "Bartender", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://www.nihonreview.com/anime/bartender/"} +{"d:Title": "BECK: Mongolian Chop Squad", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://www.nihonreview.com/anime/beck-mongolian-chop-squad/"} +{"d:Title": "Blue Gender", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://www.nihonreview.com/anime/blue-gender/"} +{"d:Title": "Bokura ga Ita", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://www.nihonreview.com/anime/bokura-ga-ita/"} +{"d:Title": "Bokurano", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B", "url": "http://www.nihonreview.com/anime/bokurano/"} +{"d:Title": "Carrot's Bakuretsu Hunter Page", "d:Description": "Image gallery, information and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://members.tripod.com/~tnwu/"} +{"d:Title": "Megasus' Marron-san Page", "d:Description": "Images, webring and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://members.tripod.com/~megasus/"} +{"d:Title": "Bakuretsu Hunter's Realm", "d:Description": "Contains series images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.angelfire.com/sc2/poisonivy/index.html"} +{"d:Title": "Marron No Den'u", "d:Description": "Character shrines, images, multimedia, merchandise and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.angelfire.com/in2/theshrine/"} +{"d:Title": "The Bakuretsu Hunters Fan Symposium", "d:Description": "Fan works submitted by visitors.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.angelfire.com/anime2/bhfiction/index.html"} +{"d:Title": "Sorcerer Hunter/Bakuretsu Hunter Gallery", "d:Description": "Contains fan art, screenshots and scans.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.animecubed.com/galleries/bhunter/"} +{"d:Title": "Sorcerer Hunters Cabana", "d:Description": "Fan fiction, character profiles, and episode reviews.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.angelfire.com/anime3/sorcererhunters/index.html"} +{"d:Title": "Dilando's Bakurestu Hunters Page", "d:Description": "Contains character descriptions and information.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://members.tripod.com/~Dilando/Bakurestu.htm"} +{"d:Title": "Smashed Ice - Sorcerer Hunters", "d:Description": "Contains character and episode information, links and images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.angelfire.com/oh3/C/SH.html"} +{"d:Title": "Sorcerer Hunters", "d:Description": "Contains series images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.angelfire.com/nm/ultima/hunter.html"} +{"d:Title": "Little Red Riding Hood", "d:Description": "Character shrine to Tira Misu with profile, relationship analysis, quotations, and name explanation.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.angelfire.com/stars/LRRH/index.html"} +{"d:Title": "AAW: Sorcerer Hunters Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://animeworld.com/reviews/sorcererhunters.html"} +{"d:Title": "WebRing: Bakuretsu Hunters", "d:Description": "Ring and member services.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bakuretsu_Hunters", "url": "http://www.webring.org/hub/bakuretsu"} +{"d:Title": "The Critical Eye: Barefoot Gen", "d:Description": "Overview of the film and related links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Barefoot_Gen", "url": "http://5x5media.com/eye/film/barefoot.php"} +{"d:Title": "Anime Cafe: Gunnm Review", "d:Description": "Seiyuu information, short synopsis and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Battle_Angel_Alita/Reviews", "url": "http://www.abcb.com/gunnm/index.htm"} +{"d:Title": "Akemi's Anime World: Gunnm", "d:Description": "Summary, review, production notes, seiyuu, and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Battle_Angel_Alita/Reviews", "url": "http://animeworld.com/reviews/gunnm.html"} +{"d:Title": "Yahoo! Groups: Battle Athletes", "d:Description": "Email-list for discussing all aspects of the show. Unmoderated, archives are public, email attachments are not permitted.", "topic": "Top/Arts/Animation/Anime/Titles/B/Battle_Athletes", "url": "http://groups.yahoo.com/group/battle_athletes/"} +{"d:Title": "Yahoo! Groups: Battle Athletes Club", "d:Description": "Membership list, links, and archives open to the public.", "topic": "Top/Arts/Animation/Anime/Titles/B/Battle_Athletes", "url": "http://groups.yahoo.com/group/battleathletessclub/"} +{"d:Title": "AAW: Battle Athletes Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B/Battle_Athletes", "url": "http://animeworld.com/reviews/battleathletes.html"} +{"d:Title": "Sasegeru", "d:Description": "Series FAQ and translation.", "topic": "Top/Arts/Animation/Anime/Titles/B/Berserk", "url": "http://www.skullknight.net/"} +{"d:Title": "Yong's Berserk Page", "d:Description": "Character guide, manga translations, anime episode guide, images, multimedia, and video game information.", "topic": "Top/Arts/Animation/Anime/Titles/B/Berserk", "url": "http://www.angelfire.com/anime4/berserk0/"} +{"d:Title": "The Nihon Review - Berserk", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Berserk", "url": "http://www.nihonreview.com/anime/berserk/"} +{"d:Title": "Big O: Realm of Mecha", "d:Description": "Image gallery, character profiles, episode guides, interviews, wallpaper, and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Big_O,_The", "url": "http://www.angelfire.com/realm/ofmechs/bigo.html"} +{"d:Title": "Paradigm City", "d:Description": "Big O fan site with an image gallery, multimedia, trivia, forums, news, and information about the anime.", "topic": "Top/Arts/Animation/Anime/Titles/B/Big_O,_The", "url": "http://www.paradigm-city.com/"} +{"d:Title": "Adult Swim: Big O", "d:Description": "Introduction, episode guide, and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/B/Big_O,_The", "url": "http://www.adultswim.com/shows/bigo/"} +{"d:Title": "Fan Site for \"The Big O\"", "d:Description": "Contains links, fan fiction, funny pictures, and art for the anime \"The Big O.\"", "topic": "Top/Arts/Animation/Anime/Titles/B/Big_O,_The", "url": "http://www.r-dorothy.com/"} +{"d:Title": "BleachAnime.org", "d:Description": "Character profiles, wallpapers, MP3s, lyrics, episode downloads, and news.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bleach", "url": "http://www.bleachanime.org/"} +{"d:Title": "Bleach Exile", "d:Description": "Provides detailed list of characters, monsters, weapons, and facts about the series and the manga.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bleach", "url": "http://www.bleachexile.com/"} +{"d:Title": "4th Espada", "d:Description": "A shrine for Ulquiorra Schiffer. Contains complete information of the character that includes personality, relationships, appearance, assaults, and his zanpakutou, Murci\u00e9lago. Includes icons, and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bleach", "url": "http://espada.ulquiorra.org/"} +{"d:Title": "Bleach Resource", "d:Description": "A Bleach resource site. Caontains series information, character profiles, the videogames, and trading card scans.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bleach", "url": "http://bleach.eternal-hopes.com/"} +{"d:Title": "The Critical Eye: Blood - The Last Vampire", "d:Description": "Review and image.", "topic": "Top/Arts/Animation/Anime/Titles/B/Blood_-_The_Last_Vampire", "url": "http://purpleplanetmedia.com/eye/film/blood.shtml"} +{"d:Title": "Anime News Network: Blood - The Last Vampire", "d:Description": "Image, synopsis, and review.", "topic": "Top/Arts/Animation/Anime/Titles/B/Blood_-_The_Last_Vampire", "url": "http://www.animenewsnetwork.com/reviews/display.php?id=6"} +{"d:Title": "The Nihon Review - Blood+", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Blood_-_The_Last_Vampire", "url": "http://www.nihonreview.com/anime/blood-plus/"} +{"d:Title": "Dawn's Kawaii Blue Seed Images and Stuff", "d:Description": "Character, production, and episode information. Images in thumbnail and text format.", "topic": "Top/Arts/Animation/Anime/Titles/B/Blue_Seed", "url": "http://members.tripod.com/~dawnie_duckie/blueseedindex.html"} +{"d:Title": "Hayami and Mutio - A Bridge Between Races", "d:Description": "Relationship shrine with images, arguments, counter-arguments, links, and a comparison between the series' species and creatures from Greek mythology.", "topic": "Top/Arts/Animation/Anime/Titles/B/Blue_Submarine_6", "url": "http://www.angelfire.com/anime2/hayamimutio/"} +{"d:Title": "Blue Submarine No.6", "d:Description": "Features images, sounds, music and credits from the series.", "topic": "Top/Arts/Animation/Anime/Titles/B/Blue_Submarine_6", "url": "http://www.vintango.com/bluemain.html"} +{"d:Title": "AAW: Blue Submarine No. 6 Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B/Blue_Submarine_6", "url": "http://animeworld.com/reviews/bluesubmarine6.html"} +{"d:Title": "Saihi's Empress: Passing of the Seasons", "d:Description": "Character profiles, episode summaries and scripts, audio clips, MIDI files, and manga and game information.", "topic": "Top/Arts/Animation/Anime/Titles/B/Boys_Be", "url": "http://seboysbe.tripod.com/"} +{"d:Title": "The Nihon Review - Boys Be\u2026", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Boys_Be", "url": "http://www.nihonreview.com/anime/boys-be/"} +{"d:Title": "Emi-chan's Hana Yori Dango Site", "d:Description": "Introduction, character profiles, poll, FAQ, manga and movie information.", "topic": "Top/Arts/Animation/Anime/Titles/B/Boys_Over_Flowers", "url": "http://niko-niko.net/hana/hana.html"} +{"d:Title": "Stargazer's F4 Fiction", "d:Description": "User-submitted fan fiction and poetry, including a Meteor Garden section.", "topic": "Top/Arts/Animation/Anime/Titles/B/Boys_Over_Flowers", "url": "http://www.angelfire.com/anime4/f4fiction/"} +{"d:Title": "Yahoo! Groups: hydml", "d:Description": "Discussions of the series, with archives, images, and translations available for members.", "topic": "Top/Arts/Animation/Anime/Titles/B/Boys_Over_Flowers", "url": "http://groups.yahoo.com/group/hydml/"} +{"d:Title": "Youma's Hana Yori Dango Cel Gallery", "d:Description": "Small collection of cels.", "topic": "Top/Arts/Animation/Anime/Titles/B/Boys_Over_Flowers", "url": "http://www.angelfire.com/stars3/ginger6806/index.html"} +{"d:Title": "Hana Yori Dango dot com", "d:Description": "Contains character profiles, galleries, episode summaries, downloads, wallpapers, lyrics, and a fan forum.", "topic": "Top/Arts/Animation/Anime/Titles/B/Boys_Over_Flowers", "url": "http://www.animechains.com/hyd"} +{"d:Title": "Animetric.com: Bubblegum Crisis", "d:Description": "Review by Rowena Lim Lei, rated 4/5. \"Each episode can stand on its own, [but] watching them in sequence only makes the [Bubblegum Crisis] experience more encompassing\".", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series", "url": "http://www.animetric.com/abc/bc.html"} +{"d:Title": "The Knight Sabers: To Defend Peace and Justice, and Rid the World of Evil", "d:Description": "Information on all the series, images sorted by character, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series", "url": "http://www.angelfire.com/il2/nocturnalessence/BGC.html"} +{"d:Title": "Another Bubblegum Crisis FAQ", "d:Description": "Information about all the Bubblegum Crisis series, as well as the Usenet newsgroup alt.fan.bgcrisis.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series", "url": "http://robkelk.ottawa-anime.org/bgc-faq.html"} +{"d:Title": "The Bubblegum Crash! Website", "d:Description": "Background information, storyline, articles, character information, lyrics, FAQ and an image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crash", "url": "http://members.tripod.com/~Sylia_Stingray/"} +{"d:Title": "Bubblegum Crash Synopsis Index", "d:Description": "Spoiler-level synopses of the early OAV series.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crash", "url": "http://www.jhedge.com/bgcrash/index.htm"} +{"d:Title": "The Knight Sabers' Gallery", "d:Description": "Small thumbnailed image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032", "url": "http://members.tripod.com/~Asuka_chan/"} +{"d:Title": "The Beginner's Guide to the Knight Sabers", "d:Description": "Features information and beginner's guides to the show and its characters.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032", "url": "http://www.angelfire.com/ga/RLouis/"} +{"d:Title": "The MegaTokyo Website", "d:Description": "Includes general information, detailed episode guide, and articles.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032", "url": "http://members.tripod.com/~RaymondParker/"} +{"d:Title": "Bubblegum Crisis Anime Review", "d:Description": "Review by Raymond Chang. \"Bubblegum Crisis is, in simple terms, a classic. It has everything people have come to expect from anime, and much more\".", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032", "url": "http://www.angelfire.com/wa/RaymondChang/"} +{"d:Title": "Bubblegum Crisis Fanfic Group", "d:Description": "Mailing list.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032", "url": "http://groups.yahoo.com/group/bubblegum/"} +{"d:Title": "AAW: Bubblegum Crisis Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032", "url": "http://animeworld.com/reviews/bubblegumcrisis.html"} +{"d:Title": "BGC Info and Review Site", "d:Description": "Reviews of the different series, music, and the role playing game.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032", "url": "http://jchao.tripod.com/"} +{"d:Title": "The Leon McNichol Shrine", "d:Description": "Dedicated to Leon McNichol, includes character information and images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032/Characters", "url": "http://members.tripod.com/~andersonjm/"} +{"d:Title": "The Shrine to Priss Asagiri", "d:Description": "Dedicated to Priss Asagiri, includes character and hard suit information, images, song list, and multimedia files.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032/Characters", "url": "http://members.tripod.com/~Priss_chan/"} +{"d:Title": "The Sylia Shrine", "d:Description": "Dedicated to Sylia Stingray, includes character information, images, and opinions.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032/Characters", "url": "http://members.tripod.com/~sylia/"} +{"d:Title": "The Temple of the Mountain Headlands", "d:Description": "A Linna Yamazaki shrine, with character information, statistics, hardsuit information, images, sound files, animated GIFs, and MP3s.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2032/Characters", "url": "http://members.tripod.com/~Linna_chan/"} +{"d:Title": "Priss' Garage", "d:Description": "Images, general information, character profiles, history, episode synopses, hardsuits, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2040", "url": "http://members.tripod.com/bardiel26/"} +{"d:Title": "Bubblegum Crisis Tokyo 2040 Knights", "d:Description": "Downloads separated by character. Includes wallpapers, WinAmp skins, and Hotbar skins.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2040", "url": "http://www.stormpages.com/knights2040/"} +{"d:Title": "Guide to Bubblegum Crisis Tokyo 2040", "d:Description": "Series information, character guide, mecha guide, image gallery, and message board.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Bubblegum_Crisis_2040", "url": "http://www.guide-to.net/bgc/index.html"} +{"d:Title": "Soldier Blue Translation", "d:Description": "Translation of the printed story.", "topic": "Top/Arts/Animation/Anime/Titles/B/Bubblegum_Crisis_Series/Soldier_Blue", "url": "http://www.jhedge.com/sldrblue/"} +{"d:Title": "Burn Up! Archen's Anime Page", "d:Description": "Synopsis for each anime, episode summaries, character profiles, song lyrics, links, and images.", "topic": "Top/Arts/Animation/Anime/Titles/B/Burn_Up", "url": "http://scythe.net/archen/misc/buw/"} +{"d:Title": "Canvas 2", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C", "url": "http://www.nihonreview.com/anime/canvas-2/"} +{"d:Title": "Clannad", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C", "url": "http://www.nihonreview.com/anime/clannad/"} +{"d:Title": "Claymore", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C", "url": "http://www.nihonreview.com/anime/claymore/"} +{"d:Title": "Code Geass: Lelouch of the Rebellion", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C", "url": "http://www.nihonreview.com/anime/code-geass-lelouch-of-the-rebellion/"} +{"d:Title": "Code-E", "d:Description": "Story, negative review of the series, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C", "url": "http://www.nihonreview.com/anime/code-e/"} +{"d:Title": "Comic Party", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C", "url": "http://www.nihonreview.com/anime/comic-party/"} +{"d:Title": "Candy Candy Forever", "d:Description": "Image gallery, story synopsis, character profile, music files and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Candy_Candy", "url": "http://members.tripod.com/nanechan/index.html"} +{"d:Title": "Candy Candy and Terri's Page", "d:Description": "Information regarding the lawsuit, fan fiction, forum, image galleries, fan art and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Candy_Candy", "url": "http://www.candyterry.com/"} +{"d:Title": "The Epic Captain Tsubasa Story!", "d:Description": "Compendium of fanfics divided by chapters.", "topic": "Top/Arts/Animation/Anime/Titles/C/Captain_Tsubasa", "url": "http://members.tripod.com/~Noin/"} +{"d:Title": "Be Loud and Be Heard", "d:Description": "Petition to get a better dubbing of the series.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura", "url": "http://ccs.iwarp.com/"} +{"d:Title": "Making Anime a Little Safer for Americans", "d:Description": "Article using Card Captor Sakura as an example of how anime is sanitized for American viewing. [Free registration required]", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura", "url": "http://www.nytimes.com/2002/01/20/arts/television/20CONS.html"} +{"d:Title": "The Nihon Review - Cardcaptor Sakura: The Movie", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura", "url": "http://www.nihonreview.com/anime/cardcaptor-sakura-the-movie/"} +{"d:Title": "The Nihon Review - Cardcaptor Sakura: The Sealed Card", "d:Description": "Story and review of the second movie.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura", "url": "http://www.nihonreview.com/anime/cardcaptor-sakura-the-sealed-card/"} +{"d:Title": "Kero Crisp", "d:Description": "Detailed information about Kero. [Requires Javascript]", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Kero", "url": "http://kerocrisp.tripod.com/"} +{"d:Title": "Bright Spirit", "d:Description": "Character profile, image gallery, fan art, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Meilin_Li", "url": "http://meiling.freeservers.com/"} +{"d:Title": "Lone Flower", "d:Description": "Profile, images, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Meilin_Li", "url": "http://www.angelfire.com/grrl/meiling/"} +{"d:Title": "Love is Marvelous", "d:Description": "Profiles, galleries, fan fiction, links, and a poll for the controversial Rika/Terada relationship", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships", "url": "http://www.angelfire.com/stars2/loveismarvelous/"} +{"d:Title": "Secret Crush!", "d:Description": "Images and information for many different relationships. Some spoilers.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships", "url": "http://chiharu-mihara.tripod.com/secretcrush2.html"} +{"d:Title": "Since We Met", "d:Description": "Dedicated to the Yamazaki/Chiharu (Zachary/Chelsea) relationship.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships", "url": "http://www.angelfire.com/weird/yamazakichiharu/ycshrine.htm"} +{"d:Title": "Yahoo! Groups: Sakura Revolution", "d:Description": "Mailing list for discussing alternate pairings (anything besides Sakura/Syaoran, in other words). Unmoderated, archive for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships", "url": "http://groups.yahoo.com/group/Sakura_Revolution/"} +{"d:Title": "Yahoo! Groups: Cardcaptor Sakura and Li", "d:Description": "Club dedicated to the Sakura/Syaoran pairing.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships/Sakura_and_Syaoran", "url": "http://groups.yahoo.com/group/cardcaptorsakuraandli/"} +{"d:Title": "I Adore Syaoran and Sakura Web Ring", "d:Description": "A web ring for sites supporting the Sakura/Syaoran pairing.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships/Sakura_and_Syaoran", "url": "http://www.webring.org/hub?ring=iadoresyaoranand"} +{"d:Title": "Yahoo! Clubs: Cherry Blossoms of Yuri", "d:Description": "A mailing list and chat room. Open membership, archives available to the public.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships/Sakura_and_Tomoyo", "url": "http://groups.yahoo.com/group/cherryblossomsofyuri/"} +{"d:Title": "A Dreamer's World", "d:Description": "Tomoyo/Eriol network. Rules, HTML fragment, linking images, and members list.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships/Tomoyo_and_Eriol", "url": "http://www.angelfire.com/anime4/dreamersworld/"} +{"d:Title": "Yahoo! Groups : Eriol and Tomoyo", "d:Description": "Mailing List. Open membership, unmoderated, archives for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships/Tomoyo_and_Eriol", "url": "http://groups.yahoo.com/group/Eriol_and_Tomoyo/"} +{"d:Title": "Yahoo! Groups: Eriol and Tomoyo Shrine", "d:Description": "A mailing list and chat room. Open membership, archives available to the public.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Relationships/Tomoyo_and_Eriol", "url": "http://groups.yahoo.com/group/eriolandtomoyoshrine/"} +{"d:Title": "WebRing: Card Captor Sakura", "d:Description": "A webring dedicated to Sakura.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Sakura_Kinomoto", "url": "http://www.webring.org/hub/cardcaptorsakura"} +{"d:Title": "WebRing: United Fans of Syaoran", "d:Description": "A Syaoran webring.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Syaoran_Li", "url": "http://www.webring.org/hub?ring=syaoranring"} +{"d:Title": "Companion (tomoyo fansite)", "d:Description": "Includes character information, analysis, and pictures. Somewhat difficult to navigate; use the gray bars on the left", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Characters/Tomoyo_Daidouji", "url": "http://firey.net/tomoyo/"} +{"d:Title": "CardCaptor", "d:Description": "A general mailing list. Open membership, unmoderated, archives open to the public, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CardCaptor/"} +{"d:Title": "Yahoo! Groups: Card Captor Sakura Club", "d:Description": "Mailing list for discussions about all aspects of the show. Open membership, archives readable by the public.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Chats_and_Forums", "url": "http://groups.yahoo.com/group/cardcaptorsakuraclub/"} +{"d:Title": "Cute \u2019n\u2019 Sweet", "d:Description": "General information, synopsis, fan fiction, artwork, and character look-a-likes.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://members.tripod.com/yukiusa/"} +{"d:Title": "Rabi's Card Captor Sakura", "d:Description": "Manga translations, seiyuu information, character profiles, music, games, and explanations of the clow cards.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://oldcrows.net/~rabi/CCS/"} +{"d:Title": "Yelan Li's House", "d:Description": "Wallpapers, fanart, fanfiction, polls, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://www.angelfire.com/anime3/yelan/index.html"} +{"d:Title": "DLTK's Card Captors Section", "d:Description": "Gallery of web and craft stuff containing clip arts, coloring pages, and printable paper dolls.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://www.dltk-kids.com/anime/cardcaptors/index.htm"} +{"d:Title": "Anime Lyrics: Card Captor Sakura", "d:Description": "Romanizations and translations of songs from the Japanese series, movies and accompanying soundtracks, and lyrics from the US series.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://www.animelyrics.com/anime/ccsakura/"} +{"d:Title": "Michael\u2019s Cardcaptors", "d:Description": "Information on international broadcast and merchandises, bubble comics, fan contests, and fan works.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://www.michaelsfavorites.com/home1.html"} +{"d:Title": "Card Captor Sakura Encyclopedia", "d:Description": "Episode summaries, character information, and merchandise information.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://www.usagi.org/~doi/sakura/"} +{"d:Title": "Sakura's BME Clinic", "d:Description": "Episode summaries, scripts for the English episodes, fan work, and Japanese lessons.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Pages", "url": "http://wannyan.net/sakurabme/en/"} +{"d:Title": "Yuri Fiction Headquarters", "d:Description": "Tomoyo and Sakura fan fiction. No longer updated.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Works", "url": "http://www.angelfire.com/anime2/yurifics/ccs.html"} +{"d:Title": "Love is Marvelous", "d:Description": "Stories involving Rika and Terada.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Works", "url": "http://www.angelfire.com/stars2/loveismarvelous/fanfics.html"} +{"d:Title": "Yahoo! Groups: CCSFanfic", "d:Description": "A mailing for distributing, reading, and critiquing CCS related fan fiction. Unmoderated, archive for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Works", "url": "http://groups.yahoo.com/group/CCSFanfic/"} +{"d:Title": "CLAMP Fanfiction Mailing List", "d:Description": "Discussion List for the writing and appreciation of Clamp fan fiction, including CCS.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Works", "url": "http://www.angelfire.com/tn/Scaramouche/list.html"} +{"d:Title": "Sakura's Cherry Blossoms", "d:Description": "Image galleries and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Works", "url": "http://washuburt.tripod.com/sakurascherryblossoms/"} +{"d:Title": "Kimaera's Card Captor Sakura Fanart Gallery", "d:Description": "Fanart gallery.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Works", "url": "http://www.kimaera.net/ccsart.html"} +{"d:Title": "MediaMiner.org Anime Fan Fiction", "d:Description": "Lrge collection of CCS fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Fan_Works", "url": "http://www.mediaminer.org/fanfic/src.php/a/66"} +{"d:Title": "CCS N' Gang", "d:Description": "Pictures galleries of Sakura, Syaoran, Tomoyo, Meiling, and other characters.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Image_Galleries", "url": "http://www.angelfire.com/anime3/ccsngang/"} +{"d:Title": "Crystal - A CardCaptor Sakura Clique", "d:Description": "A fan clique with members, rules, and information on joining.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Web_Rings", "url": "http://www.angelfire.com/blues2/crystalangel/"} +{"d:Title": "Card Captors Fan Fiction Web Ring", "d:Description": "For sites containing Card Captors fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Web_Rings", "url": "http://www.webring.org/hub?ring=cardcaptorsrealm"} +{"d:Title": "Kero-chan's Card Captor Sakura Ring", "d:Description": "For sites dealing with any aspect of the series.", "topic": "Top/Arts/Animation/Anime/Titles/C/Card_Captor_Sakura/Web_Rings", "url": "http://www.webring.org/hub/keroberos"} +{"d:Title": "Carol", "d:Description": "Synopsis, images and credits.", "topic": "Top/Arts/Animation/Anime/Titles/C/Carol", "url": "http://www.cjas.org/~leng/carol.htm"} +{"d:Title": "Nausicaa.net: The Cat Returns", "d:Description": "Synopsis, song lyrics, video releases, and trailers.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cat_Returns,_The", "url": "http://www.nausicaa.net/miyazaki/neko/"} +{"d:Title": "The Nihon Review - The Cat Returns", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cat_Returns,_The", "url": "http://www.nihonreview.com/anime/the-cat-returns/"} +{"d:Title": "Cheese Family", "d:Description": "Information, images, downloads, merchandise information, an adoption agency, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cheese_Family", "url": "http://cupped-expressions.net/cheese/"} +{"d:Title": "Chibi Maruko-chan", "d:Description": "Brief summary and manga images.", "topic": "Top/Arts/Animation/Anime/Titles/C/Chibi_Maruko-chan", "url": "http://www.angelfire.com/geek/tetrisnomiko/ribbon/maruko.html"} +{"d:Title": "Animanga Pictures Archive: Chirality", "d:Description": "Cels as well as artbook and manga images.", "topic": "Top/Arts/Animation/Anime/Titles/C/Chirality", "url": "http://www.eu.animanga.com/db/gallery/archives/anime/title/chirality"} +{"d:Title": "Anime Lyrics: Chobits", "d:Description": "Lyric transcriptions and translations for the songs from the series.", "topic": "Top/Arts/Animation/Anime/Titles/C/Chobits", "url": "http://www.animelyrics.com/anime/chobits/"} +{"d:Title": "IMDb: Chobits", "d:Description": "Seiyuu and production credits.", "topic": "Top/Arts/Animation/Anime/Titles/C/Chobits", "url": "http://www.imdb.com/title/tt0326672/combined"} +{"d:Title": "Anime Chobits dot com", "d:Description": "Image database, character profiles, episode summaries, artist information and games. Includes information about both the anime and manga.", "topic": "Top/Arts/Animation/Anime/Titles/C/Chobits", "url": "http://www.animechains.com/chobits/"} +{"d:Title": "The Nihon Review - Chobits", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C/Chobits", "url": "http://www.nihonreview.com/anime/chobits/"} +{"d:Title": "Cooking Master Boy Central", "d:Description": "Introduction, character profiles, episode guide, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Chuuka_Ichiban", "url": "http://members.optushome.com.au/davidlee1/chuuka/"} +{"d:Title": "The City Hunter Home Page", "d:Description": "Episode synopses, manga information, images, and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/C/City_Hunter", "url": "http://www.apricot.com/~pillows/CH.htm"} +{"d:Title": "Combustible Campus Guardress Homepage", "d:Description": "Episode guides and a brief story synopsis.", "topic": "Top/Arts/Animation/Anime/Titles/C/Combustible_Campus_Guardress", "url": "http://www.nnanime.com/CCG/index.shtml"} +{"d:Title": "Gateway Campus", "d:Description": "Story and seiyuu information, character profiles and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/C/Combustible_Campus_Guardress", "url": "http://members.tripod.com/~Yusura/"} +{"d:Title": "The Jazz Messengers", "d:Description": "News, summaries, edits, references, gallery, merchandise, and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://www.jazzmess.com/"} +{"d:Title": "Emily's Cowboy Bebop Page", "d:Description": "Story, characters, episode guide, music listing, merchandise and WinAmp skins.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://www.futureblues.com/"} +{"d:Title": "The Lone Gunman's Cowboy Bebop Visual Guide", "d:Description": "Guns, aircraft, vehicles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://bebopguide.50megs.com/"} +{"d:Title": "Bebop World", "d:Description": "Contains character profiles, an image gallery containing art book scans and official posters, media downloads, episode scripts, song lyrics and an interactive fan forum.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://www.animechains.com/bebop"} +{"d:Title": "Edward: Computerized Orange", "d:Description": "A computer screen look themes this site containing character bios, a detailed episode guide, an image gallery, polls, links, and a section on Yoko Konno.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://www.angelfire.com/hero/cbb/index.html"} +{"d:Title": "Cowboy Bebop on Wikipedia", "d:Description": "The online encyclopedia article on the show includes a thorough, but concise history of the show and its featured characters. This is a good starting point for newer fans.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://en.wikipedia.org/wiki/Cowboy_Bebop"} +{"d:Title": "Bebop Musings", "d:Description": "In depth analysis of the characters and various topics featured in the series.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://www.absolutetrouble.com/bebopmusings/"} +{"d:Title": "The Nihon Review - Cowboy Bebop", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop", "url": "http://www.nihonreview.com/anime/cowboy-bebop/"} +{"d:Title": "A Dog, a Girl, and a Tomato", "d:Description": "An Ed and Ein fan site. Includes story, images, quiz and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Characters", "url": "http://www.angelfire.com/jazz/jamminedward/"} +{"d:Title": "Tongari: A Lin Shrine", "d:Description": "Doujin and fanfic centered around Lin.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Characters", "url": "http://www.angelfire.com/ar2/thierry/lin.html"} +{"d:Title": "Ordinary Beautiful", "d:Description": "A site devoted to Cowboy Bebop's Julia, including a large image gallery, character info, fan fics, and links to other Julia sites.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Characters/Julia", "url": "http://www.angelfire.com/anime4/ordinarybeautiful/OrdinaryBeautiful.html"} +{"d:Title": "Yahoo Groups: Cowboy BeBop Blues", "d:Description": "This is a club for fans of Spike Spiegel.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Characters/Spike_Spiegel", "url": "http://finance.groups.yahoo.com/group/Cowboy-Bebop-Blues/"} +{"d:Title": "The Agent Orange Collection", "d:Description": "Agent Orange's fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Fan_Works", "url": "http://www.big-big-truck.com/bebop/ao/"} +{"d:Title": "Blue", "d:Description": "Cyen's fan art page", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Fan_Works", "url": "http://studiocyen.net/blue/"} +{"d:Title": "Space Cowgirl Radical Edward", "d:Description": "A webcomic based on the series, starring Ed.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Fan_Works", "url": "http://www.jazzmess.com/scre/comic.htm"} +{"d:Title": "Linus Mines", "d:Description": "An original humor site featuring art, fiction, and sounds inspired by Cowboy Bebop", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Fan_Works", "url": "http://www.linusmines.com/"} +{"d:Title": "Somewhere Down the Crazy River", "d:Description": "This site offers a wide variety of art images, downloadable wallpapers, an interactive board, music suggestions, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cowboy_Bebop/Fan_Works", "url": "http://www.big-big-truck.com/bebop/"} +{"d:Title": "Bo-Chan's Crayon Shinchan Page", "d:Description": "Character information and series information.", "topic": "Top/Arts/Animation/Anime/Titles/C/Crayon_Shin-chan", "url": "http://members.tripod.com/~Shinnosuke_2/crayonshinchanpage.html"} +{"d:Title": "Crayon Shin-Chan's homepage", "d:Description": "Characters, biography of Shin-chan, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/C/Crayon_Shin-chan", "url": "http://home.netfront.net/~patrick/shinchan/shinchan.html"} +{"d:Title": "Cutey Honey Flash", "d:Description": "Information, images, story synopsis, merchandise and manga scans.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cutey_Honey", "url": "http://www.tokyoland.de/cuteyhoneyeng.html"} +{"d:Title": "Sailor Frankie's Cutey Honey Flash Page", "d:Description": "Images, character information and story synopsis.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cutey_Honey", "url": "http://www.angelfire.com/mi/SailorFrankie/neptune.html"} +{"d:Title": "Lovely Warrior, Cutey Honey", "d:Description": "Series overviews, time line, release information, images, and character descriptions for all incarnations of Cutey Honey.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cutey_Honey", "url": "http://www.cjas.org/~bchow/gonagai/cuteyhoney/"} +{"d:Title": "The Ultimate Cutey Honey Resource", "d:Description": "OVA, television and manga information, character profiles, episode summaries, image galleries, interviews, downloadables, chat, and links.", "topic": "Top/Arts/Animation/Anime/Titles/C/Cutey_Honey", "url": "http://tuchr.tripod.com/"} +{"d:Title": "D7 Peacemaker: Revelation", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/D", "url": "http://animeworld.com/reviews/d7peacemakerrevelation.html"} +{"d:Title": "D7 Peacemaker: Stage 1", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/D", "url": "http://animeworld.com/reviews/d7peacemaker.html"} +{"d:Title": "Demon Fighter Kocho", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/D", "url": "http://animeworld.com/reviews/demonfighterkocho.html"} +{"d:Title": "Dragon Slayer: The Legend of Heroes", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/D", "url": "http://animeworld.com/reviews/dragonslayer.html"} +{"d:Title": "DearS", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D", "url": "http://www.nihonreview.com/anime/dears/"} +{"d:Title": "Darker than Black", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D", "url": "http://www.nihonreview.com/anime/darker-than-black/"} +{"d:Title": "Da Capo II", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D", "url": "http://www.nihonreview.com/anime/da-capo-ii/"} +{"d:Title": "Dancing Blade Fan Page", "d:Description": "Character profiles, story, images, and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dancing_Blade", "url": "http://www.angelfire.com/anime/dancingblade/"} +{"d:Title": "Animetric.com - Night Warriors / Vampire Hunter", "d:Description": "Synopsis and review included.", "topic": "Top/Arts/Animation/Anime/Titles/D/Darkstalkers", "url": "http://www.animetric.com/nop/nw.html"} +{"d:Title": "Ocean Tears", "d:Description": "Fan works, articles and various information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Darkstalkers", "url": "http://www.angelfire.com/nh/rikuo/index.html"} +{"d:Title": "AAW: Night Warriors: Darkstalkers' Revenge Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/D/Darkstalkers", "url": "http://animeworld.com/reviews/nightwarriors.html"} +{"d:Title": "Death Note Official U.S. Site", "d:Description": "Viz's official site contains story, character information and the Viz shop. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/D/Death_Note", "url": "http://deathnote.viz.com/"} +{"d:Title": "The Nihon Review - Death Note", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Death_Note", "url": "http://www.nihonreview.com/anime/death-note/"} +{"d:Title": "Meitantei Conan Review Pages", "d:Description": "Summary and overall review, parental guide, individual film and episode reviews, and trivia.", "topic": "Top/Arts/Animation/Anime/Titles/D/Detective_Conan", "url": "http://www.abcb.com/conan/"} +{"d:Title": "Sasami's Detective Conan Homepage", "d:Description": "Character profiles, episode and manga volume list, episode and movie summaries, songs, gallery, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Detective_Conan", "url": "http://www.beikacho.com/conan/"} +{"d:Title": "Devil Man's Devilman Manga Homepage", "d:Description": "Character profiles, images and introduction.", "topic": "Top/Arts/Animation/Anime/Titles/D/Devilman", "url": "http://www.angelfire.com/de/devilmanmanga/"} +{"d:Title": "Devilman", "d:Description": "Brief overview and character descriptions for Devilman, Devilman Lady and Violence Jack. Also images and release information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Devilman", "url": "http://www.cjas.org/~bchow/gonagai/devilman/"} +{"d:Title": "Those Who Hunt Devils", "d:Description": "Summaries, profiles, images, and a store.", "topic": "Top/Arts/Animation/Anime/Titles/D/Devil_Hunter_Yohko", "url": "http://devilhunters.tripod.com/"} +{"d:Title": "Digimon Tamers Resources", "d:Description": "Background information and images from the chief series writer and editor, Chiaka J. Konaka. Japanese and English content.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon", "url": "http://www.konaka.com/alice6/tamers/"} +{"d:Title": "Wikipedia: Digimon", "d:Description": "Contains an overview of the anime and comics.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon", "url": "http://en.wikipedia.org/wiki/Digimon"} +{"d:Title": "Kawaii Light", "d:Description": "Kari shrine with character information, indepth Gatomon information, and an overview of her role in the series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://yagami-hikari.tripod.com/"} +{"d:Title": "Bravado", "d:Description": "A Davis fan site with quotes, information, opinions and commentaries, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://leto.0catch.com/davis/"} +{"d:Title": "Senior Blues", "d:Description": "Joe shrine with introduction, profile, relationships, quotes, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://leto.0catch.com/joe/"} +{"d:Title": "Chaos Flare", "d:Description": "A shrine to Beelzebumon with information on Beelzebumon and Impmon, image gallery, multimedia, fan art and doujinshi.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.angelfire.com/anime4/beelzebumon/index.html"} +{"d:Title": "All That is Ishida", "d:Description": "Shrine to Yamato Ishida. Character information, images, quotes, fan works, club, and image song lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.angelfire.com/extreme/yamato/"} +{"d:Title": "Young Innocence", "d:Description": "Shiuchon (Suzie) and Lopmon shrine with character information, image gallery, quotes and fan works.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://young_innocence.tripod.com/"} +{"d:Title": "Akiyama", "d:Description": "Shrine to Ryo Akiyama with character information, downloads, images, timelines and merchandise information from the games and anime.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://akiyama.ryo.nu/"} +{"d:Title": "MYIS", "d:Description": "Yamato (Matt) shrine with facts about his name, quotes, fan works, images, games, humor section and voice actor information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.angelfire.com/anime/marisan/yamato_ishida.htm"} +{"d:Title": "Digitamamon&Bakumon's House o' Ramen (and digimon)", "d:Description": "Fan art and mini shrines to angsty characters from 02 and Mummymon and Arukenimon.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.angelfire.com/oh2/lith/digimon/"} +{"d:Title": "Lillymon Garden", "d:Description": "Lillymon shrine with character information, image gallery, media clips, fan works and product reviews.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.azure-skies.net/lillymon/"} +{"d:Title": "Rosemon Garden", "d:Description": "Rosemon shrine with character information, appearances and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.azure-skies.net/rosemon/"} +{"d:Title": "Simply Sincerity", "d:Description": "Mimi shrine with character profile, images, relationships, and commentary.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.users.on.net/~cstanley/mimi/"} +{"d:Title": "Chirp!", "d:Description": "Piyomon (Biyomon) shrine containing images, media and character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.swellpage.com/piyomon/"} +{"d:Title": "Eclipse", "d:Description": "Renamon shrine and fanlisting.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.ephemeral-dream.com/fan/renamon/"} +{"d:Title": "Walk on the Edge", "d:Description": "Website about Yamato Ishida, containing detailed character information, fanart and other media.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://aquawings.net/yamato/"} +{"d:Title": "T.K.'s World", "d:Description": "A site dedicated to Takeru \"T.K.\" Takaishi. Contains character information, images, music, and icons.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters", "url": "http://www.kibouu.net/takeru/"} +{"d:Title": "Destined Love", "d:Description": "Mimato fan site with fan created works, pictures, information and related links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters/Relationships", "url": "http://www.angelfire.com/anime3/destinedlove/"} +{"d:Title": "Mixed Wind", "d:Description": "Henry/Rika shrine with profiles, image gallery, fan works, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters/Relationships", "url": "http://www.angelfire.com/anime4/jenruki0/"} +{"d:Title": "Takari's Castle", "d:Description": "Fan site for TK, Kari and their Digimon. Photos, fan art, fan fiction, and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters/Relationships", "url": "http://cass_lillymon.tripod.com/"} +{"d:Title": "Takari and PataGato Corner", "d:Description": "TK/Kari and Patamon/Gatomon site with coupling proof, fanworks, media and discussion forum.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Characters/Relationships", "url": "http://vgfuture.com/host/hopelight/"} +{"d:Title": "Digimon Advanced", "d:Description": "Discussion of the series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Chats_and_Forums", "url": "http://s4.invisionfree.com/Digimon_Advanced/index.php?act=idx"} +{"d:Title": "Anime Message Board", "d:Description": "Forum where fans can discuss the Digimon anime series and characters from the current season.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Chats_and_Forums", "url": "http://digimonster.yuku.com/"} +{"d:Title": "With the Will", "d:Description": "Fan community for the Digimon series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Chats_and_Forums", "url": "http://withthewill.net/index.php"} +{"d:Title": "Digital World X", "d:Description": "Discussion of the anime series and CCG. Also contains a board based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Chats_and_Forums", "url": "http://digitalworldx2.proboards.com/"} +{"d:Title": "Digifantasies", "d:Description": "Polls, seiyuu and links. Also fan art and fan fiction, submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://www.angelfire.com/ok3/Digifantasy/"} +{"d:Title": "The Universal Digiport", "d:Description": "Season one and two information, D3 Forms, episode guide, card game information, character profiles, and screenshots.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://unidigiport.tripod.com/"} +{"d:Title": "You Never Know", "d:Description": "Humor site with proof of Izzy and Matt's brotherhood, random rants, images, fan fics, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://linkandluigi.freehosting.net/"} +{"d:Title": "DigiIzzy", "d:Description": "Storyline, character information, episode guides, images, and information about the movies, card game, and Digivices.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://members.tripod.com/kidu0/index.htm"} +{"d:Title": "Digiparadise", "d:Description": "Relationship and character shrines, images, humor, and commentary.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://www.angelfire.com/me3/Digiparadise/"} +{"d:Title": "MetalGreymon's Digimon Site", "d:Description": "Pictures, character profiles, downloads, growth chart and a chat.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://www.angelfire.com/ut/digiworld444/frame1.html"} +{"d:Title": "Digital Carrots", "d:Description": "Digimon fan site with bizzare humor and fan created biographies of the next generation of digidestined.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://maggy49.tripod.com/"} +{"d:Title": "The Digimon Nexxus", "d:Description": "Card, encyclopedia, and artbook scans.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://digimon.thenexxus.org/"} +{"d:Title": "The Shining Evolution", "d:Description": "An informational Digimon site covering the anime, games and manga series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://shiningevo.ultimatedigimon.com/index2.php"} +{"d:Title": "The Digi-Zone", "d:Description": "Contains information, images and media from the anime, manga series and CCG.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://www.thedigi-zone.com/"} +{"d:Title": "The Digimon Encyclopedia", "d:Description": "Series information, US/Japanese timelines, interviews with voice actors, episode reviews, fan fiction, fan art, and soundtrack lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://digipedia.db-destiny.net/"} +{"d:Title": "Megchan's Digimon Lyrics", "d:Description": "Japanese, romanized, and translated lyrics for Digimon songs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://www.megchan.com/lyrics2/digimon.html"} +{"d:Title": "DigiIzzy", "d:Description": "Storyline, character information, episode guides, images, and information about the movies, card game, and Digivices.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://kidu0.tripod.com/index.htm"} +{"d:Title": "Digimon Forever", "d:Description": "Site covering all three seasons of Digimon.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://digimon4ever121.tripod.com/"} +{"d:Title": "The Digi-Place", "d:Description": "Character profiles, episode guide, polls and pictures.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://digi-place.tripod.com/"} +{"d:Title": "Digiworld Canada", "d:Description": "Fansite containing episode guides and audio clips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Pages", "url": "http://members.shaw.ca/jpwong/"} +{"d:Title": "Hey Digimon Art", "d:Description": "Fan art, mini-comics, images, screenshots, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Works", "url": "http://sora_avalon.tripod.com/heydigimonart/"} +{"d:Title": "Digimon: Crisis In Digiworld", "d:Description": "Site for the fic 'Crisis In Digiworld', visitors can also submit their own fiction and art.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Works", "url": "http://digicrisis.8m.com/"} +{"d:Title": "Bat-Pig Productions", "d:Description": "Online comic series featuring Patamon as Bat Pig.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Works", "url": "http://www.angelfire.com/anime3/batpigcave/"} +{"d:Title": "Toaster Happy", "d:Description": "Site for the 'Longing for Paradise' fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Works", "url": "http://www.angelfire.com/anime4/toasterhappy/"} +{"d:Title": "Digimon Stories", "d:Description": "Archive of Digimon stories with emphasis on Season 01.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Works", "url": "http://sm_angel.tripod.com/fanfic.html"} +{"d:Title": "Heaven's Charm", "d:Description": "Fan stories, poems and drawings about Angemon and Angewomon.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Works", "url": "http://members.tripod.com/digi_angel02/"} +{"d:Title": "Digimon-Destined", "d:Description": "Fan fiction series in which the Digi-Destined are turned into Digimon.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Fan_Works", "url": "http://www.otaku-village.com/ddestined.html"} +{"d:Title": "DLTK's Digimon Crafts for Kids", "d:Description": "Free printable templates and instructions for crafts, coloring pages and birthday invitations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Multimedia", "url": "http://www.dltk-kids.com/anime/digimon.html"} +{"d:Title": "The Happy Galgomon Gif Factory", "d:Description": "Collection of fan created GIFs based on characters from the anime, games and manga.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Multimedia", "url": "http://giffactory.joeyteel.com/"} +{"d:Title": "Data Decided", "d:Description": "Invision board based Digimon RPG.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Roleplaying", "url": "http://z6.invisionfree.com/Data_Decided/index.php?act=idx"} +{"d:Title": "Digimon", "d:Description": "Board based RPG where you battle players to take control of the Digital World.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Roleplaying", "url": "http://digiking.proboards.com/"} +{"d:Title": "Deciding Factors", "d:Description": "Story-based RPG that includes 02 and fan created characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Roleplaying", "url": "http://decidingfactors.proboards.com/"} +{"d:Title": "Digimon Forum RP", "d:Description": "Forum based Digimon role-playing site.", "topic": "Top/Arts/Animation/Anime/Titles/D/Digimon/Roleplaying", "url": "http://www.digimonforumrp.proboards.com/"} +{"d:Title": "Tea Time in Elenore City", "d:Description": "Information on the original novels, the classic anime, Adam Warren's American Dirty Pair comics, and Dirty Pair Flash.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dirty_Pair", "url": "http://www.elenorecity.com/home.html"} +{"d:Title": "Di Gi Charat", "d:Description": "Show information, character profiles, and episode summaries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Di_Gi_Charat", "url": "http://www.angelfire.com/anime3/chibiseries/digicharat.html"} +{"d:Title": "WebRing: Di Gi Charat", "d:Description": "List of sites, HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/D/Di_Gi_Charat", "url": "http://www.webring.org/hub/digicharat"} +{"d:Title": "Animetric.com - DNA", "d:Description": "Information, screen shots, reviews, and ratings.", "topic": "Top/Arts/Animation/Anime/Titles/D/DNA\u00b2", "url": "http://www.animetric.com/def/dna.html"} +{"d:Title": "Animetric.com: Dominion Tank Police", "d:Description": "Synopsis, review, and screen shots.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dominion_Tank_Police", "url": "http://www.animetric.com/def/dtp.html"} +{"d:Title": "AAW: Dominion Tank Police Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dominion_Tank_Police", "url": "http://animeworld.com/reviews/dominion.html"} +{"d:Title": "Japanese can't get enough of Doraemon", "d:Description": "From CNN.com. Details the public obsession with Doraemon and describes the series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Doraemon", "url": "http://www.cnn.com/WORLD/9705/02/cartoon.stamp/"} +{"d:Title": "Silver Sugar Bunnies", "d:Description": "Gotenks shrine with MIDIs, lyrics, images, quiz, adoptions, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters", "url": "http://www.angelfire.com/ar2/ariamirai/"} +{"d:Title": "The Cat on Dr. Brief's Shoulder", "d:Description": "This site centers around the mysterious black cat that is ever-present on the shoulder of Dr. Briefs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters", "url": "http://www.angelfire.com/in2/tbcodbs/index.html"} +{"d:Title": "Gohan's DBZ Domain", "d:Description": "Information and pictures of the main characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters", "url": "http://gohan0_1.tripod.com/"} +{"d:Title": "Realm of the DragonBall Girls", "d:Description": "Shrine to the female characters. Includes fan works, general information, relationships, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters", "url": "http://www.angelfire.com/dbz/GirlsShrine/Enter.html"} +{"d:Title": "Vegeta and Bulma's Capsule Corp Domain", "d:Description": "Bulma and Vegeta fans site with profiles, fan art and fiction, and gallery.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters", "url": "http://www.angelfire.com/anime4/veggie4bulma/bulandveg.html"} +{"d:Title": "Bulma Pics Site", "d:Description": "Includes Bulma and Vegeta pictures and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Bulma", "url": "http://www.angelfire.com/nj/SailorSweet/"} +{"d:Title": "The ChiChi Shrine", "d:Description": "Images, information, fan fiction and art.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Chichi", "url": "http://www.angelfire.com/wv/chichi/"} +{"d:Title": "Chichi's House", "d:Description": "Yahoo! Group for members, message board, and photos.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Chichi", "url": "http://groups.yahoo.com/group/chichishouse/"} +{"d:Title": "SSJ2 Gohan's Power", "d:Description": "Super Saiyan levels, young Gohan pictures, teen Gohan pictures, group pictures, wallpapers, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Gohan", "url": "http://ssgohan762.tripod.com/gohansrage/"} +{"d:Title": "Goku's Revenge", "d:Description": "Animations, images, power levels.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Goku", "url": "http://www.angelfire.com/ny3/gokusrevenge/frames.html"} +{"d:Title": "Android 18's Family Shrine", "d:Description": "Video clips, pictures, and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Number_18", "url": "http://www.angelfire.com/anime4/android18krillyn/"} +{"d:Title": "Piccolo Dragon Ball Z", "d:Description": "Pictures, information, and sagas.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Piccolo", "url": "http://www.angelfire.com/ny5/namekdbz/"} +{"d:Title": "Piccolo's Namek Nations United", "d:Description": "This site is about Piccolo and mainly the Namek's role in dbz.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Piccolo", "url": "http://www.angelfire.com/ny5/nameknation0/index.html"} +{"d:Title": "Piccolo's Traveling Circus", "d:Description": "Humor, pictures, music, and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Piccolo", "url": "http://www.angelfire.com/weird2/mustard7/index09.htm"} +{"d:Title": "The Ultimate Shrine To Tenshinhan", "d:Description": "Biography, power levels, timeline and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Tien", "url": "http://www.angelfire.com/anime2/tien/"} +{"d:Title": "Trunks", "d:Description": "Information on the character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Trunks", "url": "http://trunkz_dbz.tripod.com/"} +{"d:Title": "Trunks' Shrine", "d:Description": "Thumbnailed image gallery. [DHTML required]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Trunks", "url": "http://members.tripod.com/trunks_shrine/index.html"} +{"d:Title": "Trunks Shrine 2000", "d:Description": "Images, humor, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Trunks", "url": "http://ihvtweek.tripod.com/"} +{"d:Title": "Temple O' Trunks", "d:Description": "Multimedia, images, fan fiction, games, information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Trunks", "url": "http://www.templeotrunks.com/"} +{"d:Title": "Chris' Vegeta Page", "d:Description": "Features images and information on family, attacks, power levels, and forms.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Vegeta", "url": "http://www.angelfire.com/anime/vegetto1/"} +{"d:Title": "West Capital City: A Vegeta Lover's Asylum", "d:Description": "Fan fiction and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Vegeta", "url": "http://vegetacity.0catch.com/DBZpage01.html"} +{"d:Title": "Happily Scowling", "d:Description": "Features fan fiction, images, humor, information, polls, a chatroom, and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Vegeta", "url": "http://www.angelfire.com/anime3/dbzbakasfic/"} +{"d:Title": "The Veggie Cafe", "d:Description": "Features information and images, with content laid out like a restaurant menu.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Vegeta", "url": "http://www.angelfire.com/vt/vegeta7/"} +{"d:Title": "Ego Trip X", "d:Description": "Features images, information, humor, fan works, and coloring pages. Updated monthly.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Vegeta", "url": "http://www.angelfire.com/ego2/dbz75717/index.html"} +{"d:Title": "Vegeta Lover", "d:Description": "Includes information, pictures, fan works, humor, doujinshi scans, and interactive activities such as lists, quizzes, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Characters/Vegeta", "url": "http://vegeta-lovers-site.tripod.com/"} +{"d:Title": "Royal Saiyajin Empire", "d:Description": "Dragon Ball Z fan club. Newsletters and chats.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Chats_and_Forums", "url": "http://www.angelfire.com/on2/rsemain/"} +{"d:Title": "The People's Board", "d:Description": "Message board with general conversation, fan fiction, fan art, and roleplaying game.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Chats_and_Forums", "url": "http://perfectlyl.proboards.com/"} +{"d:Title": "Vegeta13's Dragon Ball Z Webpage", "d:Description": "Links and a poll.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Directories", "url": "http://www.angelfire.com/ia/Vegeta13/"} +{"d:Title": "The Best Dbz Links on the Web", "d:Description": "Unsorted links, no descriptions.[Pop-ups]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Directories", "url": "http://www.angelfire.com/hi3/juice/"} +{"d:Title": "Alt.Discuss.Anime.Dragonball Homepage", "d:Description": "Webtv newsgroup homepage. FAQ, chat forum, IRC list, multimedia, charter, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/A", "url": "http://www.angelfire.com/ny2/ADAnimeDragonball/"} +{"d:Title": "Bcrystal's Dragonball Page", "d:Description": "Games, story line, character profiles, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/B", "url": "http://blast709.tripod.com/Dragonball/"} +{"d:Title": "Cellgetta's Dragonball Z/GT", "d:Description": "Images, character profiles, and a rolepaying game.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/C", "url": "http://www.angelfire.com/dbz/cellgettasdbzsite/"} +{"d:Title": "Capsule Corporation Headquarters", "d:Description": "FAQ, gallery and character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/C", "url": "http://www.capcorphq.com/"} +{"d:Title": "Dragon Ball Galaxy", "d:Description": "Images, GIFs and music.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/D", "url": "http://www.angelfire.com/tv/dragonballgalaxy/index.html"} +{"d:Title": "DB, DBZ, DBGT", "d:Description": "Animated GIFs, profiles, and evolution information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/D", "url": "http://imannjdevil.tripod.com/dragonballdbzanddbgtinfoandsuch/"} +{"d:Title": "Dragon Ball Sanctuary", "d:Description": "Summaries, animations, images, character profiles and links sorted by series. [Pop-up windows]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/D", "url": "http://www.angelfire.com/de/dragonballsanctuary/"} +{"d:Title": "DBZGT Rules", "d:Description": "Images, character profiles, episode guide, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/D", "url": "http://futuretrunks370.tripod.com/"} +{"d:Title": "GohTizzy's DragonBall Titanium 3000", "d:Description": "Images, character profiles, multimedia, poll, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/G", "url": "http://dbt_3000.tripod.com/"} +{"d:Title": "Gohan's Dragonball Page", "d:Description": "Images, episode summaries and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/G", "url": "http://www.angelfire.com/anime/DrAGoNBallZGt/"} +{"d:Title": "Goku's Escape", "d:Description": "Images, movies, information, sounds, character profiles, anti-Pokemon and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/G", "url": "http://www.angelfire.com/yt/gokusescape/"} +{"d:Title": "Gogeta's Realm", "d:Description": "Pictures, series information, character biographies, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/G", "url": "http://www.angelfire.com/tn3/gogetasrealm/main.html"} +{"d:Title": "Great Antonio's DBZ", "d:Description": "Saiya-Jin stages, legendary Super Saiyan, fusion types, villains, Dragonball/Z/GT episode list, power levels, character deaths, and Dragonball summary.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/G", "url": "http://www.angelfire.com/anime3/greatantonio/"} +{"d:Title": "Goku's Flock", "d:Description": "Dragonball storyline, Dragonball information, manga information, image gallery, fan art, multimedia, DB games, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/G", "url": "http://members.tripod.com/freak_online0/"} +{"d:Title": "Harry's Dragon Ball Z Site", "d:Description": "Information on all three seasons, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/H", "url": "http://www.members.tripod.com/harrysdbzsite/"} +{"d:Title": "K.V. A New World", "d:Description": "Images, multimedia, character profiles, power levels, summaries, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/K", "url": "http://www.angelfire.com/nj3/Anewworld/Main.html"} +{"d:Title": "Pyron's Domain", "d:Description": "Power levels, attacks, quiz, images, movies, downloads and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/P", "url": "http://www.angelfire.com/hi/pyron/"} +{"d:Title": "Planet Z", "d:Description": "Image archive, multimedia, FAQ and character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/P", "url": "http://www.angelfire.com/in2/planetz/"} +{"d:Title": "Ravi's DBZ Site", "d:Description": "Includes information, downloads and guestbook.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/R", "url": "http://www.angelfire.com/dbz/ravipatel00/"} +{"d:Title": "Son Goku's Dragonball Z\\GT", "d:Description": "Images and news.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/S", "url": "http://songoku99.tripod.com/"} +{"d:Title": "Saiyajin Revenge", "d:Description": "Images, summaries and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/S", "url": "http://www.angelfire.com/dbz/Saiyajinrevenge2/index2.html"} +{"d:Title": "Saiyan Evolution", "d:Description": "Information, summaries and characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/S", "url": "http://ddboy_infinity.tripod.com/saiyanevolution/"} +{"d:Title": "True Sayajins Website", "d:Description": "Contains links and translations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/T", "url": "http://www.stormpages.com/ssjsean/true.html"} +{"d:Title": "Three Imaginary Boys", "d:Description": "Images, stories and character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/T", "url": "http://imaginaryboys.altervista.org/english/DragonBall/DragonBall.htm"} +{"d:Title": "Toba85's Saiyan Page", "d:Description": "Images sorted by character, animations, fan fiction, and character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/T", "url": "http://toba85.tripod.com/Main.html"} +{"d:Title": "Ultimate Dbz/Gundam Wing", "d:Description": "Information, pictures and humor. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/U", "url": "http://www.angelfire.com/anime2/ultimatedbzgundam/"} +{"d:Title": "Vegitto's Domain", "d:Description": "Power levels, images and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Pages/V", "url": "http://www.angelfire.com/dbz/vegitogogita/"} +{"d:Title": "The Dragon Ball Fanfiction Library", "d:Description": "Fiction separated by author, title, and genre. Home to over 500 works.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Works", "url": "http://dbfl.gokugirl.com/"} +{"d:Title": "Phoenix's Realm", "d:Description": "Dragon Ball and Final Fantasy fanart and fanfics.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Works", "url": "http://www.angelfire.com/dragon2/blackphoenixrealm"} +{"d:Title": "Majin Planet", "d:Description": "Anime music videos, weekly competitions, reviews, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Fan_Works", "url": "http://majin-planet.net/"} +{"d:Title": "Master Gohan and Goku's RPG", "d:Description": "Offers rules, message board and character list.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/rpghomeland/"} +{"d:Title": "2001: A Fighters Odessy", "d:Description": "Played via AOL's instant messager. Contains game information, characters, and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/anime2/gohanrpg51/"} +{"d:Title": "Gohan and Gotens DBZ RPG", "d:Description": "Statistics, battles and joining. AOL chat.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/rpg/gundamrpe/index3.html"} +{"d:Title": "Gohan's Ultimate DBZ RPG", "d:Description": "Attacks, training, links and joining information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/rpg/gohanultimatedbzrpg/"} +{"d:Title": "DBZ Lords", "d:Description": "Fighting, information, message board and items.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/realm/dbzlords/"} +{"d:Title": "Saiyans Fade", "d:Description": "Jobs, masters, characters and attacks.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/rpg2/saiyansfade/"} +{"d:Title": "Vegeta and Gohans RPG", "d:Description": "Contains members and battle rooms.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/Roleplayinggm/"} +{"d:Title": "Sayajin King's Rpg", "d:Description": "Powerups, information, battles and training.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://sayajin_king.tripod.com/sayajinkingsrpg/"} +{"d:Title": "Dragonball Fighter's Circle", "d:Description": "Members, rules, aura and charts.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/rpg2/fc/"} +{"d:Title": "Dragon Ball Games", "d:Description": "Games, progress, statistics, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://dbgames0.tripod.com/"} +{"d:Title": "Dragon Ball Zenith - A DBZ rpg", "d:Description": "Yahoo! Group RPG set 2 million years after the Z warriors. Uses the 4th Edition Hero System. Requires registration.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://groups.yahoo.com/group/D_B_Zenith/"} +{"d:Title": "Legendary DBZ RPG v2.0: Absolute Zero", "d:Description": "Features a web site with characters and standings and gameplay. AOL or AIM is required.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/rpg2/ldr2az/index.html"} +{"d:Title": "Dragon Ball X", "d:Description": "Chatroom based game with user created moves and characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/DragonballX/"} +{"d:Title": "The Dragonball Fansub Domain", "d:Description": "Rules for distribution and trade and list of available tapes.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Merchandise", "url": "http://www.angelfire.com/anime/fansubdomain/index.html"} +{"d:Title": "The Dragonball Toy Island", "d:Description": "Comprehensive study of toys from the three shows. Images, release dates and price range.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Merchandise", "url": "http://www.dragonballtoys.com/"} +{"d:Title": "Dragonball Undubbed", "d:Description": "DB/Z/GT images and movie clips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Multimedia", "url": "http://www.angelfire.com/dbz/dbundubbed/"} +{"d:Title": "Final Kamehameha", "d:Description": "Full movies, full episodes, images, movie clips, flash games, wallpapers, screensavers, and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball/Multimedia", "url": "http://finalkamehameha1.tripod.com/"} +{"d:Title": "Dragon Ball GT", "d:Description": "The official site for the series. Features include news, character profiles, episode summaries, fan works and a store. Some sections of this site require Flash 6.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_GT", "url": "http://www.dragonballgt.com/"} +{"d:Title": "The Nihon Review - Dragonball Z", "d:Description": "Negative review of the series and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z", "url": "http://www.nihonreview.com/anime/dragonball-z/"} +{"d:Title": "Dragonball Z Head Quarters", "d:Description": "Character profiles, gifs, and galleries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Characters", "url": "http://www.angelfire.com/home/generalc4/index.html"} +{"d:Title": "Okashi", "d:Description": "Includes information about Majin Buu, images, fan art, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Characters", "url": "http://inkchocobo.tripod.com/"} +{"d:Title": "Ultimate Perfection", "d:Description": "Doujinshi, fan fiction, humor, theories and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Characters/Cell", "url": "http://ultimateperfection1.tripod.com/"} +{"d:Title": "Frieza's Fury", "d:Description": "Images, sound clips, history, and fighting techniques.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Characters/Frieza", "url": "http://www.angelfire.com/yt/frieza/"} +{"d:Title": "A Shrine to Frieza", "d:Description": "Images, animations, fan fiction, movie clips, sound clips, music and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Characters/Frieza", "url": "http://www.angelfire.com/vt/GreatRPG/index2.html"} +{"d:Title": "Chibi&Kawaii", "d:Description": "Images and lots of information, all on Chibi Goten.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Characters/Son_Goten", "url": "http://www.angelfire.com/anime/gotenshrine/"} +{"d:Title": "Zarbon's Shrine", "d:Description": "Images and history.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Characters/Zarbon", "url": "http://www.angelfire.com/ab/zarbon/"} +{"d:Title": "Goku's Dragon Ball Z Megaverse", "d:Description": "A list of links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Directories", "url": "http://www.angelfire.com/anime/gokusdragonballzmega/index.html"} +{"d:Title": "Andy's DBZ Attack", "d:Description": "Images, power levels, and general information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://www.angelfire.com/anime2/andyiscool/"} +{"d:Title": "The Alternate Dimension", "d:Description": "Images, character profiles, summaries, multimedia, downloads, and poll.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://www.angelfire.com/anime2/AlternateDimension/"} +{"d:Title": "Android 21's Dragonball Dungeon", "d:Description": "Fusions, attack list, links, quotes, power levels, and android profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://kirby29.tripod.com/dragonballdungeon/"} +{"d:Title": "Allstarrs Site - The Dbz Shrine", "d:Description": "Features timeline, character information, brief story summary, music video clips and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://www.angelfire.com/anime2/allstarr/"} +{"d:Title": "The Androids Time Forgot", "d:Description": "Image gallery, general information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://www.codeman.8k.com/"} +{"d:Title": "Animezing", "d:Description": "Introduction, character power levels, and gallery.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://zman441.tripod.com/animazing"} +{"d:Title": "Anime Garage: Dragonball Z", "d:Description": "Images, power levels, codes, character profiles, and Sayajin levels.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://www.angelfire.com/anime2/tenkaman003/"} +{"d:Title": "Anime Otaku Page", "d:Description": "Images, sound clips, music, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/A", "url": "http://www.angelfire.com/nj2/panzerfaust/"} +{"d:Title": "Bravoz Dragonball Z Page", "d:Description": "General information, images, links, poll and RPG.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/B", "url": "http://www.angelfire.com/anime/bravozdbz/"} +{"d:Title": "Boo's Dragon Ball Z Site", "d:Description": "Pictures, animated GIFs, episode summaries, and character descriptions.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/B", "url": "http://croso.8m.com/"} +{"d:Title": "Best of DragonBallZ", "d:Description": "Images, character profiles, animations, fusions, attacks, and icons.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/B", "url": "http://www.angelfire.com/anime/DragonBallZpage1/"} +{"d:Title": "Brollys Wrath", "d:Description": "Episode guide, profiles and pictures.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/B", "url": "http://brolly42.tripod.com/brollyswrath/index.html"} +{"d:Title": "Bobby's DBZ", "d:Description": "Pictures, animated GIFs, theme songs, biographies, power levels, links, forums, chat room, and voting.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/B", "url": "http://www.angelfire.com/sc3/bobbysdbz/"} +{"d:Title": "Belgeta's World", "d:Description": "Biographies, power levels, summaries and other information", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/B", "url": "http://vegeto19.tripod.com/Belgeta/"} +{"d:Title": "Chewbacca2K's DBZ Site", "d:Description": "Characters profiles, power level information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/C", "url": "http://www.angelfire.com/id/chew98/dbz.html"} +{"d:Title": "Chaos, Mayhem, and Evil Plotting", "d:Description": "Character profiles, animations, RPG, images and music.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/C", "url": "http://www.angelfire.com/anime/dbzchaos/frame.html"} +{"d:Title": "Chrimane's Homepage", "d:Description": "Offers images, animations and game cheats.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/C", "url": "http://www.angelfire.com/fl4/Goku/"} +{"d:Title": "Chris's Totally DBZ Website", "d:Description": "Animations, images, transformations, and manga review.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/C", "url": "http://yerkaz.tripod.com/DBZ.htm"} +{"d:Title": "Chicks Dig DBZ", "d:Description": "Humor, links, images and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/C", "url": "http://dbz4chicks.tripod.com/"} +{"d:Title": "Charles X2 Ultimate DBZ", "d:Description": "With profiles, general information, media, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/C", "url": "http://www.angelfire.com/dbz/charlesX2page/index.html"} +{"d:Title": "DBZ Rewind", "d:Description": "Manga scans, character profiles, fusion list, images, animated gifs, fan art, and game information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dbzrewind.8m.com/"} +{"d:Title": "DBZland", "d:Description": "Images, fan fiction, and power levels.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/tn/dbzland/"} +{"d:Title": "The DBZ Garage", "d:Description": "Polls, links, multimedia and gaming tips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/tn/DBZGarage/"} +{"d:Title": "DBZ Hideout", "d:Description": "Images, animations, power levels, character profiles, movie clips, FAQ, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dbzhideout20.tripod.com/"} +{"d:Title": "Dragon Ball Z Stuff", "d:Description": "Images sorted by character, sound clips and music.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/tn/dragonballzstuff/"} +{"d:Title": "Dragonball Z Resource Page", "d:Description": "Character profiles, images, story information, fan subtitled videos, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/tx2/dbz3/dragonball.html"} +{"d:Title": "Dragon Ball Z Everything", "d:Description": "Links and a few images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/anime/brandon1/index.html"} +{"d:Title": "Dragon Ball Z", "d:Description": "A few images from the series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/ns/dbz7/"} +{"d:Title": "Dragon Ball Z Fan Site", "d:Description": "Movie information, images, and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dragon__ball_z.tripod.com/"} +{"d:Title": "DBZ Universe", "d:Description": "Character profiles, power levels, movies, MIDIs, images, links, and games.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/tv/DBZPDMR/index.html"} +{"d:Title": "Dragonball Overload", "d:Description": "Chat room, character profiles, pictures, animations, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/dbz/brandonexley/"} +{"d:Title": "DragonBall Z Revolution", "d:Description": "Contains character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/anime2/zpowerwarriors/"} +{"d:Title": "DBZ Universe", "d:Description": "Biographies and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://bluna1.tripod.com/dbzuniverse"} +{"d:Title": "Dragonball Z Online", "d:Description": "Images, character profiles, links, and lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/anime/dragonballz0000001/index1.html"} +{"d:Title": "Dragon Ball Z Created Characters Shrine", "d:Description": "Character profiles, images, stories, battles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/dbz/thevegeta/"} +{"d:Title": "DragonBall Z Universe 2000", "d:Description": "Images, story line, animations, and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/tv/dbzuniverse2000/"} +{"d:Title": "DBZ Image Archive", "d:Description": "Games, images, poll, links, and animations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://masterfoolzedek.tripod.com/dbzimagearchive"} +{"d:Title": "Dragon Ball Z Realms", "d:Description": "Contains episode summaries, character profiles, multimedia, images and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dragonballzrealms.tripod.com/DbzRealms/"} +{"d:Title": "DBZWarriors", "d:Description": "Images, character profiles, message boards, guide to fan subtitle videos, movie clips, links, and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.dbzwarriors.com/"} +{"d:Title": "Dragon Revenge", "d:Description": "Images, information and episode guide.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://maxwell_evans.tripod.com/dragonrevenge/"} +{"d:Title": "David's Dragonball Z Website", "d:Description": "Offers character information, images and animations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://david_vegeta.tripod.com/"} +{"d:Title": "The Dbz Palace", "d:Description": "Offers images, animation, saga information and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/dbz/thedbzpalace/"} +{"d:Title": "DBZ Realms", "d:Description": "Episode list, power levels and moves, character information, and video clips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dbzrealms.8m.com/main.html"} +{"d:Title": "DBZ-Unlimited", "d:Description": "Images, power levels, profiles, video clips and humor.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://agasfas.tripod.com/index.html"} +{"d:Title": "Dbzrulez", "d:Description": "Animations, images, fan works, chat, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/ia2/dbzrulez/"} +{"d:Title": "DBZone", "d:Description": "Images, trivia, biographies and fusion techniques.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/anime3/dbzone2/"} +{"d:Title": "Demetre's DBZ site", "d:Description": "Pictures, episode guide, character history, power levels, and a 10 Things to Make Vegeta Angry section.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/anime4/dragonballz_1/"} +{"d:Title": "DragonBall Z 2002", "d:Description": "Animations, images, power levels, humor, web graphics. Requires JavaScript; does not work in Netscape 3 or 4.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://lavitafitzgerald.tripod.com/mainframe.html"} +{"d:Title": "The DB Inn", "d:Description": "Fan fiction, games, quizzes, humor, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/dbz/h19/"} +{"d:Title": "DBZ for All", "d:Description": "Features include attack list, message board, wallpaper, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dbz4all.itgo.com/"} +{"d:Title": "DBZGTWG", "d:Description": "Music videos, a picture gallery, and a discussion board. Also includes information about the game.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dbzgtwg.tripod.com/"} +{"d:Title": "DBZ Mania", "d:Description": "Includes fan art section, photography gallery and surveys.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://josh-hamilton.tripod.com/"} +{"d:Title": "DBZ and GW Fan Club", "d:Description": "A picture gallery and a humour page.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://club_dbz.tripod.com/"} +{"d:Title": "Dragonball Z Haven", "d:Description": "Offers an attack list and music. Also included is information about power levels, sayan levels, battle stages, deaths and fusions.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/anime3/dbz_haven0/"} +{"d:Title": "Dbz Master!", "d:Description": "Contains images, character guide, mp3s and lots of info.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.angelfire.com/punk/dbzmaster/"} +{"d:Title": "DragonballZ-City", "d:Description": "Character information, episode list, saga summaries, power levels, Saiyan levels, family trees, and image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://dragonballz-city.tripod.com/"} +{"d:Title": "Dragon Ball Z", "d:Description": "Series information, character power levels, videos, images, animated gifs, character list, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://www.freewebs.com/curtdude10/"} +{"d:Title": "Dark Sayain's Domain", "d:Description": "Content includes a picture gallery, an attack list, polls and character biographies. There is also information about power levels.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://supersay-jins.tripod.com/"} +{"d:Title": "Dragon Ball Z Galaxy", "d:Description": "Image gallery, character information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/D", "url": "http://trunxs20010.tripod.com/"} +{"d:Title": "Fuzion's DragonBall Z Page", "d:Description": "Image gallery, multimedia, episode listing, information on fusions and power levels and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/F", "url": "http://www.members.tripod.com/quakewf/main.html"} +{"d:Title": "Gogeta's Dbz Shrine", "d:Description": "Offers character information, animations, saga summaries and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/G", "url": "http://alex_drapest.tripod.com/gogeta.html"} +{"d:Title": "Galactic Goten", "d:Description": "Character images, group pictures, biographies and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/G", "url": "http://flaming_goten.tripod.com/flaminggotensdbzsite/"} +{"d:Title": "Gohanz Layer", "d:Description": "Pictures and animations, episode guide, power levels, and saga summaries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/G", "url": "http://gohanz_layer.tripod.com/dbz/"} +{"d:Title": "Gogeta's Domain", "d:Description": "DBZ information, opinions, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/G", "url": "http://08thmobile65.tripod.com/gogetas_domain"} +{"d:Title": "Gogetaz DBZ Palace", "d:Description": "Small site with a few images, profiles, and saga information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/G", "url": "http://ssj4gokuz.tripod.com/gogetazdbzpalace/index.html"} +{"d:Title": "Goten's Hybrid Saiyan Site", "d:Description": "Character profiles, synopses, US movies and merchandise, links, chat, name puns, author information, and anomalies.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/G", "url": "http://www.angelfire.com/in2/animepaul/"} +{"d:Title": "Joel's DBZ Mega-Info Site", "d:Description": "Information about the series, an RPG, power levels, and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/J", "url": "http://www.angelfire.com/vt/dbzandzelda64/dbzhome.html"} +{"d:Title": "Kap's DBZ Site", "d:Description": "Fan art, fan subtitled videos, character profiles, reviews, message board, chat, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/K", "url": "http://www.angelfire.com/pq/LPKDBZ/index.html"} +{"d:Title": "Kamehameha: Dragon Ball Z", "d:Description": "Introduction, images, humor, character profiles, episode guide, power levels, general information, poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/K", "url": "http://www.angelfire.com/on3/kamehameha/"} +{"d:Title": "Kakarot's House of Wonder", "d:Description": "Humor, character biographies, movie reviews, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/K", "url": "http://www.angelfire.com/extreme/kakaroto/"} +{"d:Title": "Kory's Dragonball Z HQ", "d:Description": "Contains images, techniques and resources.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/K", "url": "http://www.angelfire.com/anime2/korysdragonballhq/index.html"} +{"d:Title": "Kami's Dragon Ball Z Krib", "d:Description": "Reviews, power levels, images and merchandise information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/K", "url": "http://www.angelfire.com/anime/kamikrib/"} +{"d:Title": "Kasurot's DBZ Page", "d:Description": "Multimedia, power levels, attacks, polls, humor, links, images, gif animations, and sagas.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/K", "url": "http://www.angelfire.com/dbz/kasurot/"} +{"d:Title": "Lilicia and Toryn's DBZ Website", "d:Description": "Includes profiles, pictures, and news.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/L", "url": "http://www.angelfire.com/dbz/tnl/"} +{"d:Title": "My Dragon Ball Z Page", "d:Description": "Character profiles, power levels, sagas, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/M", "url": "http://www.angelfire.com/nm/mag2000/"} +{"d:Title": "Miss Sock Lady's DBZ Home", "d:Description": "Episode guides, pictures, and character biographies.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/M", "url": "http://www.angelfire.com/dbz/sockladysdbzpage/home.html"} +{"d:Title": "My Dragon Ball Z Page", "d:Description": "General character information, downloads, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/M", "url": "http://f_booter.tripod.com/"} +{"d:Title": "My DBZ Palace", "d:Description": "Summaries, images, animations, power levels, and commentary.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/M", "url": "http://sayian_princess007.tripod.com/dbzpalace/"} +{"d:Title": "Majiin Vajiita's Domain", "d:Description": "Animations, screenshots, music videos, news and interviews.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/M", "url": "http://majiin_vajiita.tripod.com/index.html"} +{"d:Title": "Majin Fusion XL", "d:Description": "Features include family tree, battle chart, fusions, a movie guide, music videos, images, and wallpapers.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/M", "url": "http://www.angelfire.com/anime3/majinevilwickedsite/"} +{"d:Title": "Majin Force", "d:Description": "Content includes pictures, animations, and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/M", "url": "http://blackdbz.tripod.com/Main_Page.htm"} +{"d:Title": "Opponent02's DBZ Galaxy2", "d:Description": "Multimedia, power levels, links, attacks, quiz, images and GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/O", "url": "http://www.angelfire.com/hi3/opponentsdbzgalaxy2/enter.html"} +{"d:Title": "Planet Vegita", "d:Description": "Brief synopsis, poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://www.angelfire.com/de2/planetvegita/"} +{"d:Title": "Planet Z", "d:Description": "Introduction, episode guide, power levels, transformation steps, animations, movies and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://www.angelfire.com/anime/ssgoku16/Home.html"} +{"d:Title": "Planet Shenlong", "d:Description": "Attacks and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://www.angelfire.com/anime/dbzinfosite/"} +{"d:Title": "Planet-Z", "d:Description": "Images, animations, saga information, character profiles, power levels, multimedia, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://members.tripod.com/dbz_planet-z/"} +{"d:Title": "Planet Gogeta", "d:Description": "Images, animated GIFs, character profiles, power level information, and links. [Internet Explorer only]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://www.angelfire.com/anime/gogetasdbzrealm/"} +{"d:Title": "Planet Trunks", "d:Description": "Images, super saiyans, music, relationships, sagas, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://members.tripod.com/um_yea1234/index.htm"} +{"d:Title": "Planet Cell", "d:Description": "Includes images, animations, RPG information, charter profiles and saga summaries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://www.angelfire.com/dbz/plancell/"} +{"d:Title": "Piccolo's Temple", "d:Description": "Animations, multimedia, images, RPG, power levels, relationships, quiz, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://www.angelfire.com/dbz/PiccoloDBZsite/"} +{"d:Title": "Piccolo Party", "d:Description": "Content includes a picture gallery, articles, power levels, and recipes.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://piccoloparty.0catch.com/"} +{"d:Title": "Planet Vegeta", "d:Description": "Multimedia, attack list, power levels, images, character profiles, general information and opinions.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/P", "url": "http://ssjgokudbz.tripod.com/"} +{"d:Title": "Rage of the Saiyans", "d:Description": "Attack list, fan art, power levels, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/R", "url": "http://www.angelfire.com/tv/DBZ6/"} +{"d:Title": "The Realm of Gogeta", "d:Description": "Character profiles, sagas, reviews, fan fiction, images, animations, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/R", "url": "http://www.angelfire.com/anime2/realmofgogeta/"} +{"d:Title": "Ren's Dragon Ball Z", "d:Description": "Images, sagas, animations, and power levels.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/R", "url": "http://www.angelfire.com/dbz/RensDBZ/"} +{"d:Title": "Razornet", "d:Description": "Images, episode guide, power levels, games, and animations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/R", "url": "http://razorman77.tripod.com/"} +{"d:Title": "Ryan's Ultimate DBZ Universe", "d:Description": "Sounds, images and biographies.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/R", "url": "http://www.angelfire.com/dbz/wildtiger/"} +{"d:Title": "Robby's Dragonballz Center", "d:Description": "Basic series information, videos, and animated gifs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/R", "url": "http://www.angelfire.com/anime4/dbz4ever/"} +{"d:Title": "Radditz's DBZ Site", "d:Description": "Timeline, attack list, character profiles, family trees, movie summaries, plus fan art and video clips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/R", "url": "http://www.angelfire.com/anime/radditz/index.html"} +{"d:Title": "Sim Sim DBZ GT Site", "d:Description": "Power level information, animated GIFs, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/ny4/Simserpreme21/"} +{"d:Title": "SSJ DBZ X", "d:Description": "Images, animations, chat, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/anime/ssjdbzxmain/"} +{"d:Title": "Saiyan Supreme", "d:Description": "Images, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://members.tripod.com/radoncic_val/"} +{"d:Title": "Super Saiyan Elite 3", "d:Description": "Contains news, information, humor, and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/dbz/supersaiyanelite3/index.html"} +{"d:Title": "Saiyans Extreme Rage", "d:Description": "Offers news, information, and reviews.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://jc_dbz.tripod.com/msn/"} +{"d:Title": "Saiyan Elite Fighters", "d:Description": "Images, sound clips, video clips, character profiles, poll, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/hi4/SEF/"} +{"d:Title": "The Saiyan World", "d:Description": "Animated GIFs, a chatroom, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/anime/ReggieP/"} +{"d:Title": "Saiyan Fusion", "d:Description": "Images, character profiles, animations, multimedia and general information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.saiyanfusion.4mg.com/"} +{"d:Title": "Scott's Dragon Ball Z Site", "d:Description": "Character profiles, images, quiz, story line, power levels, and attack list.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/ny3/DBZ2/"} +{"d:Title": "Saiyans Unlimited", "d:Description": "Pictures sorted by character, show information, game details, humor, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/dbz/goku8234/index.html"} +{"d:Title": "Saiyanz Pride", "d:Description": "Character profiles, power levels, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/tv2/saiyanzclub/"} +{"d:Title": "Super Saiyan Homepage", "d:Description": "Pictures, power levels chart, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/tv/dbzpix/"} +{"d:Title": "The Saiya-jin Outlaws", "d:Description": "Images and animated GIFs, power levels, and fusions.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://members.tripod.com/south_side__1/"} +{"d:Title": "Saiyan Warriors", "d:Description": "Introduction to the series, profiles, timeline, images, humor, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/dbz/sswarriors/"} +{"d:Title": "SSJFusions", "d:Description": "Movie clips, images, information, and episode summaries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/anime2/ssjfusions/"} +{"d:Title": "SSJ Mystic Gohan", "d:Description": "Images, animated GIFs, information, and humor.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/realm/ssjmysticgohan/"} +{"d:Title": "Shin's DBZ Palace", "d:Description": "Fan works, galleries, humor and seiyuu.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://piccolos_girl0.tripod.com/ShinsDBZPalace/"} +{"d:Title": "SSJ Trunks DBZ Domain", "d:Description": "Images and animated GIFs, video clips, brief profiles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/dbz/ssjtrunksdbzdomain/"} +{"d:Title": "Sam's DBZ Central", "d:Description": "Site has image galleries and biographies by character and a video clip of the week.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://sammo_silk.tripod.com/"} +{"d:Title": "Sabrewing's Dragonball Z Site", "d:Description": "Image galleries, sounds, animated GIFs, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://sabrewings.users1.50megs.com/"} +{"d:Title": "Super Saiyan Home Page", "d:Description": "Information, profiles, and animated GIFs featuring Super Saiyans.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/super2/pinoyboy2007/"} +{"d:Title": "Saiyan's Fury", "d:Description": "Ani-Mayhem card listing, video game information, video and sound files, quiz, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/dbz/saiyansfury/"} +{"d:Title": "Saiya-Power", "d:Description": "Biographies, power levels, fusions, senzu beans and sagas.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://www.angelfire.com/anime3/saiya-power/index.html"} +{"d:Title": "SSJ Gohans Hidden Power", "d:Description": "Wishes, marriages, training, attack list, power levels and stages.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://ssjgohanshiddenpower.50megs.com/"} +{"d:Title": "SaiyanZ Era", "d:Description": "Screen captures, movies, attack list, power levels, and hidden information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://saiyanz-era.tripod.com/"} +{"d:Title": "Super Saiyanz", "d:Description": "Images, character profiles, animations, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/S", "url": "http://coconutmandj.tripod.com/index.htm"} +{"d:Title": "The Tenkaichi Budoukai Underground", "d:Description": "Fan works, images, information and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://www.angelfire.com/dbz/dbztournament/"} +{"d:Title": "Trunks DragonballZ Page", "d:Description": "Character profiles, attacks, power levels, multimedia, images, links and polls.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://members.tripod.com/trunks_29/"} +{"d:Title": "Trunks DBZ Page", "d:Description": "Contains information, animated GIFs, movie files, and a thumbnailed image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://trunks_29.tripod.com/"} +{"d:Title": "Tieuquetu's Dragon Ball Z", "d:Description": "Episodes, movies, images, animations, games, and general information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://dbzrealms.8m.com/"} +{"d:Title": "Trunks and Goten's DBZ RPG and Multimedia Site", "d:Description": "Links, games, chat, attacks, character profiles, and poll.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://www.angelfire.com/anime2/gogetas/"} +{"d:Title": "Tim's Dragonball Z and GT Center", "d:Description": "Includes images, animated GIFs, a few video clips, and some information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://www.angelfire.com/anime/gohandbz11/main.html"} +{"d:Title": "Terry's DBZ", "d:Description": "Images and game cheats.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://dbzcybernetx.tripod.com/index_m.htm"} +{"d:Title": "Turyalon's Dragon Ball Z Site", "d:Description": "Site with information about the episodes, characters, movies, story time-line, Super Saiyajins; plus, fan art, images, animated GIFs, games, and humor.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/T", "url": "http://supergolddbz.tripod.com/"} +{"d:Title": "Ultimate Soldiers", "d:Description": "Poll, images, character profiles, synopsis, general information and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/U", "url": "http://www.angelfire.com/nv/dbz3/"} +{"d:Title": "The Ultimate DBZ Page", "d:Description": "Character profiles, poll, links and games.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/U", "url": "http://www.angelfire.com/me3/looke/"} +{"d:Title": "The Ultimate Dragonball", "d:Description": "Resource for episodes, biographies, pictures, movies, downloads, and stories.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/U", "url": "http://dbz33.tripod.com/"} +{"d:Title": "Ultimate DBZ", "d:Description": "Polls, quotes and humor.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/U", "url": "http://www.angelfire.com/dbz/trunx5000/index.html"} +{"d:Title": "The Ultimo Dragon Ball Z Web Page", "d:Description": "Images, character profiles, links, and humor.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/U", "url": "http://www.dbzbyme.8k.com/"} +{"d:Title": "UltimateDBZ .com", "d:Description": "Offers video and music files, sound clips, desktop themes, images, fan fiction and fan art, plus news and various information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/U", "url": "http://www.ultimatedbz.com/"} +{"d:Title": "Ultimate Fusion", "d:Description": "Features information, music, video clips, and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/U", "url": "http://www.angelfire.com/anime4/gokan/"} +{"d:Title": "Vegeta and Goku's Fusion", "d:Description": "Images, movie clips, music, character and episode information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/biz4/XxvegetasaiyanxX/"} +{"d:Title": "Vegitto's Dragonball Z", "d:Description": "Images, animations, sound clips, character information, English and Japanese episode information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/mn2/ssjvegitto/"} +{"d:Title": "Vegita's Blood", "d:Description": "Images, story information, power levels and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/yt/vegitasblood/"} +{"d:Title": "Vegetenks Dragon Ball Z", "d:Description": "Images, movie clips, and character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/tv/vegetenks/enter.html"} +{"d:Title": "Vegeta's Home", "d:Description": "Images, quotes, poll and personal opinion.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/anime/vegeta1000/"} +{"d:Title": "Vegeta's Temple O'Doom", "d:Description": "Features images, animations and character information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/dbz/vegetatempleodoom/"} +{"d:Title": "Vegetto Unlimited", "d:Description": "Contains information, pictures, and news.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/dbz/vegettounlimited/"} +{"d:Title": "Vegeta the Dark Saiyan", "d:Description": "Character profiles, power levels, family tree, summaries, and episode guide.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://kirbe55.tripod.com/"} +{"d:Title": "Vegeta 4 Dictator", "d:Description": "Fan art, screen captures, and scans of merchandise.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/anime/vegeta4dictator/"} +{"d:Title": "Vegitto's Domain", "d:Description": "Profiles, animated GIFs, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/biz4/dragonballs/intro.html"} +{"d:Title": "Vegetas Realm", "d:Description": "Fan art, story, poll and sounds.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/anime2/vegetafans/"} +{"d:Title": "Vegeta's Flames", "d:Description": "Biographies, abilities and family trees.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/V", "url": "http://www.angelfire.com/dbz/dbzoutlook/"} +{"d:Title": "Xtreme's Training Ground", "d:Description": "Images, polls, links, quiz, club, games, character profiles and adoptions.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/X", "url": "http://www.angelfire.com/de2/ssjmark/index.html"} +{"d:Title": "X\\S Anime", "d:Description": "General information, images, summaries, music videos, MP3s, quiz, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/X", "url": "http://xs-dbz.tripod.com/index.html"} +{"d:Title": "Zach's Dragonball Z Site", "d:Description": "Image galleries, power levels, animated gifs, fan art, character profiles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/Z", "url": "http://www.angelfire.com/ia/supersaiyon/"} +{"d:Title": "Zaph Dragon Ball Z", "d:Description": "General information, pictures, movies, and sound files, character biographies, saga and movie summaries, power levels, attack list and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/Z", "url": "http://zaph.freeservers.com/"} +{"d:Title": "Zac's DBZ site", "d:Description": "Includes character information, animated GIFs, and a movie guide.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Pages/Z", "url": "http://dbzac0.tripod.com/mydragonballzsite/"} +{"d:Title": "Dragon Ball Writers", "d:Description": "A site devoted to fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://www.angelfire.com/mi/dbzwriter/"} +{"d:Title": "Alternate DBZ", "d:Description": "Alternate universe fan fiction and fan art submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://www.angelfire.com/tv/alternatedbz/index.html"} +{"d:Title": "Dragonball Z Fantasy", "d:Description": "Original saga. Fan fiction, fan art, biographies, and quizzes.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://www.angelfire.com/dbz/saiyagirls/index.html"} +{"d:Title": "Dbzhyperspace", "d:Description": "Animation, art, storylines, and episodes.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://dbzhyperspace1.tripod.com/"} +{"d:Title": "Dbz : Art", "d:Description": "Fan art on the series by various artists.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://www.angelfire.com/dbz/scougz/"} +{"d:Title": "CP Animation", "d:Description": "Thumbnailed and full sized fan drawings.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://www.angelfire.com/anime4/cp/"} +{"d:Title": "The Turles Corps.", "d:Description": "This site features Dragonball Z fan fiction and fan art about Turles and the Turles Corps from movie #3, The Tree of Might.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://the_turles_corps.tripod.com/home.html"} +{"d:Title": "Another Dimension: DBZ Fanart", "d:Description": "Works devoted to the world of Dragonball Z, with an emphasis on relationships.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://songfox_fanart.tripod.com/anotherdimension"} +{"d:Title": "Teekoness's Corner", "d:Description": "Fan art, poetry, stories, and a Krillin epic.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://teekoness.tripod.com/"} +{"d:Title": "Draw Dragonball Z", "d:Description": "Drawing tutorials, online comic, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://www.angelfire.com/apes/drawdragonballz/"} +{"d:Title": "Adopt-a-Saiyan", "d:Description": "Saiyan and other sprites available for adoption. Rules, list of parents, and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://adopt_a_saiyan.tripod.com/"} +{"d:Title": "The Dragonball Asylum", "d:Description": "Features fan fiction, animations, images and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://www.db-asylum.com/"} +{"d:Title": "Goten, Trunks, and Marron's (GTM) Webpage", "d:Description": "Information and fan fiction on the series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://harukochan.tripod.com/GTMopening.htm"} +{"d:Title": "Vegetx's Main", "d:Description": "Information on the fan created character Vegetx.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Fan_Works", "url": "http://vegetxsmain.tripod.com/"} +{"d:Title": "#DBZ-Trivia on DALnet", "d:Description": "History, rules and details of the game.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games", "url": "http://www.angelfire.com/in3/dbztrivia/"} +{"d:Title": "Your Own DBZ Fight Club", "d:Description": "A fight club where participants create characters and battle them in a race to reach a power level of 100,000,000.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/anime/yourowndbz/"} +{"d:Title": "The Ultimate Dragon Ball Z RPG", "d:Description": "Participants pick a character to battle, train, and gather Dragon Balls over a message board.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/anime/DragonBallZrpg/index.html"} +{"d:Title": "Trunks19 and Piccolo18's Dragon Ball Dimension", "d:Description": "Information, plus character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/anime/zfighterz/"} +{"d:Title": "Yamcha and Vegeta's DBZ RPG", "d:Description": "Rules, how to join, message board, training information, deaths, teams, planets and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/ri2/distruct/"} +{"d:Title": "God of Worlds Dragonball Z RPG", "d:Description": "Includes pictures of available characters, rules, member statistics, and a summary of how to play. Players may use any chat room to play.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/dbzgodofworldsrpg/"} +{"d:Title": "Flames World of DBZ", "d:Description": "Single-moderator game allowing player-created characters, and forbidding violence against female characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/games2/flamesdbzrpg/"} +{"d:Title": "Tekken and Shogan's Supreme DBZ RPG", "d:Description": "Members, how to join, rules, moves, tournaments, and news.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/hi4/Tekkenofgtdbz/index.html"} +{"d:Title": "Gohan's Ultimate RPG", "d:Description": "Members' character profiles, along with details of items, jobs, and moves.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/anime2/animepage2/"} +{"d:Title": "DWTS", "d:Description": "Has special abilities, attacks, planets, races, sagas, trademark moves, training, teams, requirements for super levels, member pages and a chat.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/sd2/rpg/index.html"} +{"d:Title": "Dbz Freeks", "d:Description": "Includes basic rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://dbzfreeks.tripod.com/"} +{"d:Title": "VegittosDragonBallZRpg", "d:Description": "Features rules, character, team and current member lists. Allows character creation.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/rpg/vegitasdbzrpg/"} +{"d:Title": "Dragonball Z RPG", "d:Description": "E-mail and message board based. Includes signup form, a list of members and statistics, message boards for fighting and training, and details of how the game is played.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/shanesdbzrpg/"} +{"d:Title": "Davids Dragon Ball Z RPG", "d:Description": "Players look after their own statistics, and may fight in a message board or chat room. Includes details of joining and playing the game.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/anime2/cloudmassey/index.html"} +{"d:Title": "Ultimate Saya-jin Elite Rpg", "d:Description": "Features rules and member list.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://supernail85.tripod.com/"} +{"d:Title": "Supreme Dragonball Z Rpg", "d:Description": "Includes rank information, rules, a sign-up form, and a list of moves.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/supremerpg/"} +{"d:Title": "DBZ/GTHeaven", "d:Description": "Chat room based. Information about joining and playing the game, lists of attacks, quests, items, jobs, and characters, and member profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/ny4/DbzgtHeaven/"} +{"d:Title": "The Cel Games", "d:Description": "Includes details of upcoming fights, character pictures, a message board, and lists of previous winners.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/celgames/index.html"} +{"d:Title": "Saiya-Net", "d:Description": "Site features join information, the story line, rules, a roster, tournament information, a listing of techniques, and an archive.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/rpg2/saiyanet/index.html"} +{"d:Title": "Gohan's Ultimate DragonBallZ RPG", "d:Description": "Rules, details of how to join and play, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/dbz/UltimateDragonRPG/"} +{"d:Title": "Dragon Ball Ultimate Fight", "d:Description": "Offers rules, members list and message boards.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/rpg/dbuf2/"} +{"d:Title": "Double's DBZ RPG", "d:Description": "Train, fight, search for the Dragonballs, or conquer the universe. Allows player created characters. Muilti-refereed.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://www.angelfire.com/yt2/2cdbz/"} +{"d:Title": "Dragonball Z Legendary Saga", "d:Description": "Includes rules, joining information, and details of the features of the game.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying", "url": "http://finalfan70.tripod.com/"} +{"d:Title": "The DBZ Arena", "d:Description": "Single-moderator game using the standard characters, played in a private chat room.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/ny4/ssj3dbzrpg/index.html"} +{"d:Title": "Goku's Dragon Ball Z RPG", "d:Description": "Single-owner game allowing player-created characters, played on AIM.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/pa3/Bacon/"} +{"d:Title": "Planet DBZ RPG", "d:Description": "AOL with characters, game information, how to join and rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/anime/PlanetDBZRPG/"} +{"d:Title": "Vegeta, Trunks and Piccolo's DBZ RPG", "d:Description": "Multi-moderator game using the standard characters, and requiring AIM.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://futurevegeta.tripod.com/"} +{"d:Title": "Dragon Ball AD", "d:Description": "AOL based with how to join, characters, store, quests and rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/anime/DragonBallAD/"} +{"d:Title": "DBZ Maze", "d:Description": "Single-owner game allowing user-created characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/mi3/dbzmaze/"} +{"d:Title": "Piccolo's Palace", "d:Description": "Multi-referee game using the standard characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/PiccoloDBZsite/rpg.html"} +{"d:Title": "The Chibi Dragon Ball Z RPG", "d:Description": "AIM/AOL based. Includes list of rules, details of how to join and play, character profiles, and a chat room.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/TheChibiDbzRpg/"} +{"d:Title": "GenerationDBX", "d:Description": "AIM/AOL based. Includes member profiles, a list of items, and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/GenerationDBX/"} +{"d:Title": "The Last Super Sayjin Assassins", "d:Description": "Single-moderator game allowing player-created characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/anime/SuperSayjinAssasins/"} +{"d:Title": "Dragon Ball Z RPG 2000", "d:Description": "Single owner game. Features rules, character list and message board.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/DragonBallZRPG2000/"} +{"d:Title": "Vegeta Goku and Piccolos Rpg", "d:Description": "Includes rules, member and character lists and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/gokusandvegetassjrpg/"} +{"d:Title": "Dragonball Z Dimension", "d:Description": "Offers rules, message board and member list.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/darkblo0d/"} +{"d:Title": "Ultimate Dbz Rpg", "d:Description": "Offers a member list with statistics and rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/rpg/UltimateRPG/"} +{"d:Title": "Algotink's Dbz Rpg", "d:Description": "Offers rules and member list.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://algotinks.tripod.com/DragonballzRpg/"} +{"d:Title": "DBZ Final Fight RPG", "d:Description": "An AOL and AIM-based online game with characters from the series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/oh4/dbzfinalbattle/index.html"} +{"d:Title": "DbzRpg2K", "d:Description": "How to join, rules, members, moves, planets, power levels, jobs, items, training, and other game information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/rpg/DbzRpg2K1/"} +{"d:Title": "Goku's DBZ Guild", "d:Description": "Details of how to join, a list of members, rules, and an AOL chat room for members.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/Gokusdbzguild/"} +{"d:Title": "Evil Goku's Ultimate RPG", "d:Description": "Rules, joining information, and details of items, moves, and tournaments.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/anime3/evil_god/mainmenu.html"} +{"d:Title": "Gohan's Dragonball Z Role Playing Game 2", "d:Description": "AOL chatroom based. Includes details of how to join and play the game, AOL chatrooms for battles and training, and a list of members, with statistics.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/anime3/SSJGohanDBZRPG2/"} +{"d:Title": "Oshtoby and Blade's Dragonball Z RPG", "d:Description": "AOL chatroom based. Includes joining information, member statistics, and details of items and transformations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/dbz/OshtobyRPG/"} +{"d:Title": "Dragonball Z RPG War", "d:Description": "Members, rules and joining information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/rpg2/dbzrpgwar/index.html"} +{"d:Title": "Sayian Entity Rpg", "d:Description": "Joining, members, quests and training.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/rpg2/dbz-strike-back/"} +{"d:Title": "Dbaf Revenge", "d:Description": "Includes details of the transformations, characters, moves, and rules in the game.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/super2/dbaf-revenge/"} +{"d:Title": "Final Battle 13", "d:Description": "Two-moderator game that requires characters begin as children.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/anime2/finalbattle2/"} +{"d:Title": "Mystic Dragonball Z RPG", "d:Description": "Joining information, jobs, members and rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/rpg2/dragonballzmo/"} +{"d:Title": "Saiyan Soup RPG", "d:Description": "AOL based. Includes information about joining and playing the game, along with GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://flaming_goten.tripod.com/siayansoup/"} +{"d:Title": "Digital Goku's DBZ RPG", "d:Description": "Includes rules, joining information, details of the gameplay features, and a link to the chat room.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/AOL_Chat", "url": "http://www.angelfire.com/rpg2/digitalgoku/"} +{"d:Title": "Nail's RPG", "d:Description": "News, characters available, teams, rules, and other information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/md2/vegetartrpg/"} +{"d:Title": "Super Dragon Ball Z", "d:Description": "How to join, character profiles, training, moves, fights, transformations, fusions, and teams.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/goth/dragonballs/index.html"} +{"d:Title": "Koola Dbz Rpg", "d:Description": "Tournaments, bosses, battles and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/fl5/kooladbzrpg/"} +{"d:Title": "Teenage Gohan's and Goku's RPG", "d:Description": "Details of how to join and play, a message board for members to play on, and a list of rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/anime/DragonBallTGrpg/"} +{"d:Title": "The Gotenks RPG", "d:Description": "MSN community message board based. Includes details of the scoring system, the elements of the game, and how to play.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/dbz/PowerGotenks/"} +{"d:Title": "Trunks and Gohans DBZ RPG", "d:Description": "Joining information, a list of characters, details of the gameplay, and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/mac/futuretrunks/"} +{"d:Title": "Thego's DBZ RPG", "d:Description": "Information, sagas, joining.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://thego28.tripod.com/"} +{"d:Title": "True Saiyans RPG", "d:Description": "Uses the T2 style fighting system, and contains a Battle Room, Spar Room and Message Board. Also has pages for Fusions, Members, Planets, Teams, and Quests.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/super2/ssj411/index.html"} +{"d:Title": "Dimension X RPG", "d:Description": "Rules, how to join, members, move list, items, and training.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://dimensionx.iwarp.com/"} +{"d:Title": "DBZ RPG", "d:Description": "Message boards, chats, rules and characters", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/rpg2/gohansrpg7/"} +{"d:Title": "The Best Dragonball Z/GT RPG", "d:Description": "Z/GT game. Site contains battle times, and a list of available characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/dbz/thebestdbzgtrpg/Intro.html"} +{"d:Title": "Majin Vegeta's Good Vs Evil RPG", "d:Description": "Training, attacks, fights, and transformations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.angelfire.com/rpg2/goodvsevil0/"} +{"d:Title": "Dragonball Legends", "d:Description": "An RPG forum in which the story played through is unique and that the player plays against administrators.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://z7.invisionfree.com/Dragonball_Legends/"} +{"d:Title": "Alexs DBZ RPG 5", "d:Description": "A friendly roleplaying community that is always accepting new members. Rules, battle styles, alliances, and tips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://www.alexsdbzrpg.com/"} +{"d:Title": "Vegeta's DBZ RPG", "d:Description": "RPG site that offers rules, help for newbies, sagas, attack lists, and create a character feature.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Message_Boards", "url": "http://vegetasdbzrpg90319.yuku.com/"} +{"d:Title": "Crono's Dragon Ball Z RPG", "d:Description": "E-mail based, rules, general game information and how to join.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/anime/cronosdbzrpg/"} +{"d:Title": "Ultimate DBZ/GT RPG", "d:Description": "Includes rules, details of how the game works, and a list of taken and available characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/nj3/ultimatedbzrpg/"} +{"d:Title": "Final Fantasy Dragon Ball Z RPG", "d:Description": "Single-moderator game using the standard characters from the series and the Final Fantasy roleplaying games.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/games2/DBZFFRPG/"} +{"d:Title": "Goku and Vegeta's RPG", "d:Description": "Two-owner game using the standard characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/rpg/therock/"} +{"d:Title": "DragonBallZ Cavern", "d:Description": "Offers rules, member list and teams.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://keno2500.tripod.com/dragonballzcavern/"} +{"d:Title": "Ultimate Android #17 DBZ RPG", "d:Description": "Character profiles, message board, tournaments, and rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://android0000017.tripod.com/UltimateAndroidsDBZRPG/"} +{"d:Title": "The Legendary Saya-Jin Role-Playing Game", "d:Description": "E-mail based. Includes a form for joining, a message board, a calendar, and details of how the game works.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/dbz/aerpg/"} +{"d:Title": "SSJ 3 Gotenks's Ultimate DBZ RPG", "d:Description": "Members, statistics, jobs and rules.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/anime3/pimpdaddy69/"} +{"d:Title": "Dragon Ball Omega", "d:Description": "Members, shops, arenas, transformations, quests and items.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://zerojin.tripod.com/dragonballomega/"} +{"d:Title": "Goleams DBZ RPG", "d:Description": "Contains game information, rules, moves, training, clans, jobs, locations, and the fighter list.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/dbz/GoleamsDBZRPG/"} +{"d:Title": "Gogeta's Blood Realm", "d:Description": "Use existing or original characters. Rules, member list, jobs, items, techniques, and tournaments.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://www.angelfire.com/rpg2/gbrealm/Index.html"} +{"d:Title": "Gohan's Budokai Tournament", "d:Description": "Single-moderator game allowing user-created characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Games/Roleplaying/Play_By_E-Mail", "url": "http://dbzapostle2.tripod.com/dbzfight.html"} +{"d:Title": "Dragon Ball Z Humor", "d:Description": "Images, parody drawings, fan manga, stories, songs, interviews, and top ten lists.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Humor", "url": "http://www.angelfire.com/de/Cat1/"} +{"d:Title": "Goku's Humor Page", "d:Description": "Humorous pictures, Vegeta's Got Milk? commercial, and a DBZ dance page.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Humor", "url": "http://www.angelfire.com/anime4/rpgfordbz2002/humor.html"} +{"d:Title": "Dragon Ball Z Goes Hollywood", "d:Description": "Ever wonder how Goku and co. made money between Budoukais?", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Humor", "url": "http://dbzghw.freeservers.com/"} +{"d:Title": "The Trunks and the Restless", "d:Description": "Soap opera featuring captioned pictures of action figures.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Humor", "url": "http://www.angelfire.com/dbz/darnthoseandroids/Trunkssoapmain.html"} +{"d:Title": "Ali's Dragonball Z", "d:Description": "Three galleries of thumbnailed images, fan art, and animated gifs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/A", "url": "http://alialam0.tripod.com/DragonballZ.htm"} +{"d:Title": "Bardock's Domain", "d:Description": "Various images from the series.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/B", "url": "http://bardocksdomain.freewebspace.com/"} +{"d:Title": "Cronos' Dragon Ball Z site", "d:Description": "Dragonball Z animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/C", "url": "http://www.angelfire.com/anime3/dbz_ani-gifs/"} +{"d:Title": "Dragons Home", "d:Description": "Images, GIFs and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/oh3/dragonshome/"} +{"d:Title": "Dragon Ball Z", "d:Description": "Image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/il2/dbz23/"} +{"d:Title": "Dragon Ball Z Super Site", "d:Description": "Images sorted by character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/mi2/stevesdbzsite/index.html"} +{"d:Title": "Dragon Ball Realm", "d:Description": "Unsorted full size images and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://deadpool_55.tripod.com/DragonBallRealm00/"} +{"d:Title": "Dragon Ball Z: The Ultimate Page", "d:Description": "Animated GIFs and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/ga2/ShawnSolomon1/"} +{"d:Title": "Dragon Ball Z Legends", "d:Description": "Screen captures from the anime.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/dbz/dragonballzlegends/"} +{"d:Title": "DBZ Action", "d:Description": "Various character and location images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://aponboemere.tripod.com/index.html"} +{"d:Title": "Dragonball Z", "d:Description": "Various images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/dbz/etc23/index.html"} +{"d:Title": "Dragonball Z's Dragon Fist Dojo", "d:Description": "Images and animations.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/dbz/ryukendojo/"} +{"d:Title": "Dragonball", "d:Description": "Animations and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://jackie_wong.tripod.com/dragonball"} +{"d:Title": "DBZ Animations", "d:Description": "Moving animations of the DBZ characters.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://trunksanimations.tripod.com/"} +{"d:Title": "DragonBallZ Warlords", "d:Description": "Images, animated GIFs, and midis.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://www.angelfire.com/realm2/zerogoten/index.html"} +{"d:Title": "DBZ Ditgital", "d:Description": "Images of various characters and power levels, animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://dbzditgital.tripod.com/dbz.html"} +{"d:Title": "Dragon Ball Z Domain", "d:Description": "Images sorted by character, animated GIFs, and power levels.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://dbzdomain.20m.com/"} +{"d:Title": "Dragon Ball Z", "d:Description": "A site with various images, power level information and sound clips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://dustin8569.tripod.com/index_m.htm"} +{"d:Title": "Dragon Ball Z Supreme", "d:Description": "Provides biographies and images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/D", "url": "http://ss2saiyaman81.tripod.com/"} +{"d:Title": "Eric's DBZ Home", "d:Description": "Images and animations separated by character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/E", "url": "http://www.angelfire.com/yt2/eric7thblock/"} +{"d:Title": "Empress Jeana's DBZ Cloud", "d:Description": "Images separated by character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/E", "url": "http://www.angelfire.com/anime3/dbzcloud/index.html"} +{"d:Title": "Fallen Heaven", "d:Description": "Images and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/F", "url": "http://www.angelfire.com/dbz/ssj4goky/"} +{"d:Title": "Goku's Lair", "d:Description": "Images organized by character, power level guide and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/G", "url": "http://www.angelfire.com/anime/dragonballzen/"} +{"d:Title": "Goku and Gogeta's Page", "d:Description": "Images of Goku and Gogeta and various animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/G", "url": "http://www.angelfire.com/az2/joker180/"} +{"d:Title": "Goku's Domain", "d:Description": "Contains various character pictures.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/G", "url": "http://www.angelfire.com/dbz/GOKUSSJ5/"} +{"d:Title": "Gohan's DBZ Domain", "d:Description": "Images separated by group and character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/G", "url": "http://gohan0_1.tripod.com/gohans_dbz_domain/"} +{"d:Title": "Kami's Tower", "d:Description": "Images, animations, fan art, and poll.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/K", "url": "http://www.angelfire.com/dbz/kamistower/"} +{"d:Title": "Kogeta's Palace", "d:Description": "Fan art, pictures and links. [Quicktime needed]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/K", "url": "http://dominantvegeta.8m.com/"} +{"d:Title": "Mark's Dragonball Z Images Page", "d:Description": "Images, animations and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/M", "url": "http://www.angelfire.com/dbz/marksdbzwebsite/index.html"} +{"d:Title": "Planet Vegeta", "d:Description": "Dragon Ball/Z/GT Movies, images, humor, animations, polls, information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/P", "url": "http://www.angelfire.com/dbz/mysticgohanssj2/"} +{"d:Title": "Super Saiyan Goku's DBZ Animated Gifs Domain", "d:Description": "Animations sorted by character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/S", "url": "http://www.angelfire.com/anime2/SuperSaiyanGokusGifs/"} +{"d:Title": "Silly D's Dragon Ball Z Page", "d:Description": "Over 230 images sorted by character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/S", "url": "http://www.angelfire.com/ny3/sillyd/"} +{"d:Title": "Ten Shin Han's Ultimate Page", "d:Description": "Unsorted index of pictures.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/T", "url": "http://www.angelfire.com/co3/tenshinahan77/index.html"} +{"d:Title": "Trunks Village", "d:Description": "Animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/T", "url": "http://www.angelfire.com/anime3/rapidaner/index.html"} +{"d:Title": "Therone's DBZ site", "d:Description": "Images, power level data and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/T", "url": "http://angelfire.com/anime/Tsdragonballz"} +{"d:Title": "The Ultimate RPG", "d:Description": "Extensive image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/U", "url": "http://www.angelfire.com/anime2/animepage2/Pictures.html"} +{"d:Title": "VegetaBallZ", "d:Description": "Fan art, character profiles, images, animated GIFs, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/V", "url": "http://www.angelfire.com/ab2/vegetaballz/main.html"} +{"d:Title": "Yakitori's World", "d:Description": "Images and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/Y", "url": "http://www.angelfire.com/bc/yakitori/index.html"} +{"d:Title": "The Zone of Dragon Ball/Z/GT", "d:Description": "Images separated by character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Image_Galleries/Z", "url": "http://www.angelfire.com/dbz/dragonzone/"} +{"d:Title": "The Best of Dragonball Z", "d:Description": "Images, gifs, movie clips, screensavers, downloads, wallpapers, family trees.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://www.angelfire.com/anime4/dbz5/new/"} +{"d:Title": "Cell Perfect Files", "d:Description": "Image gallery, and movies in RealVideo.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://d_tomney.tripod.com/"} +{"d:Title": "Dragon Ball Z and Other Cool Links", "d:Description": "Animated gifs, pictures, polls, wavs, and MP3s.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://www.angelfire.com/ca4/games/"} +{"d:Title": "The Dragonball Palace", "d:Description": "Images, original animations, wallpapers, fan art, power levels. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://www.angelfire.com/anime/myultimatedbzpage/"} +{"d:Title": "SSGohan2K1's DragonBall Multimedia Site", "d:Description": "Movie and audio clips as well as music videos.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://ssgohanme0.tripod.com/ssgohan2k1/"} +{"d:Title": "SSJGogetta's DBZ Domain", "d:Description": "Fan art, images, GIFs, sound samples and songs.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://www.angelfire.com/anime2/SSJGogettaspage/index.html"} +{"d:Title": "Super Saiyan Joe's Dragon Ball Z World", "d:Description": "Images, animated GIFs, MIDI files, coloring book, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://www.angelfire.com/ny3/supersaiyanjoe/"} +{"d:Title": "The Unofficial DBZ Headquarters", "d:Description": "MIDI files, sound clips, animated GIFs, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Multimedia", "url": "http://tudhq.tripod.com/index.html"} +{"d:Title": "Help Save U.S. DBZ", "d:Description": "Petition for a better English dub, as well as a re-dub of season 3. Petition summary and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Dragon_Ball_Z/Resources", "url": "http://dbzpetition.tripod.com/"} +{"d:Title": "Bejiita Fansubs", "d:Description": "Images, sounds, character profiles, distribution, power levels, attacks and video clips.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.angelfire.com/anime/bejiitafansubs/"} +{"d:Title": "Dragon Ball, Are You Ready?", "d:Description": "Contains multimedia, links, character information, and pictures.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://csrnumber1.tripod.com/"} +{"d:Title": "DragonBall Exile", "d:Description": "Offers multimedia, news, information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.100megsfree.com/pop/esf/index2.html"} +{"d:Title": "Dragonball Insanity", "d:Description": "Images, sounds, links and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.angelfire.com/dbz/gokublast/index.html"} +{"d:Title": "Dragonball Z/GT", "d:Description": "Pictures, video clips, and links. Sorted by character.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.angelfire.com/ca7/dragonballdomain/"} +{"d:Title": "EternalDragonBallZ.com", "d:Description": "Images, movies, power level lists, movie and episode summaries, video game tips, and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.angelfire.com/dbz/eternaldragonballz/"} +{"d:Title": "Goku's Wrath", "d:Description": "Episode summaries, character profiles, sagas, fan art, and links. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.angelfire.com/dbz/broilysrevenge/frames1.html"} +{"d:Title": "Gotenks: The Fusion of Chibi Trunks and Goten", "d:Description": "Images, links, and summaries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.angelfire.com/anime/dbzgtgotenks/"} +{"d:Title": "Ice Gohans Domain", "d:Description": "Images and information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.angelfire.com/dbz/icegohan10/"} +{"d:Title": "J-Slice's DBZ Planet", "d:Description": "Images, links and game information.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://www.j-slicedbz.freeservers.com/"} +{"d:Title": "DragonBall Pimps", "d:Description": "Offers images, story overviews and a forum.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Fan_Pages", "url": "http://jimrocko.tripod.com/"} +{"d:Title": "Gogetta's Dragon Ball Page", "d:Description": "Images sorted by character, no thumbnails.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Image_Galleries", "url": "http://www.angelfire.com/ak2/pokecodespoketmon/"} +{"d:Title": "Ultimate Dragon Ball Z", "d:Description": "A few DB/Z/GT images.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Image_Galleries", "url": "http://www.angelfire.com/ma2/ultimateDBZ/"} +{"d:Title": "Dragonball DR", "d:Description": "Offers images, animated GIFs and a poll.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Image_Galleries", "url": "http://www.angelfire.com/anime/dragonballdr/index.html"} +{"d:Title": "Gohan's Realm", "d:Description": "Includes image gallery, music videos, music, episode and movie clips, animated gifs, fan art, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Image_Galleries", "url": "http://www.angelfire.com/dbz/GohanRealm/home.html"} +{"d:Title": "DragonBall", "d:Description": "Image gallery and links.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Image_Galleries", "url": "http://www.angelfire.com/ca4/superxsaiyan/"} +{"d:Title": "Doorway to the DB/DBZ FAQ", "d:Description": "Offers the Frequently Asked Questions file for the series in various formats.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Ball_Series/Information", "url": "http://www.capcorphq.com/dbfaq/"} +{"d:Title": "Dragon Half", "d:Description": "Sound files and episode summaries.", "topic": "Top/Arts/Animation/Anime/Titles/D/Dragon_Half", "url": "http://hgstorm.tripod.com/DragonHalf/dragonhalf.htm"} +{"d:Title": "Earthian", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/E", "url": "http://animeworld.com/reviews/earthian.html"} +{"d:Title": "Ef - a tale of memories", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/E", "url": "http://www.nihonreview.com/anime/ef-a-tale-of-memories/"} +{"d:Title": "Elfen Lied", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/E", "url": "http://www.nihonreview.com/anime/elfen-lied/"} +{"d:Title": "Elfen Lied", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/E", "url": "http://www.nihonreview.com/anime/elfen-lied-2/"} +{"d:Title": "Eternal Family", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/E", "url": "http://www.nihonreview.com/anime/eternal-family/"} +{"d:Title": "Black Moon", "d:Description": "Review of this environmentalist anime with basic summary and images.", "topic": "Top/Arts/Animation/Anime/Titles/E/Earth_Girl_Arjuna", "url": "http://www.theblackmoon.com/Watching/arjuna.htm"} +{"d:Title": "The Nihon Review - Arjuna", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/E/Earth_Girl_Arjuna", "url": "http://www.nihonreview.com/anime/arjuna/"} +{"d:Title": "Boukenya's Sanctuary", "d:Description": "Manga translations and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/E/Eat-Man", "url": "http://members.tripod.com/Boukenya/"} +{"d:Title": "AAW: Eat-Man '98 Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/E/Eat-Man", "url": "http://animeworld.com/reviews/eatman98.html"} +{"d:Title": "Edens Bowy the Synopsys", "d:Description": "Episode summaries, and brief character and location information.", "topic": "Top/Arts/Animation/Anime/Titles/E/Eden's_Bowy", "url": "http://vaidro.tripod.com/edens/"} +{"d:Title": "A Tribute to Jinnai Nanami", "d:Description": "Small shrine to Nanami discussing aspects of her character, with a few pictures.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Characters", "url": "http://hcs.harvard.edu/~alhuang/elhazard/nanamichan.html"} +{"d:Title": "Shayla Station", "d:Description": "A Shayl Shayla site, with galleries from every El Hazard anime and the manga.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Characters", "url": "http://www.angelfire.com/anime3/shaylastation/"} +{"d:Title": "Andrew's El Hazard Pages", "d:Description": "Character profiles, links, images, and Nanami shrine.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Fan_Pages", "url": "http://www.hcs.harvard.edu/~alhuang/elhazard/"} +{"d:Title": "A Shrine to El Hazard: The Magnificent World", "d:Description": "Quotes, story information, images, and shrine to Shayla Shayla.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Fan_Pages", "url": "http://www.angelfire.com/la/Rykea/ELhazard.html"} +{"d:Title": "Jason Youngberg's Gateway to El Hazard", "d:Description": "Fan fiction and index of links sorted by type.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Fan_Pages", "url": "http://jason.youngberg.com/elhazard/elhazard.htm"} +{"d:Title": "El Hazard Fandom!!", "d:Description": "Multimedia, series land marks, and links.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Fan_Pages", "url": "http://elhazardfandom.tripod.com/"} +{"d:Title": "Jason Youngberg's Gateway to El Hazard", "d:Description": "Mystery Science Theater 3000 parodies all twenty-six episodes of The Wanderers. Also contains three fan fiction series.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Fan_Works", "url": "http://jason.youngberg.com/elhazard/elhazard.htm"} +{"d:Title": "The Roshtarian Royal Archive", "d:Description": "General and crossover fan fiction. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Fan_Works", "url": "http://members.tripod.com/princeoflight13/"} +{"d:Title": "Albatross Anime Image Archive: El Hazard", "d:Description": "Thumbnailed images at multiple resolutions with file size. Includes a large collage of Ifurita from The Magnificent World.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Image_Galleries", "url": "http://albatross1.com/ANIMIMG/elhimg.htm"} +{"d:Title": "Hitoshi Doi's El Hazard Encyclopedia", "d:Description": "Information on the characters, seiyuu, radio show, and compact discs. Audio drama summaries, descriptions of critical places and things, and general series information.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Information", "url": "http://www.usagi.org/~doi/elhazard/"} +{"d:Title": "El-Hazard Online", "d:Description": "A general El-Hazard information and community site that contains series information, scripts, screenshots, the manga, soundtracks, roms, scans, and links.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Information", "url": "http://www.el-hazardonline.net/El-Hazard/index.shtml"} +{"d:Title": "El-Hazard Webring", "d:Description": "Also includes a shrine to the Priestesses and a poll.", "topic": "Top/Arts/Animation/Anime/Titles/E/El_Hazard/Web_Rings", "url": "http://members.tripod.com/~Roshtaria/"} +{"d:Title": "Nostalgic", "d:Description": "Shrine to Space Butler, with profile, image gallery, accomplices, and foes.", "topic": "Top/Arts/Animation/Anime/Titles/E/Excel_Saga", "url": "http://spacebutler.tripod.com/"} +{"d:Title": "Fafner", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F", "url": "http://www.nihonreview.com/anime/fafner/"} +{"d:Title": "Fantastic Children", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F", "url": "http://www.nihonreview.com/anime/fantastic-children/"} +{"d:Title": "Fate/Stay Night", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F", "url": "http://www.nihonreview.com/anime/fatestay-night/"} +{"d:Title": "Fighting Spirit", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F", "url": "http://www.nihonreview.com/anime/fighting-spirit/"} +{"d:Title": "Futakoi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F", "url": "http://www.nihonreview.com/anime/futakoi/"} +{"d:Title": "Futakoi Alternative", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F", "url": "http://www.nihonreview.com/anime/futakoi-alternative/"} +{"d:Title": "Fairy Princess Ren", "d:Description": "Screenshots, cosplay, and FAQ.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fairy_Princess_Ren", "url": "http://www.angelfire.com/my/washu/fpr/"} +{"d:Title": "Yahoo! Groups: Fancy Lala", "d:Description": "Discussion forum for Fancy Lala. Open membership, unmoderated, archives for members only, email attachments not permitted.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fancy_LaLa", "url": "http://groups.yahoo.com/group/fancy_lala/"} +{"d:Title": "Terry Bogard Shrine", "d:Description": "Detailed information on Terry Bogard, and guestbook. [Requires JavaScript]", "topic": "Top/Arts/Animation/Anime/Titles/F/Fatal_Fury", "url": "http://rachelxw.tripod.com/"} +{"d:Title": "The Nihon Review - Fatal Fury: The Motion Picture", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fatal_Fury", "url": "http://www.nihonreview.com/anime/fatal-fury-the-motion-picture/"} +{"d:Title": "Hokuto no Ken", "d:Description": "Series overview, image gallery, plot synopses and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fist_of_the_North_Star", "url": "http://members.shaw.ca/pierrelyons/home.htm"} +{"d:Title": "AAW: Fist of the North Star (Movie) Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fist_of_the_North_Star", "url": "http://animeworld.com/reviews/fistofthenorthstar.html"} +{"d:Title": "The Nihon Review - Fist of the North Star (1986)", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fist_of_the_North_Star", "url": "http://www.nihonreview.com/anime/fist-of-the-north-star-1986/"} +{"d:Title": "The Flame of Recca Archive", "d:Description": "Complete with news, episode summaries, character profiles, mailing list, chat, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Flame_of_Recca", "url": "http://members.tripod.com/flameofrecca/"} +{"d:Title": "The Original Flame of Recca Webring", "d:Description": "A collection of links to Flame of Recca pages.", "topic": "Top/Arts/Animation/Anime/Titles/F/Flame_of_Recca", "url": "http://members.tripod.com/flameofrecca/ring.html"} +{"d:Title": "Flame of Recca Mailing List", "d:Description": "A large Yahoo mailing list for Flame of Recca fans (both manga and anime).", "topic": "Top/Arts/Animation/Anime/Titles/F/Flame_of_Recca", "url": "http://groups.yahoo.com/group/flameofrecca/"} +{"d:Title": "Hokage Village", "d:Description": "Character profiles, images, multimedia, polls, downloads, lyrics, clubs and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Flame_of_Recca", "url": "http://www.angelfire.com/anime/reccaflame/"} +{"d:Title": "Flame of Recca Yuri", "d:Description": "A Yahoo mailing list devoted to discussion and promotion of Flame of Recca Yuri!", "topic": "Top/Arts/Animation/Anime/Titles/F/Flame_of_Recca", "url": "http://groups.yahoo.com/group/FoRyuri"} +{"d:Title": "WebRing: Dark Waters - A Tokiya", "d:Description": "For fans of Mikagami Tokiya and Flame of Recca.", "topic": "Top/Arts/Animation/Anime/Titles/F/Flame_of_Recca", "url": "http://www.webring.org/hub/tokiya"} +{"d:Title": "FLCL Info", "d:Description": "Episode guide, scripts, artbook scans, merchandise, links, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/F/FLCL", "url": "http://www.freewebs.com/flclinfo/"} +{"d:Title": "The Nihon Review - FLCL", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/FLCL", "url": "http://www.nihonreview.com/anime/flcl/"} +{"d:Title": "Flint, the Time Detective", "d:Description": "Story summary, listing of characters, episode listings, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Flint_the_Time_Detective", "url": "http://lady_marrah.tripod.com/flint.html"} +{"d:Title": "Stupid Caveman", "d:Description": "Terminology, image gallery, fan works, theories, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Flint_the_Time_Detective", "url": "http://www.angelfire.com/anime2/fttd/"} +{"d:Title": "The Nihon Review - Fruits Basket", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket", "url": "http://www.nihonreview.com/anime/fruits-basket/"} +{"d:Title": "Fu-ru-ba! Adoptions", "d:Description": "Adopt a small image for your site. Rules, member list, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Characters", "url": "http://www.twilightillusion.net/FB/"} +{"d:Title": "The Good, The Bad, and The Cow", "d:Description": "Hatsuharu Sohma (Haru) shrine. Series overview, character profile, Chinese zodiac ox information, wallpapers, image gallery and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Characters", "url": "http://www.angelfire.com/anime/uponearthswing/cow/"} +{"d:Title": "Lil' Bunny Fu-Fu", "d:Description": "Momiji Sohma shrine. Character profile, character's fashions/outfits, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Characters", "url": "http://www.angelfire.com/anime4/bunnyfufu/"} +{"d:Title": "The Silver Wiggle Worm", "d:Description": "A shrine dedicated to Souma Ayame, Souma Hatori, and Souma Shigure. Includes character profiles, You Know You Watch Too Much Fruits Basket When, and Ask Ayame and Co.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Characters", "url": "http://ayamenomiko.tripod.com/"} +{"d:Title": "Kyou x Yuki", "d:Description": "Yahoo! Groups mailing list concerning romantic/sexual relations between Kyo and Yuki (shounen-ai/yaoi). Open membership, unmoderated, archives for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Chats_and_Forums", "url": "http://groups.yahoo.com/group/KyouXYuki/"} +{"d:Title": "Yukiru ML", "d:Description": "Yahoo! Group concentrating on the Yuki/Tohru relationship. Open membership, unmoderated, archive for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Yukiru_ML/"} +{"d:Title": "Kyoru Romance", "d:Description": "Yahoo! Group concentrating on the Kyo/Tohru pairing. Open membership, unmoderated, archive for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Kyoru_romance/"} +{"d:Title": "Fruits Basket Cristal Palace", "d:Description": "Image gallery and information on the series.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Fan_Pages", "url": "http://animecristalpalace.i8.com/"} +{"d:Title": "Memory for You", "d:Description": "Shrine to the series. Includes episode summary and opinions, wallpapers and screen captures. Spoilers for the series throughout.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Fan_Pages", "url": "http://furuba.bookish.net/"} +{"d:Title": "Roses of Sorrow's Fruit Basket Page", "d:Description": "Character and anime information including image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Fan_Pages", "url": "http://www.angelfire.com/anime4/fruitsbasket/"} +{"d:Title": "Kaibara Gakko", "d:Description": "Storyline, biographies, images, winamp skins and wallpapers.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Fan_Pages", "url": "http://kaibara_gakko.tripod.com/"} +{"d:Title": "Fans Basket", "d:Description": "Story, character guide, manga spoilers, quizzes and general information on a few other anime.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Fan_Pages", "url": "http://fansbasket.awardspace.com/"} +{"d:Title": "The God and the Orphan = (Akiru) Akito Sohma&Tohru Honda - Pairing", "d:Description": "A Fruits Baket Yahoo Group in dedication to the almost possible fan made pairing of the Zodiac/Juunishi God Akito Sohma and Rice Ball Tohru Honda.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Fan_Pages", "url": "http://groups.yahoo.com/group/The-God-and-the-Orphan/"} +{"d:Title": "The Funniest of Fruits Basket", "d:Description": "A gallery of amusing images from the series.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Image_Galleries", "url": "http://www.angelfire.com/anime5/furuba/"} +{"d:Title": "The Animal House", "d:Description": "Role playing game, with joining information, list of claimed and unclaimed characters, and a forum.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Roleplaying", "url": "http://thesheeparecoming.tripod.com/theanimalhouse/"} +{"d:Title": "FruitsBasket-RPG", "d:Description": "Yahoo! Groups roleplaying by email. Email list has open membership, unmoderated, archives for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Roleplaying", "url": "http://groups.yahoo.com/group/FruitsBasket-RPG"} +{"d:Title": "Yaoi Furuba RPG", "d:Description": "Yahoo! Groups roleplaying by email, concentrating on male/male relationships. Email list has open membership, unmoderated, archives for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Roleplaying", "url": "http://groups.yahoo.com/group/Yaoi_Furuba_RPG/"} +{"d:Title": "Jyunnishi Fruits Basket RPG", "d:Description": "Yahoo! Groups roleplaying by email. Email list has open membership, unmoderated, archives for members only, email attachments permitted.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fruits_Basket/Roleplaying", "url": "http://groups.yahoo.com/group/jyunnishi_fruits_basket_rpg/"} +{"d:Title": "Asskicking Alchemy and Automail", "d:Description": "Contains episode summaries, character profiles, image galleries, fan works, forums, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://home.earthlink.net/~edge7z/"} +{"d:Title": "Fullmetal Alchemist Fanfiction", "d:Description": "An archive of Fullmetal Alchemist fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://homepages.ihug.co.nz/~jaelle/fma_index.html"} +{"d:Title": "The Fullmetal Alchemist, Edward Elric", "d:Description": "Contains general information, an episode guide, trivia, wallpapers, and movie snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://www.edwardelric.com/"} +{"d:Title": "N.A.S. - Not a Shrimp", "d:Description": "Edward shrine. Includes character information, video game information, images, doujinshi scans, fan art, fan fiction, cosplay pictures, animated gifs, wallpapers, icons, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://www.notashrimp.com/"} +{"d:Title": "Scimitar Smile", "d:Description": "An archive of Fullmetal Alchemist fan fiction. Also includes FMA information and tips for webmasters.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://www.scimitarsmile.com/alchemy/index.php"} +{"d:Title": "The Nihon Review - Fullmetal Alchemist", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://www.nihonreview.com/anime/fullmetal-alchemist/"} +{"d:Title": "The Nihon Review - Fullmetal Alchemist: The Conqueror of Shambala", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://www.nihonreview.com/anime/fullmetal-alchemist-the-conqueror-of-shambala-2/"} +{"d:Title": "The Nihon Review - Fullmetal Alchemist: The Conqueror of Shambala", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist", "url": "http://www.nihonreview.com/anime/fullmetal-alchemist-the-conqueror-of-shambala/"} +{"d:Title": "Fullmetal Alchemist Message Board", "d:Description": "A Fullmetal Alchemist forum with roleplaying and discussion about the anime, manga, and games.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist/Chats_and_Forums", "url": "http://s8.invisionfree.com/FMAMessageBoard/"} +{"d:Title": "The Fullmetal Alchemist Forums", "d:Description": "A forum dedicated to the Fullmetal Alchemist series. Includes sections for episode discussions, character worship, fan works, and roleplaying.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist/Chats_and_Forums", "url": "http://s9.zetaboards.com/FullMetalAlchemist/"} +{"d:Title": "Fullmetal Alchemist: Central State", "d:Description": "A message board rpg where players can assume the role of a canon character or create their own. All visitors welcome.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fullmetal_Alchemist/Chats_and_Forums", "url": "http://fmastatecentral.proboards.com/"} +{"d:Title": "AAW: Full Metal Panic Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/F/Full_Metal_Panic", "url": "http://animeworld.com/reviews/fullmetalpanic.html"} +{"d:Title": "AAW: Full Metal Panic? Fumoffu Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/F/Full_Metal_Panic", "url": "http://animeworld.com/reviews/fullmetalpanicfumoffu.html"} +{"d:Title": "The Nihon Review - Full Metal Panic!", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Full_Metal_Panic", "url": "http://www.nihonreview.com/anime/full-metal-panic/"} +{"d:Title": "The Nihon Review - Full Metal Panic!", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Full_Metal_Panic", "url": "http://www.nihonreview.com/anime/full-metal-panic-2/"} +{"d:Title": "The Nihon Review - Full Metal Panic! The Second Raid", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Full_Metal_Panic", "url": "http://www.nihonreview.com/anime/full-metal-panic-the-second-raid/"} +{"d:Title": "The Nihon Review - Full Metal Panic? Fumoffu", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Full_Metal_Panic", "url": "http://www.nihonreview.com/anime/full-metal-panic-fumoffu/"} +{"d:Title": "The Nihon Review - Full Moon wo Sagashite", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/F/Full_Moon_wo_Sagashite", "url": "http://www.nihonreview.com/anime/full-moon-wo-sagashite/"} +{"d:Title": "Delusions", "d:Description": "Information, character statistics, potential relationships, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Hongo_Yui", "url": "http://starblossoms.tripod.com/delusions.html"} +{"d:Title": "Ask the Seiryuu Seishi", "d:Description": "Write to the Seiryuu Seishi. Letters, along with the responses, are posted and archived.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Seiryu_Seishi", "url": "http://www.angelfire.com/anime/askseiryuu/"} +{"d:Title": "Amiboshi's Palace", "d:Description": "Character profile, image galleries, and music files.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Seiryu_Seishi/Amiboshi", "url": "http://blueamiboshigirl.tripod.com/"} +{"d:Title": "Fue no Koe ~ Voice of a Flute", "d:Description": "Statistics, images, and links. Also has a sub-section on his brother Suboshi.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Seiryu_Seishi/Amiboshi", "url": "http://fuenokoe.0catch.com/"} +{"d:Title": "Anti-Shrine to Nakago", "d:Description": "Fake statistics and reasons for disliking Nakago. Pro-Nakago counter-aguments and links also listed.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Seiryu_Seishi/Nakago", "url": "http://www.katsudon.net/Nak/nakagodie.html"} +{"d:Title": "Pride of Ice: A Dedication to Soi", "d:Description": "Character profile, image gallery, seiryu seishi information, and wallpapers.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Seiryu_Seishi/Soi", "url": "http://soishrine0.tripod.com/Index.htm"} +{"d:Title": "Soi Sauce", "d:Description": "Contains profiles, facts, images, links, and fan works.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Seiryu_Seishi/Soi", "url": "http://angelfire.com/anime2/soisauce"} +{"d:Title": "Tomo's Palace of Blue", "d:Description": "Information, thoughts, fan works, image galleries, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Seiryu_Seishi/Tomo", "url": "http://bluetomogirl.tripod.com/"} +{"d:Title": "Daine and Kourin's Totally Best Nuriko and Tasuki Shrine", "d:Description": "Information, quizzes, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi", "url": "http://dainechan.tripod.com/nurikotasuki/"} +{"d:Title": "Shikon!", "d:Description": "In-depth character profile and analysis, images, music files, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi", "url": "http://tasuki.genrou.com/"} +{"d:Title": "Chichiri's House of Worship", "d:Description": "Basic information and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Chichiri", "url": "http://members.tripod.com/~Kikuko_11/"} +{"d:Title": "Chiriko's Corner", "d:Description": "Statistics, life story, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Chiriko", "url": "http://chiriko.suzaku.com/"} +{"d:Title": "The Myou Household", "d:Description": "Basic statistics, images, and spoiler-rich anime excerpts. Includes sections for Shoka and Mitsukake's cat.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Mitsukake", "url": "http://members.tripod.com/Myou_Kochou/index.html"} +{"d:Title": "Nuriko", "d:Description": "Thoughts on Nuriko's gender and relationships.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Nuriko", "url": "http://members.tripod.com/hahpa/nuriko.html"} +{"d:Title": "Nuriko.net", "d:Description": "Extensive information, image galleries, fan works, winamp skins, clubs, and merchandise.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Nuriko", "url": "http://www.nuriko.net/"} +{"d:Title": "The Tamahome Fan Faction", "d:Description": "Profile, images, seiyuu information, and people who support Tamahome.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Tamahome", "url": "http://www.angelfire.com/anime/TFF/"} +{"d:Title": "Jess' Tasuki Village", "d:Description": "Character statistics and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Tasuki", "url": "http://www.angelfire.com/anime2/jessicasfushigiyuugi/"} +{"d:Title": "Kasen: Fire Arrow", "d:Description": "Basic information, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Tasuki", "url": "http://elerealmist.tripod.com/tasindex.html"} +{"d:Title": "Abyssal Flames", "d:Description": "Profile, anime and manga images, music clips, fan works, and message board.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Tasuki", "url": "http://abyssalflames.com/"} +{"d:Title": "Shikon!", "d:Description": "Extensive character profile, seiyuu information and interview, images, song lyrics, parodies, desktop accessories, and links.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Characters/Suzaku_Seishi/Tasuki", "url": "http://www.genrou.com/tasuki/"} +{"d:Title": "The Miaka Alternative Pairing Fanfic ML", "d:Description": "Mailing list for non-Miaka/Tamahome pairings. [Pop-up voting window]", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Clubs_and_Organizations", "url": "http://fushigi_temple.tripod.com/mapfml.html"} +{"d:Title": "The Helix", "d:Description": "Links and character information.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Fan_Pages", "url": "http://www.angelfire.com/wa2/thehelix/"} +{"d:Title": "The Wolf's Den", "d:Description": "Character profiles, introduction, Hotohori/Nuriko shrine, fan art and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Fan_Pages", "url": "http://faye_wolf.tripod.com/"} +{"d:Title": "Eternal Springs", "d:Description": "Image galleries, fan art, soundtrack reviews, and a few links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Fan_Pages", "url": "http://members.tripod.com/steeltasuki/"} +{"d:Title": "Fue-chan's Fushigi Yuugi Page", "d:Description": "Character profiles, image galleries, fan fiction, and episode summary.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Fan_Pages", "url": "http://llanon.tripod.com/anime/fushigiyuugi/"} +{"d:Title": "Tasuki Has A Fine Bottom Area", "d:Description": "Images, sound and music clips, lyrics, fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Fan_Pages", "url": "http://tasukisqueenofbanits.tripod.com/"} +{"d:Title": "The Scarlet Phoenix Calls", "d:Description": "Character and series information, images, storyline, graphics, desktop accessories, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Fan_Pages", "url": "http://fushigiyuugi.animesekai.net/"} +{"d:Title": "FY Fanfiction", "d:Description": "Alternate pairings and parodies.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/ky/animiko/tasuki.html"} +{"d:Title": "TamaKity's Fushigi Yuugi Webring", "d:Description": "Any page with some Fushigi Yuugi content may join.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub?ring=fy"} +{"d:Title": "Bishonen Seishi of Fushigi Yuugi Webring", "d:Description": "Dedicated to the handsome male seishi of Fushigi Yuugi. Over 20 sites listed.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub?ring=1722"} +{"d:Title": "Chichiri's Webring No Da", "d:Description": "Any site is welcome as long as the owner likes Chichiri.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub?ring=chichiri"} +{"d:Title": "Tasuki's Ring of Fire", "d:Description": "Open to all Fushigi Yuugi fans, especially those who love Tasuki.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub?ring=lekkashien"} +{"d:Title": "Fushigi Yuugi Ring", "d:Description": "How to join, rules, and site descriptions. Over 80 active sites.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub/fushigiyuugi"} +{"d:Title": "The Ring of Miaka and Tamahome", "d:Description": "Focuses on Miaka and Tamahome's relationship, but will accept any Fushigi Yuugi page.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub/mtlovering"} +{"d:Title": "WebRing: Nuriko Fan", "d:Description": "For sites dedicated to Nuriko and his friends.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub/nuriko"} +{"d:Title": "The FY Fan Fiction Webring", "d:Description": "For sites containing Fushigi Yuugi fan fiction. Link to guidelines and joining information.", "topic": "Top/Arts/Animation/Anime/Titles/F/Fushigi_Yuugi/Web_Rings", "url": "http://www.webring.org/hub/fyfanfics"} +{"d:Title": "Highharbor.net", "d:Description": "Storyline, screen captures, wallpaper, video clips, MP3 files, and links. [English and French]", "topic": "Top/Arts/Animation/Anime/Titles/F/Future_Boy_Conan", "url": "http://www.highharbor.net/"} +{"d:Title": "Nausicaa.net: Future Boy Conan", "d:Description": "Information, articles, production credits, summaries, scripts, lyrics, availability, FAQ, and links.", "topic": "Top/Arts/Animation/Anime/Titles/F/Future_Boy_Conan", "url": "http://www.nausicaa.net/miyazaki/conan/"} +{"d:Title": "Garzey's Wing", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://animeworld.com/reviews/garzeyswing.html"} +{"d:Title": "Gakuen Utopia Manabi Straight!", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/gakuen-utopia-manabi-straight/"} +{"d:Title": "Gankutsuou \u2013 The Count of Monte Cristo", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/gankutsuou-the-count-of-monte-cristo/"} +{"d:Title": "Genshiken", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/genshiken-2/"} +{"d:Title": "Genshiken", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/genshiken/"} +{"d:Title": "Genshiken 2", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/genshiken-2-2/"} +{"d:Title": "Genshiken OVA", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/genshiken-ova/"} +{"d:Title": "Ghost Hunt", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/ghost-hunt/"} +{"d:Title": "Gift - Eternal Rainbow", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/gift-eternal-rainbow/"} +{"d:Title": "Gilgamesh", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/gilgamesh/"} +{"d:Title": "Girls Bravo First Season", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/girls-bravo-first-season/"} +{"d:Title": "Girls Bravo Second Season", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/girls-bravo-second-season/"} +{"d:Title": "Gokujou Seitokai", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/gokujou-seitokai/"} +{"d:Title": "Gunslinger Girl", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G", "url": "http://www.nihonreview.com/anime/gunslinger-girl/"} +{"d:Title": "Endless Orbit 999", "d:Description": "Information about the creator, Leiji Matsumoto.", "topic": "Top/Arts/Animation/Anime/Titles/G/Galaxy_Express_999", "url": "http://www.endlessorbit999.com/"} +{"d:Title": "The Nihon Review - Galaxy Express 999 Movie", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Galaxy_Express_999", "url": "http://www.nihonreview.com/anime/galaxy-express-999-movie/"} +{"d:Title": "OX11", "d:Description": "Cels, phone cards, synopses, fan fiction, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gall_Force", "url": "http://orangeroad.org/OX11.html"} +{"d:Title": "The Gall Force Home Page", "d:Description": "Character and mecha designs.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gall_Force", "url": "http://www.xs4all.nl/~tsunami/gallforce/"} +{"d:Title": "The Nihon Review - Gantz", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gantz", "url": "http://www.nihonreview.com/anime/gantz/"} +{"d:Title": "Strijd der Planeten", "d:Description": "Information on the Dutch-language version, sound clips, merchandise, fan art, and FAQ. [Dutch and English]", "topic": "Top/Arts/Animation/Anime/Titles/G/Gatchaman", "url": "http://www.xs4all.nl/~tbarth/sdp/"} +{"d:Title": "Rence and Frankie's Gatchaman Page", "d:Description": "Character descriptions, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gatchaman", "url": "http://members.tripod.com/~Rence1/Gatchaman.htm"} +{"d:Title": "7 Zark 7 Battle Of The Planets", "d:Description": "Episode list, images, wallpapers, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gatchaman", "url": "http://7_zark_7.tripod.com/"} +{"d:Title": "Gatchamaniac Home", "d:Description": "Collection of cels, sketches, images and merchandise.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gatchaman", "url": "http://www.anime-cel.com/gatchamaniac/"} +{"d:Title": "Absolute Anime / Battle of the Planets", "d:Description": "Series history and description, cast and production credits, character profiles, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gatchaman", "url": "http://www.absoluteanime.com/g-force/index.htm"} +{"d:Title": "Gatchaman Goods Homepage", "d:Description": "Images and descriptions of merchandise, including music, books, toys and posters. Series description, episode titles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gatchaman", "url": "http://www.gatchamangoods.com/"} +{"d:Title": "Dimension of the Gate", "d:Description": "Introduction, character profiles, series information, multimedia, and links. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/G/Gate_Keepers", "url": "http://www.angelfire.com/realm/gatekeepers/"} +{"d:Title": "G - The Mysterious Island", "d:Description": "Story and character information, fan art, image gallery, and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gestalt", "url": "http://members.tripod.com/ohrichan/"} +{"d:Title": "In the Shell", "d:Description": "Ghost in the Shell site covering both the anime and the manga, complete with images, audio, discussion boards, and reviews.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://www.neomythos.com/intheshell"} +{"d:Title": "AAW: Ghost in the Shell 2: Innocence Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://animeworld.com/reviews/ghostintheshell2.html"} +{"d:Title": "The Nihon Review - Ghost in the Shell", "d:Description": "Story, negative review of the movie, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://www.nihonreview.com/anime/ghost-in-the-shell/"} +{"d:Title": "The Nihon Review - Ghost in the Shell", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://www.nihonreview.com/anime/ghost-in-the-shell-2/"} +{"d:Title": "The Nihon Review - Ghost in the Shell 2: Innocence", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://www.nihonreview.com/anime/ghost-in-the-shell-2-innocence/"} +{"d:Title": "The Nihon Review - Ghost in the Shell: Stand Alone Complex", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://www.nihonreview.com/anime/ghost-in-the-shell-stand-alone-complex/"} +{"d:Title": "The Nihon Review - Ghost in the Shell: Stand Alone Complex 2nd Gig", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://www.nihonreview.com/anime/ghost-in-the-shell-stand-alone-complex-2nd-gig/"} +{"d:Title": "The Nihon Review - Ghost in the Shell: Stand Alone Complex Solid State Society", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_in_the_Shell", "url": "http://www.nihonreview.com/anime/ghost-in-the-shell-stand-alone-complex-solid-state-society/"} +{"d:Title": "THEM Anime Reviews: Ghost Sweeper Mikami", "d:Description": "Synopsis, review and rating.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_Sweeper_Mikami", "url": "http://www.themanime.org/viewreview.php?id=111"} +{"d:Title": "Animetric.com - Ghost Sweeper Mikami", "d:Description": "Review by Naomi, summary, and screen captures.", "topic": "Top/Arts/Animation/Anime/Titles/G/Ghost_Sweeper_Mikami", "url": "http://www.animetric.com/ghij/gsmovie.html"} +{"d:Title": "ONIcon 98: Giant Robo Episode VIII Synopsis", "d:Description": "Synopsis and humor.", "topic": "Top/Arts/Animation/Anime/Titles/G/Giant_Robo", "url": "http://www.therossman.com/onicon/gr8.html"} +{"d:Title": "Little Robo's Giant Robo Page", "d:Description": "Character profiles, images, multimedia, Johnny Sokko comparisons, FAQ and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Giant_Robo", "url": "http://members.tripod.com/~giantrobo/"} +{"d:Title": "The Goldenboy WebRing", "d:Description": "Sites related to the series.", "topic": "Top/Arts/Animation/Anime/Titles/G/Golden_Boy", "url": "http://www.webring.org/hub/kintaro/"} +{"d:Title": "Yahoo! Groups: Grander Musashi", "d:Description": "Discussions of the series, with message archives, images, and MP3 files available to members.", "topic": "Top/Arts/Animation/Anime/Titles/G/Grander_Musashi", "url": "http://groups.yahoo.com/group/Grander_Musashi/"} +{"d:Title": "Grander Musashi RV", "d:Description": "Character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/G/Grander_Musashi", "url": "http://www.angelfire.com/anime4/ichirou_ohgami/musashi.html"} +{"d:Title": "Tux_Ramen's Unofficial Grave of the Fireflies Page", "d:Description": "Information, image gallery, and Frequently Asked Questions.", "topic": "Top/Arts/Animation/Anime/Titles/G/Grave_of_the_Fireflies", "url": "http://setsuko.8m.com/"} +{"d:Title": "Grave of the Fireflies", "d:Description": "Plot outline and review of Grave of the Fireflies at Akemi's Anime World.", "topic": "Top/Arts/Animation/Anime/Titles/G/Grave_of_the_Fireflies", "url": "http://animeworld.com/reviews/graveofthefireflies.html"} +{"d:Title": "Grave of the Fireflies: Hotaru no Haka", "d:Description": "Information and synopsis, wallpaper, music, shopping guide, and reviews.", "topic": "Top/Arts/Animation/Anime/Titles/G/Grave_of_the_Fireflies", "url": "http://www.wingsee.com/ghibli/fireflies/"} +{"d:Title": "The Nihon Review - Grave of the Fireflies", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Grave_of_the_Fireflies", "url": "http://www.nihonreview.com/anime/grave-of-the-fireflies/"} +{"d:Title": "Force of Gravity", "d:Description": "Gravitation discussion forum and role playing boards where users can select an available character to participate in the RP.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gravitation", "url": "http://s14.invisionfree.com/GravitationBoard/index.php"} +{"d:Title": "OhK", "d:Description": "Shrine to the character Crawd Winchester, otherwise known as K. Includes character profile, arguments, image gallery, wallpaper, music, downloadable drama tracks, fan art, and scans of yaoi doujinshi.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gravitation/Characters", "url": "http://www.angelfire.com/anime3/kawaii123/index.html"} +{"d:Title": "Ryuichi's Kumagorou Playground", "d:Description": "Information and gallery of Ryuichi with other sections dedicated Kumagorou and Nittle Grasper.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gravitation/Characters", "url": "http://www.angelfire.com/dc/kumagorou/"} +{"d:Title": "The Totally Biased Tohma Site", "d:Description": "Profile, background, speculation, relationships, episode guide, wardrobe, screen captures, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gravitation/Characters", "url": "http://www.thenoodlebowl.com/tohma/"} +{"d:Title": "Great Teacher Onizuka", "d:Description": "Flash-based website that contains characters artworks complete with descriptions.", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://oginosama.tripod.com/gto/"} +{"d:Title": "Great Teacher Onizuka [GTO]", "d:Description": "Features manga summaries, translated anime scripts, characters list and anime 'seiyuu' (voice actors/actresses) index.", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://www.angelfire.com/geek/tetrisnomiko/gto/gto.html"} +{"d:Title": "E-leen's Attic - Great Teacher Onizuka", "d:Description": "Drama screenshots and casts' biodata.", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://e-leenattic.tripod.com/gto.html"} +{"d:Title": "Welcome to Holy Forest Academy!", "d:Description": "A GTO site that covers both of the anime and manga version produced by Tokyopop.", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://www.angelfire.com/nj3/svinnerworlds/holyforestmain.html"} +{"d:Title": "The Nihon Review - Great Teacher Onizuka", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://www.nihonreview.com/anime/great-teacher-onizuka/"} +{"d:Title": "Great Teacher Onizuka page at DramaWiki", "d:Description": "Contains information about the J-drama version of the Great Teacher Onizuka manga series, with ratings and actors' data,amongst others.", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://wiki.d-addicts.com/Great_Teacher_Onizuka"} +{"d:Title": "Great Teacher Onizuka (TV) - Anime News Network", "d:Description": "The Anime News Network (ANN) page for Great Teacher Onizuka.", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://www.animenewsnetwork.com/encyclopedia/anime.php?id=153"} +{"d:Title": "Great Teacher Onizuka Anidb.net page", "d:Description": "Great Teacher Onizuka information page at Anidb.net", "topic": "Top/Arts/Animation/Anime/Titles/G/Great_Teacher_Onizuka", "url": "http://anidb.net/perl-bin/animedb.pl?show=anime&aid=191"} +{"d:Title": "The Gunbuster Index", "d:Description": "Episode summaries and screenshots, character profiles, series history, credits, merchandise, and wallpaper.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gunbuster", "url": "http://www.toponeraegunbuster.com/"} +{"d:Title": "Gears Online: Gunbuster", "d:Description": "Images and sketches featuring robot, machine, and vehicle designs.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gunbuster", "url": "http://www.gearsonline.net/gunbuster/"} +{"d:Title": "AAW: Gunbuster Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gunbuster", "url": "http://animeworld.com/reviews/gunbuster.html"} +{"d:Title": "Gunbuster.net", "d:Description": "Series information, episode reviews, character profiles, discography, toys and models, cels gallery, videos, midis, icons, and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gunbuster", "url": "http://www.gunbuster.net/"} +{"d:Title": "Mecha Station", "d:Description": "Universal Century fan fictions, Gundam model reviews, online roleplaying game.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://mechastation.8m.com/"} +{"d:Title": "Gundam Official.com", "d:Description": "Official website for Gundam saga created by Bandai Entertainment, with character and mecha profiles plus detailed information on Gundam models and action figures.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.gundamofficial.com/"} +{"d:Title": "Ultimate Mark: Gundam Unofficial", "d:Description": "A series of in-depth articles by Gundam expert Mark Simmons.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.ultimatemark.com/gundam/"} +{"d:Title": "The Word", "d:Description": "Articles and opinion on Gundam world. Reviews on books and merchandises.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.bad-words.com/"} +{"d:Title": "The Nihon Review - Mobile Suit Double Zeta Gundam", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.nihonreview.com/anime/mobile-suit-double-zeta-gundam/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam/"} +{"d:Title": "The Nihon Review - Mobile Suit Victory Gundam", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.nihonreview.com/anime/mobile-suit-victory-gundam/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Formula 91", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-formula-91/"} +{"d:Title": "The Nihon Review - Turn A Gundam", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.nihonreview.com/anime/turn-a-gundam/"} +{"d:Title": "Gundam Sanctuary", "d:Description": "English/French fansite with introduction of UC Gundam saga, information on military ranks and V Gundam TV series.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://namida.cyna.fr/english/gundam.html"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Char\u2019s Counterattack", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-chars-counterattack/"} +{"d:Title": "Gundam Mailing List", "d:Description": "Question and answer mailing list. Features frequantly updated mail archives.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Chats_and_Forums", "url": "http://gundam.aeug.org/"} +{"d:Title": "GXML", "d:Description": "An online discussion list for After War Gundam X TV series.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Chats_and_Forums", "url": "http://groups.yahoo.com/group/gxml/"} +{"d:Title": "SD Gundam Discussion Board", "d:Description": "An online discussion board for the world of SD Gundam.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Chats_and_Forums", "url": "http://network54.com/Forum/10935"} +{"d:Title": "Gundam Fancon", "d:Description": "Online fanclub with picture gallery.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Clubs", "url": "http://msg_wingzero00.tripod.com/Gundam_Fancon/Gundam_Fanconx.html"} +{"d:Title": "CG Lair", "d:Description": "Showcase of CG arts on U.C. Gundam mechanics, Gundam Wing fan fiction, and other Sci-fi animation series.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Fan_Works/Fan_Art", "url": "http://www.cg-lair.co.uk/"} +{"d:Title": "Gundam Weapons", "d:Description": "MS CG works and tutorial. Introduction of the Gundam saga and related mecha designers, message board and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Fan_Works/Fan_Art", "url": "http://digilander.libero.it/gundamweapons/"} +{"d:Title": "Gundam Zach", "d:Description": "Original UC-based story, plus other fan fictions and comics.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Fan_Works/Fan_Fiction", "url": "http://gundamz.0catch.com/"} +{"d:Title": "Newtype Alpha Productions", "d:Description": "Collection of Universal Century Gundam fan fiction. Fan's submissions are welcome.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/gundam/newtype_alpha/"} +{"d:Title": "Orange Road Mekton Zeta", "d:Description": "Detailed Mekton game rules including Gundam and Macross rule sets.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games", "url": "http://www.orange-road.com/mekton/"} +{"d:Title": "Gundam Rpg", "d:Description": "A chat-based rpg with selections of any mobile suits from any Gundam series.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://angelfire.com/gundam/rpgofstuff"} +{"d:Title": "Gundamwar RPG 3001: Quest of Fate", "d:Description": "Chat room/e-mail based RPG with a variety of mobile suits from Gundam saga to choose from.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://www.angelfire.com/gundam/gundamwarrpg/"} +{"d:Title": "Gundam the Rpg", "d:Description": "Chat room-based roleplaying game which combines universal century and after colony factions and mechas.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://www.angelfire.com/rpg/gundamtherpg/"} +{"d:Title": "Side 3 Invasion", "d:Description": "An email-based role playing game which takes place in an alternate universe based on the Universal Century.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://www.angelfire.com/gundam/rpg0015/"} +{"d:Title": "Effects of Victory", "d:Description": "An email-based Universal Century role playing game in the post-V Gundam era (UC 0187).", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://www.angelfire.com/gundam/effectsofvictory/"} +{"d:Title": "Gundam Universe", "d:Description": "An email-based strategic role playing game based on the post One Year War era in the Universal Century.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://www.gundam.bravepages.com/"} +{"d:Title": "Gundam RPG", "d:Description": "A message board-based One Year War roleplaying game.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://www.angelfire.com/gundam/oneyearwarrpg/"} +{"d:Title": "Zeon VS Federation RPG", "d:Description": "An AOL chat-based One Year War role playing game with player statistics and item shops.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://www.angelfire.com/gundam/rpgzeonvsfederation/"} +{"d:Title": "Gundam Battle System", "d:Description": "Message boards for discussing Gundam and related roleplaying games.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://gundambattlesystem.yuku.com/"} +{"d:Title": "Gundam Gates", "d:Description": "A message board and chat room-based roleplaying community.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Games/Roleplaying", "url": "http://gundamgates.yuku.com/"} +{"d:Title": "Gundam 0080", "d:Description": "General information and episode lists, character and mecha information, picture gallery and list of merchandises.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_0080", "url": "http://www.angelfire.com/gundam/0080_1/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam 0080: War in the Pocket", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_0080", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-0080-war-in-the-pocket/"} +{"d:Title": "Gundam Seed Plus", "d:Description": "Contains information related to the anime: Gundam Seed and Gundam Seed Destiny. Character profiles, episode guide, discography, Gundam list, and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_SEED", "url": "http://gundamseedplus.blogspot.com/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Seed", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_SEED", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-seed/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Seed", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_SEED", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-seed-2/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Seed Destiny", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_SEED", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-seed-destiny/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Seed C.E. 73: Stargazer", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_SEED", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-seed-ce-73-stargazer/"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Wing", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-wing/"} +{"d:Title": "Catherine's Circus", "d:Description": "A collection of essays, articles about the characters, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters", "url": "http://www.angelfire.com/ky/CatherineBloom/"} +{"d:Title": "Selece Kiyomi", "d:Description": "Shrine for a fan-created character, with profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters", "url": "http://www.angelfire.com/anime/Selece/Gundam.html"} +{"d:Title": "Duo's Corner", "d:Description": "Character shrines for the five Gundam pilots, includes character information and an image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters", "url": "http://www.angelfire.com/anime/duoscorner/"} +{"d:Title": "Lover's Highway", "d:Description": "Focusing on the romantic couples, with picture galleries, essays and opinion, fan art and fan fiction. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters", "url": "http://www.angelfire.com/gundam/ari_romanova/"} +{"d:Title": "Emotional Relationships Within the Gundam Wing Series", "d:Description": "In-depth essays on the relationships between various GW characters. (Includes shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters", "url": "http://www.angelfire.com/gundam/pilots_sex/"} +{"d:Title": "Gundam Wing Character Shrines Index", "d:Description": "General index of online GW character shrines including the five pilots, OZ and other characters.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters", "url": "http://gwindex.tripod.com/"} +{"d:Title": "Reparations Base", "d:Description": "Fan fiction archive, fan art gallery and poetry devoted to Wufei.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Chang_Wufei", "url": "http://www.angelfire.com/gundam/Reparationsbase/"} +{"d:Title": "A Dragon's Integrity", "d:Description": "Picture gallery, opinion, fan art, fan fictions and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Chang_Wufei", "url": "http://www.angelfire.com/gundam/wufeishrine/"} +{"d:Title": "Hugs&Kisses", "d:Description": "Image galleries, fan fiction and fanart archives. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Chang_Wufei", "url": "http://www.angelfire.com/anime3/wuffiedragons/"} +{"d:Title": "Chang WuFei Fan Central", "d:Description": "A Yahoo group devoted to the Gundam pilot Chang WuFei.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Chang_Wufei", "url": "http://groups.yahoo.com/group/changwufeifancentral/"} +{"d:Title": "Beautiful Love", "d:Description": "Devoted to Heero Yuy and Relena Peacecraft, with character information, image galleries and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Couples", "url": "http://heeror1.tripod.com/"} +{"d:Title": "Desert Love", "d:Description": "Dedicated to Quatre Raberba Winner and Catherine Bloom, with picture galleries and fan fiction archives.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Couples", "url": "http://www.angelfire.com/gundam/desertlove/"} +{"d:Title": "Duo&Heero: The Yaoi Shrine", "d:Description": "Dedicated to Duo Maxwell and Heero Yuy, with character profiles, humor and trivia, fan fiction and fan art, multimedia. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Couples", "url": "http://duomaxwell89.tripod.com/"} +{"d:Title": "Dorothy Catalonia", "d:Description": "A live roleplaying journal of Dorothy in post-Endless Waltz era.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Dorothy_Catalonia", "url": "http://dorothy-cat.livejournal.com/"} +{"d:Title": "Duo Maxwell Ultimate Dedication", "d:Description": "Character information, picture gallery, fan fictions, humor, multimedia, chat room, trading post and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Duo_Maxwell", "url": "http://www.angelfire.com/tv/DuoMaxwell4ever/"} +{"d:Title": "Duo's Gundam Wing Page", "d:Description": "Shrine with pictures, music, voice and text chat.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Duo_Maxwell", "url": "http://www.angelfire.com/anime/duospage/"} +{"d:Title": "The Great Destroyer: Duo Maxwell", "d:Description": "Character profile, picture gallery, interactive polls, fan art, fan fiction, dialogues from Episode Zero, multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Duo_Maxwell", "url": "http://www.angelfire.com/anime2/greatdestroyer/"} +{"d:Title": "Saintly Shinigami", "d:Description": "Character and MS profiles, image gallery, quotes and humor, interactive polls, lyrics and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Duo_Maxwell", "url": "http://www.angelfire.com/anime/duoshinigami/"} +{"d:Title": "Infatuated with Duo Maxwell", "d:Description": "Character profiles, picture gallery, multimedia downloads, doujinshis, chat room, message board.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Duo_Maxwell", "url": "http://www.angelfire.com/anime/shingami/"} +{"d:Title": "Heavenly Heero", "d:Description": "Character and MS profiles, image gallery, quotes and lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Heero_Yuy", "url": "http://www.angelfire.com/gundam/perfectsoldier/main.html"} +{"d:Title": "Heero Lovers Head Quarters", "d:Description": "Online club, fan fiction and fanart.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Heero_Yuy", "url": "http://www.angelfire.com/ma3/chang/index.html"} +{"d:Title": "Trowa's Gundam Fan Site", "d:Description": "Profiles for Trowa Barton, Catherine Bloom and Gundam pilots, MS information and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Trowa_Barton", "url": "http://members.tripod.com/nkc46/"} +{"d:Title": "Loved and Lost Once: A Trowa Barton Shrine", "d:Description": "Picture gallery, fan fiction archive, opinion and interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Characters/Trowa_Barton", "url": "http://www.angelfire.com/anime5/loved_and_lost_once/"} +{"d:Title": "Wing Zero Post", "d:Description": "Comprehensive GW message board, plus weekly polls and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Chats_and_Forums", "url": "http://www.angelfire.com/gundam/wzeropost/"} +{"d:Title": "Endless Dedication", "d:Description": "GW and EW story synopsis, pilots' profiles, mechanics, image gallery, opinion and articles, fan art and fiction, quotes and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Endless_Waltz", "url": "http://endlessdedication.tripod.com/"} +{"d:Title": "Great Destroyer 1's Endless Waltz Page", "d:Description": "EW story Introduction, character and mecha information, picture gallery, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Endless_Waltz", "url": "http://www.angelfire.com/gundam/endlessw0/index.html"} +{"d:Title": "The Nihon Review - Mobile Suit Gundam Wing: Endless Waltz", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Endless_Waltz", "url": "http://www.nihonreview.com/anime/mobile-suit-gundam-wing-endless-waltz/"} +{"d:Title": "After Colony 195: Mobile Suit Gundam Wing", "d:Description": "Character and mecha profiles, dictionary of Gundam Wing terms, fan fiction and games.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/A", "url": "http://www.angelfire.com/ms2/Grimm/GundamWing/"} +{"d:Title": "Auseakret's and Lena's Gundam Wing Page", "d:Description": "Fan works, character profiles, picture gallery, episode list, introduction on Endless Waltz and Blind Target, lyrics, multimedia, dictionary and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/A", "url": "http://www.angelfire.com/gundam/wing4oxo/"} +{"d:Title": "Abeyance Ablaze", "d:Description": "Character picture galleries, fan fiction archives and interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/A", "url": "http://www.angelfire.com/dragon/abeyance_ablaze/"} +{"d:Title": "Chibi Treize's Gundam Wing Realm", "d:Description": "Pilots and MS information, image gallery, multimedia, interactive polls and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/C", "url": "http://www.angelfire.com/gundam/chibigundamoftheweb/"} +{"d:Title": "The Colonies", "d:Description": "News, episode summary, introduction on characters, mechas, and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/C", "url": "http://www.angelfire.com/anime/larrythelooter/"} +{"d:Title": "Death by Gundam", "d:Description": "Series information, character and mecha profiles, music, image gallery, wallpaper, multimedia, fan art, fan fictions and message board and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/D", "url": "http://www.deathbygundam.com/"} +{"d:Title": "Demon Wings", "d:Description": "Story information, character profiles, doujinshi, image galleries, glossary of terms, manga and comics, models, multimedia, fan art, opinion and trivia.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/D", "url": "http://www.angelfire.com/super/wings/"} +{"d:Title": "Duo Maxwell and Heero Bird's Page", "d:Description": "Character and mobile suit information, multimedia, picture gallery, fan art and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/D", "url": "http://www.angelfire.com/gundam/keduomaxwell/index.html"} +{"d:Title": "Earthside", "d:Description": "Character and mecha information, image galleries, fan fictions and essays.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/E", "url": "http://www.angelfire.com/cantina/earthside/"} +{"d:Title": "Fire's Page of Everything Gundam", "d:Description": "Fan fictions, image gallery, character shrines, interactive polls and links. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/F", "url": "http://www.angelfire.com/anime2/noinxzechs/"} +{"d:Title": "The Gundam Boys", "d:Description": "Character profiles, fan fictions and arts, image gallery and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime/senmei/index.html"} +{"d:Title": "Gundam Getaway", "d:Description": "Story, characters, mechas, links, multimedia, and a Gundam Wing RPG which can be played with or without a chat room.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime/Gundamgetaway/"} +{"d:Title": "Gundam Mania", "d:Description": "Character profiles for the five Gundam pilots, with picture gallery.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime2/gundammanina/"} +{"d:Title": "Gundam Wing - Angels of Death", "d:Description": "Character and mecha information, quotes and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime/Mobilesuit/"} +{"d:Title": "The Gundam Wing Paradise", "d:Description": "Image gallery, episode list, character shrines, fan art and fan fiction, chat room, character and mechanic information.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime/gundamwingparadise/page.html"} +{"d:Title": "Gundam Wing Kit's Department", "d:Description": "Mecha and character introduction, image gallery, fan arts, fan fictions and music.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime/gundamgal/"} +{"d:Title": "Gundam Wing: The Tallgeese Battle Zone", "d:Description": "Story introduction, characters and mechas, image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime2/TriezeKushrenada/index.html"} +{"d:Title": "The Gundams", "d:Description": "Profiles on Gundam Wing mechas, image gallery and multimedia downloads.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/anime2/gunwing/index.html"} +{"d:Title": "GundaMania", "d:Description": "Story introduction, character profiles, picture gallery, Quatre shrine, weekly humor, interactive poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.gundamania.itgo.com/"} +{"d:Title": "Gundam Wing", "d:Description": "Story introduction, episode guides, characters and mecha profiles, image gallery and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://wing.0.tripod.com/index1.htm"} +{"d:Title": "Gundam Wing Guild Shrine", "d:Description": "Character and mecha information, picture gallery, multimedia, interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/gundam/gundamshrine1/gun.htm"} +{"d:Title": "Gundam Wing", "d:Description": "TV series and OVA introduction with character information and image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/gundam/gundamgirlzero/"} +{"d:Title": "Gundam 24/7", "d:Description": "Profiles, adopt-a-pilot, links and webring.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://www.angelfire.com/gundam/quatrebabe/"} +{"d:Title": "Gundam Wing Haven", "d:Description": "Character and mecha information, episode guide, picture gallery, opinion, chat room and interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://gundam_wing_goddess.tripod.com/"} +{"d:Title": "Gundam Wing Operations", "d:Description": "Character and mecha information, picture gallery, voice actor information, multimedia, manga, role playing game and interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/G", "url": "http://gundamoperations.0catch.com/"} +{"d:Title": "Heero's Lucky Bamboo and Soy Sauce", "d:Description": "Story digest, character and MS information, glossary for After Colony.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/H", "url": "http://www.angelfire.com/gundam/heerolbss/"} +{"d:Title": "In A World Where Nothing Makes Sense...", "d:Description": "Character and mecha information, story summary, multimedia, fan fictions and interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/I", "url": "http://www.angelfire.com/gundam/berserkkyle/index.html"} +{"d:Title": "Katie's Gundam Wing Site", "d:Description": "Story introduction, character information and analysis, fan art, picture gallery and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/K", "url": "http://www.angelfire.com/anime2/gundamgirl2001/"} +{"d:Title": "L6 Colony Cluster", "d:Description": "Character information, picture gallery, multimedia, message board and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/L", "url": "http://www.angelfire.com/gundam/l6colony/"} +{"d:Title": "Midnight Wing", "d:Description": "Character and episode guide, columns, picture gallery, fan fiction and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/M", "url": "http://www.angelfire.com/mi3/midnightwing/"} +{"d:Title": "124 Gundam Wing Lane", "d:Description": "Character and mecha information, picture gallery, fan art and fiction, multimedia, interactive polls and survey.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/O", "url": "http://www.angelfire.com/anime2/124gundamwinglane/"} +{"d:Title": "The Perfect", "d:Description": "GW pilot information and picture galleries.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/P", "url": "http://www.angelfire.com/gundam/thePerfect/index.html"} +{"d:Title": "Sandrock Rocks", "d:Description": "Characters and mecha information, image gallery, message board, interactive poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/S", "url": "http://www.angelfire.com/anime2/sandrock911/index.html"} +{"d:Title": "Shinigami Zero", "d:Description": "Gundam pilots' profiles, opinion and articles, doujinshi gallery, poems and lyrics, multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/S", "url": "http://www.angelfire.com/gundam/chibiduo0/index.html"} +{"d:Title": "Temple O' Gundam", "d:Description": "Story introduction, fan fiction archives, fan art, opinion and humors, picture gallery, interactive polls and message board.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/T", "url": "http://www.angelfire.com/anime2/magunacsinhell/"} +{"d:Title": "The Treize Faction", "d:Description": "Information on characters, warring factions and mechas, episode list and story introduction, dictionary, picture gallery, trivia, fan arts, interactive poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/T", "url": "http://www.angelfire.com/gundam/TreizeFaction/"} +{"d:Title": "Wing Zero Universe", "d:Description": "Story introduction, characters and MS information, picture gallery, quotes, quiz, chat room, forum, multimedia and interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/W", "url": "http://wingzerouniverse.tripod.com/"} +{"d:Title": "White Fire Gundam Wing", "d:Description": "Character and mecha information, fan fiction and poem, anime and game reviews, multimedia and forums.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/W", "url": "http://whitefiregundamwing.0catch.com/"} +{"d:Title": "Zer0 Gundam", "d:Description": "Saga introduction, Endless Waltz section, episode guide, Epyon and Wing Zero's profiles, opinion, chat room, image gallery, multimedia, interactive polls.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Pages/Z", "url": "http://www.angelfire.com/anime2/ZGundam/"} +{"d:Title": "Misty Eyes", "d:Description": "Fan fiction archives, fan art, opinion, character information, Japanese terms, contest and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works", "url": "http://www.angelfire.com/gundam/karmastaya/"} +{"d:Title": "Gundam Wing - Alternate Universe", "d:Description": "Archive of fan fiction incorporating original characters. plus fan art, humors, character profiles, quotes, image gallery and message board.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works", "url": "http://www.angelfire.com/gundam/altuniverse/"} +{"d:Title": "Got Gundanium?", "d:Description": "Fan fiction and fan art archives, picture galleries, character profiles, lyrics, dictionary and phrases, multimedia. (contains shounen ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works", "url": "http://www.angelfire.com/gundam/odin/"} +{"d:Title": "The Storyteller's Corner", "d:Description": "Fan fiction and fan art archives, character shrines, opinion and articles, lyrics and poems.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works", "url": "http://www.courtofmiracles.net/tsc/"} +{"d:Title": "Gundam Wing: Mission Acknowledged", "d:Description": "Fan fiction archives and fan art galleries, wallpapers, doujinshi and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works", "url": "http://www.gwaddiction.com/izumi/gw.htm"} +{"d:Title": "Kore ga Mitai", "d:Description": "Doujinshi archive devoted to GW pilots, plus virtual postcard and anime music reviews. (Contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Art", "url": "http://www.angelfire.com/ks2/kore/Kore.html"} +{"d:Title": "Always Beside You", "d:Description": "Fan art and image galleries for Trowa Barton and GW manga character Midii Une.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Art", "url": "http://www.angelfire.com/gundam/midiiune/"} +{"d:Title": "Crossed Wings", "d:Description": "GW fan fictions and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/anime2/crossedwings/"} +{"d:Title": "Feathers&Roses", "d:Description": "Collection of GW fan fiction. (Contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://feathersroses.tripod.com/"} +{"d:Title": "Forever Love", "d:Description": "Fan fiction archives for GW couples and character pairs.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/gundam/favcouples/"} +{"d:Title": "Gundam Noir", "d:Description": "An archive of original and hosted GW stories.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://midiiune.tripod.com/MidiiUne/"} +{"d:Title": "The Fanfic I Didn't Finish", "d:Description": "An ongoing GW fan fiction with main focus on Quatre Reberba Winner.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://bun223.tripod.com/thefanfic/"} +{"d:Title": "A Little Piece of Gundam Wing", "d:Description": "Fan fiction archives, mailing list subscription, interactive polls. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://raygunworks.net/"} +{"d:Title": "Beauty of the Beast", "d:Description": "GW fan fiction archives. Fan submissions are welcome. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/space/beautyofthebeast/bob.html"} +{"d:Title": "Secrets of the Shadows", "d:Description": "A collection of fan fiction focusing on the five Gundam pilots. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/goth/sweet_kitten8/"} +{"d:Title": "Gundam Wing Addiction", "d:Description": "Extensive fan fiction archives by various authors, mailing lists and message board. (contains shounen-ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://www.gwaddiction.com/"} +{"d:Title": "Hiiro's Haven", "d:Description": "Fan fiction archives, opinion and character information.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/goth/triggerhiiro/01Home.html"} +{"d:Title": "Gundam Sux", "d:Description": "A site created by and for fans who have very different opinion on Gundam Wing saga.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Humor", "url": "http://members.tripod.com/gundam_sux/"} +{"d:Title": "Duo's Gundam Wing Site", "d:Description": "Gallery of GW's animated gifs", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Multimedia", "url": "http://www.angelfire.com/gundam/gundamduo/index.html"} +{"d:Title": "Gundam Center", "d:Description": "GW character and MS information, image gallery, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Multimedia", "url": "http://www.angelfire.com/gundam/center/"} +{"d:Title": "Mecha Core", "d:Description": "Contains galleries of Gundam Wing and Patlabor.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Multimedia", "url": "http://www.angelfire.com/anime/mechacore/main.html"} +{"d:Title": "My Gamma Gundam Wing Page", "d:Description": "Image gallery, multimedia, games, polls and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Multimedia", "url": "http://www.angelfire.com/gundam/Gamma/index.html"} +{"d:Title": "Tsubasa Ozora's Gundam Wing Gallery", "d:Description": "Image gallery with a collection of Gundam Wing pictures from various artbooks, magazines, and doujinshi.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Multimedia", "url": "http://members.tripod.com/~Noin/gwimage.html"} +{"d:Title": "Gundam Hanger", "d:Description": "GW's animated gifs and other multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Multimedia", "url": "http://www.angelfire.com/vi/gm/"} +{"d:Title": "Gundam Wing Unleashed", "d:Description": "Pictures, multimedia and chat.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Multimedia", "url": "http://www.angelfire.com/gundam/gundamwingunleashed/"} +{"d:Title": "Point Break", "d:Description": "Game with weapon and mecha's upgrade and customization. [Java Chat]", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://sinisterdarkanthony.tripod.com/"} +{"d:Title": "Heero and Duo's Gundam RPG", "d:Description": "Roleplaying game, plus image gallery and interactive polls. [Yahoo Instant Messenger]", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://www.angelfire.com/gundam/heero0/"} +{"d:Title": "The Beach", "d:Description": "A humorous roleplaying weblog where players can assume the roles of GW characters in daily adventures.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://pechs.blogspot.com/"} +{"d:Title": "Yahoo! Groups: The A.I.M.S", "d:Description": "Post-Endless Waltz era roleplaying game group. [Play by E-Mail]", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://groups.yahoo.com/group/TheAIMS/"} +{"d:Title": "Gundam Fighters", "d:Description": "Players can make up their own mechas and characters. Members list, characters, weapons, and pictures. [AOL Chat]", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://gundamfighters.8m.com/"} +{"d:Title": "Gundam Mobile Suit: The Return to Forever", "d:Description": "Combines the universes of G Gundam and Gundam Wing. [Play be E-Mail]", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://neogermanfight.tripod.com/"} +{"d:Title": "Gundam Wing Mercenaries", "d:Description": "Email and chat room based RPG, with picture gallery, mecha information, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://members.tripod.com/gundamwingmercen/"} +{"d:Title": "Gundam Wing G Knights", "d:Description": "Roster, joining, training, and news.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://www.angelfire.com/dbz/thetruedbzone/index.html"} +{"d:Title": "Gundam Wing Nataku", "d:Description": "Message board based roleplaying game with wide selections of mechas and organizations.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Roleplaying", "url": "http://gwngenesis.yuku.com/"} +{"d:Title": "The Anti-Relena Webring", "d:Description": "Virtual webring for GW fansites including those who dislike Relena Peacecraft.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Web_Rings", "url": "http://www.webring.org/hub?ring=antirelena"} +{"d:Title": "Non-Yaoi Gundam Wing Ring", "d:Description": "For Gundam Wing sites that have no yaoi or yuri content.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Web_Rings", "url": "http://www.webring.org/hub?ring=nonyaoigwing"} +{"d:Title": "Flying Away", "d:Description": "Webring for GW fan fiction sites (Contains Shounen Ai content)", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_Wing/Web_Rings", "url": "http://www.webring.org/hub?ring=flyingaway1x22x1"} +{"d:Title": "Afterwar GX Version 2.0", "d:Description": "Story introduction, episode guide, character and MS profiles, image gallery, multimedia, interactive polls, chat room and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_X", "url": "http://www.angelfire.com/gundam/afterwargx2/"} +{"d:Title": "Khandreia's Realm", "d:Description": "Information and reviews on After War Gundam X TV series, with character shrine devoted to former newtype Jamil Neate.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_X", "url": "http://www.khandreia.com/"} +{"d:Title": "The Nihon Review - Mobile New Century Gundam X", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_X", "url": "http://www.nihonreview.com/anime/mobile-new-century-gundam-x/"} +{"d:Title": "Dreams", "d:Description": "Fan shrine for GX's lead character Garrod Ran with character profiles and analysis, articles, image gallery and video games information.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Gundam_X/Characters", "url": "http://gx9900.0catch.com/"} +{"d:Title": "The Devil's Lair: G Gundam Page", "d:Description": "Episode guide, character and MS profiles, image gallery and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/G_Gundam", "url": "http://azarie.tripod.com/"} +{"d:Title": "G Gundam Century", "d:Description": "Character and MS information, story synopsis, image gallery, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/G_Gundam", "url": "http://desmons.tripod.com/G.html"} +{"d:Title": "Mobile Fighter G Gundam", "d:Description": "Mecha and character information.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/G_Gundam", "url": "http://www.angelfire.com/gundam/mfggundam0/index.html"} +{"d:Title": "The Nihon Review - Mobile Fighter G Gundam", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/G_Gundam", "url": "http://www.nihonreview.com/anime/mobile-fighter-g-gundam/"} +{"d:Title": "The Jack of Diamonds Shrine", "d:Description": "Devoted to Neo-France's Gundam Fighter George deSand, with character information, picture gallery, fanart and fan fiction, trivia and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/G_Gundam/Characters", "url": "http://www.angelfire.com/gundam/jackofdiamonds/"} +{"d:Title": "Dragons Gundam RPG", "d:Description": "An AIM-based roleplaying game where players can select different jobs, missions battles, weapon upgrades and customization.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/G_Gundam/Roleplaying", "url": "http://www.angelfire.com/falcon/dragons_of_war/"} +{"d:Title": "Gundam Archive", "d:Description": "Picture and model galleries, fan art and fan fiction, mecha and character information, episode summaries.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Multimedia", "url": "http://members.tripod.com/gundamarchive/"} +{"d:Title": "Gundam Cult QQQ", "d:Description": "English/Japanese Gundam site with midi downloads, fan art gallery, message board and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Multimedia", "url": "http://ha1.seikyou.ne.jp/home/jun/e/"} +{"d:Title": "The Colonization of Jagd Doga", "d:Description": "U.C. mobile suit specifications, image gallery and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Reference", "url": "http://members.tripod.com/jagd_doga/"} +{"d:Title": "Mecha&Anime HQ: Gundam", "d:Description": "Mobile Suits illustrations and data collection; Archives of mechas from all Gundam series and other sci-fi animes.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Reference", "url": "http://www.mahq.net/mecha/gundam/index.htm"} +{"d:Title": "Gundam: A Space Epic", "d:Description": "An English/Italian Gundam web site detailing the history in the Universal Century (U.C. 0079-0153).", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Reference", "url": "http://digilander.libero.it/gtavassi/Gundamenglish.htm"} +{"d:Title": "Sieg Zeon! 2000", "d:Description": "08's Characters and MS information, story synopsis, image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/The_08th_MS_Team", "url": "http://www.angelfire.com/gundam/8team/msteam.html"} +{"d:Title": "Gundam Ring Union", "d:Description": "Mobile Suit Gundam virtual webring. Welcome all Gundam sites to join.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Web_Rings", "url": "http://gundamunion.tripod.com/"} +{"d:Title": "The Gundam Webring", "d:Description": "A webring for Gundam fansites from any series.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Web_Rings", "url": "http://www.webring.org/hub?ring=gundam"} +{"d:Title": "The Nihon Review - Mobile Suit Zeta Gundam", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gundam/Z_Gundam", "url": "http://www.nihonreview.com/anime/mobile-suit-zeta-gundam/"} +{"d:Title": "Gunsmith Cats Web Ring", "d:Description": "Ring for fans of the Gunsmith Cats series.", "topic": "Top/Arts/Animation/Anime/Titles/G/Gunsmith_Cats", "url": "http://www.webring.org/hub?ring=gscwebring"} +{"d:Title": "Guyver Fanfiction Archive", "d:Description": "A collection of fanfictions based on guyver.", "topic": "Top/Arts/Animation/Anime/Titles/G/Guyver", "url": "http://members.tripod.com/~The_Guyver/"} +{"d:Title": "Hyper Smasher", "d:Description": "Concentrates on datafiles and synopses. Gives an overview of the guyver films and character bios.", "topic": "Top/Arts/Animation/Anime/Titles/G/Guyver", "url": "http://guyver-reborn.tripod.com/"} +{"d:Title": "Guyvers Lair", "d:Description": "A site for roleplaying games about Guyver. You can roleplay as your favourite characters from guyver and other series. It is a forum, so roleplaying takes place on site.", "topic": "Top/Arts/Animation/Anime/Titles/G/Guyver", "url": "http://s3.invisionfree.com/GuyversLair/"} +{"d:Title": "Warrior Guyver Fan-Fiction", "d:Description": "Fan fiction sorted by author, fan art and links.", "topic": "Top/Arts/Animation/Anime/Titles/G/Guyver", "url": "http://www.warriorguyver.com/wgfanfic/index.htm"} +{"d:Title": "Great War of the Guyvers", "d:Description": "A fanfiction based on Guyver.", "topic": "Top/Arts/Animation/Anime/Titles/G/Guyver", "url": "http://www.warriorguyver.com/gwotg/index.htm"} +{"d:Title": "Guyver Strike Force", "d:Description": "A record of a roleplaying game based on guyver. Includes all stories enacted through the process of roleplay.", "topic": "Top/Arts/Animation/Anime/Titles/G/Guyver", "url": "http://www.warriorguyver.com/gsf/index.htm"} +{"d:Title": "Guyver Fan Archive", "d:Description": "A selection of fanfictions and fan art on guyver.", "topic": "Top/Arts/Animation/Anime/Titles/G/Guyver", "url": "http://www.warriorguyver.com/gfa/index.htm"} +{"d:Title": "Heidi, Girl of the Alps", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://animeworld.com/reviews/heidi.html"} +{"d:Title": "Howl\u2019s Moving Castle", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/howls-moving-castle/"} +{"d:Title": "Hanbun no Tsuki ga Noboru Sora", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/hanbun-no-tsuki-ga-noboru-sora/"} +{"d:Title": "Hataraki Man", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/hataraki-man/"} +{"d:Title": "He Is My Master", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/he-is-my-master/"} +{"d:Title": "Hi no Tori", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/hi-no-tori/"} +{"d:Title": "High School Girls", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/high-school-girls/"} +{"d:Title": "Higurashi no Naku Koro ni", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/higurashi-no-naku-koro-ni/"} +{"d:Title": "Higurashi no Naku Koro ni Kai", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/higurashi-no-naku-koro-ni-kai/"} +{"d:Title": "Hitohira", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/hitohira/"} +{"d:Title": "Honey and Clover", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/honey-and-clover/"} +{"d:Title": "Honey and Clover II", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://www.nihonreview.com/anime/honey-and-clover-ii/"} +{"d:Title": "Hell Girl (Jigoku Shoujo) Wiki", "d:Description": "Comprehensive \"In Universe\" website based on the Hell Girl series with many pictures, fan written articles and reviews. Contributions welcome.", "topic": "Top/Arts/Animation/Anime/Titles/H", "url": "http://hell-girl.wikia.com/"} +{"d:Title": "Sekai no Hajimari", "d:Description": "Series overview and general information, in-depth episode synopses, character profiles, merchandise information, and a guide to symbolism.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haibane_Renmei", "url": "http://cff.ssw.net/"} +{"d:Title": "Greywing - A Haibane Renmei Fansite", "d:Description": "Includes information on characters, story, Japanese DVDs and CDs, an episode list, and links.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haibane_Renmei", "url": "http://nekokoneko.aulddragon.com/greywing/"} +{"d:Title": "The Nihon Review - Haibane Renmei", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haibane_Renmei", "url": "http://www.nihonreview.com/anime/haibane-renmei-2/"} +{"d:Title": "The Hakkenden", "d:Description": "Summaries, notes on feudal Japan, character information, item guide, song downloads, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hakkenden,_The", "url": "http://members.tripod.com/muromachi1333/"} +{"d:Title": "Hamtaro: We Love the Ham-Hams", "d:Description": "Character guide, image gallery, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hamtaro", "url": "http://hamutaroko.tripod.com/"} +{"d:Title": "Hand Maid May: Maid to Order", "d:Description": "Images, movie files, music, wallpaper, profiles, episode summaries, and links.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hand_Maid_May", "url": "http://www.rogueandflexei.com/handmaidmay"} +{"d:Title": "Anime News Network: Haunted Junction", "d:Description": "Cast information and reviews.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haunted_Junction", "url": "http://www.animenewsnetwork.com/encyclopedia/anime.php?id=157"} +{"d:Title": "Saitou High", "d:Description": "Character profiles, fan fiction, fan art, and cosplay.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haunted_Junction", "url": "http://www.angelfire.com/anime/squishytoes/"} +{"d:Title": "Oh My God", "d:Description": "Summary, character guide, image gallery, seiyuu information, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haunted_Junction", "url": "http://www.angelfire.com/ca5/sillyhikaru/"} +{"d:Title": "Holy Student Council", "d:Description": "Character profiles and song downloads.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haunted_Junction", "url": "http://holy_student_council.tripod.com/holystudentcouncil/"} +{"d:Title": "AAW: Haunted Junction Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/H/Haunted_Junction", "url": "http://animeworld.com/reviews/hauntedjunction.html"} +{"d:Title": "Hellsing", "d:Description": "Episode summaries, character guide, and FAQ.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hellsing", "url": "http://www.evasource.net/hellsing/"} +{"d:Title": "The Nihon Review - Hellsing", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hellsing", "url": "http://www.nihonreview.com/anime/hellsing/"} +{"d:Title": "Chaos Central: Here is Greenwood", "d:Description": "Character profiles, fan fiction, and an image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood", "url": "http://chaoscentral.freeservers.com/greenwood.html"} +{"d:Title": "The Keelan Chronicles", "d:Description": "A collection of fan fiction. Contains shounen-ai content.", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood/Fan_Works", "url": "http://www.angelfire.com/retro/keelanbleu/greenwood.html"} +{"d:Title": "Yahoo Groups : MASML", "d:Description": "A mailing list dedicated to Mitsuru and Shinobu as a pair. Other features include polls, doujinshi scans, and a large archive of fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood/Mailing_Lists", "url": "http://groups.yahoo.com/group/masml/"} +{"d:Title": "Animetric.com Anime Reviews - Here is Greenwood / Koko wa Greenwood", "d:Description": "Brief synopsis, rating and review of Here is Greenwood. Also includes sample screencaps and information on where to buy DVDs.", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood/Reviews", "url": "http://www.animetric.com/ghij/greenwood.html"} +{"d:Title": "Anime News Network - Here is Greenwood (OAV)", "d:Description": "Plot summary, viewer ratings, Japanese and English staff and cast", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood/Reviews", "url": "http://www.animenewsnetwork.com/encyclopedia/anime.php?id=504"} +{"d:Title": "Absolute Anime: Here is Greenwood", "d:Description": "Series description, list of characters with bios and downloadable theme song.", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood/Reviews", "url": "http://www.absoluteanime.com/here_is_greenwood/"} +{"d:Title": "Wikipedia: Here is Greenwood", "d:Description": "Story synopsis (includes storyline spoilers)", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood/Reviews", "url": "http://en.wikipedia.org/wiki/Here_is_Greenwood"} +{"d:Title": "THEM Anime Reviews 4.0 - Here Is Greenwood", "d:Description": "Brief synopsis and review", "topic": "Top/Arts/Animation/Anime/Titles/H/Here_Is_Greenwood/Reviews", "url": "http://www.themanime.org/viewreview.php?id=124"} +{"d:Title": "Arslan Senki", "d:Description": "Fan fiction, downloads, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/H/Heroic_Legend_of_Arslan,_The", "url": "http://www.angelfire.com/anime4/arislan/"} +{"d:Title": "Hime-chan no Ribbon ED", "d:Description": "Lyrics to the Hime-chan credit song by SMAP.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hime-chan_no_Ribbon", "url": "http://tonic.physics.sunysb.edu/~ming/lyrics/hime-ed1.html"} +{"d:Title": "Hitoshi Doi's Hime-chan no Ribbon Encyclopedia", "d:Description": "Voice actor information, character profiles and a toy inventory.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/H/Hime-chan_no_Ribbon", "url": "http://www.usagi.org/~doi/hime/"} +{"d:Title": "Houshin Engi : Earth and Sky", "d:Description": "Introduction, character profiles, screenshots, synopsis, and voice clips.", "topic": "Top/Arts/Animation/Anime/Titles/H/Houshin_Engi", "url": "http://houshin_engi0.tripod.com/"} +{"d:Title": "Not an Ordinary Hippo", "d:Description": "Images from the anime and manga, songs, icons, character information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/H/Houshin_Engi", "url": "http://ordinaryhippo.tripod.com/"} +{"d:Title": "Niroushin Temple", "d:Description": "Shrine to Youzen, with profile, character information, image gallery, songs, and Winamp skins.", "topic": "Top/Arts/Animation/Anime/Titles/H/Houshin_Engi", "url": "http://youzen.tripod.com/"} +{"d:Title": "Hisoka's Hunter x Hunter Organization", "d:Description": "Character profiles, background information, image gallery, media downloads, song lyrics, merchandise, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hunter_X_Hunter", "url": "http://www.animechains.com/hxh/"} +{"d:Title": "The Nihon Review - Hunter X Hunter", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hunter_X_Hunter", "url": "http://www.nihonreview.com/anime/hunter-x-hunter/"} +{"d:Title": "The Hunter X Hunter Circle", "d:Description": "Web ring of sites dedicated to the series, with member list and statistics.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hunter_X_Hunter", "url": "http://www.webring.org/hub?ring=hunterxhuntercir"} +{"d:Title": "Hyper Police HQ", "d:Description": "Fan site with plotline, character profiles, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hyper_Police", "url": "http://otaru.warp0.com/hyper/"} +{"d:Title": "Noriko's Palace", "d:Description": "Large gallery of scanned cels.", "topic": "Top/Arts/Animation/Anime/Titles/H/Hyper_Police", "url": "http://www.darkharbor.com/noriko/hyperpolice/"} +{"d:Title": "Yahoo! Groups: dot-hack-SIGN", "d:Description": "Discussions of the anime series, with archives, songs, and image galleries available to members only.", "topic": "Top/Arts/Animation/Anime/Titles/H/_.hack", "url": "http://groups.yahoo.com/group/dot-hack-SIGN/"} +{"d:Title": ".hack//Info Center", "d:Description": "News, screen captures, timeline, character profiles, song lyrics, wallpaper, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/H/_.hack", "url": "http://dothackers.net/"} +{"d:Title": ".hack//UNIVERSE", "d:Description": "Yahoo! Group with message board.", "topic": "Top/Arts/Animation/Anime/Titles/H/_.hack", "url": "http://games.groups.yahoo.com/group/dothackuniverse/"} +{"d:Title": "The Nihon Review - .hack//Legend of the Twilight Bracelet", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H/_.hack", "url": "http://www.nihonreview.com/anime/hacklegend-of-the-twilight-bracelet/"} +{"d:Title": "The Nihon Review - .hack//SIGN", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/H/_.hack", "url": "http://www.nihonreview.com/anime/hacksign/"} +{"d:Title": "Iron Virgin Jun", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/I", "url": "http://animeworld.com/reviews/ironvirginjun.html"} +{"d:Title": "Ichigo Mashimaro", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I", "url": "http://www.nihonreview.com/anime/ichigo-mashimaro/"} +{"d:Title": "Idolm@ster: Xenoglossia", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I", "url": "http://www.nihonreview.com/anime/idolmaster-xenoglossia/"} +{"d:Title": "Interlude", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I", "url": "http://www.nihonreview.com/anime/interlude/"} +{"d:Title": "Iriya no Sora, UFO no Natsu", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I", "url": "http://www.nihonreview.com/anime/iriya-no-sora-ufo-no-natsu/"} +{"d:Title": "The Ideon: A Contact", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I", "url": "http://www.nihonreview.com/anime/the-ideon-a-contact/"} +{"d:Title": "The Ideon: Be Invoked", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I", "url": "http://www.nihonreview.com/anime/the-ideon-be-invoked/"} +{"d:Title": "Legendary Idol Eriko Review Page", "d:Description": "Review by David Seid, \"an unbelievable show in all areas\".", "topic": "Top/Arts/Animation/Anime/Titles/I/Idol_Densetsu_Eriko", "url": "http://members.tripod.com/mkrhuf/z.eriko.html"} +{"d:Title": "Idol Densetsu Eriko", "d:Description": "Contains show and storyline information.", "topic": "Top/Arts/Animation/Anime/Titles/I/Idol_Densetsu_Eriko", "url": "http://shoujo.tripod.com/eriko.html"} +{"d:Title": "Future Without Limits", "d:Description": "Story summary, character biographies and relationships guide, glossary of terms, seiyuu list, scanned images and fanart, desktop accessories, merchandise information, and song translations.", "topic": "Top/Arts/Animation/Anime/Titles/I/Infinite_Ryvius", "url": "http://www.angelfire.com/anime4/ryvius/"} +{"d:Title": "Neko-Han-Ten CD Guide: Infinite Ryvius", "d:Description": "Track listings and reviews, along with staff and seiyuu information.", "topic": "Top/Arts/Animation/Anime/Titles/I/Infinite_Ryvius", "url": "http://anime.geocities.jp/st_nht/Ryvius/ryvius_index.html"} +{"d:Title": "The Nihon Review - Infinite Ryvius", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I/Infinite_Ryvius", "url": "http://www.nihonreview.com/anime/infinite-ryvius/"} +{"d:Title": "Initial D Mix", "d:Description": "Cars, characters, gallery, manga, music, polls, and wallpaper.", "topic": "Top/Arts/Animation/Anime/Titles/I/Initial_D", "url": "http://idmix.tripod.com/"} +{"d:Title": "Initial D Ring Tones", "d:Description": "Songs from the series in keypress format for Nokia cell phones.", "topic": "Top/Arts/Animation/Anime/Titles/I/Initial_D", "url": "http://blazinbeat.tripod.com/"} +{"d:Title": "The Nihon Review - Initial D", "d:Description": "Negative review of the series, story, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I/Initial_D", "url": "http://www.nihonreview.com/anime/initial-d-2/"} +{"d:Title": "The Nihon Review - Initial D", "d:Description": "Story, negative review of the series, and images.", "topic": "Top/Arts/Animation/Anime/Titles/I/Initial_D", "url": "http://www.nihonreview.com/anime/initial-d/"} +{"d:Title": "Coolgurl's InuYasha Realm", "d:Description": "Character profiles, galleries, fan works, polls, and links.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.angelfire.com/anime5/inurealm/"} +{"d:Title": "InuYasha: Four Souls of the Shikon", "d:Description": "Features, overview, character profiles, fan works, quizzes, polls, and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.angelfire.com/anime5/4souls_of_the_shikon/"} +{"d:Title": "Inuyasha - Karma", "d:Description": "Episode references, story overview, relationships, movies, fan fictions and art, images, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://inuyashakarma.moon-blurred.net/"} +{"d:Title": "The Inuyasha Enthusiast", "d:Description": "Character profiles, fan works, story background, and gallery.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.angelfire.com/anime6/ericedwyn/"} +{"d:Title": "The Inu-Yasha Companion", "d:Description": "Comprehensive manga and anime summaries, cover scans, song lyrics, in-depth character pages, including items and locations, FAQ, cultural notes, video games, author biography, interviews, and timeline.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.furinkan.com/iycompanion/"} +{"d:Title": "Legend of Pickles", "d:Description": "Anime and manga galleries, wallpapers, fan fiction and art, animations, and interactive section.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://tripping.overthefalls.com/"} +{"d:Title": "Wind Scar", "d:Description": "Character and weapon profiles, anime information, image gallery, fan art and fiction, downloads, episode summaries, and email service.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.windscar.com/"} +{"d:Title": "Kanna's Inuyasha Reflections", "d:Description": "Image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.angelfire.com/moon/kanna/souls.html"} +{"d:Title": "Inuyasha-Fan.com", "d:Description": "Fan fiction, character biographies, image gallery, forum and chat.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.inuyasha-fan.com/"} +{"d:Title": "Forever Inuyasha and Kagome", "d:Description": "Story, characters, episode list, game screenshots, downloadable media, fan art, interactive section, and links.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.forever-inuyasha-and-kagome.4t.com/"} +{"d:Title": "Inuyasha World", "d:Description": "Short character profiles, episode galleries, voice actors, anime spoilers, song lyrics, and merchandise.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.animechains.com/inuyasha/"} +{"d:Title": "The Nihon Review - Inuyasha", "d:Description": "Synopsis and a predominantly negative review by pseudonymous user Kuma.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.nihonreview.com/anime/inuyasha/"} +{"d:Title": "The Nihon Review - Inuyasha", "d:Description": "Synopsis and a lukewarm review by pseudonymous user Shadowmage.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.nihonreview.com/anime/inuyasha-2/"} +{"d:Title": "Inuyasha World", "d:Description": "Episode summaries, character profiles, screen captures, downloads, song lyrics, and a guide to related merchandise.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha", "url": "http://www.inuyashaworld.com/"} +{"d:Title": "Inuyasha VS Sesshomaru", "d:Description": "An Inuyasha and Sesshoumari fan site. Information, humour, pictures, fan art and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha/Characters", "url": "http://www.angelfire.com/anime5/fluffy_vs_inu/"} +{"d:Title": "Jaken: The Other Youkai Lord", "d:Description": "A Jaken fan site. Information and a fan listing.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha/Characters", "url": "http://jaken.owarinai.net/"} +{"d:Title": "Kikyo Resurrector", "d:Description": "A Kikyou fan site. Information, mailing list, fan fiction, galleries, forum, opinion poll, video clips, music videos and MP3s.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha/Characters", "url": "http://kikyoresurrector.tripod.com/"} +{"d:Title": "Sesshoumaru: The Killing Perfection", "d:Description": "A Sesshoumaru fan site. Information, quotes, forum, anime screen capture, manga scans, other images, doujinshi, fan art, avatars, desktop wallpaper, Winamp skins, MP3s, song lyrics, sound clips and parody.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha/Characters", "url": "http://sesshoumaru.owarinai.net/"} +{"d:Title": "Rymsie's InuYasha", "d:Description": "Fan fiction and fan art archive.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha/Fan_Works", "url": "http://www.monarth.com/rymsie/inuyasha/yasha.html"} +{"d:Title": "Eternal Destiny", "d:Description": "Fan fiction and fan art dedicated to the InuYasha/Kagome pairing.", "topic": "Top/Arts/Animation/Anime/Titles/I/Inu-Yasha/Fan_Works", "url": "http://ik-eternal.net/"} +{"d:Title": "Animetric.com - Iria: Zeiram the Animation", "d:Description": "Synopsis, pictures, review, and rating.", "topic": "Top/Arts/Animation/Anime/Titles/I/Iria_-_Zeiram_the_Animation", "url": "http://www.animetric.com/ghij/iria.html"} +{"d:Title": "The Irresponsible Captain Tylor", "d:Description": "Official website. News, character profiles, episode summaries, images, videos, MP3, desktops, and links. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/I/Irresponsible_Captain_Tylor", "url": "http://www.tylor.com/"} +{"d:Title": "Project Tylor", "d:Description": "Basic information, character pages, pictures, and links.", "topic": "Top/Arts/Animation/Anime/Titles/I/Irresponsible_Captain_Tylor", "url": "http://futago_no_ai.tripod.com/tylor.html"} +{"d:Title": "Jigoku Shoujo", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/J", "url": "http://www.nihonreview.com/anime/jigoku-shoujo/"} +{"d:Title": "Jigoku Shoujo Futakomori", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/J", "url": "http://www.nihonreview.com/anime/jigoku-shoujo-futakomori/"} +{"d:Title": "The Teacher With a Oninote", "d:Description": "Character profiles, index of spiritual beings appearing in the series, Japanese ghost stories, and the series back story.", "topic": "Top/Arts/Animation/Anime/Titles/J/Jigoku_Sensei_Nube", "url": "http://members.tripod.com/~kimiko_h/"} +{"d:Title": "Animetric: Jigoku Sensei Nube", "d:Description": "Synopses, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/J/Jigoku_Sensei_Nube", "url": "http://www.animetric.com/ghij/nube.html"} +{"d:Title": "Dan42's Jin-Roh Lair", "d:Description": "Information, reviews, articles, images, multimedia, interviews, and links. [English and French]", "topic": "Top/Arts/Animation/Anime/Titles/J/Jin-Roh", "url": "http://dan42.com/jinroh/"} +{"d:Title": "HARO Online: Jin Roh", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Animation/Anime/Titles/J/Jin-Roh", "url": "http://www.haro-online.com/movies/jin_roh.html"} +{"d:Title": "The JoJo FAQ", "d:Description": "Includes a summary of the series, its characters and references to related sites.", "topic": "Top/Arts/Animation/Anime/Titles/J/Jojo's_Bizarre_Adventure", "url": "http://www.sonic.net/~jason/jojo.html"} +{"d:Title": "Cute L'il Natsumi Panties Page", "d:Description": "Profile and four image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/J/Jungle_de_Ikou", "url": "http://jungle_de_ikou.tripod.com/"} +{"d:Title": "Guu's Tummy", "d:Description": "Another Yahoo! mailing list for fans of the anime.", "topic": "Top/Arts/Animation/Anime/Titles/J/Jungle_Wa_Itsumo_Hale_Nochi_Guu", "url": "http://groups.yahoo.com/group/guus_tummy/"} +{"d:Title": "Kekko Kamen", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://animeworld.com/reviews/kekkokamen.html"} +{"d:Title": "Kagihime Eikyuu Alice Rondo", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kagihime-eikyuu-alice-rondo/"} +{"d:Title": "Kannaduki no Miko", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kannaduki-no-miko/"} +{"d:Title": "Karin", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/karin/"} +{"d:Title": "Kashimashi - Girl Meets Girl", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kashimashi-girl-meets-girl/"} +{"d:Title": "Kashimashi - Girl Meets Girl OVA", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kashimashi-girl-meets-girl-ova/"} +{"d:Title": "Kemonozume", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kemonozume/"} +{"d:Title": "Kimikiss: Pure Rouge", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kimikiss-pure-rouge/"} +{"d:Title": "Kino\u2019s Journey", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kinos-journey/"} +{"d:Title": "Kino\u2019s Journey - Life Goes On", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kinos-journey-life-goes-on/"} +{"d:Title": "Kurau - Phantom Memory", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kurau-phantom-memory/"} +{"d:Title": "Kyoshiro to Towa no Sora", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K", "url": "http://www.nihonreview.com/anime/kyoshiro-to-towa-no-sora/"} +{"d:Title": "Kaikan Phrase: Datenshi Blue", "d:Description": "Information on the story, characters, music, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kaikan_Phrase", "url": "http://niko-niko.net/kaikan/"} +{"d:Title": "Portrait of Kamikaze Kaitou Jeanne", "d:Description": "Character profiles, manga translations, images, music, creator information, merchandise and links.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kamikaze_Kait\u00f4_Jeanne", "url": "http://www.angelfire.com/ca/animenomiko/kkj/"} +{"d:Title": "Kamikaze Kaitou Jeanne", "d:Description": "Contains series information, sounds, scans and screen captures, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kamikaze_Kait\u00f4_Jeanne", "url": "http://kaitoujeanne.tripod.com/"} +{"d:Title": "The Kaitou Jeanne Webring", "d:Description": "Rules, HTML fragments, and member list.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kamikaze_Kait\u00f4_Jeanne", "url": "http://www.webring.org/hub/kaitoujeanne"} +{"d:Title": "Kanon", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kanon", "url": "http://www.nihonreview.com/anime/kanon/"} +{"d:Title": "Kanon 2006", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kanon", "url": "http://www.nihonreview.com/anime/kanon-2006/"} +{"d:Title": "Anime Cubed: Kare Kano Image Gallery", "d:Description": "Pictures from the anime series.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kare-Kano", "url": "http://www.animecubed.com/galleries/karekano/"} +{"d:Title": "Hontou no Watashi", "d:Description": "Introduction, pictures, links, character profiles, episode and manga summaries and translations.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kare-Kano", "url": "http://www.hazydaze.net/anime/karekano/"} +{"d:Title": "The Nihon Review - His and Her Circumstances", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kare-Kano", "url": "http://www.nihonreview.com/anime/his-and-her-circumstances/"} +{"d:Title": "Kare Kano no Webring", "d:Description": "A webring with information on how to join and an HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kare-Kano", "url": "http://www.webring.org/hub/karekano"} +{"d:Title": "Key the Metal Idol", "d:Description": "Includes series history, characters, seiyuu information, merchandise listing, screen capture and cel galleries, and a mailing list.", "topic": "Top/Arts/Animation/Anime/Titles/K/Key_-_The_Metal_Idol", "url": "http://www.tapanime.com/series/key/key.html"} +{"d:Title": "Anim\u00e9 Caf\u00e9 Reviews", "d:Description": "Includes episode reviews and parent's guide.", "topic": "Top/Arts/Animation/Anime/Titles/K/Key_-_The_Metal_Idol/News_and_Reviews", "url": "http://www.abcb.com/key/"} +{"d:Title": "Kiddy Grade Lovers", "d:Description": "A Yahoo! Group for fans of the series.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiddy_Grade", "url": "http://groups.yahoo.com/group/KiddyGradeLovers/"} +{"d:Title": "Anime Lyrics: Kiddy Grade", "d:Description": "Romanized and translated song lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiddy_Grade", "url": "http://www.animelyrics.com/anime/kiddygrade/"} +{"d:Title": "AnimeNfo.Com: Kiddy Grade", "d:Description": "Basic series information, plus crew and seiyuu credits.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiddy_Grade", "url": "http://www.animenfo.com/animetitle,867,sngnmo,kiddy_grade.html"} +{"d:Title": "IMDb: Kiddy Grade", "d:Description": "Production credits.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiddy_Grade", "url": "http://www.imdb.com/title/tt0377210/combined"} +{"d:Title": "Kiddy Grade: Encounter of Shadow Work", "d:Description": "Character information, episode summaries, screencaps, and scanned images.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiddy_Grade", "url": "http://www.kiddygrade.info/"} +{"d:Title": "Neko-Han-Ten CD Guide: Kiddy Grade", "d:Description": "Track listings and reviews, along with some brief series and seiyuu information.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiddy_Grade", "url": "http://anime.geocities.jp/st_nht/KiddyGrade/kiddygrade_index.html"} +{"d:Title": "Kiki's Delivery Service - Nausicaa.net", "d:Description": "Information, articles and resources on this film, the director and studio, and other works they have done.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiki's_Delivery_Service", "url": "http://www.nausicaa.net/miyazaki/kiki/"} +{"d:Title": "Wingsee.com: Kiki's Delivery Service (Majo no Takkyubin)", "d:Description": "Movie information and synopsis, music, artwork, wallpaper, and reviews.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiki's_Delivery_Service", "url": "http://www.wingsee.com/ghibli/kiki/"} +{"d:Title": "IMDb: Majo no takkyubin (1989)", "d:Description": "Plot outline, reviews, cast list, and quotes.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiki's_Delivery_Service", "url": "http://www.imdb.com/title/tt0097814/"} +{"d:Title": "Akemi's Anime World: Kiki's Delivery Service", "d:Description": "Plot outline and review.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kiki's_Delivery_Service/Reviews", "url": "http://animeworld.com/reviews/kiki.html"} +{"d:Title": "Consideration on Kimagure Orange Road", "d:Description": "News, translation notes, images, and links. [Japanese and English]", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimagure_Orange_Road", "url": "http://www.itono.com/kor/kor.html"} +{"d:Title": "Kimagure Orange College", "d:Description": "Story of Kasuga Kyosuke and Ayukawa Madoka, as they entered college.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimagure_Orange_Road", "url": "http://www.gweep.ca/~edmonds/anime/KOR/"} +{"d:Title": "Rob's Super Kimagure Orange Road Page", "d:Description": "General introduction, character profiles, history, guides, reviews, FAQ, shrines, multimedia, seiyuu information and TV scripts.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimagure_Orange_Road", "url": "http://members.tripod.com/~rkwong/Kor.html"} +{"d:Title": "AAW: Kimagure Orange Road TV Series Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimagure_Orange_Road", "url": "http://animeworld.com/reviews/kimagureorangeroadtv.html"} +{"d:Title": "Sixty Years of Kimba", "d:Description": "Time line and video information.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimba_the_White_Lion", "url": "http://www.50yearsofkimba.com/"} +{"d:Title": "The Straight Dope: Did Disney's The Lion King Rip Off Kimba?", "d:Description": "Points of contention and commentary.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimba_the_White_Lion", "url": "http://www.straightdope.com/classics/a991224.html"} +{"d:Title": "Kimba W. Lion's Tiny Corner of the Web", "d:Description": "Images, lyrics, MP3 audio, video, story synopsis, show history, comparison with The Lion King, and links.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimba_the_White_Lion", "url": "http://www.kimbawlion.com/"} +{"d:Title": "Kimba the White Lion Webring", "d:Description": "Rules, how to submit, members and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kimba_the_White_Lion", "url": "http://www.webring.org/hub/kimba"} +{"d:Title": "Kingyo Chuuihou aka Goldfish Warning", "d:Description": "Introduction, character profiles, and images.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kingyo_Chuuihou", "url": "http://www.angelfire.com/tx/Gyopi/"} +{"d:Title": "American Kinnikuman Information Archive", "d:Description": "Story introduction, character profiles, summaries of the Japanese and American version, manga summary and translation, toys, images, music, game downloads, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kinnikuman", "url": "http://www.kinnikuman.com/"} +{"d:Title": "Nintendo: World of Kirby", "d:Description": "Official site, with character profiles, episode guide, video clips, wallpaper, comics, and games.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kirby_-_Right_Back_at_Ya", "url": "http://www.kirbykirbykirby.com/"} +{"d:Title": "Robert's Anime Corner: Kite Review", "d:Description": "Synopsis, review and a few images.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kite", "url": "http://www.animecorner.com/reviews/rev_kite.htm"} +{"d:Title": "Kite: A Honeyflash Fansite", "d:Description": "Story information, character profiles and meanings of names, downloads, images, fan works, and links.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kite", "url": "http://sawa_oburi.tripod.com/"} +{"d:Title": "T.H.E.M. Anime Reviews: Kite", "d:Description": "Synopsis and review.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kite", "url": "http://www.themanime.org/viewreview.php?id=161"} +{"d:Title": "Celes Star's Kodomo no Omocha Page", "d:Description": "Introduction, episode guide, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kodomo_no_Omocha", "url": "http://celesstar.osiriscomm.com/anime/kno/index.html"} +{"d:Title": "The Angry Cow's Hayama Akito Shrine", "d:Description": "Hayama shrine, introduction, links and images sorted by character.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kodomo_no_Omocha/Characters", "url": "http://members.tripod.com/kriswolfe/"} +{"d:Title": "Hayama Akito's Corner", "d:Description": "Akito shrine. Images from the anime and manga, character profile and links.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kodomo_no_Omocha/Characters", "url": "http://www.angelfire.com/mt/DollsGuys/hay.html"} +{"d:Title": "The Kodomo no Omocha Mailing List", "d:Description": "Rules and member profiles.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kodomo_no_Omocha/Mailing_Lists", "url": "http://www.angelfire.com/co2/domo/noomocha.html"} +{"d:Title": "Kodocha", "d:Description": "Yahoo Groups mailing list.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kodomo_no_Omocha/Mailing_Lists", "url": "http://groups.yahoo.com/group/Kodocha/"} +{"d:Title": "CJAS: Even Elementary Kids Have Their Problems", "d:Description": "Introduction and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kodomo_no_Omocha/Reviews", "url": "http://www.cjas.org/~echen/articles/fall96/12_07b.html"} +{"d:Title": "Kodomo no Omocha/Child's Toy Original Webring", "d:Description": "HTML help and rules.", "topic": "Top/Arts/Animation/Anime/Titles/K/Kodomo_no_Omocha/Web_Rings", "url": "http://members.tripod.com/~Akari/mwr.html"} +{"d:Title": "Lamune", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/L", "url": "http://www.nihonreview.com/anime/lamune/"} +{"d:Title": "Le Portrait de Petit Cossette", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/L", "url": "http://www.nihonreview.com/anime/le-portrait-de-petit-cossette/"} +{"d:Title": "Lucky Star", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/L", "url": "http://www.nihonreview.com/anime/lucky-star/"} +{"d:Title": "Laputa: Castle in the Sky: Tenku no shiro Rapyuta", "d:Description": "Movie information, illustrated synopsis, music, wallpaper, screensaver, images, and shopping guide.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/L/Laputa_-_Castle_in_the_Sky", "url": "http://www.wingsee.com/ghibli/laputa/"} +{"d:Title": "Laputa - Castle in the Sky Fanlisting", "d:Description": "A fanlisting of Laputa, including a short biography of Hayao Miyazaki, story outline, character introduction and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/L/Laputa_-_Castle_in_the_Sky", "url": "http://laputa.ranmajen.net/"} +{"d:Title": "Nausicaa.net", "d:Description": "Information, articles and resources on the film, director and studio, by Team Ghiblink.", "topic": "Top/Arts/Animation/Anime/Titles/L/Laputa_-_Castle_in_the_Sky", "url": "http://www.nausicaa.net/miyazaki/laputa/"} +{"d:Title": "The Nihon Review - Castle in the Sky", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/L/Laputa_-_Castle_in_the_Sky", "url": "http://www.nihonreview.com/anime/castle-in-the-sky/"} +{"d:Title": "Anime Lyrics: Last Exile", "d:Description": "Lyrics for songs from the series.", "topic": "Top/Arts/Animation/Anime/Titles/L/Last_Exile", "url": "http://www.animelyrics.com/anime/lastexile/"} +{"d:Title": "AnimeNfo.Com: Last Exile", "d:Description": "Seiyuu and production credits, along with fan-submitted episode guides, reviews, character bios and images.", "topic": "Top/Arts/Animation/Anime/Titles/L/Last_Exile", "url": "http://www.animenfo.com/animetitle,1191,ejldke,last_exile.html"} +{"d:Title": "Neko-Han-Ten CD Guide: Last Exile", "d:Description": "Track listings and reviews, as well as seiyuu information and production credits.", "topic": "Top/Arts/Animation/Anime/Titles/L/Last_Exile", "url": "http://anime.geocities.jp/st_nht/LastExile/lastexile_index.html"} +{"d:Title": "Legend of the Galactic Heroes Information Center", "d:Description": "Character guides, FAQ, timeline, story introduction, maps, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/L/Legend_of_Galactic_Heroes", "url": "http://www.logh.net/"} +{"d:Title": "Swordbreaker's Lost Universe", "d:Description": "Character profiles, general introduction, images, wallpaper, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/L/Lost_Universe", "url": "http://swordbreaker.tripod.com/"} +{"d:Title": "Lost Universe Database", "d:Description": "Character profiles, ship list and information, multimedia, synopses, list of other works by Kanzaka Hajime and links.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/L/Lost_Universe", "url": "http://www.swordbreaker.com/lu/"} +{"d:Title": "CDJapan: Love Hina", "d:Description": "Overview, merchandise information and product numbers.", "topic": "Top/Arts/Animation/Anime/Titles/L/Love_Hina", "url": "http://www.cdjapan.co.jp/Listups/anime/love-hina.html"} +{"d:Title": "The Nihon Review - Love Hina", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/L/Love_Hina", "url": "http://www.nihonreview.com/anime/love-hina/"} +{"d:Title": "Lupin the 3rd European Page", "d:Description": "Filmography, manga, character profiles, fan fiction, music, multimedia, images, mailing list, and links. [English and Italian]", "topic": "Top/Arts/Animation/Anime/Titles/L/Lupin_III", "url": "http://www.lupinthethird.info/"} +{"d:Title": "The Lupin III Encyclopedia", "d:Description": "News, character and staff profiles, anime information, video games, image gallery, soundtracks, and video and manga releases.", "topic": "Top/Arts/Animation/Anime/Titles/L/Lupin_III", "url": "http://www.lupinencyclopedia.com/"} +{"d:Title": "Lupin III - Secret Archives", "d:Description": "Video clips, and trailers.", "topic": "Top/Arts/Animation/Anime/Titles/L/Lupin_III", "url": "http://digilander.libero.it/minikame/"} +{"d:Title": "The Nihon Review - Lupin III: The Castle of Cagliostro", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/L/Lupin_III", "url": "http://www.nihonreview.com/anime/lupin-iii-the-castle-of-cagliostro/"} +{"d:Title": "MAPS", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://animeworld.com/reviews/maps.html"} +{"d:Title": "My Dear Marie", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://animeworld.com/reviews/mydearmarie.html"} +{"d:Title": "Mononoke", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/mononoke/"} +{"d:Title": "Magical Girl Lyrical Nanoha", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/magical-girl-lyrical-nanoha/"} +{"d:Title": "Magical Girl Lyrical Nanoha A\u2019s", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/magical-girl-lyrical-nanoha-as/"} +{"d:Title": "Magical Girl Lyrical Nanoha StrikerS", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/magical-girl-lyrical-nanoha-strikers/"} +{"d:Title": "Magical Shopping Arcade Abenobashi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/magical-shopping-arcade-abenobashi/"} +{"d:Title": "Mai-HiME", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/mai-hime/"} +{"d:Title": "Mai-Otome", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/mai-otome/"} +{"d:Title": "Maria-sama ga Miteru", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/maria-sama-ga-miteru/"} +{"d:Title": "Maria-sama ga Miteru OVA", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/maria-sama-ga-miteru-ova/"} +{"d:Title": "Minami-ke", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/minami-ke/"} +{"d:Title": "Midori no Hibi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/midori-no-hibi/"} +{"d:Title": "Maria-sama ga Miteru Haru", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/maria-sama-ga-miteru-haru/"} +{"d:Title": "Monster", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/monster/"} +{"d:Title": "Moyashimon", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/moyashimon/"} +{"d:Title": "Mushishi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/mushishi/"} +{"d:Title": "Myself; Yourself", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/myself-yourself/"} +{"d:Title": "The Melancholy of Haruhi Suzumiya", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/the-melancholy-of-haruhi-suzumiya-2/"} +{"d:Title": "The Melancholy of Haruhi Suzumiya", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M", "url": "http://www.nihonreview.com/anime/the-melancholy-of-haruhi-suzumiya/"} +{"d:Title": "Macross Official Page", "d:Description": "Information on all the Macross series. Mirror site in Japanese.", "topic": "Top/Arts/Animation/Anime/Titles/M/Macross", "url": "http://www.macross.co.jp/"} +{"d:Title": "Macross Mecha Designs", "d:Description": "Information and images of the various mecha used in Macross. Also a FAQ and some links.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/M/Macross", "url": "http://www.steelfalcon.com/macrossmecha.html"} +{"d:Title": "Sharon Apple Unofficial", "d:Description": "Image gallery, character profile and song lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/M/Macross", "url": "http://www.angelfire.com/realm/sharonapple/"} +{"d:Title": "Hellcat's Macross Plus", "d:Description": "Introduction, images, music, character profiles, movies, and lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/M/Macross", "url": "http://www.angelfire.com/anime/macrossplus2040/"} +{"d:Title": "Macross Battle 12", "d:Description": "Macross/Gundam crossover fan fiction. Character profiles, mechanical specifications, and general information.", "topic": "Top/Arts/Animation/Anime/Titles/M/Macross", "url": "http://nex_banwell.tripod.com/"} +{"d:Title": "Macross World", "d:Description": "Models, toys, books, trading post, advertising image archive, merchandise guide and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Macross", "url": "http://www.macrossworld.com/"} +{"d:Title": "Macross RPG Community", "d:Description": "Message board based online roleplaying game.", "topic": "Top/Arts/Animation/Anime/Titles/M/Macross", "url": "http://www.macrossrpg.com/"} +{"d:Title": "Mokona's Bun House", "d:Description": "A shrine to Mokona with information, questions and answers, downloads, and a how to make your own 3D Mokona guide.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Characters", "url": "http://www.angelfire.com/falcon/windarrow/"} +{"d:Title": "Mokona - The Definition of Kawaii", "d:Description": "Image gallery, electronic postcards, sound files, and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Characters", "url": "http://members.tripod.com/~mokonapuu/"} +{"d:Title": "Magic Knight Rayearth", "d:Description": "Concise summary of the plot and profiles of the three knights.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://www.angelfire.com/in3/sparkystars/mkr.html"} +{"d:Title": "Orionmac's Magic Knight Rayearth Page!", "d:Description": "Character and places profiles, links, music, multimedia, FAQ, and a chatroom.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://members.tripod.com/~orionmac/rayearth.html"} +{"d:Title": "Rayearth and Seresu's Anime Dimension", "d:Description": "Fan works, downloads, information and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://www.neorayearth.net/"} +{"d:Title": "Rayearth Haven", "d:Description": "Image galleries, character profiles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://www.angelfire.com/la3/night/"} +{"d:Title": "Yukata Garden", "d:Description": "Character information, downloads and glossary.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://www.angelfire.com/my/yukatagarden/main_page.htm"} +{"d:Title": "Magic Knights of Cephio", "d:Description": "Fan fiction, fan art, images, music, polls, story information and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://www.angelfire.com/space/thginkcigam/ipage04.html"} +{"d:Title": "The Rayearth Realm", "d:Description": "Story information; fan art; coloring pages; character profiles for Fuu, Hikaru, and Umi; and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://rayearthrealm.tripod.com/"} +{"d:Title": "Cephiro's Mirror", "d:Description": "Introduction to the series, episode summaries, character profiles, MIDI and MP3 files, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/M/Magic_Knight_Rayearth/Fan_Pages", "url": "http://cephiro.altervista.org/"} +{"d:Title": "The Nihon Review - Mahoromatic: Automatic Maiden", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mahoromatic", "url": "http://www.nihonreview.com/anime/mahoromatic-automatic-maiden/"} +{"d:Title": "AnimeNfo.Com : Mahou Tsukai ni Taisetsu na Koto", "d:Description": "Brief summary, character profiles, and Seiyuu information.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_ni_Taisetsu_na_Koto", "url": "http://www.animenfo.com/animetitle,1132,ixuwcv,mahou_tsukai_ni.html"} +{"d:Title": "Someday's Dreamers - By Cassie", "d:Description": "Fans of Someday's Dreamers can find a brief summary of the series, links to other Someday's Dreamers websites, reviews, and information on where to purchase this twelve-episode anime on DVD at this site.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_ni_Taisetsu_na_Koto", "url": "http://www.clho.net/anime/anime.php?anime=188"} +{"d:Title": "Neko-Han-Ten CD Guide: Someday's Dreamers", "d:Description": "Track listings and reviews, and series credits.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_ni_Taisetsu_na_Koto", "url": "http://anime.geocities.jp/st_nht/Taisetsu/taisetsu_index.html"} +{"d:Title": "Sae's Magic Hat", "d:Description": "Introduction and information, character profiles, images, lyrics, poll, fan fiction and art, cosplay, manga and anime summaries and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://www.mysky.net/mtt/"} +{"d:Title": "Mahou Tsukai Tai Webring", "d:Description": "HTML fragment, mailing list information and guidelines.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://members.tripod.com/azusajurai/mttring.html"} +{"d:Title": "Celes Star's Magic Users Club Site", "d:Description": "News, fan fiction, images, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://celesstar.osiriscomm.com/anime/mahou/index.html"} +{"d:Title": "The Temple of the Blue Dragon", "d:Description": "Images, series information, fan subtitled videos, and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://members.tripod.com/~leighw/summaries/MTT.html"} +{"d:Title": "I Wanna Use Magic", "d:Description": "Introduction, image gallery and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://www.angelfire.com/ca4/omitchi17/Iwannaus.html"} +{"d:Title": "Archen's Anime Page: Mahou Tsukai Tai", "d:Description": "Introduction, character profiles, images and underwear archive.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://scythe.net/archen/misc/mahou/"} +{"d:Title": "Mini MTT Shrine", "d:Description": "Episode summaries, lyrics, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://web.mit.edu/mtyung/www/MTT.html"} +{"d:Title": "I Wanna Do More! A Mahou Tsukai Tai Shrine", "d:Description": "Character profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://www.angelfire.com/anime4/mahoutsukaitai/index.html"} +{"d:Title": "Snake's Anime Archive: Mahou Tsuki Tai", "d:Description": "Introduction and thumbnailed images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://pages.interlog.com/~snake/mahou2.html"} +{"d:Title": "Hitoshi Doi's Mahou Tsukai Tai Encyclopedia", "d:Description": "General information, character profiles, OAV and TV summaries, seiyuu credits and release news.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://www.usagi.org/~doi/mtt/"} +{"d:Title": "WebRing: Maho Tsukai Tai", "d:Description": "Collection of links related to the series.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mah\u00f4_Tsukai_Tai", "url": "http://www.webring.org/hub/mahoutsukaitai"} +{"d:Title": "Kyoko.org", "d:Description": "Devoted to Kyoto Otonashi, the main character of Maison Ikkoku. Images, extensive plot information, Mp3 files, and sound clips. In English and French.", "topic": "Top/Arts/Animation/Anime/Titles/M/Maison_Ikkoku", "url": "http://www.kyoko.org/"} +{"d:Title": "Maison Ikkoku Smiles", "d:Description": "Story synopsis and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/M/Maison_Ikkoku", "url": "http://www.angelfire.com/ri/Shinnosukechan/MI.html"} +{"d:Title": "Yusaku Godai's Life at Maison Ikkoku", "d:Description": "Character profiles, manga and anime information, images and links. Commentary from the perspective of Yusaku Godai.", "topic": "Top/Arts/Animation/Anime/Titles/M/Maison_Ikkoku", "url": "http://www.furinkan.com/maison/"} +{"d:Title": "The Nihon Review - Maison Ikkoku", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Maison_Ikkoku", "url": "http://www.nihonreview.com/anime/maison-ikkoku/"} +{"d:Title": "Mama4 Database, The", "d:Description": "[English/Japanese/Chinese]", "topic": "Top/Arts/Animation/Anime/Titles/M/Mama_Is_a_4th_Grader", "url": "http://kan-chan.stbbs.net/manga/mama4/"} +{"d:Title": "Kuma's Mamotte Shugogetten Encyclopedia", "d:Description": "Introduction, character profiles, episodes information, seiyuu, lyrics, manga guide, merchandise, message board, news and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mamotte_Shugogetten", "url": "http://home.windstream.net/nbi/"} +{"d:Title": "Nightdust's Marmalade Boy Page", "d:Description": "Character information, lyrics, image gallery, and webring.", "topic": "Top/Arts/Animation/Anime/Titles/M/Marmalade_Boy", "url": "http://www.angelfire.com/hi2/nightdust/"} +{"d:Title": "Marmalade Boy Shrine", "d:Description": "Character and story summaries.", "topic": "Top/Arts/Animation/Anime/Titles/M/Marmalade_Boy", "url": "http://www.angelfire.com/ga/maboy/"} +{"d:Title": "Jam and Jelly: A Marmalade Boy Site", "d:Description": "Wallpapers, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Marmalade_Boy", "url": "http://members.tripod.com/~jamnjelly/mboywall.html"} +{"d:Title": "Tracy's Marmalade Boy Page", "d:Description": "Images, character profiles, and episode synopsis.", "topic": "Top/Arts/Animation/Anime/Titles/M/Marmalade_Boy", "url": "http://www-personal.umich.edu/~jblough/MBhome.html"} +{"d:Title": "Neko-chan's Kawaii Marmalade Boy Page", "d:Description": "Character information, images, dating game, awards, and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Marmalade_Boy", "url": "http://members.tripod.com/~NekoAnime/"} +{"d:Title": "Mustard Girl and Marmalade Boy Anime Ring", "d:Description": "Related site webmasters are invited to join.", "topic": "Top/Arts/Animation/Anime/Titles/M/Marmalade_Boy/Web_Rings", "url": "http://www.angelfire.com/hi2/nightdust/MBring.html"} +{"d:Title": "Tenkawa Akito's Anime Dynasty", "d:Description": "Fan fiction, character profiles, and a few images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Martian_Successor_Nadesico", "url": "http://members.tripod.com/~ruri_chan/"} +{"d:Title": "Yurika Misamaru", "d:Description": "Profile of the captain of the Nadesico.", "topic": "Top/Arts/Animation/Anime/Titles/M/Martian_Successor_Nadesico/Characters", "url": "http://members.tripod.com/~iravati/char/yurika.html"} +{"d:Title": "AAW: Master of Mosquiton the Vampire Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/M/Master_of_Mosquiton", "url": "http://animeworld.com/reviews/masterofmosquiton.html"} +{"d:Title": "Mosquiton's Abode", "d:Description": "Image gallery, fan art, and other vampires.", "topic": "Top/Arts/Animation/Anime/Titles/M/Master_of_Mosquiton", "url": "http://abode.stormloader.com/"} +{"d:Title": "The Nihon Review - Maze", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Maze_the_Mega-Burst_Space", "url": "http://www.nihonreview.com/anime/maze/"} +{"d:Title": "Maze of the Haze - A Maze Megaburst Space fansite", "d:Description": "Complete information of the Maze series with episode summaries, movie, OVA, downloads, character bios, lots of images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Maze_the_Mega-Burst_Space", "url": "http://askwhatyouneed.webs.com/"} +{"d:Title": "The Tranzor Z Headquarters", "d:Description": "Pictures, origin, information, episode list and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mazinger", "url": "http://www.angelfire.com/sc/enforcer/"} +{"d:Title": "Medabots Wiki", "d:Description": "Comprehensive Medabots encyclopedia including information from the anime and the videogames.", "topic": "Top/Arts/Animation/Anime/Titles/M/Medabots", "url": "http://medabots.wikia.com/"} +{"d:Title": "Megami Paradise Corner", "d:Description": "Introduction, lyrics, game information, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Megami_Paradise", "url": "http://members.tripod.com/garasu/index.html"} +{"d:Title": "Tezuka's Metropolis", "d:Description": "Introduction and image.", "topic": "Top/Arts/Animation/Anime/Titles/M/Metropolis", "url": "http://icv2.com/articles/home/407.html"} +{"d:Title": "Black Moon - Metropolis", "d:Description": "Positive review by Mark Vallen of the animated video.", "topic": "Top/Arts/Animation/Anime/Titles/M/Metropolis", "url": "http://theblackmoon.com/Deadmoon/metro.htm"} +{"d:Title": "Millennium Actress", "d:Description": "Synopsis, review, commentary, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Millenium_Actress", "url": "http://dan42.com/millennium.actress/"} +{"d:Title": "The Nihon Review - Millennium Actress", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Millenium_Actress", "url": "http://www.nihonreview.com/anime/millennium-actress/"} +{"d:Title": "Minky Momo", "d:Description": "Thoughts on how the series affected the author, graphic depiction of his mental status during the airing of the show, custom figures, parody animations, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/M/Minky_Momo", "url": "http://hp.vector.co.jp/authors/VA008023/momo/gallerye.htm"} +{"d:Title": "Japan Minky Park", "d:Description": "MIDI selection in English, image gallery and other information in Japanese.", "topic": "Top/Arts/Animation/Anime/Titles/M/Minky_Momo", "url": "http://minkypark.com/index_e.shtml"} +{"d:Title": "The Fairy Gallery", "d:Description": "Information, large image gallery and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Minky_Momo", "url": "http://crlam.free.fr/MinkyMomo/"} +{"d:Title": "Non-Anime Watchers Review: Miyuki-chan in Wonderland", "d:Description": "General information, overview and opinion.", "topic": "Top/Arts/Animation/Anime/Titles/M/Miyuki-chan_in_Wonderland", "url": "http://anduin.eldar.org/~brad/anime/wonderland.html"} +{"d:Title": "Archen's Miyuki-chan in Wonderland Page", "d:Description": "Introduction, images, synopsis, CLAMP information and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/Miyuki-chan_in_Wonderland", "url": "http://scythe.net/archen/misc/miyuki"} +{"d:Title": "The Nihon Review - Miyuki-chan in Wonderland", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/Miyuki-chan_in_Wonderland", "url": "http://www.nihonreview.com/anime/miyuki-chan-in-wonderland/"} +{"d:Title": "Mon Colle Knights Center", "d:Description": "Image gallery, monster guide, character profiles, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mon_Colle_Knights", "url": "http://moncolle0.tripod.com/moncolleknightscenter/"} +{"d:Title": "Mon Colle Knights Screenshots", "d:Description": "Gallery of screen captures sorted by episode.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mon_Colle_Knights", "url": "http://www.angelfire.com/emo/rockna/"} +{"d:Title": "Mcog-list", "d:Description": "The original English Mysterious Cities of Gold mailing list.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mysterious_Cities_of_Gold,_The", "url": "http://groups.yahoo.com/group/mcog-list/"} +{"d:Title": "Bernhards Mysterious Cities of Gold", "d:Description": "Images, links, games, downloads, fan list, and general information.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mysterious_Cities_of_Gold,_The", "url": "http://mcog.bkernst.net/"} +{"d:Title": "Mysterious Cities of Gold WebRing", "d:Description": "Listing of fan sites devoted to MCOG.", "topic": "Top/Arts/Animation/Anime/Titles/M/Mysterious_Cities_of_Gold,_The", "url": "http://www.webring.org/hub?ring=mcogring"} +{"d:Title": "101 Things to do with a Dead Totoro", "d:Description": "A parody site dedicated to Totoro. Contains an introduction, images, and credits.", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www.jurai.net/~bates/dead-totoro/"} +{"d:Title": "Nausicaa.net", "d:Description": "Information, articles and resources on this film, the director and studio, and other works they have done, by Team Ghiblink.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www.nausicaa.net/miyazaki/totoro/"} +{"d:Title": "The Camphor Tree", "d:Description": "Introduction, character profiles, synopsis and desktop media.", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www.totoro.org/"} +{"d:Title": "Totoro's Forest", "d:Description": "Contains a brief summary, character descriptions, images, media and a guest book for visitors.", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www.angelfire.com/anime/totoro/"} +{"d:Title": "Totoro Transcript Comparison", "d:Description": "A comparison of My Neighbor Totoro (english dub) and Tonari no Totoro (japanese direct translation subtitles).", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www2.gol.com/users/billp/totoro/"} +{"d:Title": "My Neighbor Totoro - Tonari no Totoro", "d:Description": "Introduction, characters, synopsis, information, artwork, music, desktop media, reviews, shopping guide, and links.", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www.wingsee.com/ghibli/totoro"} +{"d:Title": "The Nihon Review - My Neighbor Totoro", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www.nihonreview.com/anime/my-neighbor-totoro/"} +{"d:Title": "IMDb: Tonari no Totoro (1988)", "d:Description": "Credits and other information from the Internet Movie Database.", "topic": "Top/Arts/Animation/Anime/Titles/M/My_Neighbor_Totoro", "url": "http://www.imdb.com/title/tt0096283/"} +{"d:Title": "Nagasarete Airantou", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N", "url": "http://www.nihonreview.com/anime/nagasarete-airantou/"} +{"d:Title": "Nana", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N", "url": "http://www.nihonreview.com/anime/nana/"} +{"d:Title": "Narutaru", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N", "url": "http://www.nihonreview.com/anime/narutaru/"} +{"d:Title": "Nodame Cantabile", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N", "url": "http://www.nihonreview.com/anime/nodame-cantabile/"} +{"d:Title": "Noein - to your other self", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N", "url": "http://www.nihonreview.com/anime/noein-to-your-other-self/"} +{"d:Title": "Tamarro Forever", "d:Description": "WinAmp skins, icons, fan fiction, scripts, images, opinions, and links. [English/Italian]", "topic": "Top/Arts/Animation/Anime/Titles/N/Nadia_-_The_Secret_of_Blue_Water", "url": "http://www.thesecretofbluewater.com/"} +{"d:Title": "The Secret of Blue Water Reference", "d:Description": "Character profiles, soundtrack information, references, and links. [English and Japanese]", "topic": "Top/Arts/Animation/Anime/Titles/N/Nadia_-_The_Secret_of_Blue_Water", "url": "http://chara.s17.xrea.com/"} +{"d:Title": "The Nihon Review - Nadia - the Secret of Blue Water", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nadia_-_The_Secret_of_Blue_Water", "url": "http://www.nihonreview.com/anime/nadia-the-secret-of-blue-water/"} +{"d:Title": "The Nihon Review - Nadia of the Mysterious Seas", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nadia_-_The_Secret_of_Blue_Water", "url": "http://www.nihonreview.com/anime/nadia-of-the-mysterious-seas/"} +{"d:Title": "Saiyan Island", "d:Description": "English and Japanese voice actor names and pictures. News for both language versions.", "topic": "Top/Arts/Animation/Anime/Titles/N/Naruto", "url": "http://www.saiyanisland.com/"} +{"d:Title": "NarutoFan", "d:Description": "Forums for both English and Japanese versions. Overview of movies.", "topic": "Top/Arts/Animation/Anime/Titles/N/Naruto", "url": "http://www.narutofan.com/"} +{"d:Title": "Wikipedia", "d:Description": "Characters, jutsu, episode guide, plot line, history of the show, manga, and information about the creator are all available.", "topic": "Top/Arts/Animation/Anime/Titles/N/Naruto", "url": "http://en.wikipedia.org/wiki/Naruto"} +{"d:Title": "The Nihon Review - Naruto the Movie 2: Great Clash! The Illusionary Ruins at the Depths of the Earth", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Naruto", "url": "http://www.nihonreview.com/anime/naruto-the-movie-2-great-clash-the-illusionary-ruins-at-the-depths-of-the-earth/"} +{"d:Title": "The Nihon Review - Naruto the Movie: Ninja Clash in the Land of Snow", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Naruto", "url": "http://www.nihonreview.com/anime/naruto-the-movie-ninja-clash-in-the-land-of-snow/"} +{"d:Title": "Naruto Squared", "d:Description": "Series information, image gallery, multimedia, online episodes, amv's, wallpapers, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Naruto", "url": "http://www.narutosquared.com/"} +{"d:Title": "NarutoBase.net", "d:Description": "Providing Naruto images galleries, animated gifs, jutsu information, communities, episode air dates, and forums.", "topic": "Top/Arts/Animation/Anime/Titles/N/Naruto", "url": "http://www.narutobase.net/"} +{"d:Title": "Nausicaa.net | Nausicaa of the Valley of Wind", "d:Description": "Information, articles and resources on this film, the director and studio, and other works they have done.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nausica\u00e4_of_the_Valley_of_the_Wind", "url": "http://www.nausicaa.net/miyazaki/nausicaa/"} +{"d:Title": "C's Nausicaa Page", "d:Description": "Introduction, story and movie information, art book images, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nausica\u00e4_of_the_Valley_of_the_Wind", "url": "http://www.angelfire.com/ca5/naushika/index.html"} +{"d:Title": "Nausicaa and Her Mehve HQ", "d:Description": "Information on the manga version of the story and characters, animated GIF files, message board, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nausica\u00e4_of_the_Valley_of_the_Wind", "url": "http://www.angelfire.com/anime/NVOW/"} +{"d:Title": "Wingsee.com: Nausicaa of the Valley of the Wind", "d:Description": "Introduction, characters, synopsis, information, artwork, music, desktop media, reviews, shopping guide, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nausica\u00e4_of_the_Valley_of_the_Wind", "url": "http://www.wingsee.com/ghibli/nausicaa/"} +{"d:Title": "The Nihon Review - Nausica\u00e4 of the Valley of the Wind", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nausica\u00e4_of_the_Valley_of_the_Wind", "url": "http://www.nihonreview.com/anime/nausicaa-of-the-valley-of-the-wind/"} +{"d:Title": "The Ibuki Maya Shrine", "d:Description": "Image gallery, sound clips, profile, MIDI files, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters", "url": "http://members.tripod.com/~fafnir001/"} +{"d:Title": "Unwinged", "d:Description": "Images, profile, seiyuu information, and opinion.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Ayanami_Rei", "url": "http://eta-naru.tripod.com/unwinged/"} +{"d:Title": "Rei, Rei, the Blue Haired Wonder", "d:Description": "Images, MIDI files, and poll.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Ayanami_Rei", "url": "http://www.angelfire.com/anime2/evangelionrules/"} +{"d:Title": "Tears of the Moon", "d:Description": "Profile, fan art, multimedia, awards, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Ayanami_Rei", "url": "http://www.angelfire.com/anime3/ayanami_rei_3/"} +{"d:Title": "Lonely Angel", "d:Description": "Includes information on the character, profile of Megumi Hayashibara, transcript of Rei's poem, wallpaper, screen captures, official Gainax art, compatibility test, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Ayanami_Rei", "url": "http://www.lonelyangel.com/"} +{"d:Title": "Angel Wings", "d:Description": "Rei Ayanami shrine with character and plot information, thumbnailed images, wallpaper images, downloads, quotes, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Ayanami_Rei", "url": "http://www.100megspop3.com/angelwings/"} +{"d:Title": "Rei's Lair", "d:Description": "Thumbnailed image gallery; basic information about Rei and Unit-00, the EVA she pilots.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Ayanami_Rei/Image_Galleries", "url": "http://www.angelfire.com/ny/evangelion00/"} +{"d:Title": "Onigirl's New and Improved Shrine to Penpen", "d:Description": "Images, interview, quiz, fan fiction, links, and other famous penguins.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Katsuragi_Misato_and_Penpen", "url": "http://www.angelfire.com/ok3/onigirl/penpen.html"} +{"d:Title": "Second Impact: Dedicated to Nagisa Kaworu", "d:Description": "Images, fan art, information about his appearances, FAQ, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Nagisa_Kaoru", "url": "http://kaworu.com/kaworu/"} +{"d:Title": "The Second Child's Web Ring", "d:Description": "Asuka ring, but any clean Evangelion page not devoted to bashing Asuka is allowed.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Sohryu_Asuka_Langley", "url": "http://members.tripod.com/~MJenius/ring.html"} +{"d:Title": "Asuka: Child of Unit 02", "d:Description": "Profile, images, WinAmp skins, and wallpaper.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Sohryu_Asuka_Langley", "url": "http://asuka-unit02.tripod.com/"} +{"d:Title": "Asuka chan's Anime Sanctuary", "d:Description": "Character history, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Characters/Sohryu_Asuka_Langley", "url": "http://www.angelfire.com/space/asuka_eva_02/main.html"} +{"d:Title": "Embrace Eva", "d:Description": "Episode summaries, character data, dictionary, The End of Evangelion, review, poll, links, merchandise information, theoretical live-action movie cast, lyrics, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.arune.com/eva/"} +{"d:Title": "Evangelion in Oz", "d:Description": "Based in Australia. Local television schedules, fan fiction, pictures, information, forum, Winamp skins, desktop toys, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://evainoz.8m.com/"} +{"d:Title": "Eva Destiny", "d:Description": "Contains images sorted by character, MIDI files, clips, a chatroom, web e-mail, and information on the show.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.evadestiny.8m.com/"} +{"d:Title": "Terminal Dogma II", "d:Description": "Includes character profiles, plot information, Evangelions, Angels, MIDI files, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.angelfire.com/anime3/dogma/EVA.html"} +{"d:Title": "Evangelion Fourth Impact", "d:Description": "Images, links, music and fan fiction archive.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.angelfire.com/anime/FourthImpact/"} +{"d:Title": "Links", "d:Description": "Unsorted list of Evangelion links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://members.tripod.com/~eccoolg/"} +{"d:Title": "Neon Genesis Evangelion: Image Galleries", "d:Description": "Series information, MIDI files, image galleries, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://kit_x.tripod.com/NGEimagegalleries"} +{"d:Title": "Ye Olde Anime Gallery", "d:Description": "Evangelion character profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.angelfire.com/anime/yeoldeanimegallery/index.html"} +{"d:Title": "Nachiko's Fire and Blood Neon Genesis Evangelion Temple", "d:Description": "Character profiles, galleries, webrings, and an episode guide.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.angelfire.com/anime2/nachiko/index.html"} +{"d:Title": "Neon Genesis Evangelion", "d:Description": "Image galleries, profile, story summary, message board, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.angelfire.com/anime3/ng_evangelion/"} +{"d:Title": "Evangelion Mercenaries", "d:Description": "Episode listing, character profiles, images, sound files, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://members.tripod.com/evangelionmercen/"} +{"d:Title": "EvangelionCommunity", "d:Description": "Episode reviews, time line, chat room, images, quiz, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://evaunit-01.tripod.com/EvangelionWorld/"} +{"d:Title": "NGEX", "d:Description": "Images sorted by character, storyline, Sephirothic Tree, character profiles, fan works from multiple sources, downloads, lyrics, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.angelfire.com/ri2/neongenesis/newa.html"} +{"d:Title": "Shinji's Neon Genesis Evangelion Site", "d:Description": "Includes profiles of characters, EVAs, and Angels. Also contains weapon list, background information, timeline, Red Cross Book, movie/series scripts, and thumbnailed images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://gothicshinji.tripod.com/"} +{"d:Title": "Evangelion MAGI Database Files", "d:Description": "Includes storyline; Angel, EVA, and character profiles; images sorted by character; MIDI files; WinAmp skins; glossary; and Red Cross Book.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://www.angelfire.com/anime4/evangelionmagi/terminal.htm"} +{"d:Title": "The Red Cross Book", "d:Description": "Includes text of Gainax's official book on the series and movie.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Pages", "url": "http://members.tripod.com/redcrossbook/eva/main.shtml"} +{"d:Title": "Starring Mr. T in Neon Genesis Evangelion", "d:Description": "Comic pits the Angels against Mr. T.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Works/Fan_Art", "url": "http://members.tripod.com/~tasuki/evat/eva1.html"} +{"d:Title": "Ganger's Fanfiction Archives", "d:Description": "Fan fiction and profile of the author, B.M. Gang.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/dc/maximusonline/gfa.html"} +{"d:Title": "Children of an Elder God", "d:Description": "\"Children of an Elder God\", a Neon Genesis Evangelion - H.P. Lovecraft's myths' fusion, by John Biles and Rod M.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Works/Fan_Fiction", "url": "http://www.thekeep.org/~rpm/eva/coaeg.html"} +{"d:Title": "Neon Genesis Evangelion: R", "d:Description": "Fully illustrated continuation of the original series. Art, stories, radio play. Also includes manga scans, movies, music, and desktop toys.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Works/Fan_Fiction", "url": "http://www.modusproductions.com/eva-r/"} +{"d:Title": "Yokozuki Productions", "d:Description": "Production group for fan fiction authors.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Works/Fan_Fiction", "url": "http://psilanthropy.tripod.com/"} +{"d:Title": "Boyfriend of Steel", "d:Description": "Fanfiction for Evangelion: The Blue Rose - the story of Rei Ayanami and the lost pilot of EVA Unit 04. Includes a small image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Fan_Works/Fan_Fiction", "url": "http://www.boyfriendofsteel.com/"} +{"d:Title": "Albatross Evangelion Image Archive", "d:Description": "Thumbnailed images available at multiple resolutions.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Image_Galleries", "url": "http://albatross1.com/ANIMIMG/evanimg.htm"} +{"d:Title": "Eva.OneGeek.Org", "d:Description": "Houses the Evangelion Mailing List and the Evangelion Fan Fiction Review Syndicate.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Mailing_Lists", "url": "http://eva.onegeek.org/"} +{"d:Title": "Evangelion Headquarters", "d:Description": "Yahoo! club with over 200 members.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Mailing_Lists", "url": "http://groups.yahoo.com/group/evangelionheadquarters/"} +{"d:Title": "Evangelion Lyrics and Translations", "d:Description": "Music information by title in English and Japanese with descriptions.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Multimedia", "url": "http://www.animelyrics.com/anime/eva/"} +{"d:Title": "Ikari Gendo's Ultimate EVA FAQs", "d:Description": "Large collection of answers to questions about the plot and background of Evangelion. Spoiler warning.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Opinions_and_Information", "url": "http://www.therossman.com/evafaqs.html"} +{"d:Title": "Random, Useless, Tasteless Neon Genesis Evangelion Facts", "d:Description": "Technical information and trivia.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Opinions_and_Information", "url": "http://members.tripod.com/~runs_with_scissors/nge/nge.html"} +{"d:Title": "My Neon Genesis Evangelion website", "d:Description": "Character data, Angels, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Opinions_and_Information", "url": "http://www.angelfire.com/anime/evangelion2000/"} +{"d:Title": "Neon Genesis Evangelion In-Depth", "d:Description": "Discusses the philosophical aspects of Neon Genesis Evangelion.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Opinions_and_Information", "url": "http://jeffieweiss.tripod.com/"} +{"d:Title": "The Evangelion Otaku Page", "d:Description": "Translations of the End of Evangelion screenplay and theatrical program books, and information on Hideaki Anno's work after the series.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Opinions_and_Information", "url": "http://www.evaotaku.com/"} +{"d:Title": "Guide to Neon Genesis Evangelion", "d:Description": "Information on the Angels, all 13 EVAs, and characters. Episode and movie synopses, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Opinions_and_Information", "url": "http://www.guide-to.net/nge/index.html"} +{"d:Title": "The Nihon Review - Neon Genesis Evangelion", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Reviews", "url": "http://www.nihonreview.com/anime/neon-genesis-evangelion/"} +{"d:Title": "The Nihon Review - Neon Genesis Evangelion: Death&Rebirth", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Reviews", "url": "http://www.nihonreview.com/anime/neon-genesis-evangelion-death-rebirth/"} +{"d:Title": "The Nihon Review - Neon Genesis Evangelion: End of Evangelion", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Reviews", "url": "http://www.nihonreview.com/anime/neon-genesis-evangelion-end-of-evangelion/"} +{"d:Title": "Ayanami Rei's EVA RPG", "d:Description": "Internet-based Evangelion roleplaying game.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Roleplaying", "url": "http://www.angelfire.com/rpg/EVA/"} +{"d:Title": "Tokyo-3 Nerv Headquarters", "d:Description": "Includes player list, rules, and information on characters, Angels, and EVAs.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Roleplaying", "url": "http://members.tripod.com/nervtokyo-3/index_m.htm"} +{"d:Title": "Cruel Angel's Circle", "d:Description": "Only sites the ringmaster deems worthy are accepted.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Web_Rings", "url": "http://members.tripod.com/~Dark_Lord_Magus/"} +{"d:Title": "WebRing: Evangelion", "d:Description": "Evangelion-based ring, open to general anime sites as well.", "topic": "Top/Arts/Animation/Anime/Titles/N/Neon_Genesis_Evangelion/Web_Rings", "url": "http://www.webring.org/hub/shinseiki"} +{"d:Title": "Nightwalker: Midnight Detective", "d:Description": "Image gallery and fan works.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nightwalker", "url": "http://www.angelfire.com/anime3/shidonomiko/"} +{"d:Title": "AAW: NightWalker Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nightwalker", "url": "http://animeworld.com/reviews/nightwalker.html"} +{"d:Title": "Nightfall Ninja Scroll", "d:Description": "Provides information on the story, characters, an image gallery, movies, guestbook, and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/N/Ninja_Scroll", "url": "http://arcticnightfall.com/ninjascroll/ninja.html"} +{"d:Title": "Ninja Scroll Central", "d:Description": "Based on the original Ninja Scroll. It features information on the movies plot, characters, images, movie and sound files, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Ninja_Scroll", "url": "http://members.tripod.com/BUJ2/enter-ninja.html"} +{"d:Title": "Ninja Scroll at Hellcat's", "d:Description": "Gallery, story information, sound files, script, character information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Ninja_Scroll", "url": "http://ninjascroll.fanspace.com/"} +{"d:Title": "Akemi's Anime World: Ninja Scroll", "d:Description": "Plot outline and review.", "topic": "Top/Arts/Animation/Anime/Titles/N/Ninja_Scroll/Reviews", "url": "http://animeworld.com/reviews/ninjascroll.html"} +{"d:Title": "Animetric.com - Ninja Scroll / Jubei Ninpuuchou", "d:Description": "Positive review and ratings for Ninja Scroll / Jubei Ninpuuchou. Includes screenshots and shopping options.", "topic": "Top/Arts/Animation/Anime/Titles/N/Ninja_Scroll/Reviews", "url": "http://www.animetric.com/nop/ns.html"} +{"d:Title": "Noir Unofficial Website", "d:Description": "Character profiles, multimedia, images, summaries, FAQ, and links.", "topic": "Top/Arts/Animation/Anime/Titles/N/Noir", "url": "http://www.angelfire.com/anime3/noir/noir.htm"} +{"d:Title": "Yahoo! Groups : Noir Anime Cafe", "d:Description": "Mailing list and archive, chat, files and links. Mailing list is open membership, unmoderated, public archives, no attachments.", "topic": "Top/Arts/Animation/Anime/Titles/N/Noir", "url": "http://groups.yahoo.com/group/noiranimecafe/"} +{"d:Title": "The Nihon Review - Noir", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Noir", "url": "http://www.nihonreview.com/anime/noir/"} +{"d:Title": "The Nihon Review - Now and Then, Here and There", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/N/Now_and_Then,_Here_and_There", "url": "http://www.nihonreview.com/anime/now-and-then-here-and-there/"} +{"d:Title": "Angel Play Nurse Angel Ririka SOS Radio Play", "d:Description": "A radioplay translating the Nurse Angel Ririka SOS manga series. Includes scripts, clips, and a summary of the show.", "topic": "Top/Arts/Animation/Anime/Titles/N/Nurse_Angel_Ririka_SOS", "url": "http://angelplay.itgo.com/"} +{"d:Title": "Hitoshi Doi's Nurse Angel Ririka SOS Encyclopedia", "d:Description": "Comprehensive site providing information on the characters, episodes, merchandise, and voice actors.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/N/Nurse_Angel_Ririka_SOS", "url": "http://www.usagi.org/~doi/ririka/"} +{"d:Title": "The TechnoGirls Brother, Dear Brother Page", "d:Description": "Dedicated to the anime Oniisama E. Series overview, scripts, snapshots, episode guide, and links.", "topic": "Top/Arts/Animation/Anime/Titles/O", "url": "http://www.quixium.com/technogirls/brother.htm"} +{"d:Title": "Ouran High School Host Club", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/O", "url": "http://www.nihonreview.com/anime/ouran-high-school-host-club/"} +{"d:Title": "Okusama wa Joshikousei", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/O", "url": "http://www.nihonreview.com/anime/okusama-wa-joshikousei/"} +{"d:Title": "THEM Anime Reviews: Please Teacher!", "d:Description": "General information, review, and audience suitability.", "topic": "Top/Arts/Animation/Anime/Titles/O/Onegai_Teacher", "url": "http://www.themanime.org/viewreview.php?id=262"} +{"d:Title": "Funimation Simulcast", "d:Description": "Official simulcast for streaming the series (US residents only).", "topic": "Top/Arts/Animation/Anime/Titles/O/One_Piece", "url": "http://www.onepieceofficial.com/"} +{"d:Title": "Yibis Fansubs", "d:Description": "Featuring subtitled versions of new episodes.", "topic": "Top/Arts/Animation/Anime/Titles/O/One_Piece", "url": "http://www.yibis.com/"} +{"d:Title": "Anim\u00e9 Caf\u00e9 Review", "d:Description": "Summary, review, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/O/Only_Yesterday", "url": "http://www.abcb.com/omoide/index.htm"} +{"d:Title": "Nausicaa.net", "d:Description": "Information, articles and resources on this film, the director and studio, and other works they have done, by Team Ghiblink.", "topic": "Top/Arts/Animation/Anime/Titles/O/Only_Yesterday", "url": "http://www.nausicaa.net/miyazaki/opp/"} +{"d:Title": "T.H.E.M. Anime Reviews: Only Yesterday", "d:Description": "Images, general information, and review.", "topic": "Top/Arts/Animation/Anime/Titles/O/Only_Yesterday", "url": "http://www.themanime.org/viewreview.php?id=276"} +{"d:Title": "The Galactic Leyline", "d:Description": "Character and series information, audio clips, image gallery, and links.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://evildog.50megs.com/"} +{"d:Title": "Outlaw Star RPG", "d:Description": "Joining information, rules, members, items, and arena. [ICQ-based]", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://www.angelfire.com/rpg/OLSrpg/index.html"} +{"d:Title": "Outlaw Star", "d:Description": "Biographies, screenshots, story outline, poll, and episode guides.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://www.angelfire.com/anime2/ols/"} +{"d:Title": "The Space Ara", "d:Description": "Character biographies, news, story information, episode guides, and links.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://outlawkev.tripod.com/"} +{"d:Title": "Blue Heaven", "d:Description": "Images, character information, lyrics, poll, and links.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://www.angelfire.com/anime2/blueheaven/"} +{"d:Title": "Golden Boy", "d:Description": "A shrine to Jim Hawking. Character profiles, story information, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://www.angelfire.com/anime2/GoldenBoy/index.html"} +{"d:Title": "Princess Meg's Top RPG", "d:Description": "Includes summary, rules, and plot.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://princessmegtolsrpg.20megsfree.com/"} +{"d:Title": "Anime Lyrics", "d:Description": "Offers song lyrics in Japanese with their respective English equivalents.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://www.animelyrics.com/anime/ostar/"} +{"d:Title": "Kindred Spirits, an Outlaw Star Website", "d:Description": "Story, the manga, curiosities, lyrics, comments about Gene and Melfina as a couple, fanfics, snapshots, and links.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://www.angelfire.com/space/outlaw_stars/index.html"} +{"d:Title": "The Nihon Review - Outlaw Star", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/O/Outlaw_Star", "url": "http://www.nihonreview.com/anime/outlaw-star/"} +{"d:Title": "Panzer Dragoon", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/P", "url": "http://animeworld.com/reviews/panzerdragoon.html"} +{"d:Title": "Pumpkin Scissors", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P", "url": "http://www.nihonreview.com/anime/pumpkin-scissors/"} +{"d:Title": "Planetes", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P", "url": "http://www.nihonreview.com/anime/planetes/"} +{"d:Title": "Paranoia Agent", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P", "url": "http://www.nihonreview.com/anime/paranoia-agent/"} +{"d:Title": "Paradise Kiss", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P", "url": "http://www.nihonreview.com/anime/paradise-kiss/"} +{"d:Title": "Pale Cocoon", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P", "url": "http://www.nihonreview.com/anime/pale-cocoon/"} +{"d:Title": "The Place Promised in Our Early Days", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P", "url": "http://www.nihonreview.com/anime/the-place-promised-in-our-early-days/"} +{"d:Title": "AAW: Perfect Blue Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/P/Perfect_Blue", "url": "http://animeworld.com/reviews/perfectblue.html"} +{"d:Title": "The Nihon Review - Perfect Blue", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Perfect_Blue", "url": "http://www.nihonreview.com/anime/perfect-blue/"} +{"d:Title": "Animetric.com - PetShop of Horrors", "d:Description": "Review, images and synopsis.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pet_Shop_of_Horrors", "url": "http://www.animetric.com/nop/pet.html"} +{"d:Title": "PetShop of Horrors Mailing List", "d:Description": "Mailing list and fan club which features debates, discussions, news and fan translations.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pet_Shop_of_Horrors", "url": "http://groups.yahoo.com/group/Petshop_of_Horrors/"} +{"d:Title": "The Petshop", "d:Description": "Discussion group and mailing list for showcasing fanfiction, fanart and other fan work.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pet_Shop_of_Horrors", "url": "http://groups.yahoo.com/group/The_Petshop"} +{"d:Title": "Anime.mikomi.org: PetShop of Horrors", "d:Description": "Compiled user reviews of the series.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pet_Shop_of_Horrors", "url": "http://anime.mikomi.org/series/275.html"} +{"d:Title": "AAW: Photon Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/P/Photon", "url": "http://animeworld.com/reviews/photon.html"} +{"d:Title": "Pink Anime", "d:Description": "Introduction, images, and series information.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pink_Lady_Monogatari", "url": "http://www.pinkladyamerica.com/pinkanime.html"} +{"d:Title": "No-Name Anime's Please Save My Earth Homepage", "d:Description": "General information, detailed episode synopses, MP3 songs and song lyrics and images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Please_Save_My_Earth", "url": "http://www.nnanime.com/PSME/"} +{"d:Title": "TG and Akari's Please Save My Earth Page", "d:Description": "Images, fan fiction, .wav file of the closing theme.", "topic": "Top/Arts/Animation/Anime/Titles/P/Please_Save_My_Earth", "url": "http://members.tripod.com/~TimeGuardian/psme.html"} +{"d:Title": "Please Save My Earth - Home", "d:Description": "Images, character and story information and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Please_Save_My_Earth", "url": "http://leah74.freeservers.com/"} +{"d:Title": "Issei's Please Save My Earth Center", "d:Description": "Contains character information and images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Please_Save_My_Earth", "url": "http://www.angelfire.com/anime3/savemyearth/index.html"} +{"d:Title": "Please Save My Earth: Blue Memories", "d:Description": "Character profiles, story, and manga; discussion; poll.", "topic": "Top/Arts/Animation/Anime/Titles/P/Please_Save_My_Earth", "url": "http://members.tripod.com/akimi/"} +{"d:Title": "Yahoo! Groups- Please Save My Earth", "d:Description": "Discussion group for the series.", "topic": "Top/Arts/Animation/Anime/Titles/P/Please_Save_My_Earth", "url": "http://groups.yahoo.com/group/pleasesavemyearth/"} +{"d:Title": "AAW: Please Save My Earth Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/P/Please_Save_My_Earth", "url": "http://animeworld.com/reviews/pleasesavemyearth.html"} +{"d:Title": "Pok\u00e9mon.com", "d:Description": "Information about the series on Nintendo's official Pokemon site.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon", "url": "http://www.pokemon.com/"} +{"d:Title": "Wikipedia: Pok\u00e9mon", "d:Description": "Information on the anime series in both the US and Japan. Offers links to additional resources.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon", "url": "http://en.wikipedia.org/wiki/Pok%C3%A9mon_(anime)"} +{"d:Title": "Cartoon Network: Pok\u00e9mon", "d:Description": "Photos, episode guide, games and information about the series and its characters.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon", "url": "http://www.cartoonnetwork.com/tv_shows/pokemon/index.html"} +{"d:Title": "Evil Pok\u00e9mon", "d:Description": "How to dispose of pikavampires.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.angelfire.com/on2/evilpikachu/index.html"} +{"d:Title": "The Pok\u00e9mon Torture Chamber", "d:Description": "Images, links, conspiracy theory, poll and a song parody.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.angelfire.com/biz5/pokemontorture/"} +{"d:Title": "Kirk's Anti Pok\u00e9mon Webpage", "d:Description": "Songs, animations and games.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://angelfire.com/pokemon/areforfagets"} +{"d:Title": "Pok\u00e9death", "d:Description": "Commentary, images, links, recipes and 101 ways to kill Pok\u00e9mon.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.angelfire.com/pokemon/death/index.html"} +{"d:Title": "Stupid Pok\u00e9mon", "d:Description": "Pictures and animations showing what should happen to Pokemon.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://stupidpokemon.tripod.com/"} +{"d:Title": "Matt's Anti-Pok\u00e9mon Page", "d:Description": "Animations, pictures, sounds, Mr. T vs Pokemon, anti-Pokemon campaign.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.members.tripod.com/slugmonkey00/id17.htm"} +{"d:Title": "Gotta Eat 'em All", "d:Description": "Polls, quiz, recipes, recovery, message board and personal opinion.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://antipikafreak.tripod.com/"} +{"d:Title": "Death to Pok\u00e9mon", "d:Description": "Images and reasons \"why Pok\u00e9mon is stupid\".", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.angelfire.com/pokemon/death2pokemon/"} +{"d:Title": "Anti Pok\u00e9mon", "d:Description": "Images, top ten list, hate mail, humor, and links. [Pop-up windows]", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.angelfire.com/games2/loudhouse/ap.html"} +{"d:Title": "Chysythe's Anti-Pok\u00e9mon Dungeon", "d:Description": "Reasons for dislike, animated pictures, and roleplaying.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.angelfire.com/pokemon2/antipokemon/master.html"} +{"d:Title": "LOGOS Christian Resources: Pok\u00e9mon", "d:Description": "Article by Berit Kjos.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://logosresourcepages.org/Occult/pokemon-.htm"} +{"d:Title": "Christian Apologetics and Research Ministry: Pok\u00e9mon", "d:Description": "Biblical examination of Pokemon's content and influence on children.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Anti-Pok\u00e9mon", "url": "http://www.carm.org/features/pokemon.htm"} +{"d:Title": "Mewtopia", "d:Description": "Features information about Mew and Mewtwo's history and personality, images, merchandise, sounds, fan art, fan fiction, a message board, free e-mail, a poll, Mew fan club, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://mewtopia.freehosting.net/"} +{"d:Title": "Raichu's Gym", "d:Description": "List of the human characters in the show.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://sora101.tripod.com/raichu'sgym/"} +{"d:Title": "Venom", "d:Description": "Arbok and Ekans shrine containing information from the anime and games, images, fan works, and audio clips.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://arbok.faithweb.com/"} +{"d:Title": "Kawaii Kenji Shrine", "d:Description": "A shrine for Kenji/Tracey. Has photos, a short history, downloads, and fan created media.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://kawaiikenji.tripod.com/"} +{"d:Title": "Think Net Salute to Nurse Joy", "d:Description": "A small Nurse Joy tribute with images and some audio clips.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://think_net.tripod.com/nursejoy.htm"} +{"d:Title": "Rock Solid", "d:Description": "A site dedicated to the aspiring breeder, Brock with information and images from the anime and manga.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://www.angelfire.com/comics/takeshi_kun/"} +{"d:Title": "Chansey's Castle", "d:Description": "Featuring biographies, game appearances and screen shots of Chansey and its evolution, Blissey.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://lynnsn2.tripod.com/Caroline/ChanseyClinicMain.html"} +{"d:Title": "Psykonline", "d:Description": "A shrine dedicated to Psyduck.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://www.psykonline.com/"} +{"d:Title": "Aqua Bunny", "d:Description": "A Nidoran evolutionary family fan shrine. Contains artwork, games, multimedia, comics, and fan written articles.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters", "url": "http://aquabunny.creativeworlds.net/"} +{"d:Title": "Team Rocket Head Quarters", "d:Description": "Content includes character profiles, fan fiction, fan art, general information and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://trockethq.8m.com/"} +{"d:Title": "Rocket Asylum", "d:Description": "Content includes images, character profiles, seiyuu, episode list, information on the unaired episodes, lyrics, quotes, fan fiction, a test, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://www.angelfire.com/hi3/teamrocketrules/"} +{"d:Title": "The Rocket Realm", "d:Description": "Content includes character profiles, information on their enemies, episode list, humor, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://www.angelfire.com/nj2/WorldOfPKMN/index.html"} +{"d:Title": "Team Rocket World Wide", "d:Description": "Includes information, fan fiction, character biographies, adoptions, humor, interviews, submitted fan art, poems, downloads, and quotes.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://www.angelfire.com/md/teamrocket/"} +{"d:Title": "Team Rocket's Rockin'", "d:Description": "Character information, fan fiction, rocketshipper news, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://jessie_rocket_25.tripod.com/TeamRocket/"} +{"d:Title": "Lovely Charming Online", "d:Description": "Features include information on Jessie, James, and Meowth.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://www.angelfire.com/anime2/rocketship/"} +{"d:Title": "Team Rocket's Hideout", "d:Description": "Character profiles, downloads, pictures, mangas, color comics, quotes, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://www.angelfire.com/az2/pikojiro/index3.html"} +{"d:Title": "Pokemaster Team Rocket", "d:Description": "Features links, pictures of Jessie and James, character biographies, and a quiz.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://www.jessejames.4t.com/"} +{"d:Title": "Before The Shadows Fade", "d:Description": "Features include character biographies, song lyrics, and an episode list.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://www.angelfire.com/moon/fushigi_henshin/"} +{"d:Title": "Red Suits and Kitty Cats", "d:Description": "A site that is devoted to the Boss of Team Rocket - Giovanni.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Characters/Team_Rocket", "url": "http://garlicmashedpotatoes.tripod.com/gps/index.html"} +{"d:Title": "Charizard Valley", "d:Description": "Contains a story and Charizard fan-art.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Fan_Works", "url": "http://chad_charizard.tripod.com/"} +{"d:Title": "Pijoto's Stories", "d:Description": "Works by various authors. Short and on-going fiction.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Fan_Works", "url": "http://members.tripod.com/pijoto/pijotostories/main.html"} +{"d:Title": "Teem and Abra's Pok\u00e9fiction Page", "d:Description": "Index of works as well as images and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Fan_Works", "url": "http://www.angelfire.com/nc2/Pokefiction/index.html"} +{"d:Title": "JLB's Pokeworld", "d:Description": "Fan art and fiction featuring Charizards and their trainers.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Fan_Works", "url": "http://www.angelfire.com/pokemon2/pokemasterj/PokeWorld.html"} +{"d:Title": "Mewtwo's Unofficial Blog", "d:Description": "Mewtwo's online diary.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Fan_Works", "url": "http://www.mew-two.blogspot.com/"} +{"d:Title": "DLT Pok\u00e9mon Adoption Center", "d:Description": "Animated and transparent images for use on websites or emails. Also has a selection of wallpapers.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Image_Galleries", "url": "http://www.dltk-kids.com/pokemon/adopt.html"} +{"d:Title": "Pok\u00e9mon Picture Mania", "d:Description": "Images of Team Rocket, Ash and friends and various Pokemon.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Image_Galleries", "url": "http://pokepicmania.8m.com/"} +{"d:Title": "Mew and Togepi's Hideout", "d:Description": "Mew, Togepi, Patamon, and Gomamon images, sounds and adoption.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Image_Galleries", "url": "http://www.angelfire.com/pokemon/mewtogepiconnection/Main.html"} +{"d:Title": "Pokemon Fantasy", "d:Description": "Character information, image gallery and downloads of the Japanese and American theme songs.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Image_Galleries", "url": "http://pokemon-fantasy.tripod.com/"} +{"d:Title": "Barbaroo's Pika Pages", "d:Description": "Collection of images sorted by type.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Image_Galleries", "url": "http://www.angelfire.com/pokemon2/pikachoo52/"} +{"d:Title": "Reader Review: Pok\u00e9mon", "d:Description": "Plot outline and reader review of the series.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://www.animeworld.com/readerreviews/pokemontv.html"} +{"d:Title": "The Ultimate Pok\u00e9mon Anime Site", "d:Description": "TV listings, video clips, episode guide, and the lost episode campaign.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://pokemonshow.tripod.com/"} +{"d:Title": "PoJo's Pokemon Anime Guide", "d:Description": "Episode guide, character information, movie list and news.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://www.pojo.com/cartoon/cartoon.html"} +{"d:Title": "Pokeholics Anonymous", "d:Description": "Character profiles, fan fiction, movie reviews, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://www.angelfire.com/pokemon2/pokepokemonA/"} +{"d:Title": "Neko ni Koban", "d:Description": "Guide the Japanese Pocket Monsters CD releases with track listings and CD images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://neko.futaba-chan.net/index.html"} +{"d:Title": "Pok\u00e9mon Dream", "d:Description": "Episode and movie guide, information on the characters, lyrics to songs featured in the anime and FAQ list.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://pokedream.com/"} +{"d:Title": "Bulbapedia", "d:Description": "Wiki-style encyclopedia containing information on the anime series, movies, games and manga.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://bulbapedia.bulbagarden.net/wiki/Main_Page"} +{"d:Title": "Pokemon Diamond and Pearl", "d:Description": "Information and photos from the Diamond and Pearl anime. Also offers information on the movies and video games.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Information", "url": "http://www.pokemon-pearl-diamond.com/"} +{"d:Title": "Fans MiST Pok\u00e9mon: The First Movie", "d:Description": "Satirical, \"Mystery Science Theater\" treatment for the transcript for \"Mewtwo Strikes Back\" (American dubbed version).", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_-_The_First_Movie", "url": "http://faans.com/pokemon-misting.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_-_The_First_Movie", "url": "http://www.all-reviews.com/videos/pokemon-first-movie.htm"} +{"d:Title": "Box Office Mojo", "d:Description": "Pokemon daily box office chart. Includes a comparison with other movies based on tv shows and video games.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_-_The_First_Movie", "url": "http://www.boxofficemojo.com/movies/?id=pokemon1.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailer.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_-_The_First_Movie", "url": "http://www.rottentomatoes.com/m/pokemon_the_first_movie_mewtwo_strikes_back/"} +{"d:Title": "Metacritic.com: Pok\u00e9mon the First Movie", "d:Description": "A cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_-_The_First_Movie", "url": "http://www.metacritic.com/movie/pokmon-the-first-movie---mewtwo-strikes-back!"} +{"d:Title": "Pok\u00e9mon 3", "d:Description": "The official site. Includes photos, information, and movie trailer.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_3_-_The_Movie", "url": "http://movies.warnerbros.com/pk3/"} +{"d:Title": "PopMatters - Pok\u00e9mon 3", "d:Description": "Detailed review of the film and nationwide showtimes.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_3_-_The_Movie", "url": "http://popmatters.com/film/reviews/p/pokemon3.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_3_-_The_Movie", "url": "http://www.metacritic.com/film/titles/pokemon3"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, general information, news, multimedia, and forums for \"Pokemon 3.\"", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_3_-_The_Movie", "url": "http://www.rottentomatoes.com/m/pokemon_the_movie_3/"} +{"d:Title": "All-Reviews.com: Pok\u00e9mon 4Ever", "d:Description": "Review of the fourth Pok\u00e9mon movie.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_4Ever", "url": "http://www.all-reviews.com/videos-5/pokemon-4ever.htm"} +{"d:Title": "Pok\u00e9mon 2000", "d:Description": "Official Warner Bros site. [Flash Plugin Required]", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_the_Movie_2000", "url": "http://www.p2kthemovie.com/index2.html"} +{"d:Title": "Haro Online: Pok\u00e9mon 2000", "d:Description": "Movie review.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_the_Movie_2000", "url": "http://www.haro-online.com/movies/pokemon2000.html"} +{"d:Title": "PopMatters: Pok\u00e9mon the Movie 2000", "d:Description": "Review and brief cast list.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_the_Movie_2000", "url": "http://popmatters.com/film/reviews/p/pokemon-the-movie-2000.html"} +{"d:Title": "Rotten Tomatoes: Pok\u00e9mon the Movie 2000", "d:Description": "Links to various reviews, trailers, and photos.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_the_Movie_2000", "url": "http://www.rottentomatoes.com/m/pokemon_the_movie_2000/"} +{"d:Title": "Box Office Mojo: Pok\u00e9mon 2000", "d:Description": "Comparisons to other movies, box office numbers, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_the_Movie_2000", "url": "http://www.boxofficemojo.com/movies/?id=pokemon2.htm"} +{"d:Title": "Metacritic.com: Pok\u00e9mon: The Movie 2000", "d:Description": "A cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Movies/Pok\u00e9mon_the_Movie_2000", "url": "http://www.metacritic.com/movie/pokemon-the-movie-2000"} +{"d:Title": "Pok\u00e9mon Legends", "d:Description": "Collection of animated Gifs.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Multimedia", "url": "http://members.tripod.com/CHANHTpokemon/MainPokemon.html"} +{"d:Title": "Afunk.com: Pok\u00e9mon", "d:Description": "A collection of printable Pokemon images for coloring.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Multimedia", "url": "http://www.afunk.com/pokemon"} +{"d:Title": "Pokemon Episodes", "d:Description": "Free streaming episodes of Pokemon.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Multimedia", "url": "http://www.watchpokemonepisodes.com/"} +{"d:Title": "Professor Jacob's Pok\u00e9mon RPG", "d:Description": "EZBoard based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://jasonperez.tripod.com/ProfessorJacob/"} +{"d:Title": "The Ultimate Pok\u00e9mon RPG", "d:Description": "RPG with trainer/pokemon parts. Chatrooms, tournaments, special events, and statistics.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://www.angelfire.com/pokemon/ultimatepokemonrpg/"} +{"d:Title": "Pok\u00e9mon Trainer RPG", "d:Description": "Role playing game in which the Pokemon train the humans.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://pokemonrpg.50megs.com/"} +{"d:Title": "The Realm Of Light", "d:Description": "A mailing list based RPG. Suggested for mature players.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://www.angelfire.com/pokemon2/pokemasterj/Realmlight.html"} +{"d:Title": "Ampharos/Denryuu RPG", "d:Description": "An email RPG where you play as Mareep, Flaaffy or Ampharos.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://groups.yahoo.com/group/denryuu/"} +{"d:Title": "Pokemon Chronicles", "d:Description": "Mailing list based RPG set in the future of Pokemon civilization.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://groups.yahoo.com/group/Pokemon_Chronicles/"} +{"d:Title": "Pokemon Trainer's School", "d:Description": "Board based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://www.s15.invisionfree.com/pkmntrainerschool/index.php"} +{"d:Title": "Boltage's Pokemon RPG", "d:Description": "Site offers game information, members list, message board, chatroom, sign-up information and rules.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://s14.invisionfree.com/BPRPG/index.php?"} +{"d:Title": "NPG Pokemon RPG", "d:Description": "Yuku based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://rpg38067.yuku.com/"} +{"d:Title": "Pok\u00e9mon Illusion RPG", "d:Description": "Yuku based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://pokemonillusionrpg.yuku.com/"} +{"d:Title": "Zopyro Region", "d:Description": "Board based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/P/Pok\u00e9mon/Roleplaying", "url": "http://s8.invisionfree.com/Zopyro_Region/"} +{"d:Title": "Porco Rosso Review", "d:Description": "Includes a review along with snapshots taken from the film.", "topic": "Top/Arts/Animation/Anime/Titles/P/Porco_Rosso", "url": "http://www.abcb.com/buta/"} +{"d:Title": "Porco Rosso on Nausicaa.net", "d:Description": "Information and articles about the film. Also resources gathered describing the director, studio, and other works they have done.", "topic": "Top/Arts/Animation/Anime/Titles/P/Porco_Rosso", "url": "http://www.nausicaa.net/miyazaki/porco/"} +{"d:Title": "Nausicaa.net", "d:Description": "Information, articles and resources on this film, the director and studio, and other works they have done.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke", "url": "http://www.nausicaa.net/miyazaki/mh/"} +{"d:Title": "The Forest of the Spirits", "d:Description": "Characters profiles, opinions, synopsis, pictures, fan fiction, music, information on making the movie, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke", "url": "http://www.angelfire.com/on4/spiritsforest/"} +{"d:Title": "Princess Mononoke Club", "d:Description": "Message board and chat room.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke", "url": "http://groups.yahoo.com/group/mononokehime/"} +{"d:Title": "English Translation and Kanji Guide for Princess Mononoke", "d:Description": "Narrative version of the story with scenes from the film, and glossary of Japanese terms.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke", "url": "http://www.nigels.com/mononoke.html"} +{"d:Title": "Mamono Hunter's Mononoke Hime Page", "d:Description": "Detailed synopsis, images, characters profiles and an extensive FAQ.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke", "url": "http://users.telenet.be/joris.van.dingenen/mononoke/"} +{"d:Title": "Move Review Query Engine: Mononoke Hime", "d:Description": "Includes an archive of 100+ reviews from various news publications and websites.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke", "url": "http://www.mrqe.com/movie_reviews/mononokehime-m100026693"} +{"d:Title": "Filmtracks: Princess Mononoke Soundtrack", "d:Description": "Review and information about the score.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke/Reviews", "url": "http://www.filmtracks.com/titles/princess_mononoke.html"} +{"d:Title": "HARO Online: Princess Mononoke", "d:Description": "Synopsis, review and two images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke/Reviews", "url": "http://www.haro-online.com/movies/princess_mononoke.html"} +{"d:Title": "The Nihon Review - Princess Mononoke", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke/Reviews", "url": "http://www.nihonreview.com/anime/princess-mononoke/"} +{"d:Title": "Metacritic.com: Princess Mononoke", "d:Description": "A cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke/Reviews", "url": "http://www.metacritic.com/movie/princess-mononoke"} +{"d:Title": "Chicago Sun-Times: Princess Mononoke", "d:Description": "In-depth review by Roger Ebert. [****]", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke/Reviews", "url": "http://www.rogerebert.com/reviews/princess-mononoke-1999"} +{"d:Title": "The Princess Mononoke Webring", "d:Description": "How to join, HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Mononoke/Web_Rings", "url": "http://www.webring.org/hub?ring=pmring"} +{"d:Title": "Princess Rouge: The Legend of the Last Labyrinth", "d:Description": "Images, story synopsis, character profiles, release information and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Rouge", "url": "http://www.angelfire.com/hi2/nightdust/PR.html"} +{"d:Title": "AAW: Princess Rouge: Legend of the Last Labyrinth Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/P/Princess_Rouge", "url": "http://animeworld.com/reviews/princessrouge.html"} +{"d:Title": "Wonderful Days", "d:Description": "Series information, character and episode guides, song lyrics, images gallery, avatars, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/P/Prince_of_Tennis", "url": "http://wdays.50webs.com/adboard.htm"} +{"d:Title": "Prince of Tennis dot com", "d:Description": "Team character profiles, screen captures, wallpaper, episode and chapter summaries, song lyrics, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/P/Prince_of_Tennis", "url": "http://www.animechains.com/princeoftennis/"} +{"d:Title": "Ice Ice Baby", "d:Description": "Shrine dedicated to the Hyoutei team. Character information, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/P/Prince_of_Tennis", "url": "http://www.hyoutei.org/"} +{"d:Title": "Tenipuri-DSP index", "d:Description": "Prince of Tennis doujinshi scanlations. Includes character guide, snapshots, avatars, manga scans, fanfics, and skins.", "topic": "Top/Arts/Animation/Anime/Titles/P/Prince_of_Tennis", "url": "http://yoshikochan.com/tenipuri/"} +{"d:Title": "Graviton City", "d:Description": "Reviews, FAQ, Links and fan works about the Project A-ko series.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko", "url": "http://www.gravitoncity.com/"} +{"d:Title": "Firewynd's Project A-ko Page", "d:Description": "Links to other Project A-ko Pages", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko", "url": "http://members.tripod.com/~HgStorm/Miscellanious/projectako.htm"} +{"d:Title": "Project A-ko Mailing List", "d:Description": "Mailing list for the Project A-ko anime, comics, fan fiction and software.", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko", "url": "http://groups.yahoo.com/group/Eikofangroup/"} +{"d:Title": "Review: Project A-ko", "d:Description": "Plot outline and review of Project A-ko at Akemi's Anime World.", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko", "url": "http://animeworld.com/reviews/projectako.html"} +{"d:Title": "The Nihon Review - Project A-ko", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko", "url": "http://www.nihonreview.com/anime/project-a-ko-2/"} +{"d:Title": "The Nihon Review - Project A-ko", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko", "url": "http://www.nihonreview.com/anime/project-a-ko/"} +{"d:Title": "The Nihon Review - Project A-ko OVA", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko", "url": "http://www.nihonreview.com/anime/project-a-ko-ova/"} +{"d:Title": "Hans Anime-style Art Gallery", "d:Description": "Color anime-style art and grayscale sketches. Clay and FIMO Anime figurines.", "topic": "Top/Arts/Animation/Anime/Titles/P/Project_A-Ko/Fan_Works", "url": "http://www.sonic.net/raillard/anime/"} +{"d:Title": "THEM Anime Reviews: Puni Puni Poemi", "d:Description": "Series synopsis and review.", "topic": "Top/Arts/Animation/Anime/Titles/P/Puni_Puni_Poemi", "url": "http://www.themanime.org/viewreview.php?id=320"} +{"d:Title": "AAW: Queen Emeraldas Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/Q/Queen_Emeraldas", "url": "http://animeworld.com/reviews/queenemeraldas.html"} +{"d:Title": "Rune Soldier", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rune-soldier/"} +{"d:Title": "Rumbling Hearts", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rumbling-hearts/"} +{"d:Title": "Rozen Maiden: Tr\u00e4umend", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rozen-maiden-traumend/"} +{"d:Title": "Rozen Maiden: Ouvert\u00fcre", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rozen-maiden-ouverture/"} +{"d:Title": "Rozen Maiden", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rozen-maiden/"} +{"d:Title": "Romeo X Juliet", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/romeo-x-juliet/"} +{"d:Title": "Ray the Animation", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/ray-the-animation/"} +{"d:Title": "R.O.D. The TV", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rod-the-tv/"} +{"d:Title": "R.O.D. The TV", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rod-the-tv-2/"} +{"d:Title": "REC", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rec-2/"} +{"d:Title": "REC", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/rec/"} +{"d:Title": "Red Garden", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R", "url": "http://www.nihonreview.com/anime/red-garden/"} +{"d:Title": "Khantazi: RahXephon Introduction", "d:Description": "Philip R. Banks' introduction and disc-by-disc speculation. Includes his own proposed timeline of events.", "topic": "Top/Arts/Animation/Anime/Titles/R/RahXephon", "url": "http://www.khantazi.org/Rec/Anime/RahIntro.html"} +{"d:Title": "Scion's Anime Cel Gallery - RahXephon", "d:Description": "A gallery of genuine light table drawings and sketches from RahXephon compared with their final animation frames.", "topic": "Top/Arts/Animation/Anime/Titles/R/RahXephon", "url": "http://scionscels.rubberslug.com/gallery/master_query.asp?SeriesID=7878"} +{"d:Title": "RahXeFUN", "d:Description": "A RahXephon fan site with a short parody webcomic, episode summaries with music lists and speculation, and character pairing discussion.", "topic": "Top/Arts/Animation/Anime/Titles/R/RahXephon", "url": "http://rahxephon.comicgenesis.com/"} +{"d:Title": "The Shampoo and Ukyo Shrine", "d:Description": "Images, character information, poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters", "url": "http://www.angelfire.com/mn/shamookyo/index.html"} +{"d:Title": "Akari", "d:Description": "Information, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters", "url": "http://www.cs.hmc.edu/~belgin/akari/akari.html"} +{"d:Title": "Shinnosuke's Shrine", "d:Description": "Character information, relationship speculation and images.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters", "url": "http://shinshrine.itgo.com/shinshrine.htm"} +{"d:Title": "Females of Ranma 1/2 Web Ring", "d:Description": "Akane, Shampoo, Ukyo, Kodachi and Ranma-chan.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters", "url": "http://www.webring.org/hub?ring=ofranma"} +{"d:Title": "Lovely, Beautiful, Divine, Awesome: Akane Tendo", "d:Description": "Biography, facts, anime and manga aspects, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Akane_Tendo", "url": "http://www.angelfire.com/ak/akaneher/index4.html"} +{"d:Title": "Defenders of the True Fiancee", "d:Description": "List of sites within web ring devoted to Akane Tendo.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Akane_Tendo", "url": "http://www.webring.org/hub/raakfevr"} +{"d:Title": "Kodachi's Corner (aka Sibling Rivalry)", "d:Description": "Character profile, image gallery, and recipes.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Kodachi_Kuno", "url": "http://www.angelfire.com/anime2/ilovekuno/kodachis_corner.html"} +{"d:Title": "The Mousse-sama Shrine", "d:Description": "Character information, images, multimedia and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Mousse", "url": "http://members.tripod.com/~Marronchan2/Mousse/"} +{"d:Title": "The Mousse Fanfic Page of Darien Cross", "d:Description": "Three short stories about Mousse.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Mousse", "url": "http://members.tripod.com/Mousse2015/main/Main.html"} +{"d:Title": "The Ten Yen Match", "d:Description": "Character profile, sound clips, song MP3s and lyrics, image gallery, anime vs. manga comparison, character misconceptions, clothing, guestbook and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Nabiki_Tendo", "url": "http://tbns.net/ranko/Nabiki/"} +{"d:Title": "Feh!", "d:Description": "Character profile, character psychoanalysis, manga images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Pantyhose_Taro", "url": "http://www.angelfire.com/mi2/thepond/feh.html"} +{"d:Title": "Don't Call Me That!!", "d:Description": "Character profile, image galleries, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Pantyhose_Taro", "url": "http://members.tripod.com/~P_taro/main.html"} +{"d:Title": "The Church of Ranma and Kasumi", "d:Description": "Dedicated to the Ranma/Kasumi pairing. Fan fiction, fan art, guest book, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships", "url": "http://www.angelfire.com/anime2/KasumiandRanma/"} +{"d:Title": "Ranma Romance Ring", "d:Description": "Any couple welcomed, HTML code provided.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships", "url": "http://www.webring.org/hub/ranmance"} +{"d:Title": "The RNA Shrine", "d:Description": "Romantic moments, images, wallpaper and general information regarding Ranma and Akane's relationship.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships/Ranma_and_Akane", "url": "http://ranmaandakane.htmlplanet.com/Rnashrine.htm"} +{"d:Title": "My Shrine Ranma and Akane", "d:Description": "Introduction and images from the anime and manga.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships/Ranma_and_Akane", "url": "http://www.angelfire.com/biz4/allthehottiesromance/ranmaakaneshrine.html"} +{"d:Title": "Yahoo! Groups: Ranma and Akane", "d:Description": "Mailing list for fans of the Ranma/Akane pairing. Open membership, archives available to the public, chat room.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships/Ranma_and_Akane", "url": "http://groups.yahoo.com/group/ranmaandakane/"} +{"d:Title": "The Ranma and Akane Shrine", "d:Description": "Fan fiction, fan art, images, links, romantic moments, FAQ, and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships/Ranma_and_Akane", "url": "http://ranma.pinku.net/"} +{"d:Title": "Defenders of the True Fiancee Webring", "d:Description": "List of sites in the ring.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships/Ranma_and_Akane", "url": "http://www.webring.org/hub?ring=raakfevr"} +{"d:Title": "CHORUS: The Church of Ryouga and Ukyou-Sama Forum", "d:Description": "A place to talk about Ryouga and Ukyou from Ranma 1/2, to play RPGs, and post fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Relationships/Ryoga_and_Ukyo", "url": "http://chorus.proboards.com/"} +{"d:Title": "Ryoga's Pit Stop", "d:Description": "Images, sounds, postcards and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ryoga_Hibiki_-_P-chan", "url": "http://www.angelfire.com/fl/RHibiki/pics.html"} +{"d:Title": "The Church of Ryoga", "d:Description": "Fan art and fiction, contests, poll, images, fun facts and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ryoga_Hibiki_-_P-chan", "url": "http://www.thekeep.org/~kunoichi/cor.html"} +{"d:Title": "This is all Ranma's Fault!", "d:Description": "People come up with absurd ways for Ryoga to blame every problem in the world on Ranma, from oil shortages to Napster being shut down.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ryoga_Hibiki_-_P-chan", "url": "http://www.angelfire.com/anime4/whjac/Ryouga.html"} +{"d:Title": "Otaku No Ryoga!", "d:Description": "Image galleries of the anime, manga and fanart.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ryoga_Hibiki_-_P-chan", "url": "http://www.angelfire.com/anime3/allucia/"} +{"d:Title": "Shampoo's Fan Club", "d:Description": "A Shampoo centric mailing list.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Shampoo", "url": "http://members.tripod.com/~shampoofan/"} +{"d:Title": "For the Love of Kuno", "d:Description": "Character profile, image gallery, fan fiction, fan art, sound files, and guestbook.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Tatewaki_Kuno", "url": "http://www.angelfire.com/anime2/ilovekuno/"} +{"d:Title": "The Ribbon and the Spatula: Okonomiyaki Haven", "d:Description": "Images, MIDIs, games, MP3s and character profile.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ukyo_Kuonji", "url": "http://www.angelfire.com/anime/UkyoKuonji/"} +{"d:Title": "Ucchan", "d:Description": "Links, marriage prospects and images.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ukyo_Kuonji", "url": "http://members.tripod.com/ucchan000/ukyou.html"} +{"d:Title": "Ukyo Kounji: The Greatest Okonomiyaki Chef in the World", "d:Description": "Character information and images.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ukyo_Kuonji", "url": "http://www.angelfire.com/ab/sugaspice/ukyo.html"} +{"d:Title": "Ucchan's Okonomiyaki Ring", "d:Description": "Site must have something to do with Ukyo, HTML code.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Characters/Ukyo_Kuonji", "url": "http://members.tripod.com/~A6M5ZERO/index-6.html"} +{"d:Title": "Yahoo! Groups : ranma1-2", "d:Description": "General Ranma mailing list. Open membership, unmoderated, archives for members only, email attachments allowed.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ranma1-2/"} +{"d:Title": "Shampoo's Neko Hanten", "d:Description": "Basic series information, character profiles, relationship chart, images, and free email.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.angelfire.com/nh/neko/index.html"} +{"d:Title": "Aosora's Ranma \u00bd Page", "d:Description": "Image gallery, sounds, music, multimedia, quiz, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.angelfire.com/nj2/aosora/index.html"} +{"d:Title": "Happosai's Ranma Site", "d:Description": "Unsorted images and quotes.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.angelfire.com/ny2/happosai/"} +{"d:Title": "Ryoga Hibiki's Awesome Ranma Webpage", "d:Description": "Introduction, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://ryouga-hibiki.tripod.com/"} +{"d:Title": "Kuno's Summer Home", "d:Description": "Individual character shrines, fan fiction, fan art, and essays.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.angelfire.com/art/rcxshizuka/ranma/"} +{"d:Title": "Polrbear12's Ranma \u00bd Haven", "d:Description": "Character profiles, images, story information, music, and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://polrbear12.tripod.com/"} +{"d:Title": "Kasumi no Daidokoro (Kasumi's Kitchen)", "d:Description": "Profiles, humor, fan fiction, images, recipes, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.angelfire.com/anime2/kasumiskitchen/"} +{"d:Title": "Ranma \u00bd Perfect Edition", "d:Description": "Character profiles, locations, items, manga and anime summaries, lyrics and MIDI files, video game overviews, manga cover gallery, laser disc cover gallery, FAQ, cultural notes and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.furinkan.com/"} +{"d:Title": "Lucy's Ranma Page", "d:Description": "Character information, images and links. In English and Italian. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.ranma.it/"} +{"d:Title": "ArmadaWeb Ranma 1/2 Page", "d:Description": "Quotes, fan fiction, and character information.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Pages", "url": "http://www.armadaweb.com/Anime/Ranma/"} +{"d:Title": "The Ranma Twisted Couples Page", "d:Description": "Fan fiction for unusual couples such as Ukyo and Dr. Tofu. Currently accepting fan fiction and fan art, but no hentai or yaoi submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/md2/TwistedFanfic/index.html"} +{"d:Title": "Transpacific Fan Fiction", "d:Description": "Mike Loader, Lara Bartram and Alan Harnum's work. Includes \"Waters Under Earth,\" and \"Ill Met by Starlight.\".", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.thekeep.org/~mike/transp.html"} +{"d:Title": "The Taming of the Horse", "d:Description": "Vince Seifert's work, including the sequel \"Centaur.\"", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.csus.edu/indiv/s/seifertv/toth/"} +{"d:Title": "Ranma 1/2 GT", "d:Description": "Crossover series between Ranma 1/2 and Dragonball Z/GT. An alternate universe where the characters are nothing like they are in the original manga/animes.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/anime2/ranmagt/index.html"} +{"d:Title": "Stir It Up", "d:Description": "Series that pairs Ranma and Akane as well as Ryoga and Ukyo.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/~stiritup/"} +{"d:Title": "Relentless - A Ranma 1/2 Fan Fiction", "d:Description": "Grayson Towler's tale of power, gags and intense vengeance.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://talesfromthevault.com/relentless/"} +{"d:Title": "Odet's Ranma 1/2 Lair", "d:Description": "\"A Quest for Self\" and other stories.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://shyrellehurtado.tripod.com/ranma12lair/"} +{"d:Title": "The Ranma 1/2 Superhighway", "d:Description": "Huge archive of links to reviewed fiction.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/anime2/superhighway/"} +{"d:Title": "Joseph Palmer's Fan Fiction", "d:Description": "Romantic, award winning \"Seasons\" and \"Colors\" series. Also audio readings, fan art, and writer's resources.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.josephpalmer.com/fanfic/fanfic.shtml"} +{"d:Title": "CBUB Fights: Sailor Moon vs. Ranma", "d:Description": "Commentary on a battle between Sailor Moon and Ranma.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.electricferret.com/fights/moon.htm"} +{"d:Title": "Improfanfic - Lack of Common Sensei", "d:Description": "A round-robin comedy fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.improfanfic.com/sensei/"} +{"d:Title": "The Splitting", "d:Description": "Vincent Nguyen's work about Happosai's revenge against Ranma Saotome gone horribly wrong. Site also contains character information and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/anime2/ranmanexus/ranmaweb/splits.html"} +{"d:Title": "Morden's Ranma Page", "d:Description": "Archive of fan fiction, with fan art based on the fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://mordennight.tripod.com/"} +{"d:Title": "Ryouga's Best Friend's Fanfiction", "d:Description": "Various stories, including \"A Change for the Better\" and \"Ice to Water\".", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://trowa_videl.tripod.com/"} +{"d:Title": "Gary Kleppe's Comics and Manga Page", "d:Description": "Primarily Ranma fiction, including \"Hearts and Minds.\" Also a guide to writing fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.garykleppe.org/comics.html"} +{"d:Title": "Ranko Productions", "d:Description": "List of works and links. Primarily original flavor and crossover works.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://alliehi_flower.tripod.com/index.htm"} +{"d:Title": "Dave Eddy's Fanfiction", "d:Description": "\"Nekophobia,\" \"Careless Destiny\" and others. Also the works of Bob Barnes including \"The Masks We Must Wear.\"", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.nabiki.com/deddy/fanfiction.html"} +{"d:Title": "Ranma 1/2 Fanfics", "d:Description": "Contains romantic Ranma 1/2 and other anime fanfics.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/funky/hairann/Ranma.html"} +{"d:Title": "The Nerd Den", "d:Description": "Character profiles and series summary.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Information", "url": "http://www.angelfire.com/in2/nerd4life/ranmainfoyo.html"} +{"d:Title": "The Ultimate Ranma 1/2 Site", "d:Description": "Surveys, ratings, fan fiction, fan art, character guide, MIDIs, video list, video box scans, links and a list of anime stores carrying Ranma titles.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Information", "url": "http://www.angelfire.com/va/ultimateranma/"} +{"d:Title": "Tendo Home Project", "d:Description": "Constructed 3D images of the house and grounds.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Information", "url": "http://members.tripod.com/andysearls/vhome/"} +{"d:Title": "Sakura's Ranma RPG", "d:Description": "Play by e-mail. List and information on characters and membership information.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Roleplaying", "url": "http://www.angelfire.com/anime2/RanmaRPG/"} +{"d:Title": "Yahoo! Groups: The Tendo's Dojo", "d:Description": "Role playing via a mailing list.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Roleplaying", "url": "http://groups.yahoo.com/group/thetendosdojo/"} +{"d:Title": "Rnrpg Friendship", "d:Description": "Rules, character adoption, member list with profiles, stories, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ranma_\u00bd/Roleplaying", "url": "http://www.angelfire.com/anime/rnrpg/main.html"} +{"d:Title": "Wikipedia: Reborn Anime", "d:Description": "Provides a list of all episodes with air dates, as well as a list of DVD compilation releases.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://en.wikipedia.org/wiki/List_of_Reborn!_episodes"} +{"d:Title": "Anime News Network: Katekyo Hitman Reborn!", "d:Description": "Synopsis, listings of opening and ending themes, related news articles, full cast and crew credits, and user ratings.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://www.animenewsnetwork.com/encyclopedia/anime.php?id=6843"} +{"d:Title": "Racconti di Arcobaleno", "d:Description": "Fan site for the seven cursed babies, with episode summaries, image galleries, character profiles, trivia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://khrarcobaleno.wordpress.com/"} +{"d:Title": "Last.fm: Katekyo Hitman Reborn", "d:Description": "Community portal for music from the series with song and artist information, sample tracks, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://www.last.fm/music/Katekyo+Hitman+REBORN"} +{"d:Title": "Katekyoushi Hitman Reborn! FanBlog", "d:Description": "Provides transliterated character song lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://katekyoushihitmanreborn.wordpress.com/"} +{"d:Title": "Memories", "d:Description": "Fanlisting for the LM.C song 88 used as the series' fourth opening theme.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://88.blazeaway.net/"} +{"d:Title": "BlogSkins.com Numba 11", "d:Description": "Offers a weblog layout using images from the series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://www.blogskins.com/info/301188"} +{"d:Title": "Flip the Switch: Katekyo Hitman Reborn", "d:Description": "A review of the first season by blogger Vanessa.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://wakecounty.wordpress.com/2009/06/25/katekyo-hitman-reborn-season-one-review/"} +{"d:Title": "Palace Behind the Moon: Katekyo Hitman Reborn", "d:Description": "Fan commentary on several character songs and a concert presented by the series' voice actors.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://tsukiokuhime.wordpress.com/2008/08/12/katekyo-hitman-reborn-awesomeness/"} +{"d:Title": "Minitokyo: Katekyo Hitman Reborn!", "d:Description": "Synopsis, wallpapers, scans, fan art and manga colouring, reviews, and comments.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://www.minitokyo.net/Katekyo+Hitman+Reborn!"} +{"d:Title": "MyAnimeList.net: Katekyo Hitman Reborn!", "d:Description": "Synopsis, viewer reviews, character and seiyuu profiles, news, gallery, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://myanimelist.net/anime.php?id=1604"} +{"d:Title": "Photobucket: Katekyo Hitman Reborn", "d:Description": "Collection of manga, doujinshi, and collectible scans, graphics, cosplay photographs, fan art, screenshots, and wallpapers.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://photobucket.com/images/katekyo%20hitman%20reborn/"} +{"d:Title": "Absolute Anime: Katekyo Hitman Reborn!", "d:Description": "Series overview, character profiles, photographs, and user comments.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://www.absoluteanime.com/katekyo_hitman_reborn/"} +{"d:Title": "Anime Gallery: Katekyo Hitman Reborn!", "d:Description": "Wallpapers, artbook, collectible, and calendar scans, screenshots, and DVD covers.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://www.theanimegallery.com/series/katekyo_hitman_reborn!"} +{"d:Title": "KHR Icons", "d:Description": "Features fan-made icons using images from the series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://khr-icons.livejournal.com/"} +{"d:Title": "Thousands of Flowers", "d:Description": "Fan community for the Millefiore family with graphics and music.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://millefiore-fam.livejournal.com/"} +{"d:Title": "Chrome Dokuro", "d:Description": "Fan community with fan fiction, fan art, downloads, AMVs, and discussion.", "topic": "Top/Arts/Animation/Anime/Titles/R/Reborn", "url": "http://dokurochrome.livejournal.com/"} +{"d:Title": "Biiru's Anime Site: Record of Lodoss War", "d:Description": "Character information. [Java]", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Characters", "url": "http://www.angelfire.com/ca4/ROLW/rolw.html"} +{"d:Title": "Ashram Of Lodoss Shrine", "d:Description": "Images, music, voice and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Characters/Ashram", "url": "http://www.angelfire.com/az2/ashram0lodoss0shrine/index.html"} +{"d:Title": "The Glade - Deedlit", "d:Description": "Includes gallery, music and character profile.", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Characters/Deedlit", "url": "http://www.angelfire.com/pa2/deedlit/"} +{"d:Title": "Kashue's Kingdom", "d:Description": "Images, music, movie clips, information on the TV series, OAVs, movies, manga, RPG and merchandise.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Fan_Pages", "url": "http://www.kashue.com/"} +{"d:Title": "Record of Lodoss War at Archen's Anime Page", "d:Description": "Character profiles, synopsis, images, laws of Lodoss, and spin-off information.", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Fan_Pages", "url": "http://scythe.net/archen/misc/rlw/"} +{"d:Title": "Record of Lodoss War TV", "d:Description": "Information and Image Galleries for the Record of the Lodoss War TV series and OVA. [Pop-ups]", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Fan_Pages", "url": "http://www.animanga.com/LodossTV/"} +{"d:Title": "Anime Lyrics: Record of Lodoss War", "d:Description": "Translated lyrics of original music.", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Music_and_Sound", "url": "http://www.animelyrics.com/anime/rolw/"} +{"d:Title": "The Record of Lost Heroes", "d:Description": "Highly detailed information for Table RPG (Pen and Paper). Translated from the Japanese Sword World System.", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Roleplaying", "url": "http://kastruel.freeservers.com/index.html"} +{"d:Title": "Record of Lodoss War RPG - The Chaos Wars", "d:Description": "Table RPG (Pen and Paper) Adventure Record created by fans.", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Roleplaying", "url": "http://unspacy.com/lodoss/"} +{"d:Title": "Lodoss Wars for 3rd Edition", "d:Description": "Table RPG (Pen and Paper) conversion for Dungeons and Dragons/D20 third edition system.", "topic": "Top/Arts/Animation/Anime/Titles/R/Record_of_Lodoss_War/Roleplaying", "url": "http://www.angelfire.com/ms/akyna/lodoss.html"} +{"d:Title": "Ikuniweb - Revolutionary Girl Utena", "d:Description": "Utena section of director Kunihiko Ikuhara's official site. (English Version)", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena", "url": "http://www.jrt.co.jp/yos/ikuniweb/ikuniweb_e/ikuniworks/utena/utenatop.html"} +{"d:Title": "Revolutionary Girl Utena - Wikipedia", "d:Description": "Wikipedia entry on the series, containing an overview of the story in each of the three formats, along with character comparisons and brief analyses.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena", "url": "http://en.wikipedia.org/wiki/Revolutionary_Girl_Utena"} +{"d:Title": "IMDb - Sh\u00f4jo Kakumei Utena: Adolescence Mokushiroku (movie)", "d:Description": "The Internet Movie Database listing for the theatrically released movie.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena", "url": "http://www.imdb.com/title/tt0243558/combined"} +{"d:Title": "IMDb - Sh\u00f4jo Kakumei Utena (series)", "d:Description": "The Internet Movie Database listing for the TV series. Includes a full cast and crew list and additional resources.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena", "url": "http://www.imdb.com/title/tt0205410/combined"} +{"d:Title": "The Fate of a Child: Tsuwabuki Mitsuru", "d:Description": "General information on the character, an image gallery, lyrics and a translation from his duel, and humor.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters", "url": "http://www.angelfire.com/va/secretloveshack/tsuwabuki.html"} +{"d:Title": "Mother, Dearest", "d:Description": "Shrine to Mrs. Ohtori (Kanae's mother) with character analysis and extrapolation from her one scene, a script transcript, and an interpretation of her clothing and character design.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters", "url": "http://ohtori.nu/mother/"} +{"d:Title": "Elegant Destruction - Sanjouin Chigusa", "d:Description": "The first, and perhaps only, shrine dedicated to Sanjouin Chigusa, the antagonist to the player in the Sega Saturn game. Includes overviews and detailed analysis, multimedia, image galleries, and general game information.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters", "url": "http://chigusa.ohtori.nu/"} +{"d:Title": "Inf\u00e9rieure, a Sonoda Keiko Shrine", "d:Description": "Analytical tribute to Keiko, one of Nanami's henchgirls. Includes image galleries, fan works, and story overviews.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters", "url": "http://keiko.ohtori.nu/"} +{"d:Title": "Kurobara", "d:Description": "Statistics and information on each Black Rose duelist, and small image galleries for some.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters", "url": "http://www.angelfire.com/anime4/kurobara/"} +{"d:Title": "Renaissance Rose", "d:Description": "Very artistic Anthy shrine with information on voice actors and various interpretations of Anthy's role. Uses Javascript.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Himemiya_Anthy", "url": "http://himemiya.net/anthy/"} +{"d:Title": "Stopwatch", "d:Description": "Kaoru Miki shrine with character profile, name analysis, seiyuu, downloads, personal opinion and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Kaoru_Miki", "url": "http://miki-kun.tripod.com/"} +{"d:Title": "Devious Dreams", "d:Description": "Nanami shrine. Image gallery, music, profile, ways to be devious, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Kiryuu_Nanami", "url": "http://www.angelfire.com/pq/nanami/"} +{"d:Title": "Dynamite - A Touga Shrine", "d:Description": "Comprehensive character shrine with analysis, fan art, costumes, images, seiyuu, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Kiryuu_Touga", "url": "http://tougad.tripod.com/"} +{"d:Title": "The Long Legged Older Man", "d:Description": "Information-filled shrine to Ohtori Akio with analysis, interpretation, and humor. (Spoilers for past episode 13.)", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Ohtori_Akio", "url": "http://akio.ohtori.nu/"} +{"d:Title": "Lavender Lust", "d:Description": "General fan site to Ohtori Akio with gallery, character analysis, and seiyuu information. Some spoilers.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Ohtori_Akio", "url": "http://www.angelfire.com/anime/akiocraze/"} +{"d:Title": "The Gallery of Antiheroes and Villans: Ohtori Akio", "d:Description": "One-page analysis of Ohtori Akio, his goals, and the nature of his duality. [Full series spoilers, not marked]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Ohtori_Akio", "url": "http://www.flowerstorm.net/disa/Gallery/anti-akio.html"} +{"d:Title": "Revolutionary Love", "d:Description": "Site exploring the relationship between Utena and Akio. Includes image galleries, commentary, fan fiction, and a cosplay photo gallery. [Spoilers]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Relationships", "url": "http://www.angelfire.com/on3/sugarbaby/"} +{"d:Title": "There's Something About Saionji", "d:Description": "Introduction, images, fan fiction and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Saionji_Kyoichi", "url": "http://www.angelfire.com/anime/takemyrevolution/"} +{"d:Title": "A Shrine to Kyoichi Saionji", "d:Description": "Overall Saionjii shrine with profile and images, and downloadable midis from the series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Saionji_Kyoichi", "url": "http://suzakunomikovx.tripod.com/shrinetokyoichisaionji/"} +{"d:Title": "Search for Eternity - A Kyouichi Saionji Shrine", "d:Description": "Character analysis, images, essays, fan works, and theories on aspects of this duelist.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Saionji_Kyoichi", "url": "http://www.angelfire.com/ia/saionjishrine/"} +{"d:Title": "The Kyouichi Saionji Case", "d:Description": "General information on Saionji, his role as a catalyst in the series, and his relationships with others.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Saionji_Kyoichi", "url": "http://www.angelfire.com/space/saionji/"} +{"d:Title": "Thorns of a Rose: Takatsuki Shiori", "d:Description": "Character overview, list of appearances, \"101 Reasons\" to like her, duel lyrics, and analysis.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Characters/Takatsuki_Shiori", "url": "http://www.angelfire.com/va/AnimeAll/shiori.html"} +{"d:Title": "Duellists Mailing List", "d:Description": "An all-Utena discussion e-mail list.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Chats_and_Forums", "url": "http://groups.yahoo.com/group/duellists/"} +{"d:Title": "SKU Analysis and Discussion", "d:Description": "A Yahoo Group centered around complex discussion of the symbolism and concepts behind the Utena universe. Generally filled with spoilers.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SKUAD/"} +{"d:Title": "Blood Soaked and Honor Bound", "d:Description": "Yahoo Group by creators of the \"Blood Soaked and Honor Bound\" site for all types of Utena dialog and discussion.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Chats_and_Forums", "url": "http://groups.yahoo.com/group/BSandHB/"} +{"d:Title": "Utena Fanfiction Repository Mailing List", "d:Description": "A Yahoo-based mailing list for the writers and readers of the Utena Fanfiction Repository.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ufrml/"} +{"d:Title": "Utena Tenjou and Anthy Himemiya", "d:Description": "Yahoo Group for discussion about these two characters and other series-related material.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Chats_and_Forums", "url": "http://groups.yahoo.com/group/UtenaTenjouandAnthyHimemiya/"} +{"d:Title": "URL - Utena Revolutionary Links", "d:Description": "Directory of related websites.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Directories", "url": "http://utena.iwarp.com/"} +{"d:Title": "Shoujo Kakumei Utena Webring", "d:Description": "HTML and joining information. Over 130 sites.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Directories", "url": "http://www.webring.org/hub?ring=skutena"} +{"d:Title": "Revolutionary Ideals", "d:Description": "Card game for download and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/hi2/starseiya/utena.html"} +{"d:Title": "The Himemiya Network", "d:Description": "Collection of websites offering information, fan art, media, fan fiction, and a Himemiya Anthy shrine.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.himemiya.net/"} +{"d:Title": "Mako Chan's Shoujo Kakumei Utena", "d:Description": "Characters, images, AVI and MP3 files.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://members.tripod.com/~Bramble_San/utena.htm"} +{"d:Title": "Rinbu Academy", "d:Description": "Series introduction and character information, images, song lyrics, multimedia, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/anime2/rinburevolution/"} +{"d:Title": "Shoujoku's Shoujo Kakumei Utena Homepage", "d:Description": "Image gallery, multimedia, profiles, fan works, episode summaries, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/anime3/anthy/index.htm"} +{"d:Title": "Cantarella Cookie", "d:Description": "English translations of songs from Shoujo Kakumei Utena, organized by soundtrack volume.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://cyborgmermaid.tripod.com/cantarella/"} +{"d:Title": "Crypt of the Black Roses", "d:Description": "Informative and analytical site concerning the Black Rose saga. Includes analysis of each of the duelists and their motivations.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://darkmaidenz.tripod.com/"} +{"d:Title": "This Rose is Our Destiny", "d:Description": "Fan site for the movie with a character pages, an image gallery, and a few midi and MP3 downloads.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/or2/utena/"} +{"d:Title": "Empty Movement", "d:Description": "Character analyses, general essays and interpretations, multimedia, original and submitted fan works, humor, and a 2500-item image gallery.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://ohtori.nu/"} +{"d:Title": "Utena in Black and White: The Manga vs. Anime", "d:Description": "Analysis of the differences between the anime and manga versions.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/anime/Shoujo/index1.html"} +{"d:Title": "Blood Soaked and Honor Bound", "d:Description": "Humor based with character profiles, humor columns, images, fan fiction, plot summary, links, mailing list archives, song parodies, and voting.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/darkside/bshb/"} +{"d:Title": "Shadows in the Rose Garden", "d:Description": "Fan site with several essays relating to feminism in Utena, fan fiction, an original (and different) tarot, and brief viewing guides for experienced and new Utena viewers.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.broomstick.org/utena/"} +{"d:Title": "Tsuchitsukazu Bara Kikin", "d:Description": "Personal site includes images of each character, a fan fiction, and Utena humor.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://rose.00space.com/"} +{"d:Title": "Revolutionary Girl", "d:Description": "General information on the characters, a chart of duels, animated GIFs, and a partial episode guide.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/anime4/venuslove/"} +{"d:Title": "Zettai Unmei Mokushiroku", "d:Description": "A fan site with information on all characters, essays and analysis, story summaries, galleries, wallpaper, and floral symbolism.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://utena.animesekai.net/"} +{"d:Title": "Utena and Ancy's Dorm", "d:Description": "Fan site with episode reviews, character pages, several image galleries, and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://members.tripod.com/~Duelist_Wakaba/"} +{"d:Title": "Death Quaker's Shoujo Kakumei Utena Shrine", "d:Description": "Includes character profiles, show-related parodies of popular songs, Utena Sims photo albums, fan fiction, and small analyses.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Pages", "url": "http://www.angelfire.com/id/deathquaker/utena/main.html"} +{"d:Title": "Touga Utena Romance Fanfiction", "d:Description": "A Yahoo Group where members post Touga/Utena fiction.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Works", "url": "http://groups.yahoo.com/group/UtenaandTougaFanFics/"} +{"d:Title": "Shoujo Kakumei Utena - Waiting Still", "d:Description": "A work of fan fiction occurring after the end of the series. Also includes a general overview of Utena and a primer on Japanese honorifics.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Works", "url": "http://www.trinsan.com/utena/"} +{"d:Title": "Kestrel Music Videos", "d:Description": "Anime music video page, nearly half of which are Utena related.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Fan_Works", "url": "http://kestrelsempai.com/mv/"} +{"d:Title": "Roses", "d:Description": "Image galleries organized by source.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Image_Galleries", "url": "http://utenamanga.tripod.com/"} +{"d:Title": "Anime Cubed Utena Gallery", "d:Description": "Contains images, fan art, doushinji, manga and movie screen shots.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Image_Galleries", "url": "http://www.animecubed.com/galleries/utena/"} +{"d:Title": "Shine - A Dios Picture Gallery", "d:Description": "Image gallery dedicated to Dios, separated by age.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Image_Galleries", "url": "http://fairytaleprince.tripod.com/"} +{"d:Title": "Revolutionary Girl Utena at Anime Galleries dot Net", "d:Description": "A collection of over one thousand, partly user-submitted images from both the anime and the manga of Shoujo Kakumei Utena.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Image_Galleries", "url": "http://www.animegalleries.net/category/52"} +{"d:Title": "Project Eien - Utena Lyrics Home Page", "d:Description": "Archive of lyrics. Duel songs, music from Adolescence Mokushiroku, and other songs from J.A. Seazer and Banyuu Inyroku.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Multimedia", "url": "http://www.angelfire.com/anime2/utenalyrics/"} +{"d:Title": "Adolescence Rush", "d:Description": "Scans of piano scores for each song in the movie's soundtrack.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Multimedia", "url": "http://www.rushita.com/sm/adolescence.html"} +{"d:Title": "SKU E-graphics Card Gallery", "d:Description": "A collection of scanned card graphics from movie.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Multimedia", "url": "http://www.rushita.com/sm/ACard.html"} +{"d:Title": "Nuriko's Revolutionary Girl Utena Sim Skins", "d:Description": "Downloadable SIMS skins available for Utena, Anthy, Touga, and Akio.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Multimedia", "url": "http://www.maison-otaku.net/~nuriko/thesims/revolution.html"} +{"d:Title": "Otaku Reviews - Revolutionary Girl Utena", "d:Description": "A brief review of the series overall. [9/10]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.angelfire.com/anime4/otaku1/utena.html"} +{"d:Title": "Animetric.com: Revolutionary Girl Utena", "d:Description": "Brief review of the series. [4.5/5]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.animetric.com/qrs/rgu.html"} +{"d:Title": "A Parent's Guide to Anime: Utena Review Pages", "d:Description": "Contains quick story summary and reviews/ratings for each of the first four episodes. Also discusses content of first arc in the context of appropriateness for younger viewers. [PG]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.abcb.com/utena/"} +{"d:Title": "SmartGirl: Erin's \"Revolutionary Girl Utena\" Review", "d:Description": "An interview-style review of the series. [4/4]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.smartgirl.org/reviews/movies/410374.html"} +{"d:Title": "THEM Anime Reviews: Revolutionary Girl Utena", "d:Description": "An analytical review of the series. [3/5]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.themanime.org/viewreview.php?id=466"} +{"d:Title": "THEM Anime Reviews 4.0 - Revolutionary Girl Utena", "d:Description": "An opinion from the well-known anime review group based on the entire series. [4/5]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.themanime.org/viewreview.php?id=682"} +{"d:Title": "Matthew Skala's Review", "d:Description": "Episode summaries for each installment of the anime series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://ansuz.sooke.bc.ca/creative/reviews/utena/"} +{"d:Title": "The Nihon Review - Revolutionary Girl Utena", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.nihonreview.com/anime/revolutionary-girl-utena/"} +{"d:Title": "The Nihon Review - Revolutionary Girl Utena", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews", "url": "http://www.nihonreview.com/anime/revolutionary-girl-utena-2/"} +{"d:Title": "Anime News Network - The Beginning of the End", "d:Description": "Analysis of the DVD, including commentary on dub quality and details on each of the episodes. [A]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Apocalypse_Saga", "url": "http://animenewsnetwork.com/reviews/display.php?id=526"} +{"d:Title": "DVD Times - Revolutionary Girl Utena (The Apocalypse Saga Collection)", "d:Description": "A comprehensive review of the technical and thematic elements of the final arc as a whole, as well as of each individual DVD.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Apocalypse_Saga", "url": "http://www.dvdtimes.co.uk/content.php?contentid=10868"} +{"d:Title": "Anime News Network - Review of The Black Rose Blooms", "d:Description": "Zac Bertschy reviews the third US DVD release. [A+]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Black_Rose_Saga", "url": "http://www.animenewsnetwork.com/reviews/display.php?id=399"} +{"d:Title": "DVD Times - Revolutionary Girl Utena (The Black Rose Saga Collection)", "d:Description": "A comprehensive review of the technical and thematic elements of the Black Rose arc as a whole, as well as of each individual DVD.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Black_Rose_Saga", "url": "http://www.dvdtimes.co.uk/content.php?contentid=6343"} +{"d:Title": "Animetric.com - Adolescence Mokushiroku", "d:Description": "Overall review of the Utena movie. [4/5]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Revolutionary_Girl_Utena_-_The_Movie", "url": "http://www.animetric.com/qrs/rgumov.html"} +{"d:Title": "Intersections Review: Utena Adolescence Mokushiroku", "d:Description": "An analytical review of the movie (with spoilers).", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Revolutionary_Girl_Utena_-_The_Movie", "url": "http://wwwsshe.murdoch.edu.au/intersections/issue5/charlton_review.html"} +{"d:Title": "Anime News Network - Review", "d:Description": "Bamboo Dong provides a comprehensive review of the movie, scored by category. [A]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Revolutionary_Girl_Utena_-_The_Movie", "url": "http://www.animenewsnetwork.com/reviews/display.php?id=292"} +{"d:Title": "THEM Anime Reviews: Adolescence Apocalypse", "d:Description": "A review of the movie Revolutionary Girl Utena: Adolescence Apocalypse. [3/5]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Revolutionary_Girl_Utena_-_The_Movie", "url": "http://www.themanime.org/viewreview.php?id=467"} +{"d:Title": "The Nihon Review - Revolutionary Girl Utena: The Movie", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Revolutionary_Girl_Utena_-_The_Movie", "url": "http://www.nihonreview.com/anime/revolutionary-girl-utena-the-movie/"} +{"d:Title": "Anime World Review: Revolutionary Girl Utena I", "d:Description": "Comprehensive review of the first seven episodes, commentary on the DVD release, and with reader-submitted responses. [4/5]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Student_Council_Saga", "url": "http://animeworld.com/reviews/utena.html"} +{"d:Title": "Exploded Goat - Revolutionary Girl: Utena review", "d:Description": "An overview of the first two DVDs. [A]", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Reviews/Student_Council_Saga", "url": "http://www.explodedgoat.com/animereview.php?num=25"} +{"d:Title": "The Revolutionary RPG", "d:Description": "Accepts both original and non-original characters, text based.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/therevolutionaryrpg/"} +{"d:Title": "The Utena OVAs", "d:Description": "An active, Yahoo based, RPG continuing events after the series finale.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/theutenaovas/"} +{"d:Title": "The Utena RPG", "d:Description": "Yahoo-based RPG. Open membership, but private archives.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/Utena_RPG/"} +{"d:Title": "Rose of the Noble Castle", "d:Description": "Active, Yahoo-based crossover RPG with characters from both Revolutionary Girl Utena and Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/roseofthenoblecastle/"} +{"d:Title": "Utena RPG", "d:Description": "New, very active, Yahoo-based RPG created in Jun 2002. Nine members, open characters.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/utenarpg/"} +{"d:Title": "A School of Thorns", "d:Description": "Yahoo-based RPG focusing on Ohtori Academy and its students as a whole.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/schoolofthorns/"} +{"d:Title": "Virtual Star Hasseigaku", "d:Description": "A Yahoo-based RPG set 30 years before the events of the series, involving the aftermath of the destruction of the Seminar Hall (Nemuro Memorial Hall). Begun June 2002.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/virtualstarhasseigaku/"} +{"d:Title": "To Bring the World Revolution", "d:Description": "A Yahoo-based RPG which has been recently restarted. Contains new and existing characters, including a group of duelists who seek harmony and oppose revolution.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://groups.yahoo.com/group/To_Bring_The_World_Revolution/"} +{"d:Title": "Ravaged Innocence", "d:Description": "A journal-based Utena game (with interaction also done over AIM) taking place after the Black Rose Saga.", "topic": "Top/Arts/Animation/Anime/Titles/R/Revolutionary_Girl_Utena/Roleplaying", "url": "http://www.blurty.com/userinfo.bml?user=ravagdinnocence"} +{"d:Title": "Movieprop.com: Robotech/Macross Pages", "d:Description": "Introduction, terminology, character profiles, links, merchandise and overviews.", "topic": "Top/Arts/Animation/Anime/Titles/R/Robotech", "url": "http://movieprop.com/anime/robotech/"} +{"d:Title": "Robotech.com", "d:Description": "Official site by Harmony Gold. News, episode guide, character and mecha profiles, images, audio and video clips, and fan works.", "topic": "Top/Arts/Animation/Anime/Titles/R/Robotech", "url": "http://www.robotech.com/"} +{"d:Title": "Robotech Online", "d:Description": "Episode list, summaries, multimedia, lyrics, and book list.", "topic": "Top/Arts/Animation/Anime/Titles/R/Robotech", "url": "http://www.foundonline.com/robotech/"} +{"d:Title": "Robotech Legacy", "d:Description": "News, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Robotech", "url": "http://robotechlegacy.freeservers.com/"} +{"d:Title": "Megaroad's Robotech Page", "d:Description": "Mecha, episodes, polls, links, character profiles, introduction, RPG, multimedia, images and links. [Pop-up windows]", "topic": "Top/Arts/Animation/Anime/Titles/R/Robotech", "url": "http://www.angelfire.com/anime3/sdfmegaroad/index2.htm"} +{"d:Title": "Robot Carnival", "d:Description": "Image and merchandise information.", "topic": "Top/Arts/Animation/Anime/Titles/R/Robot_Carnival", "url": "http://www.jurai.net/~jjpatt/RC/RC.html"} +{"d:Title": "Point Blank", "d:Description": "Introduction, summaries, FAQ, scans, screen captures, fan art, and other images.", "topic": "Top/Arts/Animation/Anime/Titles/R/Robot_Carnival", "url": "http://onigami.net/robot/"} +{"d:Title": "The Critical Eye: Robot Carnival", "d:Description": "Introduction and segment summaries.", "topic": "Top/Arts/Animation/Anime/Titles/R/Robot_Carnival", "url": "http://5x5media.com/eye/film/robocarn.php"} +{"d:Title": "Rockman.EXE Online", "d:Description": "Provides summaries, character profiles and general information for the Rockman.EXE Anime and its sequels, Rockman.EXE Axess and Rockman.EXE Stream.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rockman_EXE", "url": "http://www.rockman-exe.com/"} +{"d:Title": "Ronin Warriors Kingdom", "d:Description": "Pictures, fan fiction and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://www.angelfire.com/ri/kento/index.html"} +{"d:Title": "Ronin Warriors #1", "d:Description": "Plot, character data, fan fiction, polls, images, wave files, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://www.angelfire.com/anime/roninwarriors1/"} +{"d:Title": "The Worst Ronin Warriors Pages", "d:Description": "A site dedicated to reviewing the best and worst of Ronin Warriors pages on the net. Monthly awards are given to a site in each category.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://worst_of_ronin.tripod.com/"} +{"d:Title": "MystikleStarr's Ronin Warrior Page", "d:Description": "Information, images, episode list, links, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://www.angelfire.com/ny3/MystikleStarr/"} +{"d:Title": "The Troopers Room", "d:Description": "Includes Ronin Warrior images, backgrounds, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://www.angelfire.com/il2/yourlink/SecondPage.html"} +{"d:Title": "Sentinel Warriors/Ronin Warriors Fanfiction Site", "d:Description": "Fan fiction based on the series. Also links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://members.tripod.com/DonnaSmith_2/sentinelwelcome.html"} +{"d:Title": "The Ultimate Ronin Warrior Zone", "d:Description": "Fan fiction, multimedia, message board,polls, show information, graphics, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://www.angelfire.com/co2/dspot/front.html"} +{"d:Title": "Anime Unlimited", "d:Description": "The unlimited source for anime information. Covered series include Ronin Warriors, Trigun, Ranma 1/2, and Bubblegum Crisis.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://animeunlimited2k1.tripod.com/"} +{"d:Title": "The Ronin Warriors Dojo", "d:Description": "Character profiles, message board, links and anomalies.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://ronin_man.tripod.com/index.html"} +{"d:Title": "The Behemothe Estate", "d:Description": "Fan fiction, a quiz, and links. Contains yaoi content.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors", "url": "http://www.angelfire.com/moon/warriors"} +{"d:Title": "Roiyaru", "d:Description": "Shrine to Oni Masho Shuten Doji with images and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors/Characters", "url": "http://angelfire.com/art/Roiyaru"} +{"d:Title": "Clan of a Maestro", "d:Description": "Profiles, images, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors/Characters", "url": "http://mendalina0.tripod.com/roninwarriorsthearcticrealm/index.html"} +{"d:Title": "Kongo Habitation", "d:Description": "A Shrine dedicated to Kento of Hardrock. Has images, multimedia, wallpaper, information on Kento and things just for the fans.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors/Characters", "url": "http://www.angelfire.com/rock/kh/index.html"} +{"d:Title": "Cye's Home", "d:Description": "Devoted to Cye Mouri. Profile, images, fan fiction, art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors/Characters", "url": "http://www.angelfire.com/anime3/cyeshome/"} +{"d:Title": "Soul of the Seasons", "d:Description": "Character information, images, and doujinshi scans of the four Dark Warlords aka Masho.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ronin_Warriors/Characters", "url": "http://www.cakuni.com/sots/index.html"} +{"d:Title": "Araya: Berubara Land", "d:Description": "Characters, translations, galleries and opinions.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rose_of_Versailles,_The", "url": "http://www.araya.i8.com/"} +{"d:Title": "LadyOscar.com", "d:Description": "Message board with series discussion and fanworks.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rose_of_Versailles,_The", "url": "http://www.ladyoscar.com/forum/"} +{"d:Title": "The Thorn of the Rose", "d:Description": "Fansite which contains series information, the manga, the live action movie, mp3, fan art, fan fiction, doujinshi, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rose_of_Versailles,_The", "url": "http://thethornsoftheroses.wordpress.com/"} +{"d:Title": "The Ring of the Never Fading Rose", "d:Description": "Guidelines, HTML fragment and member list.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rose_of_Versailles,_The", "url": "http://www.webring.org/hub/berubara"} +{"d:Title": "Animeworld: Ruin Explorers", "d:Description": "Review with plot synopsis, opinion and comparisons, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Ruin_Explorers", "url": "http://animeworld.com/reviews/ruinexplorers.html"} +{"d:Title": "Worship the Wrapped One", "d:Description": "Humorous shrine to dedicated to Shishio Makoto. Offers information for joining an online fan club.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters", "url": "http://www.flowerstorm.net/souchan/shishio_fc/shishio_fc.html"} +{"d:Title": "Forever Blue Skies", "d:Description": "Character and seiyuu information, images, wallpapers, Winamp skins and fan works centering on Takatsuki Gentatsu.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters", "url": "http://www.angelfire.com/ego2/phibrizo/gentatsu/index.html"} +{"d:Title": "Kenshin's Corner", "d:Description": "Contains short history, anime and manga images, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Himura_Kenshin", "url": "http://www.angelfire.com/mt/DollsGuys/ken.html"} +{"d:Title": "Wandering Samurai", "d:Description": "Historical and character background, an image gallery and MIDIs.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Himura_Kenshin", "url": "http://www.hitokiri.8m.com/"} +{"d:Title": "Sakura In the Springtime", "d:Description": "A site dedicated to Aoshi and Misao. Contains character biographies, fan fiction, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Oniwabanshuu", "url": "http://www.angelfire.com/anime5/oniwabanshu0/"} +{"d:Title": "The Aoshi Shrine", "d:Description": "Character information, anime and manga images, fan fiction, and a section on Makimachi Misao.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Oniwabanshuu", "url": "http://shinomorimisao.tripod.com/"} +{"d:Title": "K and K Forever", "d:Description": "Shrine to Kaoru and Kenshin, containing music, fan works, profiles, history, jokes, image galleries, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Relationships", "url": "http://members.tripod.com/knk4eva/"} +{"d:Title": "The Enishi and Kaoru Project", "d:Description": "Fan fiction, manga and doujinshi scans, profiles, reviews, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Relationships", "url": "http://ekproject.tripod.com/"} +{"d:Title": "The Quiet Life of Kenshin and Tomoe", "d:Description": "Image gallery, character profiles, author rants, and desktop wallpaper.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Relationships", "url": "http://kwayetlyf.8m.com/"} +{"d:Title": "New Love, True Love", "d:Description": "Fanlisting for people who like the Kenshin and Kaoru relationship.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Relationships", "url": "http://fanlistings.dreame.net/protect/"} +{"d:Title": "The Aoshi and Misao Shrine", "d:Description": "Includes character biographies, fan fiction, and cosplay photos.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Relationships", "url": "http://www.angelfire.com/anime/amshrine/eng/"} +{"d:Title": "Rooster And Fox", "d:Description": "Online forum dedicated to the relationship of Sanosuke and Megumi.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Relationships", "url": "http://s12.invisionfree.com/Rooster_and_Fox/"} +{"d:Title": "Chichiri no da's Saitou Shrine", "d:Description": "Contains manga, anime, and OVA images, character information, fan art, a drinking game and related links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Saitou_Hajime", "url": "http://members.tripod.com/~Chichiri_no_da/saitou/"} +{"d:Title": "Hajime no Kizu", "d:Description": "Contains information on both the real and fictional Saitou. Music videos, fanfiction, fanart, and scans of Shinsengumi-related doujinshi.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Saitou_Hajime", "url": "http://www.hajimenokizu.com/"} +{"d:Title": "Hide a Sword in a Smile", "d:Description": "Soujirou image gallery featuring managa and doujinshi scans, screencaputes, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Characters/Seta_Soujirou", "url": "http://www.flowerstorm.net/souchan/gallery.htm"} +{"d:Title": "Kenshin.it", "d:Description": "General character information, historical background, lots of multimedia, virtual greeting cards, forum [Italian/English]", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://www.kenshin.it/"} +{"d:Title": "Character Name Guide", "d:Description": "Compilation of character names and nicknames for reference purposes and as an aid for fan fiction writers, with a translation key for names and suffixes.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://kawaiikenshin.tripod.com/fanfic/rkcnguide.html"} +{"d:Title": "Hitokiris Anonymous", "d:Description": "News, episode summaries, character profiles, battle guide, relationships, technique list, commentary, FAQs and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://www.thespectrum.net/hitokiri/"} +{"d:Title": "R.K. World", "d:Description": "Features character and background information, anime and manga images, fan fiction, fan art, wallpapers, and information on downloading the episodes.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://www.angelfire.com/anime2/rkworld/"} +{"d:Title": "Nightfall", "d:Description": "Offers information on the TV series and OVAs, character profiles, art book scans, movie clips, wallpapers and message boards.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://www.arcticnightfall.com/kenshin/main.php"} +{"d:Title": "Nuriko's Rurouni Kenshin Webpage", "d:Description": "Character information, games, lyrics, image gallery, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://www.maison-otaku.net/~nuriko/kenshin.htm"} +{"d:Title": "Rurouni Kenshin EX", "d:Description": "Features character profiles, music downloads, Nokia ringtones, desktop wallpapers, WinAmp skins, and music lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://rkbattousai.tripod.com/"} +{"d:Title": "Leach's Rurouni Kenshin Page", "d:Description": "Fan art, image gallery, articles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://lrkp.leachdesigns.com/"} +{"d:Title": "The-OrO", "d:Description": "Contains series and character information, manga scans, fanworks, music videos, and a variety of graphics.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Pages", "url": "http://the-oro.com/"} +{"d:Title": "The Fic Fix", "d:Description": "Fan fiction by Courtney-chan. Also contains fan art based on the manga/anime.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works", "url": "http://www.angelfire.com/ky/animiko/kenshin.html"} +{"d:Title": "Fireflies in Early Summer", "d:Description": "Fan fiction and art devoted to the Kenshin and Kaoru pairing.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works", "url": "http://www.angelfire.com/wa2/bozyby/oro/"} +{"d:Title": "Tears", "d:Description": "Fan fiction, fan art and doujinshi by Information Specialist and Sentora.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works", "url": "http://www.angelfire.com/anime/Information/tears.html"} +{"d:Title": "Reverse Blade", "d:Description": "Original manga entitled \"Battousai High\" and fan art by ReverseBlade.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works", "url": "http://www.reverseblade.com/"} +{"d:Title": "HakuBaikou.com", "d:Description": "Fan site featuring fan fiction, fan art, and wallpapers.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works", "url": "http://hakubaikou.com/"} +{"d:Title": "Arekuru'u Sora", "d:Description": "Small site featuring fan art, fan fiction, icons, and desktop wallpapers. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works", "url": "http://aresora.tripod.com/splash.html"} +{"d:Title": "KFFDisc Archive", "d:Description": "Official archive of the Kenshin Fan Fiction Discussion Mailing List, including guidelines for direct submission and links to other sites. Contains text files, separated by fiction type and author.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.nabiki.com/nichan/archive/"} +{"d:Title": "K&K Fanfiction", "d:Description": "A Kenshin and Kaoru fan fiction archive with stories by many authors. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/fm/ccs/"} +{"d:Title": "The Nightwitch Tales", "d:Description": "Madam Hydra's fan fiction. Features the story \"That Which Lingers,\" set in a world of sorcery and the supernatural.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.madamhydra.net/NWT.html"} +{"d:Title": "RA Corner", "d:Description": "Archive of alternate pairing stories, song fiction and parodies written by Alexis C. and Rurouni.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/~rurouni/rk.htm"} +{"d:Title": "Rurouni Kenshin Fan Fiction Page", "d:Description": "Works of fan fiction written by authors Angel, Colleen, Kirstian, and Sher.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/~angel_harley/rk.html"} +{"d:Title": "Falling Snow", "d:Description": "Fan fiction based on the Kenshin and Tomoe pairing, many authors, submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/nj3/himura/fanfiction.html"} +{"d:Title": "This Corner Of The World", "d:Description": "Alternate universe story set in the present day. Yaoi/yuri (same sex) pairings.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/anime4/sanoken/"} +{"d:Title": "The Heart of the Dragon", "d:Description": "Multiple works written by Naomi, mostly based on the Kenshin and Kaoru pairing.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/emo/rkfanfics/"} +{"d:Title": "Winter Rain", "d:Description": "Contains a multi-part story written by Aki-chan.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/anime/naginaoe/mainpage.html"} +{"d:Title": "Chiruken's Palace", "d:Description": "Features fan fiction written by Chiruken.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://chiruken.tripod.com/"} +{"d:Title": "The Battousai and Kaoru Fanfiction Shrine", "d:Description": "Small archive offering fan fiction featuring Kaoru and Kenshin as Battousai.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/gundam/tsuki/"} +{"d:Title": "Rurouni Kenshin Fanfiction Webring", "d:Description": "All pages containing Rurouni Kenshin fan fiction are welcome.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Fan_Works/Fan_Fiction", "url": "http://www.webring.org/hub?ring=rkfanfic"} +{"d:Title": "Memories", "d:Description": "Small collection of Kenshin and Karou screenshots from the anime.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Image_Galleries", "url": "http://www.kkmemories.bravepages.com/memories.html"} +{"d:Title": "Scar-Crossed Wanderer", "d:Description": "Gallery of screen-captures, fan art, and a selection of winamp skins.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Image_Galleries", "url": "http://www.hikarikat.com/zora/Kenshin/scw.html"} +{"d:Title": "Kismet", "d:Description": "Gallery featuring individual and paired images of Kenshin and Kaoru.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Image_Galleries", "url": "http://joycee_boo.tripod.com/"} +{"d:Title": "Ryeka's Page", "d:Description": "Screen shot and scanned art galleries.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Image_Galleries", "url": "http://www.angelfire.com/la/Rykea/kenshin.html"} +{"d:Title": "Rurouni Kenshin - Anime Galleries dot Net", "d:Description": "Large compilation of user-submitted Kenshin images hosted by Animegalleries.net. Organized by character.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Image_Galleries", "url": "http://www.animegalleries.net/index.php?cat=29"} +{"d:Title": "Siamece's Gallery", "d:Description": "Art book and magazine scans along with some screenshots.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Image_Galleries", "url": "http://neptunian_siamese.tripod.com/"} +{"d:Title": "Aoshi and Misao Fan Fiction", "d:Description": "Direct link to the manga/anime-inspired mailing list on egroups.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Mailing_Lists", "url": "http://groups.yahoo.com/group/aoshimisaofics/"} +{"d:Title": "The Fan Fiction Mailing Lists", "d:Description": "Home to KFFDisc, RK Resource, and RKFF, three different lists focused on fan fiction dedicated to the anime/manga series. Contains archives, subscription guidelines, submission rules, author links, contests, resources, and general links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Mailing_Lists", "url": "http://www.nabiki.com/nichan/rkffml/"} +{"d:Title": "Blades of Rurouni Kenshin", "d:Description": "Fan club offering opportunities for discussion about the manga/anime series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Mailing_Lists", "url": "http://groups.yahoo.com/group/bladesofrurounikenshin/"} +{"d:Title": "The Men of Rurouni Kenshin Fanclub", "d:Description": "Fan club centering on male characters in the manga/anime series. Requires YahooGroups registration.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Mailing_Lists", "url": "http://groups.yahoo.com/group/themenofrurounikenshin/"} +{"d:Title": "Aoshi Smile", "d:Description": "Aoshi-related Yahoo Groups mailing list.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Mailing_Lists", "url": "http://groups.yahoo.com/group/aoshi_smile/"} +{"d:Title": "Tactics", "d:Description": "Images, MIDIs, sound clips and links.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Multimedia", "url": "http://members.tripod.com/tactix/"} +{"d:Title": "The Nihon Review - Rurouni Kenshin: Seis\u014dhen", "d:Description": "Story, review, and images of the second OAV series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Reviews", "url": "http://www.nihonreview.com/anime/rurouni-kenshin-seisohen/"} +{"d:Title": "The Nihon Review - Rurouni Kenshin: Tsuiokuhen", "d:Description": "Story, review, and images of the first OVA series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Reviews", "url": "http://www.nihonreview.com/anime/rurouni-kenshin-tsuiokuhen-2/"} +{"d:Title": "The Nihon Review - Rurouni Kenshin: Tsuiokuhen", "d:Description": "Story, review, and images of the first OVA series.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Reviews", "url": "http://www.nihonreview.com/anime/rurouni-kenshin-tsuiokuhen/"} +{"d:Title": "The Eternal Couple", "d:Description": "Ring for sites supporting the bonds between Himura Kenshin and Kamiya Kaoru.", "topic": "Top/Arts/Animation/Anime/Titles/R/Rurouni_Kenshin/Web_Rings", "url": "http://www.webring.org/hub?ring=kenkaoring"} +{"d:Title": "Sakura Diaries", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://animeworld.com/reviews/sakuradiaries.html"} +{"d:Title": "Suikoden Demon Century", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://animeworld.com/reviews/suikoden.html"} +{"d:Title": "Super Atragon", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://animeworld.com/reviews/superatragon.html"} +{"d:Title": "Sayonara Zetsubou Sensei", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/sayonara-zetsubou-sensei/"} +{"d:Title": "School Days", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/school-days/"} +{"d:Title": "School Days", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/school-days-2/"} +{"d:Title": "School Rumble", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/school-rumble/"} +{"d:Title": "School Rumble 2nd Term", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/school-rumble-2nd-term/"} +{"d:Title": "School Rumble OVA", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/school-rumble-ova/"} +{"d:Title": "Seirei no Moribito", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/seirei-no-moribito/"} +{"d:Title": "Shakugan no Shana", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/shakugan-no-shana-2/"} +{"d:Title": "Shakugan no Shana", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/shakugan-no-shana/"} +{"d:Title": "Shigurui", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/shigurui/"} +{"d:Title": "Shingetsutan Tsukihime", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/shingetsutan-tsukihime/"} +{"d:Title": "Shinigami no Ballad", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/shinigami-no-ballad/"} +{"d:Title": "Shuffle!", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/shuffle/"} +{"d:Title": "Shuffle! Memories", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/shuffle-memories/"} +{"d:Title": "Simoun", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/simoun/"} +{"d:Title": "Sketchbook - full color's", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/sketchbook-full-colors/"} +{"d:Title": "Sola", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/sola/"} +{"d:Title": "Space Runaway Ideon", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/space-runaway-ideon/"} +{"d:Title": "Speed Grapher", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/speed-grapher/"} +{"d:Title": "Spiral", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/spiral/"} +{"d:Title": "Spirit of Wonder: The Scientific Boys Club", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/spirit-of-wonder-the-scientific-boys-club/"} +{"d:Title": "Strawberry Panic", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/strawberry-panic/"} +{"d:Title": "Sumomomo Momomo", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S", "url": "http://www.nihonreview.com/anime/sumomomo-momomo/"} +{"d:Title": "Saber Marionette Mailing List", "d:Description": "Unofficial discussion list. Membership information and archives.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Marionette", "url": "http://groups.yahoo.com/group/smj/"} +{"d:Title": "The Saber Site", "d:Description": "Includes manga, fan art, galleries, MIDI, and a drawing tutorial.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Marionette", "url": "http://sabersite.atspace.com/"} +{"d:Title": "Saber Marionette J Web Ring", "d:Description": "Membership information and list of sites.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Marionette", "url": "http://www.webring.org/hub?ring=saberj"} +{"d:Title": "Japoness Research Facility", "d:Description": "Introduction, images and character profiles for the different seasons.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Marionette", "url": "http://j2marine.tripod.com/"} +{"d:Title": "Hanami's Saber Marionette World", "d:Description": "Old but very complete SMJ encyclopedia. Japanese authored, it includes information on the Saber Marionette original novels.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Marionette", "url": "http://smj2x.tripod.com/"} +{"d:Title": "Saber Rider and the Star Sheriffs Fan Fiction", "d:Description": "Fan fiction, images, movies, fan art and links. [English and Russian]", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Rider_and_the_Starsheriffs", "url": "http://www.angelfire.com/id/stellar/"} +{"d:Title": "Tribute to Saber Rider and the Star Sheriffs", "d:Description": "Information on the story and character biographies with image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Rider_and_the_Starsheriffs", "url": "http://members.tripod.com/~Star_Sheriffs/"} +{"d:Title": "Yahoo! Groups : SRatSS_Fic", "d:Description": "An e-mail list for fan fiction and discussion of the series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saber_Rider_and_the_Starsheriffs", "url": "http://groups.yahoo.com/group/SRatSS_Fic/"} +{"d:Title": "Awareness: Are You Aware?", "d:Description": "Anti-DiC fan fiction and awards, a list of people who dislike the dubbed series, flames received, essays, and related links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Campaigns", "url": "http://clerecrystal.tripod.com/"} +{"d:Title": "IMDb: Full Cast and Crew For \"Bish\u00f4jo Senshi Sailor Moon\" (1992)", "d:Description": "Credits for the first season of the original Japanese version.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0103369/fullcredits"} +{"d:Title": "IMDb: Full Cast and Crew For \"Sailor Moon\" (1995)", "d:Description": "Credits for the English-dubbed version.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0114327/fullcredits"} +{"d:Title": "Cyber Tokyo", "d:Description": "Profiles for the senshi and various minor characters along with images and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters", "url": "http://www.angelfire.com/in2/cybertokyo/"} +{"d:Title": "The Chibi Project", "d:Description": "Scientific analysis of the destruction of a toy Chibi Moon figure.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Anti-Character", "url": "http://chibiproject.com/"} +{"d:Title": "The Anti-Mercury Page", "d:Description": "Humorous look at reasons to hate Sailor Mercury. Includes the 'senshi death matches', which shows the outcome of fights between Sailor Mercury, and various other characters.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Anti-Character", "url": "http://mercurysux.tripod.com/"} +{"d:Title": "The Lunaverse", "d:Description": "Profiles of the three cats, images, links and rants. Also a small section devoted to Sailor Tin Nyanko.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Cat_Guardians", "url": "http://www.angelfire.com/ca/lunaverse/"} +{"d:Title": "The Sailor Moon Andrew Shrine", "d:Description": "Detailed information about all the appearances of the character throughout the series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Furuhata_Motoki", "url": "http://www.kinless.com/anime/smandrew.html"} +{"d:Title": "My Peruru Shrine", "d:Description": "Single page shrine with basic character information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Movies/Peruru", "url": "http://saturnchild.tripod.com/peruru/peruru.html"} +{"d:Title": "The Re-opened Queen Serenity Shrine", "d:Description": "Three image galleries from the series and manga.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Queen_Serenity", "url": "http://www.angelfire.com/mn/Moonie/"} +{"d:Title": "Raye and Serena's Friendship Page", "d:Description": "Information on both characters and their relationship with each other.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships", "url": "http://members.tripod.com/~r_hino/raye1.html"} +{"d:Title": "Frozen Love", "d:Description": "Discussion on Prince Demando (of the Black Moon Family) and Usagi (or Neo-Queen Serenity). Includes fan fiction and several fan art galleries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships", "url": "http://demando.net/fl/"} +{"d:Title": "Fire and Love: A Sailor Venus and Mars Site", "d:Description": "General information on the two characters and a comical essays on their friendship.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships", "url": "http://www.angelfire.com/ab3/firelove/"} +{"d:Title": "Haruka and Michiru Are Lovers", "d:Description": "A campaign to confirm that the characters are in love and to dispel \"Prince Uranus\" rumors.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://members.tripod.com/~hawks_eye/"} +{"d:Title": "Haruka and Michiru's Place", "d:Description": "Character information, images, multimedia, fan works, and links to other shrines.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://aleya9.tripod.com/"} +{"d:Title": "Inherit the Wind", "d:Description": "Contains images, multimedia, information, free web e-mail, and opinions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://www.angelfire.com/anime2/haruka21/"} +{"d:Title": "Haruka and Michiru Picnic", "d:Description": "Images, sound files, animated GIFs, quotes, lyrics, a message board, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://www.angelfire.com/anime2/HarukaMichiru/"} +{"d:Title": "Sea Sky, Wind Water", "d:Description": "Character profiles, forums and free email, opinions, fan works, images, and multimedia downloads. Also includes astrological and mythological information, Winamp skins, Kiss dolls, and adoptions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://www.eternalsailormoon.org/ssww/"} +{"d:Title": "Tsunami", "d:Description": "General information on the two characters, several opinions, and profiles of the differences between the anime and manga versions of their relationship.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://www.angelfire.com/me2/anoniemouse/"} +{"d:Title": "Haruka and Michiru", "d:Description": "Image gallery includes some fanart and cosplay images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://www.angelfire.com/wv/thegirlzside/harumich"} +{"d:Title": "Michiru's Sea of Dreams", "d:Description": "Contains character information, images, animated GIFs, forum, song lyrics, Michiru's paintings, fanfics, polls and awards.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://www.michirutenou.com/"} +{"d:Title": "Sky Coloured Oceans", "d:Description": "Contains character profiles, comments about the North American dub changes, links, manga scans, image galleries, wallpaper, quizzes and icons.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Haruka_and_Michiru", "url": "http://genrou.com/uranep/"} +{"d:Title": "Nephrite and Naru's Place", "d:Description": "A shrine dedicated to the ill-fated love between the two characters. Includes general analysis and an extensive amount of fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Naru_and_Nephrite", "url": "http://www.angelfire.com/anime2/nephandnaru/"} +{"d:Title": "Serena and Darien Forever", "d:Description": "Images, fan fiction, fan art, and information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Usagi_and_Mamoru", "url": "http://www.angelfire.com/wa/starryserena/"} +{"d:Title": "Usagi and Mamoru of Eternal Love", "d:Description": "Image gallery, character information and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Usagi_and_Mamoru", "url": "http://www.angelfire.com/anime/usagiandmamorumain/"} +{"d:Title": "Princess Serenity's Romance In The Moonlight", "d:Description": "Image gallery, music selections and links with a romantic theme.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Usagi_and_Mamoru", "url": "http://usagi1.tripod.com/darien-serena.html"} +{"d:Title": "Millennia of Love", "d:Description": "Images sorted by day and night theme.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Relationships/Usagi_and_Mamoru", "url": "http://sailorsaturne.tripod.com/SailorMoon.html"} +{"d:Title": "Serena and Rini Together Forever", "d:Description": "Profiles of the two characters, MIDI files, animated GIFs, fanfiction, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi", "url": "http://www.angelfire.com/anime2/SARTF/"} +{"d:Title": "Moon Crisis", "d:Description": "Information about Sailor Moon, Sailor Chibi Moon, and Sailor Chibi Chibi Moon through the eyes of other scouts.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi", "url": "http://moon_crisis.tripod.com/"} +{"d:Title": "Sailor Mars Etc", "d:Description": "Dedicated to Sailor Mars, Sailor Saturn, and Final Fantasy II. Contains basic information and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi", "url": "http://eponine2rei3rydia.tripod.com/"} +{"d:Title": "Stray Thunder", "d:Description": "Character profile, image gallery, quizzes, MIDIs, cooking recipes, and HTML tips.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Jupiter", "url": "http://www.angelfire.com/tx2/ssjupiter/"} +{"d:Title": "To Forget Lost Love: A Kino Makoto Shrine", "d:Description": "Statistics, information, opinions on the character, quotes, song lyrics, poem translations, random facts, and villain equivalents.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Jupiter", "url": "http://sailorgreenmako.tripod.com/lostlove.html"} +{"d:Title": "Midori's Sailor Mars Shrine", "d:Description": "Biography, list of attacks, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mars", "url": "http://marsluvver.tripod.com/"} +{"d:Title": "Aqua Illusions", "d:Description": "Shrine with images, video clips in MPEG format, and character profile.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mercury", "url": "http://www.angelfire.com/mi2/SailorMercury/"} +{"d:Title": "Ami Blue Dreams", "d:Description": "Profile, episode listing, image gallery, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mercury", "url": "http://www.angelfire.com/anime3/amibluedreams/"} +{"d:Title": "Rainstorm", "d:Description": "Information, pictures, and character information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mercury", "url": "http://www.angelfire.com/ga/giovaneric/main.html"} +{"d:Title": "Water Lily", "d:Description": "Character information, role in the series, attack list, seiyuu, images, comments, and wallpapers.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mercury", "url": "http://umi_wish.tripod.com/ami/"} +{"d:Title": "Ami-chan no Hatsukoi Multimedia Page", "d:Description": "Dedicated to the Ami's First Love special, with an illustrated summary, video and audio from the special in various formats, and games.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mercury", "url": "http://celindi0n.tripod.com/"} +{"d:Title": "Ami's Cheat Sheet", "d:Description": "Image galleries from the anime/manga, seiyuu information, profile information, and listing of key episodes.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mercury", "url": "http://huna.tripod.com/"} +{"d:Title": "The Sailor Mercury Shrine of Water", "d:Description": "Character overview, theories, relationship and background information, image galleries, power lists, and fan humor.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Mercury", "url": "http://smanga.tripod.com/"} +{"d:Title": "Sweet Innocence", "d:Description": "Writings about Sailor Moon, her relationships, and information about her powers.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Moon", "url": "http://members.tripod.com/melanie_/usako/"} +{"d:Title": "Seraphii-Kisu", "d:Description": "A fansite with profile, statistics, and gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Moon", "url": "http://www.serapii-kisu.net/"} +{"d:Title": "Minako's Gallery", "d:Description": "A large image gallery of Sailor Venus's different forms.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Venus", "url": "http://aino_minako_chan.tripod.com/"} +{"d:Title": "Look Out Venus", "d:Description": "Essays, articles, timelines, and general information on Minako. Also fan art, humor, several image galleries, her relationships with others, manga and anime differences, and commentary on her songs.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Venus", "url": "http://members.tripod.com/~mina_storm/"} +{"d:Title": "Guardian Princess Venus's Palace", "d:Description": "Character information and analysis, relationship overviews, manga-to-anime comparisons, fanfiction and fan art, humor, a Minako forum, and eight image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Venus", "url": "http://www.angelfire.com/ri/venusprincess/"} +{"d:Title": "Crescent Beam Corner", "d:Description": "Character profile, attacks, fuku section, and seiyuu information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Inners/Sailor_Venus", "url": "http://sailorvenus20.tripod.com/venus/"} +{"d:Title": "Sailor Pluto and Outer Senshi Shrine", "d:Description": "Dedicated to the Outer Senshi, containing information mostly on Sailor Pluto.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers", "url": "http://www.angelfire.com/oh2/outersenshi/"} +{"d:Title": "Distant Soldiers", "d:Description": "Image-intensive shrine to the Outer Senshi. Includes profiles, storyline information, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers", "url": "http://www.angelfire.com/ga2/distantouters/"} +{"d:Title": "Michiru: Ebb and Flow", "d:Description": "Character profile, romance information, fanfiction and fan art, submitted poetry, and general mythological information on character and series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Neptune", "url": "http://ichijin_yuushi.tripod.com/"} +{"d:Title": "Charles's Sailor Neptune Shrine", "d:Description": "Information, fan works, animated GIFs, chat, and message board.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Neptune", "url": "http://neptunefan.tripod.com/"} +{"d:Title": "Charon", "d:Description": "Character statistics, biography, image gallery, fanfiction and fan art, articles, a poll, links, and various multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Pluto", "url": "http://timesenshi.8m.com/"} +{"d:Title": "Sands of Time", "d:Description": "=Profile, song lyrics, information on the voice actress, thumbnail images from the anime and manga, transparent GIFs, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Pluto", "url": "http://eta-naru.tripod.com/sot/"} +{"d:Title": "Charon Castle", "d:Description": "Thumbnail images, profile, mythological information, and one-person roleplaying with Cerberus.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Pluto", "url": "http://www.angelfire.com/anime4/charoncastle/"} +{"d:Title": "Sailor Pluto's Domain", "d:Description": "Information, image gallery, multimedia, and links on Sailor Pluto.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Pluto", "url": "http://sailorkitty.tripod.com/"} +{"d:Title": "Bunny Tomoe's Sailor Saturn Shrine", "d:Description": "Multimedia and webrings.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://sailorsaturn.aka.hotaru.8m.com/"} +{"d:Title": "Silence", "d:Description": "Anime and manga information and images, quotes, fan works, a quiz, and various merchandise. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://silencereborn.tripod.com/"} +{"d:Title": "Senshi of Destruction: Sailor Saturn", "d:Description": "Includes profile and storyline information illustrated with screenshots.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://www.angelfire.com/anime2/scmmoonkingdom/shrine.html"} +{"d:Title": "The Silent Senshi", "d:Description": "Image gallery, awards, a quiz, graphics, fan art, profile, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://www.angelfire.com/anime3/thesilentsenshi/enter.htm"} +{"d:Title": "Sailor Saturn's Toy Box", "d:Description": "Picture galleries, quizzes, character profiles, Adopt-a-Angel, and games.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://www.angelfire.com/anime3/sstb2000/"} +{"d:Title": "Amethyst Light", "d:Description": "A fanfiction archive. Also includes basic character information, an image gallery, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://www.angelfire.com/super2/cahira_dawn/"} +{"d:Title": "The Lonely Star: Saturn", "d:Description": "Small image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://hotaruhime.tripod.com/"} +{"d:Title": "Awoken Destruction", "d:Description": "Profile, quotes, information on attacks and voice actresses, and an extensive image gallery with screencaps of Sailor Saturn.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://saturn.windy-goddess.net/"} +{"d:Title": "Angel of Silence", "d:Description": "Character information, image gallery, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://www.angelfire.com/anime2/angsilence/"} +{"d:Title": "Sailor Saturn's Palace", "d:Description": "Information and analysis of the anime and manga versions. Also includes an extensive image gallery, mythology information, fan art, and profiles of her seiyuu and Sera-Myu actresses.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://sailorstarsaturn.com/"} +{"d:Title": "CyberWarlock's Ultimate Sailor Saturn Site", "d:Description": "Message board, chat, Saturn Java games, image galleries, audio and video clips, and fanfiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://cyberwarlock.tripod.com/"} +{"d:Title": "Saturnchild's Saturn Shrine", "d:Description": "Information and thoughts on the character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://saturnchild.tripod.com/saturn/saturn.html"} +{"d:Title": "One Stop Saturn Shop", "d:Description": "Printable coloring book, instructions on making your own Saturn doll, fanfiction and fan art, Tomoe mini-shrine, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Saturn", "url": "http://brinag.tripod.com/"} +{"d:Title": "Wind Spirit", "d:Description": "Includes character information, lists of false rumors, quotes, several image galleries, and humor.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Uranus", "url": "http://www.kicie.net/realm/"} +{"d:Title": "The Gateway to Sailor Uranus", "d:Description": "Images sorted by character, animated GIFs linked by text description, profile, chat, bulletin board, and lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Uranus", "url": "http://www.angelfire.com/anime/Harukachan/"} +{"d:Title": "Haruka's Room Dot Net", "d:Description": "Character information, seiyuu information, rumors, song translations, pictures, MP3s, quiz, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Uranus", "url": "http://www.angelfire.com/anime2/harukasan/"} +{"d:Title": "The Subversive Pomegranate: Tempest", "d:Description": "Detailed essays looking into issues such as personality and relationships. Also includes history with facts and insights.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Uranus", "url": "http://hahpa.tripod.com/tempest/"} +{"d:Title": "Sailor Uranus: The Temple of the Strong", "d:Description": "A collection of images, as well as a LiveJournal, links, and web rings.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Outers/Sailor_Uranus", "url": "http://ladyuranus.tripod.com/home.html"} +{"d:Title": "Everything Chibi", "d:Description": "Character information, image gallery, and related links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Chibi-Moon", "url": "http://members.tripod.com/~cutie_starr1/"} +{"d:Title": "The Dedicated Shrine to Sailor Chibi Moon", "d:Description": "Profile, image gallery, and music.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Chibi-Moon", "url": "http://www.angelfire.com/anime/SSCM/"} +{"d:Title": "Dreams of Litany", "d:Description": "Character introduction, profile, various forms, relationships, weapons/attacks, and friends.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Chibi-Moon", "url": "http://dreamsoflitany.tripod.com/"} +{"d:Title": "Luna P's Chibi Moon Shrine", "d:Description": "Images in civilian, senshi, and Black Lady forms.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Chibi-Moon", "url": "http://sailorkittykait.tripod.com/lunapschibimoonshrine/"} +{"d:Title": "Small Lady Chibiusa Grows Up", "d:Description": "Dedicated to the more mature side of Chibimoon with essays and images. Also contains a small section dedicated to Helios.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Chibi-Moon", "url": "http://newtgirl.tripod.com/chibiusa/growup.html"} +{"d:Title": "Three Lights", "d:Description": "Basic character profiles, some images, and a section to learn a few words in Japanese.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Starlights", "url": "http://www.angelfire.com/stars4/threelights/main.html"} +{"d:Title": "SITM: Seiya Is The Man!", "d:Description": "Online club with a character profile, images, members list, how to join, and a Seiya/Usagi romance section.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Starlights/Sailor_Star_Fighter", "url": "http://seiyadaman.tripod.com/"} +{"d:Title": "Midnight Star", "d:Description": "Contains mostly videos.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Starlights/Sailor_Star_Healer", "url": "http://www.angelfire.com/stars2/yatenkou/"} +{"d:Title": "Diavolina's Yaten Page", "d:Description": "Character information and a gallery of original screenshots.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Sailor_Senshi/Sailor_Starlights/Sailor_Star_Healer", "url": "http://www.angelfire.com/anime3/akumakochan/"} +{"d:Title": "Ikuko Mama", "d:Description": "A shrine to Usagi's mother. Includes general background, image galleries, an explanation of differences in the dub, and anime to manga comparisons.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Tsukino_Ikuko", "url": "http://members.tripod.com/~hells_belle/ikuko/"} +{"d:Title": "The Shingo Shrine", "d:Description": "Profile, links, and a \"Luna-P 8-Ball\" game.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Tsukino_Shingo", "url": "http://www.coyles.com/shingo/"} +{"d:Title": "Brotherly Love", "d:Description": "Includes general character background information, seiyuu details, an image gallery, and audio clips.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Tsukino_Shingo", "url": "http://aerisgnsb1.tripod.com/shingo/"} +{"d:Title": "Chibi Usa's Black Side", "d:Description": "Information on Jedite, Queen Beryl and Black Lady.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains", "url": "http://chibiusasblackside.tripod.com/"} +{"d:Title": "Moon Shadows", "d:Description": "Profiles, opinion of the characters, purity test, gemstones the villain's names come from, mthology and fanart, mostly of Zoisite.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains", "url": "http://twylightshadow.tripod.com/"} +{"d:Title": "Castle Of Villainy", "d:Description": "Some information of characters from the 5 TV seasons. Has sounds, images, links and chat.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains", "url": "http://www.angelfire.com/il2/smvillains/"} +{"d:Title": "Ray Of Hope", "d:Description": "Information, images, fanfics, midis and multimedia. Also contains images of Utena, Fushigi Yuugi and the Yotoden movie.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Black_Moon_Family", "url": "http://www.angelfire.com/nj2/rayofhope/"} +{"d:Title": "Deadly Whispers: A Wicked Lady Shrine", "d:Description": "Image gallery, sound files, animations, desktop themes, wallpapers, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Black_Moon_Family/Black_Lady", "url": "http://evil-rini.tripod.com/"} +{"d:Title": "Green Jealousy", "d:Description": "Pictures of the green-haired one with speculation and insights into her character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Black_Moon_Family/Esmeraude", "url": "http://www.angelfire.com/ia/Esmeraude/"} +{"d:Title": "In Metallia's Name", "d:Description": "Explanation of the first season storyline and background of each of the kings and other denizens. Also houses a collection of fan fiction and a fan art gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dark_Kingdom", "url": "http://chinilpa.tripod.com/metallia/"} +{"d:Title": "DarkKingdom.com", "d:Description": "Profiles, media, fanstuff, gemstones and series info.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dark_Kingdom", "url": "http://www.darkkingdom.com/dk/"} +{"d:Title": "Dies, Nox et Omnia", "d:Description": "Dark Kingdom shrine with profiles, analysis, fan works, and opinions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dark_Kingdom", "url": "http://www.angelfire.com/anime2/threads/DiesNoxEtOmnia/"} +{"d:Title": "Nephrite's Sacrosanctuary", "d:Description": "A general Dark-Kingdom site with special emphasis on Nephrite. Features image galleries, a manga gallery, a youma gallery, soundfiles, links, fanart and fanfiction by Dark Amethyst.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dark_Kingdom", "url": "http://www.angelfire.com/anime4/darkamethyst/index.html"} +{"d:Title": "Ai wa Energy", "d:Description": "Information, comments, animated gifs, wallpapers and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dark_Kingdom/Jadeite", "url": "http://www.angelfire.com/weird/nadeshiko/yuigon.htm"} +{"d:Title": "Zoisite's Cherry Blossom Fanfic Page", "d:Description": "A collection of fan fiction and fan art centered around Zoisite. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dark_Kingdom/Zoisite", "url": "http://www.angelfire.com/ri/zoisite/"} +{"d:Title": "Psychotic exotic blue", "d:Description": "Shrine to PallaPalla and Fish Eye. Character information, images, and opinions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus", "url": "http://pallapalla.8k.com/"} +{"d:Title": "The mirror black", "d:Description": "Devoted to Neherenia and the other villains of the Dead Moon Circus from the SuperS season, with episode summaries, character profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus", "url": "http://www.angelfire.com/ne/Neherenia/"} +{"d:Title": "Cue balls of destruction", "d:Description": "An Amazoness Quartet shrine. Information on all of the SuperS season villains, episode list, anime gallery, manga gallery, targets, lemures, manga summaries and fanart.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus", "url": "http://members.tripod.com/swordmaiden_hinode/dreams/cueball.html"} +{"d:Title": "Hello hooray", "d:Description": "Information and images of Neherenia, Zircornia, the Amazon Trio and the Lemures.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus", "url": "http://hoooray.tripod.com/"} +{"d:Title": "The Dead Moon Circus", "d:Description": "Information an images of the Dead Moon Circus. Includes a complete listing of all the Lemures in the anime.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus", "url": "http://amazoness4.tripod.com/circus/"} +{"d:Title": "Dead Moon Circus bar", "d:Description": "Opinions and information about the Amazon Trio. Has yaoi content.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus", "url": "http://www.angelfire.com/anime2/deadmooncircus/"} +{"d:Title": "Memoirs of a fish", "d:Description": "Comprehensive biographies, image gallery, video clips, fan works and essays.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus/Fish_Eye", "url": "http://www.minki.net/fisheye/"} +{"d:Title": "The green asteroid - A Jun Jun shrine", "d:Description": "Information and profile, anime and manga image galleries, quotes, and some mythology information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus/Jun_Jun", "url": "http://mercury_princess.tripod.com/"} +{"d:Title": "ParaPara Para-dise", "d:Description": "Information of the character from the anime and manga. Also has images, videos and some links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus/Palla_Palla", "url": "http://para-dise.tripod.com/"} +{"d:Title": "D'or f\u00e9lin", "d:Description": "Character information, image galleries, wallpapers, humor, and other multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus/Tiger's_Eye", "url": "http://www.angelfire.com/anime3/tigerseye/"} +{"d:Title": "Liquid dreams - A shrine to Tiger's Eye", "d:Description": "Character background and history, an image gallery for the entire trio, fan fiction, fan art, and a \"101 Reasons\" section.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus/Tiger's_Eye", "url": "http://www.angelfire.com/anime3/amazondreams/liquid_dreamsa_shrine_to_tiger.htm"} +{"d:Title": "The Tiger's Eye shrine", "d:Description": "Image gallery, profile, message board, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Dead_Moon_Circus/Tiger's_Eye", "url": "http://members.tripod.com/tiger_eye4/index.htm"} +{"d:Title": "The Witches 5 Association", "d:Description": "Contains information and images from the anime and manga of Witches 5. Also mentions the name changes and seiyuus in the Latin American dub.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Death_Busters", "url": "http://www.angelfire.com/nm/MoonGigaZone/witches5.html"} +{"d:Title": "Witches5", "d:Description": "Images, information, monsters of the day, seiyuu and image slides.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Death_Busters", "url": "http://witches5.narod.ru/"} +{"d:Title": "Akashio", "d:Description": "The guide to Eudial, leader of the Witches 5 from the S season. Good information and a large image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Death_Busters/Eudial", "url": "http://mercuryinfo.tripod.com/akashio.html"} +{"d:Title": "Mosaic Beauty", "d:Description": "Small shrine which makes a brief presentation of the character, images and some links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Death_Busters/Viluy", "url": "http://luvviluy.tripod.com/"} +{"d:Title": "Ryld's Sailor Animates Page", "d:Description": "Picture and brief discussion of each member, chart rating attributes of each member, and survey.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates", "url": "http://ryld.tripod.com/"} +{"d:Title": "The Slippery Mermaid", "d:Description": "Profile of Sailor Aluminum Siren, images of all of the Stars villains, the anima-mates profile and Sailor Galaxia information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates", "url": "http://aluminumsiren.tripod.com/"} +{"d:Title": "The Slippery Mermaid", "d:Description": "Cointains a character profile and images, along with general information of the other Anima-mates and Sailor Galaxia.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates/Sailor_Aluminum_Siren", "url": "http://aluminumsiren.tripod.com/"} +{"d:Title": "Golden Light", "d:Description": "Information, images, movies, webring and sounds.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates/Sailor_Galaxia", "url": "http://goldenlight.tripod.com/"} +{"d:Title": "Realm of Galaxia", "d:Description": "Mini Galaxia shrine, with a collection of images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates/Sailor_Galaxia", "url": "http://sailoraegis.tripod.com/galaxia.html"} +{"d:Title": "Golden Senshi Sailorgalaxia", "d:Description": "The story of Sailor Galaxia's appearance at the end of the Stars season, with an assortment of images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates/Sailor_Galaxia", "url": "http://sailorstarhunter.tripod.com/galaxia.html"} +{"d:Title": "Iron Will: A Mousey Shrine", "d:Description": "Extensive information and background on Sailor Iron Mouse, many images of SIM and Stars season movie clips.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates/Sailor_Iron_Mouse", "url": "http://members.tripod.com/~Iron_Mousey/"} +{"d:Title": "Crow's Nest:The Sailor Lead Crow Shrine", "d:Description": "Images, information and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Characters/Villains/Sailor_Anima-mates/Sailor_Lead_Crow", "url": "http://senshineko.com/crow/"} +{"d:Title": "Sailor Moon Forum", "d:Description": "Forum for the tv series, fandom and videogames.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Chats_and_Forums", "url": "http://sailormoonforum.com/"} +{"d:Title": "Sailor Mercury Online", "d:Description": "Message board with sections for each character, as well as fan works and roleplaying.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Chats_and_Forums", "url": "http://sailorm.proboards.com/"} +{"d:Title": "The Genvid Project", "d:Description": "The Genvid Project is a Sailor Moon site which updates on a constant bases with news and articles related to the series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://www.genvid.com/"} +{"d:Title": "Meg's Sailor Moon Page", "d:Description": "Series mailing list, RPG mailing list, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://www.angelfire.com/wi/Megswebpage/sailormoon.html"} +{"d:Title": "United Holograms Sailor Moon Club", "d:Description": "A general Sailor Moon club.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://www.angelfire.com/al/frogfm/"} +{"d:Title": "Cutechibichibi", "d:Description": "Active Yahoo group for the discussion of Chibi Chibi and the Sailor Moon series. Restricted membership.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://groups.yahoo.com/group/cutechibichibi/"} +{"d:Title": "The Zoicite Fan Club", "d:Description": "Sound file, membership information, and profile.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://slrpluto1.tripod.com/INDEX.HTM"} +{"d:Title": "MoonVA", "d:Description": "Chat and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://gnownaym.tripod.com/moonVA/"} +{"d:Title": "Stephanie's Ultimate Sailor Moon Club", "d:Description": "Members get a new picture each week.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://ibihcibihc.tripod.com/"} +{"d:Title": "The Guild of Sailormoon Fan Artists", "d:Description": "A club (or guild) for fan artists. Site includes membership listing, gallery of works, and an artist of the month.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Clubs", "url": "http://jupiterfans.tripod.com/"} +{"d:Title": "Jeff's Sailor Moon Link Page", "d:Description": "Index sorted by type.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Directories", "url": "http://www.angelfire.com/tv/s10c/"} +{"d:Title": "Second Moon Banner System", "d:Description": "Generally a banner exchange program with some news.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Directories", "url": "http://secondmoon.tripod.com/"} +{"d:Title": "Atosaki", "d:Description": "Card gallery, fan works, fan art, downloads, character profiles, rants and raves, reviews, and MP3s.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/A", "url": "http://atosaki.tripod.com/"} +{"d:Title": "Ai No Senshi's Reststop", "d:Description": "Fan subtitled videos, fan fiction, graphics, awards, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/A", "url": "http://www.angelfire.com/tv2/ainosenshi/"} +{"d:Title": "Angels of the Moon", "d:Description": "Character profiles, image gallery, mythology, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/A", "url": "http://www.angelfire.com/anime4/sailorcomet/"} +{"d:Title": "Brittany's Sailor Moon Picture And Fact Gallery", "d:Description": "Images, some fanart, profiles, name information, and storyline.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/B", "url": "http://www.angelfire.com/anime2/Senchies/"} +{"d:Title": "Buttercup's Moon Dust", "d:Description": "Character profiles, pictures, MIDIs, movies, animated GIFs, manga translations and an episode guide.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/B", "url": "http://www.members.tripod.com/buttercup_moonie/"} +{"d:Title": "Bishoujo Senshi Sailormoon Kingdom", "d:Description": "Character profiles, an extensive image gallery, animated gifs, lyrics and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/B", "url": "http://www.angelfire.com/anime3/sailormoon83/"} +{"d:Title": "Crystal Tokyo", "d:Description": "Images, general information about the series, links and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/C", "url": "http://www.angelfire.com/hi3/Hotaru/index.html"} +{"d:Title": "Crystal Tokyo", "d:Description": "Image gallery, adopted scouts and general information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/C", "url": "http://www.angelfire.com/or/CrystalTokyo/"} +{"d:Title": "Crystalpoint", "d:Description": "Shrines to each of the senshi, cats, and some villains. Includes manga and anime information, image galleries, character profiles, and romance information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/C", "url": "http://www.angelfire.com/anime2/crystalpoint/"} +{"d:Title": "Chibi Jennifer's Chibi Land", "d:Description": "Fan works, images, interactive, information and main character analyses.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/C", "url": "http://chibiland.windy-goddess.net/"} +{"d:Title": "Eternalmoon.com", "d:Description": "Manga gallery, web graphics, information, WAV files and email.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/E", "url": "http://www.eternalmoon.com/"} +{"d:Title": "Eternal Moon Kingdom", "d:Description": "Images sorted by character, character profiles, fan art and fiction, song lyrics, and games.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/E", "url": "http://www.angelfire.com/anime2/eternalmoon/mainpage.html"} +{"d:Title": "Guardian Of Time's Sailor Moon Site", "d:Description": "Season synopses, character romance information, mythology, character profiles, image gallery, and a page dedicated to creator Naoko Takeuchi.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/G", "url": "http://guardianpluto0400.tripod.com/"} +{"d:Title": "Heavenly Senshi", "d:Description": "Character profiles, video clips, and images of Haruka and Michiru.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/H", "url": "http://www.angelfire.com/wv/thegirlzside/"} +{"d:Title": "Jupiter Moon's Room", "d:Description": "Downloads include attacks, transformations, and music. Images, profiles, episode guide, fan fiction, message board, chat, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/J", "url": "http://www.angelfire.com/md/jupitermoon/"} +{"d:Title": "Jupiter's Thunder Dragon", "d:Description": "Character profiles and information, episode, manga, and movie guides, quizzes, polls, and a Sailor Jupiter image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/J", "url": "http://www.bssm.sailordream.com/"} +{"d:Title": "Kasa No Miko's Pagoda Shrine To Sailor Moon", "d:Description": "Character profiles, image gallery, lyrics, fan art and a YKYWTMSMW list.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/K", "url": "http://www.angelfire.com/anime2/kasanomiko01/"} +{"d:Title": "Luna's Magical Kitty Site", "d:Description": "Pictures, profiles, sounds, animated gifs, and information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/L", "url": "http://www.angelfire.com/ct/sailorbubbles/"} +{"d:Title": "Luna's Sailor Moon Shrine", "d:Description": "MIDI files, links to images, fan art, character profiles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/L", "url": "http://luna81887.tripod.com/SailorMoonShrine/"} +{"d:Title": "Love And Justice: A Shrine To The Sailor Scouts", "d:Description": "Contains galleries, links, fan art, profiles, chat, reviews, MIDI music, HTML tutorials, and web graphics.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/L", "url": "http://www.angelfire.com/sc2/SailorMoon22/index.html"} +{"d:Title": "My Sailor Moon Page", "d:Description": "Mailing list, images, chatroom, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://www.angelfire.com/il2/sailorsaturnreene/index.html"} +{"d:Title": "Meteor Storm", "d:Description": "Character profiles, image galleries for all the sensh, lyrics, a quiz, fan fiction, and a \"Sailor Moon Mysteries\" section.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://karebu.tripod.com/"} +{"d:Title": "My Very Strange Sailor Moon Page", "d:Description": "Images, poll, MP3, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://www.angelfire.com/anime/sailormoon500/"} +{"d:Title": "Miracle Romance", "d:Description": "Manga summaries, character profiles, biography of creator Takeuchi Naoko, WinAmp skins, image gallery, fan art and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://mitsukaimegumi.tripod.com/"} +{"d:Title": "Moonlight Kiss", "d:Description": "Character information and image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://www.angelfire.com/music2/ayeka0fjurai/sailor_moon.html"} +{"d:Title": "Midnight In Crystal Tokyo", "d:Description": "Story, profiles, episode guide and FAQ.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://www.angelfire.com/anime3/crystaltokyo1/"} +{"d:Title": "Moon Crisis Make-Up", "d:Description": "Sprites, KISS dolls, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://www.angelfire.com/anime4/mooncrisis/"} +{"d:Title": "Moon Fashion Power", "d:Description": "Focuses on the characters clothing and accessories in both the anime and manga with reviews and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://sailorq.tvheaven.com/"} +{"d:Title": "Moon Prism Power", "d:Description": "Character profiles and images, series synopsis and personal insights.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/M", "url": "http://kronin.tripod.com/"} +{"d:Title": "Nix's SM Site", "d:Description": "Contains pictures and opinions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/N", "url": "http://www.angelfire.com/oh3/nixssmsite/"} +{"d:Title": "Night's Sailor Moon Page", "d:Description": "Images, character profiles, fan fiction, quotes and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/N", "url": "http://www.angelfire.com/la2/night1/index.html"} +{"d:Title": "Nichelle's Sailor Moon Page", "d:Description": "Includes fan art, picture slides, SM links, and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/N", "url": "http://www.angelfire.com/me2/SMsmallady/index.html"} +{"d:Title": "Nova Star's Sailor Moon Page", "d:Description": "Character profiles, images, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/N", "url": "http://www.angelfire.com/in/Starspage/"} +{"d:Title": "New Beginnings Again", "d:Description": "Image gallery, list of voice actors, Prince Diamond shrine, fan interviews, and convention schedule.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/N", "url": "http://newbeginningsagain.faithweb.com/"} +{"d:Title": "Obsessive Ramblings", "d:Description": "Articles and commentary on the characters, along with fan fiction and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/O", "url": "http://www.angelfire.com/anime/discosenshi/"} +{"d:Title": "Pretty Silver Sailormoon", "d:Description": "Images, multimedia, how to draw Usagi, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/P", "url": "http://www.angelfire.com/mn2/sailormoon1/"} +{"d:Title": "Prince Pisces's Aqua Kingdom", "d:Description": "Contains news and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/P", "url": "http://www.angelfire.com/anime2/scout/index.html"} +{"d:Title": "Princess Serena's Sailor Moon", "d:Description": "Fan fiction, music, animations, adoption center, image gallery, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/P", "url": "http://www.angelfire.com/fl4/sailormoon/"} +{"d:Title": "Princess Serene's Page Of Sailor Moon And Stuff", "d:Description": "Character profiles, images, and links to webrings.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/P", "url": "http://www.angelfire.com/ak3/AngelBabeSerene/"} +{"d:Title": "Ptilol's Sailor Moon Shrine", "d:Description": "Contains images, song lyrics, and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/P", "url": "http://www.angelfire.com/moon/ptilol/"} +{"d:Title": "Possession", "d:Description": "News, scanned images and videos.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/P", "url": "http://three-lights.net/"} +{"d:Title": "Rose's Sailor Moon Fantasy", "d:Description": "Images and character information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/R", "url": "http://www.angelfire.com/mo/sailormoon5/"} +{"d:Title": "Realm of the Senshi", "d:Description": "Profiles, general information, images and a poll.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/R", "url": "http://www.angelfire.com/anime/outerplustwo/index.html"} +{"d:Title": "Rae's Kawaii Sailor Moon Site", "d:Description": "Anime and manga image galleries, character profiles, links, and an episode list of both the dubbed and original episodes.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/R", "url": "http://stpgurl4747.tripod.com/RaeSailorMoon.html"} +{"d:Title": "The Sailor Moon Giga Zone", "d:Description": "Focused on the Latin American dub. Wave files, minor character profiles, animated gifs, complete multilingual voice actor list, Witches 5 shrine and merchandise from Mexico.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/nm/MoonGigaZone/"} +{"d:Title": "Silversenshi.net", "d:Description": "Basic information, fan fiction, uranus and neptune character shrines, role playing games, and character shrine group.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.silversenshi.net/"} +{"d:Title": "Sailor Moon Stars", "d:Description": "Includes thumbnailed images, MIDI files, lyrics, surveys, award applications, chat room, humor, character information, fan art, storyline, mythology, free web graphics, postcards, web ring, fan fiction, and sorted links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/ca4/smstars/"} +{"d:Title": "Sailor Moon Universe", "d:Description": "Character profiles, online jukebox music, midis, merchandise, summaries, FAQ, episode list, horoscopes, quiz, virtual postcards, webring, forum, news, chat, paper dolls, and ASCII art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.projectanime.com/sailormoon/"} +{"d:Title": "The Silver Crystal Palace Of Sailor Moon", "d:Description": "Fan art, images, information, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.50megs.com/scpalace/"} +{"d:Title": "Senshi Frenzy", "d:Description": "Contains main character profiles and a few images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://prettyprincessserena.tripod.com/"} +{"d:Title": "Serena's Rabbit Hole", "d:Description": "Episode list, season summaries, fan fiction, fan art, images, music, videos, lyrics, manga, scripts and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime/smsilverpalace/"} +{"d:Title": "The Sailor Sanctuary", "d:Description": "Contains MIDIs, images, translations, episode lists, quizzes, fan fiction, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime/sailormoonsanctuary/"} +{"d:Title": "Sera Muun Senshi", "d:Description": "Information, profiles, lyrics, downloads, sounds, movie clips, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime2/BishoujoSenshiSM/"} +{"d:Title": "Senshi Visions", "d:Description": "Profiles, images, recipes, fan art, sounds, and MIDIs.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://n64genius.tripod.com/SailorMoon.html"} +{"d:Title": "The Sailor Moon Aura", "d:Description": "Character information, multimedia, lyrics, images, episode summaries, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime2/sailoraura/"} +{"d:Title": "The Saturn Realm", "d:Description": "Images and character statistics.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/tn2/sailorsoldier/"} +{"d:Title": "Sailor Moon's Sailor Senshi Heaven", "d:Description": "Images, fan fiction, profiles, manga, and an rpg club.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime3/senshiheaven/"} +{"d:Title": "Sailor Ami's Sailor Senshi Paradise", "d:Description": "Japanese translations, profiles, pictures, fan fiction, fan art, quotes, web graphics, and polls.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://sailorami14.tripod.com/index.htm"} +{"d:Title": "Sailor Ice's Magical Kingdom", "d:Description": "Includes website layouts, lyrics, profiles for unique characters, and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/co3/SailorIce/"} +{"d:Title": "Sailor Stars", "d:Description": "Season summaries, Japanese and English lyrics, character profiles, animated GIFs, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://sailorstarlili3000.tripod.com/Sailorstars3000/"} +{"d:Title": "Sailor Moon World", "d:Description": "Basic character profiles, pictures, fan fiction, chat room, and poll.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime2/PlutoStarPower/"} +{"d:Title": "A Starry Midnight", "d:Description": "Role playing, polls, fan works, picture gallery, general information, adoptions, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://midnightselenity.tripod.com/"} +{"d:Title": "Sailor Moon Universe", "d:Description": "MIDI files, video clips, image galleries sorted by character, fan fiction, and movie reviews.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/la/darianknight/"} +{"d:Title": "Sailor Moon And Sailor Sun's Site", "d:Description": "Character profiles, fan art and fiction, image galleries, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime2/sunsenshi/"} +{"d:Title": "The Senshi Of Serenity", "d:Description": "Character profiles, images, fan fiction, quiz, purity tests, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime3/senshiofserenity/"} +{"d:Title": "The Sailor Moon Retreat Center", "d:Description": "Image galleries, character profiles, role playing game, and chat room.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://babysaturn.tripod.com/"} +{"d:Title": "Sailormoon Sekai", "d:Description": "Images of the inner and outer senshi, fan art and fiction, an RPG, quizzes, animated GIFs, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://karei.animenut.com/"} +{"d:Title": "The Sailor Moon Universe", "d:Description": "Images sorted by character, fan art, and animated GIFs.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/stars3/sailormoon6/"} +{"d:Title": "Sailor Pluto's Gate Of Time", "d:Description": "Character galleries, fan fiction, character profiles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/cantina/victoriawi/"} +{"d:Title": "Sailormoon's Sailor Senshi Site", "d:Description": "Images, animated GIFs, backgrounds, song lyrics, astrology, Winamp skins, and shrines to Saturn and Uranus.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/anime3/senshi0/main.html"} +{"d:Title": "Sailor Senshi's Cosmic Moon Page", "d:Description": "Pictures, profiles, lyrics, club, awards, RPG, fan fiction, merchandise information, and create-your-own-senshi page.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://www.angelfire.com/yt/2108/index2.html"} +{"d:Title": "Sailor Sirius' Crystal Tokyo", "d:Description": "Profiles, shrines, pictures, lyrics, Dead Moon Circus, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://sailorsirius.tripod.com/"} +{"d:Title": "Sailor Gnownaym's Fave Cartoon: Sailor Moon", "d:Description": "Character information, fan art, and a fan club.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://gnownaym.tripod.com/"} +{"d:Title": "ScubiDivr3's Sailor Moon Webpage", "d:Description": "Character profiles, MIDI files, sound clips, image gallery, song lyrics, calendar, and skins.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://scubidivr3.tripod.com/"} +{"d:Title": "The Subversive Pomegranate: Sailor Moon", "d:Description": "Commentaries on each character, guide to writing fan fiction, Delenn (from Babylon 5) versus Usagi.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://hahpa.tripod.com/sm/index.html"} +{"d:Title": "The Silver Millenneum Sailor Moon Page", "d:Description": "Character profiles (good and evil), and an image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://squll45.tripod.com/index.htm"} +{"d:Title": "Shrine Of The Senshi", "d:Description": "Profiles for major and minor characters, episode guide, MP3 clips, MIDI files, and image galleries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/S", "url": "http://smshrineofthesenshi.tripod.com/index2.htm"} +{"d:Title": "A Thousand Words", "d:Description": "Poetry, quotes, lyrics, fan fiction, images, humor, links, and web graphics.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/T", "url": "http://members.tripod.com/rosie_lynn/"} +{"d:Title": "Ty-Rose's Sailor Shrine", "d:Description": "Profiles, images and lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/T", "url": "http://www.angelfire.com/ak3/bishoujosenshi/"} +{"d:Title": "Usagi M.P.'s Sailor Moon Site", "d:Description": "Character information, links, forum, image gallery, manga scans, lyrics and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/U", "url": "http://members.tripod.com/~Usagi_MoonPrincess/"} +{"d:Title": "Warriors for Eternity", "d:Description": "MIDI files, image gallery and character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/W", "url": "http://angelfire.com/hi2/warriorsforeternity"} +{"d:Title": "The Watcher Chronicles", "d:Description": "Character profiles for the first two seasons, along with fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Pages/W", "url": "http://members.tripod.com/watcher_6/"} +{"d:Title": "Sailor Starfrenzy's Theatre", "d:Description": "Fan art and fiction based on site owner's own character designs.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works", "url": "http://crystalfrenzy.tripod.com/"} +{"d:Title": "Dayna-chan's Sailor Moon Fan-fic Page", "d:Description": "Contains fan fiction and some fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works", "url": "http://fnwcartoons.tripod.com/SMFanFic/SMHome.html"} +{"d:Title": "DLTK's Sailor Moon Section", "d:Description": "Crafts, coloring pages, online jigsaw puzzles, greeting cards, and paper dolls.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works", "url": "http://www.dltk-kids.com/anime/sailormoon.html"} +{"d:Title": "Pandora's Box", "d:Description": "Fan fiction and art based on the memoirs of Chiba Mamoru/Tuxedo Kamen.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works", "url": "http://webhome.idirect.com/~pandora1sama/"} +{"d:Title": "Bunni's Sailor Moon Page", "d:Description": "Images, midis, fan art and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works", "url": "http://www.angelfire.com/anime2/bsmp/index.html"} +{"d:Title": "Sailor Black Wings' Haven", "d:Description": "Artwork, character profiles, and fiction featuring a new sailor senshi.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works", "url": "http://www.angelfire.com/anime4/sblackwings/"} +{"d:Title": "The Sacred Moon Crystal Palace", "d:Description": "Fan comics, fanart and fanfics by Jet_Lunarskye.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works", "url": "http://moonsilvercrystal.50megs.com/"} +{"d:Title": "Through The Eyes Of Setsuna", "d:Description": "Images of a cosplayer as Sailor Pluto, Otakon 2002 report, and personal profile.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Costumes_and_Cosplay", "url": "http://www.angelfire.com/anime4/throughtheeyes/"} +{"d:Title": "Ai no Miracle Senshi", "d:Description": "A Sera Myu cosplay group that attends West Coast cons. Contains member profiles, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Costumes_and_Cosplay", "url": "http://www.angelfire.com/anime4/ainomiraclesenshi/"} +{"d:Title": "Outer Power", "d:Description": "Cosplaying group focusing on the Outer Senshi. Contains some pictures, staff profiles, convention reports, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Costumes_and_Cosplay", "url": "http://www.angelfire.com/anime4/outerpower/"} +{"d:Title": "Cosplay Cassie", "d:Description": "Contains images and fanart representing Sailor Earth.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Costumes_and_Cosplay", "url": "http://www.cosplaycassie.com/"} +{"d:Title": "Non-pekkle Related Art", "d:Description": "Pencil sketches of the senshi.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Art", "url": "http://www.angelfire.com/on/ahirunopekkle/draw.html"} +{"d:Title": "Satan's House Of Bad Art", "d:Description": "Original and fan art, manga images, and webpage accessories.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Art", "url": "http://ladyphoenix9.tripod.com/index.html"} +{"d:Title": "Caroline's Fan Scouts", "d:Description": "Free customized Sailor Scouts, includes image and character description.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Art", "url": "http://www.angelfire.com/ny4/caggy/"} +{"d:Title": "Michiru's Scrapbook", "d:Description": "Photo-style drawings of the outer senshi by Lady Grizabella.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Art", "url": "http://www.angelfire.com/anime4/setsuna_meioh/"} +{"d:Title": "KATA - Revalations", "d:Description": "Features the artwork of two artists, also contains original work.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Art", "url": "http://katarev.tripod.com/index02.html"} +{"d:Title": "SailormoonFanart.net", "d:Description": "A selective collection of Sailormoon related fanart, sorted by artist, with over 50 galleries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Art", "url": "http://www.sailormoonfanart.net/"} +{"d:Title": "Sailor-S Productions: Fandubbing", "d:Description": "Project to dub Sailor Moon R: The movie with audition calls and progress report. Also includes lyrics and a music video.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Dubbed", "url": "http://www.angelfire.com/anime3/sailor-s/"} +{"d:Title": "Bishoujo Senshi Sailormoon: Gemstone", "d:Description": "The Housho senshi leave their planet after rebelling, taking the princess with them. Includes character profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/B", "url": "http://houshosenshi.tripod.com/welcome.html"} +{"d:Title": "Clarity", "d:Description": "Introduction, character profiles, background information, illustrations, lyrics and links for an otaku based work.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/C", "url": "http://www.kurai.com/clarity/"} +{"d:Title": "Dr. Victor Von Doom's Sailor Moon Crossover Madness", "d:Description": "Crossovers with Spiderman and other Marvel characters.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/D", "url": "http://www.quik.guate.com/mcdonald/fanfics/"} +{"d:Title": "Iruka's Sailormoon Fanfiction", "d:Description": "Dedicated to fan fiction, also includes an RPG.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/I", "url": "http://www.angelfire.com/anime2/iruka/index.html"} +{"d:Title": "Moonlit Rose - A Sailor Moon Fiction Archive", "d:Description": "Collected works for various authors, organized by title, author, and season. Accepts submissions. [Requires JavaScript]", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/M", "url": "http://www.sailormoonfiction.com/"} +{"d:Title": "The Moon Kingdom Archives", "d:Description": "Works sorted by author, guidelines, submissions and list of new stories.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/M", "url": "http://www.angelfire.com/anime/moonkingdomarchives/"} +{"d:Title": "Princesses of the Dark Kingdom", "d:Description": "A fanfic about the children of the Dark Kingdom generals with the Inner Senshi: Emily Kunzite, Juliana Jadeite, Grace Nephrite, Blossom Zoisite, and Heliodor. Divided by chapters and includes some fanart.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/P", "url": "http://members.tripod.com/emily_kunzite/"} +{"d:Title": "Sailor Sun's Sailor Moon Fan Page", "d:Description": "Contains one short story about the death of the Moon Kingdom.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://sailorariasun.tripod.com/"} +{"d:Title": "Sailor Constellations", "d:Description": "Gathering of stories by various authors. Spin-off fiction and cross-overs with other anime series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://www.angelfire.com/anime2/newconstellations/index.html"} +{"d:Title": "Sailor Moon CH Home", "d:Description": "Fictional follow-up to the R series, takes place before the start of \"S\".", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://www.angelfire.com/or/ocg/index.html"} +{"d:Title": "Sailor Moon - The Fanfiction Adventures Of The Senshi", "d:Description": "Story set after the ending of Sailormoon S.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://members.tripod.com/~a_jwhite/"} +{"d:Title": "Sagundra", "d:Description": "Crossover stories including Dragonball Z and Gundam Wing, as well as character likenesses and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://sagundra.tripod.com/"} +{"d:Title": "A Sailor Moon Romance", "d:Description": "Archive of fiction. Accepts submissions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://www.moonromance.net/"} +{"d:Title": "The Sailormoon Millenia Trilogy", "d:Description": "Fan fiction trilogy dating from 1995. Contains detailed descriptions of the characters in each story along with fan-contributed poetry and artwork.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://sailormoon-millennia.net/"} +{"d:Title": "The Silent Voice", "d:Description": "Fan fiction by DJ and Jewelle. Separated by author.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://djlesser.tripod.com/"} +{"d:Title": "Saint Sailormoon", "d:Description": "Fiction based on Chibi Usa's future.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/S", "url": "http://saintsailormoonfic.tripod.com/"} +{"d:Title": "A Very Tiny Sailor Moon Site", "d:Description": "Alternate-universe story titled: \"The search for the Moon Princess.\" Other works, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Fan_Works/Fan_Fiction/V", "url": "http://home.earthlink.net/~nomuse/moonpages/sailordex.html"} +{"d:Title": "Mr. T vs Chibi Moon", "d:Description": "Mr. T takes on the Chibi-Usa.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Humor", "url": "http://www.angelfire.com/anime2/mrtvsrenie/"} +{"d:Title": "Sailor Spork's Sailor Moon Obsession Page", "d:Description": "12 steps to recovery, obsession test and daily affirmations.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Humor", "url": "http://sailorspork.8m.com/"} +{"d:Title": "Sailor Boom", "d:Description": "Focusing on spoofs, Haruka, and pancakes.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Humor", "url": "http://www.members.tripod.com/sailorboom/"} +{"d:Title": "Sailor Moon Bingo", "d:Description": "Bingo board. Squares contain occurrences from the dubbed series (ie. sweat drop, vein, Moon Tiara Magic).", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Humor", "url": "http://www.angelfire.com/ri/lance2/luno.html"} +{"d:Title": "The Real Sailor Moon Exposed", "d:Description": "Parody called \"Sailor Food\", with character profiles and storylines, as well as crossovers.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Humor", "url": "http://www.angelfire.com/anime2/sailormoonexposed/"} +{"d:Title": "Nightrose's Sailor Moon Corner", "d:Description": "Images sorted by character. Also contains an RPG, fan fiction, polls and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.angelfire.com/al/awall/"} +{"d:Title": "Sailor Moon Gallery", "d:Description": "Thumbnailed gallery of various characters.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.geniac.net/sailormoon/"} +{"d:Title": "Anime Galleries - Sailor Moon", "d:Description": "Over 1300 thumbnailed pictures divided by character, along with an automatic avatar and AOL buddy icon maker.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.animegalleries.net/index.php?cat=5"} +{"d:Title": "My Sailor Moon Site", "d:Description": "Image gallery divided by character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.angelfire.com/comics/morganabanana22/"} +{"d:Title": "Bunny's Sailor Moon Cristal Of Love", "d:Description": "Small gallery with images mainly of Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://bunnys_sailormoon.tripod.com/"} +{"d:Title": "Bunni's Big Chibi Chibi Gallery", "d:Description": "Large gallery of Chibi Chibi images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.angelfire.com/on/chibichibi/index.html"} +{"d:Title": "A Breeze Of Thunder - Sailor Moon Image Galleries", "d:Description": "Currently has over 1000 images, all thumbnailed and categorized.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.ladyjupiter.htmlplanet.com/"} +{"d:Title": "Seiya's World", "d:Description": "Contains various galleries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://juri11.tripod.com/"} +{"d:Title": "Sailor X's Sailor Moon Palace", "d:Description": "Manga and anime images sorted by type.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.angelfire.com/ab3/sailorx/"} +{"d:Title": "Starlit Soldiers", "d:Description": "Contains images sorted by character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://the_starlights.tripod.com/"} +{"d:Title": "The Sailor Moon Temple", "d:Description": "Includes an image gallery divided by character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.angelfire.com/co/sailormoont/index.html"} +{"d:Title": "Silver Moon Refuge", "d:Description": "Contains images, fanart and assorted mp3s.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://paperleaves.net/silvermoonrefuge/"} +{"d:Title": "Usagi and Mamoru Picture Gallery", "d:Description": "Thumbnailed image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.angelfire.com/anime2/mahou/u_m.html"} +{"d:Title": "Usagi and Mamoru's Universe", "d:Description": "Anime pictures, manga scans, fan art and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.usagiandmamorusuniverse.com/"} +{"d:Title": "The Ultimate Sailor Moon Gallery", "d:Description": "Anime and manga images of Usagi and Minako.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.angelfire.com/anime4/ultimate-sm-gallery/"} +{"d:Title": "Bellusagi's SM Picture Archive", "d:Description": "Images organized by character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://bellusagi.tripod.com/"} +{"d:Title": "Farah's Sailormoon Image Centre", "d:Description": "Contains mainly superdeformed pictures.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://farahn.tripod.com/farah5SM2.htm"} +{"d:Title": "Sailormoon Page Of Pics And Such", "d:Description": "Several pages of image galleries. Has no apparent method of organization.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://aleshiah.tripod.com/"} +{"d:Title": "Sailor Moon Lunar Atmosphere", "d:Description": "Pictures of Sailor Moon memorabilia, a few screen captures, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://mercury298.tripod.com/index.html"} +{"d:Title": "Alita's Great Senshi Gallery", "d:Description": "Images sorted by character with profiles.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://prettyurd.stormloader.com/"} +{"d:Title": "Ken Arromdee's Home Page", "d:Description": "Contains the alt.fan.sailor-moon FAQ.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.rahul.net/arromdee/"} +{"d:Title": "Sailor Moon Resource", "d:Description": "Information, graphics, games, music downloads, quizzes, and adoptions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.sailormoon-resource.0catch.com/"} +{"d:Title": "Sailor Moon Infodex", "d:Description": "Freeware Windows program that provides information about characters in both the English dub and original Japanese series. Also includes episode summaries. Not all information is accurate, and some episodes lack sumarries.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://smgame.tripod.com/Infodex.html"} +{"d:Title": "Sailor Moon Resource Center", "d:Description": "Series overview, FAQs, translation information, air times and channels, theme songs, image gallery, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.angelfire.com/anime3/lunaball2000/"} +{"d:Title": "The Sailor Moon Rainbow Crystal Summary Page", "d:Description": "Synopsis of all the rainbow crystals, their carriers, and the shadow warriors. Mostly from a dubbed series perspective.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.kinless.com/anime/crystals.html"} +{"d:Title": "Sailor Moon Uncensored", "d:Description": "Details the censoring and altering done in the North American releases. Includes editorials, episode analyses, screen shots, and resources.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.smuncensored.com/"} +{"d:Title": "The Sailor Senshi Page", "d:Description": "Season summaries and characters, seiyuu, musical information, anime and manga contrasts, manga character guide and powers. Items and objects encyclopedia, rumors dispelled and multimedia.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.eternalsailormoon.org/"} +{"d:Title": "MoonPrincess.com", "d:Description": "Series information, the live action series, forum, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.moonprincess.com/"} +{"d:Title": "Moonkitty.net", "d:Description": "Contains weekly Sailor Moon news updates, anime and live action episode guides, DVD CD and manga shopping guides and reviews and attack information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://www.moonkitty.net/"} +{"d:Title": "Saturnchild's Sailormoon", "d:Description": "General information and \"attacks and transformations\" list.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Information", "url": "http://saturnchild.tripod.com/sailormoon.html"} +{"d:Title": "Sailor Moon Poster Have/Wants", "d:Description": "Image gallery of posters organized by those found and those wanted by the site owner.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise", "url": "http://www.angelfire.com/on/bryan/posters.html"} +{"d:Title": "Raving Toy Maniac Presents Sailor Moon", "d:Description": "Displays Irwin produced dolls and plushies.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise", "url": "http://www.toymania.com/features/irwin/irwintoy_moon.shtml"} +{"d:Title": "Crystal Valley", "d:Description": "Displays a personal collection of Japanese toys and cards with commentary.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise", "url": "http://crystal-valley.tripod.com/"} +{"d:Title": "Sailor Saturn Dolls and Toys", "d:Description": "A comprehensive site on Sailor Saturn merchandise, mostly of dolls and posters. Includes pictures and information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise", "url": "http://wargoddessofcrows.tripod.com/sailorsaturndollsandtoys/"} +{"d:Title": "Chiba Usagi's Cards", "d:Description": "List of wanted and extra Japanese series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://www.angelfire.com/anime2/SailorMoonCards/index.html"} +{"d:Title": "Crystal Tokyo's Millennium Mall", "d:Description": "Lists Japanese cards for trade. Includes extensive scans of obscure series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://www.angelfire.com/anime3/twinangel/milleniummall.htm"} +{"d:Title": "Princesses Of The Universe Trading Cards", "d:Description": "Graffiti, Carddass, Pull Pack, CCG series, and packaging for trade.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://onlyanangel.tripod.com/PrincessesCards.html"} +{"d:Title": "Sailor Moon Trading Cards", "d:Description": "A club devoted to trading, posting want lists, and answering questions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://groups.yahoo.com/group/sailormoontradingcards/"} +{"d:Title": "Phone Home Pod", "d:Description": "Perhaps the largest Sailor Moon phonecard collection east of the Pacific. Organized by manufacturer.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://podwall.tripod.com/phonehomepod/"} +{"d:Title": "Podwall's Completed Collections", "d:Description": "Scans of most Japanese series and all Cardzillion sets. Offers cards and oddball anime goodies. Accepts cards, phonecards, and cels in trade.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://podwall.tripod.com/podscompletedcollections/"} +{"d:Title": "Blue Moon", "d:Description": "Scans, information, and pricing guidelines for North American trading cards. Contains extras and wishlist for North American and Japanese series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://www.lethesbanks.com/Moon/"} +{"d:Title": "Jay's Sailor Moon Trading Card Page", "d:Description": "Lists traders, links, and wanted and spares. Also contains sample pictures of Japanese series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://pages.interlog.com/~snake/trade.html"} +{"d:Title": "Sailor Moon Card Gallery", "d:Description": "Ring for reference sites displaying scans.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://www.webring.org/hub?ring=sailormooncardga"} +{"d:Title": "Luna's Card-o-Rama", "d:Description": "Cardzillion, Dart, and Fifth Anniversary cards available for trade or sale.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Merchandise/Trading_Cards", "url": "http://lunaartems.tripod.com/Trade.html"} +{"d:Title": "Coolan's Moon", "d:Description": "Animated GIFs, image galleries, MIDI files, video clips, fan fiction and art, web graphics, and games. English and French versions. [Flash required]", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://coolanmoon.free.fr/"} +{"d:Title": "Shimmers in the Moonlight: Multimedia", "d:Description": "Images, music, videos, and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://members.tripod.com/~Dark_Angel666/multimedia.html"} +{"d:Title": "Bunny Hop", "d:Description": "Character profiles, screen savers, wallpaper, WinAmp skins, fan art, java games, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://www.angelfire.com/anime3/scoutsmoongallexy/"} +{"d:Title": "SailorMusic.net", "d:Description": "MP3 archive with songs from the series, live action musicals, background music, singles, fantasy and German CDs. Also MIDIs, lyrics, MP3 players and skins.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://sailormusic.net/"} +{"d:Title": "Sailor Universe's Sailor Moon Site", "d:Description": "Image gallery, MIDI files, sound clips, and episode downloads.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://sailormoonstuff.freeservers.com/"} +{"d:Title": "Moon Dream", "d:Description": "WAVs, AVIs, MIDIs, pictures, animations and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://www.angelfire.com/ns/moonpics7/valentine.html"} +{"d:Title": "The Sailor Moon Movie Clips page", "d:Description": "Contains many video clips from the english and japanese versions of the series. Optimized for dial up connections.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://smanimezone.tripod.com/"} +{"d:Title": "Sailor-Games.com", "d:Description": "Official games, free fan-made games and other activities, information, videos, forums, and oekaki community.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Multimedia", "url": "http://www.sailor-games.com/"} +{"d:Title": "SeraMyu: Second Stage Shrine", "d:Description": "Focuses on the 2nd Stage, with images, merchandise, videos and profiles.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Musicals", "url": "http://seramyu.tripod.com/"} +{"d:Title": "Sailormoon Musicals/Seramyu", "d:Description": "A detailed overview of the musicals, and information about each musical's cast and video/CD releases.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Musicals", "url": "http://eternalsailormoon.org/musicals/index.html"} +{"d:Title": "The Starlights of Sera Myu", "d:Description": "Information, images, and multimedia devoted to the Starlights' actresses in the live musicals.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Musicals", "url": "http://members.tripod.com/seramyu_kou/"} +{"d:Title": "The Lil' Temple Of Sailor Astarte", "d:Description": "General information and images from the musical character Sailor Astarte.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Musicals", "url": "http://artsandstuff.tripod.com/sailorastarte/"} +{"d:Title": "Musical Moon", "d:Description": "Lyrics, romanizations, and translations to the songs from all the current musicals.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Musicals", "url": "http://www.angelfire.com/musicals/musicalmoon/"} +{"d:Title": "Sailor Moon: The New Order", "d:Description": "The planet scouts are not strong enough to hold off the new evil which threatens and the moon scouts must be summoned. Contains: story synopsis, rules, joining information, a list of current characters, and a fan fiction archive.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying", "url": "http://www.angelfire.com/on3/blackflame/index.html"} +{"d:Title": "Sailors Of The New Millennium", "d:Description": "Storyline based in Los Angeles with original cast. Includes member profiles.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying", "url": "http://sotnmsim.tripod.com/"} +{"d:Title": "Unseens Sailor Moon RPG", "d:Description": "Offers original character or allows creation of personal ones. Taken characters and story information listed.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Chat", "url": "http://www.angelfire.com/hi3/smoonrpg/"} +{"d:Title": "Lunar: Blue Oblivion", "d:Description": "Storyline information, characters, image gallery, and members available.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/E-mail", "url": "http://pokedem.tripod.com/"} +{"d:Title": "Sailor Moon: A New Beginning", "d:Description": "Based on the episode: \"A new beginning.\" Contains current storyline, character list, future plotline, how to join, and an image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/E-mail", "url": "http://shadesofthepast.8m.com/"} +{"d:Title": "Crystal Tear", "d:Description": "E-mail RPG that takes place after the fall of Galaxia. Includes storyline, character list, links, and a members only section.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/E-mail", "url": "http://www.angelfire.com/anime3/ebs/index.html"} +{"d:Title": "Crystal Palace RPG", "d:Description": "Takes place in the 31st century involving the origianl cast and several new faces. Story archive, synopsis, characters and availability, rules, profiles, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Message_Board", "url": "http://www.cprpg.com/"} +{"d:Title": "Ribbons", "d:Description": "In the future, a new enemy appears hungry for the power of Saturn. Enter the Lapillus senshi, in a race against time to collect all nine pieces of the Shinki. Story, rules, characters, and application.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Message_Board", "url": "http://saturnribbons.tripod.com/"} +{"d:Title": "Bunny's Sailor Moon RPG", "d:Description": "A long time running Sailor Moon RPG where anything goes. Made-ups and regular characters welcome.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/bunnyssailormoonrpg/"} +{"d:Title": "Princess Kaguya's Sailormoon RPG", "d:Description": "Set in the universe of the Sailor Moon S movie. Played via the message board.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/princesskaguyassailormoonrpg/"} +{"d:Title": "Puu's Sailor Moon RPG", "d:Description": "Now accepting character applications. Besides the Yahoo! club, visitors can also take a link to the separate RPG club web page to learn more about it.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/puussailormoonrpg/"} +{"d:Title": "Queen Serenity's SailorMoon RPG", "d:Description": "Still accepting applications. Site features a message board, members list, and a calendar.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/queenserenityssailormoonrpg/"} +{"d:Title": "Sailor Moon Dub RPG", "d:Description": "Based on the English Dubbed version of the series. Good and evil characters are still open.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/sailormoondubrpg/"} +{"d:Title": "Sailor Moon Pegasus Chapter RPG", "d:Description": "Members can roleplay and participate in the clubs contests.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/sailormoonpegasuschapterrpg/"} +{"d:Title": "Sailor Moon Powerpuff Girls RPG", "d:Description": "Sailor Moon and Powerpuff Girls crossover RPG. Play as either a Sailor Moon or Powerpuff Girls character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/sailormoonpowerpuffgirlsrpg/"} +{"d:Title": "The Destiny Of The Moon", "d:Description": "Characters, biography template, and rules.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://www.angelfire.com/anime4/sailormercurywater/TheDestinyoftheMoon"} +{"d:Title": "The Seekers", "d:Description": "Sailor Moon RPG for serious, mature players. There is and will be character death and gore.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://phoenix.greatnow.com/"} +{"d:Title": "The Neo Sailor Senshi", "d:Description": "Story takes place after Sailor Stars featuring the Neo Senshi that protect the 5th dimension. Contains rules, character profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://sailor_sol_phyre.tripod.com/"} +{"d:Title": "Senshi And Dragons", "d:Description": "Two worlds collide: Sailor Moon meets DBZ with action and romance.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/senshianddragons/"} +{"d:Title": "Crystal Palace in the Sky", "d:Description": "Story based on where the future will lay for the Senshi and plot focus on their children. Uses official and fanmade characters.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Roleplaying/Yahoo_Groups", "url": "http://groups.yahoo.com/group/crystalpalaceinthesky/"} +{"d:Title": "Project HELP", "d:Description": "Gives HTML and web design assistance to website owners who request it.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Webpage_Assistance", "url": "http://smoonhelp.tripod.com/"} +{"d:Title": "Adopt-a-bikki", "d:Description": "Moon kitten image adoptions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Webpage_Assistance/Adoption_Centers", "url": "http://www.angelfire.com/on/mewbikki/"} +{"d:Title": "Shrine Of The Senshi Pet Adoption Center", "d:Description": "Provides the adoption of senshi, villains, and minor characters.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Webpage_Assistance/Adoption_Centers", "url": "http://senshipets.tripod.com/"} +{"d:Title": "Lady Jupiter's Webpage Stuff", "d:Description": "General images and graphics, layouts, FAQ and useful links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Webpage_Assistance/Graphics", "url": "http://ladyjupiter.freeservers.com/webpage.htm"} +{"d:Title": "Cooki0005's Sailor Moon Graphic Shop", "d:Description": "Collection of banners, buttons, backgrounds, animated GIFs, fonts and PC wallpaper.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Webpage_Assistance/Graphics", "url": "http://cooki0005.tripod.com/"} +{"d:Title": "Sailor Moon Universe Webring", "d:Description": "Over 45 listings. Contains HTML fragment and rules.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=nmoon"} +{"d:Title": "Ring of Eternal Sailor Moon", "d:Description": "Over 25 listings. For sites dedicated to the Moon family.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=eternalsailormoon"} +{"d:Title": "Another Sailor Moon Ring", "d:Description": "Open to any site devoted to the series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=asmring"} +{"d:Title": "Beautiful Neptune's Ring Of Sailormoon", "d:Description": "For Sailor Uranus and Neptune shrines.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=neptunering"} +{"d:Title": "Crystal Moon Webring", "d:Description": "Includes an HTML fragment and lists sites with content on all characters.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=crystalmoon"} +{"d:Title": "The Dark Dome Close Ring", "d:Description": "For sites with any anime content, but must focus on Sailor Moon.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=sailorpluto"} +{"d:Title": "Galaxy of Bishoujo Senshi Sailor Moon", "d:Description": "For general pages. Over 20 sites listed.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=angelsenshi"} +{"d:Title": "Guardian Of The Sailor Scouts Ring", "d:Description": "Over 260 listings. Supports the 'Save Our Sailors' campaign.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=guardian"} +{"d:Title": "The Sailor Moon Manga Webring", "d:Description": "Over 20 sites listed. For sites with information on the manga version.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=smoonmanga"} +{"d:Title": "Melon Sailor Moon Ring", "d:Description": "Over 10 sites listed.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=melon"} +{"d:Title": "Remembrance of Sailor Moon", "d:Description": "Devoted to promoting and preserving the series in North America.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=sailorx"} +{"d:Title": "Ring Around The Sun", "d:Description": "For any site related to the series in either the anime, manga or doujinshi form.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=jupe"} +{"d:Title": "The Ring Of The Silver Millennium", "d:Description": "Over 10 sites. Contains rules and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=millenium"} +{"d:Title": "Sailor Moon Is Here To Stay", "d:Description": "Over 20 sites listed. Contains HTML fragments and rules.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=sailorslive"} +{"d:Title": "The Sailor Moon Lovers Ring", "d:Description": "Over 15 sites listed. Contains HTML fragment and rules.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=smlovers"} +{"d:Title": "Sailor Moon Now And Forever Ring", "d:Description": "Over 70 listings. Contains rules, HTML fragments and listing and member list editor for members.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=moon16"} +{"d:Title": "Sailor Moon Ring Of Beauty", "d:Description": "Over 5 listings. Dedicated to the series in general.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=msanctuary"} +{"d:Title": "Sailor Moon Ring Of Eternal Peace", "d:Description": "Over 25 listings. Contains rules and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=moonies"} +{"d:Title": "Sailor Moon Ring Of Harmony", "d:Description": "Contains over 40 listings and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=hany"} +{"d:Title": "The Sailor Moon Ring Of Superb Sailor Moon Webrings", "d:Description": "Ring for other webrings. Over 10 listings. Contains HTML fragment and rules.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=smrings"} +{"d:Title": "The Sailor Moon Supers Ring", "d:Description": "Over 15 listings. Contains HTML fragment and rules.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=diana"} +{"d:Title": "Serenity Ring Of Power", "d:Description": "Over 10 listings. Contains rules and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=serenitypower"} +{"d:Title": "Sailormoon Heaven Ring", "d:Description": "Over 20 sites, HTML fragments and rules.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub?ring=sailorring"} +{"d:Title": "The Original Sailor Moon Ring", "d:Description": "Directory of sites on the subject. Contains HTML fragment and guidelines.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub/sailormoon"} +{"d:Title": "Sailor Moon Music WebRing", "d:Description": "Any page with a Sailor Moon sounds section can join.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub/smsound"} +{"d:Title": "Sailor Moon Ring for Senshi Lovers", "d:Description": "Contains sites about the character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings", "url": "http://www.webring.org/hub/sailormoonringfo"} +{"d:Title": "Sailor Moon Treasure Hunt", "d:Description": "Ring for sites that give out awards.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Awards", "url": "http://www.webring.org/hub?ring=sailormoonthunt"} +{"d:Title": "Sailor Scout Award Ring", "d:Description": "Rules, HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Awards", "url": "http://www.webring.org/hub?ring=scoutawd"} +{"d:Title": "Save Our Sailors Campaign Webring", "d:Description": "Terms and conditions, introduction, how to join, HTML fragment, over 150 sites.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Campaigns", "url": "http://www.webring.org/hub?ring=soswebring"} +{"d:Title": "The Chibi-Chibi Lovers Ring", "d:Description": "Over 10 sites devoted to the character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Chibi-Chibi", "url": "http://www.webring.org/hub?ring=chibichibifans"} +{"d:Title": "Project CURE Webring", "d:Description": "Anti-Chibi Usa sites are listed here.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Chibi-Usa", "url": "http://www.webring.org/hub?ring=projcure"} +{"d:Title": "WebRing: Chibiusa's Kawaii Pink", "d:Description": "Rules, HTML fragment and member list.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Chibi-Usa", "url": "http://www.webring.org/hub/chibichi"} +{"d:Title": "The Beautiful World of Sailor Moon Fan Club Webring", "d:Description": "Over 10 sites.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Clubs", "url": "http://www.webring.org/hub?ring=nmoon"} +{"d:Title": "The Obsessed Sailor Moon Fan Club Webring", "d:Description": "HTML fragment, club information, over 230 sites.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Clubs", "url": "http://www.webring.org/hub?ring=obsessedfc"} +{"d:Title": "Haruka and Michiru: The Wind and the Sea Webring", "d:Description": "How to join and HTML fragment. For sites dealing with the title characters. Over 40 listings.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Couples", "url": "http://www.webring.org/hub?ring=michiruka"} +{"d:Title": "Ring of Serena and Darien", "d:Description": "For sites devoted to the couple.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Couples", "url": "http://www.webring.org/hub?ring=darienserena"} +{"d:Title": "The Ring of Usagi and Mamoru", "d:Description": "Around 60 sites listed.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Couples", "url": "http://www.webring.org/hub?ring=be83398"} +{"d:Title": "WebRing: The Sailors International Continuum of Imagination", "d:Description": "A group of sites devoted to the stories, artwork, original senshi, and other fan works.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Fan_Works", "url": "http://www.webring.org/hub/sicoi"} +{"d:Title": "WebRing: Sailor Moon Fanfic Pages Unite", "d:Description": "A ring uniting fan-fiction pages on the series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Fan_Works", "url": "http://www.webring.org/hub/ficunite"} +{"d:Title": "WebRing: Sailor Moon Fan Fiction", "d:Description": "Devoted to sites that display fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Fan_Works", "url": "http://www.webring.org/hub/smficring"} +{"d:Title": "Sailor Moon Ring of Fan Characters", "d:Description": "For fans who have created their own characters.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Fan_Works", "url": "http://www.webring.org/hub/fanatic"} +{"d:Title": "Society For the Promotion of Mars", "d:Description": "A club against Sailor Mars \"hate\" sites. Includes personal opinions, a member list, images, and awards.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://sailormarsclub.tripod.com/"} +{"d:Title": "WebRing: Sailor Mercury", "d:Description": "Listing member's pages.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/123445679"} +{"d:Title": "Goddess Minako Ring of Love and Beauty", "d:Description": "For fans of the character or of the series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/starspec"} +{"d:Title": "Sailor Jupiter Ring of Friendship", "d:Description": "Ring for Sailor Jupiter. Site listings and membership details.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/jupiterring"} +{"d:Title": "WebRing: Sailor Jupiter Sites", "d:Description": "Listings related to Sailor Jupiter.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/jupiter"} +{"d:Title": "WebRing: Raimei no Megami", "d:Description": "Ring for listings related to Sailor Jupiter and the series in general.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/reverence"} +{"d:Title": "WebRing: Sailor Mars", "d:Description": "Sites should focus on Sailor Mars; other related sites are accepted.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/blaise"} +{"d:Title": "WebRing: Supreme Goddess Anime League", "d:Description": "For sites about anime in general.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/sgreihino"} +{"d:Title": "WebRing: Ring Of Sailor V", "d:Description": "Listings devoted to the five Inner Senshi; Sailors Moon, Mercury, Mars, Jupiter and Venus.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Inner_Senshi", "url": "http://www.webring.org/hub/inner5"} +{"d:Title": "WebRing: Sailor Saturn - The Violet Firefly", "d:Description": "For sites devoted to Sailor Saturn.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Outer_Senshi", "url": "http://www.webring.org/hub/sailorsaturnthev"} +{"d:Title": "Sailor Neptune's Aqua Circlet", "d:Description": "For sites related to the character.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Outer_Senshi", "url": "http://www.webring.org/hub/michiru"} +{"d:Title": "Ring of the Outer Senshi - The Original Outer Senshi Ring", "d:Description": "Sites must deal with at least one of the Outer Senshi.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Outer_Senshi", "url": "http://www.webring.org/hub/cella"} +{"d:Title": "Sailor Moon and Friends", "d:Description": "Focused on pages about Pluto.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Outer_Senshi", "url": "http://www.webring.org/hub/setsuna"} +{"d:Title": "Ring Of Eternal Sailor Moon", "d:Description": "For any site about the series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Outer_Senshi", "url": "http://www.webring.org/hub/eternalsailormoon"} +{"d:Title": "Sailor Saturn Ring Of Silence", "d:Description": "Primarily for pages about Saturn.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Outer_Senshi", "url": "http://www.webring.org/hub/rosilence"} +{"d:Title": "The SailorSenshi RPG Ring", "d:Description": "HTML fragment, rules and member list.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Roleplaying", "url": "http://www.webring.org/hub/senshirpg"} +{"d:Title": "Bishoujo Senshi Sailormoon Ring of SM RPG Games", "d:Description": "For sites about Sailor Moon RPGs.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Roleplaying", "url": "http://www.webring.org/hub/anotherstory"} +{"d:Title": "WebRing: The Sailor Moon Ring of Seiya", "d:Description": "For fans of Seiya Kou or his senshi henshin, Sailor StarFighter as well as general Bishoujo Senshi SailorMoon sites.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Sailor_Starlights", "url": "http://www.webring.org/hub/seiyachan"} +{"d:Title": "The Sailormoon and Sailorstars Webring", "d:Description": "Membership and HTML information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Sailor_Starlights", "url": "http://www.webring.org/hub/starsring"} +{"d:Title": "WebRing: Sailor Galaxia Ring Of Gold", "d:Description": "Listings of evil senshi and Sailor Galaxia. Membership and HTML information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Villains", "url": "http://www.webring.org/hub/galaxia"} +{"d:Title": "BSSM Villains Online", "d:Description": "Listings include the Dark Kingdom, Black Moon family, and Dead Moon Circus. Joining information and membership details.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sailor_Moon/Web_Rings/Villains", "url": "http://www.webring.org/hub/vesves"} +{"d:Title": "Death Mask Home Page - Saint Seiya", "d:Description": "Character profiles, information, images, and mp3 files. [English and Italian]", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya", "url": "http://www.saint-seiya.it/"} +{"d:Title": "Mu's Corner", "d:Description": "Fan fiction, articles, song lyrics, picture gallery, and a games guide.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya", "url": "http://philipho.tripod.com/"} +{"d:Title": "Stayka's Saint Seiya Archive", "d:Description": "Fan fiction, fan art, character profiles, links, screen shots, images, cels, shitajiki, animations, mailing list, and downloads.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya", "url": "http://www.saint-seiya.de/"} +{"d:Title": "Star Hill", "d:Description": "Saint Seiya manga scans: Episode G, Lost Canvas and Next Dimension.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya", "url": "http://starhill.edwardk.info/index.html"} +{"d:Title": "Saint Seiya Fan", "d:Description": "News, translations for new manga chapters of Lost Canvas and Next Dimension, and forum. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya", "url": "http://www.saintseiyafan.com/"} +{"d:Title": "Cyber Namida", "d:Description": "Information and essays on the anime series. [English and French]", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya", "url": "http://namida.cyna.fr/english/seiya.html"} +{"d:Title": "Fuu-chan's House of Dreams", "d:Description": "Basic information, image gallery, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya", "url": "http://fuu-no-road.site11.com/"} +{"d:Title": "WebRing: St. Seiya Fanfic", "d:Description": "HTML fragment and member list.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya/Fan_Works", "url": "http://www.webring.org/hub/stseiyadojinshif"} +{"d:Title": "Saint Seiya", "d:Description": "Manga and cell images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Seiya/Image_Galleries", "url": "http://www.saintseiya.be/"} +{"d:Title": "Sweet Tales of Saint Tail Ring", "d:Description": "Websites with information on Saint Tail.", "topic": "Top/Arts/Animation/Anime/Titles/S/Saint_Tail", "url": "http://www.webring.org/hub?ring=sttail"} +{"d:Title": "Falling Sakura in Springtime", "d:Description": "Character profiles, multimedia, images, fan fiction, polls, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sakura_Wars", "url": "http://www.angelfire.com/wa3/falling_sakurataisen/"} +{"d:Title": "WebRing: Sakura Taisen", "d:Description": "HTML fragment, list of members, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sakura_Wars", "url": "http://www.webring.org/hub/sumire"} +{"d:Title": "Samurai 7", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_7", "url": "http://www.nihonreview.com/anime/samurai-7/"} +{"d:Title": "The Nihon Review - Samurai Champloo", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Champloo", "url": "http://www.nihonreview.com/anime/samurai-champloo/"} +{"d:Title": "The Nihon Review \u00bb Samurai Champloo", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Champloo", "url": "http://www.nihonreview.com/anime/samurai-champloo-2/"} +{"d:Title": "The Nihon Review - Real Bout High School", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Girl_Real_Bout_High_School", "url": "http://www.nihonreview.com/anime/real-bout-high-school/"} +{"d:Title": "Polly Ester's Shrine", "d:Description": "Lots of Polly fan art, games, MP3, character profiles, a voting booth, and a links section.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Characters", "url": "http://www.edoropolis.org/spcpolly/"} +{"d:Title": "Samurai Saving Time Links", "d:Description": "Contains links to Pizza Cats related pages.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Directories", "url": "http://www.angelfire.com/ca/savespc/links.html"} +{"d:Title": "Project Feline - Links", "d:Description": "Comprehensive listing of Pizza Cats related links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Directories", "url": "http://profeline.stormloader.com/links.html"} +{"d:Title": "Samurai Pizza Cats Homepage", "d:Description": "Lyrics, episode and character guides. Claims to be the first SPC page on the net.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Pages", "url": "http://www.two4u.com/pizzacats/"} +{"d:Title": "Samurai Pizza Cats Online", "d:Description": "Various information about the English and Japanese series, CDs and vocalists.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Pages", "url": "http://www.edoropolis.org/spconline"} +{"d:Title": "Samurai Saving Time", "d:Description": "Episode guide, downloads, music, model sheets, and character names, and campaign to return the series to TV.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Pages", "url": "http://www.angelfire.com/ca/savespc/"} +{"d:Title": "Animefan's Anime Palace", "d:Description": "General anime site which includes a complete guide to SPC and information on the petition to get the show on VHS and DVD.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Pages", "url": "http://animefan25.tripod.com/index.htm"} +{"d:Title": "Ninja Crow's Dojo", "d:Description": "Fan fiction, fan art gallery, and MIDI.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Works", "url": "http://www.edoropolis.org/ninja_crow/intropage.htm"} +{"d:Title": "Ultramarine Rain's Samurai Pizza Cats Web Page", "d:Description": "Features ASCII art, a section dedicated to the \"Monster of the Week\", poetry and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Works", "url": "http://www.edoropolis.org/umrain/"} +{"d:Title": "The Incredible SPC ASCII Art Gallery", "d:Description": "Samurai Pizza Cats ASCII art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Works/Fan_Art", "url": "http://www.edoropolis.org/umrain/spcasc.html"} +{"d:Title": "Princess Violet's Gallery", "d:Description": "Has some fan art consisting mostly of the character Princess Violet.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Works/Fan_Art", "url": "http://www.edoropolis.org/violet/gallery/"} +{"d:Title": "Polly Ester's Shrine - Polly Fan Art", "d:Description": "Polly fan art by various artists.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Works/Fan_Art", "url": "http://www.edoropolis.org/spcpolly/Gallery.html"} +{"d:Title": "The Samurai Pizza Cats' Memorial", "d:Description": "A collection of various SPC fan fictions.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Fan_Works/Fan_Fiction", "url": "http://guidocx17.tripod.com/Emporium.htm"} +{"d:Title": "Samurai Pizzicato", "d:Description": "MIDI files sequenced by Hero Boy.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Multimedia", "url": "http://www.edoropolis.org/hero_boy/midi.html"} +{"d:Title": "SPCPolly's Sound Gallery", "d:Description": "KNT MP3s, real audio, and MIDI.", "topic": "Top/Arts/Animation/Anime/Titles/S/Samurai_Pizza_Cats/Multimedia", "url": "http://www.edoropolis.org/spcpolly/sg.html"} +{"d:Title": "Altered", "d:Description": "Image gallery with screen captures, manga scans, merchandise, wallpaper, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Scryed", "url": "http://thelostground.tripod.com/"} +{"d:Title": "ZeWrestler's Scryed Page", "d:Description": "Series information, character profiles, song lyrics, image gallery, and wallpaper.", "topic": "Top/Arts/Animation/Anime/Titles/S/Scryed", "url": "http://www.angelfire.com/anime4/zescryed/main/index2.htm"} +{"d:Title": "The Lost Ground Sitering", "d:Description": "Sites related to the series. List of members, statistics, and how to join.", "topic": "Top/Arts/Animation/Anime/Titles/S/Scryed", "url": "http://pub38.bravenet.com/sitering/nav.php?usernum=3200268504&action=list"} +{"d:Title": "The Nihon Review - Scryed", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Scryed", "url": "http://www.nihonreview.com/anime/scryed/"} +{"d:Title": "Legend of Syrius", "d:Description": "Character biographies, images, and partial script information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sea_Prince_and_the_Fire_Child", "url": "http://www.angelfire.com/anime2/waterchildfirechild/"} +{"d:Title": "Sea Prince and Fire Child", "d:Description": "Cast of characters, winamp skins, icons, and information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sea_Prince_and_the_Fire_Child", "url": "http://www.angelfire.com/scifi/miryokuteki/anime/spfc.html"} +{"d:Title": "Serial Experiments Lain", "d:Description": "Website of Chiaka J. Konaka the series writer. Information on the series and merchandise, including books and dolls. [Mostly Japanese]", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain", "url": "http://www.konaka.com/alice6/lain/"} +{"d:Title": "Distortion Gallery", "d:Description": "Diverse collection of Lain merchandise and memorabilia.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain", "url": "http://distortiongallery.tripod.com/distortion.htm"} +{"d:Title": "Sakusha's Serial Experiment: Lain", "d:Description": "Extensive image gallery, including fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain", "url": "http://www.angelfire.com/psy/lain/"} +{"d:Title": "Thought Experiments Lain", "d:Description": "Series introduction, terms glossary, and related links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain", "url": "http://www.cjas.org/~leng/lain.htm"} +{"d:Title": "Anime Info: Serial Experiments Lain", "d:Description": "Summarizes show and gives the names of the cast, crew, and creators of the show.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain", "url": "http://www.animenfo.com/animetitle,371,nxigxj,serial_experime.html"} +{"d:Title": "ANN: Serial Experiments Lain", "d:Description": "Contains info on the voices, the releases, plot summary, ratings, releases and the copyrights.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain", "url": "http://animenewsnetwork.com/encyclopedia/anime.php?id=166"} +{"d:Title": "Yahoo Groups: Lain", "d:Description": "Mailing list and message board. Yahoo! ID needed to access site.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain", "url": "http://groups.yahoo.com/group/lain/"} +{"d:Title": "Layer 10", "d:Description": "Episode summaries, song lyrics, images, Winamp skins, and related links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain/Fan_Pages", "url": "http://keri.htmlplanet.com/lain/main.html"} +{"d:Title": "Ya's serial experiments lain", "d:Description": "Episode guide and convention report.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain/Fan_Pages", "url": "http://www.asahi-net.or.jp/~fz9y-nikr/English/lain.html"} +{"d:Title": "Animetric.com - Serial Experiments Lain", "d:Description": "Information, pics, reviews, and ratings. Includes screenshots and shopping options.", "topic": "Top/Arts/Animation/Anime/Titles/S/Serial_Experiments_Lain/Reviews", "url": "http://www.animetric.com/qrs/selain.html"} +{"d:Title": "Fukeru Mori", "d:Description": "Character profiles, song lyrics, spells, and dictionary.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shamanic_Princess", "url": "http://www.angelfire.com/anime4/flavor/s_purinsesu.html"} +{"d:Title": "Shaman King Image Gallery", "d:Description": "Images from the manga.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shaman_King", "url": "http://skimage.ms11.net/Covers/manga/manga.html"} +{"d:Title": "Angelic Demon", "d:Description": "Image gallery and fanart.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shaman_King", "url": "http://www.angelfire.com/rpg/chrestapyral/sk.html"} +{"d:Title": "Saikano.org", "d:Description": "Character profiles and a gallery of screen captures from each episode.", "topic": "Top/Arts/Animation/Anime/Titles/S/She,_The_Ultimate_Weapon", "url": "http://www.animechains.com/saikano/"} +{"d:Title": "Nausicaa.net: Sherlock Hound", "d:Description": "Synopses, FAQ, and availability of books, soundtracks, and video.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sherlock_Hound", "url": "http://www.nausicaa.net/miyazaki/holmes/"} +{"d:Title": "What the Heck is a Shinesman", "d:Description": "Introduction, character list, images, quotes, and anime links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shinesman", "url": "http://www.sorakirei.com/anime/shinesman/shinesman.html"} +{"d:Title": "Shingu: Secret of the Stellar Wars", "d:Description": "Official US website containing episode write-ups, character biographies, cast and crew listings, games, and downloadable media including trailers, avatars and wallpaper [Flash required].", "topic": "Top/Arts/Animation/Anime/Titles/S/Shingu", "url": "http://shingu.rightstuf.com/"} +{"d:Title": "Domain of Enterra", "d:Description": "Character profiles, relationships, sound clips, and episode list.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shinzo", "url": "http://mushrambo.tripod.com/domainofenterra/"} +{"d:Title": "Shinpretear", "d:Description": "Episode downloads, image gallery, character profiles, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shin_Shirayuki_Hime_Densetsu_Pretear", "url": "http://shinpretear.tripod.com/"} +{"d:Title": "Arcadia's Shulato Shrine", "d:Description": "Scripts, images, episode synopses, song lyrics, and character information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shurato", "url": "http://www.tenkuukai.com/"} +{"d:Title": "Shurato Web Ring", "d:Description": "Member list and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/S/Shurato", "url": "http://www.webring.org/hub/shurato"} +{"d:Title": "The Nihon Review - Silent Mobius", "d:Description": "Story and review.", "topic": "Top/Arts/Animation/Anime/Titles/S/Silent_M\u00f6bius", "url": "http://www.nihonreview.com/anime/silent-mobius/"} +{"d:Title": "Sukina's Slam Dunk Page", "d:Description": "Features story information, character profiles, fan fiction, images, polls, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slam_Dunk", "url": "http://www.angelfire.com/anime3/sukina/"} +{"d:Title": "Slam Dunk Anime Synopses", "d:Description": "Site contains episode synopses, images, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slam_Dunk", "url": "http://members.tripod.com/~Amis_Lee/slamdunk.htm"} +{"d:Title": "AnimeSlamDunk.com", "d:Description": "Includes detailed character profiles, images galleries, games statistics, manga, merchandise, fanfictions, music, and wallpaper.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slam_Dunk", "url": "http://animechains.com/slamdunk/"} +{"d:Title": "Scarlet Haze", "d:Description": "Rukawa fan fiction, reviews, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slam_Dunk/Fan_Works", "url": "http://www.angelfire.com/magic/scarlet_haze/"} +{"d:Title": "Animeland Slam Dunk Page", "d:Description": "Image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slam_Dunk/Image_Galleries", "url": "http://www.angelfire.com/comics/animeland/sd.html"} +{"d:Title": "Liz's Slam Dunk Corner", "d:Description": "Rukawa, Sakuragi, Mitsui, Miyagi, Sendoh, Fujima, Hanagata, Maki, Kiyota, Jin, Team Shots and Group Shots", "topic": "Top/Arts/Animation/Anime/Titles/S/Slam_Dunk/Image_Galleries", "url": "http://members.tripod.com/~rukawa_kaede/index.html"} +{"d:Title": "Impressions: Slam Dunk Sketchbook", "d:Description": "Fan art and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slam_Dunk/Image_Galleries", "url": "http://micchy.tripod.com/"} +{"d:Title": "The Nihon Review - Slayers Next", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers", "url": "http://www.nihonreview.com/anime/slayers-next/"} +{"d:Title": "The Nihon Review - Slayers Premium", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers", "url": "http://www.nihonreview.com/anime/slayers-premium/"} +{"d:Title": "A-Chan's Shrine to Zangulus", "d:Description": "Thumbnailed images, information, why to like him, discussion of his hat and sword, sounds, and why Zangulus and Martina should be a couple.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters", "url": "http://www.angelfire.com/ca3/zangulus/main.html"} +{"d:Title": "Lair of the Demon Dragon King", "d:Description": "Shrine for Gaav, the Demon Dragon King. Images, episode guide, fan art, and Odd Coupling Fan Fiction Contest.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters", "url": "http://www.angelfire.com/anime/Gaav/"} +{"d:Title": "J is for Justice", "d:Description": "Amelia shrine features basic information and some sounds and pictures.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Amelia_Wil_Tesla_Saillune", "url": "http://jforjustice.tripod.com/"} +{"d:Title": "Indulgence", "d:Description": "Contains essays, analyses, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Lina_Inverse", "url": "http://anime_perspective.tripod.com/Slay/Lina/"} +{"d:Title": "The Slayers TRAD Mailing List", "d:Description": "List promoting relationships between Lina and Gourry and Zelgadis and Amelia. Information on the list and its members.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Relationships", "url": "http://slayerstradml.tripod.com/"} +{"d:Title": "Sylphiel's Homepage", "d:Description": "Sylphiel shrine with a biography and a large list of links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Sylphiel_Nels_Lahda", "url": "http://www.spikesys.com/Sylphiel/"} +{"d:Title": "The Trickster Priest's Lair", "d:Description": "Profile, seiyuu information, sounds, image gallery, and web ring.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Xelloss", "url": "http://ligia25.tripod.com/index.html"} +{"d:Title": "Dreamscapes", "d:Description": "Fan art, fan fiction and an image gallery with over 100 pictures.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Xelloss", "url": "http://opticondreamer.tripod.com/"} +{"d:Title": "Ground Zeros", "d:Description": "In-depth discussion of the character, with lists why and why not to like him.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Xelloss", "url": "http://groundzeros.tripod.com/index2.html"} +{"d:Title": "All Zel, All the Time", "d:Description": "Pictures, links, fan fiction/fan art, mailing list, and \"Why I Dislike Amelia\" page.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Zelgadis_Greywers", "url": "http://home.eznet.net/~ksucy/zel.html"} +{"d:Title": "Zelgadis' Sanctuary", "d:Description": "Pictures, movies, and wave files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Zelgadis_Greywers", "url": "http://members.tripod.com/~Kati5_D/Zel.html"} +{"d:Title": "Chimerazel's Zelgadis Shrine", "d:Description": "Image gallery, profile, fan art, fan fiction, adoptions, polls, links, and YKYWTMSW list.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Zelgadis_Greywers", "url": "http://chimerazel.tripod.com/"} +{"d:Title": "Psycho Chimera", "d:Description": "Shrine with fan fiction, images and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Characters/Zelgadis_Greywers", "url": "http://www.angelfire.com/anime/psychochimera/"} +{"d:Title": "Celes Star's Slayers Page", "d:Description": "Video game information, ordering information, volume summaries, character profiles, image galleries sorted by character, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://celesstar.osiriscomm.com/anime/slayers/index.html"} +{"d:Title": "Lina's Slayers Aurora", "d:Description": "Image gallery, spellbook, MIDI files, sound clips, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://www.angelfire.com/de/linainverse/"} +{"d:Title": "Nepha of Feli's Slayers Page", "d:Description": "Fan art, fan fiction, poetry, poll, couple shrine, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://nepha.tripod.com/"} +{"d:Title": "The Psyco Cat-Girl's Slayers Page", "d:Description": "Shrines to Zelgadis and Xellos, fan art, fan fiction, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://www.angelfire.com/sd/psycocatgirl/"} +{"d:Title": "Slayers Den", "d:Description": "Includes character profiles, media, lyrics, spells, and incantations.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://ranchan1_2.tripod.com/"} +{"d:Title": "Slayers Buffet", "d:Description": "Character profiles, episode guide, spell list, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://members.tripod.com/~The_Slayers/"} +{"d:Title": "Triple Portions", "d:Description": "Character profiles, fan art, wallpaper, doujinshi scans, and humor.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://miss_inverse.tripod.com/"} +{"d:Title": "The Foyer of Chaos", "d:Description": "Image gallery, fan fiction, sound clips (Japanese), character profiles, links, and madlibs.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Pages", "url": "http://rache_engel.tripod.com/slay.html"} +{"d:Title": "Forever Dramata", "d:Description": "Fan art, how-to-draw, anime terms, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Works", "url": "http://picopicolina.tripod.com/"} +{"d:Title": "The Realm of Insanity", "d:Description": "Large collection of Slayers fan fiction by multiple authors; predominantly Lina-Xelloss stories. Small fan art section.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Works", "url": "http://inverselina.tripod.com/SLAYERS1.html"} +{"d:Title": "Slayers Fanfiction", "d:Description": "Fiction separated by genre. Rules and submission form.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Works", "url": "http://www.angelfire.com/anime4/slayersfanfiction/"} +{"d:Title": "The Slayers: Legacy of Darkness", "d:Description": "Fan fiction by Purin-chan dedicated to the non-canon coupling of Xelloss and Lina.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Works", "url": "http://www.tslod.buruma.net/"} +{"d:Title": "Slayers: Reflect, Chaos, ReBirth", "d:Description": "Three fan fiction series and accompanying fan art. Basic series information, discussion of interpretations made, links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Works", "url": "http://stefangagne.com/slayers/"} +{"d:Title": "Jeniam's Spot on the Web", "d:Description": "Short stories and chapter stories as well as hosted work. Also character profiles, poll, links, seiyuu, and essays regarding anime.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Fan_Works", "url": "http://jeniamnb.tripod.com/"} +{"d:Title": "Xar's Citadel: Slayers Area21", "d:Description": "Three image galleries: general, Try, and insane.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Image_Galleries", "url": "http://paladin88.tripod.com/"} +{"d:Title": "Slayers Universe", "d:Description": "Series information, spellbook, character profiles, news, summaries, CD reviews, links, and information on the books.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Information", "url": "http://www.inverse.org/"} +{"d:Title": "Slayers Marvelous", "d:Description": "Message board RPG. Still has some characters open and accepts created ones.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Roleplaying", "url": "http://angelfire.com/rpg2/slayersmarvel"} +{"d:Title": "Zelas's Slayers RPG", "d:Description": "Images and RPG. [Flash]", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Roleplaying", "url": "http://www.angelfire.com/anime2/metallium/index.html"} +{"d:Title": "Lina and Zelgadis Webring", "d:Description": "Ring devoted specifically to Lina and Zelgadis, as individual characters or as a couple.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Web_Rings", "url": "http://www.webring.org/hub?ring=rosencrantz"} +{"d:Title": "Zelgadis' Ring of Power", "d:Description": "The first known Zelgadis webring.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Web_Rings", "url": "http://www.webring.org/hub?ring=zelgadis"} +{"d:Title": "The Slayers Gang Webring", "d:Description": "Around 20 sites.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slayers/Web_Rings", "url": "http://nav.webring.org/navcgi?ring=theslayergang"} +{"d:Title": "Animejin Reviews - Slow Step", "d:Description": "Information about the anime, its origins and how to get it in the UK.", "topic": "Top/Arts/Animation/Anime/Titles/S/Slow_Step", "url": "http://www.animejin.org.uk/sstep.htm"} +{"d:Title": "Tobe! Isami Kodawari no Page", "d:Description": "Series introduction, staff and episode lists, and image gallery.", "topic": "Top/Arts/Animation/Anime/Titles/S/Soar_High_Isami", "url": "http://www.kodawari-p.com/english/"} +{"d:Title": "Anime News Network: Soar High! Isami", "d:Description": "Cast list and general series information.", "topic": "Top/Arts/Animation/Anime/Titles/S/Soar_High_Isami", "url": "http://www.animenewsnetwork.com/encyclopedia/anime.php?id=1772"} +{"d:Title": "Sol Bianca", "d:Description": "Includes a plot synopsis, a review, cast and crew information and screen shots.", "topic": "Top/Arts/Animation/Anime/Titles/S/Sol_Bianca", "url": "http://animeworld.com/reviews/solbianca.html"} +{"d:Title": "Akemi's Anime World: Space Adventure Cobra", "d:Description": "Synopsis, review, production notes, and screen shots.", "topic": "Top/Arts/Animation/Anime/Titles/S/Space_Adventure_Cobra", "url": "http://animeworld.com/reviews/spaceadventurecobra.html"} +{"d:Title": "Captain Harlock Toy Page", "d:Description": "Personal collection of toys from around the world.", "topic": "Top/Arts/Animation/Anime/Titles/S/Space_Pirate_Captain_Harlock/Arcadia_of_My_Youth", "url": "http://geoffreypeeters.tripod.com/"} +{"d:Title": "Trans-Lux Television Presents... Speed Racer", "d:Description": "Series and production information, images, character profiles, and sound files.", "topic": "Top/Arts/Animation/Anime/Titles/S/Speed_Racer", "url": "http://udel.edu/~mm/anime/speed/"} +{"d:Title": "Speed Racer Roleplay", "d:Description": "A fan fiction type role-playing game for the original Speed Racer series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Speed_Racer", "url": "http://x_9.tripod.com/"} +{"d:Title": "Mach 5 vs Batmobile", "d:Description": "A funny fictitious racing event between the Mach 5, and the 1966 Batmobile.", "topic": "Top/Arts/Animation/Anime/Titles/S/Speed_Racer", "url": "http://www.electricferret.com/fights/mach5.htm"} +{"d:Title": "Spirited Away", "d:Description": "Character profiles and photographs of a test screening and press conference before the Japanese release. [English and Japanese]", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away", "url": "http://www.asahi-net.or.jp/~hn7y-mur/chihiro/"} +{"d:Title": "Nausicaa.net - Spirited Away", "d:Description": "Credits, story information, comments from Miyazaki, FAQ, videos, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away", "url": "http://www.nausicaa.net/miyazaki/sen/"} +{"d:Title": "Disney Acquires Latest Miyazaki Smash", "d:Description": "Announcement of the U.S. licensing deal. [Animation Magazine]", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away", "url": "http://www.animationmagazine.net/features/4_11.html"} +{"d:Title": "Anime Wallpapers - Spirited Away", "d:Description": "Desktop wallpapers created with images from the movie.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away", "url": "http://animewallpapers.com/wallpapers/spirited/"} +{"d:Title": "Online Ghibli - Spirited Away", "d:Description": "Image gallery, character portraits, and links.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away", "url": "http://www.onlineghibli.com/spirited_away/"} +{"d:Title": "IMDb - Sen to Chihiro no Kamikakushi", "d:Description": "Cast, credits, awards, and release details.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away", "url": "http://www.imdb.com/title/tt0245429/"} +{"d:Title": "New York Times", "d:Description": "Elvis Mitchell expects an Oscar nomination for the film in this positive review. [Free registration required]", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.nytimes.com/2002/09/20/movies/20SPIR.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose rates the film \"really good\".", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.haro-online.com/movies/spirited_away.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Harvey Karten, Steve Rhodes, and Dustin Putman give the movie 4 stars out of 5.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.all-reviews.com/videos-4/spirited-away.htm"} +{"d:Title": "Japan Falls Under Blockbuster Movie's Spell", "d:Description": "Article discussing the success of the Japanese release. [CNN]", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://asia.cnn.com/2001/BUSINESS/asia/08/02/japan.spirited/"} +{"d:Title": "A Wizard of Animation Has Japan Under His Spell", "d:Description": "Article on the film's release in Japan. Free registration required. [New York Times]", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.nytimes.com/2002/01/03/movies/03JAPA.html"} +{"d:Title": "The Nihon Review - Spirited Away", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.nihonreview.com/anime/spirited-away/"} +{"d:Title": "Seattle Post-Intelligencer", "d:Description": "William Arnold likes the film and its protagonist, but fears it may not connect with American viewers.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.seattlepi.com/ae/movies/article/Japan-s-Spirited-Away-has-the-makings-of-a-1096480.php"} +{"d:Title": "Chicago Sun-Times: Spirited Away", "d:Description": "Roger Ebert considers this one of the year's best films. Rating: 4/4.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.rogerebert.com/reviews/spirited-away-2002"} +{"d:Title": "Hollywood Bitchslap", "d:Description": "Includes user comments. Rating: 5/5.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.hollywoodbitchslap.com/review.php?movie=5714"} +{"d:Title": "Kamikakushi - Anime Master Miyazaki's New Ambition", "d:Description": "Kumi Kaoru discusses the film's background, its meaning, and whether it is successful in its goals. [Animation World Magazine]", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.awn.com/animationworld/kamikakushi-anime-master-miyazakis-new-ambition"} +{"d:Title": "Midnight Eye", "d:Description": "Tom Mes calls it \"one of Miyazaki's best works to date\".", "topic": "Top/Arts/Animation/Anime/Titles/S/Spirited_Away/Reviews", "url": "http://www.midnighteye.com/reviews/spirited-away/"} +{"d:Title": "Animetric.com - Spriggan", "d:Description": "Synopsis, review and screenshots of the movie.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spriggan", "url": "http://www.animetric.com/qrs/spriggan.html"} +{"d:Title": "Spriggan", "d:Description": "Review and information included.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spriggan", "url": "http://www.rambles.net/spriggan98.html"} +{"d:Title": "AAW: Spriggan Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/S/Spriggan", "url": "http://animeworld.com/reviews/spriggan.html"} +{"d:Title": "Star Blazers", "d:Description": "Official site, with news, image gallery, and video clips.", "topic": "Top/Arts/Animation/Anime/Titles/S/Star_Blazers", "url": "http://www.starblazers.com/"} +{"d:Title": "SpaceCruiserYamato.com", "d:Description": "Manuals, articles, merchandise, interviews, cut scenes, and fan art, as well as information on the historical ship Yamato.", "topic": "Top/Arts/Animation/Anime/Titles/S/Star_Blazers", "url": "http://www.spacecruiseryamato.com/home/"} +{"d:Title": "Steamboy", "d:Description": "Studio's webpage for the DVD set, including clips and video interviews.", "topic": "Top/Arts/Animation/Anime/Titles/S/Steamboy", "url": "http://www.sonypictures.com/movies/steamboy/"} +{"d:Title": "IMDb: Such\u00eemub\u00f4i (2004)", "d:Description": "Online database entry, including plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Animation/Anime/Titles/S/Steamboy", "url": "http://www.imdb.com/title/tt0348121/"} +{"d:Title": "Wikipedia: Steamboy", "d:Description": "Encyclopedia article, including characters, plot summary, and critical reception.", "topic": "Top/Arts/Animation/Anime/Titles/S/Steamboy", "url": "http://en.wikipedia.org/wiki/Steamboy"} +{"d:Title": "'Steamboy': Anime Powered By Hot Air", "d:Description": "\"'Steamboy' is a disappointment, albeit a spectacular one.\" By Stephen Hunter. [Washington Post]", "topic": "Top/Arts/Animation/Anime/Titles/S/Steamboy/Reviews", "url": "http://www.washingtonpost.com/wp-dyn/articles/A64723-2005Mar24.html"} +{"d:Title": "Anime News Network Review", "d:Description": "\"Steamboy is a masterpiece, something that should be celebrated in theaters, loved by anime fans, non-fans and families alike.\" By Zac Bertschy.", "topic": "Top/Arts/Animation/Anime/Titles/S/Steamboy/Reviews", "url": "http://www.animenewsnetwork.com/review/steamboy"} +{"d:Title": "The Sci Fi Catholic Review", "d:Description": "\"A competent and enjoyable piece of steampunk, even if it is low on brains.\"", "topic": "Top/Arts/Animation/Anime/Titles/S/Steamboy/Reviews", "url": "http://www.scificatholic.com/2007/11/he-may-or-may-not-be-superhero.html"} +{"d:Title": "Review by Roger Ebert", "d:Description": "Rated 2/4. \"'Steamboy' is a noisy, eventful and unsuccessful venture into Victorian-era science fiction, animated by a modern Japanese master.\"", "topic": "Top/Arts/Animation/Anime/Titles/S/Steamboy/Reviews", "url": "http://www.rogerebert.com/reviews/steamboy-2005"} +{"d:Title": "Steam City", "d:Description": "Introduction, character profiles, images, links, and Ling Ling shrine.", "topic": "Top/Arts/Animation/Anime/Titles/S/Steam_Detectives", "url": "http://www.angelfire.com/anime/mangatemple/stdetectives.html"} +{"d:Title": "The Nihon Review - Steel Angel Kurumi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Steel_Angel_Kurumi", "url": "http://www.nihonreview.com/anime/steel-angel-kurumi/"} +{"d:Title": "The Nihon Review - Steel Angel Kurumi II", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/S/Steel_Angel_Kurumi", "url": "http://www.nihonreview.com/anime/steel-angel-kurumi-ii/"} +{"d:Title": "Street Fighter II V Anime Universe", "d:Description": "Introduction, complete episode guide, and song lyrics.", "topic": "Top/Arts/Animation/Anime/Titles/S/Street_Fighter_II_V", "url": "http://www.projectanime.com/sf2v_universe/"} +{"d:Title": "AAW: Street Fighter II: The Animated Movie Review", "d:Description": "Review on the US dvd release with snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/S/Street_Fighter_II_V", "url": "http://animeworld.com/reviews/streetfighter2movie.html"} +{"d:Title": "Super Catgirl Nuku Nuku", "d:Description": "Includes reviews of the episodes, character profiles, and summary.", "topic": "Top/Arts/Animation/Anime/Titles/S/Super_Catgirl_Nuku_Nuku", "url": "http://www.gweep.ca/~edmonds/anime/nuku.html"} +{"d:Title": "Absolute Anime / All Purpose Cultural Cat Girl Nuku Nuku", "d:Description": "Profile of the anime series.", "topic": "Top/Arts/Animation/Anime/Titles/S/Super_Catgirl_Nuku_Nuku", "url": "http://www.absoluteanime.com/cat_girl_nuku_nuku/"} +{"d:Title": "Krusnik 02 - A Trinity Blood shrine", "d:Description": "Trinity Blood fansite. Episode guide, pencil sketches, series information, character guide and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.spookhouse.net/angelynx/trinityblood/tb-main-page.html"} +{"d:Title": "Tactics", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://animeworld.com/reviews/tactics.html"} +{"d:Title": "Tenamonya Voyagers", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://animeworld.com/reviews/tenamonyavoyagers.html"} +{"d:Title": "They Were 11", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://animeworld.com/reviews/theywere11.html"} +{"d:Title": "Tokyo Vice (aka The Tokyo Project)", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://animeworld.com/reviews/tokyovice.html"} +{"d:Title": "The Third - Aoi Hitomi no Shoujou", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/the-third-aoi-hitomi-no-shoujou/"} +{"d:Title": "Tide-Line Blue", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/tide-line-blue/"} +{"d:Title": "Trinity Blood", "d:Description": "Story, negative review of the series, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/trinity-blood-2/"} +{"d:Title": "Trinity Blood", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/trinity-blood/"} +{"d:Title": "Tsuyokiss - Cool \u00d7 Sweet", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/tsuyokiss-cool-x-sweet/"} +{"d:Title": "Toki wo Kakeru Shoujo", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/toki-wo-kakeru-shoujo/"} +{"d:Title": "Touka Gettan", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/touka-gettan/"} +{"d:Title": "Tengen Toppa Gurren-Lagann", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T", "url": "http://www.nihonreview.com/anime/tengen-toppa-gurren-lagann/"} +{"d:Title": "THEM Anime Reviews: Tales of Eternia: the Animation", "d:Description": "Synopsis, review, and rating.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tales_of_Eternia", "url": "http://themanime.org/viewreview.php?id=377"} +{"d:Title": "Akemi's Anime World review: Tekken: The Motion Picture", "d:Description": "Plot synopsis, review, screen shots, and notes on the DVD", "topic": "Top/Arts/Animation/Anime/Titles/T/Tekken", "url": "http://animeworld.com/reviews/tekken.html"} +{"d:Title": "The Nihon Review - Tekken", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tekken", "url": "http://www.nihonreview.com/anime/tekken/"} +{"d:Title": "Anime News Network - Tenchi Muyo! Ryo-Ohki (OAV)", "d:Description": "ANN Encyclopedia page with series information, user ratings and feedback, related series information, related releases, news updates, and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo", "url": "http://www.animenewsnetwork.com/encyclopedia/anime.php?id=919"} +{"d:Title": "Ayeka and Ryoko's Asc", "d:Description": "Anti-Sakuya club. Rules, list of members, graphics, and reasons to dislike the character.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Characters", "url": "http://www.angelfire.com/anime2/ayekaandryoko/"} +{"d:Title": "Sakuya Kumashiro's Destiny", "d:Description": "Shrine to Sakuya. Images, movies (include other characters), wav files and MIDIs, and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Characters", "url": "http://www.sakuya.org/"} +{"d:Title": "The Mihoshi Shrine of Sleep", "d:Description": "Features images, quotes, character profile and music.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Characters/Mihoshi", "url": "http://www.angelfire.com/anime2/msos/start.html"} +{"d:Title": "The Sasami Appreciation Society", "d:Description": "Fan club dedicated to spreading the word about the character and the series.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Characters/Sasami", "url": "http://www.capcorphq.com/SAS.html"} +{"d:Title": "The Unofficial Washu Page", "d:Description": "Fan club with mailing list, member list, and general information.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Characters/Washuu", "url": "http://www.capcorphq.com/WASHU.html"} +{"d:Title": "Little Washu's Cute Homepage", "d:Description": "Character descriptions, WAV and MIDI files, as well as videos, image gallery, fan art and fan fiction, song lyrics, and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://www.washu.org/"} +{"d:Title": "Tenchi Muyo Homepage", "d:Description": "Movie, TV, and OVA information, character profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://members.tripod.com/twilight841/"} +{"d:Title": "Pretty Sammy's Homepage", "d:Description": "Character profiles, information about plot flaws, a humour section with rejected movie titles, pick-up lines, and \"Things They'd Never Say\". Also, lyrics, image galleries, and audio clips.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://www.angelfire.com/rpg/PrettySammy/"} +{"d:Title": "Tenchi Muyo Universe", "d:Description": "Images, character descriptions, MP3 files, lyrics, fan art and fiction.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://akiko90.tripod.com/"} +{"d:Title": "JTG's Web Site About Tenchi Muyo", "d:Description": "Character biographies, images, sound files, and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://www.angelfire.com/anime3/jtg_muyo.html/"} +{"d:Title": "Sasami's Scrapbook", "d:Description": "Dedicated to Sasami, with images, polls, and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://www.angelfire.com/anime3/Sasamiscrapbook/"} +{"d:Title": "Shikichi no Ryoko", "d:Description": "Series information, episode list, character galleries, and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://snryoko.tripod.com/"} +{"d:Title": "Dustin's Page O' Tenchi", "d:Description": "Character descriptions, story summaries, game introduction, images, music, software, quiz, links, and forum.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://www.angelfire.com/anime3/admiralryo/index.html"} +{"d:Title": "Kisaki's Chibi World\": Tenchi Muyo!", "d:Description": "Introduction, Ryoko and Ryo-oohki profiles, Japan cultural notes, and \"Ask Ryoko.\"", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://www.angelfire.com/empire/kisakis_chibi_world/home.html"} +{"d:Title": "Absolute Tenchi Muyo", "d:Description": "Contains episode summaries, character profiles, animated pictures, image gallery, and sounds.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Pages", "url": "http://www.absoluteanime.com/tenchi_muyo/index.htm"} +{"d:Title": "Fanfic Underground", "d:Description": "Various pieces of writing based on the series.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Works", "url": "http://www.angelfire.com/dc/fanfics/"} +{"d:Title": "The Tenchi Muyo Fan Fiction Archive", "d:Description": "Archive with works sorted in alphabetical order by genre. Also a poll, chat room, writing references, introduction and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Fan_Works", "url": "http://www.tmffa.com/"} +{"d:Title": "Pretty Samy: Main Page", "d:Description": "Mainly an information based page with a detailed section on the seiyuu (voice actors).", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Magical_Girl_Pretty_Sammy", "url": "http://www.csie.ntu.edu.tw/~b4506062/psmain.html"} +{"d:Title": "Jurie Jurai's Pretty Sammy Site", "d:Description": "Music, downloads, movie and sound clips.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Magical_Girl_Pretty_Sammy", "url": "http://www.angelfire.com/anime2/prettysamy/"} +{"d:Title": "Pretty-Sammy RPG", "d:Description": "RPG site.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Magical_Girl_Pretty_Sammy", "url": "http://members.tripod.com/kascade17/"} +{"d:Title": "AAW: Tenchi Muyo! GXP Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Reviews", "url": "http://animeworld.com/reviews/tenchimuyogxp.html"} +{"d:Title": "QWring", "d:Description": "Webring dedicated to Washu sites as well as sites dealing with the series.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenchi_Muyo/Web_Rings", "url": "http://www.webring.org/hub?ring=tenchi"} +{"d:Title": "AnimeNfo: Otogi Story Tenshi no Shippo", "d:Description": "Character and seiyuu information, and user-submitted reviews.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tenshi_no_Shippo", "url": "http://www.animenfo.com/animetitle,708,vxzzlc,otogi_story_ten.html"} +{"d:Title": "Absolute Anime / Gigantor", "d:Description": "Overview of series with character descriptions.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tesujin_28", "url": "http://www.absoluteanime.com/gigantor/index.htm"} +{"d:Title": "60's Anime: Gigantor", "d:Description": "Information on the English language dub, including the Australian television run. Has a .wav file of the opening theme song.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tesujin_28", "url": "http://home.alphalink.com.au/~roglen/gigantor.htm"} +{"d:Title": "Review: Birdy the Mighty", "d:Description": "Plot outline, pictures, song lyrics, cast, and review.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tetsuwan_Birdy", "url": "http://animeworld.com/reviews/birdythemighty.html"} +{"d:Title": "Anime News Network: Birdy the Mighty - Double Trouble", "d:Description": "Review of the first OAV.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tetsuwan_Birdy", "url": "http://www.animenewsnetwork.com/review/birdy.php"} +{"d:Title": "Lawmune's Netspace", "d:Description": "Information and a translated script.", "topic": "Top/Arts/Animation/Anime/Titles/T/To-Y", "url": "http://www.cjas.org/~leng/to-y.htm"} +{"d:Title": "Anime Densetsu - Tokimeki Memorial OVA 1", "d:Description": "Anime Densetsu's review on Tokimeki Memorial's first OVA.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokimeki_Memorial", "url": "http://www.densetsu.com/display.php?id=94"} +{"d:Title": "Anime Densetsu - Tokimeki Memorial OVA 2", "d:Description": "Anime Densetsu's review on Tokimeki Memorial OVA 2.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokimeki_Memorial", "url": "http://www.densetsu.com/display.php?id=352"} +{"d:Title": "Kat's Tokyo Babylon", "d:Description": "Summary, music, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Babylon", "url": "http://members.tripod.com/kat_winner/index.htm"} +{"d:Title": "Ai No Tenshi: subaru's twilight heaven 99'", "d:Description": "A shrine to Subaru, with images, character analysis, email addresses, and links.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Babylon", "url": "http://members.tripod.com/twilight_heaven/"} +{"d:Title": "An Alternate Ending for Tokyo Babylon", "d:Description": "A gag ending for Tokyo Babylon.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Babylon", "url": "http://www.angelfire.com/anime/wyrd/altendsub.html"} +{"d:Title": "Tokyo Babylon", "d:Description": "Character guide, Bible references, and product information.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Babylon", "url": "http://oldcrows.net/~rabi/TB/"} +{"d:Title": "Tokyo Godfathers (2003)", "d:Description": "Database entry, including photos, trailers, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Godfathers", "url": "http://www.imdb.com/title/tt0388473/"} +{"d:Title": "Wikipedia: Tokyo Godfathers", "d:Description": "Encyclopedia article, including detailed plot summary and cast list.", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Godfathers", "url": "http://en.wikipedia.org/wiki/Tokyo_Godfathers"} +{"d:Title": "Three Down-and-Outs Rescue a Foundling From the Trash", "d:Description": "\"Loosely based on '3 Godfathers,' John Ford's maudlin 1948 western, this movie, a sweet fable of decency amid the down and out, also has echoes of Chaplin and Capra.\" [New York Times]", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Godfathers", "url": "http://www.nytimes.com/2004/01/16/movies/16TOKY.html"} +{"d:Title": "Review by Roger Ebert", "d:Description": "Rated 3/4. \"An animated film both harrowing and heartwarming, about a story that will never, ever, be remade by Disney.\"", "topic": "Top/Arts/Animation/Anime/Titles/T/Tokyo_Godfathers", "url": "http://www.rogerebert.com/reviews/tokyo-godfathers-2004"} +{"d:Title": "The Nihon Review - To Heart", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/T/To_Heart", "url": "http://www.nihonreview.com/anime/to-heart/"} +{"d:Title": "Trigun RPG", "d:Description": "RPG based on characters from Trigun.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun", "url": "http://www.angelfire.com/anime3/trigunrpg/"} +{"d:Title": "AnimeNfo.Com: Trigun", "d:Description": "Seiyuu and production credits, fan-submitted episode guides, reviews, character biographies and images.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun", "url": "http://www.animenfo.com/animetitle,403,zxmifm,trigun.html"} +{"d:Title": "Trigun World", "d:Description": "Character profiles, image gallery, media, games, forum, story summary, episode guide and screencaps about the Trigun anime and manga.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun", "url": "http://www.trigunworld.com/"} +{"d:Title": "Trigun Chronicles", "d:Description": "Trigun online rpg game with a forum discussing the series.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun", "url": "http://trigunchronicles.0catch.com/index.html"} +{"d:Title": "Haunting Garden", "d:Description": "Knives character shrine with fan fiction and biographical information.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun/Characters", "url": "http://www.angelfire.com/anime/mazokulordandhunter/knives/shrine.html"} +{"d:Title": "Wolfwood: Smoking Man - Wolfwood Shrine", "d:Description": "A Nicholas D. Wolfwood Shrine, with pictures, weapon information, biographical information and description of the action figure.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun/Characters", "url": "http://www.angelfire.com/va3/trigun/"} +{"d:Title": "Angel Stampede", "d:Description": "Fan page with character information and images.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun/Fan_Pages", "url": "http://savethebutterflys.tripod.com/angelstampede/"} +{"d:Title": "Trigger-Happy", "d:Description": "A fan site with images, fanfics, fanart, humor, wallpapers", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun/Fan_Pages", "url": "http://trigger-happy.20m.com/"} +{"d:Title": "Gunpowder Tea", "d:Description": "Fan art, fan fiction, humor, general series information.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun/Fan_Works", "url": "http://meri-chan.tripod.com/trigun.html"} +{"d:Title": "Drenched In Red", "d:Description": "Character and weapon galleries.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun/Image_Galleries", "url": "http://www.angelfire.com/anime4/rock636/"} +{"d:Title": "Turaigan Makishimamu", "d:Description": "Sound files organised by episode. Clips are in Japanese and English.", "topic": "Top/Arts/Animation/Anime/Titles/T/Trigun/Multimedia", "url": "http://www.angelfire.com/anime3/trigunsounds/"} +{"d:Title": "AAW: Twin Signal Review", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/T/Twin_Signal", "url": "http://animeworld.com/reviews/twinsignal.html"} +{"d:Title": "Umisho", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/U", "url": "http://www.nihonreview.com/anime/umisho/"} +{"d:Title": "AnimeNfo.com: Uchuu no Stellvia", "d:Description": "Series description, character profiles, and Seiyuu information.", "topic": "Top/Arts/Animation/Anime/Titles/U/Uch\u00fb_no_Stellvia", "url": "http://www.animenfo.com/animetitle,1200,dqwdjq,uchuu_no_stellv.html"} +{"d:Title": "Anime Lyrics: Stellvia of the Universe", "d:Description": "Transcribed and romanized song lyrics for the series.", "topic": "Top/Arts/Animation/Anime/Titles/U/Uch\u00fb_no_Stellvia", "url": "http://www.animelyrics.com/anime/stellvia/"} +{"d:Title": "Neko-Han-Ten CD Guide: Uchuu no Stellvia", "d:Description": "Track listings and brief reviews, along with cast and crew information.", "topic": "Top/Arts/Animation/Anime/Titles/U/Uch\u00fb_no_Stellvia", "url": "http://anime.geocities.jp/st_nht/Stellvia/stellvia_index.html"} +{"d:Title": "Ocean Waves: Nausicaa.net", "d:Description": "Information, articles and resources on this film.", "topic": "Top/Arts/Animation/Anime/Titles/U/Umi_ga_Kikoeru", "url": "http://www.nausicaa.net/miyazaki/umi/"} +{"d:Title": "The Anim\u00e9 Caf\u00e9 - A Parent's Guide to Anime", "d:Description": "Review by Charles Peklenk.", "topic": "Top/Arts/Animation/Anime/Titles/U/Umi_ga_Kikoeru", "url": "http://www.abcb.com/parents/sea.htm"} +{"d:Title": "Fable: Unico Shrine", "d:Description": "Details about the individual films and their titles; trivia, images, audio, and FAQs.", "topic": "Top/Arts/Animation/Anime/Titles/U/Unico", "url": "http://www.angelfire.com/anime2/fable/"} +{"d:Title": "Absolute Anime: Unico", "d:Description": "Summary and comparisons between the English and Japanese film versions.", "topic": "Top/Arts/Animation/Anime/Titles/U/Unico", "url": "http://www.absoluteanime.com/unico/"} +{"d:Title": "Unico in the Island of Magic", "d:Description": "Commentary on religious, mythical and historical elements in the film; English lyrics and script, cosplay and character costumes.", "topic": "Top/Arts/Animation/Anime/Titles/U/Unico", "url": "http://www.fujikoma.com/unico/"} +{"d:Title": "Wikipedia: Unico", "d:Description": "Encyclopedic entry about the anime and manga character and series in film and television; back story.", "topic": "Top/Arts/Animation/Anime/Titles/U/Unico", "url": "http://en.wikipedia.org/wiki/Unico"} +{"d:Title": "IMDb: Unico (1981)", "d:Description": "Film summary, cast and crew, user comments and ratings.", "topic": "Top/Arts/Animation/Anime/Titles/U/Unico", "url": "http://www.imdb.com/title/tt0083258/"} +{"d:Title": "deviantART: Must-Love-Unico", "d:Description": "Community of fans for the anime character, with fan created artwork.", "topic": "Top/Arts/Animation/Anime/Titles/U/Unico", "url": "http://must-love-unico.deviantart.com/"} +{"d:Title": "Lum of the Month", "d:Description": "General information, image gallery and monthly highlighted picture. Also fan art.", "topic": "Top/Arts/Animation/Anime/Titles/U/Urusei_Yatsura", "url": "http://members.tripod.com/~Arhes/index.html"} +{"d:Title": "Darling!", "d:Description": "Character profiles and images, poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/U/Urusei_Yatsura", "url": "http://celesstar.osiriscomm.com/anime/lum/darling.html"} +{"d:Title": "Macx Anime", "d:Description": "General information, image galleries, links and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/U/Urusei_Yatsura", "url": "http://www.angelfire.com/ca2/uy4ever/"} +{"d:Title": "Tomobiki-cho", "d:Description": "Story history, premise, extensive artist information, character profiles, manga information, summaries and translated scans, anime history and summaries, FAQ, cultural references, articles, art gallery, music, and links.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/U/Urusei_Yatsura", "url": "http://www.furinkan.com/tomobiki/uy/"} +{"d:Title": "The Many Lovers of Lum Webring", "d:Description": "Rules and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/U/Urusei_Yatsura/Web_Rings", "url": "http://members.tripod.com/~A6M5ZERO/Lum.html"} +{"d:Title": "Lair of the Beasts", "d:Description": "Story summary, character profiles, fan fiction, anime and manga image galleries, music, poll, and links.", "topic": "Top/Arts/Animation/Anime/Titles/U/Ushio_and_Tora", "url": "http://darktora.tripod.com/"} +{"d:Title": "The Vampire Hunter D Archives", "d:Description": "Comprehensive listing of images, script, general information, FAQ and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Hunter_D", "url": "http://www.altvampyres.net/vhd/"} +{"d:Title": "Vampire Hunter D Vault of Knowledge", "d:Description": "FAQ, images, fan fiction, Winamp skins, character profiles and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Hunter_D", "url": "http://www.angelfire.com/wi/animefun/huntd.html"} +{"d:Title": "Vampire Hunter D: A Verse Adaptation", "d:Description": "Available from Anime House Press as a digest-format 'zine (132 pages, comb bound).", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Hunter_D", "url": "http://www.altvampyres.net/vhd/vhdverse.html"} +{"d:Title": "Vampire Hunter D", "d:Description": "Story, biographies, links and game information.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Hunter_D", "url": "http://www.angelfire.com/rpg/mudda/"} +{"d:Title": "Nightfall's Vampire Hunter D Page", "d:Description": "Image gallery, character profiles, plot summary, FAQ, and movies.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Hunter_D", "url": "http://arcticnightfall.com/vampd/"} +{"d:Title": "M.D. Geist's Vampire Hunter D Image Gallery", "d:Description": "A few screen shots.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Hunter_D/Image_Galleries", "url": "http://members.tripod.com/JCouchenour/vhd.html"} +{"d:Title": "Akemi's Anime World: Vampire Hunter D", "d:Description": "Read other opinions and submit your own.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Hunter_D/Reviews", "url": "http://animeworld.com/reviews/vampirehunterd.html"} +{"d:Title": "Vampire Miyu's Page", "d:Description": "Character profiles and images.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Princess_Miyu", "url": "http://members.tripod.com/~VampireMiyu/"} +{"d:Title": "Vampire Princess Miyu Shrine", "d:Description": "Small shrine with a story outline.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Princess_Miyu", "url": "http://www.angelfire.com/nj/tinyspage/miyushrine.html"} +{"d:Title": "Firewynd's Vampire Princess Miyu", "d:Description": "Story synopsis and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Princess_Miyu", "url": "http://members.tripod.com/~HgStorm/Miscellanious/miyu.htm"} +{"d:Title": "Non-anime Watchers Review of Anime: Vampire Princess Miyu", "d:Description": "Production information and brief review.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Princess_Miyu/Reviews", "url": "http://anduin.eldar.org/~brad/anime/youngvampire.html"} +{"d:Title": "Akemi's Anime World Review", "d:Description": "Plot outline and review.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Princess_Miyu/Reviews", "url": "http://animeworld.com/reviews/vampireprincessmiyu.html"} +{"d:Title": "Animejin Reviews: Vampire Princess Miyu", "d:Description": "Release and production information, review and commentary from usenet.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vampire_Princess_Miyu/Reviews", "url": "http://www.animejin.org.uk/vpm.htm"} +{"d:Title": "Yahoo! Groups: VandreadML", "d:Description": "A mailing list for discussion of the show, as well as links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vandread", "url": "http://groups.yahoo.com/group/vandreadML/"} +{"d:Title": "Yahoo! Groups: Vandread", "d:Description": "Yahoo club for Vandread fans.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vandread", "url": "http://groups.yahoo.com/group/vandread2/"} +{"d:Title": "Video Girl Ai Tribute", "d:Description": "Character profiles, story information, images, MIDIs and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Video_Girl_Ai", "url": "http://members.tripod.com/vgai/"} +{"d:Title": "Alberto's Video Girl Ai Page", "d:Description": "Manga and anime images, manga translations and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Video_Girl_Ai", "url": "http://www.leospage.de/animemanga/vgai.htm"} +{"d:Title": "The Video Girl Ai Manga and Anime Resources", "d:Description": "General information, character profiles, image gallery, sound files, manga translations and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Video_Girl_Ai", "url": "http://vgai.icedomain.net/"} +{"d:Title": "Allen Schezar Online", "d:Description": "Features an image gallery, fan art, multimedia, winamp skins, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Allen_Schezar", "url": "http://members.tripod.com/schezarade/"} +{"d:Title": "Allen Schezar's Altar", "d:Description": "Yahoo group dedicated to Allen. Message board, member files, and chat room.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Allen_Schezar", "url": "http://groups.yahoo.com/group/allenschezarsaltar/"} +{"d:Title": "Dilandau's Lair", "d:Description": "Dedicated to Dilandau Albatou and his Dragonslayers. Images, fan works, and character model sheets among others.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Dilandau_Albatou", "url": "http://www.angelfire.com/on2/redlair/"} +{"d:Title": "Prettyboy From Hell", "d:Description": "Shrine dedicated to Dilandau Albatou featuring an image gallery, detailed character information, fan fiction, and links.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Dilandau_Albatou", "url": "http://www.airandangels.com/dilandau/index.html"} +{"d:Title": "Blood Red Vengeance", "d:Description": "Statistics, pics, obssession quiz, fan fiction, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Dilandau_Albatou", "url": "http://www.angelfire.com/amiga/blackpearl2k2fvr/brv.html"} +{"d:Title": "Undying Loyalty", "d:Description": "Shrine to the Dragon Slayers. Information, images, fan works, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Dragon_Slayers", "url": "http://www.angelfire.com/ns/loyal/index.html"} +{"d:Title": "Slayground", "d:Description": "Comprehensive site dedicated to all members of the Dragon Slayers. Biographies, images, fan fiction, winamp skins, wallpapers, and a message board.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Dragon_Slayers", "url": "http://www.artoki.co.uk/slayground/Slayground.htm"} +{"d:Title": "Vanity Fair", "d:Description": "Shrine dedicated to Dalet. Profile, images, fan artwork, winamp skins, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Dragon_Slayers", "url": "http://www.artoki.co.uk/dalet/"} +{"d:Title": "Purple Teardrops", "d:Description": "Folken TV and movie profiles, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Folken_Fanel", "url": "http://sandtigeress.tripod.com/"} +{"d:Title": "Van's Corner", "d:Description": "Contains images and fan artwork.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Van_Fanel", "url": "http://www.angelfire.com/mt/DollsGuys/van.html"} +{"d:Title": "Van Fanel Fan Club", "d:Description": "Yahoo group dedicated to Van Fanel.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Characters/Van_Fanel", "url": "http://groups.yahoo.com/group/vanfanelfanclub/"} +{"d:Title": "Kamiten's Escaflowne Page", "d:Description": "Includes a selection of music and images from the anime series.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Pages", "url": "http://www.angelfire.com/anime/Kamiten/"} +{"d:Title": "The Vision of Escaflowne", "d:Description": "Images, story information, spoilers, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Pages", "url": "http://www.angelfire.com/yt/merelu/"} +{"d:Title": "The Escaflowne Cappuccino and Sugar High", "d:Description": "Character descriptions, series information and credits, fan fiction and art, soundtrack listing, lyrics, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Pages", "url": "http://www.angelfire.com/anime3/cappuccinosugarhigh/esca/"} +{"d:Title": "My Escaflowne", "d:Description": "Story, characters, image gallery, episode guide, fanart, humor, and a Zongi and Allen support group.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Pages", "url": "http://www.angelfire.com/anime3/myescaflowne/"} +{"d:Title": "Pyros, Catgirls, and Sharp, Shiny Objects: Escaflowne Insanity Ensues", "d:Description": "Picture galleries, fan fiction, character descriptions, polls and various humorous sections.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Pages", "url": "http://www.angelfire.com/anime2/thejapanesespot/"} +{"d:Title": "Vision of Escaflowne", "d:Description": "Story, character profiles, image gallery, and MIDI files.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Pages", "url": "http://members.tripod.com/~misato101/voehome.htm"} +{"d:Title": "The Prophecy: A Vision of Escaflowne Fan Fiction", "d:Description": "Contains \"The Prophecy,\" a fan fiction that continues the story after the end of the anime series.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Works", "url": "http://members.tripod.com/dragonmmho/escaflowne.html"} +{"d:Title": "Van Fanel Fan Art", "d:Description": "Contains fan art dedicated to Van Fanel from the anime series, as well as a small bit of fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Works", "url": "http://www.angelfire.com/ga/vanfanel/"} +{"d:Title": "Escaflowne Fanart in Japan", "d:Description": "High quality fan works including images, comics, dolls, desktop wallpapers, and web graphics.", "priority": "1", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Works", "url": "http://homepage2.nifty.com/LEGULUS/"} +{"d:Title": "A Guymelef Walks into a Bar", "d:Description": "Jokes, stories, altered images, and games for the sake of humor.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Fan_Works", "url": "http://www.angelfire.com/anime4/agwb/index.html"} +{"d:Title": "Gaea", "d:Description": "A collection of thumbnailed Escaflowne images.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Image_Galleries", "url": "http://www.angelfire.com/moon/gaea/"} +{"d:Title": "Animetric.com: The Vision of Escaflowne", "d:Description": "Summary, review, and screenshots. [5/5]", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Reviews", "url": "http://www.animetric.com/tuv/voe.html"} +{"d:Title": "A Parent's Guide to Anime: Vision of Escaflowne", "d:Description": "Focuses on how appropriate the series is for younger viewers. [PG]", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Reviews", "url": "http://www.abcb.com/esca/esc_apga.htm"} +{"d:Title": "mikomi.org: The Vision of Escaflowne", "d:Description": "Multiple reviews and ratings. Submissions accepted.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Reviews", "url": "http://anime.mikomi.org/series/4.html"} +{"d:Title": "The Nihon Review - Vision of Escaflowne", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Reviews", "url": "http://www.nihonreview.com/anime/vision-of-escaflowne-2/"} +{"d:Title": "The Nihon Review - Vision of Escaflowne", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Reviews", "url": "http://www.nihonreview.com/anime/vision-of-escaflowne/"} +{"d:Title": "The Nihon Review - Vision of Escaflowne: A Girl in Gaea", "d:Description": "Story, review, and images of the movie.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Reviews", "url": "http://www.nihonreview.com/anime/vision-of-escaflowne-a-girl-in-gaea/"} +{"d:Title": "The O-Sama Ring of Van Fanel", "d:Description": "Webring dedicated to Van Fanel.", "topic": "Top/Arts/Animation/Anime/Titles/V/Vision_of_Escaflowne,_The/Web_Rings", "url": "http://www.angelfire.com/yt/vision/"} +{"d:Title": "The Nihon Review - Voices of a Distant Star", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voices_of_a_Distant_Star", "url": "http://www.nihonreview.com/anime/voices-of-a-distant-star/"} +{"d:Title": "Voltron Explorer", "d:Description": "A Voltron newsletter.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron", "url": "http://www.stkp.com/VEXPLORER/"} +{"d:Title": "Voltron's Dorm", "d:Description": "Humor site with an assortment of comics.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Fan_Works", "url": "http://davetcw.tripod.com/voltron/main.html"} +{"d:Title": "Writer Unblocked: Voltron Fanfiction", "d:Description": "Voltron fan fiction, focusing mainly on Keith and Allura.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Fan_Works", "url": "http://www.angelfire.com/ny/writerunblocked/voltronfics.html"} +{"d:Title": "Sons and Daughters of Doom", "d:Description": "Fan art and fiction focusing on the inhabitants of Planet Doom.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Fan_Works", "url": "http://www.angelfire.com/ky/fairetales/"} +{"d:Title": "V4: Past, Present, and Future", "d:Description": "Shared universe fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Fan_Works", "url": "http://www.stkp.com/V4"} +{"d:Title": "The Lame Voltron Site", "d:Description": "Short stories and chapter works.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Fan_Works", "url": "http://members.tripod.com/Voltron_Genesis/Main.html"} +{"d:Title": "Zarkon's Locus", "d:Description": "Plot summary, character profiles, fan art, reviews, \"robestiary\", personalitiy quiz, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Fan_Works", "url": "http://www.kyence.net/voltron/"} +{"d:Title": "Voltron Gamepage", "d:Description": "Free fan made games based on the series, character adoptions, \"Ask Pidge,\" galleries, fan fiction, card game, character profiles, and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Fan_Works", "url": "http://www.formrobot.net/"} +{"d:Title": "Allura's Room", "d:Description": "Features fan works, character profiles, and a \"You Know You Watch Too Much Voltron When...\" section.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Lion_Force_Voltron", "url": "http://www.angelfire.com/vt/allysbdrm/"} +{"d:Title": "Voltron", "d:Description": "Sound file, character information with images, show information, poll and links.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Lion_Force_Voltron", "url": "http://www.voltron.8m.com/index.html"} +{"d:Title": "CBUB Fights: Voltron vs. Power Rangers Zord", "d:Description": "Super Mech Showdown as the Voltron Team takes on the Power Rangers Zord in battle.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Lion_Force_Voltron", "url": "http://www.electricferret.com/fights/voltron.htm"} +{"d:Title": "Castle Doom", "d:Description": "Site devoted to the villains of the Lion Force Voltron series.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Lion_Force_Voltron", "url": "http://www.angelfire.com/mo/castledoom/"} +{"d:Title": "Welcome To Planet Doom", "d:Description": "For those who always favored the Doomies over the good guys.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Lion_Force_Voltron", "url": "http://members.tripod.com/~PlanetDoom/"} +{"d:Title": "L.I.K.E.", "d:Description": "The ultimate fan page for the pilot of the red lion, Kurogane Isamu or in America known as Lance.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Lion_Force_Voltron", "url": "http://redlion.tvheaven.com/"} +{"d:Title": "Planet Polix", "d:Description": "Dedicated to Sven, the pilot of the Blue Lion. With pictures.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Lion_Force_Voltron", "url": "http://svens_gurl.tripod.com/"} +{"d:Title": "Adequate.com Voltron Explorer", "d:Description": "A great source for information on Vehicle Voltron. Contains an episode guide, images, sounds, and movies.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Vehicle_Voltron", "url": "http://www.adequate.com/Voltron/"} +{"d:Title": "The Voltron WWWebring", "d:Description": "Guidelines, HTML fragments, over 20 sites.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Web_Rings", "url": "http://www.webring.org/hub/voltronsites"} +{"d:Title": "Keep Lotor Good Looking Society Webring", "d:Description": "Dedicated to keeping the image of a good-looking Prince Lotor alive despite his 'disfigurement' in the new Voltron The Third Dimension series.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Web_Rings", "url": "http://www.webring.org/hub/klglsring"} +{"d:Title": "Voltron's Ring of Fic Writers", "d:Description": "Dedicated to fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Web_Rings", "url": "http://www.webring.org/hub/voltfics"} +{"d:Title": "CVPS Webring", "d:Description": "Support the return of classic Lion and Vehicle Voltron to television and home video.", "topic": "Top/Arts/Animation/Anime/Titles/V/Voltron/Web_Rings", "url": "http://www.webring.org/hub/nastalgia"} +{"d:Title": "Weathering Continent", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/W", "url": "http://animeworld.com/reviews/weatheringcontinent.html"} +{"d:Title": "Wild Cardz", "d:Description": "Review and snapshots.", "topic": "Top/Arts/Animation/Anime/Titles/W", "url": "http://animeworld.com/reviews/wildcardz.html"} +{"d:Title": "Wolf\u2019s Rain", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/W", "url": "http://www.nihonreview.com/anime/wolfs-rain/"} +{"d:Title": "Wedding Peach Seiyuu", "d:Description": "Cast list, and photograph.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wedding_Peach/Cast_and_Crew", "url": "http://members.tripod.com/stephiehay/wpeach/va.html"} +{"d:Title": "The White Cross", "d:Description": "Character profiles, image gallery, fan works, wallpapers, chat room, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://members.tripod.com/~thewhitecross/"} +{"d:Title": "Flowers for the Light", "d:Description": "Character bios, image gallery, fan fiction, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://www.angelfire.com/anime/weisskreuz99/index.html"} +{"d:Title": "White Shadows", "d:Description": "Image galleries, character profiles and shrines, wallpapers, fan art, and fan fiction.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://whiteshadows.tripod.com/"} +{"d:Title": "Triad of the Moon Presents: Weiss Kreuz on Prozac", "d:Description": "Mangapictures and humorous essays.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://www.angelfire.com/anime/wyrdsisters/wkop.html"} +{"d:Title": "Field of Stars", "d:Description": "Extensive fan fiction archive, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://www.angelfire.com/ca5/fieldofstars/index.html"} +{"d:Title": "The Flowershop", "d:Description": "Series information, image galleries, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://apple-chan.tripod.com/flowershopindex.html"} +{"d:Title": "Schwarz Mailing List", "d:Description": "Home of the Schwarz Mailing List.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://www.angelfire.com/id/shiryunomiko/schwarz/ml.html"} +{"d:Title": "The White Pages", "d:Description": "Seiyuu profiles, character information, images, anime synopses, fan art, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://members.tripod.com/~sumirechan/weiss/weiss_index.html"} +{"d:Title": "Und Ins Der Underwelt", "d:Description": "Episode summaries, character and series information, image gallery, links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://hongou_yui_noda.tripod.com/weisskreuz"} +{"d:Title": "Nichts als Wei\u00df Kreuz: the KnightHunters' Project", "d:Description": "Storyline, character information, images, fan fiction, episodes, MP3 files, lyrics, review, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz", "url": "http://ja_weiss.tripod.com/"} +{"d:Title": "Kenomi", "d:Description": "Site dedicated to the characters of Ken Hidaka and Omi Tsukiyono. Character information, seiyuu, images, and writings.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters", "url": "http://www.angelfire.com/al/anime17/"} +{"d:Title": "Black and White: Schwarz and Weib", "d:Description": "Character shrines, images, and general information for Wei\u00df, Schreient, and Schwarz.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters", "url": "http://www.angelfire.com/ks/mergshome/index.html"} +{"d:Title": "Rabid Farfie Fangirls", "d:Description": "Web ring for fans of Farfello.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters", "url": "http://www.webring.org/hub?ring=rabidfarfiefangi"} +{"d:Title": "Aya: Beautiful Alone", "d:Description": "Character information, fan works, image gallery, wallpapers, lyrics, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters/Fujimiya_Aya", "url": "http://members.tripod.com/~Tsuyu_ki/weiss/"} +{"d:Title": "Abyssinian", "d:Description": "Character and seiyuu information, image gallery, desktop enhancements, WAV files, Mp3 files, links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters/Fujimiya_Aya", "url": "http://www.angelfire.com/anime2/abyssinian/"} +{"d:Title": "Red Hot Start", "d:Description": "Pictures, Ken's interests, profile, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters/Hidaka_Ken", "url": "http://www.angelfire.com/anime3/hidakaken/"} +{"d:Title": "Kenken no Sekai", "d:Description": "Character and seiyuu information, image gallery, links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters/Hidaka_Ken", "url": "http://www.angelfire.com/pa4/sekaikenken/"} +{"d:Title": "SD Yo-Tan's Room O Stuff", "d:Description": "Photo album, general profile, chat, episode ratings based on Yo-Tan content, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters/Kudou_Yohji", "url": "http://www.angelfire.com/sd/yohji/"} +{"d:Title": "Jade Eyes - A Yohji Kudou Analysis", "d:Description": "In-depth profile, comments from readers, images, and links.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wei\u00df_Kreuz/Characters/Kudou_Yohji", "url": "http://www.angelfire.com/anime2/jadeyes/"} +{"d:Title": "Whisper of the Heart", "d:Description": "Character information and a synopsis, movie information, artwork, music, reviews, and FAQ.", "topic": "Top/Arts/Animation/Anime/Titles/W/Whisper_of_the_Heart", "url": "http://www.wingsee.com/ghibli/whisper"} +{"d:Title": "Nausicaa.net", "d:Description": "Information, articles and resources on this film, the director and studio.", "topic": "Top/Arts/Animation/Anime/Titles/W/Whisper_of_the_Heart", "url": "http://www.nausicaa.net/miyazaki/mimi/"} +{"d:Title": "The Nihon Review - The Wings of Honneamise", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/W/Wings_of_Honneamise", "url": "http://www.nihonreview.com/anime/the-wings-of-honneamise/"} +{"d:Title": "Usuyami no Sekai", "d:Description": "Character profiles, episode summaries, screen captures, MP3 files, and magazine scans.", "topic": "Top/Arts/Animation/Anime/Titles/W/Witch_Hunter_Robin", "url": "http://usuyami.vauss.com/"} +{"d:Title": "The Nihon Review - Witch Hunter Robin", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/W/Witch_Hunter_Robin", "url": "http://www.nihonreview.com/anime/witch-hunter-robin/"} +{"d:Title": "Xenosaga: The Animation", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/X", "url": "http://www.nihonreview.com/anime/xenosaga-the-animation/"} +{"d:Title": "xxxHOLiC: A Midsummer Night\u2019s Dream", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/X", "url": "http://www.nihonreview.com/anime/xxxholic-a-midsummer-nights-dream/"} +{"d:Title": "Clamp X", "d:Description": "Character profiles, manga and anime images, anime wallpapers, song lyrics, voice actors, and ring tone.", "topic": "Top/Arts/Animation/Anime/Titles/X/X", "url": "http://clampx.bravepages.com/"} +{"d:Title": "The Nihon Review - X", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/X/X", "url": "http://www.nihonreview.com/anime/x/"} +{"d:Title": "The Nihon Review - X/1999", "d:Description": "Story, review, and images of the movie.", "topic": "Top/Arts/Animation/Anime/Titles/X/X", "url": "http://www.nihonreview.com/anime/x1999/"} +{"d:Title": "Yakitate! Japan", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/Y", "url": "http://www.nihonreview.com/anime/yakitate-japan/"} +{"d:Title": "Yami to Boushi to Hon no Tabibito", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/Y", "url": "http://www.nihonreview.com/anime/yami-to-boushi-to-hon-no-tabibito/"} +{"d:Title": "Yoake Mae Yori Ruri Iro Na - Crescent Love", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/Y", "url": "http://www.nihonreview.com/anime/yoake-mae-yori-ruri-iro-na-crescent-love/"} +{"d:Title": "Yumeria", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/Y", "url": "http://www.nihonreview.com/anime/yumeria/"} +{"d:Title": "Yawara! A Fashionable Judo Girl", "d:Description": "Image galleries sorted by character.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yawara_-_A_Fashionable_Judo_Girl", "url": "http://orangeroad.org/Yawara.html"} +{"d:Title": "Judogirl: Yawara! A Fashionable Mailing List", "d:Description": "For discussing all aspects of the anime and manga. Unmoderated, archives open to the public.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yawara_-_A_Fashionable_Judo_Girl", "url": "http://groups.yahoo.com/group/judogirl/"} +{"d:Title": "Bokuto Traffic Control Department", "d:Description": "Large image gallery, character profiles, multimedia, episode guide, drawing tips, and links.", "topic": "Top/Arts/Animation/Anime/Titles/Y/You're_Under_Arrest", "url": "http://www.angelfire.com/anime4/bokuto/"} +{"d:Title": "Dueling Hearts", "d:Description": "Here fans will find image galleries, fan fiction, fan art, doujinshi, series information, and character bios.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://yugioh.db-asylum.com/"} +{"d:Title": "Yu-Gi-Oh! Forums", "d:Description": "A Forum website, dedicated to bring you the latest news and spoil you with our card lists.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://www.yugiohforums.com/"} +{"d:Title": "Kokoro no Naka", "d:Description": "High quality screencaptures from the most recent episodes.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://www.kokorononaka.net/"} +{"d:Title": "Yu-Gi-Oh! SCRNS Domain", "d:Description": "A Yu-Gi-Oh! fan site for the Japanese version of the series, with episode guides and screenshots.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://www.angelfire.com/anime5/scrnsdomain/Main.htm"} +{"d:Title": "Janime", "d:Description": "Get all your yuugiou fan needs here. Available in Thai, English, and French.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://www.janime.net/"} +{"d:Title": "Yu-Gi-Oh! Webring", "d:Description": "HTML services and membership sign-up.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://laulier.chez-alice.fr/"} +{"d:Title": "Yugi Dueler's RPG", "d:Description": "A role-playing game where fans can sign up and duel in character.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://z9.invisionfree.com/YugiDuelersRPG/index.php?"} +{"d:Title": "Kasvama", "d:Description": "Personal Yu-Gi-Oh illustration site. Primarily YugixYami.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://kasvama.web.fc2.com/"} +{"d:Title": "Pojo's Yu-Gi-Oh! Site", "d:Description": "Information about the Anime, trading card game and video games.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Yu-Gi-Oh/Fan_Pages", "url": "http://www.pojo.com/Yu-gi-oh/"} +{"d:Title": "James' Yu Yu Hakusho Homepage", "d:Description": "Character profiles, episode summaries, image gallery, wallpapers, and MP3s.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho", "url": "http://ghostfighter.tripod.com/"} +{"d:Title": "Yu Yu Hakusho Trading Card Page", "d:Description": "Images of the trading cards, shitajiki, phone cards, promo cards, photo, and cels.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho", "url": "http://members.shaw.ca/wakuwakoo/hakusho.htm"} +{"d:Title": "Yu Yu Hakusho Renbo", "d:Description": "Author, series, and voice actor information, fan art, wallpaper, and soundtracks.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho", "url": "http://yyhrenbo.deep-ice.com/"} +{"d:Title": "Smile Bomb: A Yu Yu Hakusho Fansite", "d:Description": "Character biographies, episode summaries, media, fan works, and quotes.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho", "url": "http://moonlightwarrior.net/smile_bomb/index2.php"} +{"d:Title": "Yu Yu Hakusho: Ghost Fighter", "d:Description": "Plot summary, gallery, and extensive character profiles.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho", "url": "http://yyhanime.tripod.com/"} +{"d:Title": "The UnderWorld", "d:Description": "Dedicated to Kurama and Youko. Images, character profile, fan art, mailing list and links.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho/Characters", "url": "http://www.angelfire.com/ne/kurama/"} +{"d:Title": "Flower Boy", "d:Description": "Kurama shrine with character profile, image gallery, wav sounds, opinions on the Kurama/Hiei pairing, and links.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho/Characters", "url": "http://www.angelfire.com/anime2/flowerboy/main.html"} +{"d:Title": "WebRing: Yu Yu Hakusho", "d:Description": "For sites with character shrines, information, fan art and screen caps.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho/Web_Rings", "url": "http://www.webring.org/hub/yusukeholics"} +{"d:Title": "WebRing: Yu Yu Hakusho Forever Fornever", "d:Description": "Guidelines, member list, HTML fragment.", "topic": "Top/Arts/Animation/Anime/Titles/Y/Y\u00fb_Y\u00fb_Hakusho/Web_Rings", "url": "http://www.webring.org/hub/yyhff"} +{"d:Title": "Zero no Tsukaima", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/Z", "url": "http://www.nihonreview.com/anime/zero-no-tsukaima/"} +{"d:Title": "Zero no Tsukaima: Futatsuki no Kishi", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/Z", "url": "http://www.nihonreview.com/anime/zero-no-tsukaima-futatsuki-no-kishi/"} +{"d:Title": "Zombie-Loan", "d:Description": "Story, review, and images.", "topic": "Top/Arts/Animation/Anime/Titles/Z", "url": "http://www.nihonreview.com/anime/zombie-loan/"} +{"d:Title": "Anime Admirers Zoids Plus", "d:Description": "Series summary, character profiles, fan works, and links for New Century/Zero.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://www.angelfire.com/anime4/animeadmirers/"} +{"d:Title": "Backdraft HQ", "d:Description": "Features pictures, an episode guide, character biographies, and a Pro-Harry Champ Movement.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://www.angelfire.com/anime4/darkzoids/index.html"} +{"d:Title": "Red River Base", "d:Description": "Focusing on Chaotic Century. Includes both characters and Zoids information, episode guide, image galleries, fan works and model reviews of the mecha.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://www.angelfire.com/anime4/darkzoidscc/index.html"} +{"d:Title": "The Zoids Profiles", "d:Description": "Information on Zoid types, internet games, quizzes, toys, pictures and character biographies.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://zoids_forever.tripod.com/"} +{"d:Title": "Zoids Ultimate", "d:Description": "Information on the series and characters.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://www.angelfire.com/anime4/zoidsultimate/"} +{"d:Title": "Organoids Shrine", "d:Description": "Information and images of the Organoids and their partners.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://www.angelfire.com/trek/zoidszi.ccgf/index.html"} +{"d:Title": "Epguides.com: Zoids", "d:Description": "Episode guide for the series listing episodes and airdates along with a brief summary.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://epguides.com/Zoids/"} +{"d:Title": "Classic Zoids Forums", "d:Description": "For the discussion of the Chaotic Century and Guardian Force anime.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://s15.invisionfree.com/Classic_Zoids_Forums/index.php?act=idx"} +{"d:Title": "Zoid Evolution", "d:Description": "Offers information and screenshots from the anime series.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://www.zoidsevolution.net/"} +{"d:Title": "Liger's Union", "d:Description": "Model reviews and photos, amv's, dvd screenshots, fan fics, fanart, and an episode guide of the Zero series.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://www.ligersunion.com/"} +{"d:Title": "Cybernation", "d:Description": "Zoids doujinshi guide. Features scans and information about each book.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://sieg.nu/doujinshi/"} +{"d:Title": "Zoids Card Gallery", "d:Description": "Gallery of cards from the Zoids battle card (trading card) game and trading collection.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids", "url": "http://sieg.nu/cards/"} +{"d:Title": "Blue Sky", "d:Description": "O'Connell fansite. Character information, fan art, image gallery, message board and links.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Characters", "url": "http://horror-vacui.tristrum.com/bluesky/"} +{"d:Title": "SOS: Save Our Schubaltz", "d:Description": "Thomas fan site. Contains information, images and humor.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Characters", "url": "http://soschubaltz.tripod.com/"} +{"d:Title": "Seijuurou's Swimsuit", "d:Description": "Seijuurou fan site containing an image gallery and fan art.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Characters", "url": "http://www.geocities.jp/seijuu_swim/"} +{"d:Title": "Your Final Battle", "d:Description": "EZBoard based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Roleplaying", "url": "http://www.angelfire.com/rpg/gundamrpe/"} +{"d:Title": "Cornelle's Zoid Palace", "d:Description": "Rules, battle instructions and team information.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Roleplaying", "url": "http://zoidpilot0428.tripod.com/"} +{"d:Title": "Zoid X RPG", "d:Description": "Board based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Roleplaying", "url": "http://www.zoidsrp.1accesshost.com/"} +{"d:Title": "Metal Combat", "d:Description": "Board-based RPG.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Roleplaying", "url": "http://s3.invisionfree.com/Metal_Combat/index.php"} +{"d:Title": "Zoids Tabletop RPG", "d:Description": "E-book guide for a role-playing game based on the Zoids universe. Covers Both Chaotic Century Seasons and the Century Zero Season.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Roleplaying", "url": "http://www.lulu.com/content/2649692"} +{"d:Title": "Zoids Blue Rush", "d:Description": "Board based RPG with New Century-style battles.", "topic": "Top/Arts/Animation/Anime/Titles/Z/Zoids/Roleplaying", "url": "http://zoidsbluerush.yuku.com/"} +{"d:Title": "Original Anime Series Ring", "d:Description": "All original series welcome. HTML fragments, instructions for joining, and rules.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.angelfire.com/anime2/kawaiinc/webring.html"} +{"d:Title": "The Ryoga Hibiki Webring", "d:Description": "General anime sites welcome, rules, and HTML fragment.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=ryogaring"} +{"d:Title": "Anime Music Video Creators' Web Ring", "d:Description": "Over 40 listings of video creators and fans of J-Pop.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=animemvs"} +{"d:Title": "Alliance of the Hand of Kami-Sama", "d:Description": "General webring for fans of anime, science-fiction, and role-playing. Over 50 sites.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=alliance99"} +{"d:Title": "Anime Cards Webring", "d:Description": "Primarily concerned with anime trading cards (such as Ani-Mayhem), but also lists sites concerning other types of anime merchandise.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=anicards"} +{"d:Title": "The Anime Webring Owners Webring", "d:Description": "A webring for people who themselves run anime webrings.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=ringani"} +{"d:Title": "New Ring of Anime Rings", "d:Description": "For anime webring owners; around 5 sites.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=nroar"} +{"d:Title": "Anime Central's Ring of Attack", "d:Description": "Highlighting special attacks used by anime characters. Over 50 sites.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=animecentral"} +{"d:Title": "Anime and Games", "d:Description": "Dedicated to anime, manga, and video games. Over 30 sites.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=animev"} +{"d:Title": "Anime Addicts", "d:Description": "Open to all anime fans.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=anime29"} +{"d:Title": "Anime World Ring", "d:Description": "All anime sites welcome; around 80 listings.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=anime"} +{"d:Title": "Anime Sweets", "d:Description": "All anime sites welcome; around 50 sites.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=animesweets"} +{"d:Title": "Anime Evil Ring", "d:Description": "For sites with or about anime villains.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=lodoss"} +{"d:Title": "Anime/Manga Lovers Who Drink Coke", "d:Description": "For anime/manga sites whose creators prefer Coca-Cola to Pepsi.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=hitsgalleria"} +{"d:Title": "Cabbit Lover Ring", "d:Description": "General anime ring, but with emphasis on fans of the cabbit, or half-cat, half-rabbit.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub?ring=cabbitlove"} +{"d:Title": "WebRing: Fansites&Fansubs", "d:Description": "For fan sites and traders and distributors of fan-subtitled anime. List of sites, statistics, and how to join.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub/fansitesfansubs"} +{"d:Title": "The Catgirl Webring", "d:Description": "Dedicated to anime catgirls and furry artwork.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub/catgirl"} +{"d:Title": "WebRing: Anime Domain", "d:Description": "A collection of anime related sites.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub/animedomain"} +{"d:Title": "Amie", "d:Description": "Primarily dedicated to Sh\u00f4jo anime and manga.", "topic": "Top/Arts/Animation/Anime/Web_Rings", "url": "http://www.webring.org/hub/amie"} +{"d:Title": "WebRing: Underground Bishounen", "d:Description": "Kurama, Shinji, Sephiroth, Nuriko, Nakago, Koji, Kenshin, Duo, Heero and other boys of Anime.", "topic": "Top/Arts/Animation/Anime/Web_Rings/Gender-Specific", "url": "http://www.webring.org/hub/boyluv"} +{"d:Title": "Harry Smith Archives", "d:Description": "Filmography, interviews, pictures, and resources related to the work of this visionary animator.", "topic": "Top/Arts/Animation/Artists", "url": "http://www.harrysmitharchives.com/"} +{"d:Title": "Bill Davis, Director/Designer", "d:Description": "Animation and live action television commercials, illustrations and paintings by Emmy Award winning director, designer, illustrator and artist.", "topic": "Top/Arts/Animation/Artists", "url": "http://www.billsbook.com/"} +{"d:Title": "Animationman", "d:Description": "Evert Brown, Emmy Award-winning animation director, designer, and animator is now doing freelance animation, storyboards, layout, directing, and production.", "topic": "Top/Arts/Animation/Artists", "url": "http://www.animationman.com/"} +{"d:Title": "The World of Adam", "d:Description": "Contains animation, music videos, illustration, performance, and links to points of interest for New York City artist Adam Shecter.", "topic": "Top/Arts/Animation/Artists", "url": "http://www.theworldofadam.com/"} +{"d:Title": "Wray, Bill - BigBlownBaby", "d:Description": "Animator and artist for titles such as Hellboy Jr, Batman.", "topic": "Top/Arts/Animation/Artists", "url": "http://www.bigblownbaby.com/"} +{"d:Title": "Crankbunny - Norma V Toraya", "d:Description": "News and collection of personal and professional work from the NYC based Animation Director.", "topic": "Top/Arts/Animation/Artists/Directors", "url": "http://www.crankbunny.net/"} +{"d:Title": "Tex Avery Tribute", "d:Description": "\"Tribute to the genius of cartoonist Tex Avery, creator of such characters as Daffy Duck, Droopy, and Screwy Squirrel.\" Multimedia site includes biography, filmography, sound clips, wallpaper, pictures, and links.", "topic": "Top/Arts/Animation/Artists/Directors/Avery,_Tex", "url": "http://www.texavery.com/"} +{"d:Title": "The Official Ralph Bakshi Home Page", "d:Description": "Biography, filmography, sketches, paintings, ordering information and auctions.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://ralphbakshi.com/"} +{"d:Title": "vegalleries.com - Art gallery of Ralph Bakshi", "d:Description": "Ralph Bakshi production cels, production drawings, and concept art.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://vegalleries.com/bakshiopc.html"} +{"d:Title": "A Critique of Ralph Bakshi's Lord of the Rings", "d:Description": "It provides a detailed and comprehensive critique about his \"Lord of the Rings\".", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://flyingmoose.org/tolksarc/bakshi/bakshi.htm"} +{"d:Title": "Lord of the Rings - Animated - Anime at BellaOnline", "d:Description": "It provides a short biography and images from Ralph Bakshi.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://www.bellaonline.com/articles/art9840.asp"} +{"d:Title": "Wikipedia, encyclopedia - Ralph Bakshi", "d:Description": "Biography, filmography and descriptions about films, he has directed.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://en.wikipedia.org/wiki/Ralph_Bakshi"} +{"d:Title": "Yahoo! Movies: Ralph Bakshi", "d:Description": "Biography, filmography, message boards and clubs.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://movies.yahoo.com/person/ralph-bakshi/"} +{"d:Title": "The Full Wiki: Ralph Bakshi", "d:Description": "Features a Biography and filmography.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://www.thefullwiki.org/Ralph_Bakshi"} +{"d:Title": "Ralph Bakshi", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph", "url": "http://www.imdb.com/name/nm0000835/"} +{"d:Title": "Metroactive Movies - Ralph Bakshi", "d:Description": "An interview with Cinequest Maverick Spirit honoree Ralph Bakshi.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph/Articles_and_Interviews", "url": "http://www.metroactive.com/papers/metro/02.27.03/bakshi-0309.html"} +{"d:Title": "Ralph Bakshi's unfairly maligned Lord of the Rings.", "d:Description": "An article about Ralph Bakshi, especially about his \"Lord of the Rings\" by Glenn Gaslin.", "topic": "Top/Arts/Animation/Artists/Directors/Bakshi,_Ralph/Articles_and_Interviews", "url": "http://slate.com/?id=2058784"} +{"d:Title": "Lean, Mean Fighting Machine", "d:Description": "Interview by Bob Miller from the August '99 issue of Animation World Magazine. How Brad Bird has put Warner Feature Animation back on the map.", "topic": "Top/Arts/Animation/Artists/Directors/Bird,_Brad", "url": "http://www.awn.com/mag/issue4.05/4.05pages/millerbird.php3"} +{"d:Title": "Storyboarding Innovations on The Iron Giant", "d:Description": "Brad Bird, director of Warner Bros. Feature Animation's The Iron Giant, discusses the latest in storyboarding techniques and how he applied them to the film. [Animation World Magazine; Issue 3.8]", "priority": "1", "topic": "Top/Arts/Animation/Artists/Directors/Bird,_Brad", "url": "http://www.awn.com/mag/issue3.8/3.8pages/3.8birdmacromedia.html"} +{"d:Title": "Acclaimed Giant will get a second life on video", "d:Description": "by Barbara Vancheri. [Post-Gazette] Feature article and interview with director Brad Bird.", "topic": "Top/Arts/Animation/Artists/Directors/Bird,_Brad", "url": "http://www.post-gazette.com/magazine/19991119video4.asp"} +{"d:Title": "Iron Giant - What if a Gun had a Soul?", "d:Description": "By Jamie Allen. [CNN.com] Includes interview in video clips for QuickTime or MPEG-3.", "topic": "Top/Arts/Animation/Artists/Directors/Bird,_Brad", "url": "http://www.cnn.com/SHOWBIZ/Movies/9908/05/iron.giant/"} +{"d:Title": "What if a Gun had a Soul?", "d:Description": "Interview by Amazon.com. Director Brad Bird on the care and maintenance of The Iron Giant.", "topic": "Top/Arts/Animation/Artists/Directors/Bird,_Brad", "url": "http://www.amazon.com/exec/obidos/tg/feature/-/18610/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Artists/Directors/Bird,_Brad", "url": "http://www.imdb.com/name/nm0083348/"} +{"d:Title": "Don Bluth Goes Independent", "d:Description": "By Jerry Beck. [Animation World Magazine] \"A personal remembrance of when Don Bluth quit Disney, formed an independent studio and inspired the current feature animation boom.\" Include photo and pictures.", "topic": "Top/Arts/Animation/Artists/Directors/Bluth,_Don/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue1.3/articles/beck1.3.html"} +{"d:Title": "Interview with Don Bluth", "d:Description": "Detailed 3-part discussion of the animation director's history, projects and career. By Kenneth Plume. [IGN FilmForce]", "topic": "Top/Arts/Animation/Artists/Directors/Bluth,_Don/Articles_and_Interviews", "url": "http://movies.ign.com/articles/035/035877p1.html"} +{"d:Title": "Brothers Quay", "d:Description": "Personal account of discovering the film makers, with Real audio downloads, filmography, and related links.", "topic": "Top/Arts/Animation/Artists/Directors/Brothers_Quay", "url": "http://cbg15.tripod.com/quay.htm"} +{"d:Title": "Shifting Realities", "d:Description": "Article by Suzanne Buchan in Animation World magazine.", "topic": "Top/Arts/Animation/Artists/Directors/Brothers_Quay", "url": "http://www.awn.com/mag/issue1.3/articles/buchan1.3.html"} +{"d:Title": "Thing Reviews", "d:Description": "Interview with reader comments.", "topic": "Top/Arts/Animation/Artists/Directors/Brothers_Quay", "url": "http://old.thing.net/ttreview/febrev.02.html"} +{"d:Title": "The Stranger: An Interpretive Palaver", "d:Description": "Film critics' review following a viewing of \"In Absentia.\"", "topic": "Top/Arts/Animation/Artists/Directors/Brothers_Quay", "url": "http://www.thestranger.com/seattle/an-interpretive-palaver/Content?oid=5374"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Artists/Directors/Clampett,_Robert", "url": "http://www.imdb.com/name/nm0163332/"} +{"d:Title": "Internet Movie Database: Arthur Davis", "d:Description": "Filmography for Arthur Davis.", "topic": "Top/Arts/Animation/Artists/Directors/Davis,_Arthur", "url": "http://www.imdb.com/name/nm0204190/"} +{"d:Title": "The Occasional Deitch", "d:Description": "The official homepage of Oscar-winning UPA animator Gene Deitch.", "topic": "Top/Arts/Animation/Artists/Directors/Deitch,_Gene", "url": "http://www.genedeitch.com/"} +{"d:Title": "Find a Grave: Grave of Isadore Freleng", "d:Description": "Brief biography, photos, and user comments.", "topic": "Top/Arts/Animation/Artists/Directors/Freleng,_Friz", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3822"} +{"d:Title": "Internet Movie Database: Friz Freleng", "d:Description": "Filmography for Friz Freleng.", "topic": "Top/Arts/Animation/Artists/Directors/Freleng,_Friz", "url": "http://www.imdb.com/name/nm0293989/"} +{"d:Title": "Digital Media FX: William Hanna: The Story of a Legend", "d:Description": "Noell Wolfgram Evans takes a look at Hanna's accomplishments and visions.", "topic": "Top/Arts/Animation/Artists/Directors/Hanna,_William", "url": "http://www.digitalmediafx.com/Features/williamhanna.html"} +{"d:Title": "Cosmopolis: Tom and Jerry", "d:Description": "The history of the cartoon in memoriam of William Hanna.", "topic": "Top/Arts/Animation/Artists/Directors/Hanna,_William", "url": "http://www.cosmopolis.ch/english/cosmo15/tomandjerry.htm"} +{"d:Title": "Chuck Jones", "d:Description": "Official site of the legendary animation director. Updated daily with news and gallery show information, a complete selection of his artwork and career history.", "priority": "1", "topic": "Top/Arts/Animation/Artists/Directors/Jones,_Chuck", "url": "http://www.chuckjones.com/"} +{"d:Title": "Happy Birthday, Chuck Jones!", "d:Description": "Coverage of Chuck Jones' 85th birthday party.", "topic": "Top/Arts/Animation/Artists/Directors/Jones,_Chuck", "url": "http://www.awn.com/mag/issue2.7/2.7pages/2.7jacksonjones.html"} +{"d:Title": "Chuck Jones - The Academy of Achievement", "d:Description": "Provides lengthy interview with Chuck Jones, animation pioneer.", "topic": "Top/Arts/Animation/Artists/Directors/Jones,_Chuck", "url": "http://www.achievement.org/autodoc/page/jon1pro-1"} +{"d:Title": "Chuck Jones - The Greatest Animator of All Time", "d:Description": "Provides a list of must-see Chuck Jones cartoons and links to sounds and interviews.", "topic": "Top/Arts/Animation/Artists/Directors/Jones,_Chuck", "url": "http://www.coldbacon.com/jones.html"} +{"d:Title": "IMDb: Chuck Jones (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Animation/Artists/Directors/Jones,_Chuck", "url": "http://www.imdb.com/name/nm0005062/"} +{"d:Title": "Wikipedia: John Lasseter", "d:Description": "Biography, filmography and quotes.", "topic": "Top/Arts/Animation/Artists/Directors/Lasseter,_John", "url": "http://en.wikipedia.org/wiki/John_Lasseter"} +{"d:Title": "IMDb: John Lasseter", "d:Description": "Biography, filmography, awards and photos.", "topic": "Top/Arts/Animation/Artists/Directors/Lasseter,_John", "url": "http://www.imdb.com/name/nm0005124/"} +{"d:Title": "KCRW: John Lasseter", "d:Description": "Audio interview recording by Elvis Mitchell discussing the Pixar movie Cars.", "topic": "Top/Arts/Animation/Artists/Directors/Lasseter,_John", "url": "http://www.kcrw.com/etc/programs/tt/tt060607john_lasseter"} +{"d:Title": "CNN: What will Pixar's John Lasseter do at Disney", "d:Description": "Article with extended excerpts from an interview with Lasseter, covering his background, the creation of Pixar Studios and its relationship to Disney Studios.", "topic": "Top/Arts/Animation/Artists/Directors/Lasseter,_John", "url": "http://money.cnn.com/2006/05/15/magazines/fortune/pixar_futureof_fortune_052906/index.htm"} +{"d:Title": "Internet Movie Database: Norm McCabe", "d:Description": "Filmography for Norm McCabe.", "topic": "Top/Arts/Animation/Artists/Directors/McCabe,_Norm", "url": "http://www.imdb.com/name/nm0564495/"} +{"d:Title": "Internet Movie Database: Robert McKimson", "d:Description": "Filmography for Robert McKimson.", "topic": "Top/Arts/Animation/Artists/Directors/McKimson,_Robert", "url": "http://www.imdb.com/name/nm0571781/"} +{"d:Title": "The Magical World of Jan Svankmajer", "d:Description": "American Cinematheque presentations and short biography.", "topic": "Top/Arts/Animation/Artists/Directors/Svankmajer,_Jan", "url": "http://www.americancinematheque.com/archive1999/2001/svankmajer.htm"} +{"d:Title": "The Wager of a Militant Surrealist", "d:Description": "Review from the Slavic Research Center of The Death of Stalinism in Bohemia film, an overview of his country's history after the Second World War.", "topic": "Top/Arts/Animation/Artists/Directors/Svankmajer,_Jan", "url": "http://src-h.slav.hokudai.ac.jp/publictn/45/akatsuka/akatsuka-E.html"} +{"d:Title": "Animation Heaven and Hell", "d:Description": "Stop motion innovator stills and Quicktime movies.", "topic": "Top/Arts/Animation/Artists/Directors/Svankmajer,_Jan", "url": "http://www.awn.com/heaven_and_hell/svank/svank1.htm"} +{"d:Title": "JanSvankmajer.com", "d:Description": "Biography and works summary utilizing visual representations.", "topic": "Top/Arts/Animation/Artists/Directors/Svankmajer,_Jan", "url": "http://www.jansvankmajer.com/"} +{"d:Title": "The Surrealist Conspirator: An Interview With Jan Svankmajer", "d:Description": "Interview from Animation World Magazine, June 1997, discussing his past films, awards, and use of marionettes.", "topic": "Top/Arts/Animation/Artists/Directors/Svankmajer,_Jan", "url": "http://www.awn.com/mag/issue2.3/issue2.3pages/2.3jacksonsvankmajer.html"} +{"d:Title": "Jan Svankmajer: The Prodigious Animator from Prague", "d:Description": "Annotated article from the Kinema journal discussing the director's challenges and techniques.", "topic": "Top/Arts/Animation/Artists/Directors/Svankmajer,_Jan", "url": "http://www.kinema.uwaterloo.ca/article.php?id=363&feature"} +{"d:Title": "Internet Movie Database: Frank Tashlin", "d:Description": "Filmography for Frank Tashlin.", "topic": "Top/Arts/Animation/Artists/Directors/Tashlin,_Frank", "url": "http://www.imdb.com/name/nm0850895/"} +{"d:Title": "Masters of the Universe Unbound: Bruce Timm", "d:Description": "Fan page with bio, photos, original sketches, comic scans, and information.", "topic": "Top/Arts/Animation/Artists/Directors/Timm,_Bruce", "url": "http://www.mastersunbound.com/special%20feature/bruce%20timm/Bruce_Timm%201.htm"} +{"d:Title": "Bruce Timm Interviewed", "d:Description": "By George Khoury. [The Jack Kirby Collector] An interview excerpt.", "topic": "Top/Arts/Animation/Artists/Directors/Timm,_Bruce/Articles_and_Interviews", "url": "http://twomorrows.com/kirby/articles/21timm.html"} +{"d:Title": "Timm of the New Gods", "d:Description": "By Jon B. Cooke. [Comic Book Artist] Includes original storyboard art from the Superman finale, \"Legacy\".", "topic": "Top/Arts/Animation/Artists/Directors/Timm,_Bruce/Articles_and_Interviews", "url": "http://www.twomorrows.com/comicbookartist/articles/sptimm.html"} +{"d:Title": "The Emmy Awards", "d:Description": "Hosted by the Academy of Television Arts and Sciences.", "topic": "Top/Arts/Animation/Awards", "url": "http://www.emmys.com/"} +{"d:Title": "The Annie Awards", "d:Description": "\"The highest honor given for excellence in animation. Each year, Annie Award trophies are awarded for the year's best feature film, video, television program, commercial, and animated interactive production; as well as individual achievement by artists, writers and voice talent.\"", "topic": "Top/Arts/Animation/Awards", "url": "http://www.annieawards.org/"} +{"d:Title": "Cartoon Research", "d:Description": "Dedicated To classic cartoons: past, present and future. Animation historian Jerry Beck offers a vast resource for cartoon-related news, commentary, information, history, booklist, recommended links, original title cards, and complete list of animated feature films from 1937-2000.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons", "url": "http://www.cartoonresearch.com/"} +{"d:Title": "Don Markstein's Toonopedia", "d:Description": "On-line hypertext encyclopedia of comics, animation and other forms of cartoonery. \"A vast repository of toonological knowledge.\"", "topic": "Top/Arts/Animation/Cartoons", "url": "http://www.toonopedia.com/"} +{"d:Title": "Toonarific Cartoon Archive", "d:Description": "Expansive collection of toons from the 1950s through the 1990s, most with screenshots and description.", "topic": "Top/Arts/Animation/Cartoons", "url": "http://www.toonarific.com/"} +{"d:Title": "Cartoon World", "d:Description": "A worldwide focal point for professional and aspiring cartoonists, publishers, collectors and enthusiasts interested in comics, cartoons, and animation to access information about all aspects of the cartoon art form.", "topic": "Top/Arts/Animation/Cartoons", "url": "http://www.cartoonworld.org/"} +{"d:Title": "Toonrific Cartoon Database", "d:Description": "Information and episode lists for cartoons and animated series.", "topic": "Top/Arts/Animation/Cartoons", "url": "http://toonrific.pazsaz.com/"} +{"d:Title": "CybertOOn's Cartoon Campaign", "d:Description": "Online campaign dedicated to returning discontinued/cancelled cartoons and animated series to the air. Site features news, message board, editorials, petitions, cartoon links, and contact information.", "topic": "Top/Arts/Animation/Cartoons/Campaigns_and_Petitions", "url": "http://members.tripod.com/~cybertoon/index.html"} +{"d:Title": "Toon Zone Forums", "d:Description": "A continuum of forums for fans of animated cartoons past and present, for discussing animated cartoons, programs and movies produced by Warner Bros, Cartoon Network, Nickelodeon, Hanna-Barbera, Dreamworks, Disney, Pixar, Fox and others.", "topic": "Top/Arts/Animation/Cartoons/Chats_and_Forums", "url": "http://forums.toonzone.net/"} +{"d:Title": "Cartoons", "d:Description": "Yahoo Club for discussing cartoons.", "topic": "Top/Arts/Animation/Cartoons/Chats_and_Forums", "url": "http://groups.yahoo.com/group/cartoons2/"} +{"d:Title": "The Big Cartoon DataBase", "d:Description": "Directory and searchable index of cartoon shorts and animation.", "topic": "Top/Arts/Animation/Cartoons/Directories", "url": "http://www.bcdb.com/"} +{"d:Title": "LikeTelevision Cartoon Library", "d:Description": "Download streaming video clips of classic animated cartoons in public domain. Features Superman, Betty Boop, Popeye, Mighty Mouse, Casper the Friendly Ghost, Gumby, Little Lulu, Felix the Cat, Bugs Bunny, Daffy Duck, and Porky Pig.", "topic": "Top/Arts/Animation/Cartoons/Downloads", "url": "http://tesla.liketelevision.com/toonfest/toonfest.php?theme=cartoons"} +{"d:Title": "epguides.com", "d:Description": "Episode lists for 1250+ TV shows with titles and original airdates. Also includes detailed episode guides for over 250 shows with guest stars and plot summaries.", "topic": "Top/Arts/Animation/Cartoons/Episode_Guides", "url": "http://epguides.com/menu/animation.shtml"} +{"d:Title": "The Home For Orphan Toons", "d:Description": "A blog devoted to obscure American animation of the Golden Age; cartoons forgotten by time, or neglected by the studios that made them.", "topic": "Top/Arts/Animation/Cartoons/Eras", "url": "http://orphantoons.wordpress.com/"} +{"d:Title": "TVParty: Saturday Mornings", "d:Description": "Archived cartoon schedules and information from 1966-1988. Includes reviews and pictures.", "topic": "Top/Arts/Animation/Cartoons/Eras/1970s", "url": "http://www.tvparty.com/sat.html"} +{"d:Title": "Dee-T's 70s Page: Children's TV", "d:Description": "Features children's programming and commercials. Includes pictures, audio, and links.", "topic": "Top/Arts/Animation/Cartoons/Eras/1970s", "url": "http://dt.prohosting.com/70s/childtv/childtv.html"} +{"d:Title": "80sCartoons.co.uk", "d:Description": "Retro cartoon site about several cartoons from the 80s.", "topic": "Top/Arts/Animation/Cartoons/Eras/1980s", "url": "http://www.80scartoons.co.uk/"} +{"d:Title": "80s Toons", "d:Description": "Directory of cartoons from the 1980s with episode guides, character overview, background, images, list of creators and lyrics.", "topic": "Top/Arts/Animation/Cartoons/Eras/1980s", "url": "http://www.80stoons.com/"} +{"d:Title": "80s Children", "d:Description": "For fans of 80s cartoons. [Ages 13 and up]", "topic": "Top/Arts/Animation/Cartoons/Eras/1980s/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/80schildren/"} +{"d:Title": "80s Cartoons", "d:Description": "For fans of 80s cartoons. [Ages 10 and up]", "topic": "Top/Arts/Animation/Cartoons/Eras/1980s/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/80scartoons/"} +{"d:Title": "Toon Zone - Fanfics", "d:Description": "Collection of fan fiction by various authors, written for Animaniacs, Batman, Batman Beyond, Histeria!, Looney Tunes / Merrie Melodies, the Powerpuffs, Pinky and The Brain, and Tiny Toon Adventures.", "topic": "Top/Arts/Animation/Cartoons/Fan_Fiction", "url": "http://wbc.toonzone.net/fun/fanfics/"} +{"d:Title": "Political of Cartoon Characters", "d:Description": "List and images speculating on what the character's leanings would be. American and British versions.", "topic": "Top/Arts/Animation/Cartoons/Fan_Fiction/Humor", "url": "http://www.unknown.nu/cartoon/"} +{"d:Title": "Moon Kitty's Page", "d:Description": "Dedicated to Beast Wars, Sailormoon and Pokemon.", "topic": "Top/Arts/Animation/Cartoons/Fan_Pages", "url": "http://www.angelfire.com/ca3/moonkitty/index.html"} +{"d:Title": "The Toon Obsession", "d:Description": "Personal homepage with extensive information on selected animated cartoons, feature animation and animated characters. Also includes voice actor tributes, animation news, polls, fan art, humor, ponderings and links.", "topic": "Top/Arts/Animation/Cartoons/Fan_Pages", "url": "http://www.angelfire.com/ut/RToons/"} +{"d:Title": "'Toontastic", "d:Description": "Polls and pages with trivia on Roger Rabbit, Betty Boop, and Shaggy from Scooby-Doo.", "topic": "Top/Arts/Animation/Cartoons/Fan_Pages", "url": "http://www.angelfire.com/tv/Toontastic/index.html"} +{"d:Title": "Toon Zone", "d:Description": "Comprehensive fan resource and community for classic and contemporary Warner Bros cartoons, as well as fans of animated programming featured on Kids WB, Cartoon Network and Nickelodeon.", "topic": "Top/Arts/Animation/Cartoons/Fan_Pages", "url": "http://toonzone.net/"} +{"d:Title": "The Home For Cartoon Villains", "d:Description": "A guide to the world of cartoon Super-Villains. Features Hall of Fame, quizzes, encyclopedia, and links.", "topic": "Top/Arts/Animation/Cartoons/Fan_Pages", "url": "http://cartoonvillains.8m.com/"} +{"d:Title": "Animated Lust (Rated PG)", "d:Description": "A fan-interactive site (and safe for all ages) dedicated to the appreciation of all animated characters. Vote for your favorites and join the forum discussions.", "topic": "Top/Arts/Animation/Cartoons/Fan_Pages/Characters", "url": "http://www.dragonfare.com/AL/"} +{"d:Title": "Hanna Barbera Super TV Heroes", "d:Description": "Includes faq, episode guide, synopsis, pictures, comic book appearances, and powers of the Hanna Barbera Super TV Heroes", "topic": "Top/Arts/Animation/Cartoons/Genres/Superhero", "url": "http://webpages.charter.net/superheroes/hbsupertvheroes.html"} +{"d:Title": "The Animated Heroes Webring", "d:Description": "For websites about heroes and heroines from any cartoon, anime, or animated series or movie.", "topic": "Top/Arts/Animation/Cartoons/Genres/Superhero/Web_Rings", "url": "http://www.webring.org/hub?ring=heros"} +{"d:Title": "Super Hero Web Ring", "d:Description": "For Super Heroes and Super Hero fans alike.", "topic": "Top/Arts/Animation/Cartoons/Genres/Superhero/Web_Rings", "url": "http://www.webring.org/hub?ring=superheroes"} +{"d:Title": "ARG! Flash Halloween Cartoons", "d:Description": "Several dozens of animations for Halloween.", "topic": "Top/Arts/Animation/Cartoons/Seasonal/Halloween", "url": "http://flash.artie.com/arg-red_skeletoon.html"} +{"d:Title": "Free Halloween animations at 4YEO", "d:Description": "Nine dancing Halloween characters.", "topic": "Top/Arts/Animation/Cartoons/Seasonal/Halloween", "url": "http://www.4yeo.com/holidays/halloween/"} +{"d:Title": "2 Stupid Dogs Webring", "d:Description": "Founder information, links to member sites, and instructions on joining.", "topic": "Top/Arts/Animation/Cartoons/Titles/2/2_Stupid_Dogs", "url": "http://www.webring.org/hub?ring=2sd"} +{"d:Title": "2 Stupid Dogs TV Series", "d:Description": "Show credits and a review of the series, as well as a cast overview, and a plot outline.", "topic": "Top/Arts/Animation/Cartoons/Titles/2/2_Stupid_Dogs", "url": "http://www.imdb.com/title/tt0105928/"} +{"d:Title": "3 South", "d:Description": "Episode guide, photos, videos, cast and crew information, forums, and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/3", "url": "http://www.tv.com/shows/3-south/"} +{"d:Title": "Voice Chasers: Aaahh!!! Real Monsters", "d:Description": "Voice cast of the show with links to the actors statistics and background information.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Aaahh_Real_Monsters", "url": "http://www.voicechasers.com/database/showprod.php?prodid=661"} +{"d:Title": "IMDb: Aaahh!!! Real Monsters (1994)", "d:Description": "Writer credits, plot summary, video purchase information, voice credits, and a cast overview.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Aaahh_Real_Monsters", "url": "http://www.imdb.com/title/tt0108684/"} +{"d:Title": "Aeon Flux Discussion Board", "d:Description": "Bulletin board FAQ with instructions on posting questions, thoughts, rants, and raves about the show or view previous posts.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Aeon_Flux", "url": "http://www.greenspun.com/bboard/q-and-a.tcl?topic=Aeon+Flux"} +{"d:Title": "Aeon Flux Mailing List", "d:Description": "Discussion list for the series with group information, and membership instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Aeon_Flux", "url": "http://groups.yahoo.com/group/AeonFlux/"} +{"d:Title": "Yahoo Groups: Aeon Flux Forum", "d:Description": "Message board, archive, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Aeon_Flux", "url": "http://groups.yahoo.com/group/aeonfluxforum/"} +{"d:Title": "IMDb: Aeon Flux", "d:Description": "Plot summary, credits, reviews, a cast overview, and viewer comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Aeon_Flux", "url": "http://www.imdb.com/title/tt0111873/"} +{"d:Title": "Toonhound: Alias the Jester", "d:Description": "Brief information on the show and links to related sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Alias_the_Jester", "url": "http://www.toonhound.com/aliasthejester.htm"} +{"d:Title": "Alvin and the Chipmunks and the Chipettes", "d:Description": "Fan page with screenshots and information on the collectibles.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Alvin_and_the_Chipmunks", "url": "http://www.angelfire.com/tx/Cozykat/chipmunks.html"} +{"d:Title": "The Chipmunks and the Chipettes", "d:Description": "Episode guide, pictures, links, and fan fiction from the 80s cartoon.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Alvin_and_the_Chipmunks", "url": "http://www.angelfire.com/ca/albrit/index.html"} +{"d:Title": "DeChipmunks Mailing List", "d:Description": "Discussion list membership information and group statistics.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Alvin_and_the_Chipmunks", "url": "http://groups.yahoo.com/group/dechipmunks/"} +{"d:Title": "IMDb: Alvin and the Chipmunks (1983)", "d:Description": "Plot summary, voice credits, and links to video tapes.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Alvin_and_the_Chipmunks", "url": "http://www.imdb.com/title/tt0084972/"} +{"d:Title": "IMDb: Alvin and the Chipmunks (2007)", "d:Description": "Photos, cast, and trailer.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Alvin_and_the_Chipmunks", "url": "http://www.imdb.com/title/tt0952640/"} +{"d:Title": "IMDb: The Chipmunk Adventure (1987)", "d:Description": "Information about the feature length movie as well as viewer comments, and a cast list.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Alvin_and_the_Chipmunks", "url": "http://www.imdb.com/title/tt0092752/"} +{"d:Title": "IMDb: The Amazing Chan and the Chan Clan (1972)", "d:Description": "Detailed voice credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Amazing_Chan_and_the_Chan_Clan", "url": "http://www.imdb.com/title/tt0068037/"} +{"d:Title": "Amazing Chan and the Chan Clan according to Wingnut", "d:Description": "Episode list and voice cast.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Amazing_Chan_and_the_Chan_Clan", "url": "http://www.wingnuttoons.com/AmaziChan.html"} +{"d:Title": "IMDb: Angela Anaconda", "d:Description": "Voice credits and show reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Angela_Anaconda", "url": "http://www.imdb.com/title/tt0224455/"} +{"d:Title": "Fans of Angry Beavers Online", "d:Description": "Links, an episode guide, message board, webring information and HTML code.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Angry_Beavers", "url": "http://members.tripod.com/~foab/"} +{"d:Title": "PBS Kids: Animalia", "d:Description": "Educational games, ecards, video clips, and earn a Bill of Writes certificate; parents and teachers resources.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://pbskids.org/animalia/"} +{"d:Title": "Common Sense Media: Animalia", "d:Description": "Summary with user reviews and ratings, content subject matter and series content for children.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://www.commonsensemedia.org/tv-reviews/Animalia_2.html"} +{"d:Title": "IMDb: Animalia (2007)", "d:Description": "Cast and crew, ratings, user comments, and episode lists.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://www.imdb.com/title/tt0887305/"} +{"d:Title": "Wikipedia: Animalia (TV series)", "d:Description": "Encyclopedic entry with a plot summary, characters, and series production.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://en.wikipedia.org/wiki/Animalia_(TV_series)"} +{"d:Title": "Australian Television: Animalia", "d:Description": "Episode guide with corresponding screenshots, and related list of articles.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://www.australiantelevision.net/animalia/"} +{"d:Title": "YouTube: Animalia TEN News", "d:Description": "Australian Channel Ten news coverage; making of the series.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://www.youtube.com/watch?v=HoU9Bx-VT1s"} +{"d:Title": "Animalia - A worldwide hit", "d:Description": "Press release relating to the success of the television series.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://www.bbc.co.uk/pressoffice/bbcworldwide/worldwidestories/pressreleases/2008/07_july/animalia_hit.shtml"} +{"d:Title": "Animalia animated", "d:Description": "Article relating to the books transition to television and comments from the author; photograph.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://www.australiantelevision.net/animalia/articles/animalianimated.html"} +{"d:Title": "Boy and Girl Meet Beasts, Armadillo to Zany Zebra", "d:Description": "NY Times review of the animated series.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animalia", "url": "http://www.nytimes.com/2008/01/04/arts/television/04anim.html"} +{"d:Title": "Animaniacs", "d:Description": "Production company site for the Warner brothers, Yakko and Wakko, and the Warner sister, Dot.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs", "url": "http://www.warnerbros.com/?page=television&pid=tv-6f99fa7c/Animaniacs"} +{"d:Title": "Save Animaniacs Campaign 2000", "d:Description": "Campaign central with news, commentary, schedule, multimedia, links, webrings and banner graphics.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Campaigns_and_Petitions", "url": "http://members.tripod.com/~saveanimaniacs/"} +{"d:Title": "Internet Movie Database", "d:Description": "Full cast and crew, guest appearances, trivia and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0105941/fullcredits"} +{"d:Title": "Keeper's Cartoon Voxware Files", "d:Description": "Trascriptions and excerpts from interviews with members of the voice cast. [ToolVox Player plugin required for audio clips.]", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Cast_and_Crew/Voice_Cast", "url": "http://members.cruzio.com/~keeper/toons.html#vox"} +{"d:Title": "Disordered Thoughts", "d:Description": "Hank Shiffman's personal photo gallery with pictures of Jess Harnell, Tress MacNeille and Rob Paulsen.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Cast_and_Crew/Voice_Cast", "url": "http://www.disordered.org/Scanner.html#Animaniacs"} +{"d:Title": "The V.O.I.C.E.", "d:Description": "\"Voices On-screen Interviews, Cameos and Entertainment\" from the voice cast of Animaniacs, compiled by Karen Tindall.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Cast_and_Crew/Voice_Cast", "url": "http://wbtower.nfshost.com/voice/voice.txt"} +{"d:Title": "Bunny's: Dot Warner's Corner", "d:Description": "Statistics, quiz, famous lines, banners and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Characters/Dot_Warner", "url": "http://members.tripod.com/~duskbunny/Dot.html"} +{"d:Title": "Dot's Poetry Corner", "d:Description": "Transcription of all of the Dot's Poetry Corner segments from Animaniacs.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Characters/Dot_Warner", "url": "http://www2.cruzio.com/~keeper/DPC.html"} +{"d:Title": "Cup O' Jo", "d:Description": "Fan art of Dot Warner and the other Animaniacs.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Characters/Dot_Warner", "url": "http://www.cupojo.net/"} +{"d:Title": "Hayley's Wakko Warner Homepage", "d:Description": "Fan club, poll, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Characters/Wakko_Warner", "url": "http://www.angelfire.com/co/PinkSugar/"} +{"d:Title": "Wakko Wakkrotti Warner Webring", "d:Description": "Listing of sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Characters/Wakko_Warner", "url": "http://www.webring.org/hub?ring=warners"} +{"d:Title": "Animaniacs", "d:Description": "E-mail community for fans of \"Animaniacs\", \"Pinky and The Brain\", \"Pinky, Elymra and the Brain\".", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Chats_and_Forums", "url": "http://groups.yahoo.com/group/animaniacs/"} +{"d:Title": "The Warner Brothers Studio Store Online", "d:Description": "A few Pinky and the Brain items are available here.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Collectibles", "url": "http://www.wbstore.com/store/wbss.asp?l=WSS&r=http://www.wbstore.com/store/wbss.asp"} +{"d:Title": "The World of Vicki Fox", "d:Description": "A display of a cell collections, featuring Home on De-Nile, Pinky and The Cat, Critical Condition, Moon Over Minerva, and Meet Minerva.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Collectibles/Animation_Art", "url": "http://www.vickifox.com/"} +{"d:Title": "Van Eaton Galleries", "d:Description": "A small, yet nice selection of Animaniacs and Pinky and the Brain production cels available for purchase.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Collectibles/Animation_Art", "url": "http://vegalleries.com/wbopc2.html#anim"} +{"d:Title": "Helloooo Nurse", "d:Description": "Selection of pictures, links, and sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Downloads", "url": "http://members.tripod.com/~DiNicola/hellonurse.html"} +{"d:Title": "Sir Wakkos Gamebits", "d:Description": "Bilingual page with pictures and sounds from favorite characters and episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Downloads", "url": "http://tv.freepage.de/sir_wakko_gamebit/"} +{"d:Title": "Cool Archive", "d:Description": "Free Animaniacs character icons for your desktop.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Downloads", "url": "http://www.coolarchive.com/icons.php?p=TOani"} +{"d:Title": "Animaniacs Sound Pointers", "d:Description": "Sounds encoded in the 8bit ULAW (.AU) format, the native sound format for Sun Sparc and NeXT machines.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Downloads", "url": "http://www.cs.cmu.edu/~clamen/misc/tv/Animaniacs/sounds/"} +{"d:Title": "Jame's (Probably Illegal) Animaniacs Page", "d:Description": "Show summary, pictures and quotes.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Fan_Pages", "url": "http://www.stwing.upenn.edu/~ingraham/animaniacs/animaniacs.html"} +{"d:Title": "Yakko's Home", "d:Description": "Lyrics and pictures. [Best viewed with Internet Explorer]", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Fan_Pages", "url": "http://www.angelfire.com/nf/yakkoshome/"} +{"d:Title": "Rose's and Cassie's Animaniacs Page", "d:Description": "Fan art, music clips, character pictures and profiles, links and a \"Wakko's Wish\" page.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Fan_Pages", "url": "http://www.angelfire.com/droid/warnergirls2000/index.html"} +{"d:Title": "Andrew Mutchler's Page", "d:Description": "Personal homepage with Animaniacs fan art and WarnerCafe IRC page.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Fan_Pages", "url": "http://kinkyturtle.masemware.com/"} +{"d:Title": "Michie's Animaniacs Section", "d:Description": "Directory of recommended Animaniacs sites. All other links lead to Ron \"Keeper\" O'Dell's pages.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Fan_Pages/Directories", "url": "http://members.tripod.com/~Michiele/animaniacs.html"} +{"d:Title": "Animaniacs Pointers", "d:Description": "The original Animaniacs page with links.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Fan_Pages/Directories", "url": "http://www.cs.cmu.edu/~clamen/misc/tv/Animaniacs/"} +{"d:Title": "The Animaniacs Drinking Game", "d:Description": "Based on the cartoon.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Games", "url": "http://wbtower.nfshost.com/docs/animdrink.html"} +{"d:Title": "Player's Choice", "d:Description": "Cover art, screenshots and brief game description.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Games/Video_Games/Animaniacs_-_Ten_Pin_Alley", "url": "http://www.playersc.com/animaniacstpapsx.html"} +{"d:Title": "Animaniacs Ten Pin Alley", "d:Description": "Review by Sagami. [GameFAQs.com] \"This game is so bad that not even appearances from Yakko, Wakko and Dot can save it from the Things That Were Set on Fire Museum.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Games/Video_Games/Animaniacs_-_Ten_Pin_Alley", "url": "http://www.gamefaqs.com/console/psx/review/R3277.html"} +{"d:Title": "Clip Art Warehouse", "d:Description": "3 pages of free Animaniacs character icons.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Image_Galleries", "url": "http://www.clipart.co.uk/cgi-bin/icdisplay.pl?1,anim,3"} +{"d:Title": "IMDb: Animaniacs", "d:Description": "Cast and crew list, trivia, merchandise and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Resources", "url": "http://www.imdb.com/title/tt0105941/combined"} +{"d:Title": "Plato's Academy", "d:Description": "This site has Please Please Please Get A Life Foundation stuff and transcripts and the A.T.A.T.A.T.A.T.A.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Resources", "url": "http://www3.telus.net/greenfish/animaniacs/"} +{"d:Title": "Nikka's Animaniacs Page", "d:Description": "Includes documents, pictures, sounds, fan fiction, articles, a chat forum, and voice actor information.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Resources", "url": "http://wbtower.nfshost.com/"} +{"d:Title": "Wakko Wakkrotti Warner Webring", "d:Description": "Ring statistics, site list, and membership instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Web_Rings", "url": "http://www.webring.org/hub?ring=warners"} +{"d:Title": "The Animaniacs Ring", "d:Description": "Site list, joining instructions, and creation information.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Animaniacs/Web_Rings", "url": "http://www.webring.org/hub?ring=animaniacs"} +{"d:Title": "Wikipedia.org: The Ant and the Aardvark", "d:Description": "Discussion board, show history and filmography.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Ant_and_the_Aardvark", "url": "http://en.wikipedia.org/wiki/The_Ant_and_the_Aardvark"} +{"d:Title": "The Ant and The Aardvark", "d:Description": "Sounds, pictures, episode guides related to the cartoon series.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Ant_and_the_Aardvark", "url": "http://users.telenet.be/U96/AA/index.html"} +{"d:Title": "IMDb: The Ant and the Aardvark", "d:Description": "Video and DVD sales, as well as voice credits and customer reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Ant_and_the_Aardvark", "url": "http://www.imdb.com/title/tt0064033/"} +{"d:Title": "The Ant and The Aardvark - Episode Guide - TV.com", "d:Description": "Epsiode guide with description, cast information and forums", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Ant_and_the_Aardvark", "url": "http://www.tv.com/shows/the-ant-and-the-aardvark/episodes/"} +{"d:Title": "Yahoo Groups: Arthur", "d:Description": "Chat group with a FAQ section, message board and archive, member list, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Arthur", "url": "http://groups.yahoo.com/group/arthur2/"} +{"d:Title": "WGBH Pressroom: Arthur", "d:Description": "Links to program descriptions and themes in PDF format.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Arthur", "url": "http://pressroom.wgbh.org/pages/arth.html"} +{"d:Title": "IMDb: Atom Ant", "d:Description": "Voice Credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/A/Atom_Ant", "url": "http://www.imdb.com/title/tt0058789/"} +{"d:Title": "80sNostalgia.com - Classic TV - Bananaman", "d:Description": "Plot summary, pictures, and a selection of sounds in WAV format.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Bananaman", "url": "http://www.80snostalgia.com/classictv/bananaman/"} +{"d:Title": "Bananaman", "d:Description": "Includes a description of the series and a list of episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Bananaman", "url": "http://www.johnnorrisbrown.com/classic-nick/bananaman/index.htm"} +{"d:Title": "IMDb: The Banana Splits Adventure Hour (1968)", "d:Description": "Cast, voice credits, and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Banana_Splits", "url": "http://www.imdb.com/title/tt0062543/"} +{"d:Title": "The Banana Splits according to Wingnut", "d:Description": "Lyrics, voice credits, and pictures of Banana Splits merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Banana_Splits", "url": "http://www.wingnuttoons.com/Banana_Splits.html"} +{"d:Title": "The Official Barbapapa Web Site", "d:Description": "Character information, flash games, screensavers, book and vid\u00e9o catalogue and related links.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Barbapapa", "url": "http://www.barbapapa.fr/"} +{"d:Title": "The Barbapapa Web Site", "d:Description": "Pictures about the colorful, amorphous 70s cartoon family.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Barbapapa", "url": "http://members.tripod.com/~iwong/Barbpapa.htm"} +{"d:Title": "Barbapapa Mailing List", "d:Description": "A discussion list for fans and merchandise collectors.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Barbapapa", "url": "http://groups.yahoo.com/group/barbapapa/"} +{"d:Title": "Batman The Animated Series", "d:Description": "Official website, featuring character profiles, games, graphics and sounds library, and up-to-date information on video releases e.a. [Requires frames.]", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman", "url": "http://www.batmantas.com/"} +{"d:Title": "New Batman - Superman Adventures", "d:Description": "Official site containing information on episodes, comics, videos, and games. Also features sections on the villains and heros of the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman", "url": "http://www.batman-superman.com/"} +{"d:Title": "Gotham Monsters", "d:Description": "Features a chat and message board, comparison of new and old style villains, and information on the making of certain characters.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman", "url": "http://www.angelfire.com/ia/batmanart2villians69/gothammonsters.html"} +{"d:Title": "The New Unofficial Batman Beyond Page", "d:Description": "Episode guide, fan fiction, character biographies, sounds and chat.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Batman_Beyond", "url": "http://www.angelfire.com/nc2/batmanbeyond/"} +{"d:Title": "Batman Beyond and Beyond", "d:Description": "Fan fiction and polls.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Batman_Beyond", "url": "http://members.tripod.com/Carmen_Wayne/carhome.html"} +{"d:Title": "Batman Beyond - Enter Your Future", "d:Description": "Yahoo! Clubs fan community with message board, chatroom, news, and activities. [Founded/moderated by Lenity Ami]", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Batman_Beyond", "url": "http://groups.yahoo.com/group/batmanbeyondenteryourfuture/"} +{"d:Title": "Batman: Beyond Tomorrow", "d:Description": "Cast profiles, episode reviews/summaries, slang, and multimedia.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Batman_Beyond", "url": "http://www.batmanytb.com/"} +{"d:Title": "Batman Beyond", "d:Description": "Official site. [Requires frames. Extensive use of Flash.]", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Batman_Beyond", "url": "http://www2.warnerbros.com/warnervideo/classiccartoons/batmanbeyondS2/"} +{"d:Title": "Voice Chasers", "d:Description": "Cast list with links to the actors' pages.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Cast_and_Crew/Voice_Cast", "url": "http://www.voicechasers.com/database/showprod.php?prodid=501"} +{"d:Title": "Two-Face's Tower of Tranquility and Terror", "d:Description": "Focuses mainly on his appearances in Batman the Animated Series, but also on this villain in comics, films, and games. Features sound files, information on the voice actors, and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Characters", "url": "http://www.angelfire.com/tx/TimTwoFace/"} +{"d:Title": "Harley Quinn's Heaven", "d:Description": "A heaven dedicated to Gotham City's cutest villain and Batman's greatest female adversary, Harley Quinn.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Characters/Harley_Quinn", "url": "http://www.angelfire.com/tv/harleyquinn/"} +{"d:Title": "Harley's Haven", "d:Description": "A comprehensive site about the Batman The Animated Series character Harley Quinn. Contains everything you would ever want to know about the character.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Characters/Harley_Quinn", "url": "http://www.angelfire.com/hi2/harlequinhideaway/"} +{"d:Title": "Batman-Superman", "d:Description": "Yahoo Group's e-mail community for discussing the KidsWB show.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Batman-Superman/"} +{"d:Title": "Thirteen Hours", "d:Description": "By Constance \"Eilonwy\" Cochran. \"During the episode 'Knight Time', there was a reference to Dick and Babs heading off to Turkey to search for Bruce. Deals with what might have happened during that trip and the consequences of Dick quiting his position as Robin.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Fan_Fiction", "url": "http://www.toonzone.net/wbc/fun/fanfics/batman_thirteen_hours.html"} +{"d:Title": "TNBA Unofficial Site", "d:Description": "Selection of summaries and reviews, character biographies, sound files, and an episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/New_Batman_Adventures,_The", "url": "http://www.batmanytb.com/"} +{"d:Title": "Arkham Webring", "d:Description": "Ring for all fans of the Batman books and comics and the villains that keep their lives interesting.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Web_Rings", "url": "http://www.webring.org/hub?ring=arkham"} +{"d:Title": "The Dark Knight Webring", "d:Description": "For sites focusing on The Dark Knight only.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Web_Rings", "url": "http://www.webring.org/hub?ring=dark_knight"} +{"d:Title": "The Original Catwoman Webring", "d:Description": "Celebrate the growth of Catwoman's popularity on the web. Visit sites about the comics, movies, history, and images of Catwoman.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Web_Rings", "url": "http://www.webring.org/hub?ring=catwoman"} +{"d:Title": "The Spirit of Justice Webring", "d:Description": "Directory for sites from all of superherodom - Batman, Azrael, Superman, Wonder Woman, Spawn, Green Lantern, and Spiderman.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Web_Rings", "url": "http://www.webring.org/hub?ring=arazel"} +{"d:Title": "Batgirl Webring", "d:Description": "Linking sites dedicated to the Darknight Damsel.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Batman/Web_Rings", "url": "http://www.webring.org/hub?ring=batgirl"} +{"d:Title": "IMDb: Matty's Funday Funnies", "d:Description": "The original title of the show that contained Beany and Cecil, Matty's Funnies also featured other shorts before becoming Beany and Cecil in 1962.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beany_and_Cecil", "url": "http://www.imdb.com/title/tt0052492/"} +{"d:Title": "Generation in Crisis", "d:Description": "A Beavis and Butt-head discussion group", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beavis_and_Butthead", "url": "http://members.tripod.com/the_flys/"} +{"d:Title": "The Kingdom of Beavis and Butthead", "d:Description": "Pics, sounds, links, movies, and games of the two dumbasses.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beavis_and_Butthead", "url": "http://members.tripod.com/~foodgodbb/"} +{"d:Title": "WWWF Grudge Match: Beavis vs. Butthead", "d:Description": "After years of bickering, the two couch potatoes finally duke it out.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beavis_and_Butthead", "url": "http://www.grudge-match.com/History/beavis-butthead.shtml"} +{"d:Title": "BeavisAndButthead.net", "d:Description": "Beavis and Butthead fan site. Includes wav files, videos, and a forum for other fans to chat.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beavis_and_Butthead", "url": "http://www.beavisandbutthead.net/"} +{"d:Title": "The T.P. Ring", "d:Description": "Do you have TP? TP for my bunghole? If so join this ring.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beavis_and_Butthead/Web_Rings", "url": "http://members.tripod.com/~food_godBB/myring.html"} +{"d:Title": "The Ultimate Beavis and Butthead WebRing", "d:Description": "Site links, membership information, and joining guidelines.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beavis_and_Butthead/Web_Rings", "url": "http://www.webring.org/hub?ring=bkbutt"} +{"d:Title": "Beavis and Butthead Ring", "d:Description": "Dedicated to preserving those two morons from Highland High. Membership details and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beavis_and_Butthead/Web_Rings", "url": "http://www.webring.org/hub?ring=beavbutt"} +{"d:Title": "Charles Deetz", "d:Description": "All about Lydia's cartoon dad - humor, image gallery and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beetlejuice", "url": "http://www.angelfire.com/tv/charlesfreak/charles/index.html"} +{"d:Title": "Beetlejuice - The Neitherworld", "d:Description": "Fan art, fan fiction, images, and sounds related to Nelvana's animated series.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beetlejuice", "url": "http://www.theneitherworld.com/"} +{"d:Title": "IMDb: Beetlejuice (1989)", "d:Description": "Voice credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Beetlejuice", "url": "http://www.imdb.com/title/tt0096543/"} +{"d:Title": "The Official Berenstain Bears Website", "d:Description": "Includes book-related activities, listing of all books, and online videos. Also allows children to send e-mail to the Berenstain Bears and receive a reply.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Berenstain_Bears", "url": "http://www.berenstainbears.com/"} +{"d:Title": "The Heptune Guide to Betty Boop Cartoons", "d:Description": "Analysis of Betty Boop cartoons from the 1930s, including lyrics to songs performed in the cartoons.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop", "url": "http://www.heptune.com/betty.html"} +{"d:Title": "Brandy's Betty Boop Site", "d:Description": "Images, show history, related links, a chat forum and sound files.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop", "url": "http://www.angelfire.com/co3/1930boop/"} +{"d:Title": "My Betty Boop Page", "d:Description": "Lots of pictures, links, and Betty Boop facts.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop", "url": "http://members.tripod.com/raenae54/index-2.html"} +{"d:Title": "Betty Boop: An Obsession", "d:Description": "Pictures, links, history section, filmography, and fan art.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop", "url": "http://www.katyberry.com/BettyBoop/"} +{"d:Title": "The Boop Bungalow", "d:Description": "History, picture gallery, sounds, animations, buddy icons, a message board, and a hints and tips section for throwing a themed party.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop", "url": "http://yume2k.tripod.com/"} +{"d:Title": "Betty Boop Cartoons", "d:Description": "Full cartoons presented by LikeTelevision as well as downloadable images and sounds files.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=647&format=tv&theme=guide"} +{"d:Title": "Betty Boop Forum and Chat", "d:Description": "Forum and Chat for fans of Betty Boop.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop", "url": "http://betty-boop.new-forum.net/"} +{"d:Title": "The Boop Lounge", "d:Description": "Webring for sites with Betty Boop content.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop/Web_Rings", "url": "http://www.webring.org/hub?ring=boopin"} +{"d:Title": "Betty Boop vs Barbie", "d:Description": "Ring of sites comparing Betty Boop to Barbie.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop/Web_Rings", "url": "http://www.webring.org/hub?ring=boopvsbarb"} +{"d:Title": "Betty Boop World", "d:Description": "\"For anyone who loves Betty Boop.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Betty_Boop/Web_Rings", "url": "http://www.webring.org/hub?ring=bettyboopworld"} +{"d:Title": "Duane Capizzi: Guiding the adventures", "d:Description": "by Rob Allstetter. [Comics Continuum] Interview with Capizzi giving his insights to the animation of Big Guy and Rusty, as well as plans for the first 26 episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Big_Guy_and_Rusty_The_Boy_Robot", "url": "http://comicscontinuum.com/features/bigguy/index.htm"} +{"d:Title": "Internet Movie Database: Big Guy and Rusty the Boy Robot", "d:Description": "Cast and crew list, reviews, quotes and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Big_Guy_and_Rusty_The_Boy_Robot", "url": "http://www.imdb.com/title/tt0217914/"} +{"d:Title": "Unofficial Biker Mice from Mars Fan Club", "d:Description": "Extensive character and episode guide, large fan art and fiction archive, vast image gallery, message board, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Biker_Mice_from_Mars", "url": "http://www.bikermice.com/"} +{"d:Title": "Biker Mice From Mars Fan Club", "d:Description": "Yahoo! Club and fan community for fans of Biker Mice From Mars.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Biker_Mice_from_Mars", "url": "http://groups.yahoo.com/group/bikermicefrommarsfanclub/"} +{"d:Title": "IMDb: Biker Mice From Mars (1993)", "d:Description": "Voice credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Biker_Mice_from_Mars", "url": "http://www.imdb.com/title/tt0147752/"} +{"d:Title": "IMDb: Birdman and The Galaxy Trio (1967)", "d:Description": "Voice credits for both Birdman and The Galaxy Trio.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Birdman", "url": "http://www.imdb.com/title/tt0061237/"} +{"d:Title": "Birdman and the Galaxy Trio according to Wingnut", "d:Description": "Voice cast and complete list of episodes for both Birdman and The Galaxy Trio.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Birdman", "url": "http://www.wingnuttoons.com/Birdman.html"} +{"d:Title": "The Birdman FAQ", "d:Description": "Episode guide, synopses of episodes, pictures of each character, and frequently asked questions about Birdman.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Birdman", "url": "http://webpages.charter.net/superheroes/birdman.html"} +{"d:Title": "The Biskitts according to Wingnut", "d:Description": "Voice cast and listing of episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Biskitts,_The", "url": "http://www.wingnuttoons.com/Biskitts.html"} +{"d:Title": "Night Stone Unlimited", "d:Description": "Images, episode list, and an archive.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Blackstar", "url": "http://www.nightstoneunlimited.com/"} +{"d:Title": "John Blackstar Cartoons", "d:Description": "Videos clips, cels, fan art, and sound tracks.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Blackstar", "url": "http://www.nightstoneunlimited.com/Blackstar.htm"} +{"d:Title": "IMDb: Bob and Margaret", "d:Description": "Cast and crew, plus additional information about the series.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Bob_and_Margaret", "url": "http://www.imdb.com/title/tt0122813/"} +{"d:Title": "The Unofficial Bonkers Page", "d:Description": "Includes picture and sound archives, fan art, episode guides, fan fiction, and an online text-based game.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Bonkers", "url": "http://www.bonkers-online.com/"} +{"d:Title": "Bonkers FanFic", "d:Description": "Original writings listed by title by Sushil K. Rudranath.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Bonkers", "url": "http://www.afn.org/~afn34967/bonkers/"} +{"d:Title": "The World's Greatest Bots Master Page", "d:Description": "Episode guide, profiles of characters, information about members of the voice cast, quiz, images, forum, and other information.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Bots_Master,_The", "url": "http://adamrulz.com/botsmaster/"} +{"d:Title": "The Bots Master - TV.com", "d:Description": "Episode guide, cast and crew information, and other topics.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Bots_Master,_The", "url": "http://www.tv.com/shows/the-bots-master/"} +{"d:Title": "Bradyworld.com", "d:Description": "Includes video, pictures, and episodes synopsizes of the Filmation series, The Brady Kids.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/Brady_Kids,_The", "url": "http://www.bradyworld.com/episodes/kids.htm"} +{"d:Title": "IMDb: BraveStarr", "d:Description": "Cast, credits and airing information for the Filmation series.", "topic": "Top/Arts/Animation/Cartoons/Titles/B/BraveStarr", "url": "http://www.imdb.com/title/tt0127471/combined"} +{"d:Title": "PBS Kids: Caillou", "d:Description": "Includes games, activities, greeting cards, and Find Gilbert.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Caillou", "url": "http://pbskids.org/caillou"} +{"d:Title": "Caillou.com", "d:Description": "Site offered by the show's producer, Cinar. In English and French. Products and press material (JPG images).", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Caillou", "url": "http://www.caillou.com/"} +{"d:Title": "Luke's Capitol Critters Website", "d:Description": "Character descriptions and picture collection.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Capitol_Critters", "url": "http://www.angelfire.com/pa3/capitol/"} +{"d:Title": "IMDb: Capitol Critters (1992)", "d:Description": "Voice credits and review.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Capitol_Critters", "url": "http://www.imdb.com/title/tt0103383/"} +{"d:Title": "Voice Chasers: Capitol Critters", "d:Description": "Voice cast.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Capitol_Critters", "url": "http://www.voicechasers.com/database/showprod.php?prodid=891"} +{"d:Title": "Capitol Critters according to Wingnut", "d:Description": "Episode list and voice cast.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Capitol_Critters", "url": "http://www.wingnuttoons.com/CapitolCritters.html"} +{"d:Title": "Captain Caveman according to Wingnut", "d:Description": "Voice cast and episode listing.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Captain_Caveman", "url": "http://www.wingnuttoons.com/CaptCave.html"} +{"d:Title": "The Captain N Network", "d:Description": "Comic scans, fan fics, a comprehensive episode guide, and episodes for download.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Captain_N_-_The_Game_Master", "url": "http://captainn.net/"} +{"d:Title": "Captain N Mailing List", "d:Description": "A discussion list for the Saturday morning cartoon.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Captain_N_-_The_Game_Master", "url": "http://groups.yahoo.com/group/CaptainN/"} +{"d:Title": "IMDb: Captain Planet and the Planeteers", "d:Description": "Voice credits and review.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Captain_Planet_and_the_Planeteers", "url": "http://www.imdb.com/title/tt0098763/"} +{"d:Title": "Captain Simian and the Space Monkeys", "d:Description": "Complete episode guide, synopses, and message board.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Captain_Simian_and_the_Space_Monkeys", "url": "http://www2.cruzio.com/~keeper/CSATSM.html"} +{"d:Title": "Wish Bear", "d:Description": "Gallery of cousins as well as original Care Bears and a favorite character poll.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Care_Bears", "url": "http://dekovic.tripod.com/"} +{"d:Title": "Planet Care Bears", "d:Description": "Image collection (cartoon pictures and plushes), descriptions of each bear, song lyrics, a forum and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Care_Bears", "url": "http://www.planetcarebears.com/"} +{"d:Title": "Care Bear Kingdom Webring", "d:Description": "A large ring of Care-a-lot fan sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Care_Bears/Web_Rings", "url": "http://www.webring.org/hub?ring=carebearkingdom"} +{"d:Title": "My little Care Bears WebRing", "d:Description": "Ring of sites about the very popular 80s cartoons and toys.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Care_Bears/Web_Rings", "url": "http://www.webring.org/hub?ring=mylittlecarebear"} +{"d:Title": "IMDb: Celebrity Deathmatch (1998)", "d:Description": "Voice credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Celebrity_Deathmatch", "url": "http://www.imdb.com/title/tt0208614/"} +{"d:Title": "IMDb: The Centaurs (1921)", "d:Description": "User reviews, comments and film details of the black and white silent animated short by Winsor McCay.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Centaurs,_The", "url": "http://www.imdb.com/title/tt0009996/"} +{"d:Title": "YouTube: The Centaurs - Winsor McCay (1921)", "d:Description": "Video of the surviving film.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Centaurs,_The", "url": "http://www.youtube.com/watch?v=_6N3giozPbI"} +{"d:Title": "IMDb: Challenge of the GoBots", "d:Description": "Voice cast, viewer reviews, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Challenge_of_the_GoBots", "url": "http://www.imdb.com/title/tt0139769/"} +{"d:Title": "CDRR Now and Forever", "d:Description": "Russian fan site with images, episode information, and related links. [No English text.]", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Chip_'n_Dale_Rescue_Rangers", "url": "http://cdrrdff.narod.ru/"} +{"d:Title": "Chip 'n' Dale Online", "d:Description": "Covers everything from the classic shorts to the Rescue Rangers, with images, media downloads, fan contests, and discussion forums.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Chip_'n_Dale_Rescue_Rangers", "url": "http://www.chipndaleonline.com/"} +{"d:Title": "iPetitions: Bring back Chip 'n Dale Rescue Rangers", "d:Description": "Petition to get Disney to revive the TV series.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Chip_'n_Dale_Rescue_Rangers", "url": "http://www.ipetitions.com/petition/rescuerangers/"} +{"d:Title": "The Acorn Cafe", "d:Description": "Active fan community dedicated to the \"Chip n' Dale Rescue Rangers\" TV series. Features fan art and fiction, Rangercon details, DVD petition, and forum archives. Also home to the annual Golden Acorn Awards.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Chip_'n_Dale_Rescue_Rangers/Chats_and_Forums", "url": "http://www.theacorncafe.org/"} +{"d:Title": "Chip 'n' Dale Online", "d:Description": "Active fan community with fan works and discussion forums for the classic C&D Disney shorts and the \"Rescue Rangers\" TV series.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Chip_'n_Dale_Rescue_Rangers/Chats_and_Forums", "url": "http://www.chipndaleonline.com/phpBB3/index.php"} +{"d:Title": "DVDlaunch: Clerks Uncensored Review", "d:Description": "Review of the Clerks ABC cartoon series.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Clerks_-_The_Cartoon_Series", "url": "http://www.dvdlaunch.com/clerksuncensored.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew credits, information and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Clerks_-_The_Cartoon_Series", "url": "http://www.imdb.com/title/tt0210413/"} +{"d:Title": "IMDb: Count Duckula (1988)", "d:Description": "Cast and crew list, reviews and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Count_Duckula", "url": "http://www.imdb.com/title/tt0088500/"} +{"d:Title": "The Courage the Cowardly Dog Web Zone", "d:Description": "Unofficial, comprehensive fan site with info about the show, air dates, episode guide, and character profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Courage_the_Cowardly_Dog", "url": "http://www.angelfire.com/ga3/courage/"} +{"d:Title": "PopMatters - Courage the Cowardly Dog", "d:Description": "Review of the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Courage_the_Cowardly_Dog", "url": "http://popmatters.com/tv/reviews/c/courage.html"} +{"d:Title": "Courage's Club Yahoo! Club", "d:Description": "Message board and fan community for Courage the Cowardly Dog.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Courage_the_Cowardly_Dog", "url": "http://groups.yahoo.com/group/couragesclub/"} +{"d:Title": "IMDb: Courage the Cowardly Dog (1999)", "d:Description": "Voice credits and review.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Courage_the_Cowardly_Dog", "url": "http://www.imdb.com/title/tt0220880/"} +{"d:Title": "Cow and Chicken Audioclips", "d:Description": "About a dozen sounds of Charlie Adler performing his characters on \"Cow and Chicken\".", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Cow_and_Chicken", "url": "http://www.xs4all.nl/~terrible/ccsounds.htm"} +{"d:Title": "The Red Guy and his Web Site", "d:Description": "Web site of The Red Guy from Cow and Chicken cartoon", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Cow_and_Chicken", "url": "http://members.tripod.com/~Red_Guy/"} +{"d:Title": "IMDb: Cow and Chicken (1997)", "d:Description": "Voice cast and review.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Cow_and_Chicken", "url": "http://www.imdb.com/title/tt0118289/"} +{"d:Title": "Cow and Chicken according to Wingnut", "d:Description": "Detailed list of episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Cow_and_Chicken", "url": "http://www.wingnuttoons.com/CowChick.html"} +{"d:Title": "IMDb: The Critic (1994)", "d:Description": "Voice credits and review.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Critic,_The", "url": "http://www.imdb.com/title/tt0108734/"} +{"d:Title": "Cybersix and Cyber 338", "d:Description": "Information on Cybersix, the animation and comic. Character descriptions, images, and multimedia. Also the home of Cyber 338, a fan-created character.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Cybersix", "url": "http://www.angelfire.com/vt/cybersix/"} +{"d:Title": "Kayla, the 338th Cyber", "d:Description": "The home of fan-created character Kayla, who is really Cyber 338 and Cybersix's sister. Includes fan art and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Cybersix", "url": "http://www.angelfire.com/vt/338/"} +{"d:Title": "IMDb: Cybersix (1999)", "d:Description": "Cast and crew list, reviews and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/C/Cybersix", "url": "http://www.imdb.com/title/tt0211793/"} +{"d:Title": "The DangerMouse Page", "d:Description": "Fanpage with pictures and information on the characters, cast and crew, tapes and books, sound clips and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Danger_Mouse", "url": "http://www.dangermouse.org/"} +{"d:Title": "Toonhound: DangerMouse", "d:Description": "Information, news and links for the popular TV series.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Danger_Mouse", "url": "http://www.toonhound.com/dangermouse.htm"} +{"d:Title": "IMDb: Danger Mouse (1981)", "d:Description": "Voice credits and review.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Danger_Mouse", "url": "http://www.imdb.com/title/tt0081848/"} +{"d:Title": "80s Nostalgia: Danger Mouse", "d:Description": "Information, pictures, movie and sound clips about Danger Mouse.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Danger_Mouse", "url": "http://www.80snostalgia.com/danger-mouse/"} +{"d:Title": "The Daria Shrine", "d:Description": "Episode guides, character profiles, fan fiction, and fan art as well as a message board.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Daria", "url": "http://www.angelfire.com/ut/Daria/"} +{"d:Title": "Daria", "d:Description": "Air times, interviews, episode information, message board and chat forum, fashion area, and a video archive.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Daria", "url": "http://www.mtv.com/onair/daria/"} +{"d:Title": "Absolute Daria Files", "d:Description": "Episode summaries, cast and credits, transcripts, character profiles, quotes, MIDI files, and sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Daria", "url": "http://www.angelfire.com/wa/adf/main.html"} +{"d:Title": "Requiem for Daria", "d:Description": "\"Daria slips into the Ghost World of great high-school drama.\" By Emily Nussbaum. [Slate]", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Daria", "url": "http://slate.com/?id=2060921"} +{"d:Title": "Glitter Berries", "d:Description": "Fan fiction, fan art, news, links, episode guide, and sound files.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Daria", "url": "http://glitterberries.freehostia.com/"} +{"d:Title": "Legendeld's Rantings and Drivel", "d:Description": "Fanfiction stories based on Daria characters.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Daria", "url": "http://sites.google.com/site/legendeld2/"} +{"d:Title": "The Fearsome Five's Evil Web Empire", "d:Description": "Dedicated to Darkwing Duck's enemies - with fan art, fan fiction, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Darkwing_Duck", "url": "http://theneitherworld.com/fear5"} +{"d:Title": "Lar's Studio", "d:Description": "The \"PreQuills\" fan fiction series, plus professional-quality artwork.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Darkwing_Duck", "url": "http://lar.5u.com/"} +{"d:Title": "Cryptic's Realm", "d:Description": "Fan fiction roleplaying character based on the show Darkwing Duck.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Darkwing_Duck", "url": "http://members.tripod.com/~Flashblade/Cryptic.html"} +{"d:Title": "Random's Sanctum", "d:Description": "A spin off for the Cryptic's Realm page, about Cryptic and Annelle's son.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Darkwing_Duck", "url": "http://members.tripod.com/~ChaosMacawber/Begin.html"} +{"d:Title": "Flapping Terror", "d:Description": "Thorough character profiles, cast and crew information, fan art, fan fiction, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Darkwing_Duck", "url": "http://doubleoduck.tripod.com/"} +{"d:Title": "The Negapage", "d:Description": "Archive of original Darkwing Duck fan art and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Darkwing_Duck", "url": "http://www.c4vct.com/kym/negapage.htm"} +{"d:Title": "IMDb: Detention (1999)", "d:Description": "Voice credits and other information.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Detention", "url": "http://www.imdb.com/title/tt0218759/"} +{"d:Title": "Comic Book Universe Battles", "d:Description": "Dexter and DeeDee vs. Pinky and The Brain. Commentary with fan opinion.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dexter's_Laboratory", "url": "http://www.electricferret.com/fights/dex.htm"} +{"d:Title": "Krazy Dexter's Laboratory Page", "d:Description": "Pictures, animated graphics, wallpapers, screen captures, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dexter's_Laboratory", "url": "http://krazysdexterslab.tripod.com/DextersLaboratory/"} +{"d:Title": "Dexter's Laboratory Episode Guide", "d:Description": "Compiled by Don Del Grande.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dexter's_Laboratory", "url": "http://www.hey-arnold.com/dexter.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, reviews and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dexter's_Laboratory", "url": "http://www.imdb.com/title/tt0115157/"} +{"d:Title": "The Dilbert Zone", "d:Description": "Official site, featuring daily Dilbert, Dogbert's anti-career zone, and a one-month Dilbert archive.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dilbert", "url": "http://www.dilbert.com/"} +{"d:Title": "Wikipedia", "d:Description": "Open content encyclopedia article on the show, covering background, recurring and guest characters, and an episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Disney's_Hercules", "url": "http://en.wikipedia.org/wiki/Hercules:_The_Animated_Series"} +{"d:Title": "Doctor Snuggles Shrine", "d:Description": "Images gallery, cast credits, an episode guide, and a list of good guys and bad guys.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Doctor_Snuggles", "url": "http://members.tripod.com/Dr_Snuggles/"} +{"d:Title": "Domo-Kun no Fushigi Terebi", "d:Description": "Screenshots from the Japanese game for the Game Boy Advance system.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Domo-kun", "url": "http://www.vgmuseum.com/images/gba/03/GBA331.htm"} +{"d:Title": "Domo-Kun's Angry Smashfest", "d:Description": "Flash game in which Domo-Kun battles the Powerpuff Girls to the death.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Domo-kun", "url": "http://www.i-mockery.com/minimocks/domo/default.php"} +{"d:Title": "Domo does London", "d:Description": "Photo documentary following Domo-kun on a trip to London.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Domo-kun", "url": "http://www.domodoeslondon.com/"} +{"d:Title": "Domo-Kun FAQ", "d:Description": "Provides an explanation of Domo-kun's history, and profiles of the characters.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Domo-kun", "url": "http://cardhouse.com/travel/japan/domokun.htm"} +{"d:Title": "The Domo Kun Store", "d:Description": "A retail website dedicated to Domo Kun merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Domo-kun", "url": "http://www.domokunstore.com/"} +{"d:Title": "Dora the Explorer Quizzes", "d:Description": "Enjoy Dora the Explorer games and quizzes submitted by fans of the show. Lots of fun.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dora_the_Explorer", "url": "http://www.funtrivia.com/quizlistgold.cfm?cat=5877"} +{"d:Title": "The Dr. Katz Webring of Therapists", "d:Description": "Member list and joining information.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dr._Katz,_Professional_Therapist", "url": "http://www.webring.org/hub?ring=katz"} +{"d:Title": "Dragontales", "d:Description": "Official PBS site with games, coloring pages, and information for parents.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/DragonTales", "url": "http://pbskids.org/dragontales/"} +{"d:Title": "Dragon Tales Fan Club", "d:Description": "Fan club with joining instructions and site listings.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/DragonTales", "url": "http://tv.groups.yahoo.com/group/Dragon_Tales_Fan_Club2/"} +{"d:Title": "Wikipedia: Dragon Booster", "d:Description": "Encyclopedic article with synopsis, character and dragon lists.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://en.wikipedia.org/wiki/Dragon_Booster"} +{"d:Title": "Dragon City - Dragon Booster Fan Site", "d:Description": "Articles, trailers, reviews and history of the series creation with lists of character biographies; lists of the toys, games, episodes, archives and fan works with forums, role playing, music videos, artist pages, drawing tutorials and tips.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://www.dragon-city.org/"} +{"d:Title": "deviantART: DragonBoosterClub", "d:Description": "Gallery, community and club of fan art and artists with a members list.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://dragonboosterclub.deviantart.com/"} +{"d:Title": "The Origin of Dragon Booster", "d:Description": "Interview with Story Hat CEO Kevin Mowrer, the designer of the series with partner Rob Travalino.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://www.icv2.com/articles/news/5407.html"} +{"d:Title": "Dragon Booster", "d:Description": "Forum discussions of the show and related ventures; episode transcriptions, fan works and an adoption centre.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://z10.invisionfree.com/Dragon_Booster/"} +{"d:Title": "IMDb: Dragon Booster (2004)", "d:Description": "Cast, crew and episode lists with also show awards, reviews, user comments and ratings.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://www.imdb.com/title/tt0433286/"} +{"d:Title": "FanFiction.Net: Dragon Booster", "d:Description": "Fan fiction archive with reviews, related groups and forum discussions.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://www.fanfiction.net/cartoon/Dragon_Booster/"} +{"d:Title": "Dragon Booster - Television Review", "d:Description": "Review, ratings and subject matter contained in the television series; accepts user reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Dragon_Booster", "url": "http://www.commonsensemedia.org/tv-reviews/Dragon-Booster.html"} +{"d:Title": "Retro Junk - Droids", "d:Description": "Detailed review of the Droids episode \"The Race to the Finish\" with pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Droids", "url": "http://www.retrojunk.com/details_articles/32/"} +{"d:Title": "Duckman", "d:Description": "Cast biographies, an episode guide, graphics, sounds, and icons.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Duckman", "url": "http://www.creighton.edu/~jduche/duckman.shtml"} +{"d:Title": "Duckman Wavs", "d:Description": "A site for Duckman sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/D/Duckman", "url": "http://members.tripod.com/~Arf69er4/"} +{"d:Title": "Emergency! Plus 4", "d:Description": "Show description and history, episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/E", "url": "http://eplus4.danawheels.net/"} +{"d:Title": "Amram's Earthworm Jim Page", "d:Description": "Biographies, sounds, and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Earthworm_Jim", "url": "http://members.tripod.com/~nicknitro/ewj/"} +{"d:Title": "The Ed, Edd, and Eddy Mailing List", "d:Description": "A mailing list, fan fiction, Danny Antonucci, a.k.a. Cartoon, and Cartoon Network's antics.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Ed,_Edd_and_Eddy", "url": "http://groups.yahoo.com/group/Ed_Edd_n_Eddy/"} +{"d:Title": "It Never Hurts To Help", "d:Description": "Episode notes and pictures, songs and theme music, and an episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Eek_the_Cat", "url": "http://eekthecat.8m.com/"} +{"d:Title": "Eek Site", "d:Description": "Fanpage with ASCII art and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Eek_the_Cat", "url": "http://www.cyberspace.org/~suz/eek.html"} +{"d:Title": "Eek the Cat", "d:Description": "Collection of sounds, pictures, and short movies, as well as character information and episode introductions.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Eek_the_Cat", "url": "http://www.eekthecatworld.com/"} +{"d:Title": "Resolute II", "d:Description": "Large database of resources relating to the television series and toy line.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Exosquad", "url": "http://www.stwing.upenn.edu/~pdanner/resolute2.shtml"} +{"d:Title": "EFS Normandy", "d:Description": "A fanfiction web portal based in the Exosquad universe.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Exosquad", "url": "http://members.tripod.com/~efsnormandy/"} +{"d:Title": "Tom's Exosquad Page", "d:Description": "Fanpage that includes fanfiction, images of the Exosquad toy line, and crossover templates for the Star Wars Roleplaying Game.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Exosquad", "url": "http://www.angelfire.com/az/tomjose/exosquad.html"} +{"d:Title": "Exosquad Webring Home", "d:Description": "Webring devoted to the television series.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Exosquad", "url": "http://www.angelfire.com/az/exosquadhome/index.html"} +{"d:Title": "Dragonfly Entertainment: Exosquad Storyboard", "d:Description": "Co-producer Dennis Woodyard's Exosquad storyboard.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Exosquad", "url": "http://www.dragonflyent.net/ExoSb01.html"} +{"d:Title": "Yahoo! Groups: Exo_Squad", "d:Description": "Mailing list and discussion portal.", "topic": "Top/Arts/Animation/Cartoons/Titles/E/Exosquad", "url": "http://groups.yahoo.com/group/Exo_Squad/"} +{"d:Title": "Family Guy Sound Files", "d:Description": "Clips from the show. All files are in MP3 format.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy", "url": "http://www.ectogenesis.20m.com/familyguy.htm"} +{"d:Title": "Family Guy Quotes", "d:Description": "Quotes by character, episode and theme with a voting option.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy", "url": "http://www.familyguyquotes.com/"} +{"d:Title": "Family Guy Wiki", "d:Description": "Knowledge base and encyclopedia that anyone can edit.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy", "url": "http://familyguy.wikia.com/"} +{"d:Title": "Fox: Family Guy", "d:Description": "Official site.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy", "url": "http://www.fox.com/familyguy/"} +{"d:Title": "IMDb's Family Guy", "d:Description": "Credits and information.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy", "url": "http://www.imdb.com/title/tt0182576/"} +{"d:Title": "Sidereel: Family Guy", "d:Description": "Episodes, news and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy", "url": "http://www.sidereel.com/Family_Guy"} +{"d:Title": "Star Link: Peter Griffin", "d:Description": "Bite-sized facts about the character.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy", "url": "http://www.kcweb.com/superm/p_griffin.htm"} +{"d:Title": "CNN.com: Fox Bets it's Chips on Young 'Family Guy' Creator", "d:Description": "An Entertainment Weekly Report.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy/Articles_and_Interviews", "url": "http://www.europe.cnn.com/SHOWBIZ/TV/9902/09/ew.macfarlane/"} +{"d:Title": "The Family Guy", "d:Description": "Negative review.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Family_Guy/Articles_and_Interviews", "url": "http://www.filmvault.com/filmvault/alibi/f/familyguythe1.html"} +{"d:Title": "Felix the Cat - April Maze", "d:Description": "Full broadband cartoon presented by LikeTelevision.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Felix_The_Cat", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=110&format=tv&theme=guide"} +{"d:Title": "The Ultimate Flintstones Site", "d:Description": "Information about the cartoon, episodes, music, trivia, a poll, and animal tools.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Flintstones,_The", "url": "http://i-flintstones.tripod.com/"} +{"d:Title": "The Fonz and the Happy Days Gang", "d:Description": "Page devoted to the Happy Days animated series voiced by Henry Winkler, Ron Howard, and Donny Most.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Fonz_and_the_Happy_Days_Gang", "url": "http://www.sitcomsonline.com/fonzhdgang.html"} +{"d:Title": "Fritters Freakazoid Gallery", "d:Description": "Huge picture gallery.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid", "url": "http://www.relaxorium.com/freak/"} +{"d:Title": "Freakazoid and Friends", "d:Description": "Fan club, pictures, fan works including; art, fiction, and desktop themes, as well as quotes, trivia and nitpicks, and a merchandise list.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid", "url": "http://members.tripod.com/~LaBean/freakfans.html"} +{"d:Title": "Freakazoid Lyrics File", "d:Description": "A list of lyrics, intros, and theme songs maintained by Ron \"Keeper\" O'Dell.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid", "url": "http://www2.cruzio.com/~keeper/FzLyrics.html"} +{"d:Title": "Freakazoid: The Movie", "d:Description": "Non-commercial live-action student film based on the character from WB's animated series.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid", "url": "http://www.eddieting.com/eng/previous/freakazoid.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, memorable quotes, reviews and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid", "url": "http://www.imdb.com/title/tt0111970/"} +{"d:Title": "Voice Chasers - Freakazoid", "d:Description": "Voice cast, with links to the actors' pages.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid/Cast_and_Crew", "url": "http://www.voicechasers.com/database/showprod.php?prodid=86"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0111970/fullcredits"} +{"d:Title": "The Freakazoid Web Ring", "d:Description": "Devoted to the Warner Brothers animated series. Includes a site list, membership information, and HTML code.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Freakazoid/Web_Rings", "url": "http://www.webring.org/hub?ring=freakazoid_ring"} +{"d:Title": "Can't Get Enough Futurama", "d:Description": "A huge site dedicated to Futurama. Includes Futurama downloads, Futurama DVD and merchandise info, TV listing and an episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama", "url": "http://www.gotfuturama.com/"} +{"d:Title": "Futurama synopses by about.com", "d:Description": "Short descriptions of most episodes, including airdates.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama", "url": "http://animatedtv.about.com/library/episodes/blfutindex.htm"} +{"d:Title": "The Futurama Point", "d:Description": "Includes news, multimedia, voice cast, character biographies, episode and graphic guides, the alien alphabet, and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama", "url": "http://www.slurmed.com/"} +{"d:Title": "IMDb: Futurama", "d:Description": "Credits and information about Futurama, from the Internet Movie Database.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama", "url": "http://www.imdb.com/title/tt0149460/"} +{"d:Title": "CNN: Groening launches new ideas into 'Futurama'", "d:Description": "Interview with Groening. April 1999.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.cnn.com/SHOWBIZ/TV/9904/15/futurama/index.html"} +{"d:Title": "GotFuturama: List of Articles", "d:Description": "A list of Futurama related articles including exclusive interviews with Futurama staff members.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.gotfuturama.com/Information/Articles/"} +{"d:Title": "David A. Goodman on \"Where No Fan Has Gone Before\"", "d:Description": "Question-and-answer-style interview with the writer of the Star Trek-themed episode.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.peelified.com/cgi-bin/Futurama/1-001768-1/"} +{"d:Title": "Futurama Star Billy West Answers Slashdot Questions", "d:Description": "Slashdot talks to the voice of Fry. July 2006.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://interviews.slashdot.org/interviews/06/07/05/1555200.shtml"} +{"d:Title": "Dr. Sarah's Futurama \u03c0k - Mathematics in the Year 3000", "d:Description": "Dr. Sarah J. Greenwald muses over the numbers of Futurama in a series of articles and interviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.mathsci.appstate.edu/~sjg/futurama/"} +{"d:Title": "Motley Fool: \"Futurama\" Investing", "d:Description": "Selena Maranjian discusses the compound interest theme in \"A Fishful of Dollars\". August 2006.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.fool.com/News/mft/2006/mft06082516.htm"} +{"d:Title": "frontwheeldrive.com: David X. Cohen interview", "d:Description": "January 2002", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.frontwheeldrive.com/david_x_cohen.html"} +{"d:Title": "SMH.com.au: Off the planet", "d:Description": "Matt Groening has faith in the relaunch of Futurama, writes Michael Idato. December 2005", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.smh.com.au/news/tv--radio/off-the-planet/2005/12/10/1134086846734.html"} +{"d:Title": "TV Squad: Comic-Con: Futurama Panel Report", "d:Description": "A report on the Futurama panel at the 2007 Comic-Con. July 2007.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.tvsquad.com/2007/07/28/comic-con-futurama-panel-report/"} +{"d:Title": "One-Eyed Aliens! Suicide Booths! Mom's Old-Fashioned Robot Oil!", "d:Description": "Wired Magazine cover story on Futurama. Includes photos and interview with Matt Groening. February 1999.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Articles", "url": "http://www.wired.com/1999/02/futurama/"} +{"d:Title": "Futuramania", "d:Description": "Yahoo group discussing the wacky exploits of Fry, Leela and Bender amongst other Futurama fans.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Futuramania/"} +{"d:Title": "Planet Express Employee Lounge", "d:Description": "Futurama message board. Includes TV schedules, votings, a chatroom and a weekly featured episode for fan reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Chats_and_Forums", "url": "http://www.peelified.com/"} +{"d:Title": "Futurama List", "d:Description": "Yahoo Groups e-mail community.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Chats_and_Forums", "url": "http://groups.yahoo.com/group/FuturamaList/"} +{"d:Title": "#FuturamaChat", "d:Description": "Futurama chatroom shared by most of the big Futurama fan sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Chats_and_Forums", "url": "http://www.peelified.com/cgi-bin/chat.cgi"} +{"d:Title": "Miss Ampere's Parlour", "d:Description": "Shows off some of the naughtiest mainboards envisioned by the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/F/Futurama/Themed_Sites", "url": "http://ampere.bin.sh/"} +{"d:Title": "IMDb: Galaxy High School", "d:Description": "Internet Movie Database information detailing the characters and plot.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_High", "url": "http://www.imdb.com/title/tt0229405/combined"} +{"d:Title": "Adventures of the Galaxy Rangers", "d:Description": "Show background and a listing of the characters and credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://members.tripod.com/~Beaver_Cleaver/GALAXYR.HTM"} +{"d:Title": "Archives of Ranger Listserv", "d:Description": "Indexes the e-mails that go via Ranger-L, the official Glaxay Ranger mailing list. Also features posting instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://lists1.cac.psu.edu/archives/ranger-l.html"} +{"d:Title": "The Adventures of the Galaxy Rangers", "d:Description": "A guide listing the title and air date for each episode of the series as well as a listing of the voice actors.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://epguides.com/AdventuresoftheGalaxyRangers/"} +{"d:Title": "Ranger Legacy Group", "d:Description": "Mailing list with membership instructions, an archive, and group information.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://groups.yahoo.com/group/RangerLegacy/"} +{"d:Title": "Galaxy Rangers Sound Archive", "d:Description": "Selection of .wav sound files and some desktop themes.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://members.tripod.com/~rabbibob/GalaxyRangers/"} +{"d:Title": "Bureau of Extra Terrestrial Affairs", "d:Description": "Fan fiction and images as well as show credits, a mailing list, and background information on the site creator.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://www.annkniggendorf.privat.t-online.de/index.html"} +{"d:Title": "Sasha's Ranger Grabs", "d:Description": "Image galleries listed by character, an episode guide, and sound files.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://www.mynetcologne.de/~nc-olligsni/ranger.html"} +{"d:Title": "Galaxy Rangers Webring", "d:Description": "List of member sites, joining instructions and guidelines, as well as ring statistics.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://www.webring.org/hub/galranger"} +{"d:Title": "IMDb: The Adventures of the Galaxy Rangers", "d:Description": "Synopsis of this 1988 series, including character list, cast and crew information, and viewer opinions.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Galaxy_Rangers", "url": "http://www.imdb.com/title/tt0090436/"} +{"d:Title": "Garfield's Official Web Site", "d:Description": "Featuring the official Garfield Fan Club, daily comic strips, animated post cards, information, news, games, desktop downloadables, and merchandise.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Garfield", "url": "http://www.garfield.com/"} +{"d:Title": "KillerMovies.com - Garfield (2004)", "d:Description": "Offers news, synopsis and trailers.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Garfield", "url": "http://www.killermovies.com/g/garfield/"} +{"d:Title": "Internet Movie Database", "d:Description": "Credits, trivia, quotes, reviews, and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Garfield/Garfield_and_Friends", "url": "http://www.imdb.com/title/tt0094469/"} +{"d:Title": "Laranisal Gargoyles Page", "d:Description": "Fan fiction, quotes, sounds and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles", "url": "http://www.west.net/~ajensen/gargoyles/"} +{"d:Title": "The Gargoyles Fan Website", "d:Description": "Fan fiction, episode synopses, character profiles, chat, multimedia, images and links.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles", "url": "http://www.gargoyles-fans.org/"} +{"d:Title": "Station 8 - Gargoyles", "d:Description": "Fan interaction, images and multimedia archive, trivia, creator contact information, convention status, fan fiction, introduction and links.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles", "url": "http://www.s8.org/gargoyles/"} +{"d:Title": "Silver's Castle", "d:Description": "Image gallery from the show and fan art.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles", "url": "http://silverscastle.freeservers.com/"} +{"d:Title": "Alex Destine's Gargoyles Page", "d:Description": "Puck character information and some fan fiction parodies.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles", "url": "http://www.angelfire.com/al2/alex99/gargoyles.html"} +{"d:Title": "Chris's Gargoyles Page", "d:Description": "Introduction, images, character profiles, magical relics, sound and video clips, humor, fan fiction and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles", "url": "http://members.tripod.com/~MadMagic/gargoyles.html"} +{"d:Title": "LJC's Disney's Gargoyles Primer", "d:Description": "Series synopsis, character profiles, images and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles", "url": "http://www.loony-archivist.com/gargs/"} +{"d:Title": "Sarah and Sumire's Gargoyle's Series", "d:Description": "Fan fiction stories and humor.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Fan_Works", "url": "http://www.angelfire.com/wa2/pikamoon/index.html"} +{"d:Title": "The Gargoyles Saga", "d:Description": "Fan fiction continuation.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Fan_Works", "url": "http://tgs.gargoyles-fans.org/"} +{"d:Title": "Aldraya's Castle", "d:Description": "The home of Aldraya, the mad gargoyle/fey hafling.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Fan_Works", "url": "http://www.angelfire.com/yt/madhalfling/"} +{"d:Title": "The Elisa and Goliath Story", "d:Description": "Fan fiction, sounds, poems, screen captures, theme songs and clips, artwork, a message board, and contest links.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Fan_Works", "url": "http://egstory.tripod.com/"} +{"d:Title": "Aimee's Studio", "d:Description": "Fan art, tutorials, professional work and insights.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Fan_Works", "url": "http://aimeemajor.com/"} +{"d:Title": "Monthly Gargoyles Art Contest", "d:Description": "Challenges for fan artists to test their skills. Current topic, galleries, tips, interviews and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Fan_Works", "url": "http://mgc.gargoyles-fans.org/"} +{"d:Title": "Katclan Ring", "d:Description": "Catgoyles Clan members' sites, joining instructions, and HTML code.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Web_Rings", "url": "http://www.angelfire.com/ok3/Katring/"} +{"d:Title": "WebRing: Gargoyles - Etched In Stone", "d:Description": "Membership for any type or related site. Joining information, site list, and ringmaster information.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Web_Rings", "url": "http://www.webring.org/hub?ring=gargs"} +{"d:Title": "WebRing: Gargoyles", "d:Description": "General Gargoyles ring with membership site list and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gargoyles/Web_Rings", "url": "http://www.webring.org/hub/gargfic"} +{"d:Title": "The Gary Coleman Show", "d:Description": "Page devoted to animated series voiced by Gary Coleman.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gary_Coleman_Show", "url": "http://www.sitcomsonline.com/garycolemanshow.html"} +{"d:Title": "IMDb: Generation O", "d:Description": "Viewer comments, cast list, and a brief show synopsis.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Generation_O", "url": "http://www.imdb.com/title/tt0261473/"} +{"d:Title": "Filmation's Ghostbusters", "d:Description": "Voice cast, video scan and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Ghostbusters_-_Filmation", "url": "http://www.imdb.com/title/tt0127569/"} +{"d:Title": "Yo Joe", "d:Description": "Commercials and episodes for viewing, an episode list, FAQ section, and voice actor profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/GI_Joe", "url": "http://www.yojoe.com/cartoons/"} +{"d:Title": "G. I. Joe Cobrathon", "d:Description": "Story synopsis for \"Cobrathon\" episode of the animated series.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/GI_Joe", "url": "http://www.firsttvdrama.com/show1/largcast/joe1.php3"} +{"d:Title": "The Lost G.I. Joe Public Service Announcements", "d:Description": "After every G.I. Joe episode they aired a public service announcement. Some of them were too harsh to make the cut, and here they are.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/GI_Joe", "url": "http://www.i-mockery.com/minimocks/psa"} +{"d:Title": "Cobra Recruitment Center", "d:Description": "Based on the villainous organization Cobra. Contains information on the game and how to join.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/GI_Joe/Online_Roleplaying", "url": "http://www.angelfire.com/games3/hailcobra/"} +{"d:Title": "H.E.A.T. Seeker", "d:Description": "Fansite containing show information, character profiles, fanfics, and exclusive original content.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Godzilla_-_The_Series", "url": "http://www.angelfire.com/scifi/godztheseries/index.html"} +{"d:Title": "The GTS Fan Network", "d:Description": "Chat room, gameboy information, fanfic, a news area, a message board, and picture gallery.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Godzilla_-_The_Series", "url": "http://www.angelfire.com/scifi/theGZone/gzenter.htm"} +{"d:Title": "Toonhound: Gordon the Garden Gnome", "d:Description": "Article and review includes production details, an episode list, characters and animal pal profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gordon_the_Garden_Gnome", "url": "http://www.toonhound.com/gordon.htm"} +{"d:Title": "BCDB: Gordon the Garden Gnome", "d:Description": "Episode list from 2005-2006 mentioning the cast and crew, writers, running time, and original airing dates.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gordon_the_Garden_Gnome", "url": "http://www.bcdb.com/cartoons/Other_Studios/C/Collingwood_O_Hare_Entertainment/Gordon_the_Garden_Gnome/"} +{"d:Title": "Gumbyworld", "d:Description": "Selection of Gumby and friends toys and products, as well as downloads, clips, and trivia.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gumby", "url": "http://www.gumbyworld.com/"} +{"d:Title": "WWWF Grudge Match: Mr. Bill vs. Gumby", "d:Description": "Two clay figures, a razor blade, a zippo lighter, and a battle to the death.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gumby", "url": "http://www.grudge-match.com/History/gumby-mrbill.shtml"} +{"d:Title": "Gummadoon", "d:Description": "Pictures, sounds, lyrics, hidden Disney references, and some scripts.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gummi_Bears", "url": "http://cubbi.org/gummi/index.html"} +{"d:Title": "New Gumbrea", "d:Description": "Episode guide, characters, fan fiction, images, and various downloads.", "topic": "Top/Arts/Animation/Cartoons/Titles/G/Gummi_Bears", "url": "http://www.newgumbrea.com/"} +{"d:Title": "He-Man.org", "d:Description": "Episode reviews, forum community, industry news, online store, articles, books, cartoons, comics, editorials, fan fiction and art, magazines, and toys.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://www.he-man.org/"} +{"d:Title": "Stratos' Masters of the Universe Page", "d:Description": "Character biographies for good and evil characters. Also includes a price guide for collectibles, articles, and artwork.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://www.angelfire.com/md/stratosmacca2/heman.html"} +{"d:Title": "The Masters of the Universe Fortress", "d:Description": "Desktop themes and skins, fan art, information on toys and colletibles, as well as minicomic and book reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://www.angelfire.com/80s/motu0/ghostsmotuhome.html"} +{"d:Title": "Castle Numbskull", "d:Description": "Fan art, fan fiction, episode schedule, KiSS dolls, mood icons, and one-second episode summaries.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://www.c4vct.com/kym/numbskul"} +{"d:Title": "The He-Man Page", "d:Description": "History of the 80s phenomenon and its downfall, a fan-written parody script, and He-Man's worst morals: don't put mustard on the cat!", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://flyingmoose.org/heman/heman.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, reviews and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://imdb.com/title/tt0126158/"} +{"d:Title": "Slime Pit", "d:Description": "Includes merchandise checklists for both the old and new shows, a which character are you quiz, fan art, and custom figures.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://www.slimepit.homestead.com/"} +{"d:Title": "Masters Cast", "d:Description": "A He-Man and She-Ra podcast.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://www.masterscast.com/index.php"} +{"d:Title": "Vaults Of Grayskull", "d:Description": "Eyas Stormwolf's Masters Of The Universe website, featuring a full-length fantasy adventure novel called Grayskull.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://www.vaultsofgrayskull.co.uk/"} +{"d:Title": "He-Man World", "d:Description": "He-Man and She-Ra fan site. COSplay, games, interviews, art, news and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/He-Man_and_the_Masters_of_the_Universe", "url": "http://hemanworld.com/"} +{"d:Title": "Wingnut: Heckle and Jeckle", "d:Description": "Film list staring the magpie duo, release information, and a cast list.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Heckle_and_Jeckle", "url": "http://www.wingnuttoons.com/Heckle.html"} +{"d:Title": "Official Sanrio Website", "d:Description": "Home of Hello Kitty and friends. Online sales available as well as images, character descriptions, store locator and news.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty", "url": "http://www.sanrio.com/"} +{"d:Title": "Sanrio Japan", "d:Description": "Official Japanese website mirrored in English. Weekly character spotlight, fortunes, Puroland, FAQ, multimedia, shop list and Harmonyland.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty", "url": "http://www.sanrio.co.jp/english/"} +{"d:Title": "Sanrio Town", "d:Description": "Official community of fans. Free e-mail, mailing list, chat, forums, and calendar.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty", "url": "http://www.sanriotown.com/"} +{"d:Title": "Hello Kitty and Her Friends", "d:Description": "Images and profiles of Sanrio characters and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty", "url": "http://hellokitty553.tripod.com/hellokitty.html"} +{"d:Title": "All That is Soridu Melts Into Kitty", "d:Description": "An annotated, stream of consciousness essay.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty", "url": "http://www.ctheory.net/text_file.asp?pick=98"} +{"d:Title": "NPR: Hello Kitty: A Marketing Sensation Grows Up", "d:Description": "Short article with image, links, and Real Player or Windows Media version of the radio broadcast.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Articles", "url": "http://www.npr.org/templates/story/story.php?storyId=4135154"} +{"d:Title": "Wired 7.12: Cute Inc.", "d:Description": "Astute piece by Mary Roach, detailing the culture of cute including Hello Kitty in Japan.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Articles", "url": "http://www.wired.com/1999/12/cute/"} +{"d:Title": "Love for Flowers", "d:Description": "Character information, images, and downloads.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Characters/Hana_Maru", "url": "http://theanimegarden.tripod.com/sanrio/"} +{"d:Title": "Keroppi and His Friends", "d:Description": "All of Keroppi's friends and pictures as well as a profile.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Characters/Keroppi", "url": "http://personal.cityu.edu.hk/~cccyff/"} +{"d:Title": "Ahiru no Pekkle's Page", "d:Description": "Images, information and links regarding the Sanrio duck.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Characters/Pekkle", "url": "http://www.angelfire.com/on/ahirunopekkle/index.html"} +{"d:Title": "Pochacco's Home Page", "d:Description": "All about Pochacco, including pictures, a chat, and links to information about other Sanrio characters.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Characters/Pochacco", "url": "http://members.tripod.com/~GIRLPrez/pochome.html"} +{"d:Title": "San-X's Official Website", "d:Description": "The creators of Tare Panda and others.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Characters/Tare_Panda", "url": "http://www.san-x.co.jp/"} +{"d:Title": "Tare Tare Panda's House", "d:Description": "Wallpaper, downloads and other San-X characters like Tears Puppy.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Characters/Tare_Panda", "url": "http://members.tripod.com/taretare/index.htm"} +{"d:Title": "Tare Pie", "d:Description": "Shrine with introduction, large image gallery, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Characters/Tare_Panda", "url": "http://tarepie.tripod.com/"} +{"d:Title": "Kitty Smitten", "d:Description": "Images, commentary, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Humor", "url": "http://kittysmitten.tripod.com/"} +{"d:Title": "Hello Kitty's Corner", "d:Description": "Humorous page with biographies of plush Hello Kitties, a species identification list, and QuickTime movies.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Humor", "url": "http://fp3.antelecom.net/gcifu/hellokitty/hkmain.html"} +{"d:Title": "Cutie Hello Kitty", "d:Description": "Images, about the webmaster, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Image_Galleries", "url": "http://www.angelfire.com/80s/cutiehellokitty/"} +{"d:Title": "Flickr: Well, Hello Kitty!", "d:Description": "All Hello Kitty lovers, collectors, fans, crafters, or possible posers, are welcome to join or browse this group.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Image_Galleries", "url": "http://flickr.com/groups/wellhellokitty/"} +{"d:Title": "Hello Kitty's Paradise Clips", "d:Description": "Introduction and miscellaneous series quicktime videos.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Multimedia", "url": "http://www.quadrivia.com/cute/sanrio/paradise/"} +{"d:Title": "Hello Kitty's Tea Party", "d:Description": "Small interactive game.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Multimedia", "url": "http://www.groovygames.com/kitty/"} +{"d:Title": "My Hello Kitty", "d:Description": "Wall paper, screen savers and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Multimedia", "url": "http://www.angelfire.com/ky/myhellokitty/"} +{"d:Title": "The Really Cool Sanrio Webring", "d:Description": "Rules, how to join, and HTML fragment.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Web_Rings", "url": "http://www.webring.org/hub?ring=sanrio2ooo"} +{"d:Title": "Hello Kitty and Friends", "d:Description": "HTML fragment and member list.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Web_Rings", "url": "http://www.webring.org/hub?ring=hello_kitty"} +{"d:Title": "Jenny's Pochacco Ring", "d:Description": "How to join, rules, HTML fragment, over 140 sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Web_Rings", "url": "http://www.webring.org/hub?ring=jxd"} +{"d:Title": "The Hello Kitty Page", "d:Description": "Ringmaster information, membership details, and site listings.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hello_Kitty/Web_Rings", "url": "http://www.webring.org/hub?ring=hellokittypage"} +{"d:Title": "Hey Arnold!", "d:Description": "A Hey Arnold fan site featuring a comprehensive episode guide and several links.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hey_Arnold", "url": "http://www.hey-arnold.com/"} +{"d:Title": "Hey! Arnold Stuff", "d:Description": "A site with photos of the Nickelodeon animation studio and the \"Hey Arnold\" cast. Artwork too.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Hey_Arnold", "url": "http://brisco150.tripod.com/heyarnoldstuff/"} +{"d:Title": "Encyclopedia Histeria", "d:Description": "Pictures, sound and song clips (mp3 and wav), information, character and voice cast list, lyrics, and episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/Histeria", "url": "http://www.angelfire.com/tv/enhist/"} +{"d:Title": "How The Grinch Stole Christmas...and My Heart", "d:Description": "By Frankie Kowalski. [Animation World Magazine, Issue 1.9] Celebrating the 30th Anniversary of \"The Grinch\". Includes pictures of Chuck Jones, June Foray and Maurice Noble, as well as scans of original animation art.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Articles", "url": "http://www.awn.com/mag/issue1.9/articles/kowlagrinch1.9.html"} +{"d:Title": "The Cinema Laser - Grinch&Horton DVD", "d:Description": "\"Something that every DVD fan will want to find in their holiday stocking.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Articles/Reviews/DVD", "url": "http://www.thecinemalaser.com/dvd_reviews/how-the-grinch-stole-christmas-dvd.htm"} +{"d:Title": "FireBlade DVD Review", "d:Description": "By Jerry Stratton. [Hoboes.com] \"This double feature is a pretty good deal.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Articles/Reviews/DVD", "url": "http://www.hoboes.com/Mimsy/Movies/grinch/"} +{"d:Title": "Supercharged Grinch", "d:Description": "Musical rendition of \"You're A Mean One, Mr. Grinch\", by alternative band M-80s.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Audio", "url": "http://members.tripod.com/~buzzbomber/grinch.html"} +{"d:Title": "The Daily .WAV", "d:Description": "Sound clips of Boris Karloff's original narrative.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Audio", "url": "http://www.dailywav.com/h.html#grinch"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, quotations, merchandise links, reviews and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0060345/"} +{"d:Title": "How the Gingrinch Stole Congress", "d:Description": "Parody by Kris Rabberman and Scott Prevost.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Humor", "url": "http://www.synaptic.bc.ca/ejournal/gingrnch.htm"} +{"d:Title": "WWWF Grudge Match", "d:Description": "The Grinch takes on Scrooge in the battle of reformed Christmas curmudgeons.", "topic": "Top/Arts/Animation/Cartoons/Titles/H/How_the_Grinch_Stole_Christmas/Humor", "url": "http://www.grudge-match.com/History/scrooge-grinch.shtml"} +{"d:Title": "Inspector Gadget vs. Q", "d:Description": "Speculation as to whether Inspector Gadget and Q fought. Includes a conspiracy theory based on Q and a view of Dr.Claw's real face.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://www.grudge-match.com/History/q_gadget.shtml"} +{"d:Title": "Yahoo Clubs: Go-Go Gadget", "d:Description": "Includes message board, bookmarks, images, and files. Yahoo membership required.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://groups.yahoo.com/group/gadgetinspector/"} +{"d:Title": "The Animated Cartoon Factory", "d:Description": "Storyboards from the first season of Inspector Gadget.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://www.brianlemay.com/gadget/gadgetboards.html"} +{"d:Title": "Yahoo Clubs: A Penny From Heaven", "d:Description": "A group devoted to Penny. Message board, chat, photo album, bookmarks.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://groups.yahoo.com/group/apennyfromheaven/"} +{"d:Title": "The Inspector Gadget Theme Song", "d:Description": "Bass guitar fingering for the theme song.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://www.angelfire.com/il2/bassplayer97/tab/gadget.html"} +{"d:Title": "Voice Chasers - Television - \"Inspector Gadget\"", "d:Description": "Synopsis, trivia, and voice cast of Inspector Gadget.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://www.voicechasers.com/database/showprod.php?prodid=608"} +{"d:Title": "The Case Files of Inspector Gadget", "d:Description": "Provides synopses, quotes, and other resources for each episode of Inspector Gadget.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://www.angelfire.com/80s/inspectorgadget"} +{"d:Title": "Inspector Gadget @ The Big Cartoon DataBase", "d:Description": "Episode guide for Inspector Gadget. Lists cast and crew of each along with synopsis.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://www.bcdb.com/cartoons/Other_Studios/D/DiC_Entertainment/Inspector_Gadget/more2.html"} +{"d:Title": "IMDB - \"Inspector Gadget\" (1983)", "d:Description": "Includes plot outline, cast overview, user comments and a (little used)message board based on the show. It also lists guest appearances, company credits, and award nominations.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Inspector_Gadget", "url": "http://www.imdb.com/title/tt0085033/"} +{"d:Title": "Implode: Do You Deny It", "d:Description": "Fan art and fiction, trivia, scripts, sound clips, quotes, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://ked_dib.tripod.com/"} +{"d:Title": "Mission Goo II", "d:Description": "Character profiles, place guide, episodes, images, hidden jokes, RPG, Gir shrine, multimedia, chat, polls, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://www.angelfire.com/my/zimsite/home.html"} +{"d:Title": "I Love This Show", "d:Description": "A fansite with an episode guide, cast biographies, pictures, and quizzes.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://www.angelfire.com/goth/ilovethisshow/home.html"} +{"d:Title": "Prepare to be Subjugated", "d:Description": "Offers quizzes, downloadable games, a large .wav clip library, fan art, fan fiction, and an expanding collection of screenshots and video.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://subjugated.tripod.com/"} +{"d:Title": "The Invasion Is Here", "d:Description": "Character profiles, games, video clips, and series information.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://www.angelfire.com/extreme3/invaderzim/"} +{"d:Title": "Invader Zim's Secret Lair", "d:Description": "Fan fiction, character profiles, games, and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://www.angelfire.com/pokemon2/mewssite/NessiesTempleofAnime/InvaderZim/index.html"} +{"d:Title": "Lord Krisman's Invader Zim Site", "d:Description": "Images of a switch chip collection, fan art, wallpapers, and a message board, and links to episode information.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://tak.deep-ice.com/"} +{"d:Title": "The Zone", "d:Description": "Fansite which offers images, quizzes, and fonts.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://www.cyan-blue.com/iz"} +{"d:Title": "Your Mom's House", "d:Description": "Complete episode list with videos and a comprehensive links list to related 'toon sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://yourmom.sh/"} +{"d:Title": "ToyKeeper.net Zim Videos", "d:Description": "A selection of videos in divx (.avi) format as well as Jhonen fan art and wallpaper.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://jcv.toykeeper.net/Invader_Zim/videos.php"} +{"d:Title": "Bloaty's Pizza Hog", "d:Description": "Fansite offering character profiles, quotes, sounds, and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://www.invaderzim.tv/bloatys.html"} +{"d:Title": "The Scary Monkey Show Website", "d:Description": "Full episode transcripts, hundreds of pictures, and descriptions of every character down to those with mere seconds of screen time.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim", "url": "http://www.invaderzim.tv/scarymonkey.html"} +{"d:Title": "Kevin Manthei Music Productions", "d:Description": "Official site of the Invader Zim composer - includes a collection of music clips in MP3 format.", "topic": "Top/Arts/Animation/Cartoons/Titles/I/Invader_Zim/Cast_and_Crew", "url": "http://www.kmmproductions.com/music.html"} +{"d:Title": "Internet Movie Database: Jack Frost", "d:Description": "Credits, plot summary, and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jack_Frost", "url": "http://www.imdb.com/title/tt0079357/"} +{"d:Title": "Wikipedia: Jane and the Dragon", "d:Description": "Television show summary, creation information, character and episode lists.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jane_and_the_Dragon", "url": "http://en.wikipedia.org/wiki/Jane_and_the_Dragon_(TV_series)"} +{"d:Title": "The Big Cartoon Database: Jane And The Dragon", "d:Description": "Episode list of 26 shows, with individual summaries and production information.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jane_and_the_Dragon", "url": "http://www.bcdb.com/cartoons/Other_Studios/N/Nelvana_Limited/Jane_And_The_Dragon/"} +{"d:Title": "Common Sense Media: Jane and the Dragon", "d:Description": "Reviewers opinions, user reviews, and content subject matter; how the series may appeal to children and adults.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jane_and_the_Dragon", "url": "http://www.commonsensemedia.org/tv-reviews/jane-and-dragon"} +{"d:Title": "IMDb: Jane and the Dragon", "d:Description": "Series credits, voice credits, and user comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jane_and_the_Dragon", "url": "http://www.imdb.com/title/tt0486069/"} +{"d:Title": "Livejournal: Jane and the Dragon", "d:Description": "Fan community with news, updates, discussions, and fan works.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jane_and_the_Dragon", "url": "http://jandd-fanhq.livejournal.com/"} +{"d:Title": "Cindy's Jayce and the Wheeled Warriors Page", "d:Description": "Holy jumpin' space rats!", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jayce_and_the_Wheeled_Warriors", "url": "http://www.wheelies.net/"} +{"d:Title": "Ben Gali's Wheeled Warriors Toys", "d:Description": "Vehicle name, a description of the vehicle, accessories, and the specifications listed on the box.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jayce_and_the_Wheeled_Warriors", "url": "http://www.angelfire.com/nc/sawboss/wheeledwarriors.html"} +{"d:Title": "Spice Girls Vs. Jem", "d:Description": "How the Spice Girls are suspiciously like Jem and The Holograms.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://www.angelfire.com/rock/jem/realjem/index.html"} +{"d:Title": "Stingers Invasion", "d:Description": "Stingers site with pictures, Stingers background, and other character profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://members.tripod.com/~Gioia100/STINGERS.HTM"} +{"d:Title": "Jem Forever", "d:Description": "Pictures, character list, and related links.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://ephiny8.tripod.com/jem.forever.html"} +{"d:Title": "SOS Jem", "d:Description": "Dedicated to returning the show to television. Includes a petition, fan artwork and images from various shows, audio clips of songs, and event listing.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://www.angelfire.com/80s/sosforjem/"} +{"d:Title": "JemCon", "d:Description": "An annual fan convention with activities and famous gueststars.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://www.jemcon.org/"} +{"d:Title": "Britrock", "d:Description": "Episode guide, characters appearances, song lyrics, Jem Vs My Little Pony, and a doll guide including international variations.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://www.etherella.com/britrock/"} +{"d:Title": "Rock Jem", "d:Description": "An informative site about the cartoon and doll line, including international releases, an episode guide, song lyrics, voice credits and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://www.rockjem.com/"} +{"d:Title": "Jem Things&Stuff", "d:Description": "A guide to the doll line, including a price guide, commercials, as well as an episode guide, song lyrics, and games. Requires registration to view.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://www.jemthingsandstuff.co.uk/"} +{"d:Title": "Songs of Jem", "d:Description": "Trivia and analyze about appearance of songs and themes therein.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://kenten.com/jem/"} +{"d:Title": "Starlight Music", "d:Description": "Blog covering various areas surrounding the brand, as well as fanwork.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://jemandtheholograms.tumblr.com/"} +{"d:Title": "The Jem Jam", "d:Description": "Blog with news and its own podcast.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://thejemjam.tumblr.com/"} +{"d:Title": "Jem Animated", "d:Description": "A tribute blog to the animation and news about it.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://jemanimated.tumblr.com/"} +{"d:Title": "Jem Legacy", "d:Description": "A standalone forum community with several boards and topics.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem", "url": "http://jemlegacy.boards.net/"} +{"d:Title": "Wikipedia: Jem", "d:Description": "Thorough introduction with background information, original airdates and current status of franchise.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem/Resources", "url": "http://en.wikipedia.org/wiki/Jem_(TV_series)"} +{"d:Title": "Internet Movie Database: Jem", "d:Description": "Detailed listings of cast and crew, release dates, trivia and message board.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem/Resources", "url": "http://www.imdb.com/title/tt0090461/"} +{"d:Title": "TV.com: Jem", "d:Description": "Cast list, episode guide and trivia.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jem/Resources", "url": "http://www.tv.com/shows/jem/"} +{"d:Title": "Jamie's Johnny Bravo Page", "d:Description": "Features and episode guide, downloadable desktop themes, movie clips, and screensavers, show images and sounds, and background information on the animation creator.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Johnny_Bravo", "url": "http://members.tripod.com/~jmstein/johnny/"} +{"d:Title": "Brian's Johny Bravo Site", "d:Description": "Pictures, sound clips and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Johnny_Bravo", "url": "http://members.tripod.com/~briwb/index5.html"} +{"d:Title": "Classic Jonny Quest", "d:Description": "General information from the 1964 show, detailed information on places, monsters, friends, and animals, as well as memorabilia, artwork, and an episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Jonny_Quest", "url": "http://www.classicjq.com/"} +{"d:Title": "Josie and the Pussycats According to Wingnut", "d:Description": "Cast and crew information and a list of episode titles.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Josie_and_the_Pussycats", "url": "http://www.wingnuttoons.com/Josie_and_the_Pussycats.html"} +{"d:Title": "Yahoo! Groups: catscradle", "d:Description": "A club for fans of Josie and the Pussycats.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Josie_and_the_Pussycats", "url": "http://groups.yahoo.com/group/catscradle2/"} +{"d:Title": "Warner Bros. Kids: Justice League Series Premiere", "d:Description": "Information on the DVD released collection. Features story background, multimedia video clips, and a trophy room with wallpapers.", "topic": "Top/Arts/Animation/Cartoons/Titles/J/Justice_League", "url": "http://www.warnervideo.com/jl/"} +{"d:Title": "The Big Cartoon Database: Karate Kat", "d:Description": "Information on this 80s cartoon including episode synopsis.", "topic": "Top/Arts/Animation/Cartoons/Titles/K", "url": "http://www.bcdb.com/cartoons/Other_Studios/R/Rankin_Bass_Productions/The_Comic_Strip/Karate_Kat/"} +{"d:Title": "Kidd Video Flipside", "d:Description": "Information, episode guide, FAQs, trivia, fan community links, pictures and multimedia.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/Kidd_Video", "url": "http://www.kvflipside.org/"} +{"d:Title": "Yahoo! Groups : KimPossible", "d:Description": "Discussion group. Publicly available archives.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/Kim_Possible", "url": "http://groups.yahoo.com/group/kimpossible/"} +{"d:Title": "Kim Possible a Titles and Air Dates Guide", "d:Description": "A guide listing the title and air date for each episode. Includes cast information and a short synopsis.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/Kim_Possible", "url": "http://epguides.com/KimPossible/"} +{"d:Title": "On the Tube: Animated 'Kim Possible' new brand of action hero", "d:Description": "Article by Rob Owen, TV editor in the Post-Gazette.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/Kim_Possible", "url": "http://www.post-gazette.com/tv/20020607owen0607fnp3.asp"} +{"d:Title": "Whoosh! Episode Guide: Kim Possible", "d:Description": "Episode guides, airing schedule, production information, media reports and mailing lists.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/Kim_Possible", "url": "http://www.whoosh.org/epguide/kim/kim.html"} +{"d:Title": "The Kim Possible Resort", "d:Description": "A very active Yahoo! Group with fan art, fan fiction, general information, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/Kim_Possible", "url": "http://tv.groups.yahoo.com/group/TheKimPossibleResort/"} +{"d:Title": "TV.com: Kim Possible", "d:Description": "Episode, cast, and crew guide. Also includes viewer comments and items for sale.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/Kim_Possible", "url": "http://www.tv.com/shows/kim-possible/"} +{"d:Title": "Deeplake.com", "d:Description": "Pictures, sounds, and a guide to what TV channels this show appears on in Europe.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/King_of_the_Hill", "url": "http://www.deeplake.com/koth/"} +{"d:Title": "Strickland Propane", "d:Description": "Information on Beavis and Butthead crossovers, an episode guide, message board and DVD Easter egg details.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/King_of_the_Hill", "url": "http://bungholeworld.tripod.com/strickland/"} +{"d:Title": "The King of the Hill Episode Guide", "d:Description": "Episode guide with plot summaries and writing credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/K/King_of_the_Hill", "url": "http://www.tv.com/shows/king-of-the-hill/"} +{"d:Title": "Life with Louie", "d:Description": "Character biographies, sound clips, and images.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Life_with_Louie", "url": "http://www.angelfire.com/my/lifewithlouie/"} +{"d:Title": "Mining TVs Syndication Motherlode", "d:Description": "An article the mentions changes to Family Feud and includes a link to a picture of Louie. [CNN]", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Life_with_Louie", "url": "http://www.cnn.com/SHOWBIZ/TV/9908/02/tv.syndication/"} +{"d:Title": "Non-stick Looney Page", "d:Description": "Pictures, sounds and history on classic Warner Bros. cartoons.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://www.nonstick.com/"} +{"d:Title": "Looney Tunes Crash Course", "d:Description": "Road Runner and Wile E. Coyote's home away from home. Featuring Looney Tunes news, RR and Wile pictures, trivia, coloring pages, history, and sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://www.cerbslair.com/ltcc/"} +{"d:Title": "WiseAcre Gardens", "d:Description": "Looney Tunes postcards, wallpaper, still and animated images, sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://www.wiseacre-gardens.com/buttons/toons.html"} +{"d:Title": "Looney Tunes Presents", "d:Description": "Currently the official site for the 2000 direct-to-video movie, \"Tweety's High-Flying Adventure.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://looneytunespresents.warnerbros.com/"} +{"d:Title": "Warner Art", "d:Description": "Reference for animation cel collectors. Lists of essential reading as well as a large photographic gallery exhibiting some of the webmasters extensive collection.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://www.warnerart.com/"} +{"d:Title": "Warner Bros. Cartoons Filmography and Title Card Gallery", "d:Description": "Includes a full filmography from 1929 to 1964, images, and information.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://www.davemackey.com/animation/wb/"} +{"d:Title": "Looney Tunes On Television", "d:Description": "Several original articles by Kevin McCorry and Jon Cooke on the televised series, including plot summaries and connections between episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://looney.goldenagecartoons.com/tv/"} +{"d:Title": "Looney Tunes Hidden Gags", "d:Description": "Describes and illustrates the many inside jokes hidden by animators within Warner Bros cartoons.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes", "url": "http://gregbrian.tripod.com/hidden/"} +{"d:Title": "CBUB Fights: Bugs Bunny vs. Mickey Mouse", "d:Description": "Humor page with fan commentary.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://www.electricferret.com/fights/toons.htm"} +{"d:Title": "Cheat Code Central: Bugs Bunny: Lost In Time", "d:Description": "Cheat codes to the game.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://www.cheatcc.com/psx/codes/bblit.html"} +{"d:Title": "Chuck Jones", "d:Description": "The official animation site of Warner Bros. animation director Chuck Jones.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://www.chuckjones.com/"} +{"d:Title": "Non-Stick Looney Tunes", "d:Description": "Find pictures, sounds and historical information about Warner Brothers cartoons.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://www.nonstick.com/"} +{"d:Title": "ScienceDaily Magazine -- \"I Tawt I Taw\" A Bunny Wabbit At Disneyland", "d:Description": "Article on false memories involving Bugs Bunny.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://www.sciencedaily.com/releases/2001/06/010612065657.htm"} +{"d:Title": "Bugs Bunny Crazy Castle 3 codes and cheats - Game Revolution", "d:Description": "Cheats, codes and hints.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://www.gamerevolution.com/cheat/gameboy/bugs-bunny-crazy-castle-3"} +{"d:Title": "Looney Tunes", "d:Description": "Official site. Features animated and interactive games. [Requires Flash]", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://looneytunes.kidswb.com/"} +{"d:Title": "Who2 Profile: Bugs Bunny", "d:Description": "Features a brief profile.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Bugs_Bunny", "url": "http://www.who2.com/bio/bugs-bunny"} +{"d:Title": "WWWF Grudge Match", "d:Description": "Fictional comedic send-up piting Donald against Daffy with commentary.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Daffy_Duck", "url": "http://www.grudge-match.com/History/daf_don.shtml"} +{"d:Title": "One Foggy Site", "d:Description": "Offers sounds, themes, a filmography and some constantly changing surprises in the barnyard.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Foghorn_Leghorn", "url": "http://onefoggy.tripod.com/"} +{"d:Title": "The Foghorn Leghorn Webring", "d:Description": "Sites that contain material relating to or about the Looney Tunes character(s) Foghorn Leghorn, Dawg, Henry Hawk, Prissy, Henry, and Egghead Jr.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Foghorn_Leghorn", "url": "http://www.webring.org/hub?ring=foghorn"} +{"d:Title": "Entertaindom: Marvin The Martian", "d:Description": "Weekly animated cartoon shorts featuring the classic Looney Tunes character in 3D, voiced by Joe Alaskey. [Requires Shockwave plugin]", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Marvin_the_Martian", "url": "http://entertaindom.com/pages/pulsecomix/marvin/home.jsp"} +{"d:Title": "The Marvin Files - Virtual Postcards!", "d:Description": "Send that special someone a free electronic postcard featuring Marvin The Martian.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Marvin_the_Martian", "url": "http://www.marvinfiles.8m.com/marvcard.htm"} +{"d:Title": "A Marvin the Martian Homepage", "d:Description": "Fanpage with information, pictures, sounds and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Marvin_the_Martian", "url": "http://www.angelfire.com/pa/lkmarvin/"} +{"d:Title": "Marvin The Martian Rules!", "d:Description": "Pictures, information and movie clips.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Marvin_the_Martian", "url": "http://www.gargaro.com/marvin.html"} +{"d:Title": "Bev's Marvin the Martian Page", "d:Description": "Pictures, episode and film list, and facts about the mysterious martian.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Marvin_the_Martian", "url": "http://www.angelfire.com/tx/bevshome/marvin.html"} +{"d:Title": "CBUB Fights: Road Runner vs. Predator", "d:Description": "The ultimate hunter from space, the Predator, hunts the rascally Road Runner. Can he succeed where the coyote failed? Provides a commentary, and user comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Road_Runner", "url": "http://www.electricferret.com/fights/runroses.htm"} +{"d:Title": "Speedy Gonzales: Banned In the USA", "d:Description": "Commentary by supporters in reaction to the ban laid on the Mexican mouse.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Speedy_Gonzales", "url": "http://www.freerepublic.com/focus/news/660464/posts"} +{"d:Title": "FOXNews.com: Speedy Gonzales Caged by Cartoon Network", "d:Description": "Michael Y. Park's article on the broadcasting of Speedy Gonzales cartoons .", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Speedy_Gonzales", "url": "http://www.foxnews.com/story/0,2933,48872,00.html"} +{"d:Title": "LatinoLA", "d:Description": "An article on whether the mouse should be brought back from cartoon exile or not.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Speedy_Gonzales", "url": "http://www.latinola.com/story.php?story=230"} +{"d:Title": "Speedy Gonzales - A Tribute", "d:Description": "Features history and screen captures .", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Speedy_Gonzales", "url": "http://toolooney.goldenagecartoons.com/speedy.htm"} +{"d:Title": "Looney Tunes - Stars of the Show: Sylvester, Granny and Sylvester Jr.", "d:Description": "History, highlights and images of the eternally frustrated pussycat.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Sylvester", "url": "http://looneytunes.warnerbros.com/stars_of_the_show/sylvester/sylvester_story.html#"} +{"d:Title": "WWWF Grudge Match", "d:Description": "Fictional comedic send-up piting Tasmanian Devil against Paul Bunyan.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Tazmanian_Devil", "url": "http://www.grudge-match.com/History/taz-bunyan.shtml"} +{"d:Title": "TweetyTown.com", "d:Description": "Offers pictures, sounds, e-cards and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Tweety", "url": "http://www.tweetytown.com/"} +{"d:Title": "The Ultimate Tweety site", "d:Description": "Image and sound galleries, downloadable desktop themes, biography, and electronic cards.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Characters/Tweety", "url": "http://www.verfrisser.net/tweety/"} +{"d:Title": "Looney Tunes' Greatest Web Ring", "d:Description": "List of sites in the ring, with brief descriptions.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Web_Rings", "url": "http://www.webring.org/hub?ring=dd_daffy"} +{"d:Title": "The Looney Tunes Ring", "d:Description": "Devoted to any pages that contain information or media about the gang or an individual character.", "topic": "Top/Arts/Animation/Cartoons/Titles/L/Looney_Tunes/Web_Rings", "url": "http://www.webring.org/hub?ring=looneytunes"} +{"d:Title": "IMDb: The Magician", "d:Description": "Cast and crew list, reviews, plot synopsis and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Magician,_The", "url": "http://www.imdb.com/title/tt0205659/"} +{"d:Title": "Max Steel(TM)", "d:Description": "Official site for the Mattel toys.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Max_Steel", "url": "http://www.maxsteel.com/"} +{"d:Title": "Ask Greg", "d:Description": "Animation creator/producer Gerg Weisman answers questions about his work on \"Max Steel\".", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Max_Steel", "url": "http://www.s8.org/gargoyles/askgreg/archives.php?lid=45&qid=8167&ppp=10"} +{"d:Title": "Epguides.com: Men in Black: The Series", "d:Description": "Title and air dates guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Men_in_Black_-_The_Series", "url": "http://epguides.com/MeninBlack/"} +{"d:Title": "Toon Zone: Men in Black: The Series", "d:Description": "Complete and up-to-date episode list with titles and original airdates.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Men_in_Black_-_The_Series", "url": "http://www.toonzone.net/shows/episodes/mib.html"} +{"d:Title": "IMDb: Men in Black: The Series", "d:Description": "Cast and crew list, show description, reviews and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Men_in_Black_-_The_Series", "url": "http://www.imdb.com/title/tt0127385/"} +{"d:Title": "Yahoo Groups: MiB Fan Fiction", "d:Description": "E-mail list for developing chain link stories or posting your own.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Men_in_Black_-_The_Series/Chats_and_Forums", "url": "http://groups.yahoo.com/group/mibfanfiction"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, summary, reviews, and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mickey's_Christmas_Carol", "url": "http://www.imdb.com/title/tt0085936/"} +{"d:Title": "Chaos Central", "d:Description": "Episode summaries, quotes, fan fiction, links, and character profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mighty_Ducks", "url": "http://chaoscentral.freeservers.com/mdpage.html"} +{"d:Title": "Haven", "d:Description": "Episode guide, voice cast, character profiles, fan fiction, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mighty_Ducks", "url": "http://www.angelfire.com/md2/haven/"} +{"d:Title": "Puckworld Communications", "d:Description": "Web ring connecting fans of the series.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mighty_Ducks", "url": "http://members.tripod.com/~Starrfire/webring.html"} +{"d:Title": "The Dragon's Den", "d:Description": "Includes fan fiction, show information, pictures, sounds, movies, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mighty_Ducks", "url": "http://www.md-tas.50megs.com/"} +{"d:Title": "Epguides.com: Mighty Max", "d:Description": "Cast list, titles, and air dates.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mighty_Max", "url": "http://epguides.com/MightyMax/"} +{"d:Title": "IMDb: Mighty Max", "d:Description": "Voice cast, synopsis, reviews, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mighty_Max", "url": "http://www.imdb.com/title/tt0140749/"} +{"d:Title": "MoonDreamers Identification Page", "d:Description": "Characters, music, episode guide, and toy information.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Moon_Dreamers", "url": "http://www3.sympatico.ca/sittingduck/moondreamers.html"} +{"d:Title": "Mr. Men World", "d:Description": "Pictures and sounds as well as a chat forum.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/Mr._Men", "url": "http://www.themistermen.co.uk/"} +{"d:Title": "The Skylands", "d:Description": "MLP desktop themes, instructions on how to edit images, fan fiction, customs.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony", "url": "http://www.chanth.com/cartoons.html"} +{"d:Title": "Teddy's Place", "d:Description": "Character guide, pictures, and fan fiction based on the 1992 TV series My Little Pony Tales.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony", "url": "http://www.angelfire.com/tx3/teddysplace/"} +{"d:Title": "Dreamchaser's Dreamland", "d:Description": "Personal site with fanfic, \"adopt a baby\", games, links, free html and graphics and a guide to accessories.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/co2/dreamchaserland/"} +{"d:Title": "Blue Star Sky", "d:Description": "My Little Pony \"Dream Log\" (record of dreams about My Little Ponies) and custom ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://homepages.nyu.edu/~ko275/"} +{"d:Title": "Morning Glory's Garden", "d:Description": "Fan fiction format site with tips on cleaning and customizing ponies, free pictures, \"adopt a Big Brother\", and Real Player My Little Pony movies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/de/morningglory/"} +{"d:Title": "Paradise Styling Salon and Day Spa", "d:Description": "Cleaning and styling tips for your My Little Pony collection.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.alaska.net/~jnoble/sailaway/spaindex.html"} +{"d:Title": "Skylands", "d:Description": "A fan fiction format website with desktop themes and a section on how to make web graphics.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://chanth.com/mlp.html"} +{"d:Title": "Desert Rose's Oasis", "d:Description": "A fan fiction format site including adoptions, collection photographs and want/trade lists.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/80s/oasisofdesertrose/"} +{"d:Title": "Ponyland Market", "d:Description": "A guide to the 1997 released \"Generation Two\" ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/80s/ponymarket/guide/indexframe.html"} +{"d:Title": "Pony Heaven", "d:Description": "Personal site with details of collection, pony graphics for your webpage, a sale/trade page and a want list.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/fl5/mlp/index.html"} +{"d:Title": "Cizzy's My Little Pony Site", "d:Description": "Fan site with information on some of the first ponies to be produced by Hasbro as well as collection information and free web graphics.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://members.tripod.com/~Mylit/"} +{"d:Title": "CyberSunbeam", "d:Description": "Fansite with custom gallery and collection photographs.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.cybersunbeam.com/index.html"} +{"d:Title": "Whimsy's Place", "d:Description": "Original artwork, trade list and custom ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/on/raventyde/main.html"} +{"d:Title": "Topaz Shores", "d:Description": "Backcard stories, cleaning tips and a trade list.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://mlppina.tripod.com/"} +{"d:Title": "Sweet Stuff's Gumdrops", "d:Description": "Beauty Boutique with tips on maintaining your collection's looks, coloring book pages, polls and a shrine to the My Little Pony \"Sweet Stuff\".", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/80s/sweetstuffpony/mane.html"} +{"d:Title": "Ponyland Purple Pages", "d:Description": "Find out about other My Little Pony collectors.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://ponylandpurplepages.tripod.com/PonylandPurplePages.html"} +{"d:Title": "Silverfall's Ponytopia", "d:Description": "Find out about International Pony Day and My Little Ponies from all three production generations.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.ponytopia.com/"} +{"d:Title": "The My Little Pony Art Studio", "d:Description": "Gallery of fan art and an art tutorial.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://mlpartstudio.tripod.com/"} +{"d:Title": "Friends of My Little Pony", "d:Description": "Includes an ID guide by color, information on the cartoons and collection check lists.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/80s/mlp2/"} +{"d:Title": "AppleJack's MLP Page", "d:Description": "Includes a want list, sale/trade list and a chain story.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/ky/AppleJacksMLPPage/"} +{"d:Title": "Dream Cavern", "d:Description": "A guide to the My Little Pony sub-set \"Dream Beauties\".", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://dreambeauties.tripod.com/"} +{"d:Title": "The Golden Autumn", "d:Description": "A guide to a personal collection and custom creations in fan fiction format.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/80s/babygoldenautumn/"} +{"d:Title": "Grundle Land", "d:Description": "A list of the accessories that came with each pony, weird My Little Pony item details and a bad traders list.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://members.tripod.com/~windwhistler_MLP/index.html"} +{"d:Title": "Baby Lofty's Song Site", "d:Description": "Many My Little Pony songs in \"Real Audio\" (download instructions on site). Songs from the movie, the cartoons, and advertisements.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://babylofty.darcerin.com/"} +{"d:Title": "Sparkle-Pony&Cool Breeze's MLP Site", "d:Description": "Personal story and collection pictures of over 2000 My Little Pony items.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://members.ebay.com/aboutme/sparkle-pony"} +{"d:Title": "Worlds Apart", "d:Description": "Fan site with pony images, wallpapers, an art tutorial and custom ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.pony.wallpaper-studio.com/Ponyland.html"} +{"d:Title": "Mylittleponyuk.com", "d:Description": "UK based online shop (ships internationally) selling My Little Ponies, their accessories and other related items including videos and playsets.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.mylittleponyuk.com/"} +{"d:Title": "Tic-Tac-Toe's pony page", "d:Description": "Fan site with scans from UK backcards, customs gallery, UK pony ID feature and fan art.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.tictactoepony.com/"} +{"d:Title": "Rainbow Forest", "d:Description": "Information on Generation 2 ponies including backcard scans.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://gen2.aien-hime.com/"} +{"d:Title": "The MLP UK Scrapbook", "d:Description": "An ID site focussing on UK and other foreign release ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.etherella.com/scrapbook/"} +{"d:Title": "Argentinean My Little Pony", "d:Description": "Details on Argentinean ponies including photographs and a price guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.angelfire.com/art/peachyfairymoose/Argentinamlp.html"} +{"d:Title": "Mio Mini Pony", "d:Description": "A guide to Italian released My Little Ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://miominipony.tripod.com/"} +{"d:Title": "Spoosh's Ponyville", "d:Description": "A G3 My Little Pony web comic.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://ponyville.livejournal.com/"} +{"d:Title": "The Minty Experiments", "d:Description": "Fan site covering the dismantling of a 2003 pony.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.spenecial.com/mlp/minty/"} +{"d:Title": "Once Upon a Rainbow", "d:Description": "Fansite including details of early variations, adoptions and G3 ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://once-upon-a-rainbow.tripod.com/"} +{"d:Title": "Maria's My Little Ponies", "d:Description": "Fan site with photographs from the author's personal vintage My Litte Pony collection and custom ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.freewebs.com/summerofjoy/"} +{"d:Title": "Kimono's Townhouse", "d:Description": "A photographic webcomic featuring G3 ponies Kimono and Minty. Updated every Wednesday.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.kimonostownhouse.com/"} +{"d:Title": "The My Little Pony Playhouse", "d:Description": "Play games, learn how to make fun pony crafts and read the Pony Talk newsletter.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://mylittleponyplayhouse21311.yuku.com/"} +{"d:Title": "Little Pony Heaven", "d:Description": "A fan site including a section on todays products with My Little Pony names on them and a restoration section.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://www.linniekin.net/mlp/"} +{"d:Title": "UK Ponycon", "d:Description": "Information on an annual My Little Pony collectors convention held in the UK. Details on tickets, venue,competitions and a discussion forum.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Collectibles", "url": "http://astoundance.com/ponycon/"} +{"d:Title": "My Little Pony Traders", "d:Description": "Features sites that offer My Little Pony for trade or sale.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Web_Rings", "url": "http://www.straycat.net/mlp/trade_ring/"} +{"d:Title": "My Little Pony Trading Post Webring", "d:Description": "A webring for the members of the My Little Pony Trading Post, a large community of MLP collectors.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Web_Rings", "url": "http://www.webring.org/hub?ring=mylittleponytrad"} +{"d:Title": "Ring of Rainbows", "d:Description": "HTML codes, member site lists, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Web_Rings", "url": "http://www.webring.org/hub?ring=mlp"} +{"d:Title": "WebRing: My Little Pony Ring", "d:Description": "Directory focused on sites about the collectible and customizable ponies.", "topic": "Top/Arts/Animation/Cartoons/Titles/M/My_Little_Pony/Web_Rings", "url": "http://www.webring.org/hub/mlpring"} +{"d:Title": "Fox's Funny Olive is the best new holiday special in years", "d:Description": "By Rob Owen. [Post-Gazette] TV review.", "topic": "Top/Arts/Animation/Cartoons/Titles/O/Olive,_The_Other_Reindeer", "url": "http://www.post-gazette.com/tv/19991217owen2.asp"} +{"d:Title": "DNA Productions - Olive, The Other Reindeer", "d:Description": "Official studio page with image gallery, cast list, and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/O/Olive,_The_Other_Reindeer", "url": "http://www.dnahelix.com/olive.php"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, summary, reviews, and images.", "topic": "Top/Arts/Animation/Cartoons/Titles/O/Olive,_The_Other_Reindeer", "url": "http://www.imdb.com/title/tt0227173/"} +{"d:Title": "PB&J Otter", "d:Description": "Includes a synopsis of the show, episode guide, viewer comments, and cast and crew credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/PB_&_J_Otter", "url": "http://www.tv.com/shows/pbandj-otter/"} +{"d:Title": "Stu's Pigeon Street Web Site", "d:Description": "Site devoted to 80's cartoon, Pigeon Street. Includes pictures, sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pigeon_Street", "url": "http://www.angelfire.com/tv/pigeonstreet/"} +{"d:Title": "Tom and Matthew's Pinky and the Brain Site", "d:Description": "Fan commentary about Pinky.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Characters/Pinky", "url": "http://duryea.org/pinky/Pinky.html"} +{"d:Title": "What is \"Narf\"?", "d:Description": "Results of research posted by Craig \"Gookie\" Crumpton at toonzone.net, detailing all that is \"narf\": from legitimate international organizations to the bizarre and pointless.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Characters/Pinky/Narf", "url": "http://forums.toonzone.net/showthread.php?s=&threadid=2960"} +{"d:Title": "Cool Pinky and the Brain Stuff", "d:Description": "Sound and video clips, pictures and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Downloads", "url": "http://www.angelfire.com/mn/bis22/"} +{"d:Title": "MovieWavs - Pinky and the Brain", "d:Description": "Real audio, .wavs, and links to other cartoon sound files.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Downloads/Sound_Files", "url": "http://www.moviewavs.com/TV_Shows/Pinky_And_The_Brain.html"} +{"d:Title": "Carol Cronin's Pinky and The Brain Page", "d:Description": "Theme song lyrics, sounds, and images.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Fan_Pages", "url": "http://www.csn.ul.ie/~carol/pinky.htm"} +{"d:Title": "Acme Labs", "d:Description": "Information site with comics and episodes index (summaries and complete list of AYPWIP), voice and song files, fan fiction and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Fan_Pages", "url": "http://www.deathboy.com/acme/pinky.html"} +{"d:Title": "Comic Book Universe Battles", "d:Description": "Dexter and DeeDee vs. Pinky and The Brain. Commentary with fan opinion.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Fan_Works/Fan_Fiction/Humor", "url": "http://www.electricferret.com/fights/dex.htm"} +{"d:Title": "WWWF Grudge Match: Pinky and The Brain vs. Dogbert", "d:Description": "Comedic send-up of a fictional match between Pinky and The Brain, and Dogbert (from Dilbert).", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Fan_Works/Fan_Fiction/Humor", "url": "http://www.grudge-match.com/History/brain-dogbert.shtml"} +{"d:Title": "Voice Chasers", "d:Description": "A list of the voice actors with links, as well as a show synopsis.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Pinky,_Elmyra_and_The_Brain", "url": "http://www.voicechasers.com/database/showprod.php?prodid=209"} +{"d:Title": "Keeper's Contemporary Warner Bros Cartoon Files", "d:Description": "Lyrics to the opening theme.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Pinky_and_The_Brain_Christmas,_A", "url": "http://www2.cruzio.com/~keeper/P0.html#5"} +{"d:Title": "The Big Cartoon DataBase", "d:Description": "Cast and production credits, synopsis, and video cover scan.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Pinky_and_The_Brain_Christmas,_A", "url": "http://www.bcdb.com/bcdb/detailed.cgi?ID=15051&d=1"} +{"d:Title": "Tom&Matthew's Pinky and the Brain Page", "d:Description": "Lots of pictures and sounds, AYPWIP list, Brain's vocabulary, reference documents, quotes, character and show information.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Resources", "url": "http://duryea.org/pinky/"} +{"d:Title": "IMDb: Pinky&The Brain", "d:Description": "Cast, trivia, merchandise links and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Resources", "url": "http://www.imdb.com/title/tt0112123/"} +{"d:Title": "An Interview With Pinky&The Brain", "d:Description": "by Ron \"Keeper\" O'Dell. Transcript of an ad-libbed interview, performed by Rob Paulsen and Maurice LaMarche. Also available as a downloadable audio clip.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Voice_Cast", "url": "http://www2.cruzio.com/~keeper/pbint.html"} +{"d:Title": "The Pinky and The Brain Ring", "d:Description": "Joining instructions, HTML code, and members site list.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pinky_and_The_Brain/Web_Rings", "url": "http://www.webring.org/hub?ring=pinky"} +{"d:Title": "Shrine to the Pink Panther", "d:Description": "Information, links, and many different downloads from PP and other DePatie-Freleng cartoons.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pink_Panther,_The", "url": "http://www.high-tech.com/panther/"} +{"d:Title": "The Pink Panther Webring", "d:Description": "Site list and links, membership statistics, and joining information.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pink_Panther,_The", "url": "http://www.webring.org/hub?ring=pinkpanther"} +{"d:Title": "IMDb: The Pirates of Dark Water (1991)", "d:Description": "Plot summary, cast and crew, episode list, user comments and ratings.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pirates_of_Dark_Water", "url": "http://www.imdb.com/title/tt0101169/"} +{"d:Title": "Wikipedia: The Pirates of Dark Water", "d:Description": "Encyclopedic article on the cartoon series, it's characters and ship summaries.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pirates_of_Dark_Water", "url": "http://en.wikipedia.org/wiki/The_Pirates_of_Dark_Water"} +{"d:Title": "Fox Broadcasting's `Dark Water';A Swashbuckling Sea Story From an Imaginative Boy; [FINAL Edition]", "d:Description": "Article by Patricia Brennan from the Washington Post featuring the airing of the original five episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pirates_of_Dark_Water", "url": "http://www.piratesofdarkwater.net/buriedtreasure/washpost-kirschner.html"} +{"d:Title": "FanFiction: Pirates of Dark Water", "d:Description": "Unofficial original fiction by fans of the series, including storyline completion.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pirates_of_Dark_Water", "url": "http://www.fanfiction.net/cartoon/Pirates_of_Dark_Water/"} +{"d:Title": "Retro Junk: Pirates of Dark Water", "d:Description": "Show summary, user comments, and video of the two season openings.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Pirates_of_Dark_Water", "url": "http://www.retrojunk.com/content/tv/4104/index/"} +{"d:Title": "The PJs: The first Pjs page", "d:Description": "Dedicated to Eddie Murphy's stop-motion animated series. Features character pictures and biographies, as well as a behind-the-scenes look at how the show is created.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/PJs,_The", "url": "http://members.tripod.com/~tspwr/pjs.html"} +{"d:Title": "Pastor Steve's Popeye Page", "d:Description": "Ratings and reviews of the best and worst Popeye cartoons. Also offers quizzes, fan fiction, essays, Olive Oyl page, FAQs, picture gallery, commentary, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Popeye", "url": "http://www.mtcnet.net/~bierly/popeye.htm"} +{"d:Title": "Popeye From Strip To Screen", "d:Description": "By Mark Langer. [Animation World Magazine] \"Popeye the Sailor, one of the most enduring characters in animation history, began not in motion pictures but in E.C. 'Elzie' Segar's 'Thimble Theater' comic strip.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Popeye", "url": "http://www.awn.com/mag/issue2.4/awm2.4pages/2.4langerpopeye.html"} +{"d:Title": "LikeTelevision", "d:Description": "Classic Popeye cartoons in streaming video available for broadband users.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Popeye", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=625&format=tv&theme=cartoons"} +{"d:Title": "Popeye meets Betty Boop", "d:Description": "Popeye struts on to the scene for the very first time in a Betty Boop cartoon.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Popeye", "url": "http://www.dailymotion.com/visited/search/popeye+boop/video/xyf3r_1933betty-boop-popeye-the-sailor"} +{"d:Title": "Roadside America: Popeye the Sailorman", "d:Description": "Popeye the Sailor Man got roughed up in Chester, IL.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Popeye", "url": "http://www.roadsideamerica.com/story/29070"} +{"d:Title": "My Popple Page", "d:Description": "Mostly information about the toys.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Popples,_The", "url": "http://www.angelfire.com/fl3/popples/"} +{"d:Title": "Circle of Popples", "d:Description": "Webring or related Popples websites.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Popples,_The", "url": "http://www.webring.org/hub?ring=popplesring"} +{"d:Title": "Powerpuff Central", "d:Description": "Image galleries, a FAQ section, chat forum, and site statistics.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The", "url": "http://www.50megs.com/ppgcentral/"} +{"d:Title": "PowerPuff Portrait Studio", "d:Description": "By Avrum Cohen. Make a character with an interactive program and print it.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The", "url": "http://www.creativeadornments.com/nephco/powerpuffgirls/ppps.html"} +{"d:Title": "Cartoon Network - Powerpuff Girls", "d:Description": "Character information and games to play online.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The", "url": "http://www.cartoonnetwork.ca/tv/powerpuff-girls/"} +{"d:Title": "Powerpuff Girls - Wikia", "d:Description": "Characters, art, current shows, videos and other news.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The", "url": "http://powerpuffgirls.wikia.com/"} +{"d:Title": "Powerpuff Yourself", "d:Description": "Create an avatar for/ of yourself.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The", "url": "https://powerpuffyourself.com/"} +{"d:Title": "The PowerPuff Girls Cartoon Wallpaper", "d:Description": "Downloadable wallpaper for your Windows desktop.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The", "url": "http://fern2002.freeservers.com/index1.htm"} +{"d:Title": "The Powerpuff Girls: Action Time Wiki", "d:Description": "Fan wiki and community pages.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The", "url": "http://blossom.wikia.com/"} +{"d:Title": "PhilBurt's Powerpuff Girls", "d:Description": "Multimedia, news, mailing list, character profiles, and episode information.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The/Fan_Pages", "url": "http://philburt.com/ppg"} +{"d:Title": "Powerpuff Girls Style", "d:Description": "Episode guide, reviews, videos, and a poll.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The/Fan_Pages", "url": "http://www.coldbacon.com/ppginterview.html"} +{"d:Title": "The Powerpuff Hotline", "d:Description": "Information, images, sounds, trivia, games, and merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The/Fan_Pages", "url": "http://www.angelfire.com/ns/powerpuffgirls/"} +{"d:Title": "The Powerpuff Girls Fanfic Page", "d:Description": "A message forum to discuss Powerpuff Girls story concepts. Site contains pictures, related links and a chat room.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The/Fan_Pages", "url": "http://groups.yahoo.com/group/thepowerpuffgirlsfanficpage/"} +{"d:Title": "Flickr: PowerPuff Girls", "d:Description": "Group posting photos of the PowerPuff Girls. Photos and scans of artwork including drawings and dolls in poses.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The/Fan_Pages", "url": "http://www.flickr.com/groups/55056738@N00/"} +{"d:Title": "Voice Chasers", "d:Description": "Principal and supporting cast, with links to the actors' pages.", "topic": "Top/Arts/Animation/Cartoons/Titles/P/Powerpuff_Girls,_The/Voice_Cast", "url": "http://www.voicechasers.com/database/showprod.php?prodid=486"} +{"d:Title": "Rainbowland", "d:Description": "Featuring collectibles, characters, episode guides, sounds, and other colorful goodies from the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rainbow_Brite", "url": "http://www.rainbowbrite.net/"} +{"d:Title": "Rainbow Brite's Wonderland", "d:Description": "Character pictures and profiles, coloring pages, and postcards.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rainbow_Brite", "url": "http://www.angelfire.com/il2/lalaboof/"} +{"d:Title": "The Rainbow Brite Webring", "d:Description": "Joining fans of the 1980s kids' sensation.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rainbow_Brite/Web_Rings", "url": "http://www.rainbowbrite.net/webring/"} +{"d:Title": "IMDb: Real Ghostbusters", "d:Description": "Cast and crew list, trivia and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Real_Ghostbusters,_The", "url": "http://www.imdb.com/title/tt0090506/"} +{"d:Title": "The True Ghostbusters", "d:Description": "Fan community devoted to Filmation's Ghostbusters, both the 1975 live action series and the 1986 cartoon.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Real_Ghostbusters,_The", "url": "http://filmationghostbuster.proboards.com/"} +{"d:Title": "IMDb: Extreme Ghostbusters", "d:Description": "Cast and crew list, reviews and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Real_Ghostbusters,_The/Extreme_Ghostbusters", "url": "http://www.imdb.com/title/tt0121932/"} +{"d:Title": "Egon No Tenshi's Real Ghostbusters Shrine", "d:Description": "Fan fiction directory, and own RGB fan fiction series and fan art.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Real_Ghostbusters,_The/Fan_Fiction", "url": "http://members.tripod.com/~egon_no_tenshi/welcome.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Real_Ghostbusters,_The/Slimer_and_the_Real_Ghostbusters", "url": "http://www.imdb.com/title/tt0124257/"} +{"d:Title": "Ghostbusters Ring", "d:Description": "Site links, membership details, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Real_Ghostbusters,_The/Web_Rings", "url": "http://www.webring.org/hub?ring=gbfansitering"} +{"d:Title": "Rainmaker Animation", "d:Description": "Rainmaker Animation is the current incarnation of Mainframe Entertainment, the creator of ReBoot.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.mainframe.ca/"} +{"d:Title": "Unofficial ReBoot Home Page", "d:Description": "a ton of information concerning the show", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.inwap.com/mf/reboot/"} +{"d:Title": "Slack and Hash's Domain", "d:Description": "ReBoot fan art, fan fiction, KiSS dolls, icons, song videos, transcripts, Mystery Reboot Theater 4000, and humor.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.c4vct.com/kym/slachash"} +{"d:Title": "Mainframe Chaos", "d:Description": "A site on ReBoot containing graphics, video and sound clips, and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://mainframe_chaos.tripod.com/"} +{"d:Title": "Reboot Madness", "d:Description": "A small collection of fan art, fan fiction, and sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://krhanimefan.tripod.com/rindex2.htm"} +{"d:Title": "Glitch's ReBoot Sector", "d:Description": "Featuring secrets hidden in the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://rebootsector.tripod.com/"} +{"d:Title": "Downtown Mainframe", "d:Description": "A large collection of images and desktop backgrounds, plus show information and downloadables.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.angelfire.com/games4/downtownmainframe/index.html"} +{"d:Title": "Cyjon's Site", "d:Description": "Has several original music videos made using footage from ReBoot, plus technical information on video editing.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.cyjon.net/"} +{"d:Title": "IMDb: ReBoot", "d:Description": "The Internet Movie Database's entry for ReBoot, including writer, director, and voice cast credits, and user reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.imdb.com/title/tt0108903/"} +{"d:Title": "ReBoot at CyberPursuits", "d:Description": "An extensive collection of ReBoot links.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.cyberpursuits.com/heckifiknow/reboot/default.asp"} +{"d:Title": "ReBoot Revival", "d:Description": "A site dedicated to bringing ReBoot back, one step at a time.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://rebootrevival.com/"} +{"d:Title": "Haku's ReBoot Museum", "d:Description": "A pictorial museum of ReBoot merchandise, including pictures of the toys you've seen and some you never knew existed.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.haku.co.uk/ReBootMuseum/"} +{"d:Title": "ReBoot: Code of Honour", "d:Description": "The ReBoot Webcomic, which is the first step in the official ReBoot revival.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.reboot.com/"} +{"d:Title": "Before Toy Story there was... ReBoot", "d:Description": "article from Wired magazine", "topic": "Top/Arts/Animation/Cartoons/Titles/R/ReBoot", "url": "http://www.wired.com/1997/03/reboot-3/"} +{"d:Title": "CBUB Fights: Ren Hoek vs. The Taco Bell Chihuahua", "d:Description": "Ren Hoek, from Ren and Stimpy faces off against the Taco Bell ad dog.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.electricferret.com/fights/rentaco.htm"} +{"d:Title": "Ren and Stimpy 2000", "d:Description": "Chat forum, encyclopedia, comics, and episode guide, and character profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.angelfire.com/wi/RenStimpy2000/"} +{"d:Title": "Ren and Stimpy World", "d:Description": "Graphics in a thumbnail gallery.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.angelfire.com/ms/mstimpy/"} +{"d:Title": "Ren and Stimpy Episode Guide", "d:Description": "A guide to all the episodes and appearances of Ren and Stimpy.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.lysator.liu.se/~marcus/ren_stimpy/episode.html"} +{"d:Title": "Ren and Stimpy", "d:Description": "Frequently asked questions regarding Ren and Stimpy.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.lysator.liu.se/~marcus/ren_stimpy/FAQ.html"} +{"d:Title": "Chrissy and Hilmy's Ren and Stimpy Page", "d:Description": "Includes pictures, songs, sayings, lyrics, links, and behind the scenes information.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.angelfire.com/tv2/RenAndStimpy/"} +{"d:Title": "WWWF Grudge Match: Itchy and Stimpy vs. Ren and Scratchy", "d:Description": "Itchy and Stimpy join forces to square off against Ren and Scratchy.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.grudge-match.com/History/itchy-ren.shtml"} +{"d:Title": "Ren and Stimpy's \"Happy Happy Joy Joy\" Song", "d:Description": "Text and audio.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Ren_and_Stimpy", "url": "http://www.bitstorm.org/happyjoy/"} +{"d:Title": "Krankor's Unofficial Road Rover Page", "d:Description": "The definitive Road Rovers fan site.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Road_Rovers", "url": "http://members.tripod.com/~RRStuff/"} +{"d:Title": "Tiger Mountain", "d:Description": "Fanworks and submission instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Road_Rovers", "url": "http://gerhard.n.tripod.com/tiger/tiger.htm"} +{"d:Title": "Save Rocket Power Petition", "d:Description": "A petition to save the Rocket Power cartoon.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rocket_Power", "url": "http://www.gopetition.com/region/237/657.html"} +{"d:Title": "Twister Power", "d:Description": "A fansite with art and stories.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rocket_Power", "url": "http://twister-power.tripod.com/"} +{"d:Title": "Maximum Rocket Power", "d:Description": "Episode guides, pictures, links, scripts, and character biographies.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rocket_Power", "url": "http://www.angelfire.com/tv2/maximumrp/main.html"} +{"d:Title": "IMDb: Rocko's Modern Life", "d:Description": "Series information at the Internet Movie Database. Includes cast listing, release dates, and trivia.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rocko's_Modern_Life", "url": "http://www.imdb.com/title/tt0106115/"} +{"d:Title": "The Moosylvania Page", "d:Description": "Information and humorous anecdotes related to the creator and producer of the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rocky_and_Bullwinkle", "url": "http://flyingmoose.org/moose/moose.htm"} +{"d:Title": "Frostbite Falls Page", "d:Description": "Information on cartoons produced by Jay Ward. Includes a FAQ, list of voice actors, news area pertaining to books and movie releases, and an article discussing the shows effect on popular culture.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rocky_and_Bullwinkle", "url": "http://members.shaw.ca/fffff/"} +{"d:Title": "The Big Cartoon DataBase - Roland and Rattfink Cartoon Information", "d:Description": "Episode guide featuring a synopsis and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Roland_and_Rattfink", "url": "http://www.bcdb.com/cartoons/Other_Studios/D/DePatie-Freleng_Enterprises/Roland_and_Rattfink/"} +{"d:Title": "McHenry Outpost", "d:Description": "Gallery of images from the cartoon series with voice credits.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Roughnecks_-_The_Starship_Trooper_Chronicles", "url": "http://www.angelfire.com/apes/ROUGHNECKS/"} +{"d:Title": "Mr. Hook's Roughnecks Fanfic Repository", "d:Description": "Collection of fan fiction centered on the Federation and Skinnies races.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Roughnecks_-_The_Starship_Trooper_Chronicles", "url": "http://misterhook.tripod.com/skinnies/"} +{"d:Title": "Roughnecks: Starship Troopers Chronicles Archive", "d:Description": "Offers critiques and guides of episodes along with character profiles and screen captures from VHS and DVD.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Roughnecks_-_The_Starship_Trooper_Chronicles", "url": "http://misterhook.tripod.com/roughnecks/"} +{"d:Title": "Elise's RugRats", "d:Description": "Episode guide, chatroom, pictures and lots of fun stuff.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://cust.idl.com.au/boyd/"} +{"d:Title": "Ryann's Rugrats Page", "d:Description": "Pictures, sound clips, postcards, links, and movie information.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://members.tripod.com/~baker_ryann/index.html"} +{"d:Title": "Sarah's Rugrats", "d:Description": "Quizzes, pictures, and a screensaver.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://www.sarahs-rugrats.co.uk/"} +{"d:Title": "We Love Rugrats", "d:Description": "Character profiles, activities, and award information.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://www.angelfire.com/mb2/weluvrugrats/index.html"} +{"d:Title": "Rugrats By You", "d:Description": "User comments on episodes, characters, songs, sounds, and animation style.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://members.tripod.com/~rugrats_for_you/"} +{"d:Title": "RugRats Room", "d:Description": "Character list, comic book information, and a quiz.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://www.angelfire.com/oh/Finster/"} +{"d:Title": "The Rugrat House", "d:Description": "Episode guide, quizzes, games, silly stuff, and sections by character.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://www.angelfire.com/or/rugrats/"} +{"d:Title": "Rugrats Circle of Friends", "d:Description": "Membership information, quizzes, pictures, background of the circle, and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://members.tripod.com/RugratFriend/"} +{"d:Title": "Rugrats: Hang on to Your Diapies", "d:Description": "Movie information, character descriptions, pictures, music, objects, a chat forum, and exclusive information about the 3rd movie.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats", "url": "http://superyo.nuxit.net/index/rugrats.html"} +{"d:Title": "WebRing: Rugrats", "d:Description": "Member site list, joining instructions, and owner background information.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats/Web_Rings", "url": "http://www.webring.org/hub/therugratsring"} +{"d:Title": "The Rugrats Webring", "d:Description": "List of member sites, joining instructions, and HTML codes.", "topic": "Top/Arts/Animation/Cartoons/Titles/R/Rugrats/Web_Rings", "url": "http://www.webring.org/hub/rugring"} +{"d:Title": "ICv2: Samurai Jack Makes a Splash", "d:Description": "\"Samurai Jack is the most interesting domestically-produced U.S. television cartoon project since the PowerPuff Girls.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Samurai_Jack", "url": "http://www.icv2.com/articles/home/610.html"} +{"d:Title": "Auntie Momo's Samurai Jack Site", "d:Description": "Includes screencaps, character images and information, an episode guide, links, and a shopping area.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Samurai_Jack", "url": "http://www.auntiemomo.com/samuraijack/"} +{"d:Title": "Cartoon Network's 'Samurai Jack' Simply Breathtaking", "d:Description": "\"Samurai Jack is a singular, breathtaking vision. Give it a serious viewing; your expectations of cartoons may be forever altered.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Samurai_Jack", "url": "http://www.auntiemomo.com/samuraijack/breathtaking.html"} +{"d:Title": "'Jack' Magic", "d:Description": "Show background information and creative process details. [Entertainment Weekly]", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Samurai_Jack", "url": "http://www.timeinc.net/shared/cur/gen_err.html"} +{"d:Title": "TV.com: Samurai Jack", "d:Description": "Episode guide, cast and crew listings.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Samurai_Jack", "url": "http://www.tv.com/shows/samurai-jack/"} +{"d:Title": "Sam and Max: Freelance Police", "d:Description": "Home of \"The Quoted Sam and Max\". Also includes episode guide and mostly broken links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sam_and_Max_-_Freelance_Police", "url": "http://www.armory.com/~grafter/samandmax.html"} +{"d:Title": "Sam and Max: Freelance Police Sounds", "d:Description": "Sound clips from the TV series.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sam_and_Max_-_Freelance_Police", "url": "http://codemonkey.tripod.com/samnmax/"} +{"d:Title": "The Sam and Max Homepage", "d:Description": "Includes episode guide; character images and information; \"sightings\"; comicography; message board; and links. [No updates since 12/11/98]", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sam_and_Max_-_Freelance_Police", "url": "http://groups.csail.mit.edu/mac/users/dml/SamNMax/samnmax.html"} +{"d:Title": "Dave Mackey's Guide To Schoolhouse Rock", "d:Description": "Includes history and episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Schoolhouse_Rock", "url": "http://davemackey.com/animation/schoolhouserock/index.html"} +{"d:Title": "Gone But Not Forgotten: Schoolhouse Rock!", "d:Description": "Background and history on the show", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Schoolhouse_Rock", "url": "http://www.sfgate.com/cgi-bin/blogs/parenting/detail?blogid=29&entry_id=22095"} +{"d:Title": "The Internet Movie Database (IMDb): Schoohouse Rock", "d:Description": "Cast and crew list and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Schoolhouse_Rock", "url": "http://www.imdb.com/title/tt0069627/"} +{"d:Title": "Tiki's Groovy Scooby Site", "d:Description": "News, sounds, stories, and links that relate to Scooby, Shaggy, Fred, Daphne, and Velma.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://members.tripod.com/Spiffyshoes/zoinks.html"} +{"d:Title": "Scooby Doo and Velma Too", "d:Description": "Stories, fan fiction, a chat forum, character biographies, and a show history as well as information and reviews of the movie.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.velmadinkley.com/index.html"} +{"d:Title": "Scooby-Doo Introduction", "d:Description": "Provides a brief but concise guide to all series.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.clivebanks.co.uk/Scooby-Doo%20Intro.htm"} +{"d:Title": "The Scooby Doo Case Files", "d:Description": "Information and episode guide about Scooby Doo, Where are You! the original 1969/70 series.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://scoobyfiles.toonzone.net/"} +{"d:Title": "The mystery of Scooby-Doo", "d:Description": "Slate magazine article explores the factors in Scooby Doo's ongoing success.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.slate.com/id/2097818/"} +{"d:Title": "Scooby-Doo Breaks Cartoon Episode Record", "d:Description": "News article from the BBC discussing the Guinness World Record for most episodes of a cartoon comedy series.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://news.bbc.co.uk/2/hi/entertainment/3949579.stm"} +{"d:Title": "Scooby Doo Collectibles", "d:Description": "A list of Scooby-Doo collectibles with photos.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.wingnuttoons.com/Scooby-Doo_Collection2.html"} +{"d:Title": "Scooby Doo, Where Are You!", "d:Description": "Episode guide for the 1969-1970 seasons. Includes credits, plots and notes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.bcdb.com/cartoons/Hanna-Barbera_Studios/S/Scooby_Doo__Where_Are_You_/"} +{"d:Title": "Scooby-Doo According to Wingnut", "d:Description": "Information source with history, complete episode list, collection list and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.wingnuttoons.com/Scooby-Doo.html"} +{"d:Title": "ScoobyAddicts.com", "d:Description": "Fan site includes history, news, character profiles, villain profiles, and guides.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.scoobyaddicts.com/"} +{"d:Title": "Scooby-Doo Glitches", "d:Description": "Continuity errors, technical goofs, and other mistakes in the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.whatsitsgalore.com/glitch/sdglitch.html"} +{"d:Title": "The Doo Zone", "d:Description": "Homepage with Scooby Doo pictures, voice talents, characters, history, episode guide, and a Mad-Lib style online story maker.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://tarascooby.tripod.com/"} +{"d:Title": "What's New, Scooby-Doo?", "d:Description": "Episode guide for the 2006 season on cartoon network. Includes plots, reviews, cast and news.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo", "url": "http://www.tv.com/shows/whats-new-scooby-doo/"} +{"d:Title": "WWWF Grudge Match: Scooby-Doo vs. The X-Files", "d:Description": "Humorous parody featuring contest to solve mystery at abandoned amusement park.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo/Humor", "url": "http://www.grudge-match.com/History/scooby-xfiles.shtml"} +{"d:Title": "Scooby-Doo.com", "d:Description": "Official site with video information, merchandise, games and free web cards.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo/Official_Sites", "url": "http://www.wbkidsgo.com/scoobydoo"} +{"d:Title": "The Great Garfield/Scooby-Doo Webring", "d:Description": "A webring of sites which must cover Scooby Doo, Garfield or both cartoons.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo/Web_Rings", "url": "http://www.webring.org/hub?ring=garf"} +{"d:Title": "A Scooby-Doo Webring", "d:Description": "Large ring dedicated to 'Scooby Doo Where Are You' sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Scooby-Doo/Web_Rings", "url": "http://www.webring.org/hub?ring=scoobring"} +{"d:Title": "AdultSwim.com - Sealab 2021", "d:Description": "Official site with episode clips, MP3s, character profiles, images, and a brief synopsis.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sealab_2021", "url": "http://www.adultswim.com/shows/sealab/"} +{"d:Title": "epguides.com - Sealab 2021", "d:Description": "A title and air dates episode guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sealab_2021", "url": "http://epguides.com/Sealab2021/"} +{"d:Title": "David Michael Friend - Backadaisical Productions", "d:Description": "Sealab 2021 intro [QuickTime download] from one of the show's animators.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sealab_2021", "url": "http://www.dmfriend.com/portfolio/jobs/7030/sealab2021/blow_up/intro.html"} +{"d:Title": "imdb.com - Sealab 2021", "d:Description": "Cast and crew list, reviews, trivia and quotes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sealab_2021", "url": "http://www.imdb.com/title/tt0278877/"} +{"d:Title": "TV.com - Sealab 2021", "d:Description": "Detailed episode guide with cast and crew listings, goofs, and show information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sealab_2021", "url": "http://www.tv.com/shows/sealab-2021/"} +{"d:Title": "Krista's SpyDogs Page", "d:Description": "Home of \"Adopt A SpyDog\". Also has a chat room.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Secret_Files_of_The_SpyDogs,_The", "url": "http://www.angelfire.com/la/kristencoughlan2/"} +{"d:Title": "Dahg Chow's Ways of Wisdom", "d:Description": "SpyDogs screen grabs, episode list, fan works and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Secret_Files_of_The_SpyDogs,_The", "url": "http://members.tripod.com/~Hubert_Bloodhound/DahgChow"} +{"d:Title": "Rebecca's and Erica's Collaborations", "d:Description": "Story crossing SpyDogs with The Monkees.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Secret_Files_of_The_SpyDogs,_The/Fan_Fiction", "url": "http://www.angelfire.com/md/monkeelove2/SpyDogs.html"} +{"d:Title": "He-Man.org", "d:Description": "Detailed synopses, in-depth reviews, and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/She-Ra_Princess_of_Power", "url": "http://www.he-man.org/"} +{"d:Title": "Shadow Weaver", "d:Description": "Dedicated to She-Ra's evil nemesis, with images, sound clips, fan art, quotes, and information about everyone's favorite villainess.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/She-Ra_Princess_of_Power", "url": "http://www.angelfire.com/sd/shadowweaver/"} +{"d:Title": "Princess of Power", "d:Description": "Fansite for all things related to She-Ra, Princess of Power.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/She-Ra_Princess_of_Power", "url": "http://www.princessofpower.co.uk/"} +{"d:Title": "Silverhawks [Ben-Gali]", "d:Description": "descriptions of the SilverHawks toys.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SilverHawks", "url": "http://www.angelfire.com/nc/sawboss/silverhawks.html"} +{"d:Title": "F.J. McCloud's SilverHawks Page", "d:Description": "original information, synopsis of a SilverHawks toy commercial, cast list and episode list, and scans of comic and videotape covers.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SilverHawks", "url": "http://www.anthrofox.org/silverhawks/index.html"} +{"d:Title": "Silver Surfer Cartoon Central", "d:Description": "Pictures, reviews, and news on the Silver Surfer animated series.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Silver_Surfer", "url": "http://marvelite.prohosting.com/surfer/toon/"} +{"d:Title": "The Simpsons", "d:Description": "Official site with news, store, contests, character profiles, episode guide, and upcoming episodes.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The", "url": "http://www.thesimpsons.com/"} +{"d:Title": "BBC: The Simpsons", "d:Description": "Archived page includes photos, trivia, character biographies, quizzes, and a personality test.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The", "url": "http://www.bbc.co.uk/cult/simpsons/"} +{"d:Title": "IMDb: The Simpsons", "d:Description": "Credits and information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The", "url": "http://www.imdb.com/title/tt0096697/"} +{"d:Title": "About: The Simpsons Episode Guide", "d:Description": "Episode guide for all seasons. Includes brief synopses and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The", "url": "http://animatedtv.about.com/od/thesimpsonsepisodes/"} +{"d:Title": "LewRockwell.com - How Great Thou, Bart", "d:Description": "Article by Jim Amrhein comments on the show in relation to American culture.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The", "url": "http://archive.lewrockwell.com/orig8/amrhein2.html"} +{"d:Title": "Episode List: Simpsons", "d:Description": "Episode list and synopsis of all seasons. PlotTracker tool enables cross reference of characters and plot lines.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The", "url": "http://www.episodelist.com/shows/the-simpsons/32"} +{"d:Title": "Lee's Simpsons Site", "d:Description": "Contains character profiles and a poll.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters", "url": "http://angelfire.com/nc2/thesimpsons"} +{"d:Title": "About Bart Simpson", "d:Description": "Links, blackboard writing, and information about Bart Simpson.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Bart_Simpson", "url": "http://simpsons.about.com/cs/bart/"} +{"d:Title": "Bart Simpson Dedication", "d:Description": "Sketches, images, and wallpaper of Bart, plus a list of blackboard gags, quotations, and facts such as enemies, girlfriends, and hobbies.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Bart_Simpson", "url": "http://bart.squarelogic.net/"} +{"d:Title": "Alt.Nerd.Obsessive", "d:Description": "Tribute site with pictures, information, sounds, and movies.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Comic_Book_Guy", "url": "http://nohomers.net/cbg/"} +{"d:Title": "Wilson Wilson vs. Ned Flanders", "d:Description": "Offers a story of a fight with commentary.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Flanders,_The", "url": "http://www.grudge-match.com/History/flanders_wilson.shtml"} +{"d:Title": "BBC News: How Ned Flanders Became a Role Model", "d:Description": "\"Ned Flanders, the endlessly optimistic neighbour of Homer Simpson, has emerged as an unlikely icon for churchgoers, who say they are embracing their inner nerds.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Flanders,_The", "url": "http://news.bbc.co.uk/2/hi/uk/2175870.stm"} +{"d:Title": "The Simpsons Archive: Super Dude Theory", "d:Description": "Where Groundskeeper Willie plays into the who shot Mr. Burns mystery.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Groundskeeper_Willie", "url": "http://www.snpp.com/guides/super.dude.html#willy"} +{"d:Title": "The Many Deaths Of Hans Moleman", "d:Description": "A Hans episode guide, including a tribute to the many times that he has died.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Hans_Moleman", "url": "http://lowb.org/alan/hans/"} +{"d:Title": "Craig's Tribute to Homer Simpson", "d:Description": "Pictures and WAV sounds of Homer Simpson.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://hsimpson.8m.com/"} +{"d:Title": "Matts Homer Page", "d:Description": "Collection of pictures, and a list of quotes with sound clips in .wav format.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.angelfire.com/nj2/hsimpson/"} +{"d:Title": "Brad's Homer Simpson.", "d:Description": "Pictures, links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.angelfire.com/hi3/homersimpson/"} +{"d:Title": "Homer Simpson - The Smartest Man In History", "d:Description": "Quotes from Homer and never before seen pics of Homer on different jobs.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.angelfire.com/ma/homersimpson/"} +{"d:Title": "Homey's House", "d:Description": "Pictures and sounds about Homer and the rest of the family.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://brianmintun.freeservers.com/final"} +{"d:Title": "Homer Simpson Dance", "d:Description": "Homer dances to \"The Stonecutters Song.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.angelfire.com/tv/homerdance/"} +{"d:Title": "Homer Simpson Center", "d:Description": "Devoted to the ever-funny Homer Simpson. Image gallery, character information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://madcool.com/mc/comics/simpsons/homer.htm"} +{"d:Title": "Homer Simpson - The coolest guy on TV !", "d:Description": "One page of links to sites about Homer.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://pearly-abraham.tripod.com/htmls/homer.html"} +{"d:Title": "AskMen.com - Homer Simpson", "d:Description": "Funny showdown between Homer Simpson and Jessica Simpson.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.askmen.com/toys/battle/34_celebrity_battle.html"} +{"d:Title": "About The Simpsons: Homer Simpson", "d:Description": "Quotes, links, biographies, pictures, and movies of Homer Simpson.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://simpsons.about.com/cs/homer/"} +{"d:Title": "WWWF Grudge Match: Homer Simpson vs. Norm Peterson", "d:Description": "Homer and Norm from Cheers square off in a combination eating and drinking contest.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.grudge-match.com/History/homer-norm.shtml"} +{"d:Title": "CBUB Fights: Homer Simpson vs. Al Bundy", "d:Description": "Al Bundy from Married with Children takes on Homer Simpson in a battle for the television remote.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.electricferret.com/fights/bundy.htm"} +{"d:Title": "The Best Homer Simpson Site On The Web", "d:Description": "Animated GIF files, video clips, and hundreds of WAV sounds with descriptions.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Homer_Simpson", "url": "http://www.angelfire.com/tv2/homerjs/index.html"} +{"d:Title": "Itchy and Stimpy vs. Ren and Scratchy", "d:Description": "Offers a story of a fight with commentary.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Itchy_and_Scratchy", "url": "http://www.grudge-match.com/History/itchy-ren.shtml"} +{"d:Title": "The Original Krusty the Clown Homepage", "d:Description": "Offers quotes, discussions, and character views.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Krusty_the_Clown", "url": "http://www.silverbox.com/krusty/"} +{"d:Title": "WWWF Ground Zero: Send in the Clowns", "d:Description": "Krusty, Bozo, Homey D. Clown, and Ronald McDonald battle for the affections of a very spoiled brat.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Krusty_the_Clown", "url": "http://www.grudge-match.com/History/clowns.shtml"} +{"d:Title": "Send In The Clowns", "d:Description": "a great media packed site all about Krusty the Clown.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Krusty_the_Clown", "url": "http://www.angelfire.com/yt/sitc/main.html"} +{"d:Title": "Marge Simpson", "d:Description": "Profile, pictures, comics, animations, links, and instruction on how to draw Marge.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Marge_Simpson", "url": "http://marge.4mg.com/"} +{"d:Title": "Martin Prince Images", "d:Description": "Several pictures of the genius, in ART format.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Martin_Prince", "url": "http://www.angelfire.com/az/bigmike/martinpic.html"} +{"d:Title": "Everything's Coming Up Milhouse", "d:Description": "A sympathizing fan's tribute to Milhouse Van Houten, including biography, sounds, images, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Milhouse_VanHouten", "url": "http://miljink.tripod.com/"} +{"d:Title": "Profile of C. Montgomery Burns", "d:Description": "Includes details about what makes Mr. Burns so appealing. Content offered by Boston Phoenix.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Montgomery_Burns", "url": "http://www.bostonphoenix.com/archive/tv/97/11/C_MONTGOMERY_BURNS.html"} +{"d:Title": "WWWF Grudge Match: Ross Perot vs. Montgomery Burns", "d:Description": "Describes a fictitious contest to purchase the U.S. presidency.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Montgomery_Burns", "url": "http://www.grudge-match.com/History/perot-burns.shtml"} +{"d:Title": "About The Simpsons: Patty and Selma", "d:Description": "Links and information about Patty and Selma.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Patty_and_Selma_Bouvier", "url": "http://simpsons.about.com/cs/ps/"} +{"d:Title": "Principal Seymour Skinner", "d:Description": "See pictures, listen to quotes, and learn more about Principal Seymour Skinner.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Principal_Seymour_Skinner", "url": "http://simpsons.about.com/cs/skinner/"} +{"d:Title": "Frink Rules!", "d:Description": "Includes sound files, character information, and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Professor_Frink", "url": "http://lowb.org/alan/frink/"} +{"d:Title": "The Complete Ralph Wiggum Sound Archive", "d:Description": "Archive of mp3s and wav files.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Ralph_Wiggum", "url": "http://www.andrewziola.com/xoom/wiggum/"} +{"d:Title": "What Is Sideshow Bob's Deal, Anyway", "d:Description": "A recap of this criminals record and a debate on his motives.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Sideshow_Bob", "url": "http://www.silverbox.com/krusty/debate4.html"} +{"d:Title": "Sideshow Bob Is A Political Prisoner", "d:Description": "A petition for the release of Robert Underdunk Terwilliger, aka Sideshow Bob, currently held in Springfield Prison.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Sideshow_Bob", "url": "http://www.angelfire.com/ms2/skinshed/index.html"} +{"d:Title": "Wikipedia: Snowball II", "d:Description": "The Simpson family's cat.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Snowball", "url": "http://en.wikipedia.org/wiki/Snowball_II"} +{"d:Title": "Wikipedia: Snowball (The Simpsons)", "d:Description": "The story of how Lisa'a first cat died.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Characters/Snowball", "url": "http://en.wikipedia.org/wiki/Snowball_(The_Simpsons)"} +{"d:Title": "Amir's Fun House", "d:Description": "Index of links, sorted by type.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories", "url": "http://pw2.netcom.com/~michael1/simpsons3.html"} +{"d:Title": "Itchy and Scratchy Web Ring", "d:Description": "Offers submission criteria and form.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.angelfire.com/ks/mattsimpsonpage/webring.html"} +{"d:Title": "The Simpsons Ring", "d:Description": "Large group of sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=simpring"} +{"d:Title": "Simpsons Web Ring", "d:Description": "Selection of member sites, HTML code, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=simpsons1998"} +{"d:Title": "The Great Big Simpsons Ring", "d:Description": "Statistics, joining information, and a site list.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=samp"} +{"d:Title": "The International Simpsons Web Ring", "d:Description": "Contains links to member sites, membership details, and ring statistics.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=intersimp"} +{"d:Title": "Springfield Web Ring", "d:Description": "Ring statistics, member list, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=sfield"} +{"d:Title": "Android's Dungeon", "d:Description": "A detail section, ring statistics, member site list, and instructions on joining.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=andrdngn"} +{"d:Title": "Krusty's Craptacular Simpsons Webring", "d:Description": "Member site list and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub/simpsonswebring"} +{"d:Title": "WebRing: The Simpsons Online", "d:Description": "Member details, instructions on joining, HTML code, and a site list.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Directories/Web_Rings", "url": "http://www.webring.org/hub/simpsons"} +{"d:Title": "I Bent My Wookie", "d:Description": "Sounds, clips, pictures, and cast information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://www.angelfire.com/ab/bentwookie/"} +{"d:Title": "Simpsons-O-Rama", "d:Description": "Simpsons trivia, sounds, pictures, postcards, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://www.angelfire.com/il/simpsonsfun/"} +{"d:Title": "The Simpsons Site", "d:Description": "Pictures, sounds, and list of Bart's prank calls.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://www.angelfire.com/mb/ericf/"} +{"d:Title": "The Hedges Simpsons Site", "d:Description": "Contains pictures, sounds, links, movies, java games, want ads, and downloads.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://www.hedges.org/Simpsons/"} +{"d:Title": "About The Simpsons Movies", "d:Description": "Links to sites with video clips of The Simpsons.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://simpsons.about.com/cs/movies/"} +{"d:Title": "Baron von Flanders' Simpsons Warehouse", "d:Description": "Sounds, pictures, and a quiz.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://www.angelfire.com/ia/discostuds/"} +{"d:Title": "The Simpsons Media Circus", "d:Description": "Definitive collection of Simpsons sounds, fonts, pics, icons and other resources.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://www.simpsonscircus.20m.com/"} +{"d:Title": "Paul Tomko's Simpson's Page", "d:Description": "Pictures, icons and surveys.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://www.tomkoinc.com/simpsons/simpsons.html"} +{"d:Title": "Simpsons Media", "d:Description": "Pictures and movies.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://simpsonsmedia.tripod.com/"} +{"d:Title": "The Simpsons", "d:Description": "Offers pictures, animated GIFs, and sounds of several characters!", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads", "url": "http://rechler.tripod.com/Simpsons.html"} +{"d:Title": "About The Simpsons Sounds", "d:Description": "Find sound files of quotes from all your favorites.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads/Sound_Files", "url": "http://simpsons.about.com/cs/sounds/"} +{"d:Title": "The Corner's Simpsons Basement of Stuff", "d:Description": "Large page of sounds, with many rare ones. Also a small page of pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads/Sound_Files", "url": "http://www.angelfire.com/mi2/homerjsimpson/"} +{"d:Title": "500+ Simpsons Sounds", "d:Description": "Over 500 Simpsons audio clips in WAV format, sorted by character.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads/Sound_Files", "url": "http://www.angelfire.com/super2/simpsonman/"} +{"d:Title": "The Best Simpsons Sounds Page", "d:Description": "Wavs and mp3s, plus midis.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Downloads/Sound_Files", "url": "http://sounds21.tripod.com/"} +{"d:Title": "WWWF Grudge Match: Simpsons Humor", "d:Description": "Springfield vs. South Park, Braveheart vs. Groundskeeper Willie, Bart vs. Calvin, and others.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Fiction", "url": "http://www.grudge-match.com/History/simpsons.shtml"} +{"d:Title": "No Homers Fan Fiction Collection", "d:Description": "A long list of Simpsons fan fiction scripts in PDF format.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Fiction", "url": "http://www.nohomers.net/content/fun/fanscripts/"} +{"d:Title": "Andrew Thompson on the Simpsons Family", "d:Description": "Information on the show, the characters and how they resemble my family. By Andrew Thompson", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://bart288.tripod.com/simpsons/"} +{"d:Title": "Andrew's Simpsons Page", "d:Description": "Pictures, sounds, and links for Simpsons fans.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://members.tripod.com/root_beer67/"} +{"d:Title": "Atomic Simpsons Site", "d:Description": "Simpsons pictures, jokes, animated gif, pictures, lists, trivia, backgrounds, and other information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/md2/Simpsons/"} +{"d:Title": "Bigmike's Simpsons World", "d:Description": "Images, sounds, poll, and character profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/az/bigmike/index2.html"} +{"d:Title": "Brfainstormy's Simpsons Paradise", "d:Description": "News, pictures, and all sorts of information for Simpsons fans.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://members.tripod.com/~brainstormy_2/index.html"} +{"d:Title": "Crazy for the Simpsons", "d:Description": "Trivia, Bart's Chalkboard assignments, Homer's Mmmmms, as well as sounds and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/nj/Simpsons/"} +{"d:Title": "Dan's Simpson Page", "d:Description": "Pictures, trivia quiz, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/ar/x/"} +{"d:Title": "David's Ultimate Simpsons Home Page", "d:Description": "Movie clips, sounds, chat, pictures, and an explanation of why The Simpsons is so great.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/mi3/hot4u/pages/simpsons/main.html"} +{"d:Title": "Evergreen Terrace", "d:Description": "Episode guide, pictures and profiles of the voice actors, FAQ, articles and interviews, a history of Simpsons fandom on the internet, chat, games and quizzes, fan fiction, news on openings and closings of Simpsons sites, and picture archive.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.nohomers.net/"} +{"d:Title": "Homer's House of Style", "d:Description": "Couch sequences from opening title, fonts, movies, episode summaries, and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.alaska.net/~rockwell/simpsons.html"} +{"d:Title": "Kent Brockman's Notebook of Seemingly Useless Simpsons Information", "d:Description": "Characters and voices, episodes, viewer polls.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/ny4/TheNotebook/"} +{"d:Title": "Last Exit to Springfield", "d:Description": "Character profiles, cast information, articles, multimedia, interactive features, and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.lardlad.com/"} +{"d:Title": "Mookie's Unofficial Simpsons Site", "d:Description": "Pictures, quizzes, quotes, contests, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/mn/unsimpsons/"} +{"d:Title": "Patrick's Simpsons Site", "d:Description": "Pictures, animations, famous lines, and a Simpsons award.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/co/patrickworld/index.html"} +{"d:Title": "Picks' Tribute to the Simpsons", "d:Description": "Image gallery, downloads, links, wallpaper, and character profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.synergizedsolutions.com/simpsons/"} +{"d:Title": "The Simpson Center", "d:Description": "Images, a discussion board, and a mailing list.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://madcool.com/mc/comics/simpsons/"} +{"d:Title": "Simpsons Crazy", "d:Description": "Dedicated to providing information about the world's craziest family. Includes downloads, lyrics and blackboard quotes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.simpsons-crazy.co.uk/"} +{"d:Title": "The Simpsons Home Page", "d:Description": "Quotes, cast list, and general information. [Pop-up windows]", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.thesimpsonshomepage.com/"} +{"d:Title": "The Simpsons Site", "d:Description": "Learn to master the Simpsons world with tough and easy trivia questions of all categories.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://simpsonscollege.tvheaven.com/"} +{"d:Title": "The Simpsons Sourcebook", "d:Description": "News, cast and crew, images, multimedia, merchandise, episode synopses, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.frinky.com/"} +{"d:Title": "The Simpsons Springfield Site", "d:Description": "A few episode reviews and trivia questions.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://redsox5048.tripod.com/Springfeild/"} +{"d:Title": "Springfield", "d:Description": "Images, sounds, downloads and character profiles.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.angelfire.com/tv/theSIMPSONS/"} +{"d:Title": "The Simpsons", "d:Description": "Information, images, animated GIFs, desktop wallpapers, music and Flash online games from both the Simpsons TV series and the movie.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.dan-dare.org/Dan%20Simpsons/Dan%20Simpsons.htm"} +{"d:Title": "Simpson Crazy", "d:Description": "Simpsons information including episode guides, images, wallpapers, news and merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.simpsoncrazy.com/"} +{"d:Title": "Simpsons 2007", "d:Description": "A collection of images, quotes, Youtube links, games and related Simpsons material.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://www.simpsonsmovietoys.blogspot.com/"} +{"d:Title": "The Simpsons", "d:Description": "Episode reviews, profiles, sounds, pictures, couch gags, and chalkboard sayings.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Fan_Pages", "url": "http://simpsonmad.tripod.com/"} +{"d:Title": "About The Simpsons: Pictures", "d:Description": "Over 150 links to web pages with pictures of The Simpsons.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Image_Galleries", "url": "http://simpsons.about.com/cs/pictures/"} +{"d:Title": "Homer Simpson's Cool Pics", "d:Description": "Images sorted by character.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Image_Galleries", "url": "http://www.angelfire.com/yt/scully/page5.html"} +{"d:Title": "Simpsonland", "d:Description": "Includes icons, trivia and awards.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Image_Galleries", "url": "http://www.angelfire.com/tv2/simpsonland/index.html"} +{"d:Title": "Craw's Simpsons Trivia", "d:Description": "Provides three quizzes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Interactive", "url": "http://www.angelfire.com/ca/crawscoolpage/simpsons.html"} +{"d:Title": "The Simpsons", "d:Description": "A one page trivia quiz contaning over 100 questions for fans.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Interactive", "url": "http://www.thekeeling.8m.com/simpsons/trivia.html"} +{"d:Title": "The Simpson's Personality Test", "d:Description": "Ten-question quiz with twenty possible outcomes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Interactive", "url": "http://www.matthewbarr.co.uk/simpsons/"} +{"d:Title": "Simpsonstrivia", "d:Description": "Easy, medium and hard questions levels. It shows awards and a guestbook.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Simpsons,_The/Interactive", "url": "http://www.angelfire.com/nj/SimsponsTrivia1/index.html"} +{"d:Title": "Wikipedia: Skyland", "d:Description": "Information, synopsis, characters and list of episodes about the series.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Skyland", "url": "http://en.wikipedia.org/wiki/Skyland"} +{"d:Title": "Skyland", "d:Description": "Official site which provides information and a forum.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Skyland", "url": "http://www.skylandtv.com/"} +{"d:Title": "The Smurfs.com", "d:Description": "Discussion forums, free e-mail addresses, ecards, and fan art, as well as images, and basic show information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Smurfs,_The", "url": "http://www.the-smurfs.com/"} +{"d:Title": "A Smurfy Website", "d:Description": "Pictures, stories, audio and video downloads, and international versions.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Smurfs,_The", "url": "http://www.smurfto.be/"} +{"d:Title": "BlueBuddies.com", "d:Description": "Features artwork, stories, collector information, desktop icons, and message boards.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Smurfs,_The", "url": "http://bluebuddies.com/"} +{"d:Title": "The Smurfs' Official Site", "d:Description": "The official home includes games and facts.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Smurfs,_The", "url": "http://www.smurf.com/"} +{"d:Title": "Aaron's Smurf Page", "d:Description": "Collectors information on the Smurfs Pop album.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Smurfs,_The/Collectibles", "url": "http://members.tripod.com/~AaronWiegand/smurf.html"} +{"d:Title": "Jenifer's Smurfy Smurf Page of Smurfs", "d:Description": "Pictures of my smurf collection as well as pictures of smurfs I am currently selling.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Smurfs,_The/Collectibles", "url": "http://www.angelfire.com/tv/smurfygirl/"} +{"d:Title": "Quasisp's Snorks Page", "d:Description": "Image gallery, episode guide, and a fan art and fan fiction section.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Snorks,_The", "url": "http://www.angelfire.com/tv/quasisp/"} +{"d:Title": "Manic Panic", "d:Description": "Art gallery, parody files, interactive storyboards and tests, fan submissions, and downloads.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sonic_the_Hedgehog", "url": "http://www.angelfire.com/scifi/manicpanic/Manic_Panic.html"} +{"d:Title": "Sonic Underground", "d:Description": "Screenshots, information, and two small MPEG videos to download.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sonic_the_Hedgehog", "url": "http://www.franken.de/users/deco/su/su.html"} +{"d:Title": "The Sonic Zone: Sonic Underground", "d:Description": "Screen shots, most of the songs, and sound clips from the first 28 episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sonic_the_Hedgehog", "url": "http://www.deco.franken.de/su/su.html"} +{"d:Title": "FUS - Fans United for SatAM", "d:Description": "Fan site for the Saturday morning cartoon, Sonic The Hedgehog. Has basic information, episode descriptions, and downloads ranging from wallpaper to three full episodes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Sonic_the_Hedgehog", "url": "http://www.sonicsatam.com/"} +{"d:Title": "South Park Elementary", "d:Description": "Episode guide, character biographies, sounds, images, downloads, and chat.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park", "url": "http://www.angelfire.com/ca3/SouthParkElementary/"} +{"d:Title": "South Park Studios", "d:Description": "Official site includes downloads, character and town information, and episode guide.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park", "url": "http://www.southparkstudios.com/"} +{"d:Title": "South Park Complete", "d:Description": "Features downloads, and information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park", "url": "http://www.spcomplete.com/"} +{"d:Title": "Mike's Flash Website", "d:Description": "Consists of Flash animations of South Park.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park", "url": "http://magicfan89.150m.com/"} +{"d:Title": "All SP", "d:Description": "Includes links to downloadable episodes of South Park.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park", "url": "http://allsp.com/"} +{"d:Title": "Kenny Translator", "d:Description": "Translate ordinary text into what Kenny from South Park would say and back again. Written in JavaScript.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Characters", "url": "http://www.namesuppressed.com/kenny/"} +{"d:Title": "Daniel's Southpark Page", "d:Description": "Contains animated gifs of all characters.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Characters", "url": "http://www.angelfire.com/va3/27774/SPPage.html"} +{"d:Title": "The Kenny PHP Translator", "d:Description": "A great PHP drop-in for the Kenny Translator.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Characters", "url": "http://www.thekey.it/kenny/"} +{"d:Title": "Art of Fart", "d:Description": "Pictures, quotes, guestbook and facts.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Characters/Terrance_and_Phillip", "url": "http://homepage.eircom.net/~westacre/"} +{"d:Title": "South Park Music Video Downloads", "d:Description": "Music videos for everyone to download for free.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Downloads/Videos", "url": "http://madcool.com/mc/comics/southpark/musicvideo.htm"} +{"d:Title": "Rachel C's South Park Fanfics", "d:Description": "Archive of works.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Fan_Fiction", "url": "http://www.angelfire.com/ny3/spfanfic/"} +{"d:Title": "Biker Baby", "d:Description": "Variety of movies and stories containing SP characters.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Fan_Fiction", "url": "http://www.bikerbaby.com/"} +{"d:Title": "Mike Kalec's South Park Games", "d:Description": "South Park games to download for Mac and PC.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Games", "url": "http://www.southparkcows.com/kalec/"} +{"d:Title": "Game Central - Southpark", "d:Description": "Picture gallery, animations, and South Park 64.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Graphics_and_Images", "url": "http://www.angelfire.com/al/nolanrules/southpark.html"} +{"d:Title": "IMDB: South Park", "d:Description": "Credits and information about South Park from the Internet Movie Database.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/South_Park/Resources", "url": "http://www.imdb.com/title/tt0121955/"} +{"d:Title": "The Original Space Ghost FAQ", "d:Description": "Comprehensive site devoted to the old-school Ghost: the 60s and 80s action series.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Space_Ghost", "url": "http://webpages.charter.net/superheroes/space_ghost.htm"} +{"d:Title": "Ghost Planet Ring", "d:Description": "Web ring with membership information and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Space_Ghost", "url": "http://www.webring.org/hub?ring=ghostplanet"} +{"d:Title": "Space Ghost Coast to Coast Companion", "d:Description": "News spoofs, TV, movie, and music reviews written by the characters, and Council of Doom pages and episode transcripts.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Space_Ghost/Coast_to_Coast", "url": "http://www.ghostplanet.com/"} +{"d:Title": "The Unofficial Modern Space Ghost FAQ", "d:Description": "Extensive information about all of the modern-era television shows, the characters' presence online, and merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Space_Ghost/Coast_to_Coast", "url": "http://homegame.org/canvas/old/ghostfaq.html"} +{"d:Title": "Baloney Sammitch", "d:Description": "Music, games, downloads, picture galleries, and character details.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Space_Ghost/Fan_Pages", "url": "http://www.baloneysammitch.8m.com/"} +{"d:Title": "Ghost Planet Central", "d:Description": "Episode and chat transcripts, articles and reviews, and CD liner notes, as well as a message board.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Space_Ghost/Fan_Pages", "url": "http://www.snard.com/sg/"} +{"d:Title": "Redsugar's Sorcerer Page", "d:Description": "Pictures, links, fan art, screengrabs, and news articles.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Space_Ghost/Fan_Pages", "url": "http://www.redsugar.com/sorcerer.html"} +{"d:Title": "Mitch's spawn page", "d:Description": "Pictures, animated gifs, movie information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Spawn", "url": "http://thespawn.s5.com/"} +{"d:Title": "Spiders Website", "d:Description": "Includes an episode guide, cast list, sounds, character pages, and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Spider-Man", "url": "http://www.angelfire.com/ks/spider/"} +{"d:Title": "Spongebob Squarepants Jackass", "d:Description": "Jackass version of Spongebob. Pictures and video clips offered.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SpongeBob_SquarePants", "url": "http://spongebobjackass.tripod.com/"} +{"d:Title": "SpongeBob SquarePants at Nick.com", "d:Description": "Official site. Games, screensavers, and introduction to the cast. Requires Shockwave.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SpongeBob_SquarePants", "url": "http://spongebob.nick.com/"} +{"d:Title": "Sponge Zone", "d:Description": "Image gallery, character profiles, and video game information.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SpongeBob_SquarePants/Fan_Pages", "url": "http://www.spongezone.net/"} +{"d:Title": "Sponge Bob 808", "d:Description": "Contains character descriptions, images, song lyrics, awards and fun facts.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SpongeBob_SquarePants/Fan_Pages", "url": "http://www.angelfire.com/hi5/spongebob808/"} +{"d:Title": "SpongeBob Cafe", "d:Description": "Backgrounds, pictures and lyrics for theme song.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SpongeBob_SquarePants/Fan_Pages", "url": "http://www.angelfire.com/cantina/spongebobcafe/"} +{"d:Title": "Crusty Crabs", "d:Description": "Screensavers, characters, pictures and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SpongeBob_SquarePants/Fan_Pages", "url": "http://crustycrabs.bravepages.com/"} +{"d:Title": "Lookn' Good Pal", "d:Description": "Find character information, pictures and quiz games.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/SpongeBob_SquarePants/Fan_Pages", "url": "http://www.angelfire.com/realm/ofmechs/sb.html"} +{"d:Title": "Star Wars: Clone Wars", "d:Description": "Official website, part of starwars.com. Includes online viewing of each chapter of the animated series, news, and a databank of the characters, places, starships, and technology.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Star_Wars_-_Clone_Wars", "url": "http://www.starwars.com/clonewars/"} +{"d:Title": "IMDb: Star Wars: Clone Wars (2003)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Star_Wars_-_Clone_Wars", "url": "http://www.imdb.com/title/tt0361243/"} +{"d:Title": "Toon Zone Presents: Star Wars Clone Wars", "d:Description": "Reviews, episode guide, images, cast and crew information, and an interview with series producer Genndy Tartakovsky.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Star_Wars_-_Clone_Wars", "url": "http://www.toonzone.net/shows/clonewars.php"} +{"d:Title": "Star Wars: Clone Wars - TV Series - TV.com", "d:Description": "Episode guide, cast and crew information, analysis, discussion forum, reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Star_Wars_-_Clone_Wars", "url": "http://www.tv.com/shows/star-wars-clone-wars/"} +{"d:Title": "Right Wing Techie: Star Wars: Clone Wars Review", "d:Description": "A short favorable review by Brad Wardell.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Star_Wars_-_Clone_Wars/Reviews", "url": "http://draginol.joeuser.com/index.asp?c=1&AID=12489&u=0"} +{"d:Title": "Static Shock Ultima", "d:Description": "News, episode and character guides, and images.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Static_Shock", "url": "http://wester5.tripod.com/staticshockultima/index.html"} +{"d:Title": "Static Shock Ultima", "d:Description": "Offers news, episode guide, pictures, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Static_Shock", "url": "http://wester5.tripod.com/"} +{"d:Title": "Strawberry Shortcake Webring", "d:Description": "Introduction, rules, how to join, and HTML fragment.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Strawberry_Shortcake", "url": "http://www.webring.org/hub?ring=strawberryshortc"} +{"d:Title": "epguides.com: Stripperella", "d:Description": "A guide to the animated series with episode descriptions, original air dates, and cast listing.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Stripperella", "url": "http://www.epguides.com/Stripperella/"} +{"d:Title": "Entertain Your Brain: Stripperella Review", "d:Description": "Shawn McKenzie reviews and rates the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Stripperella", "url": "http://www.entertainyourbrain.com/stripperellarev.htm"} +{"d:Title": "IMDb: Stripperella", "d:Description": "Includes cast, crew, reviews, plot summary, comments, and showtimes.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Stripperella", "url": "http://www.imdb.com/title/tt0369171/"} +{"d:Title": "TV.com: Stripperella", "d:Description": "Includes a review, episode list and guide, cast and crew guides, and episode goofs.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Stripperella", "url": "http://www.tv.com/shows/stripperella/"} +{"d:Title": "Toon Zone: Superfriends", "d:Description": "Complete episode list.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Superfriends", "url": "http://www.toonzone.net/colin/epguides/superfriends.htm"} +{"d:Title": "Seanbaby's Super Friends Page", "d:Description": "Pictures, video clips, commentary, comics, and loads of humor.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Superfriends", "url": "http://www.seanbaby.com/super.htm"} +{"d:Title": "WWWF Grudge Match: Superfriends vs. X-Men", "d:Description": "The battle for cartoon super hero supremacy. [Humor]", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Superfriends", "url": "http://www.grudge-match.com/History/superfriends-xmen.shtml"} +{"d:Title": "Batman-Superman.com", "d:Description": "Offers hero and villain information, episode guide, and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Superman", "url": "http://www.batman-superman.com/superman/cmp/index.html"} +{"d:Title": "Superman Super Site.com", "d:Description": "Covering Superman's creation in 1938 through present day. Categories include comics, movies, television, actors, and Smallville.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Superman", "url": "http://www.supermansupersite.com/"} +{"d:Title": "Superman Homepage", "d:Description": "An internet guide to Superman which includes information and downloads on Superman in his many incarnations from comics, movies, TV, radio, books and other media.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Superman", "url": "http://www.supermanhomepage.com/"} +{"d:Title": "Kristen's SWAT Kat Gallery", "d:Description": "Contains information, links, poems, and creator's fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/S/Swat_Kats", "url": "http://www.fyresight.com/kristensk/"} +{"d:Title": "Pirate Island", "d:Description": "Images, art, information and fanfic featuring Don Karnage and other TaleSpin characters.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/TaleSpin", "url": "http://www.sullivanet.com/pirateisland/"} +{"d:Title": "The Unofficial Kit Cloudkicker Home Page", "d:Description": "An in-depth analysis of the TaleSpin character, Kit Cloudkicker.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/TaleSpin", "url": "http://cloudkicker.50webs.com/"} +{"d:Title": "TaleSpin Source", "d:Description": "Welcome aboard the Sea Duck! The site offers everything a TaleSpin fan can ask for : fanarts, fanfics, articles on the series, forums, shout boxes, musics, ...", "topic": "Top/Arts/Animation/Cartoons/Titles/T/TaleSpin", "url": "http://www.animationsource.org/talespin/en/"} +{"d:Title": "Cloudkicker's message board", "d:Description": "A board dedicated to Kit Cloudkicker, and Talespin discussions in general.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/TaleSpin", "url": "http://cloudkicker.proboards.com/"} +{"d:Title": "News on TaleSpin Source", "d:Description": "Active RSS feed for anything related to TaleSpin or the site.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/TaleSpin", "url": "http://www.animationsource.org/nogui.php?makeRSS=1&langname=en&sitename=talespin"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, summary, and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tangerine_Bear,_The", "url": "http://www.imdb.com/title/tt0268677/"} +{"d:Title": "TV.com - Taz-Mania", "d:Description": "Season 1 episode guide with descriptions and show information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Taz-Mania", "url": "http://www.tv.com/shows/taz-mania/"} +{"d:Title": "Teacher's Pet", "d:Description": "Character profiles, story synopsis, games, images, and sounds, and a television schedule.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teacher's_Pet", "url": "http://disney.go.com/disneytelevision/disney1/index2.html?characters/teacherspet/teacherspet,back=main&submenu=shows"} +{"d:Title": "Illiop.org", "d:Description": "Information on the bear species illiop as well as background information on their creation.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teddy_Ruxpin", "url": "http://www.illiop.org/"} +{"d:Title": "The Teddy Ruxpin FAQ", "d:Description": "Information for Teddy Ruxpin fans and collectors. Buying, selling, collecting and animation.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teddy_Ruxpin", "url": "http://www.illiop.org/faq.html"} +{"d:Title": "Illiop Island", "d:Description": "A fansite featuring book scans, and series information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teddy_Ruxpin", "url": "http://www.illiop.com/"} +{"d:Title": "Tweeg's Tower", "d:Description": "Fansite with an emphasis on the television show and the character Tweeg. Features screen captures, character profiles and a map of Grundo.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teddy_Ruxpin", "url": "http://www.jcaliff.net/tr/"} +{"d:Title": "Cherubae's Ninja Turtle Dimension", "d:Description": "Home of FOGU: \"Federation Of Golden Underwear\". Featuring free webcards, music files, information on the animated and live-action series, comics, movies, and news.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.fogu.com/"} +{"d:Title": "The Technodrome", "d:Description": "TMNT fan art and fiction, image gallery, madlibs, message board, and multimedia.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.thetechnodrome.com/"} +{"d:Title": "TOKKA's KriB", "d:Description": "Includes humor, comics, and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.terrible2z.com/"} +{"d:Title": "Kaybea's TMNT Webpage", "d:Description": "Mainly based on the Archie Series. Contains fan fiction, profiles, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.angelfire.com/scifi/tmntfanfiction/"} +{"d:Title": "Heroes In A Halfshell", "d:Description": "Contains MIDIs, information on the movie soundtracks, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.angelfire.com/nt/halfshell/index.html"} +{"d:Title": "The TMNT Soundtrack Project", "d:Description": "Ninja Turtle fansite with fiction, humor, quotes, profiles, art, and lyrics.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.angelfire.com/va2/tmnt/"} +{"d:Title": "Mandy's TMNT Page", "d:Description": "Contains fan fiction and fan art.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.angelfire.com/ny5/amandatello/mandy/mandy_main.html"} +{"d:Title": "Dimension X", "d:Description": "Episode list and synopses, fan art and fiction, character profiles, sound clips, pictures, webring information, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://lordkrang.tripod.com/"} +{"d:Title": "Pizza Power", "d:Description": "Includes profiles, downloads, links, and information about the TMNT cartoon, comics, movies, and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://pizzapower.tripod.com/"} +{"d:Title": "Amandatello's TMNT Lair", "d:Description": "Contains profiles, fan fiction, fan art, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://amandatello.thetechnodrome.com/"} +{"d:Title": "Tinselcat's Starship", "d:Description": "Contains TMNT fan fiction, fan art, and poetry.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://tcplanet.thetechnodrome.com/"} +{"d:Title": "Mikey's Teenage Mutant Ninja Turtles Page", "d:Description": "TMNT profiles, statistics, images, and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.mikeystmnt.com/"} +{"d:Title": "The Slime", "d:Description": "Includes character profiles for the new TMNT cartoon.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.angelfire.com/ab7/sewer/index2.html"} +{"d:Title": "TMNT Rulezz", "d:Description": "Includes character bios, episode guides, media, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://tmntrulezz.wyvern920.com/body.php"} +{"d:Title": "Miphael - Mikey&Raph's Hangout", "d:Description": "A character shrine to Mikey and Raph. Includes character profiles, pictures, fan fiction, fan art, and humor.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.angelfire.com/comics/miphael_tmnt/index2.html"} +{"d:Title": "Cowabunga! Teenage Mutant Ninja Turtles", "d:Description": "Contains information about the TMNT cartoon shows, action figures, art, and Nintendo and Super Nintendo TMNT games.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.derok.net/derok/tmnt.html"} +{"d:Title": "TMNT Review HQ", "d:Description": "Features TMNT cartoon episode, movie, and computer game reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.angelfire.com/ut2/tmntreviewhq/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, trivia and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles", "url": "http://www.imdb.com/title/tt0131613/"} +{"d:Title": "tmnt-l", "d:Description": "Email list for fans of the Teenage Mutant Ninja Turtles.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Chats_and_Forums", "url": "http://www.mail-archive.com/tmnt-l@entservers.com/"} +{"d:Title": "The Technodrome Forums", "d:Description": "Forums for the discussion of the Teenage Mutant Ninja Turtles.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Chats_and_Forums", "url": "http://forums.thetechnodrome.com/"} +{"d:Title": "The Technodrome Club", "d:Description": "TMNT fan club and message board. Now includes a role playing game.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/thetechnodrome/"} +{"d:Title": "TMNT", "d:Description": "TMNT message board and photo album.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/tmnt2/"} +{"d:Title": "Yahoo! Groups: tmnt", "d:Description": "An uncensored mailing list dedicated to the discussion of the Ninja Turtles by their fans.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/tmnt/"} +{"d:Title": "TMNT Clan Yoshi", "d:Description": "TMNT fan club featuring a TMNT role playing game.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/tmntclanyoshi/"} +{"d:Title": "CBUB Fights: Teenage Mutant Ninja Turtles vs. Angry Velociraptors", "d:Description": "TMNT face off against some hungry rejects from Jurassic Park.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Fan_Fiction", "url": "http://www.electricferret.com/fights/turtle.htm"} +{"d:Title": "Obscure Zodiac", "d:Description": "Home of the online TMNT manga: Mutant Ninja Turtles Gaiden. An incident changes the turtles' lives forever and thus starts the TMNT side-story.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Fan_Fiction", "url": "http://www.obscurezodiac.com/"} +{"d:Title": "WebRing: TMNT Fanfic Ring", "d:Description": "Rules, HTML code, and list of members.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Teenage_Mutant_Ninja_Turtles/Web_Rings", "url": "http://www.webring.org/hub/tmntfic"} +{"d:Title": "Internet Movie Database (IMDb) - Thundarr The Barbarian", "d:Description": "Thundarr the Barbarian (1980) at IMDb. Voice actor listings and production notes for Thundarr the Barbarian.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundarr_the_Barbarian", "url": "http://www.imdb.com/title/tt0181262/"} +{"d:Title": "The Ultimate Thundercats", "d:Description": "Images of collectible figures and weapons listed by type, a message board, a character section and episode guide, as well as, links to toy pages and related sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://thundercats.vpga.com/"} +{"d:Title": "Lucidia Studios' Tribute to The Thundercats", "d:Description": "Screengrabs, toy pictures, and personal opinion of the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://members.tripod.com/~thundercats_hooo/"} +{"d:Title": "The Generic Thundercats Page", "d:Description": "A humorous Thundercats site with bios, an episode guide, and general information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://www.angelfire.com/nj/eyeofthundera/thundera.html"} +{"d:Title": "Return to Thundera", "d:Description": "A fan site featuring information, scans, screen shots, art and fan fiction, interactive games, downloads and media.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://www.thundercatsfans.org/"} +{"d:Title": "ThunderCatsLair.org", "d:Description": "Fan site. Includes behind-the-scenes features, animation cels, a toy guide, comics and series information, and a forum.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://www.thundercatslair.org/"} +{"d:Title": "Mumm-Ra's Pyramid", "d:Description": "A ThunderCats site featuring Mumm-Ra.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://tcats.shipunderground.com/index.html"} +{"d:Title": "The Big Cartoon Database: Thundercats", "d:Description": "Features episode information including cast list, summary, and production notes.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://www.bcdb.com/cartoons/Other_Studios/R/Rankin_Bass_Productions/ThunderCats/index.html"} +{"d:Title": "IMDB: Thundercats", "d:Description": "Images, cast list, episode information, and a synopsis.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Thundercats", "url": "http://www.imdb.com/title/tt0088631/"} +{"d:Title": "The Tick Catalog", "d:Description": "New England Comics official site for the latest news on Tick comics, books, t-shirts, toys and other merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tick,_The", "url": "http://www.newenglandcomics.com/cgi-bin/shop/loadpage.cgi?user_id=id&file=splash5.htm"} +{"d:Title": "The Ticklopedia", "d:Description": "Reference work with companion works such as an episode guide, a songbook, an actor, writer, and director list, and a \"Guide to Bit Characters.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tick,_The", "url": "http://home.jps.net/~lsnyder/indexy.html"} +{"d:Title": "Zack's Tick Page", "d:Description": "Heroes and villain sections, sounds, images, and episode guide, and movie information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tick,_The", "url": "http://www.angelfire.com/ks2/Hero/index.html"} +{"d:Title": "Leggman's Tick Page", "d:Description": "Episode downloads, hero and villain sections, and show synopsis.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tick,_The", "url": "http://www.thetick.ws/cartoons.html"} +{"d:Title": "The Giant Circus of The Mighty", "d:Description": "Webring for all things Tickish.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tick,_The/Web_Rings", "url": "http://www.webring.org/hub?ring=braktick"} +{"d:Title": "The New Tick Webring", "d:Description": "Sites about the comic, cartoon, or live-action series.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tick,_The/Web_Rings", "url": "http://www.webring.org/hub?ring=newtickwebring"} +{"d:Title": "Callbunny", "d:Description": "A cartoon and comic fan site. Links and information. Available in English and German.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures", "url": "http://www.callbunny.de/"} +{"d:Title": "Vicky Fox Tiny Toons Art Collection", "d:Description": "A collection of animation cells from the show.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures", "url": "http://www.vickifox.com/anim_art_series.php?S&C&WAR&058&1"} +{"d:Title": "Jamie's Tiny Toon Adventures Page", "d:Description": "Information, character and audition sheets, model sheets, pictures, Y2K1 calendar, interactive games, theme song, TV schedule, mailing lists and links.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures", "url": "http://www.angel-hare.com/acorn/tta/"} +{"d:Title": "Elmyra's Gothic Den of Cuddles", "d:Description": "Elmyra stuff", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Characters", "url": "http://members.tripod.com/~fluffyduff/index.html"} +{"d:Title": "Elmyra's Gallery House", "d:Description": "Animaniacs and Tiny Toons model sheets, HISMV caps, screen caps, coloring pages, sounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Characters", "url": "http://members.tripod.com/~smithaudrey/index.html"} +{"d:Title": "Yahoo! Buster and Babs club", "d:Description": "Buster and Babs Yahoo! group. Decent number of posts and members.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Chats_and_Forums", "url": "http://groups.yahoo.com/group/babsandbusterclub/"} +{"d:Title": "Tiny Toons Calendars!", "d:Description": "TTA calendars made by \"Squirrelly\" with artwork by variety of Tiny Toons fan artists.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Fan_Works", "url": "http://www.angel-hare.com/acorn/tta/cal/"} +{"d:Title": "Planet Furrball", "d:Description": "Selection of fan fiction by Jerry D. Withers as well as an image gallery and message board.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Fan_Works", "url": "http://members.tripod.com/J_Withers/PlanetFurrball.htm"} +{"d:Title": "A.G.I's Home Page", "d:Description": "Fan Art by A.G.I", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Fan_Works/Fan_Art", "url": "http://www.angelfire.com/anime/tinytoon/"} +{"d:Title": "Acmelabs: TTA Fan Fiction Fan Art", "d:Description": "Art inspired by fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Fan_Works/Fan_Art", "url": "ftp://ftp.acmelabs.com/pub/tta-fanfic/"} +{"d:Title": "Acmelabs: Fan Art", "d:Description": "Acmelabs fan art", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Fan_Works/Fan_Art", "url": "ftp://ftp.acmelabs.com/pub/images/toon/"} +{"d:Title": "Furrball's Fan Fics", "d:Description": "Works by Jerry D. Withers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/J_Withers/fanfic.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, gag credits and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/How_I_Spent_My_Summer_Vacation", "url": "http://www.imdb.com/title/tt0105598/combined"} +{"d:Title": "alt.tv.tiny-toon FAQ", "d:Description": "Frequently Asked Questions as of 1995.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Resources", "url": "http://kumo.swcp.com/synth/tta-faq.html"} +{"d:Title": "Big Cartoon DataBase- Tiny Toon Adventures", "d:Description": "Complete episode guide, credits and show synopsis. Rate and review your favorite Tiny Toons shows. Completely searchable.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Resources", "url": "http://www.bcdb.com/bcdb/page.cgi?g=Warner_Bros_/Television/Tiny_Toons/&d=1"} +{"d:Title": "Tiny Toon Adventures episode guide", "d:Description": "Guide to TTA eps. Last updated 1993.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Resources", "url": "http://www.mindspring.com/~plucky/ttguide.html"} +{"d:Title": "IMDb: Night Ghoulery", "d:Description": "Credits for the TV special.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Resources", "url": "http://www.imdb.com/title/tt0123298/combined"} +{"d:Title": "IMDb: Tiny Toon Adventures (1990-1993)", "d:Description": "Credits for TV series itself. Cast and crew, Emmy awards, etc.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Resources", "url": "http://www.imdb.com/title/tt0098929/combined"} +{"d:Title": "IMDb: Tiny Toons Spring Break (1994) (TV)", "d:Description": "Credits for the TV special. Can also vote your rating of it.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tiny_Toon_Adventures/Resources", "url": "http://www.imdb.com/title/tt0108343/combined"} +{"d:Title": "Cosmopolis: Tom and Jerry", "d:Description": "The history of the cartoon in memoriam of William Hanna.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tom_and_Jerry", "url": "http://www.cosmopolis.ch/english/cosmo15/tomandjerry.htm"} +{"d:Title": "Tom and Jerry", "d:Description": "Images, episodes, characters, FAQs, videos, sounds, synopsis, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tom_and_Jerry", "url": "http://www.tomandjerryonline.com/"} +{"d:Title": "Tom and Jerry", "d:Description": "Screen shots, sound clips, and desktop themes of the cat and mouse team.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tom_and_Jerry", "url": "http://www.geocities.co.jp/SiliconValley/7561/cat.html"} +{"d:Title": "Aaron's New Tom and Jerry Information Site", "d:Description": "Information on the episodes that aired between 1975 and 1977 on the American Broadcasting Company. Lyrics, detailed show history, sound clips and downloads.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Tom_and_Jerry", "url": "http://1975tomjerry.50megs.com/"} +{"d:Title": "Transformers Boogaloo", "d:Description": "Primarily focused on Beast Machines, has reviews, episode guides, rumors, toys, FAQs, news, and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Machines", "url": "http://www.tfboogaloo.com/"} +{"d:Title": "Beast Machines", "d:Description": "Hasbro's official site. Offers news, product information, history and a FAQ list.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Machines", "url": "http://www.beastmachines.com/"} +{"d:Title": "Transformers Online", "d:Description": "Latest news and information. Primarily focuses on Beast Machines.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Machines", "url": "http://www.oneshallstand.com/"} +{"d:Title": "Beast Machines", "d:Description": "Yahoo Groups e-mail community for BM discussion.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Machines/Chats_and_Forums", "url": "http://groups.yahoo.com/group/BeastMachines/"} +{"d:Title": "Transformers Beast Machines Club", "d:Description": "The very first BM Club. [Yahoo! Groups]", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Machines/Chats_and_Forums", "url": "http://groups.yahoo.com/group/transformersbeastmachinesclub/"} +{"d:Title": "Dave's Beast Wars Transformers Page", "d:Description": "News, pictures, reviews, information on the toys and Japanese cartoons/toys, and a fan fiction series exploring ideas between the end of the Great War and the beginning of the Beast Wars.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars", "url": "http://www.eyrie.org/~dvandom/BW/"} +{"d:Title": "Axalon Underground Beast Wars Club", "d:Description": "Member information, introduction, and rpg details.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/axalonundergroundbeastwarsclub/"} +{"d:Title": "Beast Wars", "d:Description": "Maximals and Predicons are welcome here.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/beastwars/"} +{"d:Title": "Beast Wars 3", "d:Description": "For Beast Wars/Beasties fans everywhere.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/beastwars3/"} +{"d:Title": "Beast Wars Club of Commerce", "d:Description": "\"The BW chat point.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/beastwarsclubofcommerce/"} +{"d:Title": "Beast Wars Oasis", "d:Description": "Pictures, information, and chat.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/beastwarsoasis/"} +{"d:Title": "Beast Wars Toys and Show", "d:Description": "Club of the best BW pictures and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/beastwarstoysandshow/"} +{"d:Title": "Beasties", "d:Description": "Yahoo Groups mail community for Beast Wars: Transformers fans.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/beasties/"} +{"d:Title": "Blitz's Beast Wars Club", "d:Description": "Member statistics, joining information, and instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/blitzsbeastwarsclub/"} +{"d:Title": "Hax Beast Wars Club", "d:Description": "A place for Beast Wars fans can hang out.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/haxbeastwarsclub/"} +{"d:Title": "Machine Wars Club", "d:Description": "Recent messages, founder introduction, and membership information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/machinewarsclub/"} +{"d:Title": "Optimus' Beast Wars Club", "d:Description": "Joining instructions, recent message list, and founder information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Chats_and_Forums", "url": "http://groups.yahoo.com/group/optimusbeastwarclub/"} +{"d:Title": "Rampage's Domain", "d:Description": "Beast Wars/Machines and Transformer fan fiction, interactive stories, and the Titanic Tussle of the Transformers: pitting TF characters against each other in a deathmatch.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Fan_Fiction", "url": "http://www.angelfire.com/scifi/rampagesdomain/RampagesDomain.html"} +{"d:Title": "Beast Machines: The Lost Episodes", "d:Description": "Comedic Transformers fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Fan_Fiction", "url": "http://www.trhonline.com/bmle/"} +{"d:Title": "Beast\u00e9mon", "d:Description": "Beast Wars meets Pok\u00e9mon. [Little content, but good for a laugh.]", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Fan_Fiction/Humor", "url": "http://jinj_and_gadg.tripod.com/"} +{"d:Title": "Ultimate RPG", "d:Description": "Roleplaying game played out on message boards.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Beast_Wars/Games/Roleplaying", "url": "http://aug_ultimate_rpg.tripod.com/main/"} +{"d:Title": "Bottalk.com", "d:Description": "General Transformers discussion board and official Beast Machines story editors forums. Hosted by Bigbot.com.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums", "url": "http://bottalk.com/"} +{"d:Title": "TFW2005.com - Transformers Discussion Boards", "d:Description": "Expansive online fan community discussing all aspects of Transformers: news, all TF TV series, movies, toys and collectibles, events, and boy/sell/trade forums.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums", "url": "http://www.tfw2005.com/boards/index.php"} +{"d:Title": "The AllSpark", "d:Description": "Forums hosted by CybertronOnline to discuss all aspects of Transformers. Home of the Cybertronian Alliance.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums", "url": "http://theallspark.yuku.com/"} +{"d:Title": "Cybertron Central", "d:Description": "Transformers fans for the Old and New Generation.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/cybertroncentral/"} +{"d:Title": "Optimus Prime's Transformer Club", "d:Description": "A place to complete your transformers collection.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/optimusprimestransformerclub/"} +{"d:Title": "Georgia Transfans", "d:Description": "Club/discussion group for all Transformers fans living in Georgia.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/georgiatransfans/"} +{"d:Title": "The Cybertronian Conference", "d:Description": "Greg Gaub's Transformers mailing list and discussion group.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/cybcon/"} +{"d:Title": "Transformers Trading Post", "d:Description": "Provide a place for Transformers fans to discuss, trade, sell, and buy toys and related collectibes.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/transformerstradingpost/"} +{"d:Title": "Transformers Robots in Disguise", "d:Description": "For fans of the classic 80's TV show.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Chats_and_Forums/Yahoo_Groups", "url": "http://groups.yahoo.com/group/transformersrobotsindisguise/"} +{"d:Title": "The Nordic TransFans Association (NTFA)", "d:Description": "Transformers fans club in the Nordic countries, with information on comics, cartoons and toys, plus discussion boards in Danish, Norwegian, Swedish and Finnish.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Clubs_and_Organizations", "url": "http://www.ntfa.net/"} +{"d:Title": "Auto Assembly", "d:Description": "Annual UK Transformers collectors convention.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Conventions", "url": "http://www.autoassembly.org.uk/"} +{"d:Title": "Cybercon Expo", "d:Description": "Annual 1-day collector's fair and convention for U.S. East Coast Transformers fans. 2003 event takes place November 1st, 2003 in Mr. Laurel, NJ.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Conventions", "url": "http://www.cyberconexpo.com/"} +{"d:Title": "Ozformers", "d:Description": "Australia Transformers convention in development. Mini-event to take place at Animania 2003 in Sydney, Australia on October 11th.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Conventions", "url": "http://sonconvoy.tripod.com/animania.html"} +{"d:Title": "BotCon Online", "d:Description": "Annual convention dedicated to The Transformers by Hasbro, Inc.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Conventions/BotCon", "url": "http://botcon.com/"} +{"d:Title": "Autobots Transform and Roll out", "d:Description": "Sound room (WAV format), image gallery (including one of Optimus in CGI), downloadable TF games and links. [Best viewed with Internet Explorer]", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://members.tripod.com/CHANHTstarjipSky201/MainTransformerspage.html"} +{"d:Title": "Transformer World 2005", "d:Description": "Graphics-intensive multimedia and news information resource for all Transformers series, toys, and related merchandise. Includes music, photos, reviews, videos, podcasts, fan art and fiction, discussion and sale/trade forums, and character/toy profiles. Also home to Radicons: a resource for TF custom toys and figures.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.tfw2005.com/"} +{"d:Title": "Seibertron.com", "d:Description": "Transformers news and information, screen captures galore, message board, episode downloads, toy/cartoon/comic reviews, caption contests, eBay listings, fan works, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.seibertron.com/"} +{"d:Title": "Kiro's Secure Decepticon Kreations Workshop", "d:Description": "Personal page with technical specifications and recommended links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.angelfire.com/sd/Kiro/index.html"} +{"d:Title": "Lukis Bros Transformers Collector Site", "d:Description": "Collector site with pictures and reviews of all generations. Also with details on where to purchase merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.unicron.com/"} +{"d:Title": "The Allspark", "d:Description": "Community site (established 1999) covering all aspects of the hobby offers forum, news, chat, articles, interviews, toy reviews, and articles.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.allspark.com/"} +{"d:Title": "The Transformers.Net", "d:Description": "United Kingdom-based TF fansite with news, reviews, media, and release information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.thetransformers.net/"} +{"d:Title": "Greg Gaub's Transformers Page", "d:Description": "A fan site with the TF Fan Code Generator, the Fan Spec Database, TF greeting cards, an e-mail discussion list, fan art galleries, an interactive story, RPG game, Tech Spec creator, toy collection photograph gallery, jokes and a VRML TF world.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.ggaub.com/tf/"} +{"d:Title": "Tformers.com", "d:Description": "Transformers news and information, figure reviews, show details, and newsletters.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://tformers.com/"} +{"d:Title": "Rob's Pile of Transformers", "d:Description": "Original fan fiction, quotes from the cartoons and comics, shrines to Nightbeat and Bludgeon, sound files of background music from the cartoons, humor, essential TF links, reviews and commentary.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://members.tripod.com/repowers/"} +{"d:Title": "Wikia.com - Transformers Wiki", "d:Description": "User-contributed database of TF information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://transformers.wikia.com/wiki/Main_Page"} +{"d:Title": "Transformers @ The Moon", "d:Description": "UK-based site dedicated to the Transformers, featuring over 1000 pictures of TF toys and collectibles as well as scans of the UK comics series. Also includes fan art and fiction, audio and video downloads, and recommended links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://www.transformertoys.co.uk/"} +{"d:Title": "TFWiki.net - Transformers Wiki", "d:Description": "Vast encyclopedic resource of fan-contributed and maintained data covering the entirety of all that is Transformers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://tfwiki.net/wiki/Main_Page"} +{"d:Title": "Wikipedia - Transformers", "d:Description": "User-contributed encyclopedia entry.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Pages", "url": "http://en.wikipedia.org/wiki/Transformers"} +{"d:Title": "Transformers: Generation Lost", "d:Description": "Fan Site detailing an in-development fan comic featuring the Transformers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works", "url": "http://ashe5k.tripod.com/"} +{"d:Title": "Altered States Magazine", "d:Description": "Fan-published e-zine with Transformers toy and show reviews, feature stories, editorials, information and contests.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works", "url": "http://asmzine.com/"} +{"d:Title": "Transformers Underground (TF UG)", "d:Description": "Fan fiction archives and links. [Not Netscape compatible]", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction", "url": "http://hotrod2316.tripod.com/Transformers_Addendum.html"} +{"d:Title": "More Than Meets The Eye: A History of Cybertron", "d:Description": "By Benjamin D. Hutchins. [eyrie.net] \"An Advanced Cyberlife Studies Post-graduate Qualifying Project.\"", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction", "url": "http://www.eyrie.net/UF/FI/morethan.txt"} +{"d:Title": "Cybertron Dreams", "d:Description": "By Benjamin D. Hutchins. [eyrie.net]", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction", "url": "http://www.eyrie.net/UF/FI/cybertron.txt"} +{"d:Title": "Primacron's Transformers Page", "d:Description": "Fan fiction from different authors, as well as information on the character of Primacron.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction", "url": "http://www.claws-and-paws.com/tf/"} +{"d:Title": "The Black Sword", "d:Description": "Song parodies and fan fiction written and performed by T\u00farin. Includes lyrics and downloadable MP3s.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction/Humor", "url": "http://knoledge.org/mormegil/"} +{"d:Title": "Transformers: World's Worst", "d:Description": "News, madlibs, fan fiction, daily screen grabs, links and other features like \"Ask a Transformer\" and \"Miscellaneous Crap\".", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction/Humor", "url": "http://www.worlds-worst.com/worldsworst/index.php"} +{"d:Title": "Trans-Gressions", "d:Description": "Web comic spoof of Transformers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction/Humor", "url": "http://www.thundershot.net/trans/"} +{"d:Title": "Zapbots", "d:Description": "Animated spoof combining Transformers, South Park, and Phil Collins.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction/Humor", "url": "http://www.zapbots.com/"} +{"d:Title": "Me Love Grimlock - Superdeformed Dinobots Homepage", "d:Description": "Transformers-themed Macromedia Flash web cartoons and animation.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Fan_Works/Fan_Fiction/Humor", "url": "http://www.melovegrimlock.com/"} +{"d:Title": "Transformers: Quantum Siege", "d:Description": "Fan project developing a Transformers sidescrolling game for Windows.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Games", "url": "http://tfquantumsiege.iwarp.com/"} +{"d:Title": "Transformers Altered Horizons MUSH", "d:Description": "Focuses on consensual role play. Theme is set to the US comics after issue 25.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Games/MUSH", "url": "http://alteredhorizons.50megs.com/crossroads.html"} +{"d:Title": "The Transformers: Ghost in the Machine", "d:Description": "Detailed episode synopsis and character cast list. Also includes a collection of screen captures.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Generation_1_and_2", "url": "http://www.firsttvdrama.com/show1/largcast/trans1.php3"} +{"d:Title": "The Transformers: Call of the Primitives", "d:Description": "Detailed episode synopsis and character cast list. Also includes a collection of screen captures.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Generation_1_and_2", "url": "http://www.firsttvdrama.com/show1/largcast/trans2.php3"} +{"d:Title": "The Quotable Optimus Prime", "d:Description": "An index of quotes, pictures and audio files of Optimus Prime.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Generation_1_and_2", "url": "http://quotableoptimusprime.blogspot.com/"} +{"d:Title": "Optimus Prime - Wikipedia", "d:Description": "Biography, appearances in films, comics, and books, with details of toys, and other merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Generation_1_and_2/Characters/Autobots/Optimus_Prime", "url": "http://en.wikipedia.org/wiki/Optimus_Prime"} +{"d:Title": "The Devastator Homepage", "d:Description": "\"The Constructicon Resource Page.\" Pictures, profiles, multimedia and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Generation_1_and_2/Characters/Decepticons/Gestalts", "url": "http://members.tripod.com/~TheDevastator/index.html"} +{"d:Title": "Comic Book Universe Battles", "d:Description": "Unicron vs. Galactus, with play-by-play commentary and fan discussion.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Generation_1_and_2/Characters/Unicron", "url": "http://www.electricferret.com/fights/pieinthesky.htm"} +{"d:Title": "Takara Tomy Japan: Transformers", "d:Description": "Official site. Primarily Japanese text but offers English content too. Graphics and media-intensive with streaming video, image galleries, product info, news, and desktop downloads.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Japanese_Series", "url": "http://www.takaratomy.co.jp/products/TF/index.html"} +{"d:Title": "RIDForever.info", "d:Description": "Fansite and information resource for the Transformers Robots In Disguise/Car Robots TV series and toys.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Japanese_Series/Robots_in_Disguise", "url": "http://www.ridforever.info/"} +{"d:Title": "TFW2005 - Transformers News", "d:Description": "Expansive fan resource for daily Transformers news, with a heavy focus on new and upcoming product releases, the \"War for Cybertron\" video game, the highly-anticipated \"Transformers: Prime\" series, and the live-action feature films.", "priority": "1", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/News_and_Media", "url": "http://www.tfw2005.com/transformers-news/"} +{"d:Title": "TFormers.com", "d:Description": "Daily fan guide for current news covering all aspects of Transformers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/News_and_Media", "url": "http://tformers.com/search.php?min=15"} +{"d:Title": "Transformers Collectors' Club", "d:Description": "Official Hasbro site featuring news, exclusive club toys, official TCC Magazine, Botcon info, and online store.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/News_and_Media", "url": "http://www.transformersclub.com/"} +{"d:Title": "Unicron.com Transformers News", "d:Description": "Fan resource updated daily with TF news, reviews, events coverage, and toy sightings.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/News_and_Media", "url": "http://www.unicron.com/news/"} +{"d:Title": "TFArchive", "d:Description": "Transformers news, rumors, information, and discussion.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/News_and_Media", "url": "http://tfarchive.com/community/forumdisplay.php?forumid=15"} +{"d:Title": "Cybertron.CA - Transformers News and Rumors", "d:Description": "Canadian-specific TF news and info.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/News_and_Media", "url": "http://www.cybertron.ca/boards/forumdisplay.php?f=3"} +{"d:Title": "Seibertron.com - Transformers News", "d:Description": "Daily updated fan resource covering all aspects of Transformers media and merchandise.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/News_and_Media", "url": "http://www.seibertron.com/transformers/news/"} +{"d:Title": "Botch's Transformers Box Art Archive", "d:Description": "Complete archive of Transformer box art scans from 1984-1990.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://botchthecrab.com/archive/"} +{"d:Title": "Autobots VS Decepticons", "d:Description": "Fan subtitled video distribution and trading on VHS for the Japanese Transformers Series: Headmaster, Masterforce, and Victory.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://www.angelfire.com/movies/autofans/1.htm"} +{"d:Title": "Wheeljack's Ghost", "d:Description": "Transformers toy collector offering restoration page, C-Rating scale, wanted list and trades, collection photos, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://pokey1217.tripod.com/wheeljacksghost/"} +{"d:Title": "Planet Sabretron", "d:Description": "Coverage of all Transformers. Toy reviews from all TF series, especially Beast Wars, Beast Machines, and later Japanese series. Also includes message board, collection photos, and dedicated sections for BW, BM, and Car Robots series.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://sonconvoy.tripod.com/"} +{"d:Title": "Soundwaves Oblivion: The Complete Toy Archive", "d:Description": "Complete Archive of the figures past and present including high quality toy pictures, reviews, technical specifications, cartoon images, and box art.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://megatronofcybertron.homestead.com/"} +{"d:Title": "Super Toy Archive: Transformers", "d:Description": "Information and pictures of rare and unique toys from several lines: G1, G2, Beast Wars, overseas exclusives and bootlegs. Also comic and cartoon production artwork.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://www.toyarchive.com/Transformers/Transformers2.html"} +{"d:Title": "The Transformer Kitbasher", "d:Description": "Transformers alterations and creations including a completely fabricated Fortress Maximus.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://www.angelfire.com/scifi/tfkitbasher/"} +{"d:Title": "Lucky Draw Transformers", "d:Description": "Weblog detailing the rare Japanese exclusive Transformers toys. Features galleries and background information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://www.luckydrawtransformers.com/"} +{"d:Title": "Lui's Transformers Page", "d:Description": "Complete scans of 80's Milton Bradley and Kellogg's trading cards and stickers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://members.shaw.ca/lui1966/TFCARDS1985.htm"} +{"d:Title": "TransformersClassics.Com", "d:Description": "Fan information resource exclusively devoted to Hasbro's Transformers Classics toy line.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://www.transformersclassics.com/"} +{"d:Title": "guys i painted", "d:Description": "Pictures of and details custom action figures focusing on Transformers customs.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles", "url": "http://sites.google.com/site/guysipainted/"} +{"d:Title": "Dave's Transformers Page", "d:Description": "Offers paragraph format instructions of how to transform toys.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles/Instruction_Manuals", "url": "http://www.eyrie.org/~dvandom/BW/"} +{"d:Title": "Ben's World of Transformers", "d:Description": "Offers a database of step-by-step toy instructions, categorized under individual toy lines.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles/Instruction_Manuals", "url": "http://bwtf.com/"} +{"d:Title": "Botch's Transformers Box Art Archive", "d:Description": "Scans of Transformers toy instruction booklets from 1984-1992.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Toys_and_Collectibles/Instruction_Manuals", "url": "http://botchthecrab.com/archive/instructions/"} +{"d:Title": "Transformers Animated.com", "d:Description": "Fan site with news, videos, show details, and wallpapers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_Animated", "url": "http://www.transformersanimated.com/"} +{"d:Title": "Wikipedia: Transformers: Animated", "d:Description": "User-contributed encyclopedia entry.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_Animated", "url": "http://en.wikipedia.org/wiki/Transformers:_Animated"} +{"d:Title": "Cartoon Network: Transformers Animated", "d:Description": "Official site with character bios and pictures, episode summaries, TV schedule, and interactive games.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_Animated", "url": "http://www.cartoonnetwork.com/tv_shows/transformersa/index.html"} +{"d:Title": "TFWiki.net: Transformers Animated (franchise)", "d:Description": "Fan-contributed and maintained encyclopedia entry covering the TFA toyline, animated series, comics, and books.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_Animated", "url": "http://tfwiki.net/wiki/Transformers_Animated_(franchise)"} +{"d:Title": "TV.com - Transformers Animated", "d:Description": "Episode guide, production credits, reviews, quotes, and trivia.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_Animated", "url": "http://www.tv.com/shows/transformers-animated/"} +{"d:Title": "TFW2005.com", "d:Description": "Transformers Animated discussion.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_Animated/Chats_and_Forums", "url": "http://www.tfw2005.com/boards/forumdisplay.php?f=390"} +{"d:Title": "Optimal Autobot Headquarters", "d:Description": "Pictures and reviews.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_The_Movie_-_1986", "url": "http://optimalautobot.tripod.com/"} +{"d:Title": "Hasbro Enters into Agreement with Movie Producers Tom DeSanto and Don Murphy for Full Length, Live Action Transformers Movie", "d:Description": "Press release.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_The_Movie_-_1986", "url": "http://www.corporate-ir.net/ireye/ir_site.zhtml?ticker=has&script=411&layout=-6&item_id=421174"} +{"d:Title": "imdb.com - Transformers: The Movie", "d:Description": "Complete cast and crew list, summary, reviews, quotations, merchandise links and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_The_Movie_-_1986", "url": "http://www.imdb.com/title/tt0092106/"} +{"d:Title": "Movie Criticism for the Retarded - Transformers: The Movie", "d:Description": "Review by Noel Wood. Includes movie images.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Transformers_The_Movie_-_1986/Reviews", "url": "http://www.fortheretarded.com/transformers.html"} +{"d:Title": "The CrossRoads Ring", "d:Description": "For sites related to Transformers, anime and Star Wars art and fan fiction. Member links, ring statistics, and instructions on joining.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub?ring=crossroadsattheo"} +{"d:Title": "Shockwave's TF Webring", "d:Description": "Member links, ring statistics, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub/tf1"} +{"d:Title": "Decepticon Web Ring", "d:Description": "List of member sites with links, joining information, and ring statistics.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub/decepticon"} +{"d:Title": "Rodimus Prime's Web Ring", "d:Description": "Member sites are related to the character Rodimus Prime. Member link, joining instrucitons, and founder information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub/rodimus"} +{"d:Title": "TransFans Webring", "d:Description": "Requires that listed sites have some related content, not just links. Membership information and instructions as well as links to member sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub/transfans"} +{"d:Title": "Original Transformers WebRing", "d:Description": "Members include toy and collectible pages as well as informational sites. Member statistics, joining instructions, and founder information.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub/transformers"} +{"d:Title": "Bring Back The Transformers Foundation Ring", "d:Description": "Dedicated to bringing the show back on the air.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub/bbtff"} +{"d:Title": "Prime's TF Webring", "d:Description": "For all Transformer fans, including Beast Wars, Machine Wars, and the original Transformers.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Transformers/Web_Rings", "url": "http://www.webring.org/hub/norman"} +{"d:Title": "The Unofficial Trapdoor Page", "d:Description": "Character profiles, quotes, sound clips, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Trap_Door", "url": "http://www.angelfire.com/sc/cheekychilla2/"} +{"d:Title": "Madhouse Beyond - The Trap Door", "d:Description": "Summary of the series.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Trap_Door", "url": "http://www.madhousebeyond.com/?mode=docview&view=trapdoor"} +{"d:Title": "CRASH 33 - Trap Door", "d:Description": "Review of the game, from CRASH magazine, with screenshot.", "topic": "Top/Arts/Animation/Cartoons/Titles/T/Trap_Door/Games", "url": "http://www.crashonline.org.uk/33/trapdoor.htm"} +{"d:Title": "Victor and Hugo - Bunglers in Crime", "d:Description": "Includes a complete episode guide, images, sound clips, and cast information.", "topic": "Top/Arts/Animation/Cartoons/Titles/V/Victor_and_Hugo", "url": "http://www.nyanko.pwp.blueyonder.co.uk/chamb/victorandhugo.html"} +{"d:Title": "Animaniacs Mega Lyrics File", "d:Description": "Transcription of movie trailer lyrics.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wakko's_Wish", "url": "http://members.cruzio.com/~keeper/9A.html#A"} +{"d:Title": "Amazon.com - Wakko's Wish", "d:Description": "Clamshell VHS edition with format details, consumer reviews and ordering information.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wakko's_Wish", "url": "http://www.amazon.com/exec/obidos/ASIN/B00000K4ZI/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, reviews and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wakko's_Wish", "url": "http://www.imdb.com/title/tt0164226/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, video information and other details.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wakko's_Wish/Voice_Cast", "url": "http://www.imdb.com/title/tt0164226/fullcredits"} +{"d:Title": "IMDb: Wallace&Gromit: The Wrong Trousers (1993)", "d:Description": "Cast list and user comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wallace_and_Gromit", "url": "http://www.imdb.com/title/tt0108598/"} +{"d:Title": "IMDb: Wallace&Gromit: A Close Shave (1995)", "d:Description": "Cast list and user comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wallace_and_Gromit", "url": "http://www.imdb.com/title/tt0112691/"} +{"d:Title": "IMDb: Wallace&Gromit: A Grand Day Out (1991)", "d:Description": "Cast list and user comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wallace_and_Gromit", "url": "http://www.imdb.com/title/tt0104361/"} +{"d:Title": "The Wallace and Gromit Web Ring", "d:Description": "Member site list, HTML codes, and joining instructions.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wallace_and_Gromit", "url": "http://www.webring.org/hub?ring=wgring"} +{"d:Title": "Thank U Stars", "d:Description": "Pictures, background information, reviews and an episode guide for the Watership Down TV series.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Watership_Down", "url": "http://www.thankustars.co.uk/"} +{"d:Title": "IMDb -- Watership Down", "d:Description": "Cast, crew, and other details about the cartoon series.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Watership_Down", "url": "http://www.imdb.com/title/tt0211882/combined"} +{"d:Title": "IMDb: Wildfire", "d:Description": "Cast, credits, and airing information for the Hanna-Barbera series.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wildfire", "url": "http://www.imdb.com/title/tt0203275/combined"} +{"d:Title": "Winnie The Pooh Links List", "d:Description": "Includes a list of links to games, merchandise, historical information, and general Pooh sites.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh", "url": "http://www.angelfire.com/bc/WINNIE/"} +{"d:Title": "Disney 1: The New Adventures of Winnie the Pooh", "d:Description": "Show listings, games, and character information.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh", "url": "http://disney.go.com/disneytelevision/disney1/index2.html?characters/pooh/pooh,back=main&submenu=shows"} +{"d:Title": "My Eeyore Fan Page", "d:Description": "Creator information, images, a chat forum, and related links.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Characters/Eeyore", "url": "http://www.myeeyorefanpage.freeservers.com/"} +{"d:Title": "Eeyore's Thistly Hideaway", "d:Description": "Pictures, sounds, themes, character biography, quotes, coloring pages, and a FAQ section.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Characters/Eeyore", "url": "http://www.eeyoreshideaway.com/"} +{"d:Title": "Tigger and Company", "d:Description": "Games, quizzes, jigsaws, maps to the real 100 Acre Wood, and photos of Pooh Bridge.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Characters/Tigger", "url": "http://members.tripod.com/OneHundredAcreWood/"} +{"d:Title": "Tigger Rules", "d:Description": "Song lyrics, movie information, images, character biography and quotes.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Characters/Tigger", "url": "http://emeri0.tripod.com/tigger"} +{"d:Title": "Tiggercam", "d:Description": "Tigger's live webcam and pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Characters/Tigger", "url": "http://www.tiggercam.net/"} +{"d:Title": "Winnie the Pooh Downloads", "d:Description": "Screensavers, themes, wallpapers, email stationery, games, movies, animations, winamp and ICQ skins, and a coloring book.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Downloads", "url": "http://www.fredscorner.nl/winnie_the_pooh.html"} +{"d:Title": "Alana's Winnie the Pooh and Tigger Too", "d:Description": "Contains Pooh pictures, wallpaper, themes, screensavers, coloring pages, games, and backgrounds.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://www.angelfire.com/mt/PoohBear/"} +{"d:Title": "Amy's Pooh Page", "d:Description": "Song lyrics, images, and sound clips as well as coloring pages, and character sections.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://allofpooh.www.50megs.com/pooh.html"} +{"d:Title": "Judith's Winnie the Pooh page", "d:Description": "Includes pictures, sounds, stories, games, and recipes.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://www.poohfriends.com/"} +{"d:Title": "Just Pooh", "d:Description": "History of Pooh and Disney Studios, news, stories, a character guide, e-cards, games, and quizzes.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://www.just-pooh.com/disney.html"} +{"d:Title": "Pooh and Friends", "d:Description": "Classic and Disney images of all the characters as well as games, related links, and postcards.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://www.angelfire.com/la/CelticRain/Pooh.html"} +{"d:Title": "Pooh Corner", "d:Description": "Pictures and animations, poetry, screensavers, facts, lyrics, coloring sheets, themes, wavs, and a characters personality section.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://lair2000.net/Pooh_Corner/Pooh_Corner.html"} +{"d:Title": "Sabina's Pooh Page", "d:Description": "Image gallery, stories, printables, and a character guide.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://webhome.idirect.com/~jrchoi"} +{"d:Title": "Tigger and Friends", "d:Description": "Character descriptions, pictures, animations, and backgrounds of Pooh, Tigger, Eeyore, and Roo.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://nicsygirl.tripod.com/pooh.html"} +{"d:Title": "The Tigger and Pooh Website", "d:Description": "Includes pictures, quotes, several polls, as well as Pooh web ring information.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://www.angelfire.com/yt2/tiggernadpooh/index.html"} +{"d:Title": "MagicPooh", "d:Description": "A collection of desktop themes, wallpapers, colouring pages and song lyrics.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://www.magicpooh.com/"} +{"d:Title": "Pooh' Corner", "d:Description": "Children's corner, reading room, poems, quotes, and holiday pages.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://www.poohscorner.us/"} +{"d:Title": "The Hundred Acre Woods", "d:Description": "Includes character history, pictures, sounds, and song lyrics.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Fan_Pages", "url": "http://members.iinet.net.au/~fitzac/home.htm"} +{"d:Title": "HeatherBear's Wonderful Land Of Pooh", "d:Description": "Selection of classic and Disney version pictures.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Image_Galleries", "url": "http://www.angelfire.com/me/Heatherbear/index.html"} +{"d:Title": "Pooh Pictures", "d:Description": "Includes original drawings and photos of Disney Pooh and Tigger beanies.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Image_Galleries", "url": "http://www.hundred-akre-wood.fsnet.co.uk/"} +{"d:Title": "Winnie the Pooh&Friends", "d:Description": "HTML instructions, site list, and membership information.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Web_Rings", "url": "http://www.webring.org/hub?ring=fopring&id=323&hub"} +{"d:Title": "Eeyor's Circle of Friends", "d:Description": "Site list, joining instructions, and HTML code.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Web_Rings", "url": "http://www.webring.org/hub?ring=eeyore"} +{"d:Title": "Tigger&Pooh Ring", "d:Description": "Joining instructions, owner background information, HTML code, and a site list.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winnie_the_Pooh/Web_Rings", "url": "http://www.webring.org/hub?ring=tiggpooh"} +{"d:Title": "Wikipedia: Winx Club", "d:Description": "Encyclopedic article; character list, North American version voice actors and modifications from the original version.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://en.wikipedia.org/wiki/Winx_Club"} +{"d:Title": "Don\u2019t Think! It\u2019s Winx Club!", "d:Description": "Review relating to the social messages and stories within the cartoon animated series.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://doanimation.wordpress.com/2007/05/07/dont-think-its-winx-club/"} +{"d:Title": "The Unofficial Winx Club Site", "d:Description": "Biographies on the fairies, various supporting characters, students, the Specialists, and the Trix; episode analysis, images and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://www.angelfire.com/la3/goldenroad15/"} +{"d:Title": "IMDb: Winx Club (2004)", "d:Description": "Cast and crew, trivia, quotes, user comments and ratings; episode list and airing times.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://www.imdb.com/title/tt0421482/"} +{"d:Title": "Michael's Winx Club", "d:Description": "World events with news and archives; episode guide with screenshots; collectors items; humor, trivia, activities, music, lyrics, image gallery and fan works; links to related content and games.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://www.michaelsfavorites2.com/winxclub3/"} +{"d:Title": "deviantART: Winx Fans", "d:Description": "Fan club, community and gallery of art and artists; links to fan pages.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://winx-fans.deviantart.com/"} +{"d:Title": "Winx-Fairies", "d:Description": "News updates, fan works, character profiles, movie information, episode lists and video clips.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://winx-fairies.blogspot.com/"} +{"d:Title": "Believe In Winx", "d:Description": "Blog on the cartoon series, movies, Pop Pixie and related information.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://believeinwinx.blogspot.com/"} +{"d:Title": "Winx Club", "d:Description": "Official site with games, polls, horoscopes, view video clips and download or send cards; sections may require registration; content by Rainbow S.p.A.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://online.winxclub.com/centro-web/"} +{"d:Title": "Rainbow S.p.A.: Winx Club", "d:Description": "Cartoon video and written synopsis from the Italian production company.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://www.rbw.it/en/properties/winx-club"} +{"d:Title": "TV.com: Winx Club", "d:Description": "Forum, user reviews and ratings, series and episode trivia, theme song lyrics and a voice cast list for the North American version.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://www.tv.com/shows/winx-club/"} +{"d:Title": "Nickelodeon: Winx Club", "d:Description": "Games, pictures, and forums.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club", "url": "http://www.nick.com/shows/winx-club/"} +{"d:Title": "Winx Fairies Spread Movie Wings", "d:Description": "Article about the upcoming release of the film.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club/Winx_Club_-_The_Secret_of_The_Lost_Kingdom", "url": "http://www.animationmagazine.net/article/6811"} +{"d:Title": "Winxclub Movie Trailer ( Secret of The Lost Kingdom )", "d:Description": "English version, video from 2007.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club/Winx_Club_-_The_Secret_of_The_Lost_Kingdom", "url": "http://www.youtube.com/watch?v=FgzfQdROjNQ"} +{"d:Title": "Wikipedia: Winx Club: The Secret of the Lost Kingdom", "d:Description": "Encyclopedic article on the film, plot, voice actors and international releases.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Winx_Club/Winx_Club_-_The_Secret_of_The_Lost_Kingdom", "url": "http://en.wikipedia.org/wiki/Winx_Club:_The_Secret_of_the_Lost_Kingdom"} +{"d:Title": "IMDB: \"Wish Kid\" (1991)", "d:Description": "Cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wish_Kid", "url": "http://imdb.com/title/tt0101237/"} +{"d:Title": "CRpuzzles Word Mine: Woody Woodpecker", "d:Description": "Free themed puzzles available daily: crosswords, word search, picture scrambles, logic problems, cryptograms, and kriss kross.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Woody_Woodpecker", "url": "http://crpuzzles.com/wmine/wmine0158.html"} +{"d:Title": "The New Unofficial Wuzzles' Fan Page", "d:Description": "A message board, character guide, petition, image gallery, sound files, coloring pages, and fandom section.", "topic": "Top/Arts/Animation/Cartoons/Titles/W/Wuzzles", "url": "http://members.tripod.com/matthewledgerwood/"} +{"d:Title": "Nabou.com: The X-Men: The Animated Series", "d:Description": "Complete episode list with summaries and voice cast.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men", "url": "http://www.nabou.com/x-men/x-men_animated_series/index.html"} +{"d:Title": "Wikipedia: X-Men (animated series)", "d:Description": "You write the pages, as much or as little as you like, describing your favorite of all the episodes of X-Men: the Animated Series.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men", "url": "http://www.wikipedia.org/wiki/X-Men_(animated_series)"} +{"d:Title": "IMDb: X-Men", "d:Description": "Cast and crew list, reviews, and production information for the animated series.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men", "url": "http://www.imdb.com/title/tt0103584/"} +{"d:Title": "X-Men Evolution Ruminations", "d:Description": "Character guides for Evolution's X-Men and the Brotherhood, episode guide and reviews, a gallery of screen shots, and interactive fun such as polls, awards, and a role-playing game.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution", "url": "http://www.stefaniecat.8m.com/evolution/"} +{"d:Title": "X-MEN: Evolution Fan Institute", "d:Description": "Although the webmaster has announced this site is not being updated for the time being, its large content of screen shots, character clipart, fan art, and episode and cast information is still available.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution", "url": "http://www.angelfire.com/tv2/faninstitute/xmenhome.html"} +{"d:Title": "Beyond Evolution - X-Men: Evolution", "d:Description": "Offers character information, episode summaries, picture galleries, news, reviews, previews, a message forum, polls, downloads, and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution", "url": "http://x-men.toonzone.net/"} +{"d:Title": "Kataclysm's X-Men: Evolution Page", "d:Description": "This site features screenshots, video clips, avatars, wallpapers, trivia, character information, forum and other X-Men: Evolution related information.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution", "url": "http://evolution.eracerx.com/"} +{"d:Title": "IMDb: X-Men: Evolution", "d:Description": "This Internet Movie Database section on the X-Men: Evolution series features interactive information on cast, crew, and production information, as well as user critiques and message boards.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution", "url": "http://www.imdb.com/title/tt0247827/"} +{"d:Title": "X-Men's Cyclops Talks", "d:Description": "By Rob Allstetter. [ComicsContinuum] Interview with Kirby Morrow, the voice of Cyclops in \"X-Men: Evolution\".", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Cast_and_Crew", "url": "http://www.comicscontinuum.com/stories/0008/28/"} +{"d:Title": "Kirby Morrow", "d:Description": "IMDb page on the voice actor for Evolution's Cyclops. Includes a picture of the actor, a filmography, list of other TV appearances and a message board.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0607516/"} +{"d:Title": "Meghan Black", "d:Description": "Guide to Meghan Black, Evolution's voice actor for Rogue. See a picture of the actress, a biography, roles and appearances, news and links.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Cast_and_Crew", "url": "http://www.tv.com/people/meghan-black/"} +{"d:Title": "Misled Outcasts", "d:Description": "This unusually designed site focuses on Rogue and Nightcrawler and offers character information, an episode guide, fan art, fan fiction, links, and interactive fun.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Characters", "url": "http://www.misledoutcasts.co.uk/"} +{"d:Title": "A Demon's Eyes", "d:Description": "Character profile and images of the X-Men:Evolution version of Nightcrawler.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Characters/Nightcrawler", "url": "http://www.angelfire.com/rpg/chrestapyral/kurtindex.html"} +{"d:Title": "Code Name: Rogue", "d:Description": "Learn about Rogue, download sights and sounds from the show, and enjoy plenty of interactive, Rogue-based fun.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Characters/Rogue", "url": "http://www.angelfire.com/ab6/amandasailormoon/X-Men/Index.html"} +{"d:Title": "GothTopic: X-Men Evolution's Rogue", "d:Description": "Join this Yahoo Group to discuss Rogue, Meghan Black, and the X-Men:Evolution show in general. The group also allows posting of fan art and fan fiction.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Characters/Rogue", "url": "http://groups.yahoo.com/group/GothTopic/"} +{"d:Title": "Cryptic Darkness", "d:Description": "The site offers a great deal of Rogue information and insight, character quotes, an episode guide, screen shot gallery, and information on Meghan Black, the actress who voices Rogue for the series.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Characters/Rogue", "url": "http://www.eracerx.com/crypticdarkness/"} +{"d:Title": "X-Men_E RPG", "d:Description": "Characters of X-Men Evolution cartoon as well as those the players have created themselves are all welcome.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Games", "url": "http://www.angelfire.com/ga3/MewSaMew/RPG.html"} +{"d:Title": "Another Evolution", "d:Description": "This X-men RPG functions on a message-board base.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Games", "url": "http://evolutionary.proboards.com/"} +{"d:Title": "X-Men Evolution RPG", "d:Description": "Message board based role-playing game centered around the city of Bayville, with Bayville High School, the Brotherhood, and some miscellaneous options included.", "topic": "Top/Arts/Animation/Cartoons/Titles/X/X-Men/X-Men_-_Evolution/Games", "url": "http://xmerpg.proboards.com/"} +{"d:Title": "Talespin Webring", "d:Description": "Dedicated to the Disney animated series.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub?ring=talespin"} +{"d:Title": "Dungeons and Dragons Cartoon Webring", "d:Description": "Dungeons and Dragons cartoon fan sites.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub?ring=otterden"} +{"d:Title": "Galaxy Rangers Webring", "d:Description": "Devoted to the Adventures of the Galaxy Rangers cartoon series (1986).", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub?ring=galranger"} +{"d:Title": "The Power Puff Girls Webring", "d:Description": "A large webring, all about our favorite little superheroes.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub?ring=powerpuffring"} +{"d:Title": "Circle of Popples", "d:Description": "Webring exclusively for web sites with Popples. Sites are dedicated to Popples, selling Popples items, or with a Popples section.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub?ring=popplesring"} +{"d:Title": "Cartoons of the Eighties", "d:Description": "This Ring is for all sites dealing with cartoons that aired in the eighties. 200+ sites.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub?ring=80scartoons"} +{"d:Title": "Care Bear Kingdom", "d:Description": "A large ring of Care-a-lot fan sites.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub?ring=carebearkingdom"} +{"d:Title": "WebRing: Sonic the Hedgehog", "d:Description": "Listing of sites about the cartoon and video game.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub/sonic"} +{"d:Title": "WebRing: Tails Ring of Power", "d:Description": "Dedicated to Sonic The Hedgehog sites.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub/tails"} +{"d:Title": "Snoopy and the Peanuts Gang Webring", "d:Description": "Listing of sites about Charles Schulz's creations.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub/snoopy2"} +{"d:Title": "WebRing: Comics and Cartoons", "d:Description": "Dedicated to comic books, comic strips and the art of the cartoonist.", "topic": "Top/Arts/Animation/Cartoons/Web_Rings", "url": "http://www.webring.org/hub/comics"} +{"d:Title": "Animation Nation", "d:Description": "In-depth essays on the animation industry. Also includes message board and archives.", "topic": "Top/Arts/Animation/Chats_and_Forums", "url": "http://www.animationnation.com/"} +{"d:Title": "Animation Journal E-Group", "d:Description": "Free e-mail list \"for individuals who are conducting scholarly research in the areas of animation history and theory\".", "topic": "Top/Arts/Animation/Chats_and_Forums", "url": "http://groups.yahoo.com/group/animationjournal/"} +{"d:Title": "The World of Vicki Fox", "d:Description": "Animation Art Collection", "topic": "Top/Arts/Animation/Collectibles/Animation_Art", "url": "http://www.vickifox.com/"} +{"d:Title": "Dave Lennert's Animation Art Gallery", "d:Description": "Personal animation art collection focusing on Disney heroines.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art", "url": "http://www.mindflare.com/celgallery/"} +{"d:Title": "A Cartoon Obsession", "d:Description": "A fan's love of cartoons, expressed as a small, select collection of animation cels", "topic": "Top/Arts/Animation/Collectibles/Animation_Art", "url": "http://www.disordered.org/Cartoon.html"} +{"d:Title": "Debbie Weiss' Animation Art Collection", "d:Description": "Animation art cels and drawings collection. Original production pieces, mostly rare vintage Disney and Simpsons.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art", "url": "http://www.animationartcollection.com/"} +{"d:Title": "James and Alan Rice's Animation Art Collection", "d:Description": "Online gallery of an extensive private collection. Includes links to other animation art sites.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art", "url": "http://www.chronologictiming.com/animpage.html"} +{"d:Title": "Charlie Jensen's Animation Art Collection", "d:Description": "Collection of animation cels and pre-production art from a variety of studios.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art", "url": "http://www.chazj.com/anim.htm"} +{"d:Title": "Wonderful World Of Animation Art Gallery", "d:Description": "Original animation cels from Disney, Warner Bros, Peanuts, and Simpsons. Large selection of vintage art from the 1930s and 1940s from a Culver City, California gallery.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.animationartgallery.com/"} +{"d:Title": "Vintage Ink&Paint", "d:Description": "Restoration, authentication, appraisal, and sales of animation art, including many samples of Disney animation art.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.vintageip.com/"} +{"d:Title": "Cel-ebration! Animation Art Gallery", "d:Description": "Authorized dealer in Disney, Warner Bros, Hanna-Barbera cels, cartoon drawings, sericels, and limited editions.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.cel-ebration.com/"} +{"d:Title": "Barker Animation Art Galleries", "d:Description": "Offering limited-edition pieces by Warner Brothers, Disney, Hanna Barbera, Chuck Jones and Charles Fazzino. Also carries autographed sports memorabilia and various other cartoon collectibles.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.barkeranimation.com/"} +{"d:Title": "Cuckoo Collectibles - Vintage Animation Art", "d:Description": "For more than twenty years selling only the finest vintage animation art. No limited editions, no recent cels, or any other non-collectible commercial animation art. Just straight vintage animation art.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.cuckoocomics.com/"} +{"d:Title": "Gremlin Animation Art Gallery", "d:Description": "Offering over 4,000 cartoon art production cels from Disney, Warner Bros., Anime, MGM, and Fleischer Studios, available for view in the online gallery.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.thegremlin.com/"} +{"d:Title": "Van Eaton Galleries", "d:Description": "Full service animation art gallery and resource center featuring production artwork, limited editions, sculpture, videos, books, reference, and cels and sericels form all major studios.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://vegalleries.com/"} +{"d:Title": "Animazing Gallery Animation Art", "d:Description": "Offering rare vintage artwork, and new, just-released cels and limited editions from every studio. Also offers restoration services and appraisals, and is interested in buying, taking consignments, and trading.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.animazing.com/"} +{"d:Title": "World Wide Art", "d:Description": "Specializing in Limited Editions by Today's Top Artists and Custom Framing.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.world-wide-art.com/"} +{"d:Title": "Sci-Fi Station", "d:Description": "Collectibles superstore offering Animation/Advertising art from \"Name That Toon\" and Star Wars lithographs.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.scifistation.com/"} +{"d:Title": "All Animation, LLC.", "d:Description": "Specializing in animation art and collectibles from Disney, Warner Brothers, King Features, Marvel Comics, Animated Animations, Chuck Jones, and other studios. Includes cels, lithos, posters, and original production art.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.allanimation.com/"} +{"d:Title": "Choice Collectibles", "d:Description": "Specializing in vintage and contemporary original animation art: cels, drawings, sericels, limited editions and serigraphs. Includes art from Disney, Warner, Hanna-Barbera, MGM, and Fox.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.cartoonart.com/"} +{"d:Title": "Animation Connection", "d:Description": "Original and limited edition animation art from Disney, Warner Bros., Hanna-Barbera and Fox. Studio-authorized art gallery based in Toronto, Ontario.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.animationconnection.com/"} +{"d:Title": "Fascination St. Gallery", "d:Description": "Thousands of animation art cels, sericels, production art, fine art, WDCC, figurines, and collectibles from Walt Disney, Warner Bros, Hanna-Barbera, The Simpsons, Peanuts, Cartoon Network, Tom Everhart, Peter Ellenshaw and others.", "topic": "Top/Arts/Animation/Collectibles/Animation_Art/Dealers", "url": "http://www.animationartwork.com/"} +{"d:Title": "Minimotion Competition", "d:Description": "Information and entry form for the annual 10 seconds animation competition. A jury will review the works and award the best animations with prizes.", "topic": "Top/Arts/Animation/Contests", "url": "http://www.minimotion.ch/"} +{"d:Title": "CGSociety - Challenges", "d:Description": "Quarterly online computer art competition based on set themes. Closed judging, multiple awards in different categories, software and hardware prizes.", "topic": "Top/Arts/Animation/Contests", "url": "http://features.cgsociety.org/challenge/"} +{"d:Title": "11 Second Club", "d:Description": "Monthly character animation competition using a set dialog from a movie. Public critique and voting.", "topic": "Top/Arts/Animation/Contests", "url": "http://www.11secondclub.com/"} +{"d:Title": "UltimateDisney.com", "d:Description": "Guide to Disney's animated movies and TV series on DVD with news, reviews, forum, release schedule and online store.", "topic": "Top/Arts/Animation/DVD", "url": "http://www.ultimatedisney.com/ultimateclassics.htm"} +{"d:Title": "Golden Age Cartoons", "d:Description": "News and reviews for classic and vintage animation releases on DVD and Blu-Ray.", "topic": "Top/Arts/Animation/DVD", "url": "http://www.goldenagecartoons.com/"} +{"d:Title": "Animation World Network: Home Entertainment", "d:Description": "Release info and industry news.", "topic": "Top/Arts/Animation/DVD", "url": "http://www.awn.com/departments/home-entertainment"} +{"d:Title": "Cartoon Brew", "d:Description": "News and commentary on upcoming and featured animated DVD and Blu-Ray releases.", "topic": "Top/Arts/Animation/DVD", "url": "http://www.cartoonbrew.com/dvd"} +{"d:Title": "Voice Actors in the News: VAs on DVD", "d:Description": "News, interviews and information on DVD releases with a voice actors focus.", "topic": "Top/Arts/Animation/DVD", "url": "http://voiceactors.wordpress.com/category/exclusive-blog-feature-articles-and-interviews/semi-regular-blog-features/vas-on-dvd/"} +{"d:Title": "The World's Finest", "d:Description": "Comprehensive coverage of DC Comics animated superhero releases on DVD and Blu-Ray.", "topic": "Top/Arts/Animation/DVD", "url": "http://www.worldsfinestonline.com/main.php"} +{"d:Title": "Mill Creek Entertainment", "d:Description": "Distributor of public domain and licensed animated properties on DVD.", "topic": "Top/Arts/Animation/DVD/Studios_and_Distributors", "url": "http://millcreekent.com/"} +{"d:Title": "Thunderbean Animation", "d:Description": "Producer and distributor of classic and rare golden age animation on DVD.", "topic": "Top/Arts/Animation/DVD/Studios_and_Distributors", "url": "http://www.thunderbeananimation.com/dvdsales.html"} +{"d:Title": "Ladislas Starewicz Home Page", "d:Description": "Creator of stop motion", "topic": "Top/Arts/Animation/Experimental/Animators", "url": "http://www.awn.com/heaven_and_hell/STARE/stare1.htm"} +{"d:Title": "Brothers Quay Home Page", "d:Description": "Stop motion iconoclasts, macabre", "topic": "Top/Arts/Animation/Experimental/Animators", "url": "http://www.awn.com/heaven_and_hell/QUAY/quay1.htm"} +{"d:Title": "Larry Cuba", "d:Description": "Biography, filmography and bibliography of this pioneer in the use of computers in animation art.", "topic": "Top/Arts/Animation/Experimental/Animators", "url": "http://www.well.com/user/cuba/"} +{"d:Title": "Babel Attitude", "d:Description": "Babel attitude explores social themes in online multi-media essays.", "topic": "Top/Arts/Animation/Experimental/Digital", "url": "http://babelat.free.fr/index.html"} +{"d:Title": "2334.org", "d:Description": "A design and animation studio that specializes in animations based on algorithms that generate drawings and movements.", "topic": "Top/Arts/Animation/Experimental/Digital", "url": "http://www.2334.org/"} +{"d:Title": "Stuttgart International Festival of Animated Film", "d:Description": "Program, jury details and awards, registration details, media, history, and sponsors.", "topic": "Top/Arts/Animation/Festivals", "url": "http://www.itfs.de/"} +{"d:Title": "Spike and Mike Online", "d:Description": "Spike and Mike's Classic Festival of Animation and Sick and Twisted Festival of Animation tour over 50 cities every year.", "topic": "Top/Arts/Animation/Festivals", "url": "http://www.spikeandmike.com/"} +{"d:Title": "Melbourne International Animation Festival (MIAF)", "d:Description": "A showcase of the best Australian and international animation. June 26-July 1, 2001", "topic": "Top/Arts/Animation/Festivals", "url": "http://www.miaf.net/"} +{"d:Title": "Art In Motion", "d:Description": "International festival on multimedia hosted by the Southern California School of Fine Arts. Features works in time-based media: film, video, digital video, animation, installations, web sites, CD-Rom and sound pieces.", "topic": "Top/Arts/Animation/Festivals", "url": "http://www.usc.edu/dept/matrix/aim/"} +{"d:Title": "Animation Magazine", "d:Description": "Features industry news, animation bookstore, industry directory, events calendar, back issues available for purchase, and featured animation-related websites.", "topic": "Top/Arts/Animation/Magazines_and_E-zines", "url": "http://www.animationmagazine.net/"} +{"d:Title": "Frames Per Second Magazine", "d:Description": "Print magazine turned weblog, includes reviews and podcast on all things animation.", "topic": "Top/Arts/Animation/Magazines_and_E-zines", "url": "http://www.fpsmagazine.com/"} +{"d:Title": "Stash", "d:Description": "Monthly DVD magazine of animation, VFX and motion graphics for advertising and broadcast.", "topic": "Top/Arts/Animation/Magazines_and_E-zines", "url": "http://www.stashmedia.tv/"} +{"d:Title": "Animation Journal", "d:Description": "Peer-reviewed scholarly journal devoted to animation history and theory edited by Maureen Furniss, of the School of Film and Television at Chapman University. Includes abstracts of the essays published, as well as full-text versions.", "topic": "Top/Arts/Animation/Magazines_and_E-zines", "url": "http://www.animationjournal.com/"} +{"d:Title": "FLIP", "d:Description": "Online magazine focusing on the craftsmanship and people of the animation industry. Published monthly by Steve Moore.", "topic": "Top/Arts/Animation/Magazines_and_E-zines", "url": "http://www.flipanimation.net/"} +{"d:Title": "American Memory - Origins of Animation", "d:Description": "Development of early American animation represented by collection of 21 animated films and 2 fragments, spanning the years 1900 - 1921.", "topic": "Top/Arts/Animation/Movies", "url": "http://memory.loc.gov/ammem/oahtml/oahome.html"} +{"d:Title": "Keyframe - The Animation Resource", "d:Description": "Features sections for animated shorts, series, films, holiday specials and web animation, as well as a voice actor index, studio information and news.", "topic": "Top/Arts/Animation/Movies", "url": "http://www.keyframeonline.com/"} +{"d:Title": "Jurassic Punk", "d:Description": "The original web collection of movie and animation clips and trailers.", "topic": "Top/Arts/Animation/Movies", "url": "http://www.jurassicpunk.com/anim.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of animated movies.", "topic": "Top/Arts/Animation/Movies", "url": "http://www.all-reviews.com/video-lists/Animation.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Searchable index of more than 10,000 animated TV series and movies.", "topic": "Top/Arts/Animation/Movies", "url": "http://www.imdb.com/genre/animation"} +{"d:Title": "IMDb", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/101_Dalmatians", "url": "http://www.imdb.com/title/tt0055254/"} +{"d:Title": "Roger Ebert - 101 Dalmatians", "d:Description": "Review of the film", "topic": "Top/Arts/Animation/Movies/Titles/101_Dalmatians", "url": "http://www.rogerebert.com/reviews/101-dalmatians-1991"} +{"d:Title": "HARO Online - Adam Sandler's 8 Crazy Nights", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Animation/Movies/Titles/8_Crazy_Nights", "url": "http://www.haro-online.com/movies/as_8_crazy_nights.html"} +{"d:Title": "All-Reviews.com - Adam Sandler's Eight Crazy Nights", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Animation/Movies/Titles/8_Crazy_Nights", "url": "http://www.all-reviews.com/videos-5/adam-sandlers-eight-crazy-nights.htm"} +{"d:Title": "IMDb: 8 Crazy Nights (2002)", "d:Description": "Cast, crew, and production details.", "topic": "Top/Arts/Animation/Movies/Titles/8_Crazy_Nights", "url": "http://imdb.com/title/tt0271263/"} +{"d:Title": "Mark Hamill Voices Lead Role in Feature-length 3D Film", "d:Description": "By Craig Crumpton. [toonzone.net] Film preview with news, images and quotes from Mark Hamill.", "topic": "Top/Arts/Animation/Movies/Titles/Aero-Troopers", "url": "http://forums.toonzone.net/showthread.php?t=47830"} +{"d:Title": "All-Reviews.com - Aladdin", "d:Description": "Review of the popular animated Disney movie.", "topic": "Top/Arts/Animation/Movies/Titles/Aladdin", "url": "http://www.all-reviews.com/videos-2/aladdin.htm"} +{"d:Title": "Aladdin and Jasmine Forever", "d:Description": "Includes fan fiction, quotes, and pictures of and about these characters.", "topic": "Top/Arts/Animation/Movies/Titles/Aladdin", "url": "http://aladdinandjasmine.5u.com/"} +{"d:Title": "Khalidarha's Mozenrath Corner", "d:Description": "Dedicated to the villain from Aladdin, includes fan fiction and episode guide.", "topic": "Top/Arts/Animation/Movies/Titles/Aladdin", "url": "http://www.angelfire.com/tv2/mozenrath/"} +{"d:Title": "Agrabah's Enemies", "d:Description": "Information, pictures and videoclips of all the enemies from Disney's Aladdin.", "topic": "Top/Arts/Animation/Movies/Titles/Aladdin", "url": "http://www.streetrat.net/ae"} +{"d:Title": "UltimateDisney.com: Aladdin", "d:Description": "Platinum and gift set DVD reviews with pictures.", "topic": "Top/Arts/Animation/Movies/Titles/Aladdin", "url": "http://www.ultimatedisney.com/aladdin.html"} +{"d:Title": "Kinema: Islam, Animation and Money", "d:Description": "Timothy R. White and J. E. Winn discuss the reception of Disney's Aladdin in Islamic countries.", "topic": "Top/Arts/Animation/Movies/Titles/Aladdin", "url": "http://kinema.uwaterloo.ca/article.php?id=349&feature"} +{"d:Title": "IMDb: Neco z Alenky (1988)", "d:Description": "Synopsis, credits and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/Alice_-_1988", "url": "http://www.imdb.com/title/tt0095715/"} +{"d:Title": "Chezire Kat's Wonderland", "d:Description": "Alice in Wonderland pictures and links.", "topic": "Top/Arts/Animation/Movies/Titles/Alice_in_Wonderland", "url": "http://www.angelfire.com/fl/ChezireKat/index.html"} +{"d:Title": "Bedtime Story Classics: Alice in Wonderland", "d:Description": "Information about the background of Alice in Wonderland.", "topic": "Top/Arts/Animation/Movies/Titles/Alice_in_Wonderland", "url": "http://the-office.com/bedtime-story/alice-background.htm"} +{"d:Title": "IMDb: Alice in Wonderland", "d:Description": "Reviews, plot summary, trailers for the Disney classic.", "topic": "Top/Arts/Animation/Movies/Titles/Alice_in_Wonderland", "url": "http://www.imdb.com/title/tt0043274/"} +{"d:Title": "All-Reviews.com - All Dogs Go To Heaven", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/All_Dogs_Go_to_Heaven", "url": "http://www.all-reviews.com/videos-3/all-dogs-go-to-heaven.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and credits information and summary.", "topic": "Top/Arts/Animation/Movies/Titles/All_Dogs_Go_to_Heaven", "url": "http://www.imdb.com/title/tt0096787/"} +{"d:Title": "Together In Paris", "d:Description": "An image gallery, lyrics, quotes, quiz, and polls, as well as an award and the voice cast.", "topic": "Top/Arts/Animation/Movies/Titles/Anastasia_Series/Anastasia", "url": "http://www.angelfire.com/pa/anya782/index2.html"} +{"d:Title": "All-Reviews.com - Anastasia", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Anastasia_Series/Anastasia", "url": "http://www.all-reviews.com/videos/anastasia.htm"} +{"d:Title": "IMDb: Anastasia", "d:Description": "Cast and crew list, trivia and other details.", "topic": "Top/Arts/Animation/Movies/Titles/Anastasia_Series/Anastasia", "url": "http://www.imdb.com/title/tt0118617/fullcredits"} +{"d:Title": "IMDb", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Anastasia_Series/Bartok_the_Magnificent", "url": "http://www.imdb.com/title/tt0197273/"} +{"d:Title": "All-Reviews.com - Antz (1998)", "d:Description": "Collection of reviews and facts about the movie", "topic": "Top/Arts/Animation/Movies/Titles/Antz", "url": "http://www.all-reviews.com/videos/antz.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Animation/Movies/Titles/Antz", "url": "http://crazy4cinema.com/Review/FilmsA/f_antz.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Antz", "url": "http://www.imdb.com/title/tt0120587/"} +{"d:Title": "Allreaders Antz Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Animation/Movies/Titles/Antz", "url": "http://allreaders.com/movie-review-summary/antz-4910"} +{"d:Title": "All-Reviews.com - The Aristocats", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Aristocats,_The", "url": "http://www.all-reviews.com/videos-3/aristocats.htm"} +{"d:Title": "IMDb: The Aristocats", "d:Description": "Includes plot summary, credits, awards, user reviews and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Aristocats,_The", "url": "http://www.imdb.com/title/tt0065421/"} +{"d:Title": "\"Atlantis is Waiting\" dFX Movie Site", "d:Description": "An in-depth look at Disney's animated movie, including news, reviews, features, images, box office results, and artist credits.", "topic": "Top/Arts/Animation/Movies/Titles/Atlantis_-_The_Lost_Empire", "url": "http://www.digitalmediafx.com/Atlantis/index.html"} +{"d:Title": "Metacritic.com: Atlantis - The Lost Empire", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Animation/Movies/Titles/Atlantis_-_The_Lost_Empire", "url": "http://www.metacritic.com/film/titles/atlantis"} +{"d:Title": "Rotten Tomatoes - Atlantis: The Lost Empire (2001)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Animation/Movies/Titles/Atlantis_-_The_Lost_Empire", "url": "http://www.rottentomatoes.com/m/atlantis_the_lost_empire/"} +{"d:Title": "IMDb: Atlantis: The Lost Empire (2001)", "d:Description": "Cast and crew list, plot and related information.", "topic": "Top/Arts/Animation/Movies/Titles/Atlantis_-_The_Lost_Empire", "url": "http://www.imdb.com/title/tt0230011/"} +{"d:Title": "Ninth Symphony Films", "d:Description": "A mixed review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Atlantis_-_The_Lost_Empire/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/atlantis.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Atlantis_-_The_Lost_Empire/Reviews", "url": "http://www.all-reviews.com/videos-3/atlantis-lost-empire.htm"} +{"d:Title": "HARO Online Atlantis Review", "d:Description": "A mixed, but mostly positive, review of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Atlantis_-_The_Lost_Empire/Reviews", "url": "http://www.haro-online.com/movies/atlantis.html"} +{"d:Title": "Filmtracks: Balto Soundtrack", "d:Description": "A review of Balto's film score composed by James Horner.", "topic": "Top/Arts/Animation/Movies/Titles/Balto", "url": "http://www.filmtracks.com/titles/balto.html"} +{"d:Title": "AJ's Balto/All Dogs go to Heaven Fan Fiction Site", "d:Description": "Fictional stories inspired by characters from the movies \"Balto\" and \"All dogs go to Heaven\".", "topic": "Top/Arts/Animation/Movies/Titles/Balto", "url": "http://www.angelfire.com/hiphop3/aj2590/"} +{"d:Title": "TV Guide Online: Balto", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Animation/Movies/Titles/Balto", "url": "http://movies.tvguide.com/balto/131073"} +{"d:Title": "Balto Source", "d:Description": "Information, multimedia and fan forum.", "topic": "Top/Arts/Animation/Movies/Titles/Balto", "url": "http://www.animationsource.org/balto/en/"} +{"d:Title": "IMDb: Balto", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/Balto", "url": "http://www.imdb.com/title/tt0112453/"} +{"d:Title": "IMDb: Bambi (1942)", "d:Description": "Photos, plot, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Animation/Movies/Titles/Bambi", "url": "http://www.imdb.com/title/tt0034492/"} +{"d:Title": "Bcdb: Bambi", "d:Description": "A synopsis of the movie, information about cartoon characters and crew, and user reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Bambi", "url": "http://www.bcdb.com/cartoon/18-Bambi.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, summary, quotes, and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Batman_Series/Batman_-_Mask_of_the_Phantasm", "url": "http://www.imdb.com/title/tt0106364/"} +{"d:Title": "IMDb: Batman and Mr. Freeze - Subzero", "d:Description": "Cast and crew information, reviews and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/Batman_Series/Batman_and_Mr._Freeze_-_Subzero", "url": "http://www.imdb.com/title/tt0143127/"} +{"d:Title": "dFX Beauty and the Beast Movie Site", "d:Description": "News, features, pictures, FAQ, reviews, and public opinions related to Disney's animated classic.", "topic": "Top/Arts/Animation/Movies/Titles/Beauty_and_the_Beast", "url": "http://www.digitalmediafx.com/Beauty/index.html"} +{"d:Title": "Belle's Enchanted World", "d:Description": "A Disney's Beauty and the Beast fan page. Images, quotes, and thoughts about the female main character of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Beauty_and_the_Beast", "url": "http://www.angelfire.com/stars3/beautybeast/"} +{"d:Title": "Beauty and the Beast", "d:Description": "Pictures, story, and wallpaper.", "topic": "Top/Arts/Animation/Movies/Titles/Beauty_and_the_Beast", "url": "http://kidsonline.edusoftmax.com/beauty_beast.html"} +{"d:Title": "IMDb - Beauty and the Beast", "d:Description": "Includes an overview, credits, awards, reviews, quotes, trivia, and other information.", "topic": "Top/Arts/Animation/Movies/Titles/Beauty_and_the_Beast", "url": "http://www.imdb.com/title/tt0101414/"} +{"d:Title": "IMDb: The Black Cauldron", "d:Description": "Includes cast and crew listing, user reviews, and technical information.", "topic": "Top/Arts/Animation/Movies/Titles/Black_Cauldron,_The", "url": "http://www.imdb.com/title/tt0088814/"} +{"d:Title": "All-Reviews.com - The Brave Little Toaster", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Brave_Little_Toaster,_The", "url": "http://www.all-reviews.com/videos-3/brave-little-toaster.htm"} +{"d:Title": "IMDb: Brave Little Toaster, The (1987)", "d:Description": "Includes cast listing and reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Brave_Little_Toaster,_The", "url": "http://imdb.com/title/tt0092695/"} +{"d:Title": "Haro Online: Brother Bear", "d:Description": "Film review.", "topic": "Top/Arts/Animation/Movies/Titles/Brother_Bear", "url": "http://www.haro-online.com/movies/brother_bear.html"} +{"d:Title": "Brother Bear Online Archive", "d:Description": "Archives resources in different formats on Disney animated feature film, Brother Bear.", "topic": "Top/Arts/Animation/Movies/Titles/Brother_Bear", "url": "http://www.bbear.org/"} +{"d:Title": "Brother Bear", "d:Description": "Official site from Disney.", "topic": "Top/Arts/Animation/Movies/Titles/Brother_Bear", "url": "http://movies.disney.com/brother-bear"} +{"d:Title": "IMDb: Brother Bear", "d:Description": "includes information on the cast and production.", "topic": "Top/Arts/Animation/Movies/Titles/Brother_Bear", "url": "http://www.imdb.com/title/tt0328880/"} +{"d:Title": "P.T. Flea's Circus", "d:Description": "Includes fan fiction, fan art, jokes, links, and information about the film.", "topic": "Top/Arts/Animation/Movies/Titles/Bug's_Life,_A", "url": "http://theneitherworld.com/bugs/main.htm"} +{"d:Title": "All-Reviews.com - A Bug's Life", "d:Description": "Film reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Bug's_Life,_A", "url": "http://www.all-reviews.com/videos/a-bugs-life.htm"} +{"d:Title": "Digital Hit's Review of A Bug's Life", "d:Description": "Brief summary by Ian Evans.", "topic": "Top/Arts/Animation/Movies/Titles/Bug's_Life,_A", "url": "http://www.digitalhit.com/movies/review/14"} +{"d:Title": "Rotten Tomatoes - A Bug's Life", "d:Description": "Compiled reviews of the film", "topic": "Top/Arts/Animation/Movies/Titles/Bug's_Life,_A", "url": "http://www.rottentomatoes.com/m/bugs_life/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, trivia and other details.", "topic": "Top/Arts/Animation/Movies/Titles/Bug's_Life,_A", "url": "http://www.imdb.com/title/tt0120623/"} +{"d:Title": "AllReaders.com Review - A Bug's Life", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Animation/Movies/Titles/Bug's_Life,_A", "url": "http://allreaders.com/movie-review-summary/bugs-life-4849"} +{"d:Title": "A Bug's Life", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Animation/Movies/Titles/Bug's_Life,_A", "url": "http://movies.disney.com/a-bugs-life"} +{"d:Title": "IMDb: Carnivale (1999)", "d:Description": "Cast and crew, user comments, and plot summary.", "topic": "Top/Arts/Animation/Movies/Titles/Carnivale", "url": "http://imdb.com/title/tt0202287/"} +{"d:Title": "IMDb: Cars (2006)", "d:Description": "Contains plot summary, list of cast and crew, movie data and reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Cars", "url": "http://www.imdb.com/title/tt0317219/"} +{"d:Title": "Wikipedia: Cars (film)", "d:Description": "Describes plot and production, characters, cast, awards, setting and merchandising.", "topic": "Top/Arts/Animation/Movies/Titles/Cars", "url": "http://en.wikipedia.org/wiki/Cars_(film)"} +{"d:Title": "Rotten Tomatoes: Cars", "d:Description": "Reviews, articles, movie information, trailer, photos and public forum.", "topic": "Top/Arts/Animation/Movies/Titles/Cars", "url": "http://www.rottentomatoes.com/m/cars/"} +{"d:Title": "Pixar Planet: Cars Forum", "d:Description": "Discussion threads about the movie, requires registration to post messages.", "topic": "Top/Arts/Animation/Movies/Titles/Cars", "url": "http://www.pixarplanet.com/forums/viewforum.php?f=21"} +{"d:Title": "About.com: Interview with Paul Newman", "d:Description": "The voice behind character Doc Hudson.", "topic": "Top/Arts/Animation/Movies/Titles/Cars", "url": "http://movies.about.com/od/cars/a/carspn053006.htm"} +{"d:Title": "FutureMovies: John Lasseter, Darla Anderson Interview", "d:Description": "Dialog with the movie's director and producer.", "topic": "Top/Arts/Animation/Movies/Titles/Cars", "url": "http://www.futuremovies.co.uk/filmmaking.asp?ID=182"} +{"d:Title": "Metacritic: Cars (2006)", "d:Description": "Reviews plus a meta-rating based on voting.", "topic": "Top/Arts/Animation/Movies/Titles/Cars", "url": "http://www.metacritic.com/film/titles/cars?q=cars"} +{"d:Title": "Luke's Cats Don't Dance Website", "d:Description": "Fan page with pictures and links.", "topic": "Top/Arts/Animation/Movies/Titles/Cats_Don't_Dance", "url": "http://www.angelfire.com/pa2/nimhstuff/CDD/sawyer.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Full cast and crew list, trivia, reviews and other details.", "topic": "Top/Arts/Animation/Movies/Titles/Cats_Don't_Dance", "url": "http://www.imdb.com/title/tt0118829/"} +{"d:Title": "Moviesearch.com: Chicken Run", "d:Description": "A review for the movie starring the voice of Mel Gibson.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run", "url": "http://www.1moviesearch.com/movies/chicken_run.htm"} +{"d:Title": "Rotten Tomatoes - Chicken Run", "d:Description": "Reviews, summary, credits list, pictures, trailer, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run", "url": "http://www.rottentomatoes.com/m/chicken_run/"} +{"d:Title": "Box Office Mojo - Chicken Run", "d:Description": "Box office data for the films. Includes a comparison with other non-Disney animated pictures and Dreamworks' box office history.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run", "url": "http://www.boxofficemojo.com/movies/?id=chickenrun.htm"} +{"d:Title": "Metacritic.com: Chicken Run", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run", "url": "http://www.metacritic.com/movie/chicken-run"} +{"d:Title": "IMDb: Chicken Run", "d:Description": "Synopsis, Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run", "url": "http://www.imdb.com/title/tt0120630/"} +{"d:Title": "Allreaders.com Spotlight: Chicken Run", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run", "url": "http://allreaders.com/movie-review-summary/chicken-run-3626"} +{"d:Title": "Filmbug - Chicken Run", "d:Description": "Summary, facts, cast, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run", "url": "http://www.filmbug.com/asin/B00003CXJ4"} +{"d:Title": "Haro - Chicken Run", "d:Description": "Haro reviews Chicken Run.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run/Reviews", "url": "http://www.haro-online.com/movies/chicken_run.html"} +{"d:Title": "All-Reviews.com - Chicken Run", "d:Description": "Collection of movie reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run/Reviews", "url": "http://www.all-reviews.com/videos/chicken-run.htm"} +{"d:Title": "PopMatters - Chicken Run", "d:Description": "Review of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run/Reviews", "url": "http://popmatters.com/film/reviews/c/chicken-run.html"} +{"d:Title": "Roger Ebert - Chicken Run", "d:Description": "Review of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Chicken_Run/Reviews", "url": "http://www.rogerebert.com/reviews/chicken-run-2000"} +{"d:Title": "Roger Ebert on Movies", "d:Description": "[Chicago Sun-Times] Movie review.", "topic": "Top/Arts/Animation/Movies/Titles/Cinderella", "url": "http://www.rogerebert.com/reviews/cinderella-1987"} +{"d:Title": "IMDb: Cinderella", "d:Description": "Cast and crew list, trivia, reviews, production information, and other details.", "topic": "Top/Arts/Animation/Movies/Titles/Cinderella", "url": "http://www.imdb.com/title/tt0042332/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Cyberworld", "url": "http://www.metacritic.com/film/titles/cyberworld"} +{"d:Title": "IMDb: Daffy Duck's Quackbusters (1988)", "d:Description": "Includes a plot summary, cast list, and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/Daffy_Duck's_Quackbusters", "url": "http://www.imdb.com/title/tt0094939/"} +{"d:Title": "PopMatters - Dinosaur", "d:Description": "Review of the movie, titled \"Cretaceous Calamity\".", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://popmatters.com/film/reviews/d/dinosaur.html"} +{"d:Title": "HARO Online - Dinosaur", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://www.haro-online.com/movies/dinosaur.html"} +{"d:Title": "All-Reviews.com- Dinosaur (2000)", "d:Description": "Collection of reviews and facts about the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://www.all-reviews.com/videos/dinosaur.htm"} +{"d:Title": "Filmtracks: Dinosaur", "d:Description": "Soundtrack review, audio, and viewer ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://www.filmtracks.com/titles/dinosaur.html"} +{"d:Title": "Rotten Tomatoes - Dinosaur", "d:Description": "Reviews, plot summary, cast list, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://www.rottentomatoes.com/m/1097046-dinosaur/"} +{"d:Title": "Box Office Mojo - Dinosaur", "d:Description": "Box office data for the film. Includes a comparison with other Disney and dinosaur movies.", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://www.boxofficemojo.com/movies/?id=dinosaur.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://www.imdb.com/title/tt0130623/"} +{"d:Title": "Metacritic.com: Dinosaur", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Animation/Movies/Titles/Dinosaur", "url": "http://www.metacritic.com/movie/dinosaur"} +{"d:Title": "All-Reviews.com - Doug's 1st Movie", "d:Description": "Film reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Doug's_1st_Movie", "url": "http://www.all-reviews.com/videos/dougs-first-movie.htm"} +{"d:Title": "The Emperor's New Groove Characters: The Voice Talents that Bring Them to Life", "d:Description": "Animation Artist feature with photos and interview quotes from cast members David Spade, John Goodman, Eartha Kitt, Patrick Warburton and Wendie Malick.", "topic": "Top/Arts/Animation/Movies/Titles/Emperor's_New_Groove,_The", "url": "http://www.animationartist.com/movies/emperor/Features01.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Emperor's_New_Groove,_The", "url": "http://www.metacritic.com/movie/the-emperors-new-groove"} +{"d:Title": "All-Reviews.com: The Emperor's New Groove", "d:Description": "2 movie reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Emperor's_New_Groove,_The/Reviews", "url": "http://www.all-reviews.com/videos-2/emperors-new-groove.htm"} +{"d:Title": "HARO Online", "d:Description": "Movie review.", "topic": "Top/Arts/Animation/Movies/Titles/Emperor's_New_Groove,_The/Reviews", "url": "http://www.haro-online.com/movies/emperors_new_groove.html"} +{"d:Title": "Rotten Tomatoes - The Emperor's New Groove", "d:Description": "Reviews, credits, plot summary, trailer, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Emperor's_New_Groove,_The/Reviews", "url": "http://www.rottentomatoes.com/m/emperors_new_groove/"} +{"d:Title": "Fantasia (1941)", "d:Description": "Detailed summary of the movie's various parts, including Deems Taylor's narration. Includes evalation of the film as a whole and copies of vintage posters.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia", "url": "http://www.filmsite.org/fant.html"} +{"d:Title": "Hidden Mickeys - Fantasia", "d:Description": "Locations of hidden images of Mickey in the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia", "url": "http://www.hiddenmickeys.org/Movies/Fantasia.html"} +{"d:Title": "Fantasia (1940)", "d:Description": "Cast, orchestral, and composer credits, professional and amateur critiques, awards and nominations, summaries, key word search, related material, and message board from the Internet Movie Database.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia", "url": "http://www.imdb.com/title/tt0032455/"} +{"d:Title": "Roger Ebert - Fantasia", "d:Description": "Review of the film", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia", "url": "http://www.rogerebert.com/reviews/fantasia-1990"} +{"d:Title": "HARO Online - Fantasia 2000", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://www.haro-online.com/movies/fantasia2000.html"} +{"d:Title": "All-Reviews.com- Fantasia 2000", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://www.all-reviews.com/videos/fantasia-2000.htm"} +{"d:Title": "Fantasia 2000", "d:Description": "Review by Eric Snider examines the music, the animation, the narration, and comments on the depth and soul of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://www.ericdsnider.com/movies/fantasia2000/"} +{"d:Title": "Rotten Tomatoes - Fantasia 2000", "d:Description": "Reviews, summary, credits list, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://www.rottentomatoes.com/m/fantasia_2000/"} +{"d:Title": "Box Office Mojo - Fantasia 2000", "d:Description": "Daily box office chart for Fantasia 2000. Includes a comparison with other Disney animated movies.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://www.boxofficemojo.com/movies/?id=fantasia2000.htm"} +{"d:Title": "Yahoo Movies: Fantasia 2000", "d:Description": "Information, photos and trailers about the Disney sequel.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://movies.yahoo.com/movie/fantasia-2000/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://www.metacritic.com/movie/fantasia2000"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Animation/Movies/Titles/Fantasia_Series/Fantasia_2000", "url": "http://www.imdb.com/title/tt0120910/"} +{"d:Title": "Final Fantasy Station", "d:Description": "Images and cast.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within", "url": "http://ffstation.8k.com/custom4.html"} +{"d:Title": "The Final Fantasy Universe", "d:Description": "Information, cast, and images.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within", "url": "http://theffuniverse.tripod.com/movie.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within", "url": "http://www.haro-online.com/movies/final_fantasy.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within", "url": "http://www.metacritic.com/film/titles/finalfantasy"} +{"d:Title": "Forever Final Fantasy: TSW", "d:Description": "Brief plot and character summaries, and some photos from the film.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within", "url": "http://members.tripod.com/4everfinalfantasy/index.htm"} +{"d:Title": "Rotten Tomatoes - Final Fantasy: The Movie", "d:Description": "Information, preview images, trailers, summary, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within", "url": "http://www.rottentomatoes.com/m/final_fantasy_the_spirits_within/"} +{"d:Title": "It's Reel Fantasy", "d:Description": "Honolulu Star-Bulletin article detailing the methods by which the movie was produced.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within/News_and_Media", "url": "http://archives.starbulletin.com/1999/01/15/features/story1x.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Final Fantasy: The Movie cast and crew list, release date and related links.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_-_The_Spirits_Within/News_and_Media", "url": "http://www.imdb.com/title/tt0173840/"} +{"d:Title": "Final Fantasy Shrine", "d:Description": "Provides general movie information with screenshots and trailers.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_VII_Advent_Children", "url": "http://www.ffshrine.org/ffac/ffac.php"} +{"d:Title": "IMDB", "d:Description": "Contains cast and crew details, movie format information, plot summary, trailers, goofs, quotes and a message board.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_VII_Advent_Children", "url": "http://www.imdb.com/title/tt0385700/"} +{"d:Title": "RPGamer", "d:Description": "News articles and collected media.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_VII_Advent_Children", "url": "http://www.rpgamer.com/games/ff/ff7ac/ff7ac.html"} +{"d:Title": "Wikipedia", "d:Description": "Collaborative online encyclopedia (wiki) page that provides extensive motion picture information and backgrounds.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_VII_Advent_Children", "url": "http://en.wikipedia.org/wiki/Final_Fantasy_VII:_Advent_Children"} +{"d:Title": "GameSpot", "d:Description": "Features editorial news stories, previews, images, videos, FAQs and a forum.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_VII_Advent_Children", "url": "http://www.gamespot.com/final-fantasy-vii-advent-children/summary/"} +{"d:Title": "FLAREgamer - Final Fantasy VII: Advent Children", "d:Description": "Personal review and coverage of the movie's appearance in the Venice Film Festival. Does not contain any spoilers.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_VII_Advent_Children/News_and_Media", "url": "http://www.flaregamer.com/b2article.php?p=107&more=1"} +{"d:Title": "RPGFan Preview", "d:Description": "\"Preview First-Look\" by Mark P. Tjan.", "topic": "Top/Arts/Animation/Movies/Titles/Final_Fantasy_VII_Advent_Children/News_and_Media", "url": "http://www.rpgfan.com/previews/ff7-ac/"} +{"d:Title": "Finding Nemo - The Making", "d:Description": "An interview with the Pixar creators of the animated film Finding Nemo. With a review of the movie.[Accessible version provided]", "topic": "Top/Arts/Animation/Movies/Titles/Finding_Nemo", "url": "http://www.futuremovies.co.uk/filmmaking.asp?ID=55"} +{"d:Title": "UKHotMovies: Finding Nemo", "d:Description": "Includes a review, pictures, trailers, and wallpapers.", "topic": "Top/Arts/Animation/Movies/Titles/Finding_Nemo", "url": "http://www.ukhotmovies.com/reviews/finding-nemo/"} +{"d:Title": "Filmtracks: Finding Nemo", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Finding_Nemo", "url": "http://www.filmtracks.com/titles/finding_nemo.html"} +{"d:Title": "Rotten Tomatoes: Finding Nemo", "d:Description": "Articles, photos, trailers, and a public forum.", "topic": "Top/Arts/Animation/Movies/Titles/Finding_Nemo", "url": "http://www.rottentomatoes.com/m/finding_nemo/"} +{"d:Title": "IMDb: Finding Nemo", "d:Description": "Includes plot summary, cast and crew, trailers, news and image gallery.", "topic": "Top/Arts/Animation/Movies/Titles/Finding_Nemo", "url": "http://www.imdb.com/title/tt0266543/"} +{"d:Title": "All-Reviews.com - The Fox and the Hound", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Fox_and_the_Hound,_The", "url": "http://www.all-reviews.com/videos-3/fox-and-hound.htm"} +{"d:Title": "IMdB: Fritz the Cat (1972)", "d:Description": "Crew information, production details, user comments, and related links.", "topic": "Top/Arts/Animation/Movies/Titles/Fritz_the_Cat", "url": "http://www.imdb.com/title/tt0068612/"} +{"d:Title": "All-Reviews.com - Goofy Movie", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Goofy_Movie,_A", "url": "http://www.all-reviews.com/videos-2/a-goofy-movie.htm"} +{"d:Title": "All-Reviews.com - Gumby: The Movie", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Gumby_The_Movie", "url": "http://www.all-reviews.com/videos-3/gumby-the-movie.htm"} +{"d:Title": "TV Guide Online: Happily Ever After", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Animation/Movies/Titles/Happily_Ever_After", "url": "http://movies.tvguide.com/happily/129635"} +{"d:Title": "Internet Movie Database", "d:Description": "List of cast and crew, quotes, merchandisting links, and short reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Heavy_Metal", "url": "http://www.imdb.com/title/tt0082509/"} +{"d:Title": "Pain's Domain", "d:Description": "Stories, artwork, sounds, and image galleries based on the film.", "topic": "Top/Arts/Animation/Movies/Titles/Hercules_-_1997", "url": "http://theneitherworld.com/herc/"} +{"d:Title": "All-Reviews.com: Hercules", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Hercules_-_1997", "url": "http://www.all-reviews.com/videos/hercules.htm"} +{"d:Title": "TV Guide: Hercules", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Animation/Movies/Titles/Hercules_-_1997", "url": "http://movies.tvguide.com/hercules/132406"} +{"d:Title": "IMDb: Hercules (1997)", "d:Description": "Cast and crew information, plot synopsis, links to merchandise.", "topic": "Top/Arts/Animation/Movies/Titles/Hercules_-_1997", "url": "http://www.imdb.com/title/tt0119282/"} +{"d:Title": "Animation World Magazine: Hearing From the Hercules Celebrities", "d:Description": "Photographs of voicec actors Kathie Lee Gifford, Jon Favreau, Diedrich Bader, French Stewart, Linda Hamilton and James Woods, with their comments on the production.", "topic": "Top/Arts/Animation/Movies/Titles/Hercules_-_1997/Cast_and_Crew", "url": "http://www.awn.com/mag/issue4.06/4.06pages/disney/disneycelebquotes.php3"} +{"d:Title": "HARO Online: Hey Arnold!", "d:Description": "Haro reviews Hey Arnold! The Movie.", "topic": "Top/Arts/Animation/Movies/Titles/Hey_Arnold_The_Movie", "url": "http://www.haro-online.com/movies/hey_arnold.html"} +{"d:Title": "Metacritic.com: Hey Arnold! The Movie", "d:Description": "Collection of reviews for the movie, setting an overall score of 47.", "topic": "Top/Arts/Animation/Movies/Titles/Hey_Arnold_The_Movie", "url": "http://www.metacritic.com/film/titles/heyarnold"} +{"d:Title": "The Hobbit Movie", "d:Description": "Features news and articles about the Hobbit movie and texts about people and places from the book.", "topic": "Top/Arts/Animation/Movies/Titles/Hobbit,_The", "url": "http://derhobbit-film.de/indexengl.shtml"} +{"d:Title": "IMDb: The Hobbit", "d:Description": "Cast and crew list, plot summary, trivia, reviews, links and other details.", "topic": "Top/Arts/Animation/Movies/Titles/Hobbit,_The", "url": "http://www.imdb.com/title/tt0077687/"} +{"d:Title": "Disney: Home on the Range", "d:Description": "Official site of the movie, with trailer, games and downloads. [Flash required]", "topic": "Top/Arts/Animation/Movies/Titles/Home_on_the_Range", "url": "http://disney.go.com/disneypictures/homeontherange/main.html"} +{"d:Title": "IMDb: Home on the Range", "d:Description": "Cast information, reviews, plot summary, comments and trivia for the animated movie.", "topic": "Top/Arts/Animation/Movies/Titles/Home_on_the_Range", "url": "http://www.imdb.com/title/tt0299172/"} +{"d:Title": "RottenTomatoes: Home on the Range", "d:Description": "Includes a synopsis, reviews from many critics and audiences. Also, trailers, photos and a forum.", "topic": "Top/Arts/Animation/Movies/Titles/Home_on_the_Range", "url": "http://www.rottentomatoes.com/m/home_on_the_range/"} +{"d:Title": "Wikipedia: Howl's Moving Castle", "d:Description": "Offers full plot summary with spoiler alerts.", "topic": "Top/Arts/Animation/Movies/Titles/Howl's_Moving_Castle", "url": "http://en.wikipedia.org/wiki/Howl's_Moving_Castle"} +{"d:Title": "JoBlo.com: Howl's Moving Castle", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Animation/Movies/Titles/Howl's_Moving_Castle", "url": "http://www.joblo.com/upcomingmovies/movies.php?id=491"} +{"d:Title": "BoxOfficeMojo: Howl's Moving Castle", "d:Description": "Offers box office details, articles, news and analysis.", "topic": "Top/Arts/Animation/Movies/Titles/Howl's_Moving_Castle", "url": "http://www.boxofficemojo.com/movies/?id=howlsmovingcastle.htm"} +{"d:Title": "Mooviees.com: Howl's Moving Castle", "d:Description": "Features plot description, trailer, image gallery and discussion forum.", "topic": "Top/Arts/Animation/Movies/Titles/Howl's_Moving_Castle", "url": "http://www.mooviees.com/25360-howl-s-moving-castle/movie"} +{"d:Title": "RogerEbert.com: Reviews: Howl's Moving Castle", "d:Description": "The acclaimed critic offers a comprehensive review of the animated feature.", "topic": "Top/Arts/Animation/Movies/Titles/Howl's_Moving_Castle", "url": "http://www.rogerebert.com/reviews/howls-moving-castle-2005"} +{"d:Title": "All-Reviews.com - The Hunchback of Notre Dame (1996)", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Hunchback_of_Notre_Dame,_The", "url": "http://www.all-reviews.com/videos-2/hunchback-notre-dame.htm"} +{"d:Title": "All-Reviews: Ice Age", "d:Description": "Four reviews of the movie, four different points of view.", "topic": "Top/Arts/Animation/Movies/Titles/Ice_Age", "url": "http://www.all-reviews.com/videos-4/ice-age.htm"} +{"d:Title": "Haro Online: Ice Age", "d:Description": "A review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Ice_Age", "url": "http://www.haro-online.com/movies/ice_age.html"} +{"d:Title": "IMDb: Ice Age", "d:Description": "Reviews of the movie, plot summary, comments, trailers and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Ice_Age", "url": "http://www.imdb.com/title/tt0268380/"} +{"d:Title": "Wikipedia", "d:Description": "Open content encyclopedia article covering characters and plot.", "topic": "Top/Arts/Animation/Movies/Titles/Ice_Age", "url": "http://en.wikipedia.org/wiki/Ice_Age_(film)"} +{"d:Title": "Metacritic.com: Ice Age", "d:Description": "Provides quotes from and links to a variety of film reviews, plus cast information and an overall score.", "topic": "Top/Arts/Animation/Movies/Titles/Ice_Age", "url": "http://www.metacritic.com/movie/ice-age"} +{"d:Title": "IMDb: The Incredibles (2004)", "d:Description": "Cast and crew information, reviews, plot summary and trailers.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://www.imdb.com/title/tt0317705/"} +{"d:Title": "Rotten Tomatoes - Incredibles, The", "d:Description": "Aggregated reviews, showtimes, cast and crew, photographs, trailers, news and a forum for the film.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://www.rottentomatoes.com/m/incredibles/"} +{"d:Title": "Mooviees: The Incredibles", "d:Description": "Features photos, wallpapers, trailers, reviews and links to other reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://www.mooviees.com/7543-the-incredibles/movie"} +{"d:Title": "All-Reviews.com - The Incredibles", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://www.all-reviews.com/videos-5/incredibles.htm"} +{"d:Title": "Wikipedia", "d:Description": "Open-content encyclopedia article with story capsule, box office results, DVD extras, trivia, merchandising, and video game information.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://en.wikipedia.org/wiki/The_Incredibles"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"The Incredibles.\"", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://www.haro-online.com/movies/incredibles.html"} +{"d:Title": "Yahoo Movies: The Incredibles", "d:Description": "News, photos, trailers and reviews for the Disney-Pixar movie.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://movies.yahoo.com/movie/the-incredibles/"} +{"d:Title": "Chicago Sun-Times: The Incredibles", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "http://www.rogerebert.com/reviews/the-incredibles-2004"} +{"d:Title": "Future Movies: The Incredibles", "d:Description": "A review of the movie by Michelle Thomas. Includes screenshots.", "topic": "Top/Arts/Animation/Movies/Titles/Incredibles,_The", "url": "https://www.futuremovies.co.uk/reviews/the-incredibles/michelle-thomas"} +{"d:Title": "Internet Movie Database", "d:Description": "Full credits for cast and crew.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The", "url": "http://imdb.com/title/tt0129167/"} +{"d:Title": "Yahoo! Movies: The Iron Giant", "d:Description": "Information, production notes, trailer, showtimes, discussion forum, reviews and links.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The", "url": "http://movies.yahoo.com/movie/the-iron-giant/"} +{"d:Title": "Acclaimed Giant will get a second life on video", "d:Description": "By Barbara Vancheri. [Post-Gazette] \"Giant gets $90 million push from Warner Home Video.\" Feature article and interview with director Brad Bird.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Articles_and_Interviews", "url": "http://www.post-gazette.com/magazine/19991119video4.asp"} +{"d:Title": "TheDigital Bits: The Rumor Mill", "d:Description": "Scroll down for a list of Warner Bros. 3rd quarter DVD releases, which seems to confirm that an Iron Giant special edition DVD is on track for release sometime after August.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Articles_and_Interviews", "url": "http://www.thedigitalbits.com/rumormill.html#0530"} +{"d:Title": "More Iron Giant Special Edition Information", "d:Description": "[Digital Media FX Newsletter]", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Articles_and_Interviews", "url": "http://www.digitalmediafx.com/Newsletter/animation01.html#2"} +{"d:Title": "Iron Giant Collector's Edition DVD", "d:Description": "[digitalmediafx.com] For well over a year, Warner Bros. has been quietly working on a special edition DVD....expected to be released in late July or early August for the two year anniversary of the movie's release.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Articles_and_Interviews", "url": "http://www.digitalmediafx.com/News2001/March/030501.html#3"} +{"d:Title": "The Iron Giant: Voice Cast", "d:Description": "Photos and bios from the Official movie site.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Cast_and_Crew", "url": "http://www.theirongiant.com/cmp/voices-fr.html"} +{"d:Title": "The Iron Giant Voice Artists", "d:Description": "Complete voice cast with both character and actor profiles and pictures, from Animation Artist Magazine.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Cast_and_Crew", "url": "http://www.animationartist.com/movies/irongiant/Artists/Voice_Artists/voice_artists.html"} +{"d:Title": "The Iron Giant", "d:Description": "Official site with early production sketches, outtake with a pencil test of the drag race scene in QuickTime, and a gallery of high-quality screen captures from the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Downloads", "url": "http://irongiant.warnerbros.com/cmp/h-giant.html"} +{"d:Title": "The Iron Giant", "d:Description": "Official site with widscreen movie trailers, outtake with a pencil test of the deleted classroom scene in QuickTime, and progression reel of development from pencil sketches to finished animation.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Downloads", "url": "http://irongiant.warnerbros.com/cmp/video.html"} +{"d:Title": "ChildCare Action Project Reviews Iron Giant", "d:Description": "A hyper-conservative review of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.capalert.com/capreports/irongiant.htm"} +{"d:Title": "All-Reviews.com: The Iron Giant", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.all-reviews.com/videos/iron-giant.htm"} +{"d:Title": "A Hugely Entertaining Classic", "d:Description": "By Paul Tatara. [CNN.com]"Iron Giant is not only the best animated feature to be released this summer, it's the single best film to hit our screens so far this year."", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9908/09/iron.giant.revu/"} +{"d:Title": "The Iron Giant", "d:Description": "A parental look at the film by ScreenIt - \"Entertainment Reviews for Parents\".", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://screenit.com/movies/1999/the_iron_giant.html"} +{"d:Title": "Rotten Tomatoes: Iron Giant", "d:Description": "Reviews, articles, ratings polls, and a number of related links.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.rottentomatoes.com/m/iron_giant/"} +{"d:Title": "The Big Picture Review: The Iron Giant", "d:Description": "DVD review by Jeff McNeal. \"One of the absolute finest animation transfers that The Big Picture has had the pleasure of screening.\"", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.thebigpicturedvd.com/the-iron-giant"} +{"d:Title": "Movie Review: The Iron Giant", "d:Description": "by Jeff Vice, Deseret News movie critic.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.deseretnews.com/article/700002285/Iron-Giant-The.html"} +{"d:Title": "IMDb: Iron Giant Review", "d:Description": "by Scott Renshaw.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.imdb.com/reviews/197/19700.html"} +{"d:Title": "IMDb: Iron Giant Review", "d:Description": "by Susan Granger.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.imdb.com/reviews/196/19663.html"} +{"d:Title": "Metacritic.com: Iron Giant, The", "d:Description": "A cross-section of reviews from top critics.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.metacritic.com/movie/the-iron-giant"} +{"d:Title": "The Iron Giant", "d:Description": "Review by renowned film critic, Roger Ebert. [Chicago Sun-Times]", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-iron-giant-1999"} +{"d:Title": "Ain't It Cool News: Iron Giant Review", "d:Description": "by \"Moriarity\".", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.aintitcool.com/node/2960"} +{"d:Title": "Iron Giant Review, V. 2.0", "d:Description": "Second review by AICN creator Harry Knowles. [Ain't It Cool News]", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.aintitcool.com/node/4099"} +{"d:Title": "The Iron Giant - Gosh Darnit, It's Brilliant!", "d:Description": "More viewer reviews and discussion on the film, from Ain't It Cool News.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.aintitcool.com/node/4081"} +{"d:Title": "In Praise&Celebration of The Iron Giant", "d:Description": "Viewer comments and reviews from Ain't It Cool News. Only one negative review out of several dozen here. That's unheard of for any film in this decade, animated or not.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.aintitcool.com/node/4103"} +{"d:Title": "Sir Thanks-Alot looks at The Iron Giant", "d:Description": "Yet more praise, reviews, and discussion on the film from AICN.", "topic": "Top/Arts/Animation/Movies/Titles/Iron_Giant,_The/Reviews", "url": "http://www.aintitcool.com/node/3807"} +{"d:Title": "All-Reviews.com - James and the Giant Peach", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/James_and_the_Giant_Peach", "url": "http://www.all-reviews.com/videos-3/james-and-giant-peach.htm"} +{"d:Title": "IMDb: James and the Giant Peach", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/James_and_the_Giant_Peach", "url": "http://www.imdb.com/title/tt0116683/"} +{"d:Title": "Jimmy Neutron Boy Genius", "d:Description": "Official website for the animated film. Multimedia, games, story and character information. [Flash, Shockwave]", "topic": "Top/Arts/Animation/Movies/Titles/Jimmy_Neutron_Boy_Genius", "url": "http://www.nick.com/all_nick/movies/jimmy_neutron/index.jhtml?_requestid=86429&flashInstalled=true&flashVersion=5&TimeZone=-3"} +{"d:Title": "Metacritic.com: Jimmy Neutron", "d:Description": "A cross-section of reviews from many critics, crystallized in a metascore.", "topic": "Top/Arts/Animation/Movies/Titles/Jimmy_Neutron_Boy_Genius", "url": "http://www.metacritic.com/film/titles/jimmyneutron"} +{"d:Title": "Haro Online: Jimmy Neutron Boy Genius", "d:Description": "A review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Jimmy_Neutron_Boy_Genius", "url": "http://www.haro-online.com/movies/jimmy_neutron.html"} +{"d:Title": "All-Reviews: Jimmy Neutron Boy Genius", "d:Description": "Four reviews of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Jimmy_Neutron_Boy_Genius", "url": "http://www.all-reviews.com/videos-4/jimmy-neutron-boy-genius.htm"} +{"d:Title": "Rotten Tomatoes: Jimmy Neutron", "d:Description": "A synopsis of the movie, a collection of reviews, trailers, information and downloads.", "topic": "Top/Arts/Animation/Movies/Titles/Jimmy_Neutron_Boy_Genius", "url": "http://www.rottentomatoes.com/m/jimmy_neutron_boy_genius/"} +{"d:Title": "IMDb: Jonah: A VeggieTales Movie (2002)", "d:Description": "Plot summary, user comments, and rating.", "topic": "Top/Arts/Animation/Movies/Titles/Jonah_-_A_VeggieTales_Movie", "url": "http://www.imdb.com/title/tt0298388/"} +{"d:Title": "The King And I", "d:Description": "Based on the classic Rogers and Hammerstein musical -- The King and I. Official Website.", "topic": "Top/Arts/Animation/Movies/Titles/King_and_I,_The", "url": "http://www.thekingandi.com/"} +{"d:Title": "Soundtrack Lyrics", "d:Description": "Soundtrack lyrics for the movie.", "topic": "Top/Arts/Animation/Movies/Titles/King_and_I,_The", "url": "http://stlyrics.com/t/thekingandi.htm"} +{"d:Title": "IMDb: The King and I (1999)", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/King_and_I,_The", "url": "http://www.imdb.com/title/tt0160429/"} +{"d:Title": "Emma's Lady and the Tramp Website", "d:Description": "A very complete Lady and the Tramp personal webpage. Includes sounds, pictures, summaries, links, and information about the characters.", "topic": "Top/Arts/Animation/Movies/Titles/Lady_and_the_Tramp", "url": "http://members.tripod.com/CRICKETK9/index.html"} +{"d:Title": "All-Reviews.com - The Land Before Time", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Land_Before_Time,_The", "url": "http://www.all-reviews.com/videos-3/land-before-time.htm"} +{"d:Title": "All-Reviews.com - The Land Before Time II", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Land_Before_Time,_The", "url": "http://www.all-reviews.com/videos-3/land-before-time2.htm"} +{"d:Title": "TV Guide: Land Before Time, The", "d:Description": "Movie review, plot, and cast.", "topic": "Top/Arts/Animation/Movies/Titles/Land_Before_Time,_The", "url": "http://movies.tvguide.com/land-time/127153"} +{"d:Title": "Last Unicorn: Sweetness of Being Yourself", "d:Description": "Synopsis, quotes, gallery, links, and passages from the novel.", "topic": "Top/Arts/Animation/Movies/Titles/Last_Unicorn,_The", "url": "http://www.chanth.com/lastunicorn.html"} +{"d:Title": "The Last Unicorn: A Fantastic Adventure", "d:Description": "Proposed project outline of a new full length movie of the novel by Peter S. Beagle.", "topic": "Top/Arts/Animation/Movies/Titles/Last_Unicorn,_The", "url": "http://www.the-last-unicorn.net/"} +{"d:Title": "Wikipedia: The Last Unicorn", "d:Description": "Encyclopedic entry relating to the 1982 animated film; references the novel and the upcoming film project in development.", "topic": "Top/Arts/Animation/Movies/Titles/Last_Unicorn,_The", "url": "http://en.wikipedia.org/wiki/The_Last_Unicorn_(film)"} +{"d:Title": "IMDb: Last Unicorn, The (1982)", "d:Description": "User ratings, reviews, soundtrack listing, plot summary, message board, cast and crew.", "topic": "Top/Arts/Animation/Movies/Titles/Last_Unicorn,_The", "url": "http://imdb.com/title/tt0084237/"} +{"d:Title": "Media-Assault.com: The Last Unicorn Review", "d:Description": "Film rating and review without spoilers.", "topic": "Top/Arts/Animation/Movies/Titles/Last_Unicorn,_The", "url": "http://www.media-assault.com/moviereviews/lastunicornreview.shtml"} +{"d:Title": "Common Sense Media: The Last Unicorn", "d:Description": "Reviewers opinions, user reviews, and specific content subject matter; how the movie may appeal to different age groups.", "topic": "Top/Arts/Animation/Movies/Titles/Last_Unicorn,_The", "url": "http://www.commonsensemedia.org/movie-reviews/Last-Unicorn.html"} +{"d:Title": "Metacritic.com: Lilo and Stitch", "d:Description": "Links to many reviews, plus cast information and an overall score.", "topic": "Top/Arts/Animation/Movies/Titles/Lilo_and_Stitch", "url": "http://www.metacritic.com/film/titles/liloandstitch"} +{"d:Title": "LIlo&Stitch and the Films of Hawaii", "d:Description": "Provides information on the film franchise, including production summary and cast and crew biographies. Also, information on Hawaiian culture and its influence on film.", "topic": "Top/Arts/Animation/Movies/Titles/Lilo_and_Stitch", "url": "http://www.angelfire.com/film/lakloka/"} +{"d:Title": "Rotten Tomatoes: Lilo&Stitch", "d:Description": "Reviews, photos, trailers, synopsis, and a public forum.", "topic": "Top/Arts/Animation/Movies/Titles/Lilo_and_Stitch", "url": "http://www.rottentomatoes.com/m/lilo_and_stitch/"} +{"d:Title": "IMDb - Lilo&Stitch", "d:Description": "User reviews, plot information, and detailed credits.", "topic": "Top/Arts/Animation/Movies/Titles/Lilo_and_Stitch", "url": "http://www.imdb.com/title/tt0275847/"} +{"d:Title": "The Lion King Digital Online", "d:Description": "Unofficial fan site with audio and video clips, and an image archive.", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://digital.lionking.org/"} +{"d:Title": "Kublia's Pride Lands", "d:Description": "Includes images, music, fan fiction, fan maps, and fan art.", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://kublia.lionking.org/"} +{"d:Title": "All-Reviews.com: The Lion King Review", "d:Description": "By Steve Rhodes.", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://www.all-reviews.com/videos-2/lion-king.htm"} +{"d:Title": "Saka's Lion King Malazi", "d:Description": "Images, clips, sounds, as well as Lion King and Simba's lyrics, story transcriptions, and trivia games.", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://saka.lionking.org/"} +{"d:Title": "The Lion King Fan Art Messageboard (Lilymud)", "d:Description": "Fan forum of Disney's The Lion King with an emphasis on fan-art.", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://www.lilymud.net/"} +{"d:Title": "Strictly Scar", "d:Description": "Dedicated to Scar, with fan art, screen grabs, quicktime movies, sounds, and text files.", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://www.lionking.org/~scar/"} +{"d:Title": "The Lion King WWW Archive", "d:Description": "Music, lyrics, photographs, fan fiction, fan art, and story information.", "priority": "1", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://www.lionking.org/"} +{"d:Title": "Simba's Haven", "d:Description": "Lion King web forum", "topic": "Top/Arts/Animation/Movies/Titles/Lion_King,_The", "url": "http://tlkpriderock.proboards.com/"} +{"d:Title": "Ariel's Secret Grotto", "d:Description": "A site about Ariel, TLM and friends. images, sounds, backgrounds, and a free Cardshop.", "topic": "Top/Arts/Animation/Movies/Titles/Little_Mermaid_Series/Little_Mermaid,_The", "url": "http://www.angelfire.com/hi/ArielsGrotto/"} +{"d:Title": "Filmtracks: The Little Mermaid", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Little_Mermaid_Series/Little_Mermaid,_The", "url": "http://www.filmtracks.com/titles/little_mermaid.html"} +{"d:Title": "Arielholics Pass-Along Story", "d:Description": "In the fall of 1997, the members of the Arielholics Anonymous Mailing List (a mailing list devoted to the Disney film The Little Mermaid and its main character, the great and powerful Ariel), got together to do a pass-along story, where one person writes the first part, then someone else writes the second part.", "topic": "Top/Arts/Animation/Movies/Titles/Little_Mermaid_Series/Little_Mermaid,_The", "url": "http://shadz.homestead.com/files/passalong.html"} +{"d:Title": "IMDb: Little Mermaid II", "d:Description": "Cast and crew information, reviews and a plot summary.", "topic": "Top/Arts/Animation/Movies/Titles/Little_Mermaid_Series/Little_Mermaid_II_-_Return_to_the_Sea", "url": "http://www.imdb.com/title/tt0240684/"} +{"d:Title": "Rotten Tomatoes: Little Mermaid II", "d:Description": "Reviews and critics from many movie experts around the U.S.A.", "topic": "Top/Arts/Animation/Movies/Titles/Little_Mermaid_Series/Little_Mermaid_II_-_Return_to_the_Sea", "url": "http://www.rottentomatoes.com/m/little_mermaid_ii_the_return_to_the_sea/"} +{"d:Title": "Parent Previews: Little Mermaid 2", "d:Description": "Family movie reviews to help guide parents decisions in selecting entertainment for their children.", "topic": "Top/Arts/Animation/Movies/Titles/Little_Mermaid_Series/Little_Mermaid_II_-_Return_to_the_Sea", "url": "http://parentpreviews.com/movie-reviews/film-info/little-mermaid-2"} +{"d:Title": "A Critique of Ralph Bakshi's Lord of the Rings", "d:Description": "An illustrated list of flaws in the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Lord_of_the_Rings,_The_-_1978", "url": "http://flyingmoose.org/tolksarc/bakshi/bakshi.htm"} +{"d:Title": "It's a Bad, Bad, Bad, Bad Movie", "d:Description": "Review. [rinkworks.com]", "topic": "Top/Arts/Animation/Movies/Titles/Lord_of_the_Rings,_The_-_1978", "url": "http://www.rinkworks.com/badmovie/m/the.lord.of.the.rings.1978.shtml"} +{"d:Title": "IMDb: The Lord of the Rings", "d:Description": "Cast and crew list, plot summary, trivia, links, and reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Lord_of_the_Rings,_The_-_1978", "url": "http://www.imdb.com/title/tt0077869/"} +{"d:Title": "Rankin-Bass - Mad Monster Party", "d:Description": "Official studio homepage with pictures and behind-the-scenes details.", "topic": "Top/Arts/Animation/Movies/Titles/Mad_Monster_Party", "url": "http://www.rankinbass.com/mmphome.html"} +{"d:Title": "Yahoo! Groups Mad Monster Party", "d:Description": "Message board and chat room.", "topic": "Top/Arts/Animation/Movies/Titles/Mad_Monster_Party", "url": "http://groups.yahoo.com/group/madmonsterparty/"} +{"d:Title": "Man with the Smallest Penis in Existence and the Electron Microscope Technician Who Loved Him, The (2003)", "d:Description": "Includes profile and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/Man_with_the_Smallest_Penis_in_Existence_and_the_Electron_Microscope_Technician_Who_Loved_Him,_The", "url": "http://imdb.com/title/tt0374020/"} +{"d:Title": "IMDb: Meet the Feebles (1989)", "d:Description": "Cast and crew, production details, user comments, and related links.", "topic": "Top/Arts/Animation/Movies/Titles/Meet_the_Feebles", "url": "http://www.imdb.com/title/tt0097858/"} +{"d:Title": "dFX Monsters, Inc. Movie Site", "d:Description": "News, features, reviews, FAQ, and box office results for Pixar's animated movie.", "topic": "Top/Arts/Animation/Movies/Titles/Monsters,_Inc.", "url": "http://www.digitalmediafx.com/Monsters/index.html"} +{"d:Title": "Rotten Tomatoes - Monsters, Inc.", "d:Description": "Reviews and reactions from the nation's top critics.", "topic": "Top/Arts/Animation/Movies/Titles/Monsters,_Inc.", "url": "http://www.rottentomatoes.com/m/monsters_inc/"} +{"d:Title": "HARO Online - Monsters, Inc.", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Monsters,_Inc./Reviews", "url": "http://www.haro-online.com/movies/monsters_inc.html"} +{"d:Title": "Metacritic.com - Monsters, Inc.", "d:Description": "Cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Animation/Movies/Titles/Monsters,_Inc./Reviews", "url": "http://www.metacritic.com/film/titles/monstersinc"} +{"d:Title": "The Reel McCoy", "d:Description": "Positive review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Monsters,_Inc./Reviews", "url": "http://www.the-reel-mccoy.com/movies/2001/MonstersInc.html"} +{"d:Title": "All-Reviews.com - Monsters Inc.", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Monsters,_Inc./Reviews", "url": "http://all-reviews.com/videos-4/monsters-inc.htm"} +{"d:Title": "Chicago Sun Times", "d:Description": "Roger Ebert's three star review of Monsters, Inc.", "topic": "Top/Arts/Animation/Movies/Titles/Monsters,_Inc./Reviews", "url": "http://www.rogerebert.com/reviews/monsters-inc-2001"} +{"d:Title": "Mulan's Reflection of Courage", "d:Description": "A fan site dedicated to Disney's 36 full-length animated feature film, Mulan. A collection of pictures, with links to purchase posters.", "topic": "Top/Arts/Animation/Movies/Titles/Mulan", "url": "http://members.tripod.com/mulan_magic/courage.html"} +{"d:Title": "All-Reviews.com: Mulan", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Mulan", "url": "http://www.all-reviews.com/videos/mulan.htm"} +{"d:Title": "Revenge of Ywe-Lung, the Moon Dragon", "d:Description": "Based on the 1998 film. Images, fan fiction, poetry, MP3s, and profiles.", "topic": "Top/Arts/Animation/Movies/Titles/Mulan", "url": "http://www.angelfire.com/movies/ywelung/"} +{"d:Title": "Disney Archives: Mulan", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Animation/Movies/Titles/Mulan", "url": "http://disney.go.com/vault/archives/movies/mulan/mulan.html"} +{"d:Title": "PopMatters - Nightmare Before Christmas", "d:Description": "Film review.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://popmatters.com/film/reviews/n/nightmare-before-christmas.html"} +{"d:Title": "Hidden Mickeys in Nightmare Before Christmas", "d:Description": "User reported images of Mickey Mouse in the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://www.hiddenmickeys.org/Movies/Nightmare.html"} +{"d:Title": "Halloween Town", "d:Description": "Fan site with images and scripts from the movie, trivia, information about the director, and a message board.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://www.angelfire.com/weird2/dagger_wednesday/"} +{"d:Title": "Lair2000 - Nightmare Before Christmas", "d:Description": "Contains pictures, animations, lyrics, screensavers, desktop themes and puzzles.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://lair2000.net/night/nightmare.html"} +{"d:Title": "Filmtracks: The Nightmare Before Christmas", "d:Description": "A soundtrack review, with songs list and ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://www.filmtracks.com/titles/nightmare_before.html"} +{"d:Title": "Pumpkin Patch", "d:Description": "Fan site with art, screen caps, avatars, wallpapers, ringtones, videos, and games.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://www.nightmarebeforechristmas.net/"} +{"d:Title": "Fandango: The Nightmare Before Christmas", "d:Description": "Movie overview, cast, and fan reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://www.fandango.com/timburtonsthenightmarebeforechristmas1993_99500/movieoverview"} +{"d:Title": "IMDb: The Nightmare Before Christmas", "d:Description": "Cast, plot summary, links and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Nightmare_Before_Christmas,_The", "url": "http://www.imdb.com/title/tt0107688/"} +{"d:Title": "All-Reviews.com - Oliver And Company", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Oliver_and_Company", "url": "http://www.all-reviews.com/videos-3/oliver-and-company.htm"} +{"d:Title": "Osmosis Jones", "d:Description": "Official Warner Bros site with interactive games, desktop downloads, movie trailer, pre-production artwork, live-action photos, and cast and crew details. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Animation/Movies/Titles/Osmosis_Jones", "url": "http://osmosisjones.warnerbros.com/"} +{"d:Title": "All-Reviews.com - Osmosis Jones", "d:Description": "Reader-submitted reviews (generally positive), ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Osmosis_Jones", "url": "http://www.all-reviews.com/videos-3/osmosis-jones.htm"} +{"d:Title": "El Muerte Rojo: Osmosis Jones and Thrax", "d:Description": "Fansite with links, pictures and information about the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Osmosis_Jones", "url": "http://www.angelfire.com/ego2/thrax1313/"} +{"d:Title": "Washingtonpost.com", "d:Description": "A review of The Pagemaster, by Rita Kempley.", "topic": "Top/Arts/Animation/Movies/Titles/Pagemaster,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thepagemastergkempley_c01179.htm"} +{"d:Title": "Imdb: The Pagemaster", "d:Description": "Trivia, reviews, plot summary, cast list, quotes, awards, and soundtrack information.", "topic": "Top/Arts/Animation/Movies/Titles/Pagemaster,_The", "url": "http://www.imdb.com/title/tt0110763/"} +{"d:Title": "IMDb: Piglet's Big Movie", "d:Description": "Movie information from the Internet Movie Database.", "topic": "Top/Arts/Animation/Movies/Titles/Piglet's_Big_Movie", "url": "http://www.imdb.com/title/tt0323642/"} +{"d:Title": "All-Reviews.com - Pinocchio (1940)", "d:Description": "Review of the classic Disney film.", "topic": "Top/Arts/Animation/Movies/Titles/Pinocchio", "url": "http://www.all-reviews.com/videos-3/pinocchio-1940.htm"} +{"d:Title": "IMDb.com - the Plague Dogs", "d:Description": "Cast and crew credits and film information.", "topic": "Top/Arts/Animation/Movies/Titles/Plague_Dogs,_The", "url": "http://www.imdb.com/title/tt0084509/"} +{"d:Title": "All-Reviews.com - Pocahontas", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Pocahontas", "url": "http://www.all-reviews.com/videos-2/pocahontas.htm"} +{"d:Title": "All-Reviews.com: The Polar Express", "d:Description": "A collection of four reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Polar_Express,_The", "url": "http://www.all-reviews.com/videos-5/polar-express.htm"} +{"d:Title": "Rotten Tomatoes: Polar Express", "d:Description": "Reviews, production notes, cast list, photos, trailers, continuity errors, a plot summary, box office numbers, and a forum.", "topic": "Top/Arts/Animation/Movies/Titles/Polar_Express,_The", "url": "http://www.rottentomatoes.com/m/polar_express/"} +{"d:Title": "IMDb: The Polar Express", "d:Description": "Photo gallery, plot outlines, cast overview, trivia, quotes, user reviews, a message board and links.", "topic": "Top/Arts/Animation/Movies/Titles/Polar_Express,_The", "url": "http://www.imdb.com/title/tt0338348/"} +{"d:Title": "The Polar Express", "d:Description": "Official site offering production notes, a cast list, posters, photographs, a trailer, downloads and games.", "priority": "1", "topic": "Top/Arts/Animation/Movies/Titles/Polar_Express,_The", "url": "http://wwws.warnerbros.co.uk/movies/polarexpress/"} +{"d:Title": "USA Today: Delightful Holiday Tale Runs off the Rails in Spots", "d:Description": "Review by Claudia Puig.", "topic": "Top/Arts/Animation/Movies/Titles/Polar_Express,_The", "url": "http://www.usatoday.com/life/movies/reviews/2004-11-09-polar-express_x.htm"} +{"d:Title": "IGN: The Polar Express", "d:Description": "Presents a review with news, and screenshots.", "topic": "Top/Arts/Animation/Movies/Titles/Polar_Express,_The", "url": "http://www.ign.com/movies/the-polar-express/theater-490208"} +{"d:Title": "HARO Online: The Powerpuff Girls", "d:Description": "Haro reviews \"The Powerpuff Girls Movie.\"", "topic": "Top/Arts/Animation/Movies/Titles/Powerpuff_Girls_-_The_Movie", "url": "http://www.haro-online.com/movies/powerpuff_girls_movie.html"} +{"d:Title": "Metacritic.com: The Powerpuff Girls Movie", "d:Description": "Provides quotes from and links to a variety of film reviews, plus cast information and an overall score.", "topic": "Top/Arts/Animation/Movies/Titles/Powerpuff_Girls_-_The_Movie", "url": "http://www.metacritic.com/movie/the-powerpuff-girls"} +{"d:Title": "All-Reviews - Prince of Egypt", "d:Description": "Collection of five reviews of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Prince_of_Egypt,_The", "url": "http://www.all-reviews.com/videos/prince-of-egypt.htm"} +{"d:Title": "Crazy for Cinema - The Prince of Egypt", "d:Description": "Includes a review of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Prince_of_Egypt,_The", "url": "http://crazy4cinema.com/Review/FilmsP/f_prince_egypt.html"} +{"d:Title": "Wikipedia - The Prince of Egypt", "d:Description": "Article about the film in the collaborative online encyclopedia. Includes plot and production.", "topic": "Top/Arts/Animation/Movies/Titles/Prince_of_Egypt,_The", "url": "http://en.wikipedia.org/wiki/The_Prince_of_Egypt"} +{"d:Title": "IMDB - The Prince of Egypt (1998)", "d:Description": "Entry for the film in the Internet Movie Database. Overview, cast and comments.", "topic": "Top/Arts/Animation/Movies/Titles/Prince_of_Egypt,_The", "url": "http://www.imdb.com/title/tt0120794/"} +{"d:Title": "YouTube - Prince of Egypt", "d:Description": "Video trailer for the animated movie.", "topic": "Top/Arts/Animation/Movies/Titles/Prince_of_Egypt,_The", "url": "http://www.youtube.com/watch?v=yWs81poMgiM"} +{"d:Title": "All-Reviews.com: Quest for Camelot", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Quest_for_Camelot", "url": "http://www.all-reviews.com/videos/quest-for-camelot.htm"} +{"d:Title": "Animated Heroines: Kayley", "d:Description": "Character description, pictures and quotes of Kayley.", "topic": "Top/Arts/Animation/Movies/Titles/Quest_for_Camelot", "url": "http://animatedheroines.com/Kayley.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Quest_for_Camelot", "url": "http://www.imdb.com/title/tt0120800/"} +{"d:Title": "Rotten Tomatoes: Ratatouille", "d:Description": "Review links, synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Animation/Movies/Titles/Ratatouille", "url": "http://www.rottentomatoes.com/m/ratatouille/"} +{"d:Title": "IGN Movies: Ratatouille", "d:Description": "News, previews, photos, videos, and a message board.", "topic": "Top/Arts/Animation/Movies/Titles/Ratatouille", "url": "http://movies.ign.com/objects/834/834652.html"} +{"d:Title": "IMDb: Ratatouille (2007)", "d:Description": "Photos, plot, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Animation/Movies/Titles/Ratatouille", "url": "http://www.imdb.com/title/tt0382932/"} +{"d:Title": "Entertainment Weekly", "d:Description": "Review, by Owen Gleiberman: \"Ratatouille is a blithe concoction, as well as a miraculously textured piece of animated design.\" [Grade: B]", "topic": "Top/Arts/Animation/Movies/Titles/Ratatouille", "url": "http://ew.com/article/2007/06/29/ratatouille-3/"} +{"d:Title": "HARO Online", "d:Description": "Very short review of movie.", "topic": "Top/Arts/Animation/Movies/Titles/Recess_-_School's_Out", "url": "http://www.haro-online.com/movies/recess_schools_out.html"} +{"d:Title": "PopMatters - Recess: School's Out", "d:Description": "Detailed review of the film and nationwide showtimes.", "topic": "Top/Arts/Animation/Movies/Titles/Recess_-_School's_Out", "url": "http://popmatters.com/film/reviews/r/recess-schools-out.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Recess_-_School's_Out", "url": "http://www.metacritic.com/film/titles/recessschoolsout"} +{"d:Title": "All-Reviews.com - Recess: School's Out", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Recess_-_School's_Out", "url": "http://www.all-reviews.com/videos-2/schools-out.htm"} +{"d:Title": "Rotten Tomatoes - Recess", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Animation/Movies/Titles/Recess_-_School's_Out", "url": "http://www.rottentomatoes.com/m/recess_schools_out/"} +{"d:Title": "IMDb: Redux Riding Hood", "d:Description": "Film overview, synopsis, cast and crew, awards, user reviews and ratings, related films, technical specifications, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Redux_Riding_Hood", "url": "http://www.imdb.com/title/tt0141560/"} +{"d:Title": "Z's Ranting", "d:Description": "Article about the Rankin/Bass TV movie, Return of the King. Provides a synopsis, opinion, and images.", "topic": "Top/Arts/Animation/Movies/Titles/Return_of_the_King,_The_-_1980", "url": "http://pw1.netcom.com/~zmoq/pages/ROTK_RB.htm"} +{"d:Title": "IMDb: Return of the King, The - 1980", "d:Description": "Provides a plot summary, cast, and crew information.", "topic": "Top/Arts/Animation/Movies/Titles/Return_of_the_King,_The_-_1980", "url": "http://www.imdb.com/title/tt0079802/"} +{"d:Title": "HARO Online - The Road to Eldorado", "d:Description": "Review of the film", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://www.haro-online.com/movies/road_to_el_dorado.html"} +{"d:Title": "All-Reviews.com - Road to El Dorado", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://www.all-reviews.com/videos/road-to-el-dorado.htm"} +{"d:Title": "The Unofficial Road to El Dorado Fansite", "d:Description": "Movie information, quotes, fan fiction, trivia and links.", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://love1242.tripod.com/eldorado2.html"} +{"d:Title": "Rotten Tomatoes - The Road to El Dorado", "d:Description": "Reviews, credits list, summary, trailer, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://www.rottentomatoes.com/m/road_to_el_dorado/"} +{"d:Title": "Box Office Mojo: The Road to El Dorado", "d:Description": "Box office data for the film. Includes a comparison with other Dreamworks family movies.", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://www.boxofficemojo.com/movies/?id=roadtoeldorado.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://www.metacritic.com/movie/the-road-to-el-dorado"} +{"d:Title": "IMDb: The road to El Dorado", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://www.imdb.com/title/tt0138749/"} +{"d:Title": "AllReaders.com Road to El Dorado Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Animation/Movies/Titles/Road_to_El_Dorado,_The", "url": "http://allreaders.com/movie-review-summary/the-road-el-dorado-4847"} +{"d:Title": "Adrianne's Robin Hood Gallery", "d:Description": "Includes many original images from Disney's Robin Hood.", "topic": "Top/Arts/Animation/Movies/Titles/Robin_Hood", "url": "http://www.angelfire.com/realm/operaghost/robinhood.html"} +{"d:Title": "Robin Hood (1973)", "d:Description": "Cast list and production information courtesy of the Internet Movie Database.", "topic": "Top/Arts/Animation/Movies/Titles/Robin_Hood", "url": "http://www.imdb.com/title/tt0070608/"} +{"d:Title": "Maid Marian's Fan Page", "d:Description": "Character description and pictures.", "topic": "Top/Arts/Animation/Movies/Titles/Robin_Hood/Characters", "url": "http://animatedheroines.com/Marian.html"} +{"d:Title": "Haro Online: Rugrats Go Wild", "d:Description": "A review of the third adventure of the rugrats.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_Go_Wild", "url": "http://www.haro-online.com/movies/rugrats_go_wild.html"} +{"d:Title": "All-Reviews: Rugrats Go Wild", "d:Description": "A review of the movie by Steve Rhodes.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_Go_Wild", "url": "http://all-reviews.com/videos-5/rugrats-go-wild.htm"} +{"d:Title": "IMDb: Rugrats Go Wild", "d:Description": "A review of the movie, with plot summary, user comments and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_Go_Wild", "url": "http://www.imdb.com/title/tt0337711/"} +{"d:Title": "All-Reviews.com- Rugrats in Paris", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_in_Paris_-_The_Movie", "url": "http://www.all-reviews.com/videos-2/rugrats-in-paris.htm"} +{"d:Title": "PopMatters - Rugrats in Paris", "d:Description": "Review of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_in_Paris_-_The_Movie", "url": "http://popmatters.com/film/reviews/r/rugrats-in-paris.html"} +{"d:Title": "Rotten Tomatoes - Rugrats in Paris", "d:Description": "Reviews, summary, credits, trailer, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_in_Paris_-_The_Movie", "url": "http://www.rottentomatoes.com/m/rugrats_in_paris/"} +{"d:Title": "Metacritic.com: Rugrats in Paris", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_in_Paris_-_The_Movie", "url": "http://www.metacritic.com/movie/rugrats-in-paris-the-movie---rugrats-ii"} +{"d:Title": "IMDb: Rugrats in Paris", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_in_Paris_-_The_Movie", "url": "http://www.imdb.com/title/tt0213203/"} +{"d:Title": "All-Reviews.com - The Rugrats Movie", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_Movie,_The", "url": "http://www.all-reviews.com/videos/rugrats.htm"} +{"d:Title": "IMDb: The Rugrats Movie", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Rugrats_Movies/Rugrats_Movie,_The", "url": "http://www.imdb.com/title/tt0134067/"} +{"d:Title": "Scooby-Doo.com", "d:Description": "Official site with video information, merchandise, games and free web cards.", "topic": "Top/Arts/Animation/Movies/Titles/Scooby-Doo_Movies/Scooby-Doo_and_the_Witch's_Ghost", "url": "http://www.scoobydoo.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Summary, cast and crew list, merchandising links, and short reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Scooby-Doo_Movies/Scooby-Doo_and_the_Witch's_Ghost", "url": "http://www.imdb.com/title/tt0196931/"} +{"d:Title": "CSI: Scooby-Doo, How Could You?", "d:Description": "Review by Tim Madigan. \"It's all such a sad betrayal of the original show's glorious skeptical tradition.\"", "topic": "Top/Arts/Animation/Movies/Titles/Scooby-Doo_Movies/Scooby-Doo_on_Zombie_Island", "url": "http://www.csicop.org/sb/show/scooby-doo_how_could_you/"} +{"d:Title": "Scooby Doo On Zombie Island", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Animation/Movies/Titles/Scooby-Doo_Movies/Scooby-Doo_on_Zombie_Island", "url": "http://scoobydoo.kidswb.com/"} +{"d:Title": "IMDb: Scooby Doo on Zombie Island", "d:Description": "Summary, cast and crew list, reviews, quotes, and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Scooby-Doo_Movies/Scooby-Doo_on_Zombie_Island", "url": "http://www.imdb.com/title/tt0166792/"} +{"d:Title": "IMDb: The Secret Adventures of Tom Thumb", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Animation/Movies/Titles/Secret_Adventures_of_Tom_Thumb,_The", "url": "http://www.imdb.com/title/tt0108069/"} +{"d:Title": "The Secret Adventures of Tom Thumb", "d:Description": "Detailed analysis of the film, and links to similar movies.", "topic": "Top/Arts/Animation/Movies/Titles/Secret_Adventures_of_Tom_Thumb,_The", "url": "http://allreaders.com/movie-review-summary/the-secret-adventures-of-tom-thumb-5184"} +{"d:Title": "The Secret of NIMH Archive", "d:Description": "A collection of published articles and press releases about the film.", "topic": "Top/Arts/Animation/Movies/Titles/Secret_of_NIMH_Series/Secret_of_NIMH,_The", "url": "http://www.vanden-eykel.com/nimharchive/"} +{"d:Title": "Thorn Valley: Simon's Secret of NIMH Site", "d:Description": "Includes a large gallery of fan art and screengrabs, fan-fiction, articles, a forum and a Timothy fan page.", "topic": "Top/Arts/Animation/Movies/Titles/Secret_of_NIMH_Series/Secret_of_NIMH,_The", "url": "http://www.thornvalley.com/"} +{"d:Title": "IMDb: The Secret of Nimh", "d:Description": "Cast and crew list, summary, trivia, reviews and quotations.", "topic": "Top/Arts/Animation/Movies/Titles/Secret_of_NIMH_Series/Secret_of_NIMH,_The", "url": "http://www.imdb.com/title/tt0084649/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list and short reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Secret_of_NIMH_Series/Secret_of_NIMH_2_-_Timmy_to_the_Rescue", "url": "http://www.imdb.com/title/tt0171725/"} +{"d:Title": "IMDb: Shark Tale", "d:Description": "Cast, crew, reviews, plot summary and links for the cgi movie.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.imdb.com/title/tt0307453/"} +{"d:Title": "Rottentomatoes: Shark Tale", "d:Description": "Reviews from the nation's top critics and audiences. Also includes movie information, trailers, photos, articles, and a forum.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.rottentomatoes.com/m/shark_tale/"} +{"d:Title": "Apple trailers: Shark Tale", "d:Description": "Trailers in various sizes for the dreamworks movie.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.apple.com/trailers/dreamworks/shark_tale/"} +{"d:Title": "Wikipedia: Shark Tale", "d:Description": "Encyclopedia article on the movie, including plot overview, voice cast, external links.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://en.wikipedia.org/wiki/Shark_Tale"} +{"d:Title": "CNN.com Review: 'Shark Tale' goes swimmingly", "d:Description": "Relatively positive review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.cnn.com/2004/SHOWBIZ/Movies/09/30/review.shark/"} +{"d:Title": "Mooviees! - Shark Tale (2004)", "d:Description": "Features photos, wallpapers, trailers, review links, cast overview, synopsis and production notes.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.mooviees.com/7944-shark-tale/movie"} +{"d:Title": "HARO Online: Shark Tale", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.haro-online.com/movies/shark_tale.html"} +{"d:Title": "Movie Forums: Shark Tale", "d:Description": "Chris Bowyer gives the film 3 out of 5 stars.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.movieforums.com/reviews/shark_tale.html"} +{"d:Title": "Yahoo Movies: Shark Tale", "d:Description": "News, photos, trailers and reviews for the computer animated comedy.", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://movies.yahoo.com/movie/shark-tale/"} +{"d:Title": "Future Movies: Shark Tale", "d:Description": "Mixed review written by Matt McAllister including photographs [Accessible version provided]", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "https://www.futuremovies.co.uk/reviews/shark-tale/matt-mcallister"} +{"d:Title": "Dreamworks: Shark Tale", "d:Description": "The official site for the dreamworks movie featuring the character voices of Robert De Niro and Will Smith. Trailers, games and downloads. [flash plugin required, broadband connection targeted.]", "topic": "Top/Arts/Animation/Movies/Titles/Shark_Tale", "url": "http://www.dreamworksanimation.com/sharktale/"} +{"d:Title": "Shrek", "d:Description": "Official PDI/DreamWorks SKG website of this feature-length 3D animated film. Requires Flash and Pulse plugins.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek", "url": "http://www.shrek.com/"} +{"d:Title": "Digital Media FX: Shrek", "d:Description": "Information resource with news, reviews, feature articles and interview, pictures, box office statistics, cast and crew list, FAQs and other details.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek", "url": "http://www.digitalmediafx.com/Shrek/index.html"} +{"d:Title": "Rotten Tomatoes: Shrek", "d:Description": "Reviews, links, synopsis, cast and crew, photos, and trailer.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek", "url": "http://www.rottentomatoes.com/m/shrek/"} +{"d:Title": "IMDb.com - Shrek", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek", "url": "http://www.imdb.com/title/tt0126029/"} +{"d:Title": "Yahoo Movies: Shrek", "d:Description": "Cast and plot information, release dates, and review commentary.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek", "url": "http://movies.yahoo.com/movie/shrek/"} +{"d:Title": "Voicing Shrek", "d:Description": "By Joe Tracy. [Digital Media FX] A Look at the Shrek Voice Talent.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek/Cast_and_Crew", "url": "http://www.digitalmediafx.com/Shrek/shrekfeature05.html"} +{"d:Title": "The Making of Shrek", "d:Description": "By Iain Blair. [Digital Animators] Includes quotes from Shrek producer Aron Warner, visual effects supervisor Ken Bielenberg, and supervising animator Raman Hui.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek/Cast_and_Crew", "url": "http://www.digitalanimators.com/2001/05_may/features/Shrek/TheMakingShrek.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek/Reviews", "url": "http://www.haro-online.com/movies/shrek.html"} +{"d:Title": "Metacritic.com: Shrek", "d:Description": "A cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek/Reviews", "url": "http://www.metacritic.com/film/titles/shrek"} +{"d:Title": "All-Reviews.com - Shrek", "d:Description": "Movie reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek/Reviews", "url": "http://www.all-reviews.com/videos-3/shrek.htm"} +{"d:Title": "Killermovies: Shrek 2", "d:Description": "A synopsis of the movie and trailers in various formats.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek_2", "url": "http://www.killermovies.com/s/shrek2/"} +{"d:Title": "IMDb: Shrek 2", "d:Description": "Reviews, plot summary, discussion about the movie, with trailers and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek_2", "url": "http://www.imdb.com/title/tt0298148/"} +{"d:Title": "HARO Online: Shrek 2", "d:Description": "Review and pictures.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek_2", "url": "http://www.haro-online.com/movies/shrek2.html"} +{"d:Title": "UKHotMovies: Shrek 2", "d:Description": "Review, photo stills and trailers in various formats.", "topic": "Top/Arts/Animation/Movies/Titles/Shrek_Series/Shrek_2", "url": "http://www.ukhotmovies.com/reviews/shrek-2"} +{"d:Title": "IMDb: The Simpsons Movie", "d:Description": "Full preview with cast, story and production information.", "topic": "Top/Arts/Animation/Movies/Titles/Simpsons_Movie,_The", "url": "http://imdb.com/title/tt0462538/"} +{"d:Title": "Wikipedia: The Simpsons Movie", "d:Description": "Features a history of trailers, crew and production information, movie plot, industry comments and technical specifications.", "topic": "Top/Arts/Animation/Movies/Titles/Simpsons_Movie,_The", "url": "http://en.wikipedia.org/wiki/The_Simpsons_Movie"} +{"d:Title": "Rotten Tomatoes: Simpsons Movie", "d:Description": "Includes movie information, trailer, poster, photos and news.", "topic": "Top/Arts/Animation/Movies/Titles/Simpsons_Movie,_The", "url": "http://www.rottentomatoes.com/m/simpsons_movie/"} +{"d:Title": "Apple - Trailers - The Simpsons Movie", "d:Description": "Features various movie trailers in quicktime format.", "topic": "Top/Arts/Animation/Movies/Titles/Simpsons_Movie,_The", "url": "http://www.apple.com/trailers/fox/thesimpsonsmovie/"} +{"d:Title": "Movie Forums - The Simpsons Movie Review", "d:Description": "Reviewed by Chris Bowyer.", "topic": "Top/Arts/Animation/Movies/Titles/Simpsons_Movie,_The", "url": "http://www.movieforums.com/reviews/the_simpsons_movie.html"} +{"d:Title": "Yahoo Movies: The Simpsons Movie", "d:Description": "Offers photos, trailers and movie information.", "topic": "Top/Arts/Animation/Movies/Titles/Simpsons_Movie,_The", "url": "http://movies.yahoo.com/movie/the-simpsons-movie/"} +{"d:Title": "IMDb: Sinbad - Legend of the Seven Seas (2003)", "d:Description": "Cast and crew information, reviews, plot summary, trailers and links for the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Sinbad_-_Legend_of_the_Seven_Seas", "url": "http://www.imdb.com/title/tt0165982/"} +{"d:Title": "Yahoo Movies: Sinbad", "d:Description": "News, images, trailers and user reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Sinbad_-_Legend_of_the_Seven_Seas", "url": "http://movies.yahoo.com/movie/sinbad-legend-of-the-seven-seas/"} +{"d:Title": "IMDb: Sleeping Beauty", "d:Description": "Cast and crew list, production information, trivia, reviews, links, and other details.", "topic": "Top/Arts/Animation/Movies/Titles/Sleeping_Beauty", "url": "http://www.imdb.com/title/tt0053285/"} +{"d:Title": "Greatest Films - Snow White And The Seven Dwarfs", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Animation/Movies/Titles/Snow_White_and_the_Seven_Dwarfs", "url": "http://www.filmsite.org/snow.html"} +{"d:Title": "Hidden Mickeys", "d:Description": "Hidden Mickeys in the movie Snow White and the Seven Dwarfs", "topic": "Top/Arts/Animation/Movies/Titles/Snow_White_and_the_Seven_Dwarfs", "url": "http://www.hiddenmickeys.org/Movies/SnowWhite.html"} +{"d:Title": "About.com Review", "d:Description": "Ivana Redwine reviews the DVD.", "topic": "Top/Arts/Animation/Movies/Titles/Snow_White_and_the_Seven_Dwarfs", "url": "http://homevideo.about.com/library/weekly/aafpr102001.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Snow_White_and_the_Seven_Dwarfs", "url": "http://www.imdb.com/title/tt0029583/"} +{"d:Title": "Song of the South.net", "d:Description": "Dedicated to celebrating and preserving Walt Disney's Classic 1946 production. Includes overview, review, history, cast photos and profiles, lyrics, credits, audio clips, polls, image gallery, memorabilia, FAQs, message board, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Song_of_the_South", "url": "http://www.songofthesouth.net/"} +{"d:Title": "Urban Legends Reference Pages: Disney", "d:Description": "Is 'Song of the South' unavailable on video in America because of NAACP threats?", "topic": "Top/Arts/Animation/Movies/Titles/Song_of_the_South", "url": "http://www.snopes.com/disney/films/sots.asp"} +{"d:Title": "IMDb: Song of the South (1946)", "d:Description": "Cast and credits, user comments and rating, awards and nominations, trivia, mini-review by Leonard Maltin.", "topic": "Top/Arts/Animation/Movies/Titles/Song_of_the_South", "url": "http://www.imdb.com/title/tt0038969/"} +{"d:Title": "All-Reviews.com: South Park: Bigger, Longer,&Uncut", "d:Description": "Collection of reviews of the South Park movie.", "topic": "Top/Arts/Animation/Movies/Titles/South_Park_-_Bigger,_Longer_and_Uncut", "url": "http://www.all-reviews.com/videos/south-park.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the South Park movie.", "topic": "Top/Arts/Animation/Movies/Titles/South_Park_-_Bigger,_Longer_and_Uncut", "url": "http://www.haro-online.com/movies/south_park.html"} +{"d:Title": "South Park: Bigger, Longer&Uncut - Rotten Tomatoes", "d:Description": "Reviews, profiles, links to trailers, articles, interviews, and pictures.", "topic": "Top/Arts/Animation/Movies/Titles/South_Park_-_Bigger,_Longer_and_Uncut", "url": "http://www.rottentomatoes.com/m/south_park_bigger_longer_and_uncut/"} +{"d:Title": "Metacritic.com: South Park: Bigger, Longer, Uncut", "d:Description": "A cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Animation/Movies/Titles/South_Park_-_Bigger,_Longer_and_Uncut", "url": "http://www.metacritic.com/movie/south-park-bigger-longer-uncut"} +{"d:Title": "IMDb - South Park: Bigger, Longer and Uncut (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Animation/Movies/Titles/South_Park_-_Bigger,_Longer_and_Uncut", "url": "http://www.imdb.com/title/tt0158983/"} +{"d:Title": "AllReaders.com Review - South Park: Bigger, Longer, and Uncut", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Trey Parker and Matt Stone film, and links to similar movies.", "topic": "Top/Arts/Animation/Movies/Titles/South_Park_-_Bigger,_Longer_and_Uncut", "url": "http://allreaders.com/movie-review-summary/south-park-bigger-longer-and-uncut-5838"} +{"d:Title": "Spirit: Stallion of the Cimarron - Official Site", "d:Description": "The official site for the movie by dreamworks. With games and downloads.[Flash required]", "topic": "Top/Arts/Animation/Movies/Titles/Spirit_-_Stallion_of_the_Cimarron", "url": "http://www.dreamworks.com/spirit/"} +{"d:Title": "Haro Online: Spirit", "d:Description": "A review of the Disney movie.", "topic": "Top/Arts/Animation/Movies/Titles/Spirit_-_Stallion_of_the_Cimarron", "url": "http://www.haro-online.com/movies/spirit_stallion.html"} +{"d:Title": "All-Reviews.com: Spirit: Stallion of the Cimarron", "d:Description": "Four reviews of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Spirit_-_Stallion_of_the_Cimarron", "url": "http://www.all-reviews.com/videos-4/spirit-stallion-cimarron.htm"} +{"d:Title": "Metacritic.com: Spirit: Stallion of the Cimarron", "d:Description": "Provides quotes from and links to a variety of film reviews, plus cast information and an overall score.", "topic": "Top/Arts/Animation/Movies/Titles/Spirit_-_Stallion_of_the_Cimarron", "url": "http://www.metacritic.com/movie/spirit-stallion-of-the-cimarron"} +{"d:Title": "HARO Online: Tarzan", "d:Description": "Review of the movie, including information and opinions on the music and technique.", "topic": "Top/Arts/Animation/Movies/Titles/Tarzan", "url": "http://www.haro-online.com/movies/tarzan.html"} +{"d:Title": "All-Reviews.com - Tarzan (1999)", "d:Description": "Collection of reviews by All-Reviews.com members.", "topic": "Top/Arts/Animation/Movies/Titles/Tarzan", "url": "http://www.all-reviews.com/videos/tarzan-1999.htm"} +{"d:Title": "Welcome To The Jungle", "d:Description": "Pictures, music, cast and crew information for Disney's Tarzan.", "topic": "Top/Arts/Animation/Movies/Titles/Tarzan", "url": "http://wdfanimation2.tripod.com/"} +{"d:Title": "MovieMusic.com: Tarzan Soundtrack", "d:Description": "Reviews, music clips and ordering information.", "topic": "Top/Arts/Animation/Movies/Titles/Tarzan", "url": "http://www.moviemusic.com/soundtrack/tarzan"} +{"d:Title": "IMDb - Tarzan (1999)", "d:Description": "Includes cast list, credits, user reviews, and ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Tarzan", "url": "http://www.imdb.com/title/tt0120855/"} +{"d:Title": "Walt Disney Pictures - The Tigger Movie", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Animation/Movies/Titles/Tigger_Movie,_The", "url": "http://disney.go.com/disneypictures/tigger/"} +{"d:Title": "Animation Artist Magazine", "d:Description": "Full cast and crew list.", "topic": "Top/Arts/Animation/Movies/Titles/Tigger_Movie,_The", "url": "http://www.animationartist.com/movies/tiggermovie/Artists/artists.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Tigger_Movie,_The", "url": "http://www.metacritic.com/movie/the-tigger-movie"} +{"d:Title": "Internet Movie Database", "d:Description": "Castand credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Tigger_Movie,_The", "url": "http://www.imdb.com/title/tt0220099/"} +{"d:Title": "Sidekick Takes Center Stage", "d:Description": "By P. Nelson Reinsch. [PopMatters] \"It's clear that children need periodic reminders why they like particular cartoon characters, and their parents need reminders why they should purchase the tie-in merchandise.\"", "topic": "Top/Arts/Animation/Movies/Titles/Tigger_Movie,_The/Reviews", "url": "http://popmatters.com/film/reviews/t/tigger-movie.html"} +{"d:Title": "Haro Online", "d:Description": "\"A pleasant enough experience.... not bad.\"", "topic": "Top/Arts/Animation/Movies/Titles/Tigger_Movie,_The/Reviews", "url": "http://haro-online.com/movies/tigger_movie.html"} +{"d:Title": "All-Reviews.com - The Tigger Movie", "d:Description": "Film reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Tigger_Movie,_The/Reviews", "url": "http://www.all-reviews.com/videos/tigger-movie.htm"} +{"d:Title": "Titan AE: The wake angels", "d:Description": "A collection ofpictures from the movie, screensavers, fan arts, wallpapers, and also quizzes.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E.", "url": "http://titanae.free.fr/"} +{"d:Title": "Filmbug - Titan A.E.", "d:Description": "Summary, cast information, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E.", "url": "http://www.filmbug.com/movies/t/titan_a.e.html"} +{"d:Title": "Rotten Tomatoes - Titan A.E.", "d:Description": "Reviews, summary, credits list, trailer, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E.", "url": "http://www.rottentomatoes.com/m/titan_ae/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E.", "url": "http://www.metacritic.com/movie/titan-ae"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and credits information.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E.", "url": "http://www.imdb.com/title/tt0120913/"} +{"d:Title": "Allreaders.com Titan A.E. Spotlight", "d:Description": "Detailed analysis of the Titan AE, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E.", "url": "http://allreaders.com/movie-review-summary/titan-ae-5538"} +{"d:Title": "HARO Online - Titan A.E.", "d:Description": "movie review.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E./Reviews", "url": "http://www.haro-online.com/movies/titan_ae.html"} +{"d:Title": "PopMatters - Titan A.E.", "d:Description": "Review of the film.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E./Reviews", "url": "http://popmatters.com/film/reviews/t/titan-ae.html"} +{"d:Title": "All-Reviews.com - Titan A.E.", "d:Description": "Movie reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Titan_A.E./Reviews", "url": "http://www.all-reviews.com/videos/titan-ae.htm"} +{"d:Title": "Lair 2000: Toy Story", "d:Description": "Includes pictures, animations, poems, and music.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series", "url": "http://lair2000.net/ToyStory1/Toy_Story1.html"} +{"d:Title": "IMDb: Toy Story", "d:Description": "Includes user reviews, cast and crew list, soundtrack information, and trivia.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story", "url": "http://www.imdb.com/title/tt0114709/"} +{"d:Title": "Moviesearch: Toy Story", "d:Description": "A review of the Pixar-Disney animated masterpiece.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story", "url": "http://www.1moviesearch.com/movies/toy_story.htm"} +{"d:Title": "AllReaders.com Review - Toy Story", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Tom Hanks and Tim Allen film, and links to similar movies.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story", "url": "http://allreaders.com/movie-review-summary/toy-story-3667"} +{"d:Title": "Toy Story 2", "d:Description": "Fanpage with movie details, reviews, pictures and links.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2", "url": "http://www.angelfire.com/mi2/HomeImprovement2/ToyStory2.html"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for Toy Story 2 since Day One. Includes a comparison with other Disney Thanksgiving offerings.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2", "url": "http://www.boxofficemojo.com/movies/?page=daily&id=toystory2.htm"} +{"d:Title": "Rotten Tomatoes: Toy Story 2", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2", "url": "http://www.rottentomatoes.com/m/toy_story_2/"} +{"d:Title": "IMDb: Toy Story 2", "d:Description": "Cast and crew list, reviews, synopsis, and merchandise.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2", "url": "http://www.imdb.com/title/tt0120363/"} +{"d:Title": "Metacritic.com: Toy Story 2", "d:Description": "Summarizes reviews from site users and media sources, and provides an average of all ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2", "url": "http://www.metacritic.com/movie/toy-story-2"} +{"d:Title": "AllReaders.com Review - Toy Story 2", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Tom Hanks and Tim Allen, and links to similar movies.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2", "url": "http://allreaders.com/movie-review-summary/toy-story-2-3666"} +{"d:Title": "Toy Story 2", "d:Description": "Review by Haro-Online. \"A great movie that deserves to be seen by everyone.\"", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2/Reviews", "url": "http://haro-online.com/movies/toy_story_2.html"} +{"d:Title": "All-Reviews.com - Toy Story 2", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Animation/Movies/Titles/Toy_Story_Series/Toy_Story_2/Reviews", "url": "http://www.all-reviews.com/videos/toy-story-2.htm"} +{"d:Title": "IMDb: Treasure Planet", "d:Description": "Reviews, plot summary, comments and trailers for the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Treasure_Planet", "url": "http://www.imdb.com/title/tt0133240/"} +{"d:Title": "HARO Online: Treasure Planet", "d:Description": "A review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/Treasure_Planet", "url": "http://www.haro-online.com/movies/treasure_planet.html"} +{"d:Title": "Metacritic.com: Treasure Planet", "d:Description": "Provides quotes from and links to a variety of film reviews, plus cast information. Overall score:56.", "topic": "Top/Arts/Animation/Movies/Titles/Treasure_Planet", "url": "http://www.metacritic.com/film/titles/treasureplanet"} +{"d:Title": "IMDb: The Unicorn in the Garden (1953)", "d:Description": "User ratings and comments; awards and production details.", "topic": "Top/Arts/Animation/Movies/Titles/Unicorn_in_the_Garden,_The", "url": "http://imdb.com/title/tt0153448/"} +{"d:Title": "Unicorns In The Garden", "d:Description": "Review article of the cartoon short and the original story text; with user comments.", "topic": "Top/Arts/Animation/Movies/Titles/Unicorn_in_the_Garden,_The", "url": "http://www.michaelspornanimation.com/splog/?p=1081"} +{"d:Title": "BCDb: The Unicorn in the Garden", "d:Description": "Synopsis, credits, and video download. [Requires Registration]", "topic": "Top/Arts/Animation/Movies/Titles/Unicorn_in_the_Garden,_The", "url": "http://www.bcdb.com/cartoon/725-Unicorn_In_The_Garden.html"} +{"d:Title": "YouTube: The Unicorn in the Garden", "d:Description": "Full video.", "topic": "Top/Arts/Animation/Movies/Titles/Unicorn_in_the_Garden,_The", "url": "http://www.youtube.com/watch?v=1teJjX-smdE"} +{"d:Title": "HARO Online: Waking Life", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Animation/Movies/Titles/Waking_Life", "url": "http://www.haro-online.com/movies/waking_life.html"} +{"d:Title": "IMDb: Waking Life", "d:Description": "Credits, awards and reviews, quotations, trivia, plot information, promotional material, and links.", "topic": "Top/Arts/Animation/Movies/Titles/Waking_Life", "url": "http://www.imdb.com/title/tt0243017/"} +{"d:Title": "Metacritic.com: Waking Life", "d:Description": "Provides quotes from many reviews, plus cast information. Overall score: 86.", "topic": "Top/Arts/Animation/Movies/Titles/Waking_Life", "url": "http://www.metacritic.com/movie/waking-life"} +{"d:Title": "All-Reviews.com - We're Back: A Dinosaur's Story", "d:Description": "Review of the movie.", "topic": "Top/Arts/Animation/Movies/Titles/We're_Back_A_Dinosaur's_Story", "url": "http://www.all-reviews.com/videos-3/were-back-dinosaur.htm"} +{"d:Title": "HARO Online - The Wild Thornberrys Movie", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Animation/Movies/Titles/Wild_Thornberrys_Movie,_The", "url": "http://www.haro-online.com/movies/wild_thornberrys.html"} +{"d:Title": "All-Reviews.com - The Wild Thornberrys Movie", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Animation/Movies/Titles/Wild_Thornberrys_Movie,_The", "url": "http://all-reviews.com/videos-5/wild-thornberrys-movie.htm"} +{"d:Title": "The Beatles' Yellow Submarine Turns 30", "d:Description": "John Coates and Norman Kauffman look back at this imaginative animated film. Detailed article dated July, 1998, from Animation World Magazine.", "topic": "Top/Arts/Animation/Movies/Titles/Yellow_Submarine", "url": "http://www.awn.com/mag/issue3.4/3.4pages/3.4cohen.html"} +{"d:Title": "The Big Picture Review: Yellow Submarine", "d:Description": "Review with synopsis of the MGM remastering of this Beatles animated feature for DVD release.", "topic": "Top/Arts/Animation/Movies/Titles/Yellow_Submarine", "url": "http://www.thebigpicturedvd.com/yellow-submarine"} +{"d:Title": "Digital Media FX", "d:Description": "Covering the animation, 3D, 2D, CGI, and visual effects industries with the latest news, animator interviews, traditional animation features, and coverage of upcoming animated movies.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.digitalmediafx.com/"} +{"d:Title": "Animation Insider", "d:Description": "News resource for animation fans.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.animationinsider.net/"} +{"d:Title": "Cartoon Brew", "d:Description": "Weblog of animation historian Jerry Beck and Amid Amidi, broadly covering animation news, opinion and artistry.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.cartoonbrew.com/"} +{"d:Title": "Animated News", "d:Description": "Daily news articles on all aspects of animation.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.animated-news.com/"} +{"d:Title": "Animation Meat", "d:Description": "News articles and notes from the world of animation.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.animationmeat.com/"} +{"d:Title": "The Critical Eye", "d:Description": "Interviews with Arlene Klasky, Gillian Anderson, Neil Gaiman, David Sproxton, Catherine Winder, Peter Chung, Bruce Timm, Glen Murakami, Paul Dini, and Darwyn Cooke.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.5x5media.com/eye/"} +{"d:Title": "Animation Xpress", "d:Description": "Indian-focused news portal for Animation, VFX, Gaming professionals, students and enthusiasts. Jobs and education classifieds.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.animationxpress.com/"} +{"d:Title": "All About Animation", "d:Description": "Indian-focused news blog, events listing and classifieds.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.allaboutanimation.com/"} +{"d:Title": "Animators Unite", "d:Description": "Animation, cartooning, non-profit news and services for independent animators.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.animatorsunite.com/"} +{"d:Title": "DMN Animation Artist", "d:Description": "Animation information resource - industry news, feature articles and interviews, forums, tutorials, screening room, movie sites, upcoming events, and other details.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.animationartist.com/"} +{"d:Title": "Digital Animators", "d:Description": "News, information and resources on products, technologies, people and facilities.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.digitalanimators.com/"} +{"d:Title": "Cartoon Research", "d:Description": "Home of Jerry Beck, animation historian; restored title screen images, history forum and information on Jerry's own books.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.cartoonresearch.com/"} +{"d:Title": "Animation News at The Big Cartoon DataBase", "d:Description": "The latest on cartoon and animation news, home video and DVD releases.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.bcdb.com/bcdb/page.cgi?p=News"} +{"d:Title": "Brett Rogers and the Toonoisie", "d:Description": "Animation journalist Brett D. Rogers features animation reviews, articles and news relevant to Baltimore, USA.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.brettdrogers.com/"} +{"d:Title": "Animation World Network Headline News", "d:Description": "Breaking industry news in the world of animation.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://www.awn.com/news"} +{"d:Title": "Comics Continuum", "d:Description": "Daily comics news as it relates to all entertainment mediums.", "topic": "Top/Arts/Animation/News_and_Media", "url": "http://comicscontinuum.com/"} +{"d:Title": "Toronto Animated Image Society", "d:Description": "Features information, news, events, archives, and newsletter; studio workspace available for member projects in Toronto, ON, Canada.", "topic": "Top/Arts/Animation/Organizations", "url": "http://www.tais.ca/"} +{"d:Title": "Cartoon", "d:Description": "Non-profit supporting the European animation industry. Hosts training seminars and co-production forums, and presides over the Cartoon d'Or award.", "topic": "Top/Arts/Animation/Organizations", "url": "http://www.cartoon-media.be/"} +{"d:Title": "The Animation Guild, Local 839 IATSE", "d:Description": "The labor union for creative artists, writers and technicians in motion-picture and television animation and computer graphics in southern California.", "topic": "Top/Arts/Animation/Organizations", "url": "http://www.animationguild.org/"} +{"d:Title": "The Animaticus Foundation", "d:Description": "Non-profit organization dedicated to the preserving, teaching and evolving the artform of 2D animation in a digital world. Hosts annual 2D animation festival in Everett, Washington, USA.", "topic": "Top/Arts/Animation/Organizations", "url": "http://animaticus.com/"} +{"d:Title": "Cartoonists Northwest", "d:Description": "An association of professional and amateur cartoonists, animators and illustrators operating in the Pacific Northwest, USA.", "topic": "Top/Arts/Animation/Organizations", "url": "http://www.cartoonistsnorthwest.com/"} +{"d:Title": "Association Internationale du Film d'Animation (ASIFA)", "d:Description": "Includes news, information, festival previews and reports, events calendar, film presentations and reviews, and links.", "priority": "1", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://asifa.net/"} +{"d:Title": "ASIFA-Hollywood", "d:Description": "Also home to the annual Annie Awards for excellence in animation. Includes information, events, meetings, screenings, membership details, the Animation Preservation Project, and links.", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://www.asifa-hollywood.org/"} +{"d:Title": "ASIFA-SF", "d:Description": "With membership details, information, events calendar, member gallery, jobs and training, and links.", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://www.asifa-sf.org/"} +{"d:Title": "ASIFA-Colorado", "d:Description": "Calendar of events, membership directory, links to members pages, and other information.", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://www.asifa-colorado.org/"} +{"d:Title": "ASIFA-East", "d:Description": "With information, membership details, newsletter, message board, member listings, gallery, and links. Activities centered around New York, NY, USA.", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://www.asifaeast.com/"} +{"d:Title": "ASIFA-Portland", "d:Description": "Upcoming events, news, information, and forums.", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://www.asifaportland.org/"} +{"d:Title": "ASIFA-Central", "d:Description": "Midwest USA chapter, with members directory, quarterly newsletter, events, animation gallery, resources, and links.", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://www.asifa.org/"} +{"d:Title": "ASIFA-Atlanta", "d:Description": "Screenings and an annual festival of independent animation.", "topic": "Top/Arts/Animation/Organizations/ASIFA", "url": "http://asifa-atlanta.com/"} +{"d:Title": "DreamWorks Animation SKG", "d:Description": "Official web site. Offers information such as company history, filmography, job opportunities and investor information.", "topic": "Top/Arts/Animation/Production/DreamWorks", "url": "http://www.dreamworksanimation.com/"} +{"d:Title": "Wikipedia - Hanna-Barbera", "d:Description": "Encyclopedia article provides the history and notable productions of the American animated cartoon production company.", "topic": "Top/Arts/Animation/Production/Hanna-Barbera", "url": "http://en.wikipedia.org/wiki/Hanna-Barbera"} +{"d:Title": "WingnutToons.com", "d:Description": "Episode guides for most Hanna-Barbera shows.", "topic": "Top/Arts/Animation/Production/Hanna-Barbera", "url": "http://www.wingnuttoons.com/"} +{"d:Title": "The Enchanted World of Rankin/Bass", "d:Description": "Official website created by author Rick Goldschmidt and web designer Mark Sykora as an extension of Rick's book \"The Enchanted World of Rankin/Bass - A Portfolio\". News, merchandise, and rare photographs.", "topic": "Top/Arts/Animation/Production/Rankin-Bass", "url": "http://rankinbass.com/"} +{"d:Title": "Jessica's Tribute to Disney", "d:Description": "Personal page featuring Disney pictures and sounds.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures", "url": "http://www.angelfire.com/ca/jessdisney/"} +{"d:Title": "Disney Postcards", "d:Description": "Free digital postcards and online greeting cards.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures", "url": "http://browse.postcards.org/postcards/cards/3408/"} +{"d:Title": "Frank's Disney Page", "d:Description": "A list of Disney animated features, song lyrics and scripts.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures", "url": "http://www.fpx.de/fp/Disney/"} +{"d:Title": "Judith's Disney Page", "d:Description": "Disney pictures, wallpapers, coloring pages and desktop themes.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures", "url": "http://www.disneyfriends.net/"} +{"d:Title": "Ron&Marie's Disney Trivia", "d:Description": "Information on Disney Animation, plus a free daily Disney e-mail list. Offers awards to Disney themed web pages.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures", "url": "http://www.disneytrivia.net/"} +{"d:Title": "Japanese Disney", "d:Description": "Looks at the ways Disney films dubbed into Japanese differ from the English-spoken originals. Includes reviews, lyrics, images and multimedia.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures", "url": "http://www.angelfire.com/musicals/disneyjapan/"} +{"d:Title": "The Encyclopedia of Disney Animated Shorts", "d:Description": "A complete guide to Disney short subjects made from 1922 to the present.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures", "url": "http://www.disneyshorts.org/"} +{"d:Title": "T.I.M.O.N", "d:Description": "The Incredible Meercat Obsession Nationwide. Read about The Lion King's Timon and browse through the sounds and pictures.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Characters", "url": "http://www.angelfire.com/la/Timonaholic/index.html"} +{"d:Title": "HermesBabe's Home Page", "d:Description": "Fan page dedicated to Hermes, the little blue guy from Disney's Hercules.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Characters", "url": "http://www.angelfire.com/film/hermesbabe/"} +{"d:Title": "The Real Pocahontas", "d:Description": "Eye-opening and entertaining comparison of Disney's Pocahontas with the historic facts. Includes Pocahontas descendants chart and reviews of good Pocahontas books.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Characters", "url": "http://pocahontas.morenus.org/"} +{"d:Title": "Everything Goofy", "d:Description": "Fan page including collection of Goofy merchandise.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Characters/Goofy", "url": "http://members.tripod.com/~kariv/index-2.html"} +{"d:Title": "Amanda's Mickey Page", "d:Description": "Pictures of Mickey and his friends, and also some helpful links.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Characters/Mickey_Mouse", "url": "http://www.angelfire.com/tx/DaPenguin/"} +{"d:Title": "Justin's Mickey Mouse Page", "d:Description": "Includes history, list of cartoon shorts, and message board.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Characters/Mickey_Mouse", "url": "http://www.angelfire.com/ca/JustinsSuperHomePage/"} +{"d:Title": "Mickey Mouse Trivia", "d:Description": "Facts about Mickey Mouse.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Characters/Mickey_Mouse", "url": "http://www.angelfire.com/nj/MMania/"} +{"d:Title": "DisneyClipart", "d:Description": "Features over 1,500 Disney clipart images of different characters and themes.", "priority": "1", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Clip_Art", "url": "http://clipart.disneysites.com/"} +{"d:Title": "Duckman's WDCC Inside Report", "d:Description": "Latest information on Walt Disney Classics Collection (WDCC) and 2D Animation Art (WDAC), including new releases, retirements and rumors.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Collectibles", "url": "http://www.wdccduckman.com/"} +{"d:Title": "Mia's Tinker Bell Collection", "d:Description": "Online galleries of a private collection.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Collectibles", "url": "http://www.members.tripod.com/Tink410/index.html"} +{"d:Title": "Disney Antenna Ball Collection", "d:Description": "Every antenna ball available is in this complete collection.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Collectibles", "url": "http://ballcollection.com/"} +{"d:Title": "Snowglobes from Neverland", "d:Description": "Features over 350 large Disney snowglobes each with a complete description and photographs.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Collectibles", "url": "http://users.skynet.be/neverland/"} +{"d:Title": "Disneykins.com", "d:Description": "Collector's guide to Marx Disneykins and related plastic toys from the Flubber Gallery.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Collectibles", "url": "http://www.disneykins.com/"} +{"d:Title": "Disney Bubble Blowers", "d:Description": "Guide to the many different bubble blowers produced by Disney.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Collectibles", "url": "http://www.bubbleblowers.com/cats/Disney.html"} +{"d:Title": "JustDisney.com", "d:Description": "Offers comprehensive information about Walt Disney's life, ideas, and creations.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Disney,_Walt", "url": "http://www.justdisney.com/"} +{"d:Title": "The Walt Disney Family Museum", "d:Description": "Devoted to Walt Disney's career, featuring clips from Disney's animated and live-action films.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Disney,_Walt", "url": "http://disney.go.com/disneyatoz/waltdisney/home.html"} +{"d:Title": "Walt Disney: A Biography", "d:Description": "A short biography from the official Disney archives.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Disney,_Walt", "url": "http://disney.go.com/disneyatoz/read/walt/"} +{"d:Title": "Walt Disney - A Biography", "d:Description": "Includes biography, bibliography, photographs, and related links.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Disney,_Walt", "url": "http://www.angelfire.com/stars3/waltdisney/"} +{"d:Title": "100 Years of Walt Disney", "d:Description": "Information on Walt Disney's life, ideas, and creations, featuring a 100th birthday card to be delivered to his daughter, Diane Disney Miller.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Disney,_Walt", "url": "http://www.justdisney.com/walt_disney/index.html"} +{"d:Title": "Disney Madness", "d:Description": "Disney MIDI's from a wide range of Disney movies.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Movies", "url": "http://www.zaxpax.com/disney1.htm"} +{"d:Title": "The Tour", "d:Description": "A list of Disney's animated features. Includes pictures from films listed.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Movies", "url": "http://members.tripod.com/cayk/tour.html"} +{"d:Title": "Saurabh's Cartoon Wallpaper Gallery", "d:Description": "Wallpaper with images from Disney movies.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Movies", "url": "http://members.tripod.com/sauka/saurabh.html"} +{"d:Title": "The Disney Freak", "d:Description": "Includes lyrics and scripts, quotes, and a disney freak test.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Movies", "url": "http://www.angelfire.com/movies/disneybroadway/"} +{"d:Title": "Disney Stuff", "d:Description": "Includes discussion boards, polls, character information, trivia, and news articles.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Movies", "url": "http://www.angelfire.com/movies/disneystuff/"} +{"d:Title": "Walt Disney Pictures", "d:Description": "Current and upcoming release information.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Movies", "url": "http://home.disney.go.com/movies/"} +{"d:Title": "Disney Stars Online", "d:Description": "Lists biographies of actors and actresses.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Television/Disney_Channel", "url": "http://groups.yahoo.com/group/disneystarsonline/"} +{"d:Title": "Disney Channel UK", "d:Description": "Official site for Disney Channel in the UK. Features games, competitions and detailed programme information.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Television/Disney_Channel", "url": "http://tv.disney.co.uk/"} +{"d:Title": "Disney Channel", "d:Description": "Official site. Features monthly schedule, interactive games and detailed program information.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Television/Disney_Channel", "url": "http://video.disney.com/collections/disney-channel-4befcbb33c18eb29118e2222"} +{"d:Title": "Animated Heroines", "d:Description": "Lists sites dedicated to one or more of Disney's animated heroines.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Web_Rings", "url": "http://www.webring.org/hub?ring=disneyheroines"} +{"d:Title": "The Disney Afternoon Ring", "d:Description": "Webrings of sites related to Disney Afternoon shows.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Web_Rings", "url": "http://www.webring.org/hub?ring=disaft"} +{"d:Title": "Mozenrath", "d:Description": "List of sites and information on joining.", "topic": "Top/Arts/Animation/Production/Walt_Disney_Pictures/Web_Rings", "url": "http://www.webring.org/hub?ring=themozenrathwebr"} +{"d:Title": "Warner Bros. Animation", "d:Description": "Official site.", "topic": "Top/Arts/Animation/Production/Warner_Bros.", "url": "http://www.wbanimation.com/"} +{"d:Title": "Big Cartoon DataBase Warner Bros Directory", "d:Description": "Complete List of all Warner Bros Animation cartoons, with episode guides, cast and crew listings for all the TV shows.", "topic": "Top/Arts/Animation/Production/Warner_Bros.", "url": "http://www.bcdb.com/bcdb/page.cgi?g=Warner_Bros./"} +{"d:Title": "Keeper's Cartoon Files", "d:Description": "Information and documents resource offering complete episode listings, lyrics and running gags from Animaniacs, Pinky and The Brain, Freakazoid and Road Rovers.", "topic": "Top/Arts/Animation/Production/Warner_Bros.", "url": "http://www2.cruzio.com/~keeper/toons.html"} +{"d:Title": "Stop Motion Film", "d:Description": "A resource and informational website from Germany about stop motion animation. Also, there is an English version of this site.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.stopmotionfilm.de/"} +{"d:Title": "Clay and Stop Motion Animation How-To Page", "d:Description": "News updates and tutorials about clay and stop motion animation. Includes message boards, image galleries and resources for stop motion/puppet film makers.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.animateclay.com/"} +{"d:Title": "Clay Animation&Sculpture", "d:Description": "Stop motion animation movies and pictures created with clay. [Some pages from this site not viewable with some browsers]", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://members.tripod.com/~dragomir.n/"} +{"d:Title": "Stop Motion Pro", "d:Description": "For Windows PCs, a mid-priced frame capturing program for professional level stop motion animation, clay animation, pixillation, and cut out animation techniques.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.stopmotionpro.com/"} +{"d:Title": "The Puppetry Home Page", "d:Description": "Lists information and resources; covering traditional puppetry, animatronics, and stop motion puppet animation.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.sagecraft.com/puppetry/definitions/index.html"} +{"d:Title": "StopMotionAnimation.Com", "d:Description": "Message Board, informational, resources, library, and how-to instructionals.", "priority": "1", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.stopmotionanimation.com/"} +{"d:Title": "FrameThief", "d:Description": "This is an inexpensive shareware stop motion animation program for the Apple/Macintosh platform, that enables the users, from novices to professionals, to capture and create animation.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.framethief.com/"} +{"d:Title": "The Clay Animation", "d:Description": "Resources, discussion group, materials and supplies links, and other information for those wanting to learn about stop motion clay animation.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://clay.s5.com/"} +{"d:Title": "Stop Motion E-mail Forum", "d:Description": "Community for discussing the craft with other hobbyists and professionals.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://groups.yahoo.com/group/Stopmotion/"} +{"d:Title": "John Wright Modelmaking", "d:Description": "A special effects shop in England that designs and fabricates miniature models for use in puppet animation, offers ball and socket joint parts for do-it-yourself armature fabrication, and they also engineer custom stop motion animation armatures.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.jwmm.co.uk/frame.htm"} +{"d:Title": "At Last, Foam Puppet Fabrication Explained!", "d:Description": "Article from Animation World Magazine. An overview of the general methods used in stop motion puppet fabrication starting from design, then machining jointed armature, sculpting, molding, and foam latex rubber casting.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.awn.com/mag/issue2.11/2.11pages/2.11briertonani.html"} +{"d:Title": "Building Ball-Socket Armatures", "d:Description": "Description and photos showing the construction of stop motion animation puppet armature joints using common tools and basic metalworking methods.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.montereymotiongraphics.com/armatures/"} +{"d:Title": "MovieStuff Transfers", "d:Description": "For those shooting stop motion using Super 8 film format, this company offers low cost film-to-digital transfers of your Super 8 animation. Film is transferred to miniDV tape format and ready for downloading to your computer.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://moviestuff.tv/"} +{"d:Title": "Do it Yourself Foam Latex Puppet Making 101", "d:Description": "This video or DVD tutorial is available for purchase which shows the general basics of constructing a stop motion animation puppet using the clay sculpting and molding method, foam rubber latex casting, seaming, and final painting of the puppet.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.angelfire.com/anime4/zungstudio/"} +{"d:Title": "Stop Motion Works", "d:Description": "Provides information and how-to technical tips on animated puppetry, with FAQ, gallery of work, and news items from the industry.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.stopmotionworks.com/"} +{"d:Title": "iStopMotion", "d:Description": "Easy to use Stop Motion animation software for Mac OS X. Allows you to use your computer and DV camcorder or USB webcams to capture single frames for stop motion or time lapse applications.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.istopmotion.com/"} +{"d:Title": "Michael Davy Film&TV", "d:Description": "Distributor-supplier of a more user friendly 3 part foam latex system. M.D. Foam Latex can be used for casting stop motion animation puppets. Listed under \"products\" then go to \"latex products\".", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.michaeldavy.com/"} +{"d:Title": "Clay Animation Made Easy", "d:Description": "Example of clay and stop motion animation used in an elementary school curriculum. Educators using this tactile animation medium to help encourage language, mathematical, logical, spatial, collaborative and creativity skills.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://education.wichita.edu/claymation"} +{"d:Title": "Nick Hilligoss", "d:Description": "Animator from Australia who has done many creative stop motion shorts which have won awards. His photo album site shows you some behind-the-scenes and fabrication methods overview in the creation of animation puppets.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.picturetrail.com/hilligossnic"} +{"d:Title": "Miles and Jules Studio", "d:Description": "Independent studio in Australia utilizing stop motion animation techniques. Included are many behind the scenes photos and general overview description of methods used in producing their stop motion projects which is outlined in their online journal.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.wombokforest.com.au/"} +{"d:Title": "RonDexter.com", "d:Description": "A source for beginners, seasoned professional and people in between who want to upgrade their skills and knowledge in film and video and includes tips and tricks about stop motion production.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.rondexter.com/"} +{"d:Title": "Mind Over Pixels", "d:Description": "Animator Jason Gottlieb's online weblog containing clips in stop motion, CGI, and traditional animation and provides useful tips and tutorials for aspiring animators.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://mindoverpixels.com/"} +{"d:Title": "I Can Animate", "d:Description": "Designed for all ages, a software product especially designed for stop animation on the Mac OS X. Includes a variety of features for the creation of animation. The program has earned various recognition awards.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.kudlian.net/products/icananimate/"} +{"d:Title": "Brickfilms", "d:Description": "A large site and community for stop-motion animation using lego bricks. Includes a film database with 1000+ films, a forum and an encyclopedia.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://brickfilms.com/"} +{"d:Title": "STIKFAS", "d:Description": "This is brand name of jointed model assembly figures/toys. One can pose them in a variety of positions. With minor modification, they are usable for stop motion animation methods.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://en.wikipedia.org/wiki/Stikfas"} +{"d:Title": "Animation und Puppenbau - Juergen Kling", "d:Description": "Studio in Germany offering stop motion animation production services, ball-socket armatures, puppetmaking, sets, props, and modelmaking. There is also English version of this site.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.weirdoughmation.de/jkde/"} +{"d:Title": "The Lone Animator", "d:Description": "An animator and puppet fabricator with gallery of photos showing how different puppets are created, primarily using latex and foam rubber.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.loneanimator.com/"} +{"d:Title": "La Pate \u00e0 Modeler Anim\u00e9e", "d:Description": "A clay animation site using digital still camera to record the animation and includes basic, finished animations. Includes some tutorials showing how it was done. The site is in French and has English translation.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://pagesperso-orange.fr/vallin/animation/clayanimation/"} +{"d:Title": "Animation Toolworks", "d:Description": "The LunchBox Sync is a dedicated frame/image capturing device that provides instant feedback for animators. Uses include syncing for pencil tests or stop motion, also for demo reels, previews, animatics, reference tests, student projects, festival entries, and web animation.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.animationtoolworks.com/"} +{"d:Title": "Two Left Hands", "d:Description": "Boutique animation studio in France showcasing a variety of stop motion mix media animation methods combining puppets, cut-out photo, computer enhanced, and pixelation.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.twolefthands.fr/"} +{"d:Title": "Stop Motion Animation Central", "d:Description": "Website includes tips, advice, reviews and some tutorials. Emphasis is on Lego, Stikfas, and jointed toy figures animation.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.stopmotioncentral.com/"} +{"d:Title": "Frames", "d:Description": "Animation software for stop motion and clay animation techniques and emphasis on creativity exploration in educational curriculum. Online documentation and training manuals.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.tech4learning.com/frames"} +{"d:Title": "ModularHose.com: Armatures", "d:Description": "Plastic jointed coolant hose parts that have other applications, including for use as doll and stop motion armatures for \"built-up\" puppet constructions.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.modularhose.com/Loc-Line.htm"} +{"d:Title": "iKit Movie", "d:Description": "Stop Motion animation program (for Windows/PC) with included sound effects and background music tracks that allows exportation of finished animation within software.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.ikitmovie.com/"} +{"d:Title": "AnimatorHD", "d:Description": "A PC based software for single frame capturing in the creation of stop-motion and time-lapse animation. Digital still cameras support, Canon live view, and multiview capable for 3-D stereoscopic animation. (formerly AnimatorDV)", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.animatorhd.com/"} +{"d:Title": "Bricks in Motion", "d:Description": "A filmmaking community and forum devoted to the art of stop motion in the specialty area called 'Brickfilms' or Lego movies.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.bricksinmotion.com/"} +{"d:Title": "Stop Motion Magazine", "d:Description": "An online quarterlypublication devoted to the art of stop motion animation, which will include interviews, reviews, tutorials and articles related to the art form. Downloadable as PDF file.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://stopmotionmagazine.com/"} +{"d:Title": "Academy of Art University: School of Animation&Visual Effects", "d:Description": "Offers general animation curriculum including stop motion and also available, online courses.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.academyart.edu/animation-school/index.html"} +{"d:Title": "Strata-Cut Animation: A discussion with David Daniels", "d:Description": "A form of clay animation in which slices of clay are cut away from a 'clay loaf'. After each slice, the face of the loaf is photographed which creates an animation. Interview and videos provides overview of the technique.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.artofthetitle.com/2009/06/01/freaked/"} +{"d:Title": "Max Sviridov Plastilina Studio", "d:Description": "Russian animator, director, and instructor. Special emphasis in the clay animation techniques. Finished work examples shown including explanation of the process. Website is written in Russian text but can be converted using online language translators.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.plastilina.narod.ru/"} +{"d:Title": "Maara Animation Studio", "d:Description": "Studio located in Turkey that does work for animated TV series including commercial advertisement and music videos. You can see examples of their work and behind the scenes photos.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.maara.tv/"} +{"d:Title": "Stop Motion Animation Workshops&Films", "d:Description": "In the UK, this organization offers workshops, school and holiday classes for all ages and abilities.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.stopmogo.com/"} +{"d:Title": "Citoplasmas", "d:Description": "A studio in Barcelona, Spain that also does stop motion animation. They have examples of their work and behind the scenes photos.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.citoplasmas.com/"} +{"d:Title": "Flying Gherkin", "d:Description": "A Sydney based animation company that does mixed media, puppetry, live action, motion graphics, clay animation and stop motion. Video clip animation samples at their website.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://flyinggherkin.com/"} +{"d:Title": "Zero - short film", "d:Description": "Behind the scenes on the making of this stop motion animation short.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://zeroshortfilm.com/"} +{"d:Title": "Dragonframe", "d:Description": "Digital image capture software for capturing stop motion animation, motion graphics and visual effects.", "topic": "Top/Arts/Animation/Stop-Motion", "url": "http://www.dragonframe.com/"} +{"d:Title": "Animation Meat", "d:Description": "Offering notes from professional animators, downloadable dope and model sheets, opinions, animation facts, recommended books and links. [Best viewed with Netscape Navagator]", "topic": "Top/Arts/Animation/Training", "url": "http://www.animationmeat.com/"} +{"d:Title": "Karmatoons Incorporated", "d:Description": "Small studio site with drawing for animation lessons.", "topic": "Top/Arts/Animation/Training", "url": "http://www.karmatoons.com/"} +{"d:Title": "Acting For Animators", "d:Description": "Acting For Animators, by Ed Hooks, is the first book about acting theory that is written specifically for animators. Site contains information about classes, resources, and news.", "topic": "Top/Arts/Animation/Training", "url": "http://www.actingforanimators.com/"} +{"d:Title": "Karl Gnass' Spirit of the Pose", "d:Description": "Gallery and information about classes and tips on life drawing by instructor for Disney and Nickeodeon, among others.", "topic": "Top/Arts/Animation/Training", "url": "http://spirit-of-the-pose.com/"} +{"d:Title": "Simply Maya", "d:Description": "A massive resource for Maya users offering tutorials, forums and interviews with industry professional's tutorials", "topic": "Top/Arts/Animation/Training", "url": "http://www.simplymaya.com/"} +{"d:Title": "Make Movies", "d:Description": "Free film scriptwriting and animation lessons for schools, covering materials, equipment, and techniques for traditional and computer animation.", "topic": "Top/Arts/Animation/Training", "url": "http://www.makemovies.co.uk/"} +{"d:Title": "Brian Lemay's Animated Cartoon Factory Website", "d:Description": "Original books on character design, layout, and writing for animation, as well as inside portfolio tips from the coordinator of Sheridan's Animation program.", "topic": "Top/Arts/Animation/Training", "url": "http://www.brianlemay.com/"} +{"d:Title": "CG Academy", "d:Description": "3D computer animation training DVDs for the 3ds max user. Covering all aspects of using Discreet 3ds max software.", "topic": "Top/Arts/Animation/Training", "url": "http://www.cg-academy.net/"} +{"d:Title": "CG Protege", "d:Description": "Our School provides WSQ accredited training programs in the fields of animation, modeling, lighting, texture&visual effects recognized by the Workforce Development Agency of Singapore.", "topic": "Top/Arts/Animation/Training", "url": "http://www.cgprotege.com/"} +{"d:Title": "3dsense Media School", "d:Description": "3dsense Media School, School of Interactive Visual Arts.", "topic": "Top/Arts/Animation/Training", "url": "http://3dsense.net/"} +{"d:Title": "Animation Arena", "d:Description": "A leading animation resource for 3D, 2D, Flash and Concept art enthusiasts.", "topic": "Top/Arts/Animation/Training", "url": "http://www.animationarena.com/"} +{"d:Title": "Karen J Lloyd's Storyboard Blog", "d:Description": "Storyboard Artist Karen J Lloyd offers insights, tips, advice and templates for your animation and film storyboards.", "topic": "Top/Arts/Animation/Training", "url": "http://karenjlloyd.com/blog/"} +{"d:Title": "Voicetrax SF", "d:Description": "SF Bay Area voiceover training school and casting agency with courses for the beginner and voice professional", "topic": "Top/Arts/Animation/Training", "url": "http://voicetraxsf.com/"} +{"d:Title": "Shapes In Motion", "d:Description": "Acting lessons for animators and movement coaching from Sarah Perry.", "topic": "Top/Arts/Animation/Training", "url": "http://www.shapesinmotion.com/"} +{"d:Title": "The Art Institutes", "d:Description": "Over 22 schools across the U.S. offering Bachelor's and Associate's Degrees in many areas of Design and Media Arts.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.artinstitutes.edu/"} +{"d:Title": "CalArts - School of Film/Video", "d:Description": "Includes detailed information on the famous Character and Experimental animation programs.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.calarts.edu/"} +{"d:Title": "Gnomon School of Visual Arts", "d:Description": "Gnomon specializes in high-end computer graphics training for the entertainment industries.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.gnomonschool.com/"} +{"d:Title": "Max the Mutt Animation School", "d:Description": "Private Toronto school specialising in representational art and animation.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.maxthemutt.com/"} +{"d:Title": "3D3 World Training", "d:Description": "3D animation, web design and multimedia training in Tuscany, Italy.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.3d3world.com/"} +{"d:Title": "Vancouver Institute of Media Arts (VanArts)", "d:Description": "One-year certificate and two-year diploma programs in classical and computer animation. Part-time and summer intensive courses also offered.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.vanarts.com/"} +{"d:Title": "Animation Portfolio Workshop", "d:Description": "Two former instructors from Sheridan College Classical Animation offer a program in how to assemble a portfolio to enter animation school.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.portfolioworkshop.com/"} +{"d:Title": "Animation Exploration", "d:Description": "Weekly Brampton, Ontario course taught by Sheridan instructor, former Disney animator.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.visualartsbrampton.com/"} +{"d:Title": "The Animation Academy", "d:Description": "Founded in 1998 and located in Burbank, California, the international capital of the industry. The school specializes in training artists for careers in traditional character animation.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.theanimationacademy.com/"} +{"d:Title": "Moving Ideas Animation", "d:Description": "Moving Ideas Animation offers classes in traditional animation and modern animation in flash located in sydney Australia.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.movingideasanimation.com/"} +{"d:Title": "Digital-Evolutions", "d:Description": "Digital-Evolutions is a high school digital media studies program, introducing students to graphic design, multimedia, programming, video production,and a heavy focus on 3D visualization and animation. Smoky Hill High School, Aurora, Colorado.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.digital-evolutions.org/"} +{"d:Title": "The National Film and Television School", "d:Description": "Europe's leading post graduate Animation Direction (MA) course.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.nftsanimation.org/"} +{"d:Title": "3D Training Institute", "d:Description": "Animation training company that provides classroom and online 3D-animation education.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.3dtraining.com/"} +{"d:Title": "Think Tank Training Centre", "d:Description": "Offers a one year certificate program in 3D animation, modeling, visual effects, and game design. North Vancouver, BC, Canada.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.tttc.ca/"} +{"d:Title": "Animation Mentor", "d:Description": "Online animation school that specializes in teaching 3D content with Maya.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.animationmentor.com/"} +{"d:Title": "Arena Animation Academy", "d:Description": "Arena Animation Academy is a computer animation school and training institute with own animation studio at Chandigarh, India.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.arenaanimationacademy.com/"} +{"d:Title": "TrueMax", "d:Description": "School of 3d cgi&animation", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.truemax.com/"} +{"d:Title": "Classical Animation at Sheridan College", "d:Description": "Information on applying to the program, as well as links to other Animation programs at Sheridan.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://ulysses.sheridaninstitute.ca/coutline/coutlineview.jsp?appver=ps&subjectCode=ANIM&courseCode=3005&version=1.0&sec=0&reload=true"} +{"d:Title": "Texas A&M Department of Visualization", "d:Description": "The Masters of Science in Visualization Sciences is designed to prepare students for a range of long-term careers in visualization.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.viz.tamu.edu/"} +{"d:Title": "Minneapolis College of Art and Design", "d:Description": "Information on the four year animation degree.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://mcad.edu/academic-programs/animation"} +{"d:Title": "Center for Digital Arts", "d:Description": "Offers extensive, practical, hands-on training in the rapidly emerging digital arts fields.", "topic": "Top/Arts/Animation/Training/Schools", "url": "http://www.thecenterfordigitalarts.com/"} +{"d:Title": "Vancouver Film School", "d:Description": "Offers full and part-time courses in classical animation as well as 3D animation and digital effects.", "topic": "Top/Arts/Animation/Training/Schools", "url": "https://vfs.edu/"} +{"d:Title": "Animation World Magazine: I Call On Charlie Adler", "d:Description": "Will Ryan pays a visit to the super-kinetic Charlie Adler, one of the industry's foremost voice actors and directors.", "topic": "Top/Arts/Animation/Voice_Actors/A/Adler,_Charlie", "url": "http://www.awn.com/mag/issue5.11/5.11pages/ryanadler.php3"} +{"d:Title": "Voice Chasers: Adler, Charlie", "d:Description": "Photo, profile, fanmail address and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/A/Adler,_Charlie", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1005"} +{"d:Title": "IMDb: Charlie Adler", "d:Description": "Filmography, TV credits and other details.", "topic": "Top/Arts/Animation/Voice_Actors/A/Adler,_Charlie", "url": "http://www.imdb.com/name/nm0012121/"} +{"d:Title": "Voice Chasers: Alaskey, Joe", "d:Description": "Animation voice credits and other details.", "topic": "Top/Arts/Animation/Voice_Actors/A/Alaskey,_Joe", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1009"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, TV schedule and other details.", "topic": "Top/Arts/Animation/Voice_Actors/A/Alaskey,_Joe", "url": "http://www.imdb.com/name/nm0016085/"} +{"d:Title": "Head Shots - Carlos Alazraqui", "d:Description": "Autographed photo scan from davidspark.com.", "topic": "Top/Arts/Animation/Voice_Actors/A/Alazraqui,_Carlos", "url": "http://www.davidspark.com/photos/headshots/image_h_alazraqui.html"} +{"d:Title": "State Hornet: CSUS Alum Keeps 'Em Laughing", "d:Description": "Comments by Chanda Seymour on Carlos Alazraqui's performance at his alma matter. Includes interview quotes.", "topic": "Top/Arts/Animation/Voice_Actors/A/Alazraqui,_Carlos", "url": "http://www.csus.edu/hornet/archive/spring99/number27/features04.html"} +{"d:Title": "Internet Movie Database: Carlos Alazraqui", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/A/Alazraqui,_Carlos", "url": "http://www.imdb.com/name/nm0016141/"} +{"d:Title": "Emuck: Allwine/Taylor Invited Talk Transcript", "d:Description": "Online chat with Wayne Allwine and Russi Taylor - voices of Disney's Mickey and Minnie Mouse.", "topic": "Top/Arts/Animation/Voice_Actors/A/Allwine,_Wayne", "url": "http://www.emuck.com:3000/archive/allwine-taylor.html"} +{"d:Title": "ErnieAnderson.com", "d:Description": "Official archives of voice legend Ernie Anderson (announcer from \"The Love Boat\" and \"America's Funniest Home Videos\") are available for radio station imaging. Over 100 generic lines to choose from as well as customized packages.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Ernie", "url": "http://www.ernieanderson.com/"} +{"d:Title": "IMDb: Ernie Anderson", "d:Description": "Filmography, biography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Ernie", "url": "http://www.imdb.com/name/nm0026700/"} +{"d:Title": "Louie Anderson.com", "d:Description": "The official site features family and personal photos, Life With Louie merchandise, appearances, video clips, humor.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://louieanderson.com/"} +{"d:Title": "Epguides.com - The Louie Show", "d:Description": "List of episode titles and original airdates.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.epguides.com/LouieShow/"} +{"d:Title": "Wikipedia: Louie Anderson", "d:Description": "General article on comedian Louie Anderson's career, life.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://en.wikipedia.org/wiki/Louie_Anderson"} +{"d:Title": "St. Petersburg Times: Louie, Louie", "d:Description": "Comedian and Family Feud host Louie Anderson has left his childhood behind.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.sptimes.com/2002/03/07/Weekend/Louie__Louie.shtml"} +{"d:Title": "Las Vegas Sun: Life with Louie", "d:Description": "Congenial comedian brings his gentle humor back to Las Vegas.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.lasvegassun.com/news/2000/apr/03/life-with-louie/"} +{"d:Title": "USA Today: Comic Louie Anderson Hospitalized", "d:Description": "Describing Louie Anderson's heart problems.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.usatoday.com/life/2003-09-30-anderson-heart_x.htm"} +{"d:Title": "Los Angeles Times: Comedian Target of Alleged Extortion", "d:Description": "An Arizona man has been charged with trying to extort $250,000 from comedian and \"Family Feud\" host Louie Anderson in exchange for not revealing that Anderson once asked him for sex.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://articles.latimes.com/2000/apr/14/local/me-19605"} +{"d:Title": "Rotten Tomatoes: Louie Anderson", "d:Description": "Louie Anderson posters, filmography, news, and forum.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.rottentomatoes.com/celebrity/louie_anderson/"} +{"d:Title": "Comedians USA: Louie Anderson", "d:Description": "Photograph and biography with booking contact.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.comediansusa.com/louie-anderson"} +{"d:Title": "Internet Movie Database: Louie Anderson", "d:Description": "Filmography, awards, images, news, current programming.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.imdb.com/name/nm0004706/"} +{"d:Title": "The Louie Anderson Podcast", "d:Description": "Archive of the comedian's comedy podcast, where he talks with fellow comedians. Launched in 2014.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://louieandersonpodcast.libsyn.com/webpage"} +{"d:Title": "Southbend Tribune: Louie Anderson lightens up on weighty issue", "d:Description": "The comedian speaks about his weight, in advance of a performance.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://articles.southbendtribune.com/2013-02-08/entertainment/36999606_1_weighty-issue-louie-anderson-reality-show"} +{"d:Title": "New Jersey Advance: \"Louie Anderson talks 'Hillbilly Handfishin', underwear hoarding in Atlantic City interview\"", "d:Description": "Video interview with the comedian.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.nj.com/atlantic-city-entertainment/index.ssf/2014/11/louie_anderson_talks_hillbilly_handfishin_underwear_hoarding_and_renee_zellweger_during_atlantic_cit.html"} +{"d:Title": "Louie Anderson", "d:Description": "Biography, roles and appearances, and gossip.", "topic": "Top/Arts/Animation/Voice_Actors/A/Anderson,_Louie", "url": "http://www.tv.com/people/louie-anderson/"} +{"d:Title": "Voice Chasers: Angel, Jack", "d:Description": "Animation voice credits and other info.", "topic": "Top/Arts/Animation/Voice_Actors/A/Angel,_Jack", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1027"} +{"d:Title": "IMDb: Jack Angel", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/A/Angel,_Jack", "url": "http://www.imdb.com/name/nm0029460/"} +{"d:Title": "IMDb: Eleanor Audley", "d:Description": "Filmography, TV credits, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/A/Audley,_Eleanor", "url": "http://www.imdb.com/name/nm0041598/"} +{"d:Title": "Linake's Anime-tion Art", "d:Description": "Fan site with an extensive gallery of voice actor autographs and fan art.", "topic": "Top/Arts/Animation/Voice_Actors/Autographs", "url": "http://linake.rubberslug.com/gallery/master_query.asp?SeriesID=17204"} +{"d:Title": "Kagome's Autographs", "d:Description": "Fan page with photos and autograph scans for a number of voice actors in animation.", "topic": "Top/Arts/Animation/Voice_Actors/Autographs", "url": "http://www.freewebs.com/kagome05/inpersonsuccesses.htm"} +{"d:Title": "Ian Hickson's Nick Bakay Page", "d:Description": "Photo, statistics, biography, trivia, archived interviews and links.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bakay,_Nick", "url": "http://salem.damowmow.com/nick/"} +{"d:Title": "National Lampoon: Diarrhetics", "d:Description": "Spoof of Dianetics and Scientology written by Nick Bakay. [Adult language]", "topic": "Top/Arts/Animation/Voice_Actors/B/Bakay,_Nick", "url": "http://home.snafu.de/tilman/cos_fun/diarrhetics/lampart.html"} +{"d:Title": "Live AOL Chat with Nick Bakay - Voice of Salem", "d:Description": "Archived interview transcript.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bakay,_Nick", "url": "http://salem.damowmow.com/nick/interview.html"} +{"d:Title": "M&D Home Page", "d:Description": "Official site for Dee Baker, the voice of Bagheera (Jungle Cubs), Og and Goat (Mike, Lou and Og) and Dad (Cow and Chicken). Site features photos, voice credits and links.", "topic": "Top/Arts/Animation/Voice_Actors/B/Baker,_Dee_Bradley", "url": "http://home.earthlink.net/~fun101/index.htm"} +{"d:Title": "Internet Movie Database: Judith Barsi", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Barsi,_Judith", "url": "http://www.imdb.com/name/nm0058279/"} +{"d:Title": "Kathryn Beaumont", "d:Description": "Tribute page by Don Brockway. Offers a complete biography and photographs. Also includes a collection of articles and interviews by other authors.", "topic": "Top/Arts/Animation/Voice_Actors/B/Beaumont,_Kathryn", "url": "http://www.donbrockway.com/kb.htm"} +{"d:Title": "Elise's Rugrats Page - Michael Bell", "d:Description": "Photo and brief profile.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bell,_Michael", "url": "http://cust.idl.com.au/boyd/michael.html"} +{"d:Title": "Voice Chasers - Michael Bell", "d:Description": "Collection of animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bell,_Michael", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1097"} +{"d:Title": "Voice Chasers: Bennett, Jeff", "d:Description": "Animation voice credits and other information.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bennett,_Jeff", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1100"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bennett,_Jeff", "url": "http://www.imdb.com/name/nm0071818/"} +{"d:Title": "Jodi Benson as The Little Mermaid", "d:Description": "Fan art by Bob Ito.", "topic": "Top/Arts/Animation/Voice_Actors/B/Benson,_Jodi", "url": "http://www.emuck.com:3000/Ito/jodimer.html"} +{"d:Title": "The Little Mermaid", "d:Description": "Fan page with Jodi Benson photo and autograph scans.", "topic": "Top/Arts/Animation/Voice_Actors/B/Benson,_Jodi", "url": "http://www.meeko.org/disney/mermaid/auto.html"} +{"d:Title": "Combustible Celluloid: Jodi Benson", "d:Description": "Jeffrey M. Anderson reveals that Jodi is a Broadway trained singer and actress, who never really intended to get into movies, much less into animated movies.", "topic": "Top/Arts/Animation/Voice_Actors/B/Benson,_Jodi", "url": "http://www.combustiblecelluloid.com/jbint.shtml"} +{"d:Title": "Daily Bruin: Part of Their World", "d:Description": "Stephanie Sheh reports on a 1997 talk by Jodi Benson at the Four Seasons Hotel.", "topic": "Top/Arts/Animation/Voice_Actors/B/Benson,_Jodi", "url": "http://www.dailybruin.com/index.php/article/1997/11/part-of-their-world"} +{"d:Title": "Jodi Benson @ IMDb", "d:Description": "Filmography. Includes links on trivia and other works.", "topic": "Top/Arts/Animation/Voice_Actors/B/Benson,_Jodi", "url": "http://www.imdb.com/name/nm0072533/"} +{"d:Title": "Bob Bergen", "d:Description": "Official site of Bob Bergen, voice of Porky Pig in 'Duck Dodgers', 'Space Jam,' and 'Looney Tunes: Back In Action.' Site includes news and appearances, biography, demos and resume, voiceover FAQs and workshop/seminar details, and other information.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergen,_Bob", "url": "http://www.bobbergen.com/"} +{"d:Title": "Dreamagic.com: Bob Bergen", "d:Description": "Vivian Rose interviewed the host of the kids' game show Jep.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergen,_Bob", "url": "http://www.dreamagic.com/vivianrose/bergen.html"} +{"d:Title": "Voice Chasers: Bergen, Bob", "d:Description": "Profile, photo and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergen,_Bob", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1000"} +{"d:Title": "imdb.com - Bob Bergen", "d:Description": "Filmography, voice credits, and trivia.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergen,_Bob", "url": "http://www.imdb.com/name/nm0074036/"} +{"d:Title": "The Original Duckman Fan Page", "d:Description": "Photo and biography.", "topic": "Top/Arts/Animation/Voice_Actors/B/Berger,_Gregg", "url": "http://www.creighton.edu/~jduche/berger-bio.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Berger,_Gregg", "url": "http://www.imdb.com/name/nm0074185/"} +{"d:Title": "CNN: Mary Kay Bergman, Voice-Over Actress, Dead", "d:Description": "Report by Kristin Lemmerman.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergman,_Mary_Kay", "url": "http://www.cnn.com/SHOWBIZ/TV/9911/17/obit.bergman.poss/index.html"} +{"d:Title": "Official Mary Kay Bergman Club", "d:Description": "Created for fans to discuss and remember Mary Kay Bergman.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergman,_Mary_Kay", "url": "http://groups.yahoo.com/group/officialmarykaybergmanclub/"} +{"d:Title": "The Official Mary Kay Bergman Memorial Site", "d:Description": "Doreen Mulman's elegant tribute featuring photos, images and screen captures, sound and video clips, interviews and links.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergman,_Mary_Kay", "url": "http://mkbmemorial.com/"} +{"d:Title": "EMuck - Invited Talk With Mary Kay Bergman", "d:Description": "Transcript of an online chat, with insight on getting into voiceover. [Also available in ASCII format, as an ftp file.]", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergman,_Mary_Kay", "url": "http://www.emuck.com:3000/archive/bergman.html"} +{"d:Title": "Obituary - Mary Kay Bergman", "d:Description": "The official obituary notice released by Mary Kay's family. [Provided by voicechasers.org]", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergman,_Mary_Kay", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1109"} +{"d:Title": "Internet Movie Database", "d:Description": "Mary Kay Bergman's filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bergman,_Mary_Kay", "url": "http://www.imdb.com/name/nm0074834/"} +{"d:Title": "Joe&Lorie's Comedy-O-Rama", "d:Description": "Original comedy, RealAudio radio shows, and comic strips. Also includes The Official Daws Butler Website, and pages on Phil Silvers and Fred Allen, and tributes to other comedy legends.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bevilacqua,_Joe_K.", "url": "http://www.comedyorama.com/"} +{"d:Title": "Voice Acting 101", "d:Description": "by Joe Bevilacqua. One of the most informative articles on the business of voice acting you'll ever read, short of reading a book on the subject. Several of the top voice actors in animation today were interviewed for this article. [Animation World Magazine, issue 2.1]", "topic": "Top/Arts/Animation/Voice_Actors/B/Bevilacqua,_Joe_K.", "url": "http://www.awn.com/mag/issue2.1/articles/bevilacqua2.1.html"} +{"d:Title": "Joe Bevilacqua's Home Page", "d:Description": "Writer - Director - Voice Actor - Animator. Includes resume, letter of reference, published articles, writer/actor credits, and character voice demo.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bevilacqua,_Joe_K.", "url": "http://www.comedyorama.com/joe/joe.htm"} +{"d:Title": "Marc Biagi", "d:Description": "Official home page of the Actor/Performer - characters, voice acting, on-camera talent, and stage for commercials, industrials, TV, radio, animation, video games and other media. Site includes news, resumes, headshots and demos.", "topic": "Top/Arts/Animation/Voice_Actors/B/Biagi,_Marc", "url": "http://marcbiagi.com/"} +{"d:Title": "Infoplease", "d:Description": "Brief biography.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel", "url": "http://www.infoplease.com/ipea/A0760218.html"} +{"d:Title": "JEL Recording Studios", "d:Description": "Works with Warner-Blanc to create audio for a variety of talking products, including toys, watches, play stations, and web sites with classic Mel Blanc recordings.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel", "url": "http://www.jelrecording.com/j_clients.html#Warner"} +{"d:Title": "Don Markstein's Toonopedia", "d:Description": "Biographical article detailing Mel Blanc's cartoon voice work.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel", "url": "http://www.toonopedia.com/blanc.htm"} +{"d:Title": "One Foggy Evening: Mel Blanc", "d:Description": "Archived obituary originally published in the Los Angeles Times. Includes photo.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel", "url": "http://one_foggy.tripod.com/blancobit.html"} +{"d:Title": "Rest In Peace, Mel Blanc", "d:Description": "Photos of his final resting place, with an area map you can download to visit the gravesite.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=100"} +{"d:Title": "Voice Chasers: Blanc, Mel", "d:Description": "Profile and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1113"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography of over 700 credits, trivia, TV schedule and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel", "url": "http://www.imdb.com/name/nm0000305/"} +{"d:Title": "Jerry Haendiges Vintage Radio Logs", "d:Description": "Complete episode list and original airdates for \"The Mel Blanc Show\".", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel/Mel_Blanc_Show,_The", "url": "http://otrsite.com/logs/logm1004.htm"} +{"d:Title": "The Looney Tunes SoundSource", "d:Description": "Looney Tunes sound clips with Mel Blanc.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel/Sound_Files", "url": "http://www.nonstick.com/sounds/"} +{"d:Title": "Marx Brothers Sound Bites", "d:Description": "247k audio file of Blanc performing his Private Sad Sack character.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel/Sound_Files", "url": "http://www.evl.uic.edu/pape/Marx/sounds/"} +{"d:Title": "DJ Vid's Daffy Duck .WAVs", "d:Description": "Selection of MIDIs to listen to and downloadable .WAV files as well as contact information and links to related sound files.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blanc,_Mel/Sound_Files/Daffy_Duck", "url": "http://www.angelfire.com/la2/mpg/daffy.html"} +{"d:Title": "Pomegranate Press - Authors", "d:Description": "Scroll down for Susan Blu's bio on her book and accompanying audio instructional, \"Word of Mouth\".", "topic": "Top/Arts/Animation/Voice_Actors/B/Blu,_Susan", "url": "http://www.pompress.com/authors/index.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Blu,_Susan", "url": "http://www.imdb.com/name/nm0089454/"} +{"d:Title": "Tom Bodett speaks out...", "d:Description": "[madwand.org] Spokesman speaks in defense of Motel 6.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bodett,_Tom", "url": "http://www.madwand.org/bodette.htm"} +{"d:Title": "Who is Tom Bodett?", "d:Description": "Profile from Motel 6's official site.", "topic": "Top/Arts/Animation/Voice_Actors/B/Bodett,_Tom", "url": "http://www.motel6.com/about/bodett.aspx"} +{"d:Title": "CoreyBurton.com", "d:Description": "Official website of Corey Burton, \"one of Hollywood's most versatile voice actors\" for over 30 years. Offers a listing of voice credits, voice-over demos, photos, news, blog, message board, and links.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey", "url": "http://www.coreyburton.com/"} +{"d:Title": "Adventures In Odyssey HQ", "d:Description": "List of roles from the popular radio show, other voice credits and links.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey", "url": "http://www.aiohq.com/voice/cb.htm"} +{"d:Title": "Voice Chasers - Corey Burton", "d:Description": "List of animation voice credits and information on the actor.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1288"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey", "url": "http://www.imdb.com/name/nm0123553/"} +{"d:Title": "DoomBuggies Interviews Corey Burton", "d:Description": "By Brandon Champlin. Focusing on Burton's involvement as the \"Ghost Host\" voice of Disneyland's Haunted Mansion Holiday.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.doombuggies.com/insiders_burton.php"} +{"d:Title": "\u2018Rescue Rangers\u2019 Voice Cast Featured in Vintage Video Clip", "d:Description": "By Craig Crumpton. [Voice Actors in the News] Feature on the 1989 \"Chip&Dale's Rescue Rangers\" documentary, with additional details from Corey Burton. Includes photo and video.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://voiceactors.wordpress.com/2009/08/27/rescue-rangers-voice-cast/"} +{"d:Title": "Interview with Corey Burton", "d:Description": "By Bj\u00f6rn \u201dWave\u201d Rudolfsson. [The Nordic Transfans Association]", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.ntfa.net/ntfa/articles/english/interview_burton.php"} +{"d:Title": "Transformers Animated Interview - Corey Burton", "d:Description": "By Stormrider. [Seibertron.com]", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.seibertron.com/transformers/news/transformers-animated-interview-corey-burton/13460/"} +{"d:Title": "Interview: Corey Burton", "d:Description": "By Big Bot. [TheTransformers.net]", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.thetransformers.net/interview-corey-burton/"} +{"d:Title": "Adventures in Odyssey Wiki: Corey Burton", "d:Description": "Brief profile and complete list of characters voiced on the radio theater series.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.aiowiki.com/wiki/Corey_Burton"} +{"d:Title": "Interview with the Voice of Kane", "d:Description": "Corey Burton discusses recording ADR for Nathan Davis's character Rev. Kane in \"Poltergeist III\" (1988).", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.poltergeistiii.com/corey.html"} +{"d:Title": "Interview with voice actor extraordinare Corey Burton", "d:Description": "Audio interview by Radio Parallax.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://radioparallax.com/index.php?mdl=2&search=Corey+Burton&return=guests_page"} +{"d:Title": "Transformers Wiki: Corey Burton", "d:Description": "Brief profile, trivia, and list of characters voiced on \"Transformers\" and \"Transformers Animated.\"", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://tfwiki.net/wiki/Corey_Burton"} +{"d:Title": "Wikipedia: Corey Burton", "d:Description": "Biographical article and list of voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Corey_Burton"} +{"d:Title": "Corey Burton: Man of 1000 Voices", "d:Description": "By Audrey Brown. [Animation World Network] Discusses Star Wars, haunted mansions, and all things Disney.", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.awn.com/animationworld/corey-burton-man-thousand-voices"} +{"d:Title": "Corey Burton delivers a shock to the brain", "d:Description": "By Rob Worley. [Comic Book Resources] Burton discusses his role as Brainiac on an episode of \"Static Shock.\"", "topic": "Top/Arts/Animation/Voice_Actors/B/Burton,_Corey/Articles_and_Interviews", "url": "http://www.cbr.com/corey-burton-delivers-a-shock-to-the-brain/"} +{"d:Title": "TV Party - Video Vault", "d:Description": "Archived collection of rare television commercials and includes other facts, screen captures and trivia. [Real Video plugin required]", "topic": "Top/Arts/Animation/Voice_Actors/B/Butler,_Daws", "url": "http://www.tvparty.com/vaultdaws.html"} +{"d:Title": "The Official Daws Butler Website", "d:Description": "Joe K. Bevilacqua's tribute to the character voice actor. Features a \"Listening Room\", photo archive, biography, and an article by the author entitled \"A Portrait of My Mentor\" on Daws that covers the entire gamut of voice acting and includes many rare photos and sound clips.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/B/Butler,_Daws", "url": "http://www.dawsbutler.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography (with over 150 credits), trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/B/Butler,_Daws", "url": "http://www.imdb.com/name/nm0124889/"} +{"d:Title": "Jim Byrnes @ IMDb", "d:Description": "Filmography, with links containing biographical details, publicity, agent, FAQs, photos, and other works.", "topic": "Top/Arts/Animation/Voice_Actors/B/Byrnes,_Jim", "url": "http://www.imdb.com/name/nm0126325/"} +{"d:Title": "Jessica Calvello Fan Club", "d:Description": "Yahoo! Club with message board and chat room.", "topic": "Top/Arts/Animation/Voice_Actors/C/Calvello,_Jessica", "url": "http://groups.yahoo.com/group/jessicacalvellofanclub/"} +{"d:Title": "Jessica Calvello: The Voice of Cutey Honey", "d:Description": "Interview by Project Neko.", "topic": "Top/Arts/Animation/Voice_Actors/C/Calvello,_Jessica", "url": "http://www.angelfire.com/ne/nekointerviews/jessica.html"} +{"d:Title": "Kidsister Productions", "d:Description": "Home for \"The Nancy News\" - Nancy Cartwright's official newsletter. Archived and current issues available in PDF format.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cartwright,_Nancy", "url": "http://www.kidsister.com/"} +{"d:Title": "Voice Chasers: Cartwright, Nancy", "d:Description": "Biographical data, list of voice credits in animation, and other data.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cartwright,_Nancy", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1206"} +{"d:Title": "TVGuide.com: Nancy Cartwright", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cartwright,_Nancy", "url": "http://www.tvguide.com/celebrities/nancy-cartwright/167453"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography with links on biography, trivia, TV schedule, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cartwright,_Nancy", "url": "http://www.imdb.com/name/nm0004813/"} +{"d:Title": "Nancy Cartwright's Life as a 10-Year-Old Boy", "d:Description": "By Liam Liebling. [Animation World Network] Book review.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cartwright,_Nancy/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue5.09/5.09pages/lieblingcart.php3"} +{"d:Title": "I'm Nancy Cartwright - Who The Hell Are You?!", "d:Description": "[TV Hits] Archived interview at a Simpsons fan site.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cartwright,_Nancy/Articles_and_Interviews", "url": "http://www.lardlad.com/assets/articles/article7.html"} +{"d:Title": "My Life As A 10-Year-Old Boy", "d:Description": "[NY Times] Book review by Susan Shapiro.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cartwright,_Nancy/Articles_and_Interviews", "url": "http://www.nytimes.com/books/01/03/04/bib/010304.rv101326.html"} +{"d:Title": "TVGuide.com: Dan Castellaneta", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/C/Castellaneta,_Dan", "url": "http://www.tvguide.com/celebrities/dan-castellaneta/145811"} +{"d:Title": "Dan Castellaneta @ IMDb", "d:Description": "Filmography with links on biography, trivia, TV schedule, and other works.", "topic": "Top/Arts/Animation/Voice_Actors/C/Castellaneta,_Dan", "url": "http://www.imdb.com/name/nm0144657/"} +{"d:Title": "Elise's Rugrats Page: Christine Cavanaugh", "d:Description": "Photo and profile.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cavanaugh,_Christine", "url": "http://cust.idl.com.au/boyd/christine.html"} +{"d:Title": "She Does Chuckie Proud", "d:Description": "By Liz Braun. [Toronto Sun] \"The voice of two-year-old Chuckie, all nasal and quavering, belongs to thirtysomething actress Christine Cavanaugh.\" Includes photo.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cavanaugh,_Christine", "url": "http://jam.canoe.com/Movies/Artists/C/Cavanaugh_Christine/2000/11/16/pf-757218.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/C/Chalk,_Gary", "url": "http://www.imdb.com/name/nm0149942/"} +{"d:Title": "Elise's Rugrats Page", "d:Description": "Photo and profile.", "topic": "Top/Arts/Animation/Voice_Actors/C/Chase,_Cheryl", "url": "http://cust.idl.com.au/boyd/cheryl.html"} +{"d:Title": "Voice Chasers: Coleman, Townsend", "d:Description": "List of animation voice credits, awards, and other information.", "topic": "Top/Arts/Animation/Voice_Actors/C/Coleman,_Townsend", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1651"} +{"d:Title": "IMDb: Townsend Coleman", "d:Description": "Filmography and other works.", "topic": "Top/Arts/Animation/Voice_Actors/C/Coleman,_Townsend", "url": "http://www.imdb.com/name/nm0171243/"} +{"d:Title": "The Kevin Conroy Homepage", "d:Description": "Dedicated entirely to the man behind the animated Bat, complete with news, biography, filmography, pictures, message board, quotes, polls, fan experience, and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/C/Conroy,_Kevin", "url": "http://www.angelfire.com/ca4/kevinconroy/"} +{"d:Title": "IMDb: Kevin Conroy", "d:Description": "Filmography, biography, interview quotes, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/C/Conroy,_Kevin", "url": "http://www.imdb.com/name/nm0175834/"} +{"d:Title": "VSwerks", "d:Description": "Official site of Ian James Corlett, representing both his voiceover and screenwriting enterprises.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/C/Corlett,_Ian_James", "url": "http://vswerks.com/"} +{"d:Title": "Voice Chasers: Corlett, Ian James", "d:Description": "Photo and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/C/Corlett,_Ian_James", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2222"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/C/Coulier,_Dave", "url": "http://www.imdb.com/name/nm0183417/"} +{"d:Title": "Alyson Court", "d:Description": "Message board and chat room hosted by Yahoo! Groups.", "topic": "Top/Arts/Animation/Voice_Actors/C/Court,_Alyson", "url": "http://groups.yahoo.com/group/alysoncourt/"} +{"d:Title": "Voice Chasers", "d:Description": "Profile, photo, and voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter", "url": "http://voicechasers.com/database/showactor.php?actorid=1268"} +{"d:Title": "TFWiki.net", "d:Description": "Profile, photo, voice credits, and trivia.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter", "url": "http://tfwiki.net/wiki/Peter_Cullen"} +{"d:Title": "CullenIsPrime.com", "d:Description": "Unofficial Peter Cullen tribute page with photos, profile, videos and links.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter", "url": "http://cullenisprime.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter", "url": "http://www.imdb.com/name/nm0191520/"} +{"d:Title": "Wikipedia", "d:Description": "User-contributed article covering background and voice work.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Peter_Cullen"} +{"d:Title": "Peter Cullen returns as the voice of KARR", "d:Description": "[KnightRiderOnline.com]", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://knightrideronline.com/news/2008/12/peter_cullen_returns_as_the_voice_of_karr.php"} +{"d:Title": "TFormers.com", "d:Description": "Fan report on Peter Cullen's panel presentation at Botcon 2006. Includes photo.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://tformers.com/article.php?sid=6614"} +{"d:Title": "An Interview With Optimus Prime", "d:Description": "By Mr. Beaks. [AICN] Q&A with Peter Cullen.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://www.aintitcool.com/node/38111"} +{"d:Title": "Peter Cullen's Optimus Prime Destroys the Decepticons", "d:Description": "By Paulington James Christensen, III. [Movieweb.com]", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://www.movieweb.com/news/NEFzGHHIMptEJM"} +{"d:Title": "Interview with Peter Cullen", "d:Description": "By Frosty. [Collider.com]", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://www.collider.com/entertainment/interviews/article.asp/aid/4600/tcid/1"} +{"d:Title": "Heavy metal: Peter Cullen's voice powers 'Transformers'", "d:Description": "By Ian Spelling. [New York Times Syndicate]", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://readingeagle.com/article.aspx?id=102824"} +{"d:Title": "Optimus Prime Takes Shape For \u2018Transformers 2\u2019 As Voice Artist Peter Cullen Returns To Work", "d:Description": "By Brian Jacks. [MTV.com]", "topic": "Top/Arts/Animation/Voice_Actors/C/Cullen,_Peter/Articles_and_Interviews", "url": "http://moviesblog.mtv.com/2008/09/25/optimus-prime-takes-shape-for-transformers-2-as-voice-artist-peter-cullen-returns-to-work/"} +{"d:Title": "The Toon Obsession: Jim Cummings", "d:Description": "Fanpage with photo, trivia, voice credits, and commentary.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cummings,_Jim", "url": "http://www.angelfire.com/ut/RToons/JCummings.html"} +{"d:Title": "Voice Chasers - Cummings, Jim", "d:Description": "Photo, profile and comprehensive list of voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cummings,_Jim", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1002"} +{"d:Title": "The Cult of Cummings: The Jim Cummings fan portal", "d:Description": "Since 1995, a comprehensive resource for fans of voice over actor Jim Cummings. Includes news, info, media gallery and selected voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cummings,_Jim", "url": "http://www.cultofcummings.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/C/Cummings,_Jim", "url": "http://www.imdb.com/name/nm0191906/"} +{"d:Title": "He Said, He Said", "d:Description": "Scan and transcription of a Jim Cummings interview in Disney Adventures Magazine (Bonkers Special Collector's Issue - 1993).", "topic": "Top/Arts/Animation/Voice_Actors/C/Cummings,_Jim/Articles_and_Interviews", "url": "http://home.jps.net/~lsnyder/JCummings.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Full cast and crew list. Also includes trivia, links, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Clerks_-_The_Cartoon_Series", "url": "http://www.imdb.com/title/tt0210413/fullcredits"} +{"d:Title": "Internet Movie Database: Gargoyles", "d:Description": "Features a cast list as well as reviews and a message board.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Gargoyles", "url": "http://www.imdb.com/title/tt0108783/"} +{"d:Title": "Internet Movie Database: Gargoyles: The Goliath Chronicles", "d:Description": "Cast list for the third season of Gargoyles, as well as reviews and a message board.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Gargoyles", "url": "http://www.imdb.com/title/tt0115184/"} +{"d:Title": "The Unofficial ReBoot Homepage", "d:Description": "Contains various .wav files voiced by the cast and two QuickTime video clips of the actors in a recording session - featuring Michael Donovan, Matthew Sinclair and Kathleen Barr.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/ReBoot", "url": "http://www.inwap.com/mf/reboot/QuickTime.html"} +{"d:Title": "Voice Chasers", "d:Description": "Cast list with links to the actors' pages.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Simpsons,_The", "url": "http://www.voicechasers.com/database/showprod.php?prodid=39"} +{"d:Title": "Late Nite With Space Ghost", "d:Description": "by Heather Kenyon. An entertaining and informative peek \"behind the scenes\" of Cartoon Network's \"Space Ghost: Coast-To-Coast\". If you've ever wondered how the show came about, or how they put a show together, this article will fill you in on all the keen details. Includes quotes from the show's cast as well. [From Animation World Magazine, Issue 3.4]", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Space_Ghost", "url": "http://www.awn.com/mag/issue3.4/3.4pages/3.4kenyon.html"} +{"d:Title": "Cartoon Network Panel - Dragon*Con'99", "d:Description": "Transcript of a panel discussion featuring Andy Merrill and C. Martin Croker.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Space_Ghost", "url": "http://www.snard.com/sg/dc99panel.html"} +{"d:Title": "The Technodrome - Voice Cast", "d:Description": "TMNT voice cast listed by character.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Teenage_Mutant_Ninja_Turtles", "url": "http://www.thetechnodrome.com/voices.shtml"} +{"d:Title": "Voice Chasers", "d:Description": "Cast list with links to the actors' pages.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Teenage_Mutant_Ninja_Turtles", "url": "http://www.voicechasers.com/database/showprod.php?prodid=175"} +{"d:Title": "Voice Chasers", "d:Description": "Cast list with links to the actors' pages.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Tiny_Toon_Adventures", "url": "http://www.voicechasers.com/database/showprod.php?prodid=2"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, merchandising links and other details.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Tiny_Toon_Adventures", "url": "http://www.imdb.com/title/tt0098929/fullcredits"} +{"d:Title": "Voice Chasers - Transformers", "d:Description": "Cast list with links to individual actors' pages.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Transformers", "url": "http://www.voicechasers.com/database/showprod.php?prodid=181"} +{"d:Title": "BotCon '97 Guests", "d:Description": "Headshots of Peter Cullen, David Kaye, and Venus Terzo, from the Official BotCon Website.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Transformers", "url": "http://www.botcon.com/archive/1997/bc97guests.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Complete cast and crew list, with other movie details.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Transformers/Transformers_-_The_Movie", "url": "http://www.imdb.com/title/tt0092106/fullcredits"} +{"d:Title": "Voice Chasers", "d:Description": "Cast list with links to the actors' pages.", "topic": "Top/Arts/Animation/Voice_Actors/Cast_Lists/Cartoons/Voltron", "url": "http://www.voicechasers.com/database/showprod.php?prodid=1157"} +{"d:Title": "The Voice Actor Appreciation Society", "d:Description": "Newsletter and discussion forum for voice actor enthusiasts. Also open to aspiring and professional voice actors.", "topic": "Top/Arts/Animation/Voice_Actors/Chats_and_Forums", "url": "http://groups.yahoo.com/group/voice_actor_appreciation/"} +{"d:Title": "Little Kitty Productions Mailing List", "d:Description": "A list for voice actors and fans of radio plays, with scripts, jobs, ads and a bi-weekly newsletter. Mostly advertises voice acting opportunities for Little Kitty Productions, but other productions are encouraged to post theirs as well.", "topic": "Top/Arts/Animation/Voice_Actors/Chats_and_Forums", "url": "http://groups.yahoo.com/group/lkproductions/"} +{"d:Title": "Voiceovers", "d:Description": "E-mail community for those offering voice services for voiceovers, narrations, commercials, industrial films, jingle singing, and DJ voice tracks.", "topic": "Top/Arts/Animation/Voice_Actors/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Voiceovers/"} +{"d:Title": "VASTAVox", "d:Description": "Hosted by the \"Voice And Speech Trainers Association\". E-mail discussion group open to the public.", "topic": "Top/Arts/Animation/Voice_Actors/Chats_and_Forums", "url": "http://groups.yahoo.com/group/vastavox/"} +{"d:Title": "Advertising Voiceover Talents", "d:Description": "Message board and chat room for professional voice talents, hosted by Yahoo! Groups.", "topic": "Top/Arts/Animation/Voice_Actors/Chats_and_Forums", "url": "http://finance.groups.yahoo.com/group/advertisingvoiceovertalents/"} +{"d:Title": "Fans of Voice Actors", "d:Description": "A place to discuss voice actors.", "topic": "Top/Arts/Animation/Voice_Actors/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/fansofvoiceactors/"} +{"d:Title": "Voice Talent", "d:Description": "E-mail community for voice talents worldwide to meet and discuss ideas and for new talent to learn more about the industry. Discussion is open to mention job opportunities - and intercontinental voice talent links.", "topic": "Top/Arts/Animation/Voice_Actors/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/VOICETALENT/"} +{"d:Title": "Jim Dale Home Page", "d:Description": "Official site. Includes biography, answers to frequently asked questions about the Harry Potter audiobook editions, audiobook reviews, insight into the development of the character voices used to bring the books to life, and personal commentary.", "topic": "Top/Arts/Animation/Voice_Actors/D/Dale,_Jim", "url": "http://www.jim-dale.com/"} +{"d:Title": "Random House: Harry Potter", "d:Description": "Official publisher site with Real Audio samples and ordering information for all 4 audiobooks, as performed by Jim Dale. Includes photo and profile.", "topic": "Top/Arts/Animation/Voice_Actors/D/Dale,_Jim", "url": "http://www.randomhouse.com/audio/harrypotter/"} +{"d:Title": "TVGuide.com: Jim Dale", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/D/Dale,_Jim", "url": "http://www.tvguide.com/celebrities/jim-dale/157039"} +{"d:Title": "IMDb: Jim Dale", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/D/Dale,_Jim", "url": "http://www.imdb.com/name/nm0197715/"} +{"d:Title": "Daniels hits the mark with Avengers' Hawkeye", "d:Description": "by Rob Allstetter. [Comics Continuum] Tony Daniels talks about his work as the voice of Hawkeye on Avengers. Includes photographs.", "topic": "Top/Arts/Animation/Voice_Actors/D/Daniels,_Tony", "url": "http://comicscontinuum.com/features/hawkeye/index.htm"} +{"d:Title": "Pitch Black Online: Keith David", "d:Description": "Photo and profile from a Pitch Black fan page.", "topic": "Top/Arts/Animation/Voice_Actors/D/David,_Keith", "url": "http://www.angelfire.com/movies/pitchblack/david.html"} +{"d:Title": "Keith David Fan Club", "d:Description": "Hosted by Yahoo! Clubs.", "topic": "Top/Arts/Animation/Voice_Actors/D/David,_Keith", "url": "http://groups.yahoo.com/group/keithdavidfanclub/"} +{"d:Title": "Keith David and Greg Weisman", "d:Description": "Interview by The Critical Eye.", "topic": "Top/Arts/Animation/Voice_Actors/D/David,_Keith", "url": "http://purpleplanetmedia.com/eye/inte/kdavid.shtml"} +{"d:Title": "Quick and The Dead: Keith David", "d:Description": "Photograph and commentary from a fellow cast member.", "topic": "Top/Arts/Animation/Voice_Actors/D/David,_Keith", "url": "http://www.thequickandthedead.net/kd.html"} +{"d:Title": "TVGuide.com: Keith David", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/D/David,_Keith", "url": "http://www.tvguide.com/celebrities/keith-david/160259"} +{"d:Title": "Internet Movie Database: Keith David", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/D/David,_Keith", "url": "http://www.imdb.com/name/nm0202966/"} +{"d:Title": "IMDb: Grey Delisle", "d:Description": "Filmography, TV credits, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/D/DeLisle,_Grey", "url": "http://www.imdb.com/name/nm0217221/"} +{"d:Title": "Denny Delk's Voiceover and Light Hauling", "d:Description": "Official site with voice samples and links.", "topic": "Top/Arts/Animation/Voice_Actors/D/Delk,_Denny", "url": "http://www.ddelk.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/D/Delk,_Denny", "url": "http://www.imdb.com/name/nm0217246/"} +{"d:Title": "Debi Derryberry's Website", "d:Description": "Official site of one of Hollywood's most successful voiceover artists. Includes resume, credits, sound clips, pictures, hobbies and interests, contact information, and related links.", "topic": "Top/Arts/Animation/Voice_Actors/D/Derryberry,_Debi", "url": "http://www.debiderryberry.com/"} +{"d:Title": "Voice Chasers: Derryberry, Debi", "d:Description": "Photo, links, and voice credits in animation.", "topic": "Top/Arts/Animation/Voice_Actors/D/Derryberry,_Debi", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1290"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia, and related links.", "topic": "Top/Arts/Animation/Voice_Actors/D/Derryberry,_Debi", "url": "http://www.imdb.com/name/nm0220635/"} +{"d:Title": "Voice Chasers: Donovan, Michael", "d:Description": "Voice acting and directing credits in animation and other information.", "topic": "Top/Arts/Animation/Voice_Actors/D/Donovan,_Michael", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2223"} +{"d:Title": "Brian Drummond and David Kaye", "d:Description": "Chat room and message board hosted by Yahoo! Groups.", "topic": "Top/Arts/Animation/Voice_Actors/D/Drummond,_Brian", "url": "http://groups.yahoo.com/group/briandrummondanddavidkaye/"} +{"d:Title": "BADrummond", "d:Description": "Yahoo Groups mailing list for discussing the actor.", "topic": "Top/Arts/Animation/Voice_Actors/D/Drummond,_Brian", "url": "http://groups.yahoo.com/group/BADrummond/"} +{"d:Title": "The Official Susan Egan Fan Page", "d:Description": "Features up-to-date news, detailed biography, career information, appearance/performance schedule, an exclusive interview, plenty of photos, chat room, and even a letter to fans from Susan herself.", "topic": "Top/Arts/Animation/Voice_Actors/E/Egan,_Susan", "url": "http://www.susanegan.net/"} +{"d:Title": "Susan Egan Interview", "d:Description": "Transcript of an online chat", "topic": "Top/Arts/Animation/Voice_Actors/E/Egan,_Susan", "url": "http://www.emuck.com:3000/archive/egan.html"} +{"d:Title": "Living Legends Ltd.", "d:Description": "Personal appearances by celebrities from the Golden Age of TV and Film for conventions, trade shows, fundraisers, private parties, emcees, phone calls, and other events. Talent roster includes several voice actors in animation.", "topic": "Top/Arts/Animation/Voice_Actors/Events", "url": "http://www.livinglegendsltd.com/"} +{"d:Title": "International Radio Creative&Production Summit", "d:Description": "Dan O'Day and Dick Orkin (voice of popular radio character \"Chickenman\") host this annual August event in Los Angeles, CA, for radio creative and production people, ad agencies and voiceover artists.", "topic": "Top/Arts/Animation/Voice_Actors/Events", "url": "http://danoday.com/summit.shtml"} +{"d:Title": "The VAAS: Events and Appearances", "d:Description": "The Voice Actor Appreciation Society offers a regularly-updated directory of upcoming events featuring cartoon voice actors. [Requires membership]", "topic": "Top/Arts/Animation/Voice_Actors/Events", "url": "http://groups.yahoo.com/group/voice_actor_appreciation/links/Events_and_Appearanc_000985383761/"} +{"d:Title": "VOICE 2008: Voice Over International Creative Experience", "d:Description": "The world's largest event for voiceover professionals worldwide. Past guests include Bob Bergen, Pat Fraley, Richard Horvitz, MJ Lallo, Townsend Coleman, Beau Weaver, and other noted voice actors. 2009 date TBA.", "topic": "Top/Arts/Animation/Voice_Actors/Events", "url": "http://voice-international.com/"} +{"d:Title": "Voice Chasers: Conventions and Public Appearances", "d:Description": "Discussion forum.", "topic": "Top/Arts/Animation/Voice_Actors/Events", "url": "http://voicechasers.com/forum/viewforum.php?f=8"} +{"d:Title": "Voice Chasers - Bill Farmer", "d:Description": "Photo and voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill", "url": "http://voicechasers.com/database/showactor.php?actorid=1139"} +{"d:Title": "MobyGames - Bill Farmer", "d:Description": "List of video game voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill", "url": "http://www.mobygames.com/developer/sheet/view/developerId,3726/"} +{"d:Title": "IMDb: Bill Farmer", "d:Description": "Photos and voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill", "url": "http://www.imdb.com/name/nm0267724/"} +{"d:Title": "Wikipedia - Bill Farmer", "d:Description": "Encyclopedic entry.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Bill_Farmer"} +{"d:Title": "Meeting Bill Farmer", "d:Description": "[YouTube] Video from the Wizard World 2010 Anaheim Comic-con.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=ecuGvY6x_Dg"} +{"d:Title": "Host of Jeff's Star Talk Show At Mickey Sinardi's Show Stoppers Stars Spectacular Part 2", "d:Description": "[YouTube] Video interview with Bill Farmer.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=7GXu1_tpVTo"} +{"d:Title": "Disney Legends - Bill Farmer", "d:Description": "[Disney.com] Photo and profile of the voice of Goofy and Pluto.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill/Articles_and_Interviews", "url": "http://legends.disney.go.com/legends/detail?key=Bill+Farmer"} +{"d:Title": "Bill Farmer is 'Goofy' for fun and profit.", "d:Description": "By Brian McTavish. [Baltimore Sun] Farmer discusses his role as Goofy.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill/Articles_and_Interviews", "url": "http://articles.baltimoresun.com/1995-05-04/features/1995124177_1_bill-farmer-goofy-farmer-worked"} +{"d:Title": "Live Chat with Bill Farmer, The Voice of Goofy", "d:Description": "Archive of a live video chat hosted by Kevin Delaney of VONinja.com.", "topic": "Top/Arts/Animation/Voice_Actors/F/Farmer,_Bill/Articles_and_Interviews", "url": "http://voninja.com/?p=826"} +{"d:Title": "Pamelyn Ferdin Official Web Site", "d:Description": "Features resum\u00e9, trivia, current projects, merchandise and booking information.", "topic": "Top/Arts/Animation/Voice_Actors/F/Ferdin,_Pamelyn", "url": "http://www.pamelynferdin.com/"} +{"d:Title": "Pamelyn Ferdin Web Page", "d:Description": "Dedicated fan site with detailed filmography, photos, trivia, screen captures, related links, FAQs and other information.", "topic": "Top/Arts/Animation/Voice_Actors/F/Ferdin,_Pamelyn", "url": "http://www.pamferdin.com/"} +{"d:Title": "Team Knight Rider: Plato", "d:Description": "Voice samples, from the Official Team Knight Rider Site.", "topic": "Top/Arts/Animation/Voice_Actors/F/Fleischer,_Charles", "url": "http://teamknightrider.com/cast/plato/plato.html"} +{"d:Title": "Charles Fleischer @ IMDb", "d:Description": "Filmography. Also includes TV schedule link.", "topic": "Top/Arts/Animation/Voice_Actors/F/Fleischer,_Charles", "url": "http://www.imdb.com/name/nm0281486/"} +{"d:Title": "Voice Chasers", "d:Description": "Brief profile, photo and list of animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1251"} +{"d:Title": "The Radio Adventures Of Dr. Floyd", "d:Description": "Audio show episodes starring June Foray.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June", "url": "http://www.doctorfloyd.com/category/cast/celebrities/june-foray/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June", "url": "http://www.imdb.com/name/nm0004931/"} +{"d:Title": "Vortex Technology: The Jay Ward Animation Special", "d:Description": "A 1-hour downloadable audio file. Features June Foray, Bill Scott, Walker Edmiston, and others involved in the making of \"The Rocky and Bullwinkle Show\".", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.vortex.com/av.html#JayWard1"} +{"d:Title": "TV Close-Up: June Foray", "d:Description": "By Michael Phillips. [Yucks Digest #62] (Scroll down for the interview.)", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.cerias.purdue.edu/homes/spaf/Yucks/V2/msg00061.html"} +{"d:Title": "The Remarkable June Foray", "d:Description": "Tribute article by Mark Evanier. [Animation World Magazine]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue5.03/5.03pages/evanierforay.php3"} +{"d:Title": "Big Bill Littlejohn", "d:Description": "\"Legendary voice actress June Foray writes her own fond memories of a close friend and colleague.\" [From Animation World Magazine, Issue 4.2]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue4.02/4.02pages/foraylittlejohn.php3"} +{"d:Title": "Animation World Magazine: Desert Island Series", "d:Description": "If June Foray were ever stranded on a desert island with only 10 cartoons to watch, these would be her top 10 picks.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue1.7/articles/kowlaskidi1.7.html"} +{"d:Title": "Happy Birthday, Chuck Jones!", "d:Description": "By Wendy Jackson. [Animation World Magazine] Event coverage of Chuck Jones' 85th birthday celebration including photos of Chuck, June Foray and Matt Groening.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue2.7/2.7pages/2.7jacksonjones.html"} +{"d:Title": "How The Grinch Stole Christmas...and My Heart", "d:Description": "By Frankie Kowalski. [Animation World Magazine] Essay and event coverage of the 30th Anniversary of the classic Holiday special, including photos of Chuck Jones, Maurice Noble and June Foray.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue1.9/articles/kowlagrinch1.9.html"} +{"d:Title": "June Foray Interview", "d:Description": "By Gary James. [The Harbinger]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.theharbinger.org/xvi/980414/james.html"} +{"d:Title": "Behind The Lines: June Foray", "d:Description": "Vintage photographs and an archive TV broadcast interview with June Foray and Bill Scott from WBCN Boston, MA.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://behindthelinespodcast.blogspot.com/2009/08/boing-7-interview-with-june-foray.html"} +{"d:Title": "Boing! #7: June Foray Interview", "d:Description": "Podcast hosted by Todd Dolce.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://boing.libsyn.com/index.php?post_id=515574"} +{"d:Title": "Archive of American Television", "d:Description": "Complete 2-hour video interview with June Foray.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.emmytvlegends.org/interviews/people/june-foray"} +{"d:Title": "June Foray: Our time with a legend", "d:Description": "[WaitIKnowThis.com] Live podcast interview. [Scroll down to select Episode #23 from the playlist.]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://waitiknowthis.blogspot.com/2009/04/june-foray-our-time-with-legend.html"} +{"d:Title": "Bob Claster's Funny Stuff", "d:Description": "Audio interview with Bill Scott and June Foray.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.bobclaster.com/#rocky"} +{"d:Title": "Nine And A Half Questions with June Foray", "d:Description": "By Will Ryan. [Animation World Network]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.awn.com/articles/voice-acting/nine-and-half-questions-june-foray"} +{"d:Title": "June Foray Interview", "d:Description": "Video interview by Howard Schwartz. [YouTube.com]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=ouLW28VAd_I"} +{"d:Title": "Interviews With Cartoon Greats June Foray&Paul Winchell", "d:Description": "Audio interviews by JohnsWackyWorld.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=KhJiVAjYlOQ"} +{"d:Title": "nimitz36's YouTube Channel", "d:Description": "Over a dozen candid video interviews with June Foray by Dave Nimitz.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.youtube.com/user/nimitz36"} +{"d:Title": "YouTube: June Foray's ASIFA-Hollywood Birthday Party", "d:Description": "June Foray's remarks October 19th, 2007 at Pickwick Gardens in Burbank, CA.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=44qndUtssvg"} +{"d:Title": "YouTube: Rocky and Bullwinkle go to Comic Con Part 1", "d:Description": "Live script reading from the \"Spotlight on June Foray\" panel presentation the 2009 San Diego Comic-Con featuring performances by June Foray, Bill Farmer and Gregg Berger.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=47iZgTfFoV0"} +{"d:Title": "YouTube: Rocky and Bullwinkle go to Comic Con Part 2", "d:Description": "Live script reading from the \"Spotlight on June Foray\" panel presentation the 2009 San Diego Comic-Con featuring performances by June Foray, Bill Farmer and Gregg Berger.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=O6UcQksiqjU"} +{"d:Title": "June Foray Invited Talk Transcript", "d:Description": "[EntertainMuck]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.emuck.com/archive/foray"} +{"d:Title": "Wikipedia: June Foray", "d:Description": "User-contributed encyclopedia entry.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/June_Foray"} +{"d:Title": "June Foray: Did You Grow Up With Me, Too?", "d:Description": "Book review by Steven H. Silver. [SFSite.com]", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://www.sfsite.com/~silverag/foray.html"} +{"d:Title": "TV Tropes - June Foray", "d:Description": "Biographical article.", "topic": "Top/Arts/Animation/Voice_Actors/F/Foray,_June/Articles_and_Interviews", "url": "http://tvtropes.org/pmwiki/pmwiki.php/Main/JuneForay"} +{"d:Title": "Voice Chasers - Pat Fraley", "d:Description": "Photo, links, and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/F/Fraley,_Patrick", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1133"} +{"d:Title": "Pat Fraley.com", "d:Description": "Official site with demos, voice credits, teaching schedule for classes and workshops, and ordering details for instructional book and CDs: \"Cartoon Voice!,\" \"9 Critical Skills to Voiceover Excellence,\" and \"Greatest Cartoon Voice Tricks Ever Smuggled Out of Hollywood.\"", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/F/Fraley,_Patrick", "url": "http://patfraley.com/"} +{"d:Title": "IMDb: Pat Fraley", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Voice_Actors/F/Fraley,_Patrick", "url": "http://www.imdb.com/name/nm0289710/"} +{"d:Title": "Interview With Pat Fraley", "d:Description": "By Craig Crumpton. [ToonZone.net]", "topic": "Top/Arts/Animation/Voice_Actors/F/Fraley,_Patrick/Articles_and_Interviews", "url": "http://news.toonzone.net/2000/oct/27/hearing_voices.php#pat_on_the_head"} +{"d:Title": "MediaBay.com - When Radio Was", "d:Description": "Stan Freberg hosts this daily program, available in streaming RealAudio and Windows Media. Includes Freberg profile and photo.", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan", "url": "http://www.radiospirits.com/onradio/meethost_sf.asp"} +{"d:Title": "The World's Most Official Unofficial Stan Freberg Page", "d:Description": "Dedicated to the radio/TV star and \"master satirist\", with news, photo gallery, audio clips, media, discography, filmography, discussion forums and links.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan", "url": "http://freberg.westnet.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan", "url": "http://www.imdb.com/name/nm0292677/"} +{"d:Title": "Modern Maturity - The Creators", "d:Description": "Interview by Janet Kinosian on the source of his creativity.", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan/Articles_and_Interviews", "url": "http://www.aarp.org/mmaturity/mar_apr00_preview/creators.html#freberg"} +{"d:Title": "Stan Freberg", "d:Description": "Biographical article by Tom Simon.", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan/Articles_and_Interviews", "url": "http://www.tsimon.com/freberg.htm"} +{"d:Title": "Stan Freberg - A Salute", "d:Description": "By Michael \"Rabbit\" Hutchison.", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan/Articles_and_Interviews", "url": "http://www.thehutch.com/rabhutch/freberg.htm"} +{"d:Title": "A Conversation with Stan Freberg", "d:Description": "By Joe Bevilacqua. [ComedyoRama.com]", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan/Articles_and_Interviews", "url": "http://www.dawsbutler.com/Freberg.htm"} +{"d:Title": "The Stan Freberg Mailing List", "d:Description": "Also includes archives of post history.", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan/Chats_and_Forums", "url": "http://freberg.westnet.com/list.html"} +{"d:Title": "The Stan Freberg Page - Hear it", "d:Description": "Comprehensive information resource on Freberg's recordings with scripts and audio clips.", "topic": "Top/Arts/Animation/Voice_Actors/F/Freberg,_Stan/Recordings", "url": "http://freberg.westnet.com/hear_it.html"} +{"d:Title": "Crispin Freeman Professional Voiceover", "d:Description": "Official site with news, photos, resume and Anime voice credits, FAQs, character pictures, fan art, and related links.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/F/Freeman,_Crispin", "url": "http://www.crispinfreeman.com/"} +{"d:Title": "Reel Top 40 Radio Repository: Paul Frees", "d:Description": "Featuring Paul Frees' aircheck demo in RealAudio.", "topic": "Top/Arts/Animation/Voice_Actors/F/Frees,_Paul", "url": "http://www.reelradio.com/gifts/frees.html"} +{"d:Title": "The Legendary Paul Frees", "d:Description": "By Glenn Erickson. [The DVD Resource Page] The DVD Savant answers reader mail on Frees' overdubbing Tony Curtis' voice for Josephine in \"Some Like It Hot\".", "topic": "Top/Arts/Animation/Voice_Actors/F/Frees,_Paul", "url": "http://www.dvdtalk.com/dvdsavant/s74frees.html"} +{"d:Title": "Voice Chasers: Paul Frees", "d:Description": "List of voice credits in animation.", "topic": "Top/Arts/Animation/Voice_Actors/F/Frees,_Paul", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1248"} +{"d:Title": "IMDb: Paul Frees", "d:Description": "Filmography, TV credits, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/F/Frees,_Paul", "url": "http://www.imdb.com/name/nm0293659/"} +{"d:Title": "Wikipedia - Paul Frees", "d:Description": "Encyclopedic entry.", "topic": "Top/Arts/Animation/Voice_Actors/F/Frees,_Paul/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Paul_Frees"} +{"d:Title": "YouTube - The Genius of Paul Frees", "d:Description": "Collection of out-takes and unused narration from Disney's original Haunted Mansion attraction, as performed by Paul Frees.", "topic": "Top/Arts/Animation/Voice_Actors/F/Frees,_Paul/Articles_and_Interviews", "url": "http://www.youtube.com/watch?v=LlR9YeewwJw"} +{"d:Title": "Matt Frewer as Sherlock Holmes", "d:Description": "News plus production, casting, and episode information on the Hallmark Sherlock Holmes series.", "topic": "Top/Arts/Animation/Voice_Actors/F/Frewer,_Matt", "url": "http://bakerstreetdozen.com/frewernews.html"} +{"d:Title": "Internet Movie Database: Matt Frewer", "d:Description": "Filmography, trivia, publicity, TV schedule, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/F/Frewer,_Matt", "url": "http://www.imdb.com/name/nm0001242/"} +{"d:Title": "The Toon Obsession", "d:Description": "Pictures and profiles of Charlie Adler, Nick Bakay, Michael Bell, Dan Castellaneta, Christine Cavanaugh, Jim Cummings, Tim Curry, Tress MacNeille, Francesca Smith and Cree Summer. Also includes poll.", "topic": "Top/Arts/Animation/Voice_Actors/Fan_Pages", "url": "http://www.angelfire.com/ut/RToons/actors.html"} +{"d:Title": "Stage, Voice, and Screen: Sites By Doreen", "d:Description": "Homepage of Doreen Mulman, webmaster of fan tributes and official sites for a number of cartoon voice actors such as Jean Vander Pyl, Billy West, Mel Blanc, Tara Strong, Frank Welker, Charlie Adler, Rino Romano, Mary Kay Bergman and many others.", "topic": "Top/Arts/Animation/Voice_Actors/Fan_Pages", "url": "http://doreen.mkbmemorial.com/"} +{"d:Title": "Behind The Voice Actors", "d:Description": "A visual guide to cartoon and video game voice actors and their characters with photos and recent news. Search by actor, characters or series.", "topic": "Top/Arts/Animation/Voice_Actors/Fan_Pages", "url": "http://www.behindthevoiceactors.com/"} +{"d:Title": "Voice Chasers", "d:Description": "Database of voice actors and their work. Profiles include headshots, voiceographies, related awards, biography and agency information, and demos. Also includes news, forums, and links.", "topic": "Top/Arts/Animation/Voice_Actors/Fan_Pages", "url": "http://www.voicechasers.com/"} +{"d:Title": "Keyframe: Voice Actors", "d:Description": "Index of voice actors cross-linked with profiles for the animated features, shorts and TV series they've performed in.", "topic": "Top/Arts/Animation/Voice_Actors/Fan_Pages", "url": "http://www.keyframeonline.com/VoiceActors/"} +{"d:Title": "The Voice Actor Page", "d:Description": "Database of voice actor credits. Query by show, actor, character, or add new credits yourself.", "topic": "Top/Arts/Animation/Voice_Actors/Fan_Pages", "url": "http://clint.sheer.us/voices/"} +{"d:Title": "Zatharos' Animation Voice Actor Database", "d:Description": "Fan site focusing primarily on Anime dub voice credits. [No updates since January 2007.]", "topic": "Top/Arts/Animation/Voice_Actors/Fan_Pages", "url": "http://www.avadb.com/"} +{"d:Title": "IMDb: James Gammon", "d:Description": "Contains filmography and additional information.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gammon,_James", "url": "http://www.imdb.com/name/nm0304000/"} +{"d:Title": "Taos Land&Film Company", "d:Description": "Magazine article scans, pictures and screen captures from the 1998 independent film, \"Postal Worker\".", "topic": "Top/Arts/Animation/Voice_Actors/G/Garrett,_Brad", "url": "http://www.taoslandandfilm.com/BradEntnWkly.html"} +{"d:Title": "IMDb: Brad Garrett (I)", "d:Description": "Filmography, trivia, publicity and other details.", "topic": "Top/Arts/Animation/Voice_Actors/G/Garrett,_Brad", "url": "http://www.imdb.com/name/nm0004951/"} +{"d:Title": "Kathy Garver's Home Page", "d:Description": "Official site of the actress known for her role as Cissy on \"Family Affair\". Site includes: biography; appearances schedule; photo gallery; animation voiceover, acting and audiobook credits; merchandise and memorabilia; links and audio demo.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/G/Garver,_Kathy", "url": "http://kathygarver.com/"} +{"d:Title": "Internet Movie Database: Kathy Garver", "d:Description": "Filmography, TV credits, photos and trivia.", "topic": "Top/Arts/Animation/Voice_Actors/G/Garver,_Kathy", "url": "http://www.imdb.com/name/nm0308744/"} +{"d:Title": "DickGautier.com", "d:Description": "Official site of the actor, artist, cartoonist and author. Site offers biography, filmography and online store for his art, books, caricatures and autographed photos.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gautier,_Dick", "url": "http://www.dickgautier.com/"} +{"d:Title": "DanGilvezan.com", "d:Description": "Official site with resume, scrapbook, and samples of his television, commercial and voiceover work.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gilvezan,_Dan", "url": "http://www.dangilvezan.com/"} +{"d:Title": "IMDb: Gilvezan, Dan", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gilvezan,_Dan", "url": "http://www.imdb.com/name/nm0319737/"} +{"d:Title": "Internet Movie Database: Barry Gordon", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gordon,_Barry", "url": "http://www.imdb.com/name/nm0330015/"} +{"d:Title": "Midnight Movie Madness - from CD-ROM Access", "d:Description": "CD-ROM collection of the 100 best drive-in movie classics, hosted by Gilbert Gottfried.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert", "url": "http://www.cdaccess.com/html/pc/midmovie.htm"} +{"d:Title": "Gilbert Gottfried", "d:Description": "Fan page with personal statistics, credits, quotes, and links.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert", "url": "http://www.angelfire.com/celeb/starzbios/Gottfried_G.html"} +{"d:Title": "TVGuide.com: Gilbert Gottfried", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert", "url": "http://www.tvguide.com/celebrities/gilbert-gottfried/152090"} +{"d:Title": "Internet Movie Database: Gilbert Gottfried", "d:Description": "Filmography, trivia, TV schedule, and other works.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert", "url": "http://www.imdb.com/name/nm0331906/"} +{"d:Title": "An interview with Gilbert Gottfried", "d:Description": "By Bill Jackson. [MIT: The Tech]", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert/Articles_and_Interviews", "url": "http://www-tech.mit.edu/V110/N37/gilber.37a.html"} +{"d:Title": "Gilbert Gottfried", "d:Description": "By Nick Krewen. [The Hamilton Spectator - Octopus Media]", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert/Articles_and_Interviews", "url": "http://www.octopusmediaink.com/GilbertGottfried.html"} +{"d:Title": "Hall, Gottfried give their All for SADD", "d:Description": "By Bill Jackson. [The Tech] Stand-up review.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert/Articles_and_Interviews", "url": "http://tech.mit.edu/V110/N38/lsc.38a.html"} +{"d:Title": "Gottfried still a stand-up guy", "d:Description": "Stand-up performance review by Jim Slotek. [Toronto Sun]", "topic": "Top/Arts/Animation/Voice_Actors/G/Gottfried,_Gilbert/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/G/Gottfried_Gilbert/1997/02/21/758674.html"} +{"d:Title": "Voice Chasers - Edan Gross", "d:Description": "List of voice credits in animation and movies.", "topic": "Top/Arts/Animation/Voice_Actors/G/Gross,_Edan", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2148"} +{"d:Title": "Kyle Hebert.com", "d:Description": "Voice actor Kyle Hebert lists his upcoming appearances. Includes photo archive of past events.", "topic": "Top/Arts/Animation/Voice_Actors/H", "url": "http://www.kylehebert.com/"} +{"d:Title": "Her Voice Sells Everything From Pizza to a Bank Loan", "d:Description": "By Cristina Rouvalis. [Post-Gazette] An interview with voice-over actor Amy Hartman. Includes photos.", "topic": "Top/Arts/Animation/Voice_Actors/H", "url": "http://www.post-gazette.com/magazine/19990223voicetalent1.asp"} +{"d:Title": "Jess Harnell Anecdote", "d:Description": "32K sound file and transcription of a radio interview with Jess Harnell and Rob Paulsen, from one of Ron \"Keeper\" O'Dell's many dedicated fan pages.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harnell,_Jess", "url": "http://www.armory.com/~keeper/jesshirt.html"} +{"d:Title": "Voice Chasers - Jess Harnell", "d:Description": "Photo gallery and list of voice credits in animation.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harnell,_Jess", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1220"} +{"d:Title": "The Doc Harris Web Page", "d:Description": "Official site - features include amusing anecdotes, background, jokes, bizarre haiku, UFO photos, and jalepeno recipes. Loaded with wild and wacky humor - good, clean fun.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Doc", "url": "http://docharris.com/"} +{"d:Title": "440 International", "d:Description": "Radio station credits and links.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Doc", "url": "http://www.440int.com/namesh.html#_dharri"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Doc", "url": "http://www.imdb.com/name/nm0364629/"} +{"d:Title": "Megaera's Actor Reviews", "d:Description": "Critical evaluation of Harris' role on \"Lost In Space\", includes biographical details.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Jonathan", "url": "http://www.heptune.com/actors.html#Jonathan Harris"} +{"d:Title": "Giants Log Interview", "d:Description": "Conducted by David Simpson and Jenny Lovering.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Jonathan", "url": "http://www.actordatabase.com/jonathanharris/intjh92.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Jonathan", "url": "http://www.imdb.com/name/nm0364893/"} +{"d:Title": "Phil Harris", "d:Description": "Photos of Phil's final resting place, from Find A Grave.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Phil", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2980"} +{"d:Title": "Phil Harris", "d:Description": "Yahoo Groups e-mail community for discussing the great singer, bandleader, and actor.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Phil", "url": "http://groups.yahoo.com/group/PhilHarris/"} +{"d:Title": "IMDb: Phil Harris (I)", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/H/Harris,_Phil", "url": "http://www.imdb.com/name/nm0365201/"} +{"d:Title": "Internet Movie Database: Terri Hawkes", "d:Description": "Filmography, TV credits and links.", "topic": "Top/Arts/Animation/Voice_Actors/H/Hawkes,_Terri", "url": "http://www.imdb.com/name/nm0370049/"} +{"d:Title": "Bossography", "d:Description": "Howard's work history in radio.", "topic": "Top/Arts/Animation/Voice_Actors/H/Hoffman,_Howard", "url": "http://www.440int.com/namesh2.html#_hhoffm"} +{"d:Title": "RT40RR - The Howard Hoffman Collection", "d:Description": "Biographical article. Includes aircheck in Real Audio.", "topic": "Top/Arts/Animation/Voice_Actors/H/Hoffman,_Howard", "url": "http://www.reelradio.com/hh/index.html"} +{"d:Title": "IMDb: Sterling Holloway", "d:Description": "Filmography, trivia, links, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/H/Holloway,_Sterling", "url": "http://www.imdb.com/name/nm0001359/"} +{"d:Title": "Amy Howard: The Voice of Nova", "d:Description": "Interview by Project Neko.", "topic": "Top/Arts/Animation/Voice_Actors/H/Howard,_Amy", "url": "http://www.angelfire.com/ne/nekointerviews/amy.html"} +{"d:Title": "Enjoying the return of Scooby-Doo", "d:Description": "By Sheila Edmundson. [Scripps Howard] \"When Scott Innes told his elementary school teachers that one day he would be the voice of Scooby Doo, he wasn't kidding.\"", "topic": "Top/Arts/Animation/Voice_Actors/I/Innes,_Scott/Articles_and_Interviews", "url": "http://thecabin.net/stories/100199/sty_1001990066.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/J/Jackson,_Roger_L.", "url": "http://www.imdb.com/name/nm0413996/"} +{"d:Title": "IMDb.com - Tony Jay", "d:Description": "Filmography, with links on publicity, TV schedule, and other works.", "topic": "Top/Arts/Animation/Voice_Actors/J/Jay,_Tony", "url": "http://www.imdb.com/name/nm0419645/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/K/Kassir,_John", "url": "http://www.imdb.com/name/nm0440885/"} +{"d:Title": "David Kaye Productions", "d:Description": "Official Website. Includes a complete voiceography and filmography, photos, and demo reels. DKP also includes among its talent base the lovely actress Venus Terzo, voice of Blackarachnia on \"Beast Wars: Transformers\".", "topic": "Top/Arts/Animation/Voice_Actors/K/Kaye,_David", "url": "http://www.davidkaye.com/"} +{"d:Title": "Brian Drummond and David Kaye", "d:Description": "Chat room and message board hosted by Yahoo! Groups.", "topic": "Top/Arts/Animation/Voice_Actors/K/Kaye,_David", "url": "http://groups.yahoo.com/group/briandrummondanddavidkaye/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/K/Kaye,_David", "url": "http://www.imdb.com/name/nm0443286/"} +{"d:Title": "The Toon Obsession: Tom Kenny", "d:Description": "Fanpage by Robin Whittle.", "topic": "Top/Arts/Animation/Voice_Actors/K/Kenny,_Tom", "url": "http://www.angelfire.com/ut/RToons/TKenny.html"} +{"d:Title": "Shecky Magazine: What Works", "d:Description": "Dan French reviews Tom Kenny's stand-up act.", "topic": "Top/Arts/Animation/Voice_Actors/K/Kenny,_Tom", "url": "http://www.sheckymagazine.com/french.htm"} +{"d:Title": "Animation World Magazine: Tom Kenny: Voice of SpongeBob Revealed", "d:Description": "Rick DeMott talks with Tom Kenny to discover there's a truly animated soul behind the voice of SpongeBob SquarePants.", "topic": "Top/Arts/Animation/Voice_Actors/K/Kenny,_Tom", "url": "http://www.awn.com/animationworld/tom-kenny-voice-spongebob-revealed"} +{"d:Title": "Internet Movie Database", "d:Description": "Brief filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/L/LaFontaine,_Don", "url": "http://www.imdb.com/name/nm0480964/"} +{"d:Title": "Doreen's Maurice LaMarche Page", "d:Description": "Fan page with photo, profile, filmography and links.", "topic": "Top/Arts/Animation/Voice_Actors/L/LaMarche,_Maurice", "url": "http://www.mkbmemorial.com/LaMarche/index.html"} +{"d:Title": "Maurice LaMarche Fan Club", "d:Description": "Message board and chat room at Yahoo! Clubs.", "topic": "Top/Arts/Animation/Voice_Actors/L/LaMarche,_Maurice", "url": "http://groups.yahoo.com/group/mauricelamarchefanclub/"} +{"d:Title": "Voice Chasers: Maurice LaMarche", "d:Description": "Profile and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/L/LaMarche,_Maurice", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1301"} +{"d:Title": "Internet Movie Database: Maurice LaMarche", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/L/LaMarche,_Maurice", "url": "http://www.imdb.com/name/nm0005606/"} +{"d:Title": "Lex Lang", "d:Description": "Official site - a musician and performer, Lex Lang is also one of Hollywood's busiest and most versatile professional voice-over artists.", "topic": "Top/Arts/Animation/Voice_Actors/L/Lang,_Lex", "url": "http://www.lexlang.com/"} +{"d:Title": "Internet Movie Database: Chris Latta", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/L/Latta,_Chris", "url": "http://www.imdb.com/name/nm0490383/"} +{"d:Title": "Q&A with Katie Leigh", "d:Description": "Interview hosted by AIOHQ and OdysseyFan.", "topic": "Top/Arts/Animation/Voice_Actors/L/Leigh,_Katie", "url": "http://www.aiohq.com/articles/qakatie.htm"} +{"d:Title": "IMDb: Katie Leigh", "d:Description": "Filmography, TV credits, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/L/Leigh,_Katie", "url": "http://www.imdb.com/name/nm0500246/"} +{"d:Title": "Rachel Lillis Online Chat", "d:Description": "Transcript from Salon Roquet's Library.", "topic": "Top/Arts/Animation/Voice_Actors/L/Lillis,_Rachel", "url": "http://www.salonroquet.freeservers.com/srlillis02.htm"} +{"d:Title": "Barbara Archer Gallery", "d:Description": "Exclusive representation of George Lowe's work as an artist. Site includes a scan of one of Lowe's pieces, as well as ordering information.", "topic": "Top/Arts/Animation/Voice_Actors/L/Lowe,_George", "url": "http://www.barbaraarcher.com/"} +{"d:Title": "Flying Hamburgers: Buslady's George Lowe Fan Page", "d:Description": "Information, trivia, photos, voiceover credits, commentary, photos, and samples of Lowe's original artwork.", "topic": "Top/Arts/Animation/Voice_Actors/L/Lowe,_George", "url": "http://flyinghamburgers.tripod.com/"} +{"d:Title": "Lowe looks to his future in a building's vacant shell", "d:Description": "By Carl Cronan. [The Business Journal] \"The veteran broadcaster and supplier of voice-overs to cartoons and promotional spots is looking to build an audio recording studio [in Florida].\"", "topic": "Top/Arts/Animation/Voice_Actors/L/Lowe,_George/Articles_and_Interviews", "url": "http://www.bizjournals.com/tampabay/stories/2000/04/17/focus8.html"} +{"d:Title": "George Lowe on Space Ghost", "d:Description": "By Walt Belcher. [Tampa Tribune]", "topic": "Top/Arts/Animation/Voice_Actors/L/Lowe,_George/Articles_and_Interviews", "url": "http://www.snard.com/sg/tampatrib.html"} +{"d:Title": "Ghost town", "d:Description": "By Carl Cronan. [The Business Journal] \"Cartoon vocalist George Lowe looks to revitalize downtown Lakeland.\"", "topic": "Top/Arts/Animation/Voice_Actors/L/Lowe,_George/Articles_and_Interviews", "url": "http://www.bizjournals.com/tampabay/stories/2000/04/17/focus1.html"} +{"d:Title": "Interview with the Ghost", "d:Description": "By James Casey. [Arizona Daily Wildcat]", "topic": "Top/Arts/Animation/Voice_Actors/L/Lowe,_George/Articles_and_Interviews", "url": "http://wc.arizona.edu/papers/91/62/11_1_m.html"} +{"d:Title": "Elise's Rugrats Page", "d:Description": "Profile and a picture.", "topic": "Top/Arts/Animation/Voice_Actors/M/MacNeille,_Tress", "url": "http://cust.idl.com.au/boyd/tress.html"} +{"d:Title": "The Toon Obsession: Tress MacNeille", "d:Description": "Fanpage with photo, trivia, commentary, and related links.", "topic": "Top/Arts/Animation/Voice_Actors/M/MacNeille,_Tress", "url": "http://www.angelfire.com/ut/RToons/TMacNeille.html"} +{"d:Title": "Voice Chasers - Tress MacNeille", "d:Description": "Voiceography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/M/MacNeille,_Tress", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1219"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/M/MacNeille,_Tress", "url": "http://www.imdb.com/name/nm0534134/"} +{"d:Title": "Eli Marienthal", "d:Description": "Information, news, gossip, photo gallery, chat room, and filmography.", "topic": "Top/Arts/Animation/Voice_Actors/M/Marienthal,_Eli", "url": "http://elimarienthal.tripod.com/"} +{"d:Title": "Voice Chasers: Scott McNeil", "d:Description": "Photo and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/M/McNeil,_Scott", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2251"} +{"d:Title": "Brak Radio Interview", "d:Description": "Chat transcript with several sound clips. [Ghost Planet Central]", "topic": "Top/Arts/Animation/Voice_Actors/M/Merrill,_Andy", "url": "http://www.snard.com/sg/brak_wgst.html"} +{"d:Title": "Animation World Magazine: Don Messick", "d:Description": "Brief obituary of the voice actor Donald Messick who died last week, of natural causes, at the age of 71.", "topic": "Top/Arts/Animation/Voice_Actors/M/Messick,_Don", "url": "http://www.awn.com/mag/issue2.9/2.9pages/2.9passing.html"} +{"d:Title": "Don Messick", "d:Description": "Filmography, with a few bits of trivia.", "topic": "Top/Arts/Animation/Voice_Actors/M/Messick,_Don", "url": "http://www.imdb.com/name/nm0582106/"} +{"d:Title": "Audio Godz -- Pro-Motions Production Company", "d:Description": "Official production company founded by Lani Minella, specializing in all aspects of voiceovers from talent to casting, directing, writing and production. Well-known in the computer game industry with over 350 titles (all platforms).", "topic": "Top/Arts/Animation/Voice_Actors/M/Minella,_Lani", "url": "http://www.audiogodz.com/"} +{"d:Title": "Best Kept Secrets For Voiceovers", "d:Description": "What every producer, casting director, director and actor should know about finding the best talent; costs, myths, and realities. Written by Lani Minella herself, a well-known and respected VO artist and director.", "topic": "Top/Arts/Animation/Voice_Actors/M/Minella,_Lani", "url": "http://www.digitalgamedeveloper.com/Htm/Tutorials/VoiceoverSecrets/Voice_over-secrets1.htm"} +{"d:Title": "Voice Chasers: Lani Minella", "d:Description": "List of animation and CD-ROM voice credits. Includes demo in MP3 format.", "topic": "Top/Arts/Animation/Voice_Actors/M/Minella,_Lani", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2260"} +{"d:Title": "A Rogues' Gallery of Polaroids", "d:Description": "Scroll to the bottom of the page to find a rather candid photo of Richard.", "topic": "Top/Arts/Animation/Voice_Actors/M/Moll,_Richard", "url": "http://www.forcor.com/makeup/misc.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/M/Moll,_Richard", "url": "http://www.imdb.com/name/nm0596959/"} +{"d:Title": "Non-Sequitur Express", "d:Description": "Overview of BotCon 2000 voice panel featuring John Moschitta, Jr.", "topic": "Top/Arts/Animation/Voice_Actors/M/Moschitta,_John,_Jr.", "url": "http://nsx.underbase.org/review/conv/bc-2000-guests.htm#panel-moschitta"} +{"d:Title": "WWWF Grudge Match", "d:Description": "Comedic send-up of a fictional match between three famous voices: Dick Vitale, Sam Kinison, and John Moschitta.", "topic": "Top/Arts/Animation/Voice_Actors/M/Moschitta,_John,_Jr.", "url": "http://www.grudge-match.com/History/littlecaesars.shtml"} +{"d:Title": "Claws-and-paws.com", "d:Description": "Thundercats voice cast outtakes in MP3 format.", "topic": "Top/Arts/Animation/Voice_Actors/Multimedia/Bloopers_and_Outtakes", "url": "http://www.claws-and-paws.com/thundercats/"} +{"d:Title": "The LACTOSE", "d:Description": "Outtakes collected by \"Keeper\" Cruzio - chronicling \"major changes to scripts, recordings and animation for episodes of both 'Animaniacs' and 'Pinky and The Brain'\".", "topic": "Top/Arts/Animation/Voice_Actors/Multimedia/Bloopers_and_Outtakes", "url": "http://www2.cruzio.com/~keeper/LACTOSE.html"} +{"d:Title": "Animatus Studio Presents: Derf the Viking", "d:Description": "Audio outtakes from a web cartoon.", "topic": "Top/Arts/Animation/Voice_Actors/Multimedia/Bloopers_and_Outtakes", "url": "http://www.animatusstudio.com/derf/behindaudio.html"} +{"d:Title": "Celebrity Rants", "d:Description": "Audio clips of bloopers by Jim Backus, Casey Casem, Leonard Nimoy, William Shatner, and Orson Welles.", "topic": "Top/Arts/Animation/Voice_Actors/Multimedia/Bloopers_and_Outtakes", "url": "http://www.celebrityrants.com/"} +{"d:Title": "Radio Free New York: Celebrity Out Takes&Bloopers", "d:Description": "Studio outtakes from Casey Kasem and other radio and television personalities. [Includes adult language]", "topic": "Top/Arts/Animation/Voice_Actors/Multimedia/Bloopers_and_Outtakes", "url": "http://bloopers.hankhayes.com/"} +{"d:Title": "KathyNajimy.com", "d:Description": "Official website of Kathy Najimy with biography, filmography, TV credits, photo album, multimedia, articles and speech transcriptions, FAQs, recommended links, message board, and screen saver.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/N/Najimy,_Kathy", "url": "http://kathynajimy.com/"} +{"d:Title": "Feminist.com: Interview With Kathy Najimy", "d:Description": "By Marianne Schnall.", "topic": "Top/Arts/Animation/Voice_Actors/N/Najimy,_Kathy", "url": "http://www.feminist.com/resources/artspeech/interviews/kn.htm"} +{"d:Title": "TVGuide.com: Kathy Najimy", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/N/Najimy,_Kathy", "url": "http://www.tvguide.com/celebrities/kathy-najimy/160056"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia, profile, and related links.", "topic": "Top/Arts/Animation/Voice_Actors/N/Najimy,_Kathy", "url": "http://www.imdb.com/name/nm0001562/"} +{"d:Title": "Find A Grave: Frank Nelson", "d:Description": "Biographical details, black&white photo, and pictures of his gravesite.", "topic": "Top/Arts/Animation/Voice_Actors/N/Nelson,_Frank", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4779"} +{"d:Title": "All About Frank Nelson", "d:Description": "Tribute site with profile, archived articles, pictures, sound clips, radio and screen credits, and fan fiction.", "topic": "Top/Arts/Animation/Voice_Actors/N/Nelson,_Frank", "url": "http://www.dannythestreet.com/franknelson/"} +{"d:Title": "Internet Movie Database: Frank Nelson", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/N/Nelson,_Frank", "url": "http://www.imdb.com/name/nm0625372/"} +{"d:Title": "Filmbug: Fred Newman", "d:Description": "Biographical article and links.", "topic": "Top/Arts/Animation/Voice_Actors/N/Newman,_Fred", "url": "http://www.filmbug.com/db/299722"} +{"d:Title": "Voice Chasers - Fred Newman", "d:Description": "Voiceography.", "topic": "Top/Arts/Animation/Voice_Actors/N/Newman,_Fred", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2663"} +{"d:Title": "IMDb.com - Fred Newman (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Voice_Actors/N/Newman,_Fred", "url": "http://www.imdb.com/name/nm0628091/"} +{"d:Title": "Joe Nipote's Official CyberCite", "d:Description": "Flash-enhanced site with biography, audio and video clips, pictures, and resume. Includes many images and multimedia clips from his performances on TV, radio, voice-overs, and standup comedy. Autographs also available for purchase.", "topic": "Top/Arts/Animation/Voice_Actors/N/Nipote,_Joe", "url": "http://www.joenipote.com/"} +{"d:Title": "Interview with Marni Nixon", "d:Description": "By Gail M. Burns.", "topic": "Top/Arts/Animation/Voice_Actors/N/Nixon,_Marni", "url": "http://myvanwy.tripod.com/companies/barrington/marninixon.html"} +{"d:Title": "Marni Nixon: The Voice of Hollywood", "d:Description": "By Elyse Sommer. [CurtainUp.com]", "topic": "Top/Arts/Animation/Voice_Actors/N/Nixon,_Marni", "url": "http://www.curtainup.com/marninixon.html"} +{"d:Title": "Internet Movie Database: Marni Nixon", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/N/Nixon,_Marni", "url": "http://www.imdb.com/name/nm0633262/"} +{"d:Title": "Celebrity Voice Actors: The New Sound of Animation", "d:Description": "Joe Bevilacqua investigates viewpoints on celebrities as voice actors. [Animation World Magazine]", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue4.01/4.01pages/bevilacquaceleb.php3"} +{"d:Title": "Sequential Tart: She Said What?!", "d:Description": "Lee Atchison discusses the pros and cons of dubbed and subtitled anime productions.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.sequentialtart.com/archive/apr00/ao_0400_2.shtml"} +{"d:Title": "Heartburn, Radio 4", "d:Description": "By Chris Maume. [The Independent] Defending the use of voice-over narration in film.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.independent.co.uk/arts-entertainment/tv/reviews/heartburn-radio-4-1634551.html"} +{"d:Title": "Interviews: Peter Renoudet", "d:Description": "By Jesse Guiher. [DoomBuggies.com] Discussing Renoudet's role as the voice of the \"Ghost Host\" for the 1969 soundtrack recording for \"The Haunted Mansion.\"", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.doombuggies.com/insiders_renoudet.php"} +{"d:Title": "Bob Claster's Funny Stuff", "d:Description": "Audio interviews with voice actors Bill Scott, June Foray, John Cleese, Stan Freberg and Brother Theodore.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.bobclaster.com/"} +{"d:Title": "The Walter Tetley Web Page", "d:Description": "Essays and interviews by Brian Kistler on the voices behind Jay Ward cartoons: Walter Tetley, Paul Frees, Daws Butler, June Foray, Bill Scott and Chris Allen.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.waltertetley.com/"} +{"d:Title": "The Straight Dope: Whose voice says \"You've got mail\" on AOL?", "d:Description": "Profile of Elwood Edwards, voice of AOL.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.straightdope.com/columns/read/1617/whose-voice-says-youve-got-mail-on-aol"} +{"d:Title": "Who2: Actors Who Do Cartoon Voices", "d:Description": "Brief biographies of actors who have second careers as voice actors.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Articles_and_Interviews", "url": "http://www.who2.com/common-bonds/actors-who-do-cartoon-voices"} +{"d:Title": "The Voice - Internet Magazine for voice-overs", "d:Description": "Archived editions of Steven Lyons' now-discontinued UK-based e-zine, from June, 1996, to Christmas, 1997.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Magazines_and_E-zines", "url": "http://www.isdnvoice.com/thevoice.htm"} +{"d:Title": "Voice Actors in the News", "d:Description": "Daily news blog by Craig Crumpton focusing primarily on voice actors in animation.", "topic": "Top/Arts/Animation/Voice_Actors/News_and_Media/Magazines_and_E-zines", "url": "http://voiceactors.wordpress.com/"} +{"d:Title": "Paige's Page", "d:Description": "Official site: features news, personal notes, trivia, biography, discography, upcoming concert appearances, comprehensive list of credits, chat room, guestbook, and many photographs.", "topic": "Top/Arts/Animation/Voice_Actors/O/O'Hara,_Paige", "url": "http://www.paigeohara.net/"} +{"d:Title": "IMDb: Corinne Orr", "d:Description": "Filmography, with links on biographical data and agent representation.", "topic": "Top/Arts/Animation/Voice_Actors/O/Orr,_Corinne", "url": "http://www.imdb.com/name/nm0650541/"} +{"d:Title": "Lisa Ortiz: The Voice of Lina Inverse", "d:Description": "Interview by Project Neko at Project A-Kon 11, June 2000.", "topic": "Top/Arts/Animation/Voice_Actors/O/Ortiz,_Lisa", "url": "http://www.angelfire.com/ne/nekointerviews/lisa.html"} +{"d:Title": "Bossography: Gary Owens", "d:Description": "Radio work history.", "topic": "Top/Arts/Animation/Voice_Actors/O/Owens,_Gary", "url": "http://www.440int.com/nameso.html#_gowens"} +{"d:Title": "IMDb.com : Gary Owens", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/O/Owens,_Gary", "url": "http://www.imdb.com/name/nm0654365/"} +{"d:Title": "The Toon Obsession: Rob Paulsen", "d:Description": "Fanpage with trivia and commentary.", "topic": "Top/Arts/Animation/Voice_Actors/P/Paulsen,_Rob", "url": "http://www.angelfire.com/ut/RToons/RPaulsen.html"} +{"d:Title": "Voice Chasers", "d:Description": "Photo gallery, voice credits in animation and scans of print articles Rob has been featured in.", "topic": "Top/Arts/Animation/Voice_Actors/P/Paulsen,_Rob", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1001"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/P/Paulsen,_Rob", "url": "http://www.imdb.com/name/nm0667326/"} +{"d:Title": "Diane Pershing @ IMDb", "d:Description": "Filmography", "topic": "Top/Arts/Animation/Voice_Actors/P/Pershing,_Diane", "url": "http://www.imdb.com/name/nm0675432/"} +{"d:Title": "Planet Proctor", "d:Description": "Officially supported by Phil Proctor. The online home of Phil's newsletter, \"The Planet Proctor Archives\". Also includes news, biography, photos, and related links.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/P/Proctor,_Phil", "url": "http://www.planetproctor.com/"} +{"d:Title": "Elise's Rugrats Page - Stars", "d:Description": "Photo and short biography.", "topic": "Top/Arts/Animation/Voice_Actors/P/Proctor,_Phil", "url": "http://cust.idl.com.au/boyd/phil.html"} +{"d:Title": "Minicon Convention Photos", "d:Description": "Pictures taken at a sci-fi convention.", "topic": "Top/Arts/Animation/Voice_Actors/P/Proctor,_Phil", "url": "http://www.westley.org/pix.html"} +{"d:Title": "McDonald-Lewis, Mary", "d:Description": "The voice actress of Superfriends' Lois Lane, GI Joe's Lady Jaye, and OnStar. Profile, demos and audio samples in RealAudio and MP3, contact information and related links. ISDN-connected.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Animation_Voice_Talent", "url": "http://marymac.com/"} +{"d:Title": "Garver, Kathy", "d:Description": "Audio production, voice casting, voice direction, voice classes and voice talent for all audio needs.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Animation_Voice_Talent", "url": "http://kathygarver.com/"} +{"d:Title": "Shadow Box Studio Anime Page", "d:Description": "Anime credits for engineer-director-writer-voice actor Joe Romersa, including Real Media samples.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Animation_Voice_Talent", "url": "http://www.shadowboxstudio.com/anime/anime.htm"} +{"d:Title": "Lip Service", "d:Description": "UK based voices for radio, tv, multimedia, corporates, narration, post-synching.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.lipservice.co.uk/"} +{"d:Title": "Creative Artists Agency", "d:Description": "Founded in 1975, CAA is one of Hollywood's top talent agencies (with William Morris and International Creative Management). Minimalist website at the moment.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.caa.com/"} +{"d:Title": "MaximumTalent", "d:Description": "Talent agency in the Denver area.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.maxtalent.com/"} +{"d:Title": "Vox Talent", "d:Description": "Canadian agency providing professional non-union voiceovers. MP3 samples and biographies of the voice talent artists available online.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.voxtalent.com/"} +{"d:Title": "Genuine Casting", "d:Description": "London-based company providing international voice-over artists for post production and ADR.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.genuinecasting.com/"} +{"d:Title": "RMK Voice Productions", "d:Description": "Australian talent agency representing voice overs, singers, writers and narrators. Site contains Real Audio and QuickTime samples.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.rmk.com.au/"} +{"d:Title": "Look Talent", "d:Description": "Represents hundreds of actors and actresses, children and models for commercial, film, television, radio, print work and voiceovers.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.looktalent.com/"} +{"d:Title": "Excellent Voice Company", "d:Description": "UK based voice over agency. Audio downloads via Real Player. Site contains FAQs and tips for first time directors and new voices.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.excellentvoice.co.uk/"} +{"d:Title": "Speak-easy Ltd.", "d:Description": "UK agency representing television presenters, journalists and voiceover artists. Offers talent profiles, photos and demo samples.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.speak-easy.co.uk/"} +{"d:Title": "Talent Poole", "d:Description": "Talent agency representing non-union talent: putting actors on stage, behind the mike, or in front of the camera.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.talentpoole.com/"} +{"d:Title": "People Store", "d:Description": "SAG franchised talent agency based in the Southeast USA. Representing union/non-union actors and models of all ages and ethnicities. Each agent has a special area of expertise, ranging from film, commercial and voice-over, to industrial and print.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.peoplestore.net/"} +{"d:Title": "Amanda Howard Associates", "d:Description": "UK based voiceover agency. Offers a list of male and female performers, with audio samples available for download.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.meetthejoneses.co.uk/"} +{"d:Title": "Access Talent", "d:Description": "Provides voice-over talent for all areas with audio samples in English and Spanish.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Talent_Agencies", "url": "http://www.accesstalent.com/"} +{"d:Title": "Voiceworx", "d:Description": "Toronto, Ontario, studio offering instruction for a career in radio and television commercial voice-over, documentary narration and character voices for animation.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.voiceworx.com/"} +{"d:Title": "Edge Studio", "d:Description": "Helping voice-over artists advance their careers since 1988. Determine your potential with training, demo production, workshops, free industry newsletters, guidance sessions, resources, coaching and evaluations.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.edgestudio.com/"} +{"d:Title": "Voicetrax West", "d:Description": "Los Angeles, California, studio offering low-budget voice-over recording, demo tape production, tracking, editing, post-producing, writing, directing (radio spots, toys, cd-roms, or books on tape), and voiceover classes, workshops, and seminars for those wanting to learn the craft.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.voicetraxwest.com/"} +{"d:Title": "Brain Tracks Audio", "d:Description": "California school operated by Nancy Wolfson lists its curriculum and rates.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://braintracksaudio.com/"} +{"d:Title": "MJ Productions - CreatingVoices.com", "d:Description": "For those wanting a creative experience in developing their voices for animation, commercial, theatrical or public speaking, and for producers and directors for audio production or books on tape, and casting talent.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.creatingvoices.com/"} +{"d:Title": "Charles Michel's The Winning Voice", "d:Description": "Voice improvement for business speaking, voice-over training, talent agency for professional voice artists.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.thewinningvoice.com/"} +{"d:Title": "Voice One", "d:Description": "Voice training school in San Francisco, California, teaching all styles of radio commercial, narration and special projects.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.voiceoneonline.com/"} +{"d:Title": "Developing a Female Voice", "d:Description": "Transgender site offering effective techniques on how to train your voice to be female without surgery. Entire course available in RealAudio format.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://heartcorps.com/journeys/voice.htm"} +{"d:Title": "The Great Voice Company, Inc", "d:Description": "Susan Berkley offers voice-over training via workshops, seminars and teleclasses, as well as voice shaping for salespeople. Includes free newsletters, blog, advice and articles, instructional books, and other professional services.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.greatvoice.com/"} +{"d:Title": "Voice Power Training", "d:Description": "Brenda C. and Craig Smith offer training to improve the sound of voice and delivery methods. Also provides voice over talent. Hanover, Ontario, Canada.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://voicepowertraining.com/"} +{"d:Title": "MasteringVoiceover.com", "d:Description": "Dan Balestrero provides home study training courses for people who want to become voiceover artists.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://www.masteringvoiceover.com/"} +{"d:Title": "Sound Advice", "d:Description": "Offers three forms of voiceover training and instruction: one-on-one orientation, coaching, and in-studio workshops.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training", "url": "http://voiceoverinfo.com/"} +{"d:Title": "MJ Productions Workshops", "d:Description": "Burbank, California, training center offering various voice over classes, workouts and workshops, exercises in learning how to create character voices for animation, commercials, and other forms of broadcast and entertainment media.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Classes,_Workshops_and_Seminars", "url": "http://www.creatingvoices.com/"} +{"d:Title": "Kalmenson&Kalmenson", "d:Description": "Professionals offering voiceover workshops in the Los Angeles area. Click on \"Ask The Casting Director\" to learn more about voiceover performance.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Classes,_Workshops_and_Seminars", "url": "http://www.kalmenson.com/"} +{"d:Title": "Wren Ross Voice-Over Workshops", "d:Description": "Massachusetts-based workshop taught by a 20-year voice-over performer.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Classes,_Workshops_and_Seminars", "url": "http://wrenross.com/workshop.htm"} +{"d:Title": "Aliso Creek Voice-Over Classes", "d:Description": "Featuring classes in voice-overs for commercials, animation, narration, promos and trailers. Classes are small and are taught in a professional recording studio. Also digital demo tape production, cassette tape and CD duplication.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Classes,_Workshops_and_Seminars", "url": "http://alisocreek.net/voiceover.html"} +{"d:Title": "Talent Poole Seminars", "d:Description": "One-evening seminar leading participants through the steps to becoming a voice-over talent, hosted by Talent Poole talent agency.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Classes,_Workshops_and_Seminars", "url": "http://www.talentpoole.com/classes.html#voiceover"} +{"d:Title": "Scott Shurian Voiceover Workshops", "d:Description": "Voiceover actor with more than 25 years experience. Workshops available in Salt Lake City, UT and the Los Angeles, CA area.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Classes,_Workshops_and_Seminars", "url": "http://www.voscott.com/workshops.html"} +{"d:Title": "The Voice Package", "d:Description": "By Michael Knott. \"Everything You Need to Successfully Market Your Voice.\"", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Publications", "url": "http://www.sonic.net/1-800-the-voice/vopkg.html"} +{"d:Title": "The Voice Package", "d:Description": "Michael Knott's audio instructional for successfully marketing your voice for commercials, cartoons and videos.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Publications/Audio_and_Video", "url": "http://www.1-800-the-voice.com/vopkg.html"} +{"d:Title": "Rich Mucci's Voice-over Course", "d:Description": "Review and personal recommendation by La-Dair Guzman for a voiceover instructional containing \"over 200 pages... including 60 commercials, audition tape copy, and over three hours of personal instruction on audio\".", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Publications/Books", "url": "http://www.jinglebiz.com/vocourse.htm"} +{"d:Title": "The Acting Studio", "d:Description": "Bill Smith, Denver, Colorado. Actor, director, and producer for three decades offers coaching in voice-over technique, demo scripting, accents and dialects, and voice and speech training.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches", "url": "http://gvtg.com/theactingstudio/"} +{"d:Title": "Voice Acting", "d:Description": "Coaching focuses on acting techniques, taught by author James R. Alburger. Site includes free resource links.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches", "url": "http://www.voiceacting.com/"} +{"d:Title": "A1 Voice Artist", "d:Description": "Learn voice over acting techniques and vocal warm-ups from Rodney Saulsberry. Find audio demos, videos and vocal exercises.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches", "url": "http://www.rodneysaulsberry.com/"} +{"d:Title": "Micandme", "d:Description": "Animation voice acting workshops, group seminars and private voice over coaching provided by Debbi Munro. Character audio demos and detailed class descriptions.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches", "url": "http://www.debsvoice.com/"} +{"d:Title": "The Voice Over Coach", "d:Description": "Private online training classes and digital audio instruction from Michael Minetree. Educational articles, videos and discussion forum.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches", "url": "http://www.thevoiceovercoach.com/"} +{"d:Title": "Tobias Entertainment Group", "d:Description": "Resource for established industry professionals offering consulting, production, content and sound design. Site includes audio samples, client list and contact information.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches", "url": "http://www.tobiasent.com/"} +{"d:Title": "Sinapse", "d:Description": "Speech trainer for actors and drama instructor. Includes articles and profile. Rio de Janeiro, Brazil.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches", "url": "http://www.sinapsecomunicacoes.com/"} +{"d:Title": "Sophia Lansky", "d:Description": "Russian Dialect Coach in Hollywood. Cultural consulting, dialogue coaching, translations, and voice-over. Contact information available.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches/Dialect_and_Accent_Coaches", "url": "http://www.russiandialectcoach.com/"} +{"d:Title": "Paul Meier Dialect Services", "d:Description": "Professional coach for film and theater. Site leases show-specific instructional tapes for a large number of frequently produced plays. Includes testimonials, workshops and training materials.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches/Dialect_and_Accent_Coaches", "url": "http://www.paulmeier.com/"} +{"d:Title": "Jay Miller Voice&Speech", "d:Description": "Based in Toronto, Canada offering private lessons, corporate speech coaching, actor dialect training, and ESL accent reduction. Includes resume, testimonials and sound clips.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches/Dialect_and_Accent_Coaches", "url": "http://www.voiceandspeech.com/"} +{"d:Title": "International Dialogue Coach", "d:Description": "UK based expert on accent, Andrew Jack works with actors for film, television, and theater productions. Site has biography, resume and anecdotal writings of life on the set.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches/Dialect_and_Accent_Coaches", "url": "http://www.andrewjack.com/"} +{"d:Title": "Constantine Gregory", "d:Description": "UK based actor and dialogue coach working in international feature films. Specialty in helping non-English actors to act in English. Site has extensive list of professional credits.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches/Dialect_and_Accent_Coaches", "url": "http://web.onetel.net.uk/~congee/"} +{"d:Title": "Reel English", "d:Description": "Los Angeles voice coach Kelly Reiter specializes in standard American English dialect training for actors with regional or non-native accents. Private coaching available. Site has bio, testimonials and industry links.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches/Dialect_and_Accent_Coaches", "url": "http://www.reelenglishcoach.com/"} +{"d:Title": "Accent Dialect Coach", "d:Description": "Kathleen S. Dunn offers coaching, accent reduction, workshops and voice and body movement instruction. Includes biography and contact information.", "topic": "Top/Arts/Animation/Voice_Actors/Professional_Services/Training/Voice_Coaches/Dialect_and_Accent_Coaches", "url": "http://www.accentdialectcoach.com/"} +{"d:Title": "TVParty - Winky-Dink and You", "d:Description": "Children's TV show featuring Mae Questel as the voice of Winky-Dink. Includes sound clip of Questel singing the theme song.", "topic": "Top/Arts/Animation/Voice_Actors/Q/Questel,_Mae", "url": "http://www.tvparty.com/requested2.html"} +{"d:Title": "Mae Questel: A Reminiscence, History and Perspective", "d:Description": "By Andrew J. Lederer. [Animation World Magazine] Eloquent tribute to the First Lady of animated character voices. Includes photo.", "topic": "Top/Arts/Animation/Voice_Actors/Q/Questel,_Mae", "url": "http://www.awn.com/mag/issue2.12/2.12pages/2.12ledererquestel.html"} +{"d:Title": "Internet Movie Database: Mae Questel", "d:Description": "Filmography (over 370 credits), trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/Q/Questel,_Mae", "url": "http://www.imdb.com/name/nm0703362/"} +{"d:Title": "Jessica Reyes", "d:Description": "Voice over talent, model, singer, actress.", "topic": "Top/Arts/Animation/Voice_Actors/R", "url": "http://www.jessicareyes.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/R/Ravenscroft,_Thurl", "url": "http://www.imdb.com/name/nm0712391/"} +{"d:Title": "Audio R'N'R", "d:Description": "Official site of Maggie Roswell and Hal Rayle, who have been writing and producing as a team for over 10 years. Includes photos, biographies, resumes, and a quicktime virtual tour of their studio.", "topic": "Top/Arts/Animation/Voice_Actors/R/Rayle,_Hal", "url": "http://www.audiornr.com/"} +{"d:Title": "Hal Rayle @ IMDb", "d:Description": "Filmography", "topic": "Top/Arts/Animation/Voice_Actors/R/Rayle,_Hal", "url": "http://www.imdb.com/name/nm0713148/"} +{"d:Title": "KevinMichaelRichardson.com", "d:Description": "Official site for Kevin Michael Richardson with photo, voice credits, filmography, and guest appearances.", "topic": "Top/Arts/Animation/Voice_Actors/R/Richardson,_Kevin_Michael", "url": "http://www.kevinmichaelrichardson.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, TV credits, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/R/Richardson,_Kevin_Michael", "url": "http://www.imdb.com/name/nm0724656/"} +{"d:Title": "The Roles of Rino Romano: The Official Fansite", "d:Description": "Features news and information, filmography, exclusive photos, multimedia clips and screen captures from his TV and movie roles, message board, and related links. [Created by Doreen Mulman and Nora Salisbury.]", "topic": "Top/Arts/Animation/Voice_Actors/R/Romano,_Rino", "url": "http://www.mkbmemorial.com/rino/index.html"} +{"d:Title": "The Official Rino Romano Club", "d:Description": "Yahoo! Clubs fan club hosted with message board and chat room hosted by Marla Charendoff.", "topic": "Top/Arts/Animation/Voice_Actors/R/Romano,_Rino", "url": "http://movies.groups.yahoo.com/group/theofficialrinoromanoclub/"} +{"d:Title": "The Official Rino Romano Web Site", "d:Description": "Includes voice-over and live-action resumes, agent, trivia, related links, and desktop theme. [Maintained by Marla Charendoff.]", "topic": "Top/Arts/Animation/Voice_Actors/R/Romano,_Rino", "url": "http://pages.total.net/~broke/rino.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/R/Romano,_Rino", "url": "http://www.imdb.com/name/nm0738951/"} +{"d:Title": "Bossography: Neil Ross", "d:Description": "Work history in radio.", "topic": "Top/Arts/Animation/Voice_Actors/R/Ross,_Neil", "url": "http://www.440int.com/namesr3.html#_nross"} +{"d:Title": "Neil Ross Voice-Overs", "d:Description": "Official site of one of animation's most versatile character voice actors. Site features biography, contact information, and downloadable voice-over demos of commercials, trailers and promos, animation character voices and narration voice-over.", "topic": "Top/Arts/Animation/Voice_Actors/R/Ross,_Neil", "url": "http://www.neilross.com/"} +{"d:Title": "Internet Movie Database: Neil Ross", "d:Description": "Filmography, TV credits and other details.", "topic": "Top/Arts/Animation/Voice_Actors/R/Ross,_Neil", "url": "http://www.imdb.com/name/nm0743719/"} +{"d:Title": "Audio R'N'R", "d:Description": "Official site of Maggie Roswell and Hal Rayle, who have been writing and producing as a team for over 10 years. Includes photos, biographies, resumes, and a quicktime virtual tour of their studio.", "topic": "Top/Arts/Animation/Voice_Actors/R/Roswell,_Maggie", "url": "http://www.audiornr.com/"} +{"d:Title": "Maggie Roswell - IMDb", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Voice_Actors/R/Roswell,_Maggie", "url": "http://www.imdb.com/name/nm0744648/"} +{"d:Title": "Animania IV Group Shot", "d:Description": "Photo of several attendees.", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul", "url": "http://www2.cruzio.com/~keeper/m_group.htm"} +{"d:Title": "The Premiere Paul Rugg Fan Page", "d:Description": "Lance \"Chameleon\" Snyder's tribute featuring a list of writing and voice credits, character list, TV schedule, sound clips galore, and links.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul", "url": "http://home.jps.net/~lsnyder/Ruggpage.html"} +{"d:Title": "The Paul Rugg Sound Page", "d:Description": "Extensive collection of sound clips compiled by Lance \"Chameleon\" Snyder.", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul", "url": "http://home.jps.net/~lsnyder/Ruggsounds.html"} +{"d:Title": "The Paul Rugg Departure FAQ", "d:Description": "Jaime Weinman details Rugg's work at Warner Bros Animation. [Archived at Google Groups.]", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul", "url": "http://groups.google.com/group/alt.tv.freakazoid/msg/d11c6a3f9e4de1b6"} +{"d:Title": "Internet Movie Database: Paul Rugg", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul", "url": "http://www.imdb.com/name/nm0749406/"} +{"d:Title": "Manny With Meatballs Game", "d:Description": "Interactive Shockwave game.", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul/Manny_The_Uncanny", "url": "http://disney.go.com/disneytelevision/disney1/games/opengames/game.html?game=meatballs&gameid=OSM109&return=main"} +{"d:Title": "Manny Banany Game", "d:Description": "Interactive Shockwave game.", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul/Manny_The_Uncanny", "url": "http://disney.go.com/disneytelevision/disney1/games/opengames/game.html?game=bananas&gameid=OSM102&return=main"} +{"d:Title": "The Frank Welker Homepage", "d:Description": "Screen grabs and sound clips from Welker's September, '98 appearance on \"Manny The Uncanny\", from Doreen Mulman's tribute site.", "topic": "Top/Arts/Animation/Voice_Actors/R/Rugg,_Paul/Manny_The_Uncanny", "url": "http://www.mkbmemorial.com/FWHp/fwhp_manny.htm"} +{"d:Title": "AIO HQ - Will Ryan", "d:Description": "Complete collection of data detailing Ryan's work on \"Adventures in Odyssey\".", "topic": "Top/Arts/Animation/Voice_Actors/R/Ryan,_Will", "url": "http://www.aiohq.com/voice/wr.htm"} +{"d:Title": "Animation World Magazine: The Return of Elmo Aardvark in the Twenty First Century", "d:Description": "Excerpts from a speech given by Will Ryan at a press conference promoting renegadecartoons.com.", "topic": "Top/Arts/Animation/Voice_Actors/R/Ryan,_Will", "url": "http://www.awn.com/mag/issue5.01/5.01pages/ryanelmo.php3"} +{"d:Title": "Voice Chasers - Will Ryan", "d:Description": "List of animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/R/Ryan,_Will", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1563"} +{"d:Title": "Media Guilds International", "d:Description": "An umbrella non-profit organization providing networking and resources for voice actors and on-camera talent, including seminars, workshops and showcases.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.mediaguilds.com/"} +{"d:Title": "BackStage.Com", "d:Description": "The Performance Arts Resource - news and articles on agents, personal managers, auditions, casting notices across the US, performance tips, and other crucial information. More services available to subscribers.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.backstage.com/"} +{"d:Title": "danoday.com", "d:Description": "Many free articles, instruction, workshops, advice, radio software, and streaming audio for radio professionals everywhere. Also features the complete \"Whole O Catalogue\" full of career-enhancing publications.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://danoday.com/"} +{"d:Title": "Stars N Sites.com", "d:Description": "Collection of voice talent, radio talent and voice actors. Web design services for cost effective and professional web pages.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.starsnsites.com/index.html"} +{"d:Title": "The Online Communicator: The Voice Lab", "d:Description": "Includes training tools to develop vocal skill, plus annotated, downloadable voice performance samples for study. Also explores artificial voice synthesis and its implications for human actors.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.online-communicator.com/audtal1.html"} +{"d:Title": "The Art of Voice Acting", "d:Description": "James Alburger, award-winning producer, voice acting instructor, professional voice-over artist, and author of \"The Art of Voice Acting\" offers expansive resources for learning the craft and business of performing voice-over.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.voiceacting.com/"} +{"d:Title": "VoiceOverXtra.com", "d:Description": "The voice actor's online news and resource center for voice-over success, featuring industry news, tutorials, directories, links, equipment and book stores.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.voiceoverxtra.com/"} +{"d:Title": "The Screen Actors Guild", "d:Description": "Union representing film and television performers. Offers a number of services to its members, such as news, calendar of events, contract information, and a list of franchised agents. Of interest to non-members: glossary of entertainment industry terminology; informative articles, listing of past/present SAG award-winners/nominees, and related links.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.sagaftra.org/"} +{"d:Title": "International Dialects of English Archive", "d:Description": "Collection of freely downloadable recordings of real people speaking English in their own native accents and dialects. Nearly 300 4-minute MP3 samples available.", "topic": "Top/Arts/Animation/Voice_Actors/Resources", "url": "http://www.dialectsarchive.com/"} +{"d:Title": "Voices Casting Connection", "d:Description": "Voice talent listings for the midwest and west coast, most available for remote recording and file transfer.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/Databases_and_Casting_Services", "url": "http://voices.cc/"} +{"d:Title": "Voiceovers.co.uk", "d:Description": "Directory of UK and international voiceovers with RealAudio demos, profiles, contact information and search facilities for producers.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/Databases_and_Casting_Services", "url": "http://www.voiceovers.co.uk/"} +{"d:Title": "Lotas Productions", "d:Description": "Top commercial voices for radio and television. Casting, production services, and talent payment.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/Databases_and_Casting_Services", "url": "http://lotasproductions.com/"} +{"d:Title": "Bob Lloyd is The Voicecaster", "d:Description": "The Voice Casting Network provides voice demos of some of the top voice talent in the country.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/Databases_and_Casting_Services", "url": "http://www.voicecasting.com/"} +{"d:Title": "ProComm Studio Services", "d:Description": "Voice talent roster from across America for radio and TV voiceovers.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/Databases_and_Casting_Services", "url": "http://www.procommvoices.com/"} +{"d:Title": "VoiceHunter.com", "d:Description": "Free Internet voice casting with more than 1500 voices from the world's top Voiceover artists to choose from and hear instantly.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/Databases_and_Casting_Services", "url": "http://www.voicehunter.net/"} +{"d:Title": "Voice Acting 101", "d:Description": "By Joe Bevilacqua. [Animation World Magazine] Highly informative article on breaking into voice acting. Includes photos and interview quotes from Joe Alaskey, Bob Bergen, Greg Burson, Corey Burton, Nancy Cartwright, June Foray, Lee Richard Harris, David Kaye, Stephanie Morganstern, Daws Butler, and Phil Proctor.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.awn.com/mag/issue2.1/articles/bevilacqua2.1.html"} +{"d:Title": "Getting That First Voice-over Role", "d:Description": "Compiled by Heather Kenyon. [Animation World Magazine] What casting and voice-over directors look for, and how they choose who they choose - the \"do's\" and \"don'ts\" to help you set yourself aside from the pack and capture that coveted first gig.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.awn.com/mag/issue4.06/4.06pages/kenyon/voicesurvey.php3"} +{"d:Title": "How To Choose A Voice Acting Workshop", "d:Description": "[Animation World Magazine] Laura Schiff reports good, solid advice from the experts regarding what to look for in a voice acting workshop.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.awn.com/mag/issue5.01/5.01pages/schiffvo.php3"} +{"d:Title": "An Interview With Kris Zimmerman On Voice Directing&Timing", "d:Description": "By Laura Schiff. [Animation World Magazine] Interview on how to approach nailing down the best performance time after time.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.awn.com/mag/issue4.06/4.06pages/schiffzimmerman/schiffzimmerman.php3"} +{"d:Title": "How to Make a Voice Demo Tape", "d:Description": "[TalentPoole.com] A voiceover talent agency offers 10 tips for making a demo.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.talentpoole.com/demotape.html"} +{"d:Title": "Having A Voice In The Industry", "d:Description": "[Animation World Magazine] Gregory Singer offers advice to aspiring voice actors on how to get into the industry.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.awn.com/mag/issue5.01/5.01pages/singervoice.php3"} +{"d:Title": "Jamie Thomason: Casting and Directing Disney Television", "d:Description": "By Heather Kenyon. [Animation World Magazine] Insight into the business of voice directing, casting as well as advice for aspiring voice actors.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.awn.com/mag/issue4.06/4.06pages/kenyon/thomasonvoice.php3"} +{"d:Title": "Acting In Brief", "d:Description": "By Dr. Charles Northrup. Online acting lessons for the beginner to advanced actor.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://members.tripod.com/~Northrup/index.html"} +{"d:Title": "Voice Study", "d:Description": "Dr.Charles Northrup offers vocal exercises to develop your resonance and pronunciation in preparation for auditions.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://members.tripod.com/~Northrup/voice.html"} +{"d:Title": "Competing Voices", "d:Description": "By Adam Worcester. [Puget Sound Business Journal] It's almost impossible to survive in the crowded voice-over market by doing strictly local gigs.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.bizjournals.com/seattle/stories/1998/05/18/focus1.html"} +{"d:Title": "10 Steps to Voice Over Success", "d:Description": "By Joshua Seth. [Animation World Magazine] The voice of Tai in \"Digimon: The Movie\" offers expert advice for aspiring voice over artists.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.awn.com/mag/issue5.10/5.10pages/sethsuccess.php3"} +{"d:Title": "Getting Started In Voiceovers", "d:Description": "By Bill Murray. [voiceovers.com] Expert advice from a professional.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.voiceovers.com/yourvocareer.html"} +{"d:Title": "How to be a Voiceover Professional or at Least Act Like One", "d:Description": "By Jim Staylor. [Staylor-Made Communications] Tips, tricks and techniques including what to do before, during and after a VO recording session, and advice from performers, agents, directors, and audio engineers.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.staylor-made.com/downloads/VoiceoverPro.pdf"} +{"d:Title": "Tony Oliver on 'Adventures in Voice Acting'", "d:Description": "[Anime News Network] Interview explaining anime dubs and voice-over workshops.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.animenewsnetwork.com/interview/2009-02-22/interview-tony-oliver-on-adventures-in-voice-acting"} +{"d:Title": "If They Mention Voice-Over Work, It's Just All Talk", "d:Description": "By Howard Leff. [LA Times] Advice for beginners and aspiring voice actors.", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://articles.latimes.com/2007/apr/29/magazine/tm-rules17"} +{"d:Title": "How to Build a Character For Voice Actors", "d:Description": "By Adria Firestone. [EzineArticles.com] \"[A]s voice actors, your greatest learning tool is observing the humans around you.\"", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://ezinearticles.com/?How-to-Build-a-Character-For-Voice-Actors&id=3674205"} +{"d:Title": "Ian Alexander - Hints, Tips&Tricks", "d:Description": "Theories, ideas, and practical workarounds from a voice-over specialist. \"They come with no guarantee they will apply to your situation, but you may find them helpful.\"", "topic": "Top/Arts/Animation/Voice_Actors/Resources/FAQs,_Help,_and_Tutorials", "url": "http://www.ianalexander.com/hints.php"} +{"d:Title": "Keith Scott: Down Under's Voice Over Marvel", "d:Description": "By Stephen Lynch. [Animation World Magazine] Interview with Australia's foremost voice actor - his love of Jay Ward Cartoons and his time on the set as the voice of Bullwinkle in Universal's \"The Adventures of Rocky and Bullwinkle\".", "topic": "Top/Arts/Animation/Voice_Actors/S/Scott,_Keith/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue5.04/5.04pages/lynchscott.php3"} +{"d:Title": "Keith Scott, voice of Bullwinkle, on his book The Moose That Roared", "d:Description": "Chat transcript from CNN.com.", "topic": "Top/Arts/Animation/Voice_Actors/S/Scott,_Keith/Articles_and_Interviews", "url": "http://www.cnn.com/chat/transcripts/2000/7/13/bullwinkle/"} +{"d:Title": "Straight from the Moose's Mouth", "d:Description": "By Karl Cohen. [Animation World Magazine] Review of Keith Scott's book \"The Moose That Roared\".", "topic": "Top/Arts/Animation/Voice_Actors/S/Scott,_Keith/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue5.06/5.06pages/cohenmoose.php3"} +{"d:Title": "JoshuaSeth.com", "d:Description": "Official website of voice-over actor and comedy magician Joshua Seth. Includes biography, credits, contact information, and photos.", "topic": "Top/Arts/Animation/Voice_Actors/S/Seth,_Joshua", "url": "http://www.joshuaseth.com/"} +{"d:Title": "10 Steps to Voice Over Success", "d:Description": "By Joshua Seth. [Animation World Magazine] The voice of Tai in \"Digimon: The Movie\" offers expert advice for aspiring voice over artists.", "topic": "Top/Arts/Animation/Voice_Actors/S/Seth,_Joshua", "url": "http://www.awn.com/mag/issue5.10/5.10pages/sethsuccess.php3"} +{"d:Title": "Harry Shearer", "d:Description": "Official website including biography and information about latest projects.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/S/Shearer,_Harry", "url": "http://www.harryshearer.com/"} +{"d:Title": "Voice Chasers - Harry Shearer", "d:Description": "Voice credits in animation.", "topic": "Top/Arts/Animation/Voice_Actors/S/Shearer,_Harry", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1207"} +{"d:Title": "Yahoo! Movies: Harry Shearer", "d:Description": "Biography and filmography along with photos.", "topic": "Top/Arts/Animation/Voice_Actors/S/Shearer,_Harry", "url": "http://movies.yahoo.com/person/harry-shearer/"} +{"d:Title": "IMDb - Harry Shearer", "d:Description": "Filmography.", "topic": "Top/Arts/Animation/Voice_Actors/S/Shearer,_Harry", "url": "http://www.imdb.com/name/nm0790434/"} +{"d:Title": "Le Show", "d:Description": "Episodes of Harry Shearer's \"Le Show\" from the radio station that broadcast it in 2013.", "topic": "Top/Arts/Animation/Voice_Actors/S/Shearer,_Harry", "url": "http://www.kcrw.com/news-culture/shows/le-show-harry-shearer"} +{"d:Title": "10 Questions with Harry Shearer, Springfield's Finest", "d:Description": "Interview by Gregory Singer and Heather Kenyon from Animation World Magazine.", "topic": "Top/Arts/Animation/Voice_Actors/S/Shearer,_Harry/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue5.01/5.01pages/5.01questions.php3"} +{"d:Title": "Tacha - The Apollo Smile Apreciation Society", "d:Description": "Dedicated fan site featuring Genie Smile, the anime djinni. Includes news, information, picture gallery, fan art, archived articles and interviews, multimedia, profile, contact information and links.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smile,_Apollo", "url": "http://www.angelfire.com/anime/tacha/"} +{"d:Title": "Adorable Apollo Smile", "d:Description": "Fan site with news, large picture gallery, bio, downloadable songs, fan art and links.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smile,_Apollo", "url": "http://www.angelfire.com/pe/apollosmile/"} +{"d:Title": "Apollo Smile Interview", "d:Description": "Interview conducted at Coast-Con XXII, March 1999, by The Apollo Smile Appreciation Society.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smile,_Apollo", "url": "http://www.angelfire.com/ne/apollo/interview.html"} +{"d:Title": "Apollo Smile", "d:Description": "E-mail community for discussing \"The Live-Action Anime Girl\" and \"anything having to do with the anime and Sci-Fi world\".", "topic": "Top/Arts/Animation/Voice_Actors/S/Smile,_Apollo", "url": "http://groups.yahoo.com/group/apollosmile/"} +{"d:Title": "Reelradio: Robert O. Smith, KTAC Tacoma, November 23, 1972", "d:Description": "Aircheck and biographical article on Robert O. Smith, from The Jon Pearkins Collection.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.reelradio.com/jp/index.html#rosktac72"} +{"d:Title": "Reelradio: Robert O. Smith, KTAC Tacoma WA 1974", "d:Description": "Aircheck and comments thread, from The Pat Riley Collection.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.reelradio.com/pr/index.html#rosktac74"} +{"d:Title": "English Voice Actor&Production Staff Database", "d:Description": "Details of many of Robert O.'s voice actor credits. Presented by Crystal Acids.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.crystalacids.com/database/actor/smith_robert_o.html"} +{"d:Title": "Kunoichi's Ranma 1/2 Information", "d:Description": "Shows which character Robert O. played in the long-running Ranma series (Genma), number of appearances, and which stories and volumes that the character appeared in.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.thekeep.org/~kunoichi/kunoichi/ranma_stuff.html"} +{"d:Title": "Walter Wart on KDWB", "d:Description": "KDWB Minneapolis record survey from the week ending December 31, 1966, with \"Walter Wart\" debuting.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.users.qwest.net/~oldiesloon/kd123166.htm"} +{"d:Title": "Dr. Demento's Basement Tapes 9", "d:Description": "\"Walter Wart\" finally made it to CD in 2001 thanks to the ninth edition of Dr. Demento's annual members-only CD. The Thorndike Pickledish Pacifist Choir is Robert O.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://stevexs.tripod.com/comedy/cds/drd9.html"} +{"d:Title": "Looking for Robert O. Airchecks", "d:Description": "The Wanted list of MarkTime RadioWorld, subtitled Airchecks R Us, includes anything of Robert O. Smith's radio work.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.angelfire.com/nm/negativfan/wanteds.html"} +{"d:Title": "Internet Movie Database: Robert O. Smith", "d:Description": "List of film animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.imdb.com/name/nm0809762/"} +{"d:Title": "Voice Chasers: Robert O. Smith", "d:Description": "List of animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2369"} +{"d:Title": "Rubin's Rock 'n' Roll Reference Discography", "d:Description": "\"Walter Wart, The Freaky Frog\" by Robert O.'s Thorndike Pickledish Pacifist Choir is included in this discographay: the result of over ten years of research by Dan Rubin.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.rockmusiclist.com/rock_thq.htm"} +{"d:Title": "TV.com: Robert O. Smith", "d:Description": "The television reference guide includes credits for Robert O.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Robert_O.", "url": "http://www.tv.com/people/robert-o-smith/"} +{"d:Title": "TVGuide.com: Yeardley Smith", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Yeardley", "url": "http://www.tvguide.com/celebrities/yeardley-smith/179516"} +{"d:Title": "Yeardley Smith", "d:Description": "Hollywood.com biography.", "topic": "Top/Arts/Animation/Voice_Actors/S/Smith,_Yeardley", "url": "http://www.hollywood.com/celebrities/188259/yeardley-smith"} +{"d:Title": "David Sobolov", "d:Description": "Official site. Providing voiceover performances for clients worldwide. EDNet Bridge ISDN Digital studio access. 24-48hour turnaround. Site includes demos, resume, rates, and fan feedback page.", "topic": "Top/Arts/Animation/Voice_Actors/S/Sobolov,_David", "url": "http://www.sobolov.com/"} +{"d:Title": "The Toon Obsession: Kath Soucie", "d:Description": "Fan page with photo, profile, and animated character profiles.", "topic": "Top/Arts/Animation/Voice_Actors/S/Soucie,_Kath", "url": "http://www.angelfire.com/ut/RToons/KSoucie.html"} +{"d:Title": "Elise's Rugrats Page: Kath Soucie", "d:Description": "Fan page with photo and character profiles.", "topic": "Top/Arts/Animation/Voice_Actors/S/Soucie,_Kath", "url": "http://cust.idl.com.au/boyd/kath.html"} +{"d:Title": "And I Get Paid!?!: The Life of a Voice Actor", "d:Description": "By Kath Soucie. [Animation World Magazine] \"I am delighted to have the opportunity to brag about what a great job I have.\"", "topic": "Top/Arts/Animation/Voice_Actors/S/Soucie,_Kath", "url": "http://www.awn.com/mag/issue2.12/2.12pages/2.12soucievoice.html"} +{"d:Title": "The Roland Soucie Family", "d:Description": "Online scrapbook with a glimpse into the lives of the Southern California family. Includes candid pictures of animation voice actor Kath Soucie.", "topic": "Top/Arts/Animation/Voice_Actors/S/Soucie,_Kath", "url": "http://www.soucie.net/"} +{"d:Title": "Voice Chasers - Kath Soucie", "d:Description": "List of animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/S/Soucie,_Kath", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1204"} +{"d:Title": "Internet Movie Database: Kath Soucie", "d:Description": "Filmography, trivia, and related links.", "topic": "Top/Arts/Animation/Voice_Actors/S/Soucie,_Kath", "url": "http://www.imdb.com/name/nm0815718/"} +{"d:Title": "Video Vault: Arnold Stang's Lost Pilot", "d:Description": "Some interesting trivia on a genuinely funny actor.", "topic": "Top/Arts/Animation/Voice_Actors/S/Stang,_Arnold", "url": "http://www.tvparty.com/vaultstang.html"} +{"d:Title": "Hangin' Out With Top Cat", "d:Description": "by Walter J. Beaupre. A thorough history and biography of Arnold Stang, the voice of Hanna-Barbera's \"Top Cat\". [Old Time Radio Site - otrsite.com]", "topic": "Top/Arts/Animation/Voice_Actors/S/Stang,_Arnold", "url": "http://www.otrsite.com/articles/artwb009.html"} +{"d:Title": "Arnold Stang @ IMDb", "d:Description": "Filmography, with TV schedule link.", "topic": "Top/Arts/Animation/Voice_Actors/S/Stang,_Arnold", "url": "http://www.imdb.com/name/nm0822205/"} +{"d:Title": "Ebony Showcase Theatre", "d:Description": "Official site of the non-profit organization founded by Nick and Edna Stewart. Supports the theatre's rebuilding project by selling vintage movie and classic TV videos, showbiz memorabilia and collectibles including autographed items dontated by Nick Stewart and Alan Young. Also features talent showcase, photos, newsroom and archives, and other information.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/S/Stewart,_Nick", "url": "http://www.ebonyshowcase.org/"} +{"d:Title": "Song of the South.net", "d:Description": "Tribute page with photo, obituary, and related links.", "topic": "Top/Arts/Animation/Voice_Actors/S/Stewart,_Nick", "url": "http://www.songofthesouth.net/news/stewart.html"} +{"d:Title": "Yahoo Groups: Lightnin' Strikes", "d:Description": "Continuing the work and legacy of Nick and Edna Stewart.", "topic": "Top/Arts/Animation/Voice_Actors/S/Stewart,_Nick", "url": "http://groups.yahoo.com/group/lightninstrikes/"} +{"d:Title": "IMDb: Nick Stewart (I)", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/Animation/Voice_Actors/S/Stewart,_Nick", "url": "http://www.imdb.com/name/nm0829695/"} +{"d:Title": "Andre123.com", "d:Description": "Official Homepage of Andre Stojka, the voice of Owl in Disney's \"Pooh's Grand Adventure: The Search for Christopher Robin\". Mostly a promotional for Andre's voice work, but his list of voiceover recording studios may be beneficial to aspiring voice actors.", "topic": "Top/Arts/Animation/Voice_Actors/S/Stojka,_Andre", "url": "http://www.andre123.com/"} +{"d:Title": "Tara Charendoff Fan Club", "d:Description": "Official message board and chat room, hosted by Tara's sister. [May require free Yahoo! membership.]", "topic": "Top/Arts/Animation/Voice_Actors/S/Strong,_Tara", "url": "http://groups.yahoo.com/group/taracharendofffanclub/"} +{"d:Title": "Voice Chasers: Strong (Charendoff), Tara", "d:Description": "Animation voice credits, personal statistics, and other information.", "topic": "Top/Arts/Animation/Voice_Actors/S/Strong,_Tara", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1073"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/S/Strong,_Tara", "url": "http://www.imdb.com/name/nm0152839/"} +{"d:Title": "Eric Stuart", "d:Description": "Official site of singer/songwriter Eric Stuart. Site features Eric's biography, a timeline of high points in his career, concert schedule, news, articles and interviews, fun facts, song lyrics, a photo gallery and downloads.", "topic": "Top/Arts/Animation/Voice_Actors/S/Stuart,_Eric", "url": "http://www.ericstuart.com/"} +{"d:Title": "Elise's Rugrats Page: Cree Summer", "d:Description": "Photo and profile.", "topic": "Top/Arts/Animation/Voice_Actors/S/Summer,_Cree", "url": "http://cust.idl.com.au/boyd/cree.html"} +{"d:Title": "Voice Chasers: Cree Summer", "d:Description": "Vital statistics, fan mail address, links and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/S/Summer,_Cree", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1215"} +{"d:Title": "A sea for Summer", "d:Description": "By Bruce Kirkland. [Toronto Sun] \"Canadian singer and voice-over specialist Cree Summer takes great glee in playing the princess in Disney's new animated adventure, Atlantis: The Lost Empire.\"", "topic": "Top/Arts/Animation/Voice_Actors/S/Summer,_Cree/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/S/Summer_Cree/2001/06/19/pf-750164.html"} +{"d:Title": "Always On The Run Lyrics: Cree Summer", "d:Description": "Photographs, lyrics and a forum.", "topic": "Top/Arts/Animation/Voice_Actors/S/Summer,_Cree/Recordings", "url": "http://www.alwaysontherun.net/cree.htm"} +{"d:Title": "Voice Chasers", "d:Description": "Photo and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/T/Terzo,_Venus", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2243"} +{"d:Title": "IMDb: Venus Terzo", "d:Description": "The Internet Movie Database offers filmography, with TV schedule link.", "topic": "Top/Arts/Animation/Voice_Actors/T/Terzo,_Venus", "url": "http://www.imdb.com/name/nm0856197/"} +{"d:Title": "The Gildersleeve Project", "d:Description": "Walter Tetley photo.", "topic": "Top/Arts/Animation/Voice_Actors/T/Tetley,_Walter", "url": "http://wayback.net/gildy/Tetley.jpg"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography and other details.", "topic": "Top/Arts/Animation/Voice_Actors/T/Tetley,_Walter", "url": "http://www.imdb.com/name/nm0856533/"} +{"d:Title": "Lauren Tom", "d:Description": "Official site with upcoming live performances and contact information.", "topic": "Top/Arts/Animation/Voice_Actors/T/Tom,_Lauren", "url": "http://www.laurentom.com/"} +{"d:Title": "Internet Movie Database: Lauren Tom", "d:Description": "Filmography, TV credits, and photos.", "topic": "Top/Arts/Animation/Voice_Actors/T/Tom,_Lauren", "url": "http://www.imdb.com/name/nm0866300/"} +{"d:Title": "EMuck: Richard White Invited Talk Transcript", "d:Description": "Online chat with the voice of Gaston in Disney's \"Beauty and The Beast\".", "topic": "Top/Arts/Animation/Voice_Actors/W", "url": "http://www.emuck.com:3000/archive/white.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Animation/Voice_Actors/W/Waldo,_Janet", "url": "http://www.imdb.com/name/nm0907228/"} +{"d:Title": "IMDb: M. Emmet Walsh", "d:Description": "Contains filmography and additional information.", "topic": "Top/Arts/Animation/Voice_Actors/W/Walsh,_M._Emmet", "url": "http://www.imdb.com/name/nm0001826/"} +{"d:Title": "Beau Weaver, Voiceover Talent", "d:Description": "Official site with realaudio demos, biography, photo gallery, promotional materials, FAQ, and lists of LA / Hollywood Area Voiceover studios.", "topic": "Top/Arts/Animation/Voice_Actors/W/Weaver,_Beau", "url": "http://www.spokenword.com/"} +{"d:Title": "Jimmy Weldon", "d:Description": "Official site with pictures, sound clips, links, and ordering information for his motivational book and audio/video tapes.", "topic": "Top/Arts/Animation/Voice_Actors/W/Weldon,_Jimmy", "url": "http://jimmyweldon.com/"} +{"d:Title": "The Frank Welker HomePage", "d:Description": "Official tribute with news, photos, biography, multimedia, and the exclusive \"Ask Frank\" Q&A feature.", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/W/Welker,_Frank", "url": "http://mkbmemorial.com/FWHp/"} +{"d:Title": "Animation World Magazine: Frank Welker: Master of Many Voices", "d:Description": "Bob Miller conducts an extensive 12-page interview with Welker on his voice acting career. Includes a comprehensive filmography.", "topic": "Top/Arts/Animation/Voice_Actors/W/Welker,_Frank", "url": "http://www.awn.com/mag/issue5.01/5.01pages/millerwelker.php3"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography of over 150 credits, trivia, TV schedule and other details.", "topic": "Top/Arts/Animation/Voice_Actors/W/Welker,_Frank", "url": "http://www.imdb.com/name/nm0919798/"} +{"d:Title": "Voice Chasers - April Winchell", "d:Description": "Trivia and animation voice credits.", "topic": "Top/Arts/Animation/Voice_Actors/W/Winchell,_April", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1195"} +{"d:Title": "Internet Movie Database: April Winchell", "d:Description": "Trivia and filmography.", "topic": "Top/Arts/Animation/Voice_Actors/W/Winchell,_April", "url": "http://www.imdb.com/name/nm0934589/"} +{"d:Title": "TV Party", "d:Description": "Photos and detailed description of the classic \"Winchell and Mahoney\" television show.", "topic": "Top/Arts/Animation/Voice_Actors/W/Winchell,_Paul", "url": "http://www.tvparty.com/lostmahoney.html"} +{"d:Title": "Wally's World", "d:Description": "Official site of the actor, singer, voice-over artist and radio personality. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Animation/Voice_Actors/W/Wingert,_Wally", "url": "http://www.wallyontheweb.com/"} +{"d:Title": "Character actor is all heart, no noise, in planned local film", "d:Description": "Press release from the Orlando Business Journal.", "topic": "Top/Arts/Animation/Voice_Actors/W/Winslow,_Michael/Articles_and_Interviews", "url": "http://www.bizjournals.com/orlando/stories/1997/09/08/newscolumn2.html"} +{"d:Title": "Police Academy comedian is a man of many sounds", "d:Description": "By John Carter. [The Florida Times-Union]", "topic": "Top/Arts/Animation/Voice_Actors/W/Winslow,_Michael/Articles_and_Interviews", "url": "http://www.jacksonville.com/tu-online/stories/031299/ent_S0312Com.html"} +{"d:Title": "The Voiceover Boblog", "d:Description": "Many topics are covered in this popular blog of voice actor Bob Souer. Industry specific posts about acting, equipment, technique and instruction as well as interviews and lighter off-topic subjects.", "topic": "Top/Arts/Animation/Voice_Actors/Weblogs", "url": "http://bobsouer.com/blog/"} +{"d:Title": "Do That Voice!", "d:Description": "The David Houston Voiceovers Blog. Updates on voice over recording techniques and equipment and industry related happenings and news.", "topic": "Top/Arts/Animation/Voice_Actors/Weblogs", "url": "http://davidhoustonvoice.com/blog1/"} +{"d:Title": "Nosferatu", "d:Description": "Dracula's granddaughter and Van Helsing's grandson end up in the same high school history class.", "topic": "Top/Arts/Animation/Web", "url": "http://www.museoffire.com/Nosferatu/"} +{"d:Title": "Hoogerbrugge.com", "d:Description": "Interactive neurotica. Offers a collection featuring everyday life.", "topic": "Top/Arts/Animation/Web", "url": "http://www.hoogerbrugge.com/"} +{"d:Title": "Drifter TV", "d:Description": "Short animated films by Nils M\u00fchlenbruch. Information about the project, news, and links [requires Flash].", "topic": "Top/Arts/Animation/Web", "url": "http://www.drifter.tv/"} +{"d:Title": "Cartoon Canyon", "d:Description": "Features a collection of animated shorts. Updated weekly.", "topic": "Top/Arts/Animation/Web", "url": "http://cartooncanyon.20megsfree.com/"} +{"d:Title": "Rainbow Animations", "d:Description": "Offers Flash cartoon music videos and games.", "topic": "Top/Arts/Animation/Web", "url": "http://www.rainbowanimations.com/"} +{"d:Title": "Astropod", "d:Description": "Features the issues, the characters, the letters and the credits.", "topic": "Top/Arts/Animation/Web", "url": "http://www.astropod.net/"} +{"d:Title": "Six Point Harness", "d:Description": "Offers shorts including What would Jesus do, ChemoPhone, and Crotch Test.", "topic": "Top/Arts/Animation/Web", "url": "http://www.sixpointharness.com/"} +{"d:Title": "Post-It Theater", "d:Description": "Hand-drawn flipbooks. Updated with a new movie each week.", "topic": "Top/Arts/Animation/Web", "url": "http://www.bigempire.com/postittheater/"} +{"d:Title": "Seezen.net", "d:Description": "Flash animated cartoons of Daev C. Zen. Features include Chicken, Liquid Coffee and Snake Eyes.", "topic": "Top/Arts/Animation/Web", "url": "http://www.seezen.net/"} +{"d:Title": "Stupid Todd", "d:Description": "Stick cartoon shorts include The Face, Mean Cat, and Super Midget.", "topic": "Top/Arts/Animation/Web", "url": "http://stupidtodd.tripod.com/"} +{"d:Title": "Schmitty's Toons", "d:Description": "Flash cartoons and games starring Dippy Duck, Master Sazuke, Woober and Pelican the Platypus. Requires QuickTime.", "topic": "Top/Arts/Animation/Web", "url": "http://www.schmittystoons.com/"} +{"d:Title": "Cartoon Webcam", "d:Description": "An ordinary family stars in a collection of animated cartoons. Features include Beach Cam, Bike Cam and Dinner Table Cam. Includes about the artist.", "topic": "Top/Arts/Animation/Web", "url": "http://members.home.nl/cartoon-webcam/index.htm"} +{"d:Title": "Apocalypse Cartoons", "d:Description": "Features Father Tucker, Fear and Loathing, Grandma's Independence Day and The Living Abortion. Offers sketches, episodes, about and downloads.", "topic": "Top/Arts/Animation/Web", "url": "http://www.apocalypsecartoons.com/"} +{"d:Title": "Zeppotron.com", "d:Description": "Features Law Cops, Office Romance and Unnovations.", "topic": "Top/Arts/Animation/Web", "url": "http://www.zeppotron.com/"} +{"d:Title": "Manic Baby", "d:Description": "Original animation and films, including \"Bill Jones, Insane Spider-Boy,\" \"Silent Banana Theatre,\" and \"CHUCK.\" Created by Justin Hannah and Pudgy Neuter.", "topic": "Top/Arts/Animation/Web", "url": "http://www.manicbaby.com/"} +{"d:Title": "Heads that Shine", "d:Description": "The adventures of Oscar and Cesar, two crazy guys who only think in moveas and TV. Features comics, gags, and animations. In English and Spanish. Requires Flash.", "topic": "Top/Arts/Animation/Web", "url": "http://www.vichofriedli.com/"} +{"d:Title": "Suicidal Balloon", "d:Description": "Official site featuring a variety of animated cartoons, interactive content, downloads and credits.", "topic": "Top/Arts/Animation/Web", "url": "http://www.suicidalballoon.com/"} +{"d:Title": "Stick Page", "d:Description": "Features a collection of games, movies, and the series \"Xiao Xiao\" featuring action stick figures.", "topic": "Top/Arts/Animation/Web", "url": "http://www.stickpage.com/"} +{"d:Title": "Fat-Pie.com", "d:Description": "Animation, absurdity and comedy from the UK by David Firth.", "topic": "Top/Arts/Animation/Web", "url": "http://www.fat-pie.com/"} +{"d:Title": "Stuff Animation", "d:Description": "Showcasing a selection of Flash animations.", "topic": "Top/Arts/Animation/Web", "url": "http://www.stuffanimation.com/"} +{"d:Title": "Angry Alien Productions", "d:Description": "Animation by Jennifer Shiman, featuring the 30-Second Bunnies Theatre Troupe doing re-enactments of movies.", "topic": "Top/Arts/Animation/Web", "url": "http://www.angryalien.com/"} +{"d:Title": "Wes Bay Films", "d:Description": "Featuring the animated series The Little Guy, The Super Jeffie Show, Weeds, Sharon, and The Andersons. Requires Quicktime.", "topic": "Top/Arts/Animation/Web", "url": "http://www.wesbay.ca/"} +{"d:Title": "Magic Butter, Inc", "d:Description": "Animated cartoons and downloads of MP3s, desktop wallpapers, and icons.", "topic": "Top/Arts/Animation/Web", "url": "http://www.magicbutter.com/"} +{"d:Title": "Turksville", "d:Description": "Cartoons and Games by Phil Turk. Flash 6+ Required.", "topic": "Top/Arts/Animation/Web", "url": "http://turksville.blogspot.com/"} +{"d:Title": "Animator vs. Animation", "d:Description": "Animation of a symbol fighting against the Flash 8 IDE and winning. [Requires Flash]", "topic": "Top/Arts/Animation/Web", "url": "http://fc01.deviantart.com/fs13/f/2007/077/2/e/Animator_vs__Animation_by_alanbecker.swf"} +{"d:Title": "Detlef Surrey - Animations", "d:Description": "Collection of short animated cartoons and holiday interactive comics.", "topic": "Top/Arts/Animation/Web", "url": "http://surrey.de/en/ani_startGB.html"} +{"d:Title": "Lone Sausage Productions", "d:Description": "Home of the animated cartoon series producers and screenwriter/director Breehn Burn. Creators of the Dr. Tran series.", "topic": "Top/Arts/Animation/Web", "url": "http://www.lonesausage.com/"} +{"d:Title": "Allow to Infuse", "d:Description": "Featured comics by Stefan J.H. van Dinther include The Newspaper Trick, The Leader of People and Instructions.", "topic": "Top/Arts/Animation/Web", "url": "http://www.allow-to-infuse.com/"} +{"d:Title": "Rooster tales", "d:Description": "Cartoons about history and culture.", "topic": "Top/Arts/Animation/Web/Amateur", "url": "http://mcusiman.tripod.com/animate.html"} +{"d:Title": "Matt Courtright.com", "d:Description": "Features original Flash cartoons about priests and stick figures.", "topic": "Top/Arts/Animation/Web/Amateur", "url": "http://www.mattcourtright.com/"} +{"d:Title": "Blackmaze Productions", "d:Description": "Offers galleries of Flash movies and games, emoticons and wallpaper downloads, and artwork. Contains violence.", "topic": "Top/Arts/Animation/Web/Amateur", "url": "http://www.blackmaze.com/"} +{"d:Title": "Sketchy Animation", "d:Description": "An eclectic and irreverent collection of animations.", "topic": "Top/Arts/Animation/Web/Amateur", "url": "http://www.sketchyanimation.com/"} +{"d:Title": "I Want My Flash TV", "d:Description": "Showcasing Flash-generated film shorts created by independent creators. Catalogues include action, experimental, comedy, commercials and music videos.", "topic": "Top/Arts/Animation/Web/Portals_and_Networks", "url": "http://www.iwantmyflashtv.com/"} +{"d:Title": "Submarine Channel", "d:Description": "Features a collection of digital media. Includes Jonni Nitro, The Killer, Chunks, Braingirl and MInd My Gap.", "topic": "Top/Arts/Animation/Web/Portals_and_Networks", "url": "http://www.submarinechannel.com/"} +{"d:Title": "Ill Will Press", "d:Description": "Foamy Squirrel cartoons and merchandise.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.illwillpress.com/"} +{"d:Title": "WhirlGirl", "d:Description": "The online animated episodic adventures of a freedom fighter in the 21st Century.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.whirlgirl.com/"} +{"d:Title": "Strindberg&Helium", "d:Description": "Animated stories of August Strindberg and his floaty friend, Helium.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.strindbergandhelium.com/"} +{"d:Title": "Xombie", "d:Description": "An animated action/drama series about the ongoing adventures of zombie characters.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.xombified.com/"} +{"d:Title": "Douggie Dinosaur", "d:Description": "Flash series about an out-of-work cartoon dinosaur.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.douggiedinosaur.com/"} +{"d:Title": "SheriffBenson.com!", "d:Description": "Home to the animated series Sheriff Benson and DeweyHigh! Also includes movies, games, and music.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.sheriffbenson.com/"} +{"d:Title": "Deserted", "d:Description": "Flash animated web cartoon about desert animals stuck with each other in an unforgiving, desolate wasteland.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.deserted.com/"} +{"d:Title": "Adopted By Aliens", "d:Description": "Animated series about a 12 year old foster child adopted by a family of eccentric extra-terrestrials.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.adoptedbyaliens.com/"} +{"d:Title": "TheSwain.com", "d:Description": "Animated series featuring Blockhead.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.theswain.com/cartoons.htm"} +{"d:Title": "Clipal", "d:Description": "Features include Flash-based animation series such as Super Fly, Unforgotten, Greenfields, Primal war, Thamesis.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.clipal.com/html/series.shtml"} +{"d:Title": "Newgrounds.com: Series", "d:Description": "Collection of Flash animated series.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.newgrounds.com/collection/series"} +{"d:Title": "Tails of Rootton High", "d:Description": "Original animated shorts and other content, set in a fictional high school.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.roottonhigh.com/"} +{"d:Title": "Toon Life Online", "d:Description": "Original animated web series, play games, puzzles, interact with the toon characters", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.toonlifeonline.com/"} +{"d:Title": "Tales of the Samurai", "d:Description": "Short animations detailing the wanderings of Jubei, the chunky and slightly incompetent Samurai.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.damienmason.com/"} +{"d:Title": "Twisted Jenius", "d:Description": "Entertainment created by villains, for villains.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.twistedjenius.com/"} +{"d:Title": "Stick Girl", "d:Description": "Flash-based, black and white series revolving around a line art character.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.stickgirl.com/"} +{"d:Title": "Brian Frisk", "d:Description": "Home to the series Candy Hole, We are Robots, Filthy Pests and ongoing projects by animator Brian Frisk.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.brianfrisk.com/"} +{"d:Title": "Reaching for Lucidity Animated Adventures", "d:Description": "Animated fantasy adventures.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://reachingforlucidity.net/category/animated-adventures/"} +{"d:Title": "Flash Cartoons", "d:Description": "Collection of free flash cartoons and flash animations.", "topic": "Top/Arts/Animation/Web/Series", "url": "http://www.swfcartoon.com/"} +{"d:Title": "Animation Online", "d:Description": "A webring for professional animators wishing to showcase their work, and get in touch with others in the business.", "topic": "Top/Arts/Animation/Web_Rings", "url": "http://www.webring.org/hub?ring=animationonline"} +{"d:Title": "Art/Animation Ring", "d:Description": "For members with original artwork, characters, comics, and animations, or fan pages.", "topic": "Top/Arts/Animation/Web_Rings", "url": "http://www.webring.org/hub?ring=anim"} +{"d:Title": "Stick Death Webring", "d:Description": "Animated deaths of stickmen and other such things.", "topic": "Top/Arts/Animation/Web_Rings", "url": "http://www.webring.org/hub?ring=thesdwebring"} +{"d:Title": "Animated Films Webring", "d:Description": "Directory of sites dedicated to animated films, and/or characters from them.", "topic": "Top/Arts/Animation/Web_Rings", "url": "http://www.webring.org/hub?ring=animafilms"} +{"d:Title": "Cartoonists and Cartoon Enthusiasts WebRing", "d:Description": "Collection of sites related to cartoon artists.", "topic": "Top/Arts/Animation/Web_Rings", "url": "http://www.webring.org/hub/cartoonists"} +{"d:Title": "Animators Webring", "d:Description": "Collection of sites where creators show off their works.", "topic": "Top/Arts/Animation/Web_Rings", "url": "http://www.webring.org/hub?sid=&ring=animatorswebring"} +{"d:Title": "Howard Beckerman: Animation, the Whole Story", "d:Description": "Book authored by veteran animator and animation historian offering history of animation and detailed how-to guide for creating animation productions, including story writing.", "topic": "Top/Arts/Animation/Writers", "url": "http://www.howardbeckerman.com/"} +{"d:Title": "Gore&Lombard", "d:Description": "Official site of cartoon writers Evan Gore and Heather Lombard.", "topic": "Top/Arts/Animation/Writers", "url": "http://www.goreandlombard.com/"} +{"d:Title": "Shannon Muir's Animated Insights", "d:Description": "Writing, animation, visual media and life.", "topic": "Top/Arts/Animation/Writers", "url": "http://www.animatedinsights.com/"} +{"d:Title": "George Krstic Online", "d:Description": "Online home of animation writer, producer and director George Krstic.", "topic": "Top/Arts/Animation/Writers", "url": "http://www.georgekrstic.com/"} +{"d:Title": "Andrew Garrett's Works", "d:Description": "Writing for animation.", "topic": "Top/Arts/Animation/Writers", "url": "http://andrewgarrettsworks.tumblr.com/"} +{"d:Title": "Tazmanian Devil&His Tasty Friends", "d:Description": "Guest column by Paul Dini discussing a rare Warner Bros comic in Scott Shaw's \"Oddball Comics\" feature at Comic Book Resources.", "topic": "Top/Arts/Animation/Writers/Dini,_Paul", "url": "http://www.comicbookresources.com/columns/oddball/index.cgi?date=2001-04-16"} +{"d:Title": "Belles of Hope", "d:Description": "By Jennifer M. Contino. [SequentialTart.com] Interview with Paul Dini about Jingle Belle, Shazam Power of Hope, and working with Alex Ross.", "topic": "Top/Arts/Animation/Writers/Dini,_Paul", "url": "http://www.sequentialtart.com/archive/apr00/dini.shtml"} +{"d:Title": "Paul Dini", "d:Description": "By Emru Townsend. [The Critical Eye] \"What it's like to have the job every Batfan dreams of.\"", "topic": "Top/Arts/Animation/Writers/Dini,_Paul", "url": "http://purpleplanetmedia.com/eye/inte/pdini.shtml"} +{"d:Title": "DC Comics Online Chats", "d:Description": "Chat transcript excerpt from an interview with Paul Dini.", "topic": "Top/Arts/Animation/Writers/Dini,_Paul", "url": "http://home.sprynet.com/~wdwells/harldini.txt"} +{"d:Title": "Paul Dini's Jingle Belle", "d:Description": "Official site with webcam, web cartoons, news, interview, and profiles for creator, artists and characters.", "priority": "1", "topic": "Top/Arts/Animation/Writers/Dini,_Paul/Comics/Jingle_Belle", "url": "http://jinglebelle.com/"} +{"d:Title": "IMDb.com - Earl Kress", "d:Description": "Writer credits.", "topic": "Top/Arts/Animation/Writers/Kress,_Earl", "url": "http://imdb.com/name/nm0470928/"} +{"d:Title": "Archinform", "d:Description": "International architectural database listing thousands of built and unbuilt projects. 20th-century architecture is the main theme.", "topic": "Top/Arts/Architecture", "url": "http://archinform.net/"} +{"d:Title": "Floornature", "d:Description": "Interviews with architects, news, flooring projects with man made tiles. Manufacturing process, environmental impact and international standards for ceramic tiles and man made stones.", "topic": "Top/Arts/Architecture", "url": "http://www.floornature.com/"} +{"d:Title": "Archiseek", "d:Description": "Architecture forums, planning news, architectural competition announcements, and guides to the architecture of selected countries and cities.", "topic": "Top/Arts/Architecture", "url": "http://www.archiseek.com/"} +{"d:Title": "Galinsky", "d:Description": "A showcase for the world's most exciting modern buildings. Photographs, descriptions and visitor information for more than 200 buildings across Europe, North and South America and the Asia-Pacific region, searchable by architect or region.", "topic": "Top/Arts/Architecture", "url": "http://www.galinsky.com/"} +{"d:Title": "Made of Light", "d:Description": "A project conceived and designed by Speirs and Major Associates, which aims to provide a thought-provoking presentation of the art of light and architecture.", "topic": "Top/Arts/Architecture", "url": "http://www.madeoflight.com/"} +{"d:Title": "World View", "d:Description": "The Architectural League of New York presents persectives on architecture and urbanism from around the globe. Includes illustrated reports on Beirut, Caracas, Dhaka, Oslo and Tijuana.", "topic": "Top/Arts/Architecture", "url": "http://worldviewcities.org/"} +{"d:Title": "New 7 Wonders", "d:Description": "This project offered the public the opportunity to vote for the new seven wonders of the world. Results were announced on 7 July 2007. It gives condensed, illustrated descriptions of the winners and other finalists.", "topic": "Top/Arts/Architecture", "url": "http://www.new7wonders.com/"} +{"d:Title": "Ribanet", "d:Description": "Professional association of architects in the United Kingdom along with international members. News, exhibitions, online shopping, directories of architects, British Architectural Library.", "topic": "Top/Arts/Architecture", "url": "http://www.architecture.com/"} +{"d:Title": "Arounder", "d:Description": "This online travel magazine offers a huge collection of 360-degree Quicktime virtual reality full-screen panoramas of world-class architectural, artistic and historical landmarks.", "topic": "Top/Arts/Architecture", "url": "http://www.arounder.com/"} +{"d:Title": "Canadian Centre for Architecture", "d:Description": "A museum and study centre devoted to international architecture past and present. The collection includes 42,000 prints and drawings from the late 15th century to the present and 50,000 architectural photographs. French and English language options.", "topic": "Top/Arts/Architecture/Archives", "url": "http://cca.qc.ca/"} +{"d:Title": "Barragan Foundation", "d:Description": "This foundation in Switzerland houses the professional archives of Luis Barrag\u00e1n.", "topic": "Top/Arts/Architecture/Archives", "url": "http://www.barragan-foundation.org/"} +{"d:Title": "Architecture of Wales", "d:Description": "Collection of architectural drawings, mostly of Welsh structures, held at The National Library of Wales.", "topic": "Top/Arts/Architecture/Archives", "url": "http://www.llgc.org.uk/ardd/pensaeri/architect.htm"} +{"d:Title": "Lambeth Palace Library", "d:Description": "Includes the archive of the Incorporated Church Building Society (1818-1984), the single largest source of plans and drawings of new and rebuilt churches in the UK. Library holdings, services, news.", "topic": "Top/Arts/Architecture/Archives", "url": "http://www.lambethpalacelibrary.org/"} +{"d:Title": "The International Archive of Women in Architecture", "d:Description": "At Virginia Polytechnic Institute and State University documents the history of women's professional involvement in the field of architecture before the 1950s. Biographical dictionary.", "topic": "Top/Arts/Architecture/Archives", "url": "http://spec.lib.vt.edu/IAWA/"} +{"d:Title": "Gottscho-Schleisner Collection", "d:Description": "Photographs by Samuel Gottscho and William Schleisner in the Library of Congress of the architecture and interior design of 20th-century America. Can be browsed or searched.", "topic": "Top/Arts/Architecture/Archives", "url": "http://memory.loc.gov/ammem/collections/gottscho/"} +{"d:Title": "Irish Architectural Archive", "d:Description": "The archive was established in 1976 to collect, preserve and make available to the public records of every kind relating to the architecture of Ireland.", "topic": "Top/Arts/Architecture/Archives", "url": "http://www.iarc.ie/"} +{"d:Title": "Sir Basil Spence Archive Project", "d:Description": "Collates images, videos and commentary on Britain's most celebrated post war architect. The archive was presented to the Royal Commission on the Ancient and Historical Monuments of Scotland by the Spence family.", "topic": "Top/Arts/Architecture/Archives", "url": "http://www.basilspence.org.uk/"} +{"d:Title": "The National Monuments Record", "d:Description": "Public archive of English Heritage.Photographs, architectural and archaeological reports, plans and items related to the historic environment of England.", "topic": "Top/Arts/Architecture/Archives", "url": "http://www.english-heritage.org.uk/professional/archives-and-collections/nmr"} +{"d:Title": "The Southeastern Architectural Archive", "d:Description": "This archive at Tulane University is New Orleans' major repository for architectural records. Has cataloged records of more than 120 firms. Opening hours, architects represented, resources.", "topic": "Top/Arts/Architecture/Archives", "url": "http://seaa.tulane.edu/"} +{"d:Title": "Avery Library Drawings and Archives", "d:Description": "A collection of 500,000 items, including original drawings, blueprints, photographs, correspondence, and other architectural records at Columbia University, New York.", "topic": "Top/Arts/Architecture/Archives", "url": "http://library.columbia.edu/indiv/avery.html"} +{"d:Title": "Canadian Centre for Architecture", "d:Description": "A museum and study centre devoted to national and international architecture past and present. Information on exhibitions, publications and collections. Located in Montreal. (French and English)", "topic": "Top/Arts/Architecture/Associations", "url": "http://cca.qc.ca/"} +{"d:Title": "Public Works and Government Services Canada", "d:Description": "Provides essential services, infrastructure and access for Canadians to government.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.tpsgc-pwgsc.gc.ca/"} +{"d:Title": "Architects\u2018 Association of New Brunswick", "d:Description": "A self-regulating professional organization governed by the provincial Architects Act to advance and maintain the standards of architecture. Offers overview, members, council, regulations and contact details.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.aanb.org/"} +{"d:Title": "Cast Stone Institute", "d:Description": "The non-profit US trade association representing the architectural cast stone industry: manufacturers, associates, architects, engineers, and concrete technologists.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.caststone.org/"} +{"d:Title": "Building Designers Association of Australia Ltd.", "d:Description": "National coordinating body for the Building Designers Associations in Australia. Includes database of designers.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.bdaa.com.au/"} +{"d:Title": "Association for Computer Aided Design in Architecture", "d:Description": "Presents a gallery of winners of its competition, membership information, sign-up instructions for the member listserve and details for ordering publications.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.acadia.org/"} +{"d:Title": "Society for Environmental Graphic Design (SEGD)", "d:Description": "Washington DC, USA. For international graphic designers, fabricators, students and educators involved in the field of environmental graphic design. A non-profit educational organization.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.segd.org/"} +{"d:Title": "Ecclesiastical Architects and Surveyors Association", "d:Description": "EASA is a non-profit organisation in the UK which promotes good standards of design and repair of ecclesiastical buildings across all denominations.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.easanet.co.uk/"} +{"d:Title": "The Royal Institute of British Architects", "d:Description": "Professional association of architects in the United Kingdom along with international members. News, exhibitions, online shopping, directories of architects, British Architectural Library.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.architecture.com/"} +{"d:Title": "Ottawa Regional Society of Architects", "d:Description": "Volunteer public information organization. Site provides mission statement, programs and service information, events calendar, member listing, presentations and architecture links.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.orsa.ca/"} +{"d:Title": "Royal Institute of the Architects of Ireland", "d:Description": "The representative body for professionally qualified architects in Ireland.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.riai.ie/"} +{"d:Title": "New Zealand Institute of Quantity Surveyors", "d:Description": "Professional association for those with careers in cost management of construction projects.", "topic": "Top/Arts/Architecture/Associations", "url": "http://nziqs.co.nz/"} +{"d:Title": "Society of American Registered Architects", "d:Description": "A professional organization for registered architects.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.sara-national.org/"} +{"d:Title": "Royal Architectural Institute of Canada", "d:Description": "The RAIC is a voluntary national association representing professional architects, and faculty and graduates of accredited Canadian Schools of Architecture.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.raic.org/"} +{"d:Title": "Royal Institute of British Architects USA", "d:Description": "Represents architects in the USA with professional links to the UK. Information on the California and New York chapters and on hiring an architect in the US.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.riba-usa.org/"} +{"d:Title": "Architectural League of New York", "d:Description": "A non-profit organization sharing its lecture, exhibition and program information. Includes events, podcasts and membership.", "topic": "Top/Arts/Architecture/Associations", "url": "http://archleague.org/"} +{"d:Title": "Ontario Building Officials Association", "d:Description": "Education, training and professional development of Ontario\u2019s municipal building officials.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.oboa.on.ca/"} +{"d:Title": "National Organization of Minority Architects", "d:Description": "A national organization for the purpose of minimizing the effect of racism in in the architecture professions.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.noma.net/"} +{"d:Title": "American College of Healthcare Architects", "d:Description": "Credentialing body for healthcare architects in the United States.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.healtharchitects.org/"} +{"d:Title": "National Council of Architectural Registration Boards (NCARB)", "d:Description": "A non-profit federation of architectural licensing boards in the U.S. Features state registration requirements, Intern Development Program (IDP), Architect Registration Examination (ARE), certification, continuing education, and architect database.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.ncarb.org/"} +{"d:Title": "The Alberta Association of Architects", "d:Description": "A professional association responsible for the registration/licensing of Architects and Licensed Interior Designers and for the regulation of the practice of Architecture in the Province of Alberta, Canada.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.aaa.ab.ca/"} +{"d:Title": "Society of Iranian Architects and Planners", "d:Description": "A non-profit organization in Los Angeles that unites Iranian professionals in the fields of architecture, planning, interior design, and landscape architecture.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.siap.org/"} +{"d:Title": "Manitoba Association of Landscape Architects (MALA)", "d:Description": "Dedicated to advance and promote the profession and serve as an advocate. Includes directory of firms, FAQs, events, member login and contact information.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.mala.net/"} +{"d:Title": "United Architects of the Philippines Commission on Internal Affairs", "d:Description": "Describes this branch of UAP, including membership, chapters, committees, programs, awards, and announcements.", "topic": "Top/Arts/Architecture/Associations", "url": "http://elwinfb.tripod.com/uapcia/main.htm"} +{"d:Title": "New Zealand Institute of Architects", "d:Description": "Provides information on architects, projects, classes, architect selection, philosophies, book store, events, news and awards.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.nzia.co.nz/"} +{"d:Title": "Royal Incorporation of Architects in Scotland", "d:Description": "Featuring architect listings, book shop, classes, news, events and competitions.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.rias.org.uk/"} +{"d:Title": "Institute of Destination Architects&Designers", "d:Description": "Professional body for theming, resort/hospitality and coastal space planning.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.idad.org/"} +{"d:Title": "The Hong Kong Institute of Architects", "d:Description": "A professional institution committed to promoting architecture and raising the standard of architecture in Hong Kong.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.hkia.net/"} +{"d:Title": "Stroud Building Design Association", "d:Description": "Association of architects, engineers, surveyors and other building industry professionals working in and around the Town.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.stroudbda.org/"} +{"d:Title": "ArchiwebSA", "d:Description": "The official site of the South African Institute of Architects, giving news, membership information and events.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.saia.org.za/"} +{"d:Title": "Construction Sciences Research Foundation", "d:Description": "An independent, not-for-profit construction industry research organization, responsible for the development and maintenance of Spectext master guide specifications. Board, research.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.csrf.org/"} +{"d:Title": "European Architecture Students Assembly", "d:Description": "EASA is a platform for exchange of ideas and knowledge for European students of architecture. Includes mission and web-logs.", "topic": "Top/Arts/Architecture/Associations", "url": "http://easa.antville.org/"} +{"d:Title": "Organization of Women Architects and Design Professionals", "d:Description": "A support network for professionals in the San Francisco Bay Area involved in the environmental design fields. Newsletter and contacts.", "topic": "Top/Arts/Architecture/Associations", "url": "http://owa-usa.org/"} +{"d:Title": "Architext", "d:Description": "Union of architects and engineers who render services in the technical language field.", "topic": "Top/Arts/Architecture/Associations", "url": "http://architext-online.de/"} +{"d:Title": "Singapore Institute of Architecture", "d:Description": "A national organization representing architects in Singapore including information on career prospects, design reviews, competitions, events and awards.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.sia.org.sg/"} +{"d:Title": "Newfoundland Association of Architects", "d:Description": "Provincial professional governing body. Offers a list of members, tips for the public, membership and continuing education information.", "topic": "Top/Arts/Architecture/Associations", "url": "http://newfoundlandarchitects.com/"} +{"d:Title": "Nova Scotia Association of Architects", "d:Description": "Information on the requirements to receive full membership in the NSAA as an Architect registered to practice in Nova Scotia. Also, events, and members list.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.nsaa.ns.ca/"} +{"d:Title": "Manitoba Association of Architects", "d:Description": "MAA is a self-governing professional association, mandated under legislation with regulation of the practice of architecture in the public interest and advancement of the profession.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.mbarchitects.org/"} +{"d:Title": "Portland Cement Association", "d:Description": "Aims to improve cement and concrete and its uses, and raise the quality of construction. Page includes industry news magazine.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.cement.org/"} +{"d:Title": "Architects for Peace - Australia", "d:Description": "Forum for architects and related professions seeking urban development based on social justice, solidarity, respect and peace.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.architectsforpeace.org/"} +{"d:Title": "Indiana Architectural Foundation", "d:Description": "A non-profit organization supporting architectural education, public awareness of architecture, and preservation of Indiana's architectural heritage.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.indianaarchitecturalfoundation.org/"} +{"d:Title": "The Architectural Institute of British Columbia", "d:Description": "A self-governing body dedicated to excellence in the profession of architecture for the benefit of its membership, the environment and society.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.aibc.ca/"} +{"d:Title": "American Society of Architectural Illustrators", "d:Description": "A nonprofit professional organization whose goals are to foster communication among architectural illustrators and to raise the standards in the industry.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.asai.org/"} +{"d:Title": "Aberdeen Society of Architects", "d:Description": "A chapter of the Royal Incorporation of Architects in Scotland, representing architects within the city of Aberdeen, across Aberdeenshire and also Morayshire. Includes a directory of architects and diary.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.aberdeenarchitects.org/"} +{"d:Title": "Society of Design Administration Canada", "d:Description": "Promotes the exchange of ideas. Members represent prominent Canadian architecture, engineering, interior design, landscape architecture, developers, service providers and specialty consulting firms.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.sdacanada.com/"} +{"d:Title": "The Building Process Alliance", "d:Description": "An international organization of architects, designers and planners focused on creating spaces that enhance the quality of life, as articulated in the writings of Christopher Alexander.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.buildingprocessalliance.com/"} +{"d:Title": "People in Space", "d:Description": "UK-based network of young architects, creatives and professionals engaged in architecture and the built environment. Events, groups and resources.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.peopleinspace.com/"} +{"d:Title": "Chicago Architecture Club", "d:Description": "Provides forum for discussion and debate of topical architectural issues.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.chicagoarchitecturalclub.org/"} +{"d:Title": "Manchester Society of Architects", "d:Description": "The Manchester branch of RIBA provides architectural news, events and contact details.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.the-msa.co.uk/"} +{"d:Title": "Trinidad and Tobago Institute of Architects", "d:Description": "A non-profit organization for the ethical practice, art and science of architecture in Trinidad and Tobago, governed by the local Architecture Profession Act. Includes news, events and jobs online.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.ttia-architects.org/"} +{"d:Title": "The Digital Skyline", "d:Description": "The official online newsletter of the United Architects of the Philippines Makati Chapter.", "topic": "Top/Arts/Architecture/Associations", "url": "http://uapmakati.wordpress.com/"} +{"d:Title": "Rice Design Alliance", "d:Description": "Focuses on the advancement of architecture, urban design, and the built environment in the Houston region through educational programs, the publication of Cite, and active programs to initiate physical improvements.", "topic": "Top/Arts/Architecture/Associations", "url": "http://ricedesignalliance.org/"} +{"d:Title": "The Institute for Advanced Architecture of Catalonia", "d:Description": "The IAAC is an international center of education and research that focuses on architecture understood as a discipline that encompasses different scales, ranging from territorial analysis, urban development, architectural projects and digital fabrications to informational environments.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.iaac.net/"} +{"d:Title": "Architecture Sans Fronti\u00e8res", "d:Description": "An international network of not-for-profit and participative organizations with a commitment to architecture, construction, urbanism and the conservation of historical heritage. Includes charter, minutes and membership.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.asfint.org/"} +{"d:Title": "Free State Institute of Architects", "d:Description": "Based at Bloemfontein, the FSIA is affiliated to the South African Institute of Architects (SAIA). It promotes the art, science, research and practice of architecture. Includes notices and news.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.fsia.co.za/"} +{"d:Title": "Chartered Institute of Architectural Technologists (CIAT)", "d:Description": "Qualifying body for architectural technologists and technicians. Represents over 9000 professionals working and studying in the United Kingdom and overseas.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.ciat.org.uk/"} +{"d:Title": "Saskatchewan Association of Architects", "d:Description": "Protects the public interest and regulates and advances the profession of architecture in the province of Saskatchewan.", "topic": "Top/Arts/Architecture/Associations", "url": "http://saskarchitects.com/"} +{"d:Title": "Architectural Association of Ireland", "d:Description": "Supplies information on current lectures and events in Ireland, documentation of recent awards recipients, quarterly publication Building Material, and links to related sites.", "topic": "Top/Arts/Architecture/Associations", "url": "http://architecturalassociation.ie/"} +{"d:Title": "Barbados Institute of Architects", "d:Description": "A professional national organization committed to promoting architecture and raising the standard of architecture in Barbados. Provides information on: publications, design reviews, events, careers, and awards.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.bia.bb/"} +{"d:Title": "Association of Licensed Architects (ALA)", "d:Description": "International membership organization for architecture profession. Provides continuing education programs and magazine sent to members and Illinois-licensed architects.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.alatoday.org/"} +{"d:Title": "Fondazione Bisazza", "d:Description": "A new cultural space dedicated to contemporary design and architecture.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.fondazionebisazza.it/"} +{"d:Title": "Architects Council of Europe", "d:Description": "Association of European architects organizations. Excludes the UK and Netherlands.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.ace-cae.eu/"} +{"d:Title": "Association of Architectural Technologists of Ontario", "d:Description": "Represents professionals in architectural design and construction management. Free download of the newsletter, information on events, trade shows and joining.", "topic": "Top/Arts/Architecture/Associations", "url": "http://aato.ca/"} +{"d:Title": "International Union of Architects", "d:Description": "Organization of 92 professional architectural organizations worldwide. Member list, international calendar, competitions, journals, and museums.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.uia-architectes.org/en"} +{"d:Title": "National Organization of Minority Architects Washington, D.C. Chapter", "d:Description": "Local chapter of NOMA. Includes information about the group, how to join and forthcoming meetings and events.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.dcnoma.org/"} +{"d:Title": "World Architecture Community", "d:Description": "WA is an independent global forum for architects, architecture students and academics to meet, share and compete.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.worldarchitecture.org/"} +{"d:Title": "AIA Florida Southwest", "d:Description": "American Institute of Architects (AIAFLASW) is an all volunteer organization made up of, and run by, architects from Southwest Florida.", "topic": "Top/Arts/Architecture/Associations", "url": "http://www.aiaflasw.org/"} +{"d:Title": "The American Institute of Architects (AIA)", "d:Description": "National professional association of architects. Includes career center, book store, continuing education, AIA chapters.", "priority": "1", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aia.org/"} +{"d:Title": "AIA Birmingham", "d:Description": "The Birmingham Chapter of the American Institute of Architects lists its executive committee, council and members.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiabham.org/"} +{"d:Title": "AIA Chicago", "d:Description": "The Chicago Chapter of the American Institute of Architects introduces its location, staff and activities and lists events. Also gives information on selecting an architect.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiachicago.org/"} +{"d:Title": "AIA Florida", "d:Description": "Involves members in a statewide effort to benefit the profession. News, career resources, continuing education, find a firm.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiafla.org/"} +{"d:Title": "AIA Fort Worth", "d:Description": "Distributor of AIA contract documents. Searchable architect directory and employment opportunities.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiafortworth.org/"} +{"d:Title": "Boston Society of Architects", "d:Description": "Provides news, events, membership directory and guides to committees and publications.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.architects.org/"} +{"d:Title": "AIA San Francisco", "d:Description": "Calendar of events. Employment postings. San Francisco architecture of interest. Chapter information. AIA contract documents. Resources for architects, clients, contractors, and consultants.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasf.org/"} +{"d:Title": "AIA Columbus", "d:Description": "OnLine contract documents, information about architecture and information about the Columbus Chapter itself.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiacolumbus.org/"} +{"d:Title": "AIA Northern Virginia Chapter", "d:Description": "Information covering architectural referrals, events, awards, and education for this chapter.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aianova.org/"} +{"d:Title": "AIA Pasadena and Foothill", "d:Description": "This chapter of AIA provides a bulletin, calendar of events and information of the board of directors and membership, with related links.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://aiapf.org/"} +{"d:Title": "AIA Central Oklahoma", "d:Description": "Provides information on its aims and activities, directors and members, with related links.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiacoc.org/"} +{"d:Title": "AIA Mid-Missouri", "d:Description": "Provide architectural news, events, help, and information to both those in the profession and those interested in finding an architect.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiamid-missouri.com/"} +{"d:Title": "American Institute of Architects: London", "d:Description": "The first international chapter of the institute.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiauk.org/"} +{"d:Title": "AIA Michigan", "d:Description": "Works to enhance the architect's position as the leader of the building team and designer of the built environment. Includes news, events, job board, award announcements.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiami.com/"} +{"d:Title": "AIA Northwest Washington State", "d:Description": "Information about architecture, chapter directors and members, sub-web sites for all participating members, searchable architect database, online ordering for architect and AIA forms and numerous links.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.nwaia.org/"} +{"d:Title": "AIA Long Island", "d:Description": "Chapter news, member sites, referral listings and information on Archi awards and programs.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aialongisland.com/"} +{"d:Title": "AIA Southwest Washington State", "d:Description": "Information about chapter activities and members, along with some AIA links.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasww.org/"} +{"d:Title": "AIA Seattle", "d:Description": "Links to membership information, calendar of events, architect resource center, professional tools, local Architecture and history.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiaseattle.org/"} +{"d:Title": "AIA Washington State Council", "d:Description": "Washington state government architectural issues and links, links to architecture related sites and to State AIA chapter sites.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiawa.org/"} +{"d:Title": "AIA Dayton", "d:Description": "Includes calendar, documents, classifieds, awards, young architects, links, sponsors and architrave newsletter that pertain to the Miami Valley.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiadayton.org/"} +{"d:Title": "AIA Austin", "d:Description": "Austin, Texas area chapter of the American Institute of Architects.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiaaustin.org/"} +{"d:Title": "AIA Arizona", "d:Description": "Arizona chapter of the American Institute of Architects.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://aia-arizona.org/"} +{"d:Title": "AIA North Carolina", "d:Description": "American Institute of Architects NC chapter. Provides events calendar, news, local sections.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aianc.org/"} +{"d:Title": "AIA New Mexico", "d:Description": "The New Mexico Chapter of the American Institute of Architects.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aianewmexico.org/"} +{"d:Title": "AIA Newark and Suburban", "d:Description": "Newark and Suburban New Jersey Architects Chapter of the American Institute of Architects.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aians.org/"} +{"d:Title": "AIA Baltimore", "d:Description": "Board of directors, newsletter, directory of members and related links.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiabalt.com/"} +{"d:Title": "AIA Northern Nevada", "d:Description": "This chapter of the American Institute of Architects offers a directory of members and AIA news.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiann.org/"} +{"d:Title": "AIA Las Vegas", "d:Description": "The Las Vegas chapter of the American Institute of Architects. Mission, activities, calendar of events.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aialasvegas.org/"} +{"d:Title": "AIA Orange County", "d:Description": "This chapter of The American Institute of Architects offers a members directory and information on events and job opportunities.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiaoc.org/"} +{"d:Title": "AIA Vermont", "d:Description": "State chapter of the American Institute of Architects offers information on architects, architecture and web resources for the general public and AIA members.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiavt.org/"} +{"d:Title": "AIA San Antonio", "d:Description": "The San Antonio chapter of the American Institute of Architects offers events calendar, firms finder,continuing education.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasa.org/"} +{"d:Title": "AIA Santa Clara Valley", "d:Description": "A non-profit professional association serving over 600 member architects, associates and other industry professionals. Includes membership, committees and continuing education.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://aiascv.org/"} +{"d:Title": "AIA Monterey Bay", "d:Description": "The professional association for licensed architects in Monterey, Santa Cruz and San Benito counties in California. Awards, bulletin, events, board of directors, members, classified ads and related links.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiamontereybay.org/"} +{"d:Title": "AIA Connecticut", "d:Description": "State chapter of the American Institute of Architects provides calendar plus information on events and membership.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiact.org/"} +{"d:Title": "Santa Barbara AIA Chapter", "d:Description": "Provides information on monthly programs, seminars and conventions, competitions, and a database of architecture firms and members.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasb.com/"} +{"d:Title": "AIA Maine", "d:Description": "News, events, chapter meetings, awards and member list.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiamaine.org/"} +{"d:Title": "AIA New Hampshire", "d:Description": "Consultants and resources guide, events calendar, professional tools, contract documents and information on membership.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aianh.org/"} +{"d:Title": "AIA Rhode Island", "d:Description": "Calendar, bulletin board, member news, awards, FAQ, employment classifieds and members listing.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aia-ri.org/"} +{"d:Title": "AIA New York State", "d:Description": "News, roster of officers and information on governmental affairs, awards, state convention and membership.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aianys.org/"} +{"d:Title": "AIA Central New York", "d:Description": "Documents, events calendar plus information on committees and lecture series.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiacny.org/"} +{"d:Title": "AIA Eastern New York", "d:Description": "Organization overview, newsletter, committees, events and membership information.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiaeny.org/"} +{"d:Title": "AIA New York", "d:Description": "New York City chapter provides information on its board of directors, staff, continuing education and publications.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiany.org/"} +{"d:Title": "AIA Southern New York", "d:Description": "Map of chapter boundaries, statement of objectives, chapter history, featured projects, design awards and information on services for members and the general public.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasny.org/"} +{"d:Title": "AIA Staten Island, New York", "d:Description": "Executive committee, meeting schedule, news and member directory.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasiny.org/"} +{"d:Title": "AIA New Jersey", "d:Description": "Chapter overview, architect finder, forms and documents, news, events and sponsors list.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aia-nj.org/"} +{"d:Title": "AIA Pennsylvania", "d:Description": "Documents and code books, government affairs, member benefits, special events and directory of accredited schools of architecture in the state.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiapa.org/"} +{"d:Title": "AIA Central Pennsylvania", "d:Description": "Mission statement, calendar, newsletter, events and information on sponsorship and advertising opportunities.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiacentralpa.org/"} +{"d:Title": "AIA Pittsburgh", "d:Description": "News, calendar, directory, forum and online shopping for gifts and AIA documents.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiapgh.org/"} +{"d:Title": "AIA Delaware", "d:Description": "Announcements, newsletter, chapter profile, events, awards, programs and information on the Delaware Architecture Foundation.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiadelaware.org/"} +{"d:Title": "AIA Washington, D.C.", "d:Description": "Provides a directory, job center, events, newsletter and membership information.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiadc.com/"} +{"d:Title": "AIA West Virginia", "d:Description": "Design awards and details of member and client services. Also provides a link to the AIA online bookstore.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiawv.org/"} +{"d:Title": "AIA Los Angeles Chapter", "d:Description": "Lectures, tours and other programs and events for members and the general public.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aialosangeles.org/"} +{"d:Title": "AIA East Bay", "d:Description": "Serves architects and the public in four northern Bay Area counties of Alameda, Contra Costa, Napa and Solano. Job postings, chapter meetings, design tours, contract documents, resume bank and continuing education.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiaeb.org/"} +{"d:Title": "AIA Maryland", "d:Description": "Announcements, calendar, design awards, newsletter, regional directors, services directory plus information on continuing education.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiamd.org/"} +{"d:Title": "AIA Houston", "d:Description": "The Houston Chapter of the American Institute of Architects offers features, calendar of activities and searchable database of firm profiles.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiahouston.org/"} +{"d:Title": "AIA San Diego", "d:Description": "This chapter of the American Institute of Architects advises on choosing an architect, and gives information on the chapter, its lectures, programs and awards. Database of local architects.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasandiego.org/"} +{"d:Title": "AIA Blue Ridge", "d:Description": "President's page, chapter business, awards, member firms and continuing education. A part of the Virginia AIA.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiablueridge.org/"} +{"d:Title": "AIA Chesapeake Bay", "d:Description": "Mission statement, newsletter, calendar, job listings, photo gallery and general information from chapter serving the western and eastern shores of Maryland.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiachesapeakebay.org/"} +{"d:Title": "AIA Oklahoma", "d:Description": "State chapter of the professional association of architects. Includes career center, resource links and upcoming events.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://aiaok.org/"} +{"d:Title": "Syracuse University: American Institute of Architects Records", "d:Description": "Collection of chapter records and committee material from 1922 to 1988. Scope, inventory and access.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://library.syr.edu/digital/guides/a/amer_inst_arch.htm"} +{"d:Title": "Wikipedia: American Institute of Architects", "d:Description": "The institutes's entry in the collaborative online encyclopedia. Includes organization and history.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://en.wikipedia.org/wiki/American_Institute_of_Architects"} +{"d:Title": "AIA Philadelphia", "d:Description": "Includes details of events, member information, architect finder and architecture bookstore.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiaphiladelphia.org/"} +{"d:Title": "Texas Society of Architects", "d:Description": "Including articles, awards, job listings, meetings, events and resources.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "https://texasarchitects.org/"} +{"d:Title": "AIA Santa Fe", "d:Description": "Northern New Mexico chapter of the American Institute of Architects.", "topic": "Top/Arts/Architecture/Associations/American_Institute_of_Architects", "url": "http://www.aiasantafe.org/"} +{"d:Title": "Great Lodges", "d:Description": "Showcases books, lectures and workshops by Christine Barnes on the most important lodges in North America. Includes a database with photographs and concise details.", "topic": "Top/Arts/Architecture/Building_Types", "url": "http://www.greatlodges.com/"} +{"d:Title": "Railroad Stations", "d:Description": "Explore the architecture and history of railroad stations around the world. Zane Riester includes a bibliography.", "topic": "Top/Arts/Architecture/Building_Types", "url": "http://www.rpmotoring.com/stations/"} +{"d:Title": "Round Barns", "d:Description": "Dale Travis provides photographs and locations of round and octagonal barns across the United States and Canada.", "topic": "Top/Arts/Architecture/Building_Types", "url": "http://www.dalejtravis.com/barn/rbarns.htm"} +{"d:Title": "Metro Arts and Architecture", "d:Description": "Dozens of subway cities which are remarkable for their interesting stations. Descriptions and photographs from Metro Bits by Mike Rohde.", "topic": "Top/Arts/Architecture/Building_Types", "url": "http://mic-ro.com/metro/metroart.html"} +{"d:Title": "Underground Buildings: Architecture and Environment", "d:Description": "An exploration of the viability of underground buildings in contemporary America, with some discussion of international examples.", "topic": "Top/Arts/Architecture/Building_Types", "url": "http://www.subsurfacebuildings.com/"} +{"d:Title": "The Pigeon Cote of Old", "d:Description": "Pigeon Cote hosts a complete annotated version of Cooke's Book of Dovecotes, which is an illustrated guide. Also bibliography and links.", "topic": "Top/Arts/Architecture/Building_Types", "url": "http://www.pigeoncote.com/dovecote/dovecote.html"} +{"d:Title": "The Workhouse", "d:Description": "Peter Higginbotham explores in depth the history of the British buildings where employment was provided for the destitute. Includes a gazetteer by country and county.", "topic": "Top/Arts/Architecture/Building_Types", "url": "http://www.workhouses.org.uk/"} +{"d:Title": "20th Century University Architecture: 1900-1945", "d:Description": "Photos and information on American universities built in the period, from the Digital Archive of American Architecture.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/20_univ.html"} +{"d:Title": "Virtual Tour of Brasenose College", "d:Description": "Dr Karl Harrison provides QuickTime views of Brasenose, with comments on the buildings, accessed via clickable plan, as part of his Virtual Tour of Oxford.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.chem.ox.ac.uk/oxfordtour/brasenosecollege/"} +{"d:Title": "Balliol College Virtual Tour", "d:Description": "A tour of the oldest college in Oxford, part of the Virtual Tour of Oxford. QuickTime panoramas are accessed via clickable plan.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.chem.ox.ac.uk/oxfordtour/balliolcollege/front.htm"} +{"d:Title": "St John's College Virtual Tour", "d:Description": "This part of the Virtual Tour of Oxford provides interactive 360\u00b0 photographs viewable by using a map of the college.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.chem.ox.ac.uk/oxfordtour/stjohnscollege/front.htm"} +{"d:Title": "William and Mary: Wren Building", "d:Description": "An illustrated history of the Sir Christopher Wren Building at the College of William and Mary in Virginia, built 1695-99 and the oldest academic building in continuous use in the United States.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.wm.edu/about/wren/"} +{"d:Title": "Trinity College, Cambridge", "d:Description": "A tour of the varied architecture of the college via sensitive bird's eye view, with comments on its history, from the official site. Includes the library designed by Sir Christopher Wren.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.trin.cam.ac.uk/index.php?pageid=289"} +{"d:Title": "King's College, Cambridge", "d:Description": "An illustrated history from the official site of the college founded by Henry VI in 1441, with its magnificent chapel, completed by the Tudors.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.kings.cam.ac.uk/about/history.html"} +{"d:Title": "Brasenose College, Oxford", "d:Description": "The official site provides an illustrated history of the buildings of this Tudor college.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions", "url": "http://www.bnc.ox.ac.uk/345/brasenose-college-archives-and-history-38/college-buildings-217.html"} +{"d:Title": "Ballard School", "d:Description": "Brief history and photograph of this 1882 California schoolhouse.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.eaglelink.com/ballard/"} +{"d:Title": "National Register Nomination for the Central District School", "d:Description": "Building description, statement of significance, and picture index related to this 1844 Vermont schoolhouse.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.uvm.edu/~histpres/HPJ/NR/royalton/Royalton.html"} +{"d:Title": "Onchiota Schoolhouse", "d:Description": "Photographs and history of this New York schoolhouse constructed circa 1923.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.townoffranklin.com/onchiotaschool.html"} +{"d:Title": "Pioneer Sholes School", "d:Description": "History and picture gallery of this circa 1872 Illinois school which has been relocated and renovated and now serves as a museum.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.pioneersholesschool.org/"} +{"d:Title": "Restoration of Croft Schoolhouse", "d:Description": "Charlotte-Mecklenburg Historic Landmarks Commission restoration of a two-story, four-room North Carolina schoolhouse originally constructed in 1890.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.cmhpf.org/properties/Croft/Croftintro.htm"} +{"d:Title": "Strawberry Schoolhouse", "d:Description": "Pictures and detailed history of this 1884 Arizona schoolhouse which is the oldest standing schoolhouse in Arizona.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.pinestrawhs.org/schoolhouse.html"} +{"d:Title": "Sutter Creek Grammar School", "d:Description": "Photograph and brief history of this two story brick California school constructed in 1870.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.malakoff.com/suttergs.htm"} +{"d:Title": "One Room Schoolhouse", "d:Description": "Picture and brief profile of this 1779 Connecticut schoolhouse.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.electronicvalley.com/tour/oneroomschoolhousehtm.htm"} +{"d:Title": "The Archaeology of Schools", "d:Description": "This site is intended to serve as a forum for the exchange of information on the archaeological potential of one-room schoolhouse sites. Its contents include a bibliography on the archaeology of one-room schools and related educational sites as well as on-line versions of professional conference papers when available.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://bingweb.binghamton.edu/~abeisaw1/Main.html"} +{"d:Title": "Andrews Schoolhouse", "d:Description": "History of this 1881 Michigan one-room school which was moved to Shelby Township in 1975.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://shelbyhistory.tripod.com/id30.html"} +{"d:Title": "Friendship School", "d:Description": "Brief history of this circa 1918 Kentucky schoolhouse which now serves as a museum.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://campbellsvilleky.com/historical.html#The_Friendship_Schoolhouse"} +{"d:Title": "Schoolhouse Museum", "d:Description": "Photographs and brief history of this New Jersey one room school which is now used as a museum for the Ridgewood Historical Society.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.ridgewoodhistoricalsociety.org/"} +{"d:Title": "Lagunita Schoolhouse", "d:Description": "Photograph and brief history of this 1897 California schoolhouse which was moved to the Boronda History Center in 1986 and now serves as a museum.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.mchsmuseum.com/lagunita.html"} +{"d:Title": "Mission Ridge One Room School", "d:Description": "Photographs and history of this circa 1870 West Virginia school which has been moved to the West Virginia State Farm Museum complex.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://pages.suddenlink.net/wvsfm/school.html"} +{"d:Title": "Craigflower Manor and Schoolhouse", "d:Description": "Wikipedia gives pictures, description, history and archaeology of this manor house in British Columbia, with the school built to provide education for the children of farm employees.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://en.wikipedia.org/wiki/Craigflower_Manor_and_Schoolhouse"} +{"d:Title": "Roll One Room School House", "d:Description": "Photographs and brief history of this 1903 Oklahoma schoolhouse which was donated to the Historic Roger Mills Preservation Foundation to serve as a museum.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.rogermills.org/Museums/Museums_OneRoom.htm"} +{"d:Title": "Early Schools of Fulton County", "d:Description": "Photographs and histories of several schools in this Ohio county.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://freepages.genealogy.rootsweb.ancestry.com/~keller/school/schol/"} +{"d:Title": "Strong School", "d:Description": "Photographs, history, and restoration of this circa 1900 schoolhouse which is now part of the Vicksburg, Michigan Historic Village.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.rootsweb.ancestry.com/~mivhs/vicksburghistoricstrong.htm"} +{"d:Title": "Shelby Area One Room Schools", "d:Description": "Photographs and information regarding several historic schools compiled by the Shelby chapter of the Ohio Genealogical Society.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.rootsweb.ancestry.com/~ohscogs/Schools/LocalSchoolList.html"} +{"d:Title": "Kansas One Room Schoolhouse Project", "d:Description": "Contains histories and a picture gallery of numerous schools, organized by county.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.kansasheritage.org/orsh/"} +{"d:Title": "Little Red Schoolhouse", "d:Description": "History and picture of this 1835 Ohio one-room school which has been relocated and now serves as a museum.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.oberlin.edu/external/EOG/HistoricPreservation/HPRedSchoolhouse.html"} +{"d:Title": "Mount Zion One Room Schoolhouse", "d:Description": "Photograph and hours of operation for this 1869 Maryland schoolhouse which now serves as a museum.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.octhebeach.com/museum/Zion.html"} +{"d:Title": "Nathan Hale Schoolhouse", "d:Description": "History of this 1750 one-room school from the Connecticut Society of the Sons of the American Revolution.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.connecticutsar.org/sites/2009/07/nathan-hale-schoolhouse-in-east-haddam.html"} +{"d:Title": "Michigan One-Room Schoolhouse Association", "d:Description": "Group which coordinates a survey of one-room schools in the state and holds an annual conference. Contact information, merchandise, and a bi-annual newsletter.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.one-roomschool.org/"} +{"d:Title": "Iron Hill School: An African-American One-Room School", "d:Description": "National Park Service Teaching with Historic Places lesson plan which uses this 1923 Delaware school to teach themes of segregation, education, and the evolution of civil rights.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.nps.gov/nr/twhp/wwwlps/lessons/58iron/58iron.htm"} +{"d:Title": "Halfway Prairie School", "d:Description": "Preservation organization related to the 1855 Wisconsin schoolhouse, listed as the oldest rural elementary school in Dane County.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "https://parks-lwrd.countyofdane.com/HistoricalCulturalSite/HalfwayPrairieSchoolhouse"} +{"d:Title": "Todd Grade School", "d:Description": "One room school located in the Goochtown community of Pulaski county, Kentucky.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://toddgradeschool.blogspot.com/"} +{"d:Title": "Saxe Community Center", "d:Description": "Detailed history of this 1913 Virginia schoolhouse.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.nhsmumc.org/community-center"} +{"d:Title": "The Schoolhouse Museum", "d:Description": "African-American one-room schoolhouse, Isle of Wight County Virginia.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.theschoolhousemuseum.org/"} +{"d:Title": "Little Red Schoolhouse", "d:Description": "Pictures of this 1826 Connecticut schoolhouse located at Mill Hill Historic Park and Museum.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.norwalkhistoricalsociety.org/NHSSchoolhouseProgram.html"} +{"d:Title": "The Little Red Schoolhouse", "d:Description": "Built in 1868, this was Connecticut\u2019s last operating one-room schoolhouse when it closed in 1957. New Canaan, CT.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://nchistory.org/our-buildings/the-little-red-schoolhouse-1868/"} +{"d:Title": "Old Stone School", "d:Description": "History of this 1832 Massachusetts one-room schoolhouse.", "topic": "Top/Arts/Architecture/Building_Types/Educational_Institutions/One-Room_Schools", "url": "http://www.oldstoneschool.com/"} +{"d:Title": "Art Galleries and Museums", "d:Description": "Information on the architect, location, date, construction system, context and style of a world selection from Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www.greatbuildings.com/types/types/gallery.html"} +{"d:Title": "Exhibition and Exposition", "d:Description": "Great Buildings Online provides images and information on a selection of buildings in Europe, North American and Japan designed for exhibition purposes.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www.greatbuildings.com/types/types/exhibition.html"} +{"d:Title": "ArchitectureWeek: Foster and Partners Roof the Great Court", "d:Description": "Don Barker explains the history of the great neoclassical British Museum designed by Robert Smirke in the context of the new steel and glass roof.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www.architectureweek.com/2001/0214/design_1-1.html"} +{"d:Title": "The Crystal Palace Foundation", "d:Description": "Group dedicated to preserving the history of the Crystal Palace, who have created a museum on the site. Includes images and a history of the building after the Great Exhibition closed in October 1851.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www.crystalpalacefoundation.org.uk/"} +{"d:Title": "The Israel Museum, Jerusalem", "d:Description": "The Shrine of the Book, erected in 1965 for the preservation and exhibition of the Dead Sea Scrolls. Brief illustrated history of this remarkable building designed by American-Jewish architects A.Bartos and F. Kiesler.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www.imj.org.il/eng/shrine/building.html"} +{"d:Title": "The Natural History Museum: History and Architecture", "d:Description": "An illustrated outline from the official site of the remarkable Victorian buildings in South Kensington, designed by Waterhouse and decorated with carvings of animals and plants.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www.nhm.ac.uk/visit-us/history-architecture/"} +{"d:Title": "The British Museum: History", "d:Description": "The official site gives a history and an historic image of the buildings at its core designed by Sir Robert and Sidney Smirke and erected between the 1820s and 1850s.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www.britishmuseum.org/the_museum/history_and_the_building/general_history.aspx"} +{"d:Title": "The Crystal Palace", "d:Description": "Computer models of this Victorian marvel designed by Joseph Paxton from the University of Virginia, with an illustrated account of its history and construction.", "topic": "Top/Arts/Architecture/Building_Types/Exhibition", "url": "http://www2.iath.virginia.edu/london/model/"} +{"d:Title": "D\u00e9sert de Retz", "d:Description": "Description and photographs of a romantic 18th-century folly garden near Paris, with a chronology and bibliography of its creator Fran\u00e7ois Racine de Monville, by Ronald Kenyon.", "topic": "Top/Arts/Architecture/Building_Types/Follies", "url": "http://desertderetz.info/"} +{"d:Title": "Freston Tower", "d:Description": "An illustrated introduction by Ed Broom to this six-story 16th-century building overlooking the River Orwell in Suffolk, with extracts about it from various guidebooks.", "topic": "Top/Arts/Architecture/Building_Types/Follies/United_Kingdom", "url": "http://www.freston.net/tower/"} +{"d:Title": "A Scottish Pineapple", "d:Description": "J.K. Gillon's illustrated description and discussion of the inspiration for the Dunmore Pineapple garden folly, built in 1761.", "topic": "Top/Arts/Architecture/Building_Types/Follies/United_Kingdom", "url": "http://gillonj.tripod.com/ascottishpineapple/"} +{"d:Title": "John \"Mad Jack\" Fuller", "d:Description": "Biography of this eccentric Georgian squire and MP, remembered for folly building, philanthropy and patronage of the arts and sciences. Includes photographs and descriptions of his follies.", "topic": "Top/Arts/Architecture/Building_Types/Follies/United_Kingdom", "url": "http://www.johnmadjackfuller.homestead.com/"} +{"d:Title": "Follies, Grottoes and Garden Buildings", "d:Description": "Pavilions of Splendour defines a folly and provides illustrated descriptions of some examples.", "topic": "Top/Arts/Architecture/Building_Types/Follies/United_Kingdom", "url": "http://www.heritage.co.uk/follies/ffdef.html"} +{"d:Title": "Painswick Rococo Garden", "d:Description": "Official site for this 18th-century garden dotted with follies. Photographs, description and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Follies/United_Kingdom", "url": "http://www.rococogarden.org.uk/"} +{"d:Title": "Beckford's Tower", "d:Description": "Built in 1827 for the accomplished but eccentric William Beckford, it is now a museum. The Bath Preservation Trust provides photographs, a brief history and description, and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Follies/United_Kingdom", "url": "http://www.bath-preservation-trust.org.uk/event/beckford-and-wyatt/"} +{"d:Title": "Northern Fortress", "d:Description": "Fortresses of northwest Russia and Finland. Photographs, maps, histories, and personal observations.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.nortfort.ru/"} +{"d:Title": "The Fortress of Neuf-Brisach, France", "d:Description": "Illustrated description from an exhibition at the National Gallery of Art, Washington, of the fortress town constructed 1698-1720, designed by S\u00e9bastien Le Prestre de Vauban.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.nga.gov/exhibitions/2000/baroque/indepth6.htm"} +{"d:Title": "Stephen Wyley's Fortification Pages", "d:Description": "Provides introductions with bibliographies to military architecture, siege warfare, castles and Anglo-Saxon burhs. Images and information on sites including Constantinople, Masada, Shiro and Ankara.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.angelfire.com/wy/svenskildbiter/index.html"} +{"d:Title": "Medieval Fortifications", "d:Description": "Expandable thumbnail images of Aigues-Mortes, Carcassonne and Pierrefonds in France from Jeffrey Howe's Digital Archive of Architecture.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/medieval_fort.html"} +{"d:Title": "Fort Siloso", "d:Description": "The story of the sole restored coastal artillery fort from Fortress Singapore, 1874 to the present.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.fortsiloso.com/"} +{"d:Title": "The medieval fortified town of Aigues Mortes", "d:Description": "Fortified town in the South of France with its original 13th century walls and towers, built by King Louis IX.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://languedoc-france.info/030416_aiguesmortes.htm"} +{"d:Title": "Parks Canada: Fortress of Louisbourg", "d:Description": "History, description, images and visitor information for the reconstructed 18th-century fortified town.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.pc.gc.ca/lhn-nhs/ns/louisbourg/index.aspx"} +{"d:Title": "U.S. Forting", "d:Description": "A Blog detailing visits to historical military fortifications in the United States.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://usforting.com/"} +{"d:Title": "Fort Wiki", "d:Description": "Dedicated to documenting historical forts, camps and stations that provided security and protection for the United States and Canada.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://fortwiki.com/"} +{"d:Title": "Bunkertours", "d:Description": "Featuring underground military installations in Britain and France.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.bunkertours.co.uk/"} +{"d:Title": "Chester: A Virtual Stroll Around the Walls", "d:Description": "Steve Howe of the Black and White Picture Place provides a guide around the Roman and medieval city wall. Map, modern and archival images with description and history, including quotations from primary sources.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.chesterwalls.info/"} +{"d:Title": "The Alhambra of Granada", "d:Description": "Information on the Alhambra including tours and opening hours.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://en.alhambraonline.com/"} +{"d:Title": "Kronstadt fortress", "d:Description": "Guide in St. Petersburg, where a special portal is dedicated to the history and the present.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.visit-saint-petersburg.ru/kronstadt-fortress/"} +{"d:Title": "Fort Ticonderoga", "d:Description": "Historic tourist attraction located in Ticonderoga, New York. Offers tours, historic galleries, walking trails and gardens for visitors.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.fortticonderoga.org/"} +{"d:Title": "Wilton Castle", "d:Description": "The romantic ruins of a restored castle and manor house.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.wiltoncastle.eclipse.co.uk/"} +{"d:Title": "Fort McHenry, Baltimore, United States", "d:Description": "Built 1794-1803 on a five-pointed star plan. Description and photographs from National Park Service Baltimore Travel Itinerary.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.nps.gov/nr/travel/baltimore/b1.htm"} +{"d:Title": "Fortifications of Belarus", "d:Description": "History of fortifications of Belarus", "topic": "Top/Arts/Architecture/Building_Types/Fortifications", "url": "http://www.fortressby.com/index.php?lang=english"} +{"d:Title": "Castles of Tuscany", "d:Description": "P.Ramponi's comprehensive guide navigated via an index and interactive maps. Readable history and photographs for each of the many castles or walled towns.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.castellitoscani.com/"} +{"d:Title": "Helfstyn Castle", "d:Description": "Roman Derych provides an illustrated introduction to the history and architectural development of one of the best preserved castle complexes in the Czech Republic.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.helfstyn.cz/"} +{"d:Title": "Castle of Sypesteyn", "d:Description": "The official site of this mock-medieval castle in Netherlands built around 1900 by C.H.C.A. van Sypesteyn, who intended it as an authentic reconstruction.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.sypesteyn.nl/"} +{"d:Title": "Castles of the World", "d:Description": "Extensive collection of castles, palaces, fortresses and monasteries. Organized with search engine, maps, descriptions, photos and a directory.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.castles.org/"} +{"d:Title": "Castles of the Middle Ages", "d:Description": "An introduction to castles - their purpose, rooms, and defenses - and descriptions of some of the most famous in the UK, France, Italy, Germany, Spain and Eastern Europe. Includes weddings in castles.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.medieval-castles.net/"} +{"d:Title": "Castles and Castle Ruins in the Netherlands", "d:Description": "Enthusiast Marko Tjemmes supplies clear histories of castles close to his home in Rotterdam, illustrated with photographs, earlier images and ground plans. Bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.castles.nl/"} +{"d:Title": "Egeskov Castle", "d:Description": "Renaissance moated castle in Denmark from 1554 with information in English, Danish and German. Includes a large park with beautiful gardens, and an old-timer museum.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.egeskov.com/"} +{"d:Title": "Torrione Castle", "d:Description": "An Italian fortress dating from the Middle Ages, though much altered. History and archival images.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://vialardi.org/"} +{"d:Title": "Castle Tirol", "d:Description": "Photographs and outline history of this medieval castle in Northern Italy - now a museum - from Dorf Tirol.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.dorftirol.com/uk/section4.htm"} +{"d:Title": "Castles", "d:Description": "Images and descriptions of several castles in Europe and Himeji Castle, Japan, with references, from Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.greatbuildings.com/types/types/castle.html"} +{"d:Title": "Castles of the United States", "d:Description": "Castle-fan Jim is building himself a mock-medieval home. Here he gathers images of other imitation castles in the US.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://dupontcastle.com/castles/"} +{"d:Title": "Medieval Siege", "d:Description": "Companion site to the NOVA program on castles.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.pbs.org/wgbh/nova/lostempires/trebuchet/"} +{"d:Title": "Hwasongsong Castle", "d:Description": "Describes the construction of this fortress built in Suwon, Korea by King Jeongjo.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.hwasong.henny-savenije.pe.kr/"} +{"d:Title": "Treasures and Mysteries of the Malbork Castle", "d:Description": "Tourist guide Czeslaw Pajak describes the attractions of this medieval brick castle in Poland, once headquarters of the Teutonic Knights.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://malbork.20m.com/"} +{"d:Title": "Castles of Poland", "d:Description": "Information about castles presently within the Polish borders illustrated with photographs, plans, drawings, sketches and reconstructions. Search engine and detailed map are available.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://en.zamki.pl/"} +{"d:Title": "The Castle of Lari", "d:Description": "This medieval castle near Pisa, Italy, was transformed over the centuries into a luxurious governor's residence. An illustrated history, guide to notable buildings of Lari, and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.castellodilari.it/"} +{"d:Title": "Topcastles", "d:Description": "Robert Rongen presents images and brief details of hundreds of medieval castles, sorted by country, region and castle type. Includes a quick guide to castle types with plans, and a list of the top 100 castles, as rated by surfers.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.topcastles.com/"} +{"d:Title": "Medieval castles", "d:Description": "Informative website containing the history of the castles built in the Dark Ages. It also features stories and torture devices employed.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.medieval-castles.org/"} +{"d:Title": "Castle Land", "d:Description": "Photographs of castles and ruins in Europe (Slovakia, Czech Republic, Austria, Hungary) with brief history and location.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://castle.land.sk/"} +{"d:Title": "Wikipedia: Krak des Chevaliers", "d:Description": "An illustrated history of the great Crusader castle in Syria.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://en.wikipedia.org/wiki/Krak_des_Chevaliers"} +{"d:Title": "Castles and Fortresses in 3D", "d:Description": "Jeffrey Cooper's photographs of castles and fortresses in Europe, including some in three dimensions, with instructions on how to view.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.3dphoto.net/world/topics/castles/castles.html"} +{"d:Title": "Castles of the Crown of Castile", "d:Description": "A bilingual site, English and Spanish, about the castles of this ancient Spanish kingdom. The castles are arranged by geographical category.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://perso.wanadoo.es/ibg3/castle.html"} +{"d:Title": "Hohensalzburg Castle", "d:Description": "Guide about the Hohensalzburg castle in Salzburg, Austria.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.hohensalzburgcastle.com/"} +{"d:Title": "Pulyn-Y-Aleg Castle", "d:Description": "Official Website of the Pulyn-Y-Aleg Castle preservation trust Beautiful and mysterious castle on the Welsh coast.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://pulynyaleg.neonblade.com/"} +{"d:Title": "Cathar Castles", "d:Description": "Cathar Castles of the Languedoc: descriptions, locations, photographs, maps, site plans, history and primary source materials.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.catharcastles.info/"} +{"d:Title": "Castles, Chateaux and Manor Houses", "d:Description": "History, purpose, architecture, sieges, examples, photographs, castle tours, renting and hiring castles, ch\u00e2teaux and manor houses", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.castlesandmanorhouses.com/"} +{"d:Title": "Exploring Castles", "d:Description": "Photographs and history of castles in England, Scotland, Wales and Europe.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.exploring-castles.com/"} +{"d:Title": "Flickr: Castles of Europe", "d:Description": "Photography group for people who like images of castles.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.flickr.com/groups/castlesofeurope/"} +{"d:Title": "Flickr: European Castles", "d:Description": "A group to spotlight the beauty that is the European Castle.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.flickr.com/groups/european_castles/"} +{"d:Title": "Flickr: Castles - Castillos", "d:Description": "Castle photographs shared in an online group.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles", "url": "http://www.flickr.com/groups/castles-castillos/"} +{"d:Title": "Ch\u00e2teau de Landreville", "d:Description": "Castle in the Champagne-Ardenne built in the 12th century and rebuilt in the 16th century, it retains its moat and is a rare example of the pre-Renaissance French castle.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/France", "url": "http://www.chateaudelandreville.com/"} +{"d:Title": "Ch\u00e2teau de Saint-Loup", "d:Description": "French medieval castle converted into a grand country house in the 17th century, but retaining its keep and moat. Includes history, description and interior and exterior photographs.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/France", "url": "http://www.chateaudesaint-loup.com/"} +{"d:Title": "Ranton Castle", "d:Description": "Web-France International offers a history, photographs and description of this 14th-century castle in the Loire Valley. French language version available.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/France", "url": "http://www.wfi.fr/ranton/tower.html"} +{"d:Title": "Castle of the Dukes of Brittany", "d:Description": "Official website of Nantes' Castle and its museum. Practical information, program of cultural events and temporary exhibitions.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/France", "url": "http://www.chateau-nantes.fr/en/"} +{"d:Title": "Chateau de Lavaud", "d:Description": "Countryside B&B in XIX'c chateau in south west France close to recreational and cultural activities.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/France", "url": "http://chateau-de-lavaud.com/pagewelcome.html"} +{"d:Title": "Casteland", "d:Description": "List of the castles in France between Medieval times and Renaissance. [English and French.]", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/France", "url": "http://www.casteland.com/indexuk.htm"} +{"d:Title": "Chateau de Culan", "d:Description": "Medieval Castle in the center of France.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/France", "url": "http://culan.over-blog.org/"} +{"d:Title": "Neuschwanstein Castle", "d:Description": "The official site of this 19th-century fantasy castle built by King Ludwig II of Bavaria includes an illustrated building history, tour and biography of Ludwig.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Germany", "url": "http://www.neuschwanstein.de/"} +{"d:Title": "Historical Monuments in Rhineland-Palatinate", "d:Description": "Descriptions and photographs of the state-owned castles of the Rheinland-Pfalz state. Tourist and contact information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Germany", "url": "http://www.caltim.com/rheinland/"} +{"d:Title": "Schloss Reichenstein, Germany", "d:Description": "The Hotel Burg Reichenstein describes the history of this c.1900 reconstruction of a medieval castle, now an hotel, and provides some photographs.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Germany", "url": "http://www.caltim.com/reichenstein/"} +{"d:Title": "Neuschwanstein castle", "d:Description": "Description and pictures about the world renowned castle, the Neuschwanstein.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Germany", "url": "http://www.neuschwansteincastle.net/"} +{"d:Title": "Neuschwanstein", "d:Description": "Information and articles about the Neuschwanstein Castle.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Germany", "url": "http://www.castle-neuschwanstein.com/"} +{"d:Title": "Cochem Castle", "d:Description": "The ruins of a medieval castle are incorporated into this romantic Neo-Gothic 19th-century edifice. Visitor information, events, history and plan of the castle with pictures.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Germany", "url": "http://www.burg-cochem.de/e_frame.html"} +{"d:Title": "Birr Castle Demesne", "d:Description": "The official site supplies photographs and chronology of the castle, home to Lord and Lady Rosse, which is not open to the public. The early 17th-century castle was built around a medieval gate-house.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Ireland", "url": "http://www.birrcastle.com/"} +{"d:Title": "Tynte's Castle", "d:Description": "An urban medieval tower house in Youghal, County Cork. An illustrated history, details of its restoration and plans and elevations from owner Daniel McCarthy.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Ireland", "url": "http://tyntescastle.com/"} +{"d:Title": "King John's Castle", "d:Description": "An illustrated history from Earthlore Ireland of the medieval fortress in Limerick built to guard the Shannon frontier. Includes discoveries from 1990s excavations.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Ireland", "url": "http://www.elore.com/elirhs01.html"} +{"d:Title": "Castles of Galway", "d:Description": "Patrick Larkin's photographs of the ancient medieval castles, tower-houses and fortified mansions of County Galway, Ireland.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Ireland", "url": "http://homepage.eircom.net/~qvc/"} +{"d:Title": "Swords Castle, Co Dublin", "d:Description": "Fiona O'Raw provides history and photographs of the Norman and medieval castle of the Archbishop of Dublin. Also the 9th-century Round Tower. From Around Swords.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Ireland", "url": "http://homepage.eircom.net/~aroundswords/swordshistory.htm"} +{"d:Title": "Blarney Castle", "d:Description": "Ireland Interactive provides images and brief history of this medieval castle, famed for its Blarney Stone. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Ireland", "url": "http://homepages.iol.ie/~discover/blarney.htm"} +{"d:Title": "Virtual Tour Himeji Castle", "d:Description": "History, map, tour and gallery of photographs of this magnificent timber castle on a stone base, built in 1601 by Terumasa Ikeda - a World Heritage site. By Hakurotowa No Kai.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.himeji-castle.gr.jp/"} +{"d:Title": "Japanese Castle", "d:Description": "History, movie, and links.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://castle.ad-g.tv/"} +{"d:Title": "Japanese Castles", "d:Description": "A few photographs by Jamie Marconi of the more famous castles in Japan.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.hungry.com/~jamie/castle.html"} +{"d:Title": "Shiro: A Japanese Castle", "d:Description": "Stephen Wyley discusses the development and aspects of Japanese castles. Drawings by Steven Lowe.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.angelfire.com/wy/svenskildbiter/shiro.html"} +{"d:Title": "Nijo Castle", "d:Description": "Kyoto castle built by the first Tokugawa shogun.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.columbia.edu/itc/ealac/V3613/kyoto/frames/aut_nijo.htm"} +{"d:Title": "Guide to Japanese Castles", "d:Description": "Eric Obershaw provides a general history and description of castles in Japan, and photographs of castles he has visited, with histories taken from the official brochures and display text.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.jcastle.info/"} +{"d:Title": "Castles of Japan", "d:Description": "Takashi Toyooka offers a location map, brief description, thumbnail photographs and any relevant links for each castle. Select via an interactive map or an index. Bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://pages.ca.inter.net/~ttoyooka/oshiro/"} +{"d:Title": "Goryokaku", "d:Description": "Constructed reason,record of war,size of building.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://web-japan.org/atlas/historical/his01.html"} +{"d:Title": "Oshiro Meguri Fan", "d:Description": "Gallery in Japan castle and city's setting.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.shirofan.com/english.html"} +{"d:Title": "Japanese Castles", "d:Description": "List of castle ruins and history.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://tanutech.com/japan/jcastles.html"} +{"d:Title": "Japanese castles", "d:Description": "Structures, castle town, history, guard tower and wall and moat.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.japan-guide.com/e/e2296.html"} +{"d:Title": "Wikipedia: Azuchi Castle", "d:Description": "An illustrated history and description of this fortress built 1576-1579 by Oda Nobunaga on the shores of Lake Biwa.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://en.wikipedia.org/wiki/Azuchi_Castle"} +{"d:Title": "Wikipedia: Edo Castle", "d:Description": "An illustrated history and description of this castle built in 1457 by \u014cta D\u014dkan in what is now the Chiyoda ward of Tokyo.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://en.wikipedia.org/wiki/Edo_Castle"} +{"d:Title": "Wikipedia: Osaka Castle", "d:Description": "An illustrated history and description of this important castle, built from 1583 by Toyotomi Hideyoshi.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://en.wikipedia.org/wiki/Osaka_Castle"} +{"d:Title": "Japan\u2019s 100 Famous Castles And Castle Ruins", "d:Description": "Famous 100 castles map in JAPAN. Selected by the authority(JAPAN CASTLE Association )", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.matsumasa.com/maps/e_castle100/"} +{"d:Title": "Japanese Castle Explorer", "d:Description": "An interactive map, pictures and information about the Castles of Japan.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.japanese-castle-explorer.com/"} +{"d:Title": "Japanese Castles", "d:Description": "Read guides to Japanese castles and Japanese castle architecture including Himeji Castle, Kumamoto Castle, Nijo Castle and other important castles.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/Japan", "url": "http://www.japanvisitor.com/index.php?cID=426&pID=1576"} +{"d:Title": "Castles of Britain", "d:Description": "US-based Castles Unlimited offers a commercial research service. Lists of castles; photo gallery; ground plans and bird's-eye views of a few castles; general background articles; glossary.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.castles-of-britain.com/"} +{"d:Title": "Castles and Fortifications of England and Wales", "d:Description": "Database of 800 survivals. Interactive maps lead to photographs, brief descriptions and visitor information by Charles Taylor. Also a brief, illustrated history of the subject.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.ecastles.co.uk/"} +{"d:Title": "Island Castles", "d:Description": "Appealing introduction to medieval fortresses of Great Britain by Edward Blanchard, from The War Times Journal, with professional photographs of a few of the most famous.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.wtj.com/articles/castles/"} +{"d:Title": "CastleUK.net", "d:Description": "Site provides information and pictures for many castles in England, Scotland and Wales. Visitor information is enhanced by use of ordnance survey maps to pinpoint actual locations. Castles are easily searchable by location or alphabetical listings.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.castleuk.net/"} +{"d:Title": "Castle Studies Group", "d:Description": "Aims to promote the study of castles in all their forms and by all possible means. Contains committee information, events, conference, news and publications.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.castlestudiesgroup.org.uk/"} +{"d:Title": "Anglo-Norman Castles", "d:Description": "Illustrated articles by Paul Martin Remfry, along with details of his booklets on castles in England and Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.castles99.ukprint.com/"} +{"d:Title": "Castle Stories", "d:Description": "A photographic guide to hundreds of castles in England, Scotland and Wales with descriptions, historical information, visitor information and interactive maps.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.castlestories.net/"} +{"d:Title": "The Gatehouse", "d:Description": "Lists British castles and fortifications built between 1000-1600.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.gatehouse-gazetteer.info/home.html"} +{"d:Title": "The Good Castle Guide", "d:Description": "Database of over 2,000 castles and fortified sites in the British Isles. Includes brief histories, photographs, GPS map references and access information for listed sites.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.castrabritannica.co.uk/"} +{"d:Title": "Castle Facts", "d:Description": "Database of castles in England and Wales, accompanied with photographs, maps, and links to further resources.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://www.castlefacts.info/"} +{"d:Title": "Project Britain: Castles", "d:Description": "History and photographs of castles in Britain, written for children aged 7-11.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom", "url": "http://primaryhomeworkhelp.co.uk/Castles.html"} +{"d:Title": "Alnwick Castle, Northumberland", "d:Description": "The second largest inhabited castle in England, after Windsor Castle, and home of the Percys, Earls and Dukes of Northumberland since 1309. Restored in 1750 by Robert Adam. Some information on the history of the building.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.alnwickcastle.com/"} +{"d:Title": "Leeds Castle", "d:Description": "Official site providing virtual tours and comprehensive information for the castle, dog collar museum, park and gardens. Visitor information and downloads are available, including wallpapers and floorplans.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.leeds-castle.com/"} +{"d:Title": "Belvoir Castle", "d:Description": "Official site providing a history of the castle, a virtual tour, opening times and special events information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.belvoircastle.com/"} +{"d:Title": "Hedingham Castle", "d:Description": "This well-preserved Norman keep in Essex was built c.1140. Illustrated history and description, including a reconstruction of the siege of 1216. Visitor information, events including jousting tournaments.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.hedinghamcastle.co.uk/"} +{"d:Title": "Westenhanger Castle", "d:Description": "The Forge family, its owners, provide a history, plan and photographs of this 14th-century quadrangular castle at Hythe in Kent. Story of its conservation. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.westenhangercastle.co.uk/"} +{"d:Title": "The Development of the English Castle", "d:Description": "An illustrated history by David Dawson from Britannia.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.britannia.com/history/david1.html"} +{"d:Title": "Skipton Castle", "d:Description": "One of the most complete and best preserved medieval castles in England. Contains history and plans of the castle, education information for teachers, and fun puzzles for children.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.skiptoncastle.co.uk/"} +{"d:Title": "Chillingham Castle", "d:Description": "A medieval castle continuously owned by the family of the Earls Grey and their relations since the 12th century. Includes a brief, illustrated history.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.chillingham-castle.com/"} +{"d:Title": "Raby Castle", "d:Description": "Medieval castle, built in the mid 14th century on the site of an earlier fortified manor house. Details of opening times and prices.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.rabycastle.com/"} +{"d:Title": "Rockingham Castle", "d:Description": "Official site with visitor information, events diary, and a brief history of the castle with pictures of interior and exterior.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.rockinghamcastle.com/"} +{"d:Title": "Ludlow Castle", "d:Description": "Official site with quick loading virtual tour, photographs and detailed castle history. Visitor information also available.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.ludlowcastle.com/"} +{"d:Title": "Sudeley Castle", "d:Description": "Illustrated history of this splendid 15th-century castle laid waste by Oliver Cromwell, but restored in the Victorian period under the aegis of Sir Gilbert Scott. Visitor information, events.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.sudeleycastle.co.uk/"} +{"d:Title": "Berkshire Castles and Country Houses", "d:Description": "Histories of all the castles and many of the country houses of Berkshire. Castles include small mottes to the largest inhabited castle in the World.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.berkshirehistory.com/castles/index.html"} +{"d:Title": "Cornish Castle Pictures", "d:Description": "Cornish Light offers a photo tour of Launceston, St Mawes, and Pendennis and Tintagel Castles and St Michael's Mount.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.cornishlight.co.uk/castles.htm"} +{"d:Title": "Arundel Castle", "d:Description": "Official site providing information for visitors and a brief history of the castle.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.arundelcastle.org/"} +{"d:Title": "Muncaster Castle", "d:Description": "The official site offers some photographs and visitor information on the building in the Lake District, now more country house than castle, that has been the home of the Penningtons since 1208.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.muncaster.co.uk/"} +{"d:Title": "Southsea Castle", "d:Description": "Built in 1544, the castle in Portsmouth was part of a series of fortifications constructed by Henry VIII around England's coasts to protect the country from invaders.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.southseacastle.co.uk/"} +{"d:Title": "Paul's castle.", "d:Description": "A website dedicated to the local history of the Medway area of Kent, featuring photographs and information about both Rochester and Dover castles.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://myweb.tiscali.co.uk/castles/"} +{"d:Title": "Mountfitchet Castle", "d:Description": "A Norman motte and bailey castle and village that has been faithfully reconstructed on its original site in Essex. History, virtual tour, news and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.mountfitchetcastle.com/"} +{"d:Title": "Wigmore Castle", "d:Description": "Paul Wigmore provides images and a brief history of this ruined castle in Herefordshire, now in the care of English Heritage.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.paulwigmore.co.uk/wigmore.html"} +{"d:Title": "Bamburgh Castle", "d:Description": "The castle sits on a basalt outcrop overlooking the Farne Islands and Lindisfarne. It is home of the Bamburgh Castle Aviation Artefacts Museum.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.bamburghcastle.com/"} +{"d:Title": "Rochester Castle", "d:Description": "History and Photographs of the castle.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://home2.btconnect.com/Crusader-Product/Rochester-Castle.html"} +{"d:Title": "Hever Castle", "d:Description": "The childhood home of Anne Boleyn, tragic queen of King Henry VIII. Begun in the 13th century, it was converted into a comfortable Tudor house c.1505. News, history, visitor information, events.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.hevercastle.co.uk/"} +{"d:Title": "Castles in Cumbria", "d:Description": "The Cumbria Directory provides photographs, brief histories and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.thecumbriadirectory.com/Tourist_Attractions/castles.php"} +{"d:Title": "Stapleton Castle", "d:Description": "Provides history of the Herefordshire castle built by Hugh Fitz Osbern or his son during King Stephen's reign. With photos.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.castles99.ukprint.com/Essays/stapleton.html"} +{"d:Title": "Bodiam Castle", "d:Description": "The National Trust describes this celebrated castle, built from 1385 by Sir Edward Dalyngrigge. History, images, facilities, opening times, news and events.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.nationaltrust.org.uk/bodiam-castle/"} +{"d:Title": "Scotney Castle", "d:Description": "National Trust site describing the castle, including visitor information, photographs, and a brief history.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.nationaltrust.org.uk/scotney-castle/"} +{"d:Title": "Bowes Castle | English Heritage", "d:Description": "Presents photos of the ruins of Henry II's 12th century keep in Durham. With visitor's guide.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "http://www.english-heritage.org.uk/visit/places/bowes-castle/"} +{"d:Title": "Warwick Castle", "d:Description": "The official site for the former home of the Earls of Warwick provides visitor information, illustrated timeline and history, guide and a virtual reality panorama.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/England", "url": "https://www.warwick-castle.com/"} +{"d:Title": "Dunluce Castle", "d:Description": "A history of the castle, visitor information and numerous internal and external photographs are provided.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Northern_Ireland", "url": "http://www.northantrim.com/dunlucecastle.htm"} +{"d:Title": "Castles of Antrim", "d:Description": "The Northern Ireland Tourist Board supplies brief histories and photographs of the Norman castles of Carrickfergus and Dunluce.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Northern_Ireland", "url": "http://www.geographia.com/northern-ireland/ukiant03.htm"} +{"d:Title": "Blair Castle, Scotland", "d:Description": "The official site does justice to the picturesque part-medieval, part-Victorian home of the Dukes of Atholl. A readable history is illustrated with beautiful photographs and 19th-century paintings. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.blair-castle.co.uk/"} +{"d:Title": "Cawdor Castle", "d:Description": "Official site for this medieval and 17th-century castle in Nairn, Scotland, home of the Cawdor family. History, online tour via sensitive plan, description of the gardens. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.cawdorcastle.com/"} +{"d:Title": "Campbell Castles", "d:Description": "The Clan Campbell Society (North America) provides photographs, histories, descriptions and visitor information on the Scottish castles associated with the clan.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.ccsna.org/castles/index.html"} +{"d:Title": "Scottish Castles, Stately Homes and Houses", "d:Description": "Sensitive map and list with brief details and expandable thumbnail photographs by Risto Hurmalainen, with links to official sites.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.dlc.fi/~hurmari/scotcastles.html"} +{"d:Title": "Castles of Perthshire", "d:Description": "Scottish Castles in Perthshire. Details for Blair, Doune, Castle Menzies, Huntingtower, Edinample, Drummond, Loch Earn and Methven.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.perthshire-scotland.co.uk/about2.htm"} +{"d:Title": "Castle of Mey", "d:Description": "The former holiday home of the late Queen Mother is the most northerly castle on the British mainland. Includes a brief history, images and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.castleofmey.org.uk/"} +{"d:Title": "Inveraray Castle", "d:Description": "Inveraray Castle, a magnificent Scottish castle and ancestral home of the Duke of Argyll provides a unique Scottish visitor experience.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.inveraray-castle.com/"} +{"d:Title": "Historic Drumlanrig Castle and The Queensberry Estate Scotland", "d:Description": "Drumlanrig, Historic Scottish castle&visitor attraction in Dumfries&Galloway, former Douglas stronghold&home to the Duke of Buccleuch KBE.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Scotland", "url": "http://www.drumlanrigcastle.co.uk/"} +{"d:Title": "Caernarfon Castle, North Wales", "d:Description": "Photograph and quotation from D.M. Robinson and Roger S. Thomas, \"Wales, Castles and Historic Places\", supplied by Data Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.data-wales.co.uk/castle1.htm"} +{"d:Title": "Caerphilly Castle", "d:Description": "Photograph and brief history from Data Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.data-wales.co.uk/castle5.htm"} +{"d:Title": "Conwy Castle, North Wales", "d:Description": "Archival photograph and brief history from Data Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.data-wales.co.uk/castle3.htm"} +{"d:Title": "Usk Castle", "d:Description": "The Friends of Usk provide a plan and illustrated history and propose urgent works and improvements to this Norman castle in Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://uskcastle.com/"} +{"d:Title": "Caldicot Castle, South Wales", "d:Description": "Photograph, very brief history and information on medieval banquets and weddings there from Data Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.data-wales.co.uk/castle6.htm"} +{"d:Title": "Penhow Castle, South Wales", "d:Description": "Photographs (external and internal) and visitor information supplied by Data Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.data-wales.co.uk/penhow1.htm"} +{"d:Title": "Raglan Castle, South Wales", "d:Description": "Two old postcard views and brief history from Data Wales.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.data-wales.co.uk/raglan.htm"} +{"d:Title": "Castles of Wales", "d:Description": "Location maps, photographs and information on over 400 castles and some abbeys and holy wells. Essays by experts on all aspects of the subject, glossary, bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.castlewales.com/"} +{"d:Title": "Carew Castle and Tidal Mill", "d:Description": "Norman castle which later became an Elizabethan residence. Includes an illustrated history, visitor information and activities events diary and social media link for Pembrokeshire Coast National Park.", "topic": "Top/Arts/Architecture/Building_Types/Fortifications/Castles/United_Kingdom/Wales", "url": "http://www.pembrokeshirecoast.org.uk/default.asp?PID=262"} +{"d:Title": "Cupolas of Capitalism - State Capitol Building Histories", "d:Description": "Featuring historic information about all the American state capitol buildings with architectural data, pictures, descriptions.", "topic": "Top/Arts/Architecture/Building_Types/Government_Buildings", "url": "http://www.cupola.com/html/bldgstru/statecap/cap01.htm"} +{"d:Title": "Government Buildings", "d:Description": "Images and details of a selection of government buildings from around the world in Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Government_Buildings", "url": "http://www.greatbuildings.com/types/types/government.html"} +{"d:Title": "The United States Capitol", "d:Description": "Official site maintained by the Architect of the Capitol provides historic and current information on the function and architecture of the Capitol, House and Senate buildings, and surrounding grounds.", "topic": "Top/Arts/Architecture/Building_Types/Government_Buildings", "url": "http://www.aoc.gov/"} +{"d:Title": "History of the United States Capitol: A Chronicle of Design, Construction, and Politics", "d:Description": "A lengthy Senate Document by William C. Allen in pdf format.", "topic": "Top/Arts/Architecture/Building_Types/Government_Buildings", "url": "http://www.access.gpo.gov/congress/senate/capitol/"} +{"d:Title": "Courthouses of Texas in 3D", "d:Description": "Jeffrey L. Cooper's photographs of the best of Texas courthouses in 3D, with architects and dates of construction. Includes viewing instructions.", "topic": "Top/Arts/Architecture/Building_Types/Government_Buildings", "url": "http://www.3dphoto.net/world/united_states/south/courthouses/courthouses3d.html"} +{"d:Title": "Foursquare Courthouse", "d:Description": "Kevin Coleman describes this American building type used for public buildings, together with its origins and significance, and gives numerous examples, many from Ohio.", "topic": "Top/Arts/Architecture/Building_Types/Government_Buildings", "url": "http://www.horizonview.net/~ihs/Buildings/Bldg_4SqCthse/Bldg_4SqCthse.html"} +{"d:Title": "The Palace of Westminster", "d:Description": "The official site explains the history of the Palace, with the present Houses of Parliament designed by Sir Charles Barry and Augustus Welby Pugin.", "topic": "Top/Arts/Architecture/Building_Types/Government_Buildings", "url": "http://www.parliament.uk/about/living-heritage/building/palace/"} +{"d:Title": "The Old Operating Theatre Museum", "d:Description": "Britain's oldest surviving operating theatre. Provides history of the museum, details of its facilities, a virtual tour and mailing list.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.thegarret.org.uk/"} +{"d:Title": "LIRR State Hospital Spurs", "d:Description": "Information on Long Island, New York state hospitals and how they were served by the Long Island Railroad.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.lirrhistory.com/hosp.html"} +{"d:Title": "Poorhouse Story", "d:Description": "Extensive site concerning the history of poorhouses.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.poorhousestory.com/"} +{"d:Title": "Kew Asylum Museum", "d:Description": "The Australian Science Academy Project explains its creation of a museum to document the history of this 19th-century former asylum in Victoria. Includes an illustrated history by Daniel Cass.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.asap.unimelb.edu.au/pubs/articles/asa97/KEWA.htm"} +{"d:Title": "History of the South Carolina Department of Mental Health", "d:Description": "Illustrated history of South Carolina State Hospital and the State Department of Mental Health.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.state.sc.us/dmh/history.htm"} +{"d:Title": "Fairfield Hills State Hospital", "d:Description": "Gallery of photographs of this former Connecticut mental hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.dobi.nu/fairfield/"} +{"d:Title": "Binghamton State Hospital", "d:Description": "Donna Thomas reports on the preservation prospects of this former mental hospital building in New York, designed by Isaac Perry.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.rodserling.com/bshospital.htm"} +{"d:Title": "Public Hospital in Colonial Williamsburg", "d:Description": "Article about this early mental hospital in Virginia", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.history.org/Almanack/places/hb/hbhos.cfm"} +{"d:Title": "Pennsylvania State Hospital for the Criminally Insane", "d:Description": "Brief architectural specification page concerning mental institution in Farview, Wayne County, Pennsylvania.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.philadelphiabuildings.org/pab/app/pj_display.cfm?RecordId=CED328A7-48D2-440E-8A2B1A62BA8B184C"} +{"d:Title": "Edgewood State Hospital", "d:Description": "Railroad-oriented page features some building photos of this Long Island, New York mental hospital which has long since been closed.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://arrts-arrchives.com/edgwd.html"} +{"d:Title": "Pennsylvania Hospital: History: Virtual Tour", "d:Description": "Illustrated virtual tour of hospital originally designed by architect Samuel Rhoads.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.uphs.upenn.edu/paharc/tour/tour2.html"} +{"d:Title": "The History of Rotherham's Hospitals", "d:Description": "Illustrated history of four United Kingdom hospitals.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://micklebring.com/hospitals.htm"} +{"d:Title": "Public Hospital", "d:Description": "Official page for the museum in the reconstructed Public Hospital at Colonial Williamsburg, Virginia; first opened in 1773.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.colonialwilliamsburg.com/almanack/places/hb/hbhos.cfm"} +{"d:Title": "Hospitals of Hamilton, Ontario", "d:Description": "Pre-World War I postcard images of medical hospital, asylum, and sanatorium postcards in Hamilton, Ontario, Canada.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.hamiltonpostcards.com/pages/hospitals.html"} +{"d:Title": "Norwich State Hospital", "d:Description": "Gallery of modern photos.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.dobi.nu/norwich/"} +{"d:Title": "Hospital Architecture In Montreal", "d:Description": "Mcgill University provides a searchable database of images, a case study of the Royal Victoria Hospital, and a bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://digital.library.mcgill.ca/hospitals/"} +{"d:Title": "Owosso Sanitarium", "d:Description": "Illustrated article about sanitarium at Gute Hill in Owosso, Michigan", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.shiawasseehistory.com/gute.html"} +{"d:Title": "The History of Oak Grove Hospital", "d:Description": "Vintage account at a genealogy site of the early history of the Oak Grove mental hospital in Flint, Michigan.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.usgennet.org/usa/mi/county/lapeer/gen/ch29/oakgrovehosp.html"} +{"d:Title": "New York State Inebriate Asylum", "d:Description": "Founded 1858 and designed by architect Isaac Perry. Now known as Binghamton State Hospital. Contains recent and vintage photos, historical info, rare documents, links, and forum.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://nysasylum.com/"} +{"d:Title": "Normansfield Hospital (UK)", "d:Description": "Photo gallery of Normansfield Hospital, founded by John Langdon Down in 1868 for people with learning disabilities.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.miriam-may.com/"} +{"d:Title": "Fairfield State Hospital", "d:Description": "Online history of Fairfield State Hospital in CT with photos.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.fairfieldstatehospital.com/"} +{"d:Title": "Former Lakeshore Psychiatric Hospital Project", "d:Description": "Web site dedicated to the history of the former Lakeshore Psychiatric Hospital in Toronto, Ontario. Contains patient files, and photo galleries.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://lsph.atspace.com/"} +{"d:Title": "Illinois State Institutions in the Early Days", "d:Description": "Overview of historic Illinois state institutions for the mentally ill, developmentally disabled, and other populations.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://genealogytrails.com/ill/institution.htm"} +{"d:Title": "The Canadian Red Cross Memorial Hospital Shrine", "d:Description": "A \"cyberspace shrine\" for the abandoned Canadian Red Cross Memorial Hospital, Maidenhead, England.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.crcmh.com/"} +{"d:Title": "The Changing Face of Borgess", "d:Description": "Early history including architectural information of Borgess Hospital, founded in Kalamazoo, Michigan in 1889.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.borgess.com/?pId=229"} +{"d:Title": "Pilgrim State Hospital", "d:Description": "Photographs of the abandoned buildings at Pilgrim State Psychiatric Center in Brentwood, New York.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://b.f11.org/photo/Pilgrim/"} +{"d:Title": "St. Joseph's Sanitarium and Bath House", "d:Description": "Genealogy-related page with image and early history of medical/spa sanitarium in Mt. Clemens near Detroit.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://freepages.genealogy.rootsweb.ancestry.com/~detroitchurches/page64.htm"} +{"d:Title": "Historic Asylums of America", "d:Description": "An attempt to catalog and present America's historic state hospitals (insane asylums) founded in the latter half of the 19th century.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.rootsweb.ancestry.com/~asylums/"} +{"d:Title": "Hastings Regional Center", "d:Description": "Rootsweb page with history of Hastings Regional Center in Nebraska.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.rootsweb.ancestry.com/~neadams/regional.htm"} +{"d:Title": "Glore Psychiatric Museum. Roadside America", "d:Description": "Road report and review of the Glore Psychiatric Museum at the former mental hospital site. St. Joseph, Missouri.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.roadsideamerica.com/story/2142"} +{"d:Title": "Burleson Sanitarium History Page", "d:Description": "History of Grand Rapids, Michigan sanitarium devoted to rectal disorders.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.burlesonsanitarium.org/"} +{"d:Title": "Northville Tunnels", "d:Description": "History of Northville mental hospital complex in Michigan, with many recent photos.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums", "url": "http://www.wacots.org/nt/"} +{"d:Title": "Byberry State Hospital", "d:Description": "Recent photographs by Shawn O'Boyle of abandoned mental hospital buildings at Byberry State Hospital in Pennsylvania.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Byberry_State_Hospital", "url": "http://oboylephoto.com/byberry/"} +{"d:Title": "Byberry", "d:Description": "Byberry Mental Hospital facts, pictures, maps, and advice.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Byberry_State_Hospital", "url": "http://www.angelfire.com/zine/WeirdUSA/byberry2.html"} +{"d:Title": "Haunted House - Byberry", "d:Description": "Haunted house page concerning Byberry State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Byberry_State_Hospital", "url": "http://www.angelfire.com/zine/WeirdUSA/byberryhaunt.html"} +{"d:Title": "Philadelphia State Hospital (Byberry)", "d:Description": "A site of photography and exploration of abandoned asylums, focusing on the Philadelphia State Hospital (aka Byberry), its history and present condition.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Byberry_State_Hospital", "url": "http://robbieknobbie.tripod.com/"} +{"d:Title": "Insane Asylum Number 3, Nevada, Missouri", "d:Description": "Page by London Irwin featuring several historic postcard images of the old Nevada State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.lyndonirwin.com/asylum.htm"} +{"d:Title": "Town Sees Red Over Police Vandalism (Weston, West Virginia)", "d:Description": "Article from Pittsburgh Post-Gazette from June 1999 about the former Weston State Hospital in West Virginia. The article gives equal attention to a police vandalism incident and plans to re-use the building.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.postgazette.com/regionstate/19990620paint4.asp"} +{"d:Title": "Michigan Historical Marker - Clinton Valley Center", "d:Description": "Michigan Historical Markers page on asylum building designed by Elijah E. Myers and completed in 1878.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.michmarkers.com/Pages/S0437.HTM"} +{"d:Title": "Clinton Valley Center - An Album of Images and History", "d:Description": "Historic information along with modern and historic images of the former Pontiac State Hospital in Michigan.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://historiccvc.8m.com/"} +{"d:Title": "Kirkbride's Hospital", "d:Description": "Historic District web page with detailed description of this pioneering Philadelphia hospital and its history.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://uchs.net/HistoricDistricts/kirkbride.html"} +{"d:Title": "Places in Worcester - Asylum For the Insane", "d:Description": "Community page with historic anecdotes from several submitters, and old postcard views.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.worcestermass.com/places/asylum.shtml"} +{"d:Title": "Fergus Falls State Hospital Complex", "d:Description": "Minnesota Historical Society page with history, context, modern color photos, and links to related historic buildings.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://nrhp.mnhs.org/property_overview.cfm?propertyID=18"} +{"d:Title": "St. Elizabeths Hospital", "d:Description": "Historic Medical Sites page concerning this federal government mental hospital in Washington, D.C., with recent photo of the center of the Kirkbride building.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.nlm.nih.gov/hmd/medtour/elizabeths.html"} +{"d:Title": "Elgin State Hospital Annex", "d:Description": "Postcard image of annex at Elgin State Hospital in Illinois, accompanied by description of early history.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://elginpostcards.tripod.com/elginstatehospitalannex.htm"} +{"d:Title": "Kirkbride Buildings", "d:Description": "Information on Thomas Story Kirkbride and his mental hospital plan. Includes photographs of several Kirkbride buildings.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.kirkbridebuildings.com/"} +{"d:Title": "The Demise of Pontiac State Hospital", "d:Description": "The Fabulous Ruins of Detroit site presents this well-documented and well-illustrated tour of the former Pontiac State Hospital (Clinton Valley Center) at a time when it had been partially demolished during 2000.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://detroityes.com/webisodes/2000/05pontiac_hosp/01Pontiac.htm"} +{"d:Title": "A Mental Hospital's Breakdown", "d:Description": "April 20, 2003 article from the Pittsburg Post-Gazette concerning history and pending demolition of Dixmont State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.post-gazette.com/lifestyle/20030420dixmont2.asp"} +{"d:Title": "Forgotten Photography", "d:Description": "A photographic journey by David and Karie Esmann through some of the historical asylums and state hospitals of the United States.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://www.forgottenphotography.com/"} +{"d:Title": "Institute of Penn. Hospital Getting a New Lease on Life", "d:Description": "Philadelphia Business Journal article concerning re-use of Dr Kirkbride's mental hospital building.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride", "url": "http://philadelphia.bizjournals.com/philadelphia/stories/1998/02/02/story8.html"} +{"d:Title": "Map and Index of the Ridges", "d:Description": "Ohio University provides a map, photographs, and building listings of The Ridges section of its campus, which was formerly the Athens mental hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Athens_State_Hospital", "url": "http://www.cats.ohiou.edu/athens/greens/theridges.html"} +{"d:Title": "Brattleboro Retreat (Vermont Asylum for the Insane)", "d:Description": "Nomination to include Brattleboro Retreat in the National Register. Includes detailed survey of buildings and other historic features on the site.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Brattleboro_Retreat", "url": "http://www.crjc.org/heritage/V02-34.htm"} +{"d:Title": "Danvers State Memorial Committee", "d:Description": "Committee devoted to restoring the cemetery at Danvers State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Danvers_State_Hospital", "url": "http://dsmc.info/"} +{"d:Title": "Jeremy Barnard Photography - Danvers State Lunatic Asylum", "d:Description": "A photographer's site featuring two photo galleries of Danvers State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Danvers_State_Hospital", "url": "http://www.jeremybarnard.com/"} +{"d:Title": "Danvers State Insane Asylum", "d:Description": "John Gray presents the history of this institution erected in 1878 in Massachusetts, to the design of prominent Boston architect Nathaniel Bradlee, and abandoned since June 1992. Includes plan and gallery of photographs.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Danvers_State_Hospital", "url": "http://www.danversstateinsaneasylum.com/"} +{"d:Title": "Abandoned State Hospital", "d:Description": "Several large interior and exterior photos of Northampton State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Northampton_State_Hospital", "url": "http://www.umass.edu/rso/mamo/asylum.html"} +{"d:Title": "Northampton State Hospital", "d:Description": "An in-progress effort to capture the history of the hospital as well as the developing plans for its reuse in the near future. Accompanies a course at Smith College on the evolution and transformation of the hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Northampton_State_Hospital", "url": "http://www.smith.edu/nsh"} +{"d:Title": "State Hospital for the Insane", "d:Description": "Shaun O'Boyle's photographic essay of the Northampton State Hospital covering both the interior and exterior of this huge complex.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Northampton_State_Hospital", "url": "http://www.oboylephoto.com/state_hospital/index.htm"} +{"d:Title": "Northampton State Hospital Photos by Mark Majeski, 2001", "d:Description": "Online exhibit of documentary photographs taken of the abandoned buildings in 2001, showing interior, exterior, architecture, disuse and neglect.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Northampton_State_Hospital", "url": "http://www.forbeslibrary.org/vewebsite/exhibit5/vexmain5.htm"} +{"d:Title": "Ken Scott Photography: Building 50", "d:Description": "Photographs of the main building of the former Traverse City State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Traverse_City_State_Hospital", "url": "http://www.kenscottphotography.com/ksp/b50/b50.html"} +{"d:Title": "The Village at Grand Traverse Commons", "d:Description": "Site concerning renovations and development of historic Traverse City State Hospital property by the Minervini Group. Business information, news, purchase and leasing opportunities.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Traverse_City_State_Hospital", "url": "http://www.thevillagetc.com/"} +{"d:Title": "Bryan Nielsen's Photography: Northern Michigan Asylum", "d:Description": "Black-and-white photographs showing the details around the buildings and grounds of the former Traverse City State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Traverse_City_State_Hospital", "url": "http://abandonedlandscapes.com/bw_architecture/tc1999/tcindex.htm"} +{"d:Title": "Heidi Johnson Photography: Asylum Folder", "d:Description": "Fine art photographer's black and white photographs of the former Traverse City State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Traverse_City_State_Hospital", "url": "http://www.photo.net/photodb/folder.tcl?folder_id=179614"} +{"d:Title": "World Champion Cow of the Insane", "d:Description": "Roadside America page concerning the grave of a champion milk cow on the farm grounds of the former Traverse City State Hospital.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Kirkbride/Traverse_City_State_Hospital", "url": "http://www.roadsideamerica.com/story/11273"} +{"d:Title": "Hospices Civils de Beaune", "d:Description": "The official site describes the history and organisation of this French hospital founded in 1443 by the Chancellor Nicolas Rolin. The older buildings are open to the public.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://www.hospices-de-beaune.com/"} +{"d:Title": "Innocenti Hospital", "d:Description": "Gloria Chiarini describes the Ospedale degli Innocenti in Florence, founded in 1419 for orphans and abandoned children, and designed by Filippo Brunelleschi. Part of The Florence Art Guide.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://www.mega.it/eng/egui/monu/ospinn.htm"} +{"d:Title": "Eastbridge Hospital, Canterbury", "d:Description": "The official site gives an illustrated introduction to the medieval Hospital of St. Thomas the Martyr, and Greyfriars Chapel, the only surviving part of a Franciscan friary. Includes visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://www.eastbridgehospital.org.uk/"} +{"d:Title": "Wikipedia: Ospedale degli Innocenti", "d:Description": "An illustrated article from the collaborative encyclopedia on the 'Hospital of the Innocents', a children's orphanage in Florence designed by Filippo Brunelleschi in 1419.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://en.wikipedia.org/wiki/Ospedale_degli_Innocenti"} +{"d:Title": "Wikipedia: English Medieval Hospitals and Almshouses", "d:Description": "The collaborative encyclopedia offers several articles on individual hospitals or almshouses founded in England in medieval times.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://en.wikipedia.org/wiki/Category:English_medieval_hospitals_and_almshouses"} +{"d:Title": "MuslimHeritage.com: The Modern Hospital in Medieval Islam", "d:Description": "A brief, illustrated article on the Islamic medieval hospital, taken from a longer scholarly article by Prof. Aydin Sayili which is also available in PDF format.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://www.muslimheritage.com/topics/default.cfm?ArticleID=624"} +{"d:Title": "The Gallery of the Hospital of the Innocents", "d:Description": "An illustrated description from Your Way to Florence of the gallery set in the early 15th-century orphanage designed by Filippo Brunelleschi.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://www.yourwaytoflorence.com/db/musei/innocent.htm"} +{"d:Title": "Soutra Aisle", "d:Description": "Brief mention of the excavation of a 14th-century Augustinian hospital in Scotland, with a photograph of a related standing building.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Medieval", "url": "http://www.scottish-places.info/features/featurefirst8386.html"} +{"d:Title": "Blue Ridge Sanatorium", "d:Description": "History and current status of Blue Ridge Sanatorium in Virginia.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Tuberculosis_Sanatoriums", "url": "http://www.faculty.virginia.edu/blueridgesanatorium/"} +{"d:Title": "Women's Ward Pavilions at Seaview Hospital", "d:Description": "Illustrated preservation page concerning New York City tuberculosis sanitarium constructed in 1909-1911. The buildings and terra-cotta ornamentation were designed by architect Raymond F. Almirall in the Mission Revival Style.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Tuberculosis_Sanatoriums", "url": "http://www.preserve2.org/seaview/wwp.htm"} +{"d:Title": "The Essex Mountain Sanatorium", "d:Description": "A chronicle of the life and death of a mental health hospital that was built in 1902 in Verona, New Jersey and abandoned in the 1970s. Includes photographs.", "topic": "Top/Arts/Architecture/Building_Types/Hospitals_and_Asylums/Tuberculosis_Sanatoriums", "url": "http://www.mountainsanatorium.net/"} +{"d:Title": "Lamontage House", "d:Description": "This interpretation centre provides a comprehensive guide to the development of housing in Qu\u00e9bec from the 18th century to today. Includes listings of heritage houses to visit in the region.", "topic": "Top/Arts/Architecture/Building_Types/Houses", "url": "http://www.maisonlamontagne.com/"} +{"d:Title": "Tropical House Design", "d:Description": "Vincent Fischer-Zernin displays his design to open the house to the warmth and nature of the tropics. Examples in Thailand and Laos. [English and German.]", "topic": "Top/Arts/Architecture/Building_Types/Houses", "url": "http://www.tropicaldesignfz.net/"} +{"d:Title": "Home Transfer", "d:Description": "A web project by artist Pat Badani investigating the impact of new technologies on the home. Interviews with architects Craig Brandt, James (Rusty) Smith, Jamie Horwitz, TJ McLeish, Zoka Skorup, Whookiat Heng and Anand Bahtt.", "topic": "Top/Arts/Architecture/Building_Types/Houses", "url": "http://www.hometransfer.org/"} +{"d:Title": "Gravel Springs Farm", "d:Description": "A late Federal style brick farmhouse, built in 1836 in the Shenandoah Valley of Virginia, now undergoing restoration. Illustrated history with references. Photographs of the setting.", "topic": "Top/Arts/Architecture/Building_Types/Houses", "url": "http://gravelspringsfarm.com/"} +{"d:Title": "Everingham Rotating House", "d:Description": "A 24-metre diameter octagon rotating house with a 3-metre, 360-degree verandah with exterior glass and steel walls. Features inside and outside galleries, FAQ and media references.", "topic": "Top/Arts/Architecture/Building_Types/Houses", "url": "http://www.everinghamrotatinghouse.com.au/"} +{"d:Title": "Flickr: Historic Homes", "d:Description": "Pictures of historic homes from any period in any country - both exterior and interior images.", "topic": "Top/Arts/Architecture/Building_Types/Houses", "url": "http://www.flickr.com/groups/historichomes/"} +{"d:Title": "Castle and Gardens of Freyr on the Meuse", "d:Description": "The official site of this grand Renaissance country house in Belgium provides an outline history, photographs and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country", "url": "http://www.freyr.be/"} +{"d:Title": "Biltmore Estate", "d:Description": "The official site for this grandiose 19th-century mansion in Asheville, North Carolina, designed by Richard Morris Hunt in the French Renaissance style. Brief history, photographs, visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country", "url": "http://www.biltmore.com/"} +{"d:Title": "Linden Hall", "d:Description": "The official site of this Pennsylvania mansion built by Sarah Cochran in 1913 includes photographs and a brief history. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country", "url": "http://www.lindenhallpa.com/"} +{"d:Title": "The Castle of Gola, Poland", "d:Description": "Cedric Gendaj gives a plan, images and history of this Renaissance house erected in 1580 by Leonard von Rohnau. Also information on the park and summer workcamps.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country", "url": "http://www.gola.pl/"} +{"d:Title": "Yin Yu Tang, A Chinese Home", "d:Description": "Interactive tour of a house that belonged to a merchant from the late Qing dynasty, and was originally located in southeastern China. From the Peabody Essex Museum. [Requires Flash].", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country", "url": "http://www.pem.org/yinyutang/"} +{"d:Title": "Belvedere House Gardens and Park", "d:Description": "An 18th-century hunting lodge in Ireland designed by German architect Richard Castle with garden follies. The official site includes a brief history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country", "url": "http://www.belvedere-house.ie/"} +{"d:Title": "The Argory", "d:Description": "Built in 1824 for Walter McGeough overlooking the River Blackwater in Dungannon, County Tyrone. Photograph, history and visitor information from Lodgings Ireland.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical", "url": "http://www.lodgings-ireland.com/argory/"} +{"d:Title": "Florence Court", "d:Description": "A fine mid-18th century Irish Palladian mansion, noted for its rococo plasterwork. Illustrated description, history and visitor information from Lodgings Ireland.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical", "url": "http://www.lodgings-ireland.com/florencecourt/"} +{"d:Title": "Drayton Hall", "d:Description": "A fine Georgian Palladian plantation house in Charleston, South Carolina, completed in 1742. Virtual tour and visitor information, including events and school programs.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical", "url": "http://www.draytonhall.org/"} +{"d:Title": "Houmas House Plantation and Gardens", "d:Description": "A grand estate in Louisiana with a Greek Revival style house built in 1840. Includes a history, description of the architecture, images and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical", "url": "http://www.houmashouse.com/"} +{"d:Title": "Ward Hall", "d:Description": "Antebellum Greek Revival mansion located in Georgetown, Kentucky, built for Junius Ward in 1856. History, photographs, publications and visitor information presented by the Ward Hall Preservation Foundation, Inc.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical", "url": "http://www.wardhall.net/"} +{"d:Title": "Dunsmuir Hellman House", "d:Description": "The official site includes an illustrated history of this mansion built in 1899 by Alexander Dunsmuir in Oakland, California, and designed by San Francisco architect J. Eugene Freeman.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical", "url": "http://www.dunsmuir-hellman.org/"} +{"d:Title": "Duff House", "d:Description": "This grand mansion in Scotland was commissioned in 1735 by William Duff, Lord Braco, from William Adam and is now a country house gallery. Provides a history with images including Adam's plan.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.duffhouse.org.uk/"} +{"d:Title": "Holkham Hall and Estate", "d:Description": "The official site of this grand Palladian mansion in Norfolk designed by William Kent for Thomas Coke, 1st Earl of Leicester. History, and images and descriptions of state rooms.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.holkham.co.uk/"} +{"d:Title": "Hatch Court", "d:Description": "A Palladian mansion in Hatch Beauchamp, Somerset, built of Bath stone in 1750. Photographs, description, history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.weavo.co.uk/hatch/hatchcourt.htm"} +{"d:Title": "Harewood House", "d:Description": "This stately home was designed by John Carr for Edwin Lascelles and built between 1759 and 1771. The official site provides a tour with history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.harewood.org/"} +{"d:Title": "Leighton Hall, Lancashire", "d:Description": "The stately home of the Gillow furniture making family, Leighton Hall was rebuilt in the Adam style in 1763. The official site gives an illustrated history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.leightonhall.co.uk/"} +{"d:Title": "Buscot Park", "d:Description": "Mansion built between 1779 and 1783 for Edward Loveden Loveden to designs by James Darley. The National Trust gives a history, details of the Faringdon art collection, and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.buscot-park.com/"} +{"d:Title": "Tatton Park", "d:Description": "This late Georgian mansion in Cheshire was designed by Samuel Wyatt for William Egerton. Includes history, photographs, events, and education.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.tattonpark.org.uk/"} +{"d:Title": "Paxton House", "d:Description": "Scottish Palladian mansion built in 1758 by John and James Adam. A few photographs, map and visitor information, educational options, events, exhibitions, details of the collections and family history.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.paxtonhouse.co.uk/"} +{"d:Title": "National Trust: Berrington Hall", "d:Description": "Georgian house designed by Henry Holland and set in parkland designed by \"Capability\" Brown. Includes history, photographs and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.nationaltrust.org.uk/berringtonhall/"} +{"d:Title": "Basildon Park", "d:Description": "The National Trust describes this Palladian house designed by John Carr for Sir Francis Sykes, who had made a fortune in India. Includes history, photographs and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://www.nationaltrust.org.uk/basildon-park/"} +{"d:Title": "Althorp", "d:Description": "The slick official site of the Spencer family ancestral home and garden, where Diana, Princess of Wales was buried. Includes an illustrated history and description of this Elizabethan house remodelled along Classical lines.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/Neo-Classical/United_Kingdom", "url": "http://spencerofalthorp.com/"} +{"d:Title": "Hammerwood Park", "d:Description": "The Greek Revival house near East Grinstead was built in 1792 to the designs of Benjamin Latrobe. Scholarly history by Michael Trinder and a virtual guided tour.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.hammerwood.mistral.co.uk/"} +{"d:Title": "Blenheim Palace", "d:Description": "This baroque masterpiece - a World Heritage site - was built (1705-22) for the 1st Duke of Marlborough to designs by John Vanbrugh. The official site supplies an illustrated history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.blenheimpalace.com/"} +{"d:Title": "Grimsthorpe Castle and Gardens", "d:Description": "The official site for this stately home in Lincolnshire, remodelled in 1715 to the design of Sir John Vanbrugh. Includes a few images and very brief history, amid the visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.grimsthorpe.co.uk/"} +{"d:Title": "Castle Howard", "d:Description": "One of England's grandest Baroque mansions, designed for Charles Howard, 3rd Earl of Carlisle, by John Vanbrugh and Nicholas Hawksmoor. History, images, news and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.castlehoward.co.uk/"} +{"d:Title": "Lulworth Castle", "d:Description": "Official web-site of this early 17th-century mock-castle in Dorset, gutted by fire in 1929 but partly restored and open to the public.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.lulworth.com/"} +{"d:Title": "Belchamp Hall", "d:Description": "The official site of this Queen Anne period family home in Suffolk has photographs, some history, and description of facilities offered.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.belchamphall.com/"} +{"d:Title": "Hudson's Historic Houses and Gardens", "d:Description": "The publishers of the guide to heritage properties in Great Britain and Ireland maintain this directory of links to web-sites of historic houses and gardens.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.hudsons.co.uk/"} +{"d:Title": "Sutton Park", "d:Description": "The official site of an early Georgian house in Yorkshire gives a history, photographic tour and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.statelyhome.co.uk/"} +{"d:Title": "Scotland's Castles and Historic Houses", "d:Description": "Articles, with photographs and plans, on Traquair, Mary Queen of Scots House, Linlithgow Palace, Hermitage Castle, Castle Urquhart, Crichton Castle, Strome Castle, Threave Castle and Caerlaverock Castle, from Scotland HolidayNet.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.aboutscotland.co.uk/castles/castles.html"} +{"d:Title": "Coleton Fishacre", "d:Description": "Article by Jane Johnson in Britannia on this Art Deco mansion in South Devon built in 1926 to the designs of Oswald Milne (1881-1967), assistant to Lutyens. Photographs, history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.britannia.com/history/chouses/fishacre.html"} +{"d:Title": "English Country Houses", "d:Description": "Britannia's collection of illustrated articles: regional studies and the histories of specific houses.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.britannia.com/history/c-house.html"} +{"d:Title": "Beaulieu Palace House", "d:Description": "Formerly the Great Gatehouse of Beaulieu Abbey, Palace House has been home to the Montagu family since 1538. The official site offers photographs and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.beaulieu.co.uk/"} +{"d:Title": "Longleat House and Safari Park", "d:Description": "The house is widely regarded as the best example of high Elizabethan architecture in Britain. Visitor information includes details of the library and archives assembled by the Thynne family since the 1540s.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.longleat.co.uk/"} +{"d:Title": "Chartwell House", "d:Description": "Sir Winston Churchill's family house, managed by the National Trust. Photograph and description of the collection of Churchill memorabilia.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.bigginhill.co.uk/chartwell.htm"} +{"d:Title": "The DiCamillo Companion to British and Irish Country Houses", "d:Description": "A database that aims to list every British and Irish country house from circa 1500 to 1945, standing or demolished. Also an online shop.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.dicamillocompanion.com/"} +{"d:Title": "Burton Constable Hall", "d:Description": "This Elizabethan house has been the home of the Constable family since it was first built. Photographs of superb later interiors, visitor information, teachers' resource packs.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.burtonconstable.com/"} +{"d:Title": "Renishaw Hall", "d:Description": "The stately home of the Sitwell family in Derbyshire, built mainly in the Georgian period around a 17th-century core. Brief history and engraving, visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.sitwell.co.uk/"} +{"d:Title": "Boughton House", "d:Description": "The palatial Northamptonshire home of the Dukes of Buccleuch and Queensberry. Includes history and tour of this Baroque house influenced by French architecture. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.boughtonhouse.org.uk/"} +{"d:Title": "Duncombe Park", "d:Description": "Baroque mansion set in several hundred acres of parkland. Information for visitors and teachers.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.duncombepark.com/"} +{"d:Title": "Layer Marney Tower", "d:Description": "This Italianate masterpiece built c.1515 is the tallest Tudor gatehouse in England and was originally intended to rival Hampton Court. Includes a history and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.layermarneytower.co.uk/"} +{"d:Title": "Hatfield House", "d:Description": "The official site for this magnificent Jacobean house built by Robert Cecil 1st Earl of Salisbury and still home to his descendants includes a brief history, visitor information and interactive aerial view.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.hatfield-house.co.uk/"} +{"d:Title": "Burton Court", "d:Description": "This 14th-century manor house in Herefordshire was re-fronted by 20th-century architect Sir Clough Williams-Ellis. Includes history and information on the holiday flat, exhibition and soft fruit farm.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.burtoncourt.com/"} +{"d:Title": "Turncroft Hall", "d:Description": "A history of this small country house in Lancashire, two centuries old and refronted in the simplified classicism of the early Victorian period.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.turncrofthall.co.uk/"} +{"d:Title": "Highcliffe Castle", "d:Description": "The official web site of this early Victorian romantic fantasy castle in Dorset, incorporating medieval stone-carving and stained glass from France, includes history and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.highcliffecastle.co.uk/"} +{"d:Title": "Coughton Court", "d:Description": "The National Trust describes the largely Elizabethan family home of the Throckmortons, built around a Tudor gatehouse. Includes history, images and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.coughtoncourt.co.uk/"} +{"d:Title": "St Michael's Mount", "d:Description": "Crowning an island off the coast of Cornwall is the home of the St Aubyn family, incorporating parts of the priory that once stood there. Includes history and room tour.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.stmichaelsmount.co.uk/"} +{"d:Title": "Waddesdon Manor", "d:Description": "This astonishing Renaissance-style ch\u00e2teau was designed by French architect Destailleur in 1874 for Baron Ferdinand de Rothschild. Includes history, images and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.waddesdon.org.uk/"} +{"d:Title": "Woodchester Mansion", "d:Description": "A 19th-century masterpiece of Cotswold stone deserted during construction. History, photographs, restoration, conservation training and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.woodchestermansion.org.uk/"} +{"d:Title": "Acton Court", "d:Description": "A Tudor courtier house built by Nicholas Poyntz at Iron Acton, near Bristol. Illustrated history and description of the house and grounds today, plus visitor information including calendar of events and location map.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.actoncourt.com/"} +{"d:Title": "Columbine Hall", "d:Description": "A moated manor house in Suffolk. Built around 1390 it has been through several phases of additions and alterations. History, images, and visitor/lodging information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.columbinehall.co.uk/"} +{"d:Title": "Owlpen Manor", "d:Description": "This fine Tudor manor house in the Cotswolds is open to the public. Includes a history of the manor and description of its architecture, and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.owlpen.com/"} +{"d:Title": "Haddon Hall", "d:Description": "The home of the Manners family in Derbyshire is one of the finest medieval and Tudor houses in England. Includes an online tour and family history.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.haddonhall.co.uk/"} +{"d:Title": "Lost Heritage", "d:Description": "Matthew Beckett provides a list of the many country houses which have been demolished in England since 1800, with images and history of a featured selection.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.lostheritage.org.uk/"} +{"d:Title": "Chatsworth House", "d:Description": "The magnificent home of the Duke and Duchess of Devonshire, remodelled to designs by Talman 1686-1707. Includes a history and highlights of the house, along with visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.chatsworth.org/"} +{"d:Title": "Mellerstain", "d:Description": "This great house in the Scottish Borders was begun in 1725, overseen by architect William Adam and completed 70 years later by Robert Adam. History, photographs, news and attractions.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.mellerstain.com/"} +{"d:Title": "Titsey Place, Oxted, Surrey", "d:Description": "The official site gives a history, guided tour and visitor information for this Georgian mansion set in extensive gardens.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.titsey.org/"} +{"d:Title": "Woburn Abbey", "d:Description": "The official site offers an illustrated history and tour of the Georgian stately home built on a monastic site. Visitor information, activities programme.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.woburn.co.uk/abbey/"} +{"d:Title": "Anglesey Abbey", "d:Description": "The National Trust describes this Augustinian priory in Cambridgeshire converted into a country house at the start of the 17th century. Includes history, photographs and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Country/United_Kingdom", "url": "http://www.nationaltrust.org.uk/anglesey-abbey/"} +{"d:Title": "Log Homes Council", "d:Description": "US national organization of manufacturers of log homes. Showcases a log home each month, offers log home information, and lists accredited members.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Log_Cabins", "url": "http://www.loghomes.org/"} +{"d:Title": "Morgan Log House, Towamencin, PA", "d:Description": "Brief history, description and visitor information on the 17th-century log house, home of Daniel Boone's grandparents.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Log_Cabins", "url": "http://www.fieldtrip.com/pa/53682480.htm"} +{"d:Title": "Log Cabins: Building Homes on the American Frontier", "d:Description": "Jackie Craven explains that today's log cabins may be spacious and elegant, but in the 1800s they reflected the hardships of life on the North American frontier.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Log_Cabins", "url": "http://architecture.about.com/library/weekly/aalogcabina.htm"} +{"d:Title": "PBS: Frontier House: Frontier Life", "d:Description": "Illustrated article by Christopher W. Czajka on the homes built on the United States frontier in the latter part of the 19th century. Covers the historical background and details of construction. Includes a short bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Log_Cabins", "url": "http://www.pbs.org/wnet/frontierhouse/frontierlife/essay4.html"} +{"d:Title": "Great Lakes School of Log Building", "d:Description": "Teaching the craft of log building in northern Minnesota. Provides a lengthy bibliography on the history and techniques of log construction.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Log_Cabins", "url": "http://www.schooloflogbuilding.com/"} +{"d:Title": "Lincoln Log Cabin State Historic Site", "d:Description": "The 1840s home of Thomas and Sarah Bush Lincoln is now an 86-acre living history farm run by the Illinois Historic Preservation Agency, which includes a replica of the Lincoln log cabin and two other farm houses of the period.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Log_Cabins", "url": "http://www.lincolnlogcabin.org/"} +{"d:Title": "Log Cabins in America: The Finnish Experience", "d:Description": "This lesson plan from the US National Park Service provides a history of the log cabin tradition and Scandinavian influence on American pioneers.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Log_Cabins", "url": "http://www.nps.gov/nr/twhp/wwwlps/lessons/4logcabins/4logcabins.htm"} +{"d:Title": "PAD Tiny Houses", "d:Description": "Portland Alternative Dwellings provides workshops and news, and sells e-books and downloadable plans.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Tiny", "url": "http://padtinyhouses.com/"} +{"d:Title": "Small House Bliss", "d:Description": "Photographs, floor plans and details of small houses across the globe.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Tiny", "url": "http://smallhousebliss.com/"} +{"d:Title": "Tiny House Blog", "d:Description": "Exploring the different tiny or small house structures available today.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Tiny", "url": "http://tinyhouseblog.com/"} +{"d:Title": "Tiny House Talk", "d:Description": "Discussion, news, photographs and videos of small, tiny, and micro houses. Links to builders, books, and blogs.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Tiny", "url": "http://tinyhousetalk.com/"} +{"d:Title": "Small Cabin", "d:Description": "A collection of personal experience and useful resources on building your own small cabin in the wilderness. Construction tips, off-grid living, heating, solar power source, nature and picture gallery, small cabin discussion forum.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Tiny", "url": "http://www.small-cabin.com/"} +{"d:Title": "Fener and Balat Row Houses", "d:Description": "A typological study by Serhat Cetinturk of the fa\u00e7ades of houses in this historic district of Istanbul, using maps, drawings and photographs from the archive of the Planning Department of Mimar Sinan University.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Town", "url": "http://www.angelfire.com/art2/fener_balat/"} +{"d:Title": "Cheong Fatt Tze Mansion", "d:Description": "A remarkable Chinese courtyard house in Malaysia, converted into an hotel. Built in the 19th century, it blends influences from east and west in its wealth of decorative detail. Includes history, restoration and virtual tour.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Town", "url": "http://www.cheongfatttzemansion.com/"} +{"d:Title": "Jacques Coeur's Palace, France", "d:Description": "This grand mansion in Bourges was built c.1450 by a minister to the king. Photographs and description from the official Bourges local authority site.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Town", "url": "http://www.bourges.fr/english/heritage/palais-jacques-coeur.php"} +{"d:Title": "Spencer House", "d:Description": "Fine example of an eighteenth-century aristocratic private palace in London, overlooking Green Park. Includes history, online tour via sensitive plan or list, and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Town/United_Kingdom", "url": "http://www.spencerhouse.co.uk/"} +{"d:Title": "St Mary's Lodge, London", "d:Description": "History, photographs, plans and current status of this grand early Victorian house in Stoke Newington, London N16, designed and constructed by the architect John Young for himself.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Town/United_Kingdom", "url": "http://www.stmaryslodge.co.uk/"} +{"d:Title": "Henley-in-Arden Heritage Centre, Warwickshire", "d:Description": "A part-14th-century house with crown post has become a heritage centre, displaying aspects of the past life of the town. History of Henley's market cross, visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Town/United_Kingdom", "url": "http://www.heritagehenley.org.uk/"} +{"d:Title": "The Mackintosh House", "d:Description": "A QuickTime virtual reality tour of the house of architect and designer Charles Rennie Mackintosh (1868-1928), with its original contents restored. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Town/United_Kingdom", "url": "http://www.gla.ac.uk/hunterian/collections/permanentdisplays/themackintoshhouse/"} +{"d:Title": "Porto-Colleoni-Thiene", "d:Description": "The official site gives an illustrated history and virtual tour of this Italian Renaissance villa with frescos and ornate 18th-century stables. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://www.castellodithiene.com/"} +{"d:Title": "Villa&Jardins Ephrussi de Rothschild", "d:Description": "Visit the historic home and landscaped gardens of an enlightened collector. History, collections, news, boutique, and opening times. Saint-Jean-Cap-Ferrat, France.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://www.villa-ephrussi.com/"} +{"d:Title": "Max Gate", "d:Description": "The Victorian villa which Thomas Hardy designed and built for himself in 1885. History, plan of the gardens, visits seminars and the Thomas Hardy Essay Competition.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://www.thomas-hardy.connectfree.co.uk/"} +{"d:Title": "The Roman Villa of Piazza Armerina, Sicily", "d:Description": "An illustrated room-by-room description by Ren\u00e9 Seindal of the villa with the richest collection of late Roman mosaics. Includes bibliography, plan and more than 200 photographs.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://sights.seindal.dk/sight/456_Villa_Romana_del_Casale.html"} +{"d:Title": "Villa Aldobrandini, Rome", "d:Description": "Paula J. Howarth tells the story of this villa built at the beginning of the 17th century by Cardinal Pietro Aldobrandini, with bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://www.paulahowarth.com/villa/"} +{"d:Title": "Wikipedia: Villa", "d:Description": "An illustrated definition and description of the various types of building which have been called villas from Roman to modern from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://en.wikipedia.org/wiki/Villa"} +{"d:Title": "Wikipedia: Palladian Villas of the Veneto", "d:Description": "An introduction to this cluster of works by Andrea Palladio and his students which are listed as a World Heritage site. The list of villas includes links to illustrated articles on specific villas.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://en.wikipedia.org/wiki/Palladian_Villas"} +{"d:Title": "Medici Villas in Florence and its Surroundings", "d:Description": "A photograph and description of each from Your Way to Florence.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://www.yourwaytoflorence.com/tourism/florence/medvilla.htm"} +{"d:Title": "Shaw's Corner", "d:Description": "The National Trust describes this Edwardian villa in Hertfordshire, the home of George Bernard Shaw 1906-1950. History, photographs, biography of Shaw, news and events.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://www.nationaltrust.org.uk/shaws-corner"} +{"d:Title": "Tibursuperbum : Villa d'Este", "d:Description": "About the villa in Italy designed by Pirro Ligorio, included in the UNESCO world heritage list. History, information and photos. Also contains information on the Villa Adriana.", "topic": "Top/Arts/Architecture/Building_Types/Houses/Villas", "url": "http://www.tibursuperbum.it/eng/monumenti/villadeste/Villa.htm"} +{"d:Title": "A Scottish Pineapple", "d:Description": "J.K. Gillon's illustrated description and discussion of the inspiration for the Dunmore Pineapple garden folly, built in 1761.", "topic": "Top/Arts/Architecture/Building_Types/Iconic", "url": "http://gillonj.tripod.com/ascottishpineapple/"} +{"d:Title": "Lucy the Elephant", "d:Description": "Photograph and brief history of this timber-built elephant in New Jersey from the Boardwalk catalog.", "topic": "Top/Arts/Architecture/Building_Types/Iconic", "url": "http://www.jerseyboardwalk.com/lucy.htm"} +{"d:Title": "Wigwam Motel", "d:Description": "Boasts unique 'americana' architecture in the form of concrete teepees. Includes pictures, history, and typical motel information. Rialto, California.", "topic": "Top/Arts/Architecture/Building_Types/Iconic", "url": "http://www.wigwammotel.com/"} +{"d:Title": "The Big Duck", "d:Description": "An illustrated history from Roadside America of the roadside farmstand in Long Island, United States, shaped like a duck to advertise that ducks and duck-eggs were sold there.", "topic": "Top/Arts/Architecture/Building_Types/Iconic", "url": "http://www.roadsideamerica.com/story/2173"} +{"d:Title": "Giant Shoe", "d:Description": "Roadside America takes a look at houses shaped like giant shoes in Pennsylvania and California: history, description and images.", "topic": "Top/Arts/Architecture/Building_Types/Iconic", "url": "http://www.roadsideamerica.com/story/2202"} +{"d:Title": "Coaching Inns", "d:Description": "An article from the Regency Collection describing the plan and function of inns catering to horse-drawn travelers, illustrated with historic engravings.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://homepages.ihug.co.nz/~awoodley/carriage/inns.html"} +{"d:Title": "Pub History", "d:Description": "Simon Fowler explains how to research the history of a public house. Includes a bibliography and a checklist of clues from the physical structure.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.sfowler.force9.co.uk/page_12.htm"} +{"d:Title": "Inns and Taverns of Warwickshire", "d:Description": "Data from F. White and Co.'s Warwickshire Directory of 1874.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.hunimex.com/warwick/inns-indx.html"} +{"d:Title": "The Mermaid Inn", "d:Description": "Built in 1420 as the principal inn of Rye, on the south coast of England, the Mermaid is a jettied timber structure. Includes brief history and images.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.mermaidinn.com/"} +{"d:Title": "Ye Olde Bulls Head Inn, Beaumaris, Isle of Anglesey", "d:Description": "This historic coaching inn, re-built in 1617 and much altered since, retains historic features. Includes history by Tomos Roberts and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.bullsheadinn.co.uk/"} +{"d:Title": "Brewery History Society", "d:Description": "Offers information about the society, including its events diary and reports on meetings. Lists the contents of its journal.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.breweryhistory.com/"} +{"d:Title": "Reynolds Tavern", "d:Description": "This Georgian tavern in Annapolis, Maryland, is one of the oldest in the United States and has been restored to its former use. Includes history, description and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.reynoldstavern.org/"} +{"d:Title": "The London Public House", "d:Description": "Lists data from census returns and trade directories on thousands of pubs, beer houses, taverns and hotels in London, Essex, Kent and Suffolk over the last 200 years. It includes a few images.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://londonpublichouse.com/"} +{"d:Title": "The Mission Inn", "d:Description": "This National Historic Landmark Hotel in Riverside, California is an astonishing assemblage of exotic architecture. Includes a history and virtual tour.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.missioninn.com/"} +{"d:Title": "Phipps Northampton Brewing Brewery Co Archive", "d:Description": "Provides a timeline of the brewery and old photographs of related pubs and off-licenses.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://phipps-nbc.co.uk/"} +{"d:Title": "New Inn Gloucester", "d:Description": "Originally built as a pilgrims' inn to house visitors to the shrine of King Edward II in Gloucester Cathedral, and rebuilt in 1455, one of the few medieval galleried inns existing today. Includes history, description of the facilities, and events hosted.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.newinngloucester.co.uk/"} +{"d:Title": "Pub Heritage: Historic Pub Interiors", "d:Description": "The Campaign for Real Ale (CAMRA) provides a database of historic pub interiors in the United Kingdom: images and descriptions. There is also an introduction to types of heritage pubs and a list of architectural terms.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.heritagepubs.org.uk/"} +{"d:Title": "Bristol's Lost Pubs", "d:Description": "A record of pubs and publicans from the mid-18th to the mid-20th century, gleaned from local trade directories and census returns. Includes a selection of old photographs.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://bristolslostpubs.eu/"} +{"d:Title": "Gloucestershire Pubs", "d:Description": "Geoff Sandles lists all public houses in the county, past and present, with supplementary information on breweries and landlords and old images.", "topic": "Top/Arts/Architecture/Building_Types/Inns_and_Public_Houses", "url": "http://www.gloucestershirecamra.org.uk/pubs/glospubs/new/"} +{"d:Title": "Bodleian Library", "d:Description": "Oxford University's page about its main research library, one of the oldest in Europe and which today consists of the buildings on the central site and nine other libraries.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://www.bodleian.ox.ac.uk/bodley"} +{"d:Title": "Great Buildings Online: Libraries", "d:Description": "Photographs, dates and discussions of a selection of the world's most outstanding library buildings from ancient to modern, with references.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://www.greatbuildings.com/types/types/library.html"} +{"d:Title": "The Sterling Memorial Library", "d:Description": "Contemporary description and photographs from the April 1931 issue of the Yale Library Gazette.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://www.library.yale.edu/gazette/"} +{"d:Title": "Wikipedia: Biblioteca Joanina", "d:Description": "The Baroque library of the University of Coimbra, built in the 18th century. An illustrated description from the collaborative online encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://en.wikipedia.org/wiki/Biblioteca_Joanina"} +{"d:Title": "Seattle Public Library", "d:Description": "Arcspace's page about the innovative design for the new Central Library by architect Rem Koolhass, with brief description and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://www.arcspace.com/architects/koolhaas/Seattle/"} +{"d:Title": "Glasgow University Library", "d:Description": "An illustrated timeline of one of the largest libraries in the United Kingdom, with its origins in the Middle Ages, but now housed in a building of the 1960s.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://special.lib.gla.ac.uk/exhibns/timeline/"} +{"d:Title": "Designing Libraries - Library Buildings Online", "d:Description": "A database of descriptions and images of recent UK public library building projects. A resource for sharing expertise and experience on library planning and design.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://www.designinglibraries.org.uk/"} +{"d:Title": "McLennan Library Building", "d:Description": "A seven-storey reinforced concrete structure built in 1969 at the McGill campus in Montreal and designed by Dobush, Stewart, and Bourke. Description and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://cac.mcgill.ca/campus/buildings/McLennan_Library.html"} +{"d:Title": "South Christchurch Library", "d:Description": "An environmentally friendly building opened in 2003 and winner of a supreme award for architecture at the NZIA awards. Designed by Architecture Warren and Mahoney. Links to photographs and further information about the building.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://library.christchurch.org.nz/South/"} +{"d:Title": "Pasadena Public Library", "d:Description": "A brief history from its founding in 1882, with illustrations and links to further details. The 1924 buildings designed by Myron Hunt and H.C.Chambers were restored and renovated between 1984 and 1990.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://www.cityofpasadena.net/library/brief-history.asp"} +{"d:Title": "Wikipedia: Danish Royal Library", "d:Description": "An illustrated description with a link to a Wikimedia Commons thumbnail gallery of images of the new (1999) 'Black Diamond' library extension building designed by the Danish architects Schmidt, Hammer and Lassen.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://en.wikipedia.org/wiki/Royal_Library,_Copenhagen"} +{"d:Title": "Wikipedia: Bibliotheca Alexandrina", "d:Description": "This huge modern library in Egypt designed by Norwegian architects Sn\u00f8hetta, commemorates the famous ancient Library of Alexandria. An illustrated description from the collaborative online encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://en.wikipedia.org/wiki/Bibliotheca_Alexandrina"} +{"d:Title": "Carnegie Libraries of Scotland", "d:Description": "An illustrated guide by Gerry Blaikie to the architecture and history of libraries funded by Andrew Carnegie in Scotland.", "topic": "Top/Arts/Architecture/Building_Types/Libraries", "url": "http://www.scotcities.com/carnegie/"} +{"d:Title": "Lighthouse Digest Magazine", "d:Description": "Electronic version of the international lighthouse magazine. Includes photographs, features, news, tours, cruises and the Doomsday List of endangered lighthouses. Archive of past issues.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.lhdigest.com/"} +{"d:Title": "Lighthouses of South Africa", "d:Description": "Tour of lighthouses from Cape Columbine to Danger Point, including Robben Island.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.lighthouses.co.za/"} +{"d:Title": "Dan's Lighthouse Page", "d:Description": "Former member of U.S. Coast Guard provides lighthouse history, the basics on aids to navigation, and other subjects.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://danslight.faithweb.com/index.html"} +{"d:Title": "Lighthouse Getaway", "d:Description": "Atmospheric photographs of lighthouses in North America and Ireland, organised by area, with history and description, from William A. Britten.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://lighthousegetaway.com/"} +{"d:Title": "World Lighthouse Encyclopedia", "d:Description": "Comprehensive listings from 84 countries.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://members.tripod.com/theworldslighthouses/index.htm"} +{"d:Title": "Lighthouses: A Photographic Journey", "d:Description": "Extensive amount of information and photographs of lighthouses from around the world.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.ipl.org/div/light/"} +{"d:Title": "The World Lighthouse Society", "d:Description": "Newsletter, publications and information on the executive board, subscriptions and membership.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.worldlighthouses.org/"} +{"d:Title": "Sea the Lights", "d:Description": "Robert de Via gives lighthouse facts and trivia, a glossary of terms, some photographs and links to related sites.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.seathelights.com/"} +{"d:Title": "Cyberlights Lighthouses", "d:Description": "Gary P. Richardson and Anna P. Klein present over 1500 photographs, together with information, maps, and directions, on lighthouses mainly in North America, with some in Europe.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.cyberlights.com/"} +{"d:Title": "The Lighthouse Directory", "d:Description": "Provides information, photographs and links for more than 8,300 of the world's lighthouses. List maintained by Russ Rowlett and the University of North Carolina.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.unc.edu/~rowlett/lighthouse/"} +{"d:Title": "Anatomy of a Lighthouse", "d:Description": "Information on materials, lantern room and optics, with cut-away diagram of lighthouse side view.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.seathelights.com/other/anatomy.html"} +{"d:Title": "World of Lighthouses", "d:Description": "Community for collecting photos of lighthouses around the world.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "http://www.lightphotos.net/"} +{"d:Title": "Historic Lighthouse Preservation Handbook", "d:Description": "Published by the US Government as a practical aid to maintenance of historic lighthouses. Explains the materials and construction techniques used in different types of lighthouse.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses", "url": "https://www.nps.gov/maritime/nhlpa/handbook.htm"} +{"d:Title": "Lighthouses of Cuba", "d:Description": "Data and statistics on lights in Cuba, with some photos.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Caribbean", "url": "http://www.unc.edu/~rowlett/lighthouse/cub.htm"} +{"d:Title": "American West Indies Light Stations", "d:Description": "Photos, data and information provided by the U.S. Coast Guard.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Caribbean", "url": "http://www.uscg.mil/history/weblighthouses/LHAWI.asp"} +{"d:Title": "Puerto Rico Light Stations", "d:Description": "Photos, data and information provided by the U.S. Coast Guard.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Caribbean", "url": "http://www.uscg.mil/history/weblighthouses/lhpr.asp"} +{"d:Title": "Northern Lighthouse Board", "d:Description": "Organization which maintain Aids to Navigation around the coast of Scotland and the Isle of Man", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe", "url": "http://www.nlb.org.uk/"} +{"d:Title": "Remarkable Estonian Lighthouses", "d:Description": "Photos with brief descriptions provided.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe", "url": "http://www.ekta.ee/html/6lighthouses.htm"} +{"d:Title": "Lighthouses in Italy", "d:Description": "Photos and information on lighthouses located at Venice, Sardegna and Sorrento/Capri.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe", "url": "http://www.cyberlights.com/lh/italy/italy_index.htm"} +{"d:Title": "Lighthouses in Mecklenburg, Vorpommern", "d:Description": "Photos and information on lighthouses in the Mecklenburg area of Germany.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe", "url": "http://www.m-vp.de/sehenswertes/leuchttuerme.htm"} +{"d:Title": "Lighthouses of Port of Vilagarcia de Arousa", "d:Description": "Color photographs and detailed historical information on lighthouses located in Spain.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe", "url": "http://www.portovilagarcia.es/en/services/aids-to-navigation.html"} +{"d:Title": "Lights of Ireland", "d:Description": "Contemporary color photographs by John Eagle, with brief historical overviews.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.lighthousegetaway.com/lights/eagle/eagle.html"} +{"d:Title": "Dunmore East Lighthouse", "d:Description": "Contemporary color photograph and data for light located on the Waterford shore.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/DunmoreE.html"} +{"d:Title": "Bailey Light", "d:Description": "Photo and information for lighthouse located in Howth, County Dublin.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/Baily.html"} +{"d:Title": "Howth Light", "d:Description": "Information on lighthouse located on the northern shore of Howth Head. Photo provided.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/Howth.html"} +{"d:Title": "Poolbeg Light", "d:Description": "Color photo and brief description provided.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/Poolbeg.html"} +{"d:Title": "Dun Laoghaire East Light", "d:Description": "Photo and information for lighthouse built in 1847.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/DunLaoghaireE.html"} +{"d:Title": "Dun Laoghaire West Light", "d:Description": "Photo and information on lighthouse located at the end of the West Pier of Dun Laoghaire Harbour.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/DunLaoghaireW.html"} +{"d:Title": "South Rock Lightship", "d:Description": "Lightvessel built in 1877 and anchored in Dun Laoghaire Harbour.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/SouthRockLS.html"} +{"d:Title": "Wicklow Head Light", "d:Description": "Color photo and information for light built in 1818.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.ipl.org/div/light/IRE/WicklowHead.html"} +{"d:Title": "The Skelligs Lighthouse", "d:Description": "Detailed, illustrated history and description of the two lighthouses built in 1826, one now derelict.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.skelligsrock.com/lighthouse.htm"} +{"d:Title": "Commissioners of Irish Lights", "d:Description": "Navigation and maritime services around the coast and isles. Includes picture library and extensive information on lighthouses, and location of headquarters in Dun Laoghaire, county Dublin.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/Ireland", "url": "http://www.irishlights.ie/"} +{"d:Title": "Trinity House", "d:Description": "This maritime organisation describes its functions. Includes photographs, specifications and information on a variety of lighthouses on the English coast.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/United_Kingdom", "url": "http://www.trinityhouse.co.uk/"} +{"d:Title": "Lighthouses in Cornwall, England", "d:Description": "Map showing location of nine lighthouses, plus historical information and technical details.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/United_Kingdom", "url": "http://www.cornwall-calling.co.uk/lighthouses/lighthouses.htm"} +{"d:Title": "Bell Rock Lighthouse", "d:Description": "Statistics, history, illustrations and information on light situated eleven miles off the county of Angus on the east coast of Scotland.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/United_Kingdom", "url": "http://www.bellrock.org.uk/"} +{"d:Title": "Museum of Scottish Lighhouses", "d:Description": "At Fraserbugh is the first lighthouse built on mainland Scotland and a museum housing artefacts donated by the Northern Lighthouse board and the public. Includes history, news and information for visitors.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Europe/United_Kingdom", "url": "http://www.lighthousemuseum.org.uk/"} +{"d:Title": "Lighthouse Information", "d:Description": "Galleries of expandable thumbnail photographs of lighthouses in the US and Canada, links to other lighthouse resources and poem 'The Old Lighthouse'.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America", "url": "http://www.nightcoaster.com/light/"} +{"d:Title": "Lighthouses", "d:Description": "Photographs by Don Uvick along with brief historical overview. Covers many in the U.S. and some in Canada.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America", "url": "http://www.creative-visions.com/litehse.htm"} +{"d:Title": "Lighthouses of the Quoddy Loop", "d:Description": "Brief overviews of lights within the western Bay of Fundy area of Maine and New Brunswick.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America", "url": "http://www.quoddyloop.com/lights.htm"} +{"d:Title": "Bermuda Lighthouses", "d:Description": "Photos and information on lighthouses at St. David's and Gibbs Hill.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America", "url": "http://www.cyberlights.com/lh/bermuda/bermuda_index.htm"} +{"d:Title": "Bermudalighthouse.com", "d:Description": "Photos, facts, history, hours of operation, directions and links.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America", "url": "http://bermudalighthouse.com/"} +{"d:Title": "St. David's Lighthouse", "d:Description": "Contemporary color photographs of light located in St. George's Parish, Bermuda.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America", "url": "http://www.us-lighthouses.com/displaypage.php?LightID=187"} +{"d:Title": "Lighthouses of British Columbia", "d:Description": "Locator map, photos and information on staffed, automated and decommissioned lights.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.fogwhistle.ca/bclights/"} +{"d:Title": "East Quoddy Lighthouse", "d:Description": "Information from The Friends of The Head Harbour Lightstation on this lighthouse located on Campobello Island, New Brunswick.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.campobello.com/lighthouse/index.html"} +{"d:Title": "Canada Lighthouses", "d:Description": "Photos and information on lights in Ontario and New Brunswick.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.cyberlights.com/lh/canada/canada_index.htm"} +{"d:Title": "Lighthouses by Don Uvick: Canada", "d:Description": "Photographer shares his color photographs along with descriptive information on selected lighthouses.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.creative-visions.com/canada.htm"} +{"d:Title": "East Quoddy Head Lighthouse", "d:Description": "Photos, history and locator map for lighthouse located on Campobello Island in the Bay of Fundy.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.campobello.com/lighthouse.html"} +{"d:Title": "Prince Edward Island Lighthouses", "d:Description": "Data, information and links provided.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.unc.edu/~rowlett/lighthouse/pei.htm"} +{"d:Title": "Nova Scotia Lighthouse Preservation Society", "d:Description": "Lists lighthouses in the province and gives details of a selection. Society membership information, news and events, newsletters and FAQs.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.nslps.com/"} +{"d:Title": "Race Rocks Light Station", "d:Description": "Provides history with vintage photos plus chart of lightkeepers from 1860 to 1997, when the light was automated.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.racerocks.com/racerock/history/histam.htm"} +{"d:Title": "Lighthouses of Ontario", "d:Description": "Photos and general information provided.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.us-lighthouses.com/displaystate.php?StateID=17"} +{"d:Title": "Grand Manan Lighthouses and Their Keepers", "d:Description": "Vintage and contemporary photos plus historical overview provided for lights in the Bay of Fundy.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.rootsweb.ancestry.com/~nbgrandm/Lighthouses/gmlighthouses.htm"} +{"d:Title": "Henry Island Lighthouse Preservation Society", "d:Description": "Vintage and contemporary photographs, information on the lighthouse keeper and historical overview of light located on Port Hood Island, Nova Scotia.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.henryisland.com/"} +{"d:Title": "Burncoat Head Park Light", "d:Description": "Located in Hants County on the Bay of Fundy. Information on park with picnic areas, walking trails, gardens, and the lighthouse.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www.burncoathead.com/"} +{"d:Title": "Toronto Harbour Lighthouse", "d:Description": "Photographs, directions and history", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/Canada", "url": "http://www1.toronto.ca/wps/portal/contentonly?vgnextoid=31a0dada600f0410VgnVCM10000071d60f89RCRD&vgnextchannel=34e9dada600f0410VgnVCM10000071d60f89RCRD"} +{"d:Title": "The American Lighthouse Foundation", "d:Description": "For the preservation of historic lighthouses. Includes the New England Lighthouse Foundation.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.lighthousefoundation.org/"} +{"d:Title": "Legendary Lighthouses", "d:Description": "Photo gallery and information on lighthouses in the United States. From the Public Broadcasting Network series of the same name.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.pbs.org/legendarylighthouses/"} +{"d:Title": "Chesapeake Bay Lights", "d:Description": "Identification of architectural style and information on date built, order of lens and whether or not light is still in service.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.lighthousegetaway.com/lights/chesapeake.html"} +{"d:Title": "Tybee Island Light Station", "d:Description": "Narrative history of the towers, lightkeepers' houses, Fresnel lens during the Civil War and related information. Located on Tybee Island, east of Savannah, Georgia.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://tybeelighthouse.org/"} +{"d:Title": "US Lighthouse Society", "d:Description": "Chesapeake Chapter (Virginia and Maryland). Includes photos, maps, club information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.cheslights.org/"} +{"d:Title": "Towers of the Atlantic Coast", "d:Description": "Information on lights from the Montauk Point Light at the tip of Long Island, New York south to St. Simons Light in Georgia.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.lighthousegetaway.com/lights/atlantic.html"} +{"d:Title": "Lighthouse Friends", "d:Description": "Photographs, description and history of U.S. lighthouses", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.lighthousefriends.com/"} +{"d:Title": "Lighthouses in the U.S.", "d:Description": "Photos and information on lights located in the northeast, Florida and California.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.cyberlights.com/lh/stateindex.htm"} +{"d:Title": "Lighthouses of the South", "d:Description": "Guide to lighthouses in Florida, Georgia, North and South Carolina. Includes location maps, personal observations, history and illustrations.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.bansemer.com/lighthouses.htm"} +{"d:Title": "Lighthouse Ratings.com", "d:Description": "Profiles top-rated lighthouses for historic significance and other criteria.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.lighthouseratings.com/"} +{"d:Title": "United States Lighthouse Society", "d:Description": "Nonprofit historical and educational organization provides information on programs, tours, projects and chapters.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.uslhs.org/"} +{"d:Title": "Nightbeacons", "d:Description": "Nighttime photographs of lighthouses in California, Oregon, Washington and North Carolina.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.nightbeacons.net/"} +{"d:Title": "Chesapeake Bay Lighthouse Project", "d:Description": "Photos, descriptions and historical information on lighthouses and lightships of the Chesapeake Bay region.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.cblights.com/"} +{"d:Title": "Delaware River and Bay Lighthouse Foundation", "d:Description": "An all-volunteer organization that serves as the caretaker for two of Delaware's nine remaining historic lighthouses - Harbor of Refuge Lighthouse and Delaware Breakwater East End.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.delawarebaylights.org/"} +{"d:Title": "Lighthouses, Lightships and Aids to Navigation", "d:Description": "Extensive information includes photos, history, data and statistics from the U.S. Coast Guard.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.uscg.mil/history/h_lhindex.asp"} +{"d:Title": "Rhode Island Lighthouse History", "d:Description": "Guide to Rhode Island lighthouses in Florida, Georgia, North and South Carolina. Includes location maps, history, illustrations, plans, government documents.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.rhodeislandlighthousehistory.info/"} +{"d:Title": "US Beacons", "d:Description": "Features a listing of U.S. lighthouses with mapped location and descriptions. The lighthouses can be found by searching by state, zip code, or name.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://www.usbeacons.com/"} +{"d:Title": "LiLee's Lighthouse Lane", "d:Description": "Photos and information on rescued lights, lighthouse preservation and the lights of New England, New York and New Jersey.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States", "url": "http://lileec.tripod.com/"} +{"d:Title": "Sand Island Lighthouse Preservation Group, Inc.", "d:Description": "News and information on lighthouse located off Dauphin Island in Alabama.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alabama", "url": "http://www.sandislandlighthouse.com/"} +{"d:Title": "Alabama Lighthouse Association", "d:Description": "Non-profit organization dedicated to the preservation of lighthouses in the U.S. state of Alabama. Newsletter, photographs, historical information, membership, and Alabama lighthouse memorabilia available. Accepts monetary donations on the website.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alabama", "url": "http://alabamalighthouses.com/"} +{"d:Title": "Lighthouses of Alabama News", "d:Description": "Blog with content on news associated with lighthouses in Alabama. Includes pictures, historical documents, and announcements of renovation projects.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alabama", "url": "http://alabamalighthouse.blogspot.com/"} +{"d:Title": "AnchorLight Lighthouse", "d:Description": "Located on Smith lake in Crane Hill, this lighthouse offers a bed and breakfast service to it's visitors on a first come first serve or reservation basis. Features picture gallery, list of things to do in the area, map, and contact information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alabama", "url": "http://anchorlight.net/"} +{"d:Title": "Cape Decision Lighthouse Society", "d:Description": "Dedicated to the preservation of the Cape Decision Lighthouse and surrounding wilderness areas for public recreation and education. Non-profit Alaskan corporation website with pictures, history, maps, and recommended links section.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alaska", "url": "http://capedecisionlight.org/"} +{"d:Title": "Alaska Lighthouse Association", "d:Description": "Image gallery, maps, and historical photos and data. Volunteer efforts made to restore Point Retreat at the north end of Admiralty Island near Juneau, Alaska.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alaska", "url": "http://www.aklighthouse.org/"} +{"d:Title": "Five Finger Lighthouse", "d:Description": "News, updates, volunteer information, photographs, history, and memorabilia for the lighthouse in Frederick Sounds, Alaska.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alaska", "url": "http://www.5fingerlighthouse.com/"} +{"d:Title": "Cape Saint Elias Lightkeepers Association", "d:Description": "Provides directions, history, reservation details, and photos for the Cape St. Elias Lighthouse on Kayak Island, Alaska.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alaska", "url": "http://kayakisland.org/"} +{"d:Title": "Eldred Rock Lighthouse", "d:Description": "Pictures, history, updates, and location details of the oldest original Alaskan Lighthouse.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Alaska", "url": "http://sheldonmuseum.org/Vignettes/eldredrock.htm"} +{"d:Title": "California Lighthouses", "d:Description": "Travel tips and interactive tour of lighthouses with photographs. Includes QuickTime panoramas and travelogue.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.erealms.com/californialighthouses/"} +{"d:Title": "California Lights", "d:Description": "Photographic survey and information about several lights, including Alcatraz Island, Point Bonita, Battery Point and Pigeon Point.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.lighthousegetaway.com/lights/calif.html"} +{"d:Title": "Pigeon Point Light Station", "d:Description": "History, tour information and photos.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.parks.ca.gov/?page_id=533"} +{"d:Title": "Old Point Loma Lighthouse", "d:Description": "Historical overview and color photo of decommissioned lighthouse in San Diego.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://lighthousegetaway.com/lights/ptloma.html"} +{"d:Title": "St. George Reef Lighthouse", "d:Description": "Non-profit organization preserving the historic structure of the off-shore lighthouse.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.stgeorgereeflighthouse.us/"} +{"d:Title": "Point Sur State Historic Park", "d:Description": "Information about guided tours, history and photos.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.pointsur.org/"} +{"d:Title": "Point Cabrillo Light Station", "d:Description": "Gives details on visiting hours, past maintenance and restoration, awards, and history for the Point Cabrillo lighthouse. Content also includes pictures, vacation rental prices, newsletter, museum hours of operation, and volunteer information,", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.pointcabrillo.org/"} +{"d:Title": "About.com California Lighthouses", "d:Description": "A guide to publicly-accessible locations on the California coast.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://gocalifornia.about.com/cs/calighthouse/a/calighthouse.htm"} +{"d:Title": "USAToday: Overnight Stays in California Lighthouses", "d:Description": "Article focused on providing information about the lighthouses in California that offer overnight accommodation for visitors.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://traveltips.usatoday.com/overnight-stays-california-lighthouses-61878.html"} +{"d:Title": "Central California Lighthouses", "d:Description": "Photographs, historic details, and updated visitor information on lighthouses in Central California.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.discover-central-california.com/california-lighthouses.html"} +{"d:Title": "Point Arena Lighthouse", "d:Description": "Reservation information, pictures, gifts and memorabilia, visitor reviews, and hours for tours and visits for the Point Arena light and museum.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/California", "url": "http://www.pointarenalighthouse.com/"} +{"d:Title": "New England Lighthouses: Connecticut", "d:Description": "Guide to Connecticut's coastal beacons, with history and photos.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Connecticut", "url": "http://lighthouse.cc/ct.html"} +{"d:Title": "Connecticut Lighthouses", "d:Description": "Thumbnail color photos and brief descriptions of a dozen of the state's lighthouses.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Connecticut", "url": "http://www.creative-visions.com/conticut.htm"} +{"d:Title": "Cyberlights: Connecticut Lights", "d:Description": "Contemporary color photos, lighthouse data and information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Connecticut", "url": "http://www.cyberlights.com/lh/conn/conn_index.htm"} +{"d:Title": "Connecticut Light Stations", "d:Description": "Descriptions, data and photos of light stations in the state. From the U.S. Coast Guard.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Connecticut", "url": "http://www.uscg.mil/history/weblighthouses/LHCT.asp"} +{"d:Title": "Connecticut Lighthouses", "d:Description": "List of publicly-accessible lighthouses, from the National Park Service Inventory of Historic Light Stations.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Connecticut", "url": "http://www.nps.gov/maritime/inventories/lights/ct.htm"} +{"d:Title": "Southern Delaware Tourism - Lighthouses", "d:Description": "Tourism guide focused on providing details about visiting lighthouses and historic lighthouse locations in southern Delaware.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Delaware", "url": "http://www.delmar-chamberofcommerce.com/southern_delaware_tourism/lighthouses.htm"} +{"d:Title": "Delaware Bay Lighthouse Keepers&Friends Association", "d:Description": "Focused on the preservation of the history of the Delaware Bay and River Lighthouses, Lightships and their Keepers. Contains merchandise for sale, membership information, newsletter, and calendar events.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Delaware", "url": "http://www.delawarebaylightkeeper-friend.org/"} +{"d:Title": "Florida Lighthouse Association", "d:Description": "A not-for-profit organization dedicated to the preservation of Florida's lighthouses and maritime heritage. Includes, membership, photos, news and contact details.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Florida", "url": "http://www.floridalighthouses.org/"} +{"d:Title": "Florida Lighthouses", "d:Description": "A synopsis of lighthouses in Florida.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Florida", "url": "http://www.lighthousegetaway.com/lights/florida.html"} +{"d:Title": "Florida Light Stations", "d:Description": "Historic light station information and photographs from the U.S. Coast Guard.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Florida", "url": "http://www.uscg.mil/history/weblighthouses/LHFL.asp"} +{"d:Title": "New Georgia Encyclopedia: Lighthouses of Georgia", "d:Description": "Lists some of the lighthouses within the USA state of Georgia along with their historic background, ecology, and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Georgia", "url": "http://www.georgiaencyclopedia.org/nge/Article.jsp?id=h-650"} +{"d:Title": "Visiting Coastal Georgia Lighthouses", "d:Description": "Article written about the lighthouses in the state of Georgia providing photos, visitor hours, fees, and museum information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Georgia", "url": "http://blog.savannahvisit.com/what-is-hot-in-savannah/ga-lighthouses/"} +{"d:Title": "ExploreGeorgia.org: Lighthouses", "d:Description": "Features all the lighthouses in the state of Georgia by providing pictures, historical information, hours of operation, museum details, maps, and directions.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Georgia", "url": "http://www.exploregeorgia.org/trip-ideas/must-see-attractions/georgia-lighthouses"} +{"d:Title": "Kilauea Lighthouse", "d:Description": "Interactive map, video, photography, and history of the Kilauea Lighthouse, Kauai.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Hawaii", "url": "http://www.aloha-hawaii.com/kauai/kilauea-lighthouse/"} +{"d:Title": "Lighthouses in Hawaii", "d:Description": "Itemized list of the lighthouses in Hawaii with pictures, maritime history, and maps.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Hawaii", "url": "http://www.hawaiiforvisitors.com/attractions/lighthouses.htm"} +{"d:Title": "Diamond Head Lighthouse", "d:Description": "History, images, maps, and events associated with the Diamond Head lighthouse in Oahu, Hawaii.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Hawaii", "url": "http://holoholo.org/transpac/dhlight/index.html"} +{"d:Title": "Hawaiian Lighthouses", "d:Description": "Providing details on the history, open dates, height, pictures, maps, and related websites in relation to lighthouses in Hawaii.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Hawaii", "url": "http://www.viamagazine.com/attractions/hawaiian-lighthouses"} +{"d:Title": "Grosse Point Lighthouse", "d:Description": "Official website. History, announcements, visitor information, photo gallery, and related links associated with the Grosse Point lighthouse in Evanston, Illinois.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Illinois", "url": "http://www.grossepointlighthouse.net/"} +{"d:Title": "Michigan City Old Lighthouse&Museum", "d:Description": "Official website. Maps, hours of operation, museum information, historic background, and related links for the Michigan City Old Lighthouse in Michigan City, IN.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Indiana", "url": "http://www.oldlighthousemuseum.org/"} +{"d:Title": "Lighthouse Place Premium Outlets", "d:Description": "Lighthouse that contains outlet shopping mall. Map, directions, hours of operation, pictures, and nearby attractions.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Indiana", "url": "http://www.premiumoutlets.com/outlets/outlet.asp?id=18"} +{"d:Title": "Cedar Lake Lighthouse", "d:Description": "Photographs, about page, reservation information, and directions for the lighthouse restaurant.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Indiana", "url": "http://www.cedarlakelighthouse.com/"} +{"d:Title": "Lighthouse Landing", "d:Description": "Official Website. Provides rooming details, pictures, maps, history, services available, nearby attractions, and directions for the lighthouse.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Kentucky", "url": "http://www.lighthouselanding.com/"} +{"d:Title": "The Lighthouse Restaurant", "d:Description": "Hours of operation, menu, history, contact information, and driving directions. Located in Edmonton, Kentucky.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Kentucky", "url": "http://www.eatatlighthouserestaurant.com/"} +{"d:Title": "Lighthouses - Cajunimages.com", "d:Description": "Find photographs of a handful of the lighthouses in the state of Louisiana.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Louisiana", "url": "http://www.cajunimages.com/lighthouses.htm"} +{"d:Title": "Exploring Louisiana\u2019s Lighthouses", "d:Description": "Provides a bit of history about some of the lighthouses in Louisiana. View pictures, contact information, and historical data.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Louisiana", "url": "http://www.myneworleans.com/Louisiana-Life/July-August-2011/The-Beacon-Beat/"} +{"d:Title": "The Range Light Keepers: Maine Lighthouses", "d:Description": "Custodians of Kennebec River Range Lights and Fiddlers Reach Fog Bell Tower. History, restoration effort, drawings, Kulik engraving, guest books, gallery, and links provided.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://www.rlk.org/"} +{"d:Title": "Maine Lights", "d:Description": "Guides visitors to lighthouses located along coastal Route 1.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://lighthousegetaway.com/lights/maine.html"} +{"d:Title": "Maine Lighthouses", "d:Description": "Description, photographs, statistics, details, news, and location for over 60 lighthouses.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://www.unc.edu/~rowlett/lighthouse/me.htm"} +{"d:Title": "The Lighthouse People: Maine Lighthouses", "d:Description": "A list with photographs of 65 lighthouses located within the state.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://www.thelighthousepeople.com/maine/index.html"} +{"d:Title": "Cyberlights: Maine", "d:Description": "Information on lights throughout the state, including photos, descriptions, directions and maps.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://www.cyberlights.com/lh/maine/maine_index.htm"} +{"d:Title": "Wood Island Lighthouse", "d:Description": "Concerns the restoration of Wood Island Lighthouse, includes history, mission statement, photographs, calendar of events, and a guest book.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://woodislandlighthouse.org/"} +{"d:Title": "North Atlantic Coast Lighthouses: Maine", "d:Description": "List of publicly accessible lighthouses located on the coast. Provided by the National Park Service with statistics, a photograph, and location information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://www.nps.gov/history/maritime/natlanlt.html#maine"} +{"d:Title": "Maine Light Stations", "d:Description": "Historic light station information and photographs from the U.S. Coast Guard.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maine", "url": "http://www.uscg.mil/history/weblighthouses/LHME.asp"} +{"d:Title": "Cove Point Lighthouse", "d:Description": "1985 color photograph of light on Cove Point, Chesapeake Bay, provided by the National Oceanic and Atmospheric Administration.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maryland", "url": "http://www.photolib.noaa.gov/htmls/line0662.htm"} +{"d:Title": "Turkey Point Lightstation Inc.", "d:Description": "Membership organization provides information on light in North East that was constructed in 1833.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Maryland", "url": "http://www.tpls.org/"} +{"d:Title": "Massachusetts Lights", "d:Description": "Descriptive information, history and large, contemporary photos of several lighthouses and stations in Massachusetts.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Massachusetts", "url": "http://lighthousegetaway.com/lights/cod.html"} +{"d:Title": "Massachusetts Lighthouses", "d:Description": "Links to information on lighthouses statewide.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Massachusetts", "url": "http://www.unc.edu/~rowlett/lighthouse/ma.htm"} +{"d:Title": "Cyberlights Lighthouse - Massachusetts Lighthouses", "d:Description": "Photos, maps and information on lighthouses located in state.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Massachusetts", "url": "http://www.cyberlights.com/lh/mass/mass_index.htm"} +{"d:Title": "Massachusetts Office of Travel&Tourism - Lighthouses", "d:Description": "Overview of the lighthouses, with photos and links to further information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Massachusetts", "url": "http://www.mass-vacation.com/history-and-heritage/lighthouses.php"} +{"d:Title": "Lighthouses in Massachusetts", "d:Description": "List of publicly-accessible lighthouses, from the National Park Service Inventory of Historic Light Stations.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Massachusetts", "url": "http://www.nps.gov/history/maritime/natlanlt.html#massachusetts"} +{"d:Title": "Massachusetts Light Stations", "d:Description": "List of lighthouses with statistics, general information and photos.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Massachusetts", "url": "http://www.uscg.mil/history/weblighthouses/LHMA.asp"} +{"d:Title": "New England Lighthouses: Massachusetts", "d:Description": "Guide to lighthouses in the state, with history and photos. Also provides information if overnight accommodations are available.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Massachusetts", "url": "http://www.newenglandlighthouses.net/massachusetts.html"} +{"d:Title": "Pointe Aux Barques Lighthouse Society", "d:Description": "Port Hope. Site contains information and links on the light, its construction, light keepers, and equipment. Also information about the Society and its efforts and the associated Thumb Underwater Bottomland Preserve.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.pointeauxbarqueslighthouse.org/"} +{"d:Title": "Grand Traverse Lighthouse", "d:Description": "Northport. Photos, history, exhibits, and events of this 1850 lighthouse.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.grandtraverselighthouse.com/"} +{"d:Title": "Michigan Lighthouse Conservancy", "d:Description": "Nonprofit group dedicated to preserving lighthouses and life-saving stations and their artifacts.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.michiganlights.com/"} +{"d:Title": "Upper Peninsula Lighthouses on Lake Superior", "d:Description": "A listing with links to lighthouses on Lake Superior which are open to the public.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.exploringthenorth.com/lights/lights.html"} +{"d:Title": "Granite Island Light Station - Lake Superior", "d:Description": "Photos, videos and history of the lighthouse plus information on its restoration.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.graniteisland.com/"} +{"d:Title": "Seeing The Light - The Lighthouses of Michigan", "d:Description": "Resource of historical information, keepers, seeing, and finding the lighthouses of the western Great Lakes.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.terrypepper.com/lights/state_michigan.htm"} +{"d:Title": "DeTour Reef Light Preservation Society", "d:Description": "This 1931 lighthouse is located at DeTour Passage at the northwestern head of Lake Huron. Site contains photographs, the history of the light plus information on preservation efforts.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.drlps.com/"} +{"d:Title": "South Channel Lights / Lake St. Clair", "d:Description": "Save our South Channel Lights was formed to raise public awareness and support for the preservation and restoration of the 1855 lights. Images, membership, contact information, and video.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.soschannellights.org/"} +{"d:Title": "Waugoshance Lighthouse Preservation Society", "d:Description": "Located in northern Lake Michigan, this site contains the history, photographs,and blueprints of the lighthouse; plus information on preservation efforts.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.waugoshance.org/"} +{"d:Title": "Straits of Mackinac Lighthouses", "d:Description": "Photograph's of lighthouses in the Mackinac Straits area. Includes satellite pictures, lighthouse seaplane tour, live bridge cam and links.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://mightymac.org/lights.htm"} +{"d:Title": "Great Lakes Lighthouse Keepers Association", "d:Description": "A non-profit organization who accumulate's and exchange's information about lighthouses and their keepers so that they may be interpreted and their preserved. Information on conferences, cruises, and membership.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.gllka.com/"} +{"d:Title": "Inventory of Historic Light Stations--Michigan Lighthouses", "d:Description": "From the National Park Service. An in depth inventory, with pictures, complete description of the light stations, accessibility, and links.", "priority": "1", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.nps.gov/history/maritime/light/mi.htm"} +{"d:Title": "Seul Choix Light House", "d:Description": "Vintage photograph, history and information on light located in the Upper Peninsula of the Gulliver area.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.greatlakelighthouse.com/"} +{"d:Title": "Old Mackinac Point Lighthouse", "d:Description": "A 1892 lighthouse complex run by Mackinac State Historic Parks. Facts and pictures of the lighthouse and current preservation efforts.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.mackinacparks.com/old-mackinac-point-lighthouse/"} +{"d:Title": "Ontonagon County Lighthouse", "d:Description": "This 1866 lighthouse is on Lake Superior constructed at Ontonagon. Site contains photographs and a description of the lighthouse, and its preservation efforts.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.ontonagonmi.org/lighthouse.html"} +{"d:Title": "Mendota Lighthouse", "d:Description": "The 1895 Bete Gris Light Station is on the Keweenaw Peninsula. Information and pictures about its evolution, lightkeepers, and conservancy. Also a tour, maps, articles, a live camera and links.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Michigan", "url": "http://www.mendotalighthouse.com/"} +{"d:Title": "Carol's Experience At The Two Harbors Lighthouse", "d:Description": "Location information, experiences, and pictures from the perspective of a woman who stayed at the Two Harbors Lighthouse for two days.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Minnesota", "url": "http://cdeminski.wordpress.com/2012/05/05/carol-slept-here-two-harbors-lighthouse/"} +{"d:Title": "Two Harbors Light Beacon", "d:Description": "Providing scenic photos, suggestions, near by attractions, related links, and historic information for the lighthouse.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Minnesota", "url": "http://www.superiortrails.com/two-harbors.html"} +{"d:Title": "Lighthouses - Gulf Coast Mississippi", "d:Description": "History, photo gallery, directions, and maps for the lighthouses of the Mississippi Gulf Coast", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Mississippi", "url": "http://lighthouses.danellis.net/"} +{"d:Title": "Coast Guard Mississippi Lighthouses", "d:Description": "Detailed historic information, photographs, and facts about the lighthouses in Mississippi, USA.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Mississippi", "url": "http://www.uscg.mil/history/weblighthouses/lhms.asp"} +{"d:Title": "Biloxi Lighthouse", "d:Description": "Pictures, information, and history associated with this Mississippi light station.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Mississippi", "url": "http://www.exploresouthernhistory.com/biloxilighthouse.html"} +{"d:Title": "Cardiff Hill Park Lighthouse and Statues in Missouri", "d:Description": "Find maps, directions, contact information, pictures, and visitor information for this light station.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Missouri", "url": "http://www.visitmo.com/cardiff-hill-park-lighthouse-and-statues.aspx"} +{"d:Title": "Mark Twain Memorial Lighthouse", "d:Description": "Latitude and longitude coordinates, pictures from tourists, map, directions, and visitor logs.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Missouri", "url": "http://www.waymarking.com/waymarks/WM1CRX_Mark_Twain_Memorial_Lighthouse_Hannibal_Missouri"} +{"d:Title": "New Hampshire Light Stations", "d:Description": "Lists the light beacons in the state of New Hampshire. Includes maps, contact information, accessibility details, brief historical data, and tour information for the lights in this state.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_Hampshire", "url": "http://www.visit-newhampshire.com/lighthouses"} +{"d:Title": "Lighthouse Kids", "d:Description": "A nonprofit organization dedicated to preserving and maintaining White Island, NH and the historic lighthouse there.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_Hampshire", "url": "http://www.lighthousekids.com/"} +{"d:Title": "New Jersey Lighthouse Society", "d:Description": "The NJLHS is non-profit, educational corporation dedicated to the history and preservation of lighthouses, particularly in the New Jersey, Delaware Bay and New York Harbor areas.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_Jersey", "url": "http://www.njlhs.org/"} +{"d:Title": "Cyberlights: New Jersey Lighthouses", "d:Description": "Includes photos, directions, and maps to sites around the state.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_Jersey", "url": "http://www.cyberlights.com/lh/nj/nj_index.htm"} +{"d:Title": "Cape Vincent Breakwater Light", "d:Description": "Contemporary color photograph and basic information. (Cape Vincent)", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.seathelights.com/ny/cape_vincent.html"} +{"d:Title": "Cedar Island Lighthouse", "d:Description": "Contemporary color photograph, map and brief historical overview provided. (East Hampton)", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.scroope.net/lighthouses/longisland/cedarisland/"} +{"d:Title": "Cold Spring Harbor Lighthouse", "d:Description": "Contemporary color photograph and brief historical overview provided for lighthouse which now sits on the lawn of a private residence.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.scroope.net/lighthouses/longisland/coldspringharbor/"} +{"d:Title": "Dunkirk Light", "d:Description": "Contemporary color photograph, facts, links and directions to lighthouse on Lake Erie that is also a museum. (Dunkirk)", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.seathelights.com/ny/dunkirk.html"} +{"d:Title": "Fire Island Lighthouse Preservation Society", "d:Description": "Provides lighthouse history, photo gallery and information on group tours, tower tours, school groups, weddings, volunteering and membership. (Patchogue)", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.fireislandlighthouse.com/"} +{"d:Title": "Montauk Lighthouse", "d:Description": "The oldest lighthouse in the state, authorized under President George Washington in 1792. Offers online gift shop, photos, events calendar and information on lighthouse museum. (Montauk)", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.montauklighthouse.com/"} +{"d:Title": "Selkirk Lighthouse", "d:Description": "Contemporary color photographs and factual information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.seathelights.com/ny/selkirk.html"} +{"d:Title": "Stoney Point Lighthouse", "d:Description": "Contemporary color photographs and basic information.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.seathelights.com/ny/stonypt.html"} +{"d:Title": "Stony Point Lighthouse", "d:Description": "Virtual tour provides photos and information on the light.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www2.lhric.org/spbattle/lgthse1.htm"} +{"d:Title": "Tibbetts Point Lighthouse", "d:Description": "Contemporary color photographs and historical overview.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.seathelights.com/ny/tibbetts_point.htm"} +{"d:Title": "Tibbetts Point Lighthouse", "d:Description": "Descriptive information, photos and events listing.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.capevincent.org/lighthouse/lighthouse_001.htm"} +{"d:Title": "Saugerties Lighthouse", "d:Description": "Lighthouse at the mouth of Esopus Creek built in 1869 and currently used as a bed and breakfast inn. Includes history, photographs and description of its restoration.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.saugertieslighthouse.com/"} +{"d:Title": "Montauk Lighthouse", "d:Description": "Contemporary color photograph and data provided for light on Long Island.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.easthampton.com/history/lighthouse.html"} +{"d:Title": "Hudson-Athens Lighthouse Preservation Society", "d:Description": "Exterior and interior photos, list of keepers since 1874, plus information on the organization that seeks to preserve the light.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.hudsonathenslighthouse.org/"} +{"d:Title": "The Charlotte-Genesee Lighthouse Museum", "d:Description": "Photos and history of the light provided. (Rochester)", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.geneseelighthouse.org/"} +{"d:Title": "Oak Orchard Harbor Light House", "d:Description": "Information on efforts to rebuild the lighthouse which stood until 1916 at the mouth of the Oak Orchard River at Point Breeze.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.oakorchardlighthouse.org/"} +{"d:Title": "National Lighthouse Museum", "d:Description": "Features mission statement, overview of organization, lighthouse locator and information on educational programs, lighthouse travel, and membership info.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.lighthousemuseum.org/"} +{"d:Title": "Ogdensburg Light", "d:Description": "Vintage illustration, facts and directions to the lighthouse at the mouth of the Oswegatchie River that is now a private residence.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.lighthousedigest.com/digest/StoryPage.cfm?StoryKey=3662"} +{"d:Title": "Buffalo Main Light", "d:Description": "Contemporary color photograph, facts and directions to light on the western end of the Erie Canal in Lake Erie.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.lighthousedigest.com/Digest/database/uniquelighthouse.cfm?value=376"} +{"d:Title": "Tibbett's Point Light", "d:Description": "Contemporary color photograph, facts, links and directions to lighthouse that is made of brick and stucco. (Cape Vincent)", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.lighthousedigest.com/Digest/database/uniquelighthouse.cfm?value=364"} +{"d:Title": "Selkirk Light", "d:Description": "Contemporary color photograph, facts and directions to lighthouse located at the entrance of the Salmon River on Lake Ontario.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.lighthousedigest.com/Digest/database/uniquelighthouse.cfm?value=365"} +{"d:Title": "Cedar Island Light", "d:Description": "Historical timeline, color photo and information on lighthouse that is currently at the start of a preservation effort. Located in Cedar Point County Park, northeast of Sag Harbor.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.longislandlighthouses.com/cedarisland.htm"} +{"d:Title": "Montauk Point", "d:Description": "Color images, historical timeline and information on lighthouse located on Turtle Hill.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/New_York", "url": "http://www.longislandlighthouses.com/montaukpt.htm"} +{"d:Title": "North Carolina Lighthouses", "d:Description": "History, location and facts about various lighthouses throughout the region.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/North_Carolina", "url": "http://nc_lighthouses.tripod.com/"} +{"d:Title": "Ashtabula Lighthouse Restoration and Preservation Society", "d:Description": "History of the Ashtabula lighthouse, photos plus information on membership.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Ohio", "url": "http://www.ashtabulalighthouse.com/"} +{"d:Title": "Ohio Lighthouses", "d:Description": "Interactive map showing location of lights along Lake Erie, with photos and information on each.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Ohio", "url": "http://www.cyberlights.com/lh/ohio/ohio_index.htm"} +{"d:Title": "Toledo Harbor Lighthouse Preservation Society", "d:Description": "Society to preserve, restore and memorialize the history of the lighthouse and to provide periodic public access. Site has history and information on meetings, festival, membership and other projects.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Ohio", "url": "http://toledoharborlighthouse.org/"} +{"d:Title": "Oregon Lighthouses", "d:Description": "Virtual tour with contemporary color photographs, historical information and data.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Oregon", "url": "http://www.lighthousegetaway.com/lights/oregon.html"} +{"d:Title": "Cape Meares Lighthouse and Wildlife Refuge, Inc.", "d:Description": "Support group for the lighthouse offers history, photos, maps, bird list, and tour.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Oregon", "url": "http://www.capemeareslighthouse.org/"} +{"d:Title": "Heceta Head Lighthouse", "d:Description": "Lighthouse preserved as a bed-and-breakfast. Includes information about tours and interpretive center.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Oregon", "url": "http://www.hecetalighthouse.com/"} +{"d:Title": "Yaquina Lights", "d:Description": "A non-profit organization that aids in the preservation and restoration of Yaquina Bay and Yaquina Head Lighthouses. Includes lighthouse photos, history, tours and rates, events, membership and volunteer information and a newsletter.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Oregon", "url": "http://www.yaquinalights.org/"} +{"d:Title": "Rhode Island Lighthouses", "d:Description": "A list of all Rhode Island lighthouses with current status and news about preservation and visitation. Links to additional resources.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Rhode_Island", "url": "http://www.unc.edu/~rowlett/lighthouse/ri.htm"} +{"d:Title": "Rhode Island Lighthouse History", "d:Description": "A history of lighthouses and lighthships from 1854 to the present.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Rhode_Island", "url": "http://www.rhodeislandlighthousehistory.info/"} +{"d:Title": "Cape Romain Light", "d:Description": "Internet Public Library exhibit with photo.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/South_Carolina", "url": "http://www.ipl.org/div/light/ATL/CapeRomain.html"} +{"d:Title": "Governor's Light", "d:Description": "Facsimile lighthouse dedicated to all of the state's Governors. Located in Little River.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/South_Carolina", "url": "http://www.ipl.org/div/light/ATL/Governors.html"} +{"d:Title": "North Island Lighthouse", "d:Description": "History and photographs of the light.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/South_Carolina", "url": "http://bansemer.com/north_carolina_lighthouses/georgetown_lighthouse.htm"} +{"d:Title": "Lighthouse Friends: Harbour Town Light", "d:Description": "Located in Sea Pines Resort; includes history, photos, and map.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/South_Carolina", "url": "http://www.lighthousefriends.com/light.asp?ID=330"} +{"d:Title": "South Carolina Light Stations", "d:Description": "Historic light station information and photographs from the U.S. Coast Guard.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/South_Carolina", "url": "http://www.uscg.mil/history/weblighthouses/LHSC.asp"} +{"d:Title": "Landlocked Lighthouse At Cedar City, UT", "d:Description": "Provides reports, news, maps, directions, and info on the Landlocked Lighthouse in Cedar City, UT.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Utah", "url": "http://www.roadsideamerica.com/tip/2295"} +{"d:Title": "Vermont Lighthouses", "d:Description": "Photography focused on the different light stations in Vermont, USA.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Vermont", "url": "http://www.briantague.com/VermontLights.html"} +{"d:Title": "The Lighthouse Directory: Virginia", "d:Description": "List of lighthouses in the state. Includes descriptions and pictures.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Virginia", "url": "http://www.unc.edu/~rowlett/lighthouse/va.htm"} +{"d:Title": "Lights of Virginia", "d:Description": "Photographs, descriptions, and historical information provided by the Chesapeake Bay Lighthouse Project.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Virginia", "url": "http://www.cblights.com/lights/jonespoint.html"} +{"d:Title": "Virginia Lighthouses", "d:Description": "Photographs and information from Phil Payette about existing and former lighthouses on the waterways and coast of Virginia.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Virginia", "url": "http://www.oocities.org/valights13/index.htm"} +{"d:Title": "A Brief History of New Dungeness Lighthouse", "d:Description": "Vintage and contemporary photos plus narrative description on light situated on a spit in the Strait of Juan de Fuca-Puget Sound in Washington state.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Washington", "url": "http://dungeness.com/lighthouse/history.htm"} +{"d:Title": "Mukilteo Historical Society", "d:Description": "Official website for the lighthouse keepers of the Mukilteo Light Station.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Washington", "url": "http://mukilteohistorical.org/"} +{"d:Title": "Washington State Light Beacons", "d:Description": "A digital collage of pictures taken by Karen Payne of light stations in the state of Washington.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Washington", "url": "http://pinterest.com/grammiekap/wa-state-lighthouses/"} +{"d:Title": "Wisconsin Lighthouses&Tours", "d:Description": "A list of all the light stations in Wisconsin. Includes maps, directions, tourism details, and contact info.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/North_America/United_States/Wisconsin", "url": "http://www.wisconline.com/attractions/lighthouses.html"} +{"d:Title": "Lighthouses of Australia Inc.", "d:Description": "A non-profit organisation to preserve, protect and promote Australian lighthouses and their history.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Oceania/Australia", "url": "http://www.lighthouses.org.au/"} +{"d:Title": "Grant and Tracey's Lighthouse Page", "d:Description": "Grant Maizels and Tracey Mitchell, visiting and photographing Australian Lighthouses.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Oceania/Australia", "url": "http://www.maizels.nu/lights/"} +{"d:Title": "Australian Lighthouses", "d:Description": "A web site by Kevin vk2ce with a complete listing of all Australian lighthouses.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Oceania/Australia", "url": "http://www.lighthouses.net.au/"} +{"d:Title": "The Great Pharos Lighthouse", "d:Description": "Account of the findings of an expedition to discover the lighthouse, along with its history and conjectures on its interior design, by Lee Krystek in his Museum of Unnatural Mystery.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Pharos_of_Alexandria", "url": "http://www.unmuseum.org/pharos.htm"} +{"d:Title": "The Pharos (Lighthouse) of Alexandria", "d:Description": "Image and description from CNN.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Pharos_of_Alexandria", "url": "http://www.cnn.com/TRAVEL/DESTINATIONS/9705/seven.wonders/lighthouse.html"} +{"d:Title": "Underwater Archaeological Investigation of the Pharos", "d:Description": "A Franco-Egyptian team inspected the submerged ruins of the ancient lighthouse of Alexandria in 1994-1998. An illustrated, scholarly report hosted by UNESCO.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Pharos_of_Alexandria", "url": "http://www.unesco.org/csi/pub/source/alex6.htm"} +{"d:Title": "The Pharos of Alexandria", "d:Description": "Illustrated history by Colin Clement of the ancient Egyptian lighthouse built c.290 BC and destroyed in the 14th century. Part of the Hellenic Alexandria site.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Pharos_of_Alexandria", "url": "http://www.greece.org/alexandria/pharos/"} +{"d:Title": "Pharos Lighthouse", "d:Description": "Provides technical data and chronology.", "topic": "Top/Arts/Architecture/Building_Types/Lighthouses/Pharos_of_Alexandria", "url": "http://structurae.net/structures/lighthouse-of-alexandria"} +{"d:Title": "Society for the Preservation of Old Mills", "d:Description": "A non-profit organization in the United States. Aims, board, publications, conference, event calendar, grants for repair and research, regional chapters, links.", "topic": "Top/Arts/Architecture/Building_Types/Mills", "url": "http://www.spoom.org/"} +{"d:Title": "Mills and Millers of Ireland", "d:Description": "The Society for the Preservation of Ancient and Traditional Irish Mills links members and mill enthusiasts throughout Ireland and beyond. Events, membership, mill links, newsletter and list of mills open to the public.", "topic": "Top/Arts/Architecture/Building_Types/Mills", "url": "http://www.millsofireland.org/"} +{"d:Title": "Windmills and Watermills of the Vend\u00e9e", "d:Description": "Angela Bird tours us around some of the best mills of this area of western France: photographs and descriptions. Part of a site drawing on her 'The Vend\u00e9e: An English Family Guide'.", "topic": "Top/Arts/Architecture/Building_Types/Mills", "url": "http://www.the-vendee.co.uk/mills.htm"} +{"d:Title": "SPAB Mills Section", "d:Description": "The UK's national organisation for anyone interested in the preservation of windmills and watermills. Part of the Society for the Protection of Ancient Buildings.", "topic": "Top/Arts/Architecture/Building_Types/Mills/United_Kingdom", "url": "http://www.spab.org.uk/mills/"} +{"d:Title": "The Mills Research Group", "d:Description": "A voluntary society which aims to encourage and conduct research into the traditional use of wind, water and animal power in the UK. Aims, conferences, publications.", "topic": "Top/Arts/Architecture/Building_Types/Mills/United_Kingdom", "url": "http://www.millsresearch.org.uk/"} +{"d:Title": "Sussex Mills Group", "d:Description": "Promotes the study, maintenance and history of traditional windmills and watermills in Sussex. Includes lists of mills with locations, photographs, events and news.", "topic": "Top/Arts/Architecture/Building_Types/Mills/United_Kingdom", "url": "http://www.sussexmillsgroup.org.uk/"} +{"d:Title": "The Roughwood Mill Album", "d:Description": "Photographs and postcards of English windmills and watermills, taken or collected by Mark Collins.", "topic": "Top/Arts/Architecture/Building_Types/Mills/United_Kingdom", "url": "http://www.roughwood.net/WindmillAlbum/WindmillFrames.htm"} +{"d:Title": "Midland Wind and Water Mills Group", "d:Description": "A voluntary, non-profit making society of mill enthusiasts in the Midland counties of England. Activities, publications, membership. List of mills in the Midlands area open to the public, with links.", "topic": "Top/Arts/Architecture/Building_Types/Mills/United_Kingdom", "url": "http://www.midlandmills.org.uk/"} +{"d:Title": "University of Kent: Wind and Watermills Collections", "d:Description": "The collections include around 4,000 photographs of windmills in Great Britain, photographed by Donald Muggeridge 1930s-1960s. Online catalogue by English and Welsh counties, with some images. Also the C.P. Davies Collection, which centres on Kent and Sussex mills.", "topic": "Top/Arts/Architecture/Building_Types/Mills/United_Kingdom", "url": "http://www.kent.ac.uk/library/specialcollections/mills/index.html"} +{"d:Title": "Pond Lily Mill Restorations", "d:Description": "Ted Hazen supplies extensive, illustrated information on the history and technology of flour milling in America, and the restoration of watermills, with bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills", "url": "http://www.angelfire.com/journal/pondlilymill/"} +{"d:Title": "The Old Mill, Pigeon Forge, Tennessee", "d:Description": "This 19th-century watermill is now part of The Old Mill Square complex of restaurants, bakery, pottery and craft shops. Includes an illustrated history and information for visitors.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills", "url": "http://www.old-mill.com/"} +{"d:Title": "The Birlot Tide Mill, Island of Brehat, France", "d:Description": "The sea mill on a small island in Brittany. Location, photographs, illustrated description of its workings, progress of its restoration. History only in French.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/Tidemills", "url": "http://www.bretagnenet.com/moulin_brehat/english/english.htm"} +{"d:Title": "Tidal Mills", "d:Description": "Summary of their invention and use, with source cited, by Paul Gans, Department of Chemistry, New York University, on his Medieval Technology Pages.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/Tidemills", "url": "http://scholar.chem.nyu.edu/tekpages/tidalmills.html"} +{"d:Title": "Portu Errota: Molino de Mareas", "d:Description": "An illustrated description of the only tide mill in operation in the Basque region, constructed in 1683. [Spanish, Basque and English].", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/Tidemills", "url": "http://www.arrakis.es/~errota/"} +{"d:Title": "The River Lea Tidal Mill Trust", "d:Description": "Aims to fully restore the House Mill in Bromley-by-Bow, London, built in 1776 but with medieval origins. Includes an illustrated history and description of the workings, with an animated diagram of how a tide mill works [which requires Flash].", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/Tidemills/United_Kingdom", "url": "http://www.housemill.org.uk/"} +{"d:Title": "Ford End Watermill", "d:Description": "A comprehensive guide along with photographs to Buckinghamshire's only working watermill with original machinery.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.fordendwatermill.co.uk/"} +{"d:Title": "Crabble Corn Mill, Dover", "d:Description": "History of this working mill built in 1812. Visitor information including an overview, schools, hospitality and events.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.ccmt.org.uk/"} +{"d:Title": "Little Salkeld Watermill, Cumbria", "d:Description": "The business site provides photographs and history of this 19th-century working mill.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.organicmill.co.uk/"} +{"d:Title": "Lyme Regis Town Mill", "d:Description": "This ancient watermill, operated by The Town Mill Trust, was restored in 2001. It includes a working grist mill, art galleries and craft studios. Description, illustrated history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.townmill.org.uk/"} +{"d:Title": "The Papertrail", "d:Description": "An activity-based industrial exploration centre built around Frogmore Mill in Hemel Hempstead, which has been making paper ever since it became the world\u2019s first commercial mechanised paper mill in 1803.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.thepapertrail.org.uk/"} +{"d:Title": "Charlecote Mill", "d:Description": "Description and history of this 18th-century Warwickshire watermill restored from 1978, but now under threat from proposed changes to the Avon. Includes visitor information and products.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.bedington.plus.com/charlecotemill/"} +{"d:Title": "Gleaston Water Mill", "d:Description": "This water-powered corn mill in Cumbria had its last major rebuild in the 1770s. Provides a history, plan of the site and information on local attractions.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.watermill.co.uk/"} +{"d:Title": "White Mill", "d:Description": "A retired 18th-century watermill, part of the National Trust's Kingston Lacy estate near Wimborne, in Dorset. Includes an illustrated history and online tour of the mill, visitor information and bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.whitemill.org/"} +{"d:Title": "Houghton Mill, Cambridgeshire", "d:Description": "This watermill on a tributary of the River Ouse in Cambridgeshire is now owned by the National Trust, which explains its history and gives visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Watermills/United_Kingdom", "url": "http://www.nationaltrust.org.uk/houghton-mill/"} +{"d:Title": "Windmills in Eastern Germany", "d:Description": "Reinhard Krause lists all 703 remaining windmills in this area, with photographs of a varied selection of them.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Windmills", "url": "http://www.muehlen-archiv.de/"} +{"d:Title": "Windmill World", "d:Description": "Mark Berry's extensive illustrated site about windmills, both historical and modern, covering the UK and worldwide. Includes mills for grinding, pumping, and power generation.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Windmills", "url": "http://www.windmillworld.com/"} +{"d:Title": "Medieval Windmills", "d:Description": "Scholarly outline of the development of both horizontal and vertical windmills by Paul Gans, Department of Technology, New York University, on his Medieval Technology Pages.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Windmills", "url": "http://scholar.chem.nyu.edu/tekpages/windmills.html"} +{"d:Title": "Findon Windmill, West Sussex", "d:Description": "Illustrated history of this 1823 post-mill by Valerie Martin, using primary sources. Part of Findon Village Antiquities.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Windmills/United_Kingdom", "url": "http://www.findonvillage.com/indwin.htm"} +{"d:Title": "Windmills and How They Work", "d:Description": "John Vince describes the development, structure and working of the principal types of windmill to be found in the British Isles.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Windmills/United_Kingdom", "url": "http://www.garfnet.org.uk/new_mill/autumn96/jv_mill.htm"} +{"d:Title": "Jack and Jill Windmills Society", "d:Description": "Restored traditional corn windmill in Clayton, West Sussex. Includes history, virtual tour, photographs, events, membership details, opening times, directions and map.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Windmills/United_Kingdom", "url": "http://www.jillwindmill.org.uk/"} +{"d:Title": "Windmills in Essex", "d:Description": "Essex County Council describes and illustrates the types of mill and their history in the county. Also covers mill preservation and restoration.", "topic": "Top/Arts/Architecture/Building_Types/Mills/Windmills/United_Kingdom", "url": "http://www.essex.gov.uk/Activities/Heritage/Documents/Windmills_In_Essex.pdf"} +{"d:Title": "The State Hermitage Museum, St. Petersburg, Russia", "d:Description": "The official site provides a virtual tour and history of the museum buildings: the Baroque Winter Palace (1754-1762) designed by Bartolomeo Rastrelli and the Neo-Classical Small Hermitage and Large Hermitage.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.hermitagemuseum.org/"} +{"d:Title": "Sch\u00f6nbrunn Palace, Austria", "d:Description": "The official site provides photographs and brief history of the magnificent Baroque imperial palace, with visitor information. The archive of plans, images and papers will be open to the public in future.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.schoenbrunn.at/"} +{"d:Title": "Neuschwanstein Castle", "d:Description": "The official site of this 19th-century fantasy castle built by King Ludwig II of Bavaria includes an illustrated building history, tour and biography of Ludwig.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.neuschwanstein.de/"} +{"d:Title": "Wonders of Az-Zahra and Other Andalusian Palaces", "d:Description": "Essay by Dr. A. Zahoor on the extravagant pleasure palaces built in the 10th century by Islamic potentates in Spain.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://cyberistan.org/islamic/zahra.html"} +{"d:Title": "Schloss Laxenburg, Austria", "d:Description": "Brief history and tour of the 18th-century former imperial palace, now the headquarters of International Institute for Applied Systems Analysis.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.iiasa.ac.at/docs/IIASA_Tour.html"} +{"d:Title": "Beijing Trip: Summer Palace", "d:Description": "A history, description and bird's eye map of the second largest imperial garden in China. The complex includes halls, temple and pagoda built around a lake.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.beijingtrip.com/attractions/summer/"} +{"d:Title": "Imperial Palaces of Petersburg", "d:Description": "Bob Atchison provides a virtual tour of the Alexander Palace, Pavlovsk, Yelagin, Gatchina, Catherine Palace, Winter Palace and Peterhof. Part of Russian History Websites.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.alexanderpalace.org/petepalaces/palaces.html"} +{"d:Title": "Northwest Palace of Ashur-nasir-pal II at Nimrud", "d:Description": "History of this Assyrian palace from Learning Sites, with a VRML model and bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.learningsites.com/NWPalace/NWPalhome.html"} +{"d:Title": "Palaces and Parks of Potsdam and Berlin", "d:Description": "Description of the ensemble of palaces and parks created by the 18th and 19th-century Prussian kings and German emperors - a World Heritage site. From Cartographic Information System on European Affairs.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.geog.fu-berlin.de/eurocis/whl/c532potsdam.shtml"} +{"d:Title": "The Royal Palace of Norway", "d:Description": "The official site for the Norwegian monarchy provides an illustrated history and virtual guided tour of the palace in Oslo designed by Danish-born Hans Linstow (1787-1851).", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.kongehuset.no/"} +{"d:Title": "Palaces", "d:Description": "Great Buildings Online gives images, information, commentary and bibliography on a selection of palaces around the world from Minoan to modern.", "priority": "1", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.greatbuildings.com/types/types/palace.html"} +{"d:Title": "The Topkapi Palace Museum", "d:Description": "Bilkent University Department of History provides a history of this grandiose Ottoman palace and guide to its architectural development. Includes a bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.ee.bilkent.edu.tr/~history/topkapi.html"} +{"d:Title": "Iolani Palace", "d:Description": "The residence of King Kalakaua and Queen Lili`uokalani, the last monarchs of Hawai`i, built 1879-82 in an \"American Florentine\" style. Includes history, photographs and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.iolanipalace.org/"} +{"d:Title": "Beijing Service: Summer Palace", "d:Description": "Offers an illustrated history and description this former imperial retreat in China, now a huge public park. Features a selection of its more than 3,000 buildings.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.beijingservice.com/summerpalace.htm"} +{"d:Title": "Wikipedia: Potala Palace", "d:Description": "An illustrated history and description of this impressive structure in Lhasa, Tibet, formerly the chief residence of the Dalai Lama.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://en.wikipedia.org/wiki/Potala_Palace"} +{"d:Title": "Wikipedia: Umaid Bhawan Palace", "d:Description": "An illustrated description and history from the collaborative encyclopedia of India's last palace. Begun in 1929, it was designed by H. V. Lanchester in an Indo-Art-Deco style.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://en.wikipedia.org/wiki/Umaid_Bhawan_Palace"} +{"d:Title": "The Hilltop Palace in the Fort of Daulatabad, India", "d:Description": "Carl Lindquist provides an illustrated description of the Mughal pavilion on the citadel of the Fort of Daulatabad, Maharashtra, India. Includes photographs, historical chronology, and information about the fort.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://sites.google.com/site/carllindquist/daulatabadfort"} +{"d:Title": "Esterhazy Palace", "d:Description": "This magnificent palace in Fertod, Hungary, was begun in 1720 by Prince J\u00f3zsef Esterh\u00e1zy. The official site gives a history of the palace, details of exhibitions and concerts, and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Palaces", "url": "http://www.esterhazy-palace.com/"} +{"d:Title": "Alhambra.org", "d:Description": "Information for those visiting the Alhambra. Includes a history and virtual tour with plan.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/Alhambra", "url": "http://www.alhambra.org/"} +{"d:Title": "Tour the Alhambra", "d:Description": "Columbia University provides an interactive tour of this magnificent Moorish palace in Granada. Animated map, photographs and video excerpts.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/Alhambra", "url": "http://www.mcah.columbia.edu/alhambra/flash/start.html"} +{"d:Title": "Versailles, France", "d:Description": "Elegant bilingual official site offering history, guided tour via an interactive map, 360-degree panoramas, gallery of masterpieces and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/France", "url": "http://www.chateauversailles.fr/"} +{"d:Title": "Wikipedia: Ch\u00e2teau de Fontainebleau", "d:Description": "An illustrated description and history from the collaborative online encyclopedia of the magnificent palace that acted as a country house for French monarchs for centuries.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/France", "url": "http://en.wikipedia.org/wiki/Ch%C3%A2teau_de_Fontainebleau"} +{"d:Title": "Tivoli: Villa d'Este", "d:Description": "This palace and garden created in 1550 for Cardinal Ippolito II d'Este is one of the most remarkable monuments of the Renaissance. Illustrated description, history and visitor information from TiburSuperbum.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/Italy", "url": "http://www.tibursuperbum.it/eng/monumenti/villadeste/"} +{"d:Title": "Wikipedia: Doge's Palace", "d:Description": "An illustrated history and description of the medieval palace created for the ruler of Venice in the days when it was an independent state.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/Italy", "url": "http://en.wikipedia.org/wiki/Doge's_Palace"} +{"d:Title": "Palace of Westminster", "d:Description": "The official site explains the history of the, including its only medieval survival, Westminster Hall, built by William Rufus 1097-99.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.parliament.uk/about/living-heritage/building/palace/"} +{"d:Title": "Leeds Castle, Kent", "d:Description": "A Norman castle on two islands in a lake was converted to a palace by Henry VIII. Now restored, it houses a magnificent collection of furnishings, tapestries and paintings.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.leeds-castle.com/"} +{"d:Title": "Historic Royal Palaces", "d:Description": "Official guide to the Tower of London, Hampton Court, Kensington Palace, the Banqueting House and Kew Palace. Images, histories and information for visitors.", "priority": "1", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.hrp.org.uk/"} +{"d:Title": "Greenwich Palace", "d:Description": "Britannia presents an illustrated history drawn from John Timbs 'Abbeys, Castles and Ancient Halls of England and Wales' of the palace destroyed c.1660, and the Queen's House designed by Inigo Jones.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.britannia.com/history/londonhistory/grw-pal1.html"} +{"d:Title": "Linlithgow Palace, Scotland", "d:Description": "About Scotland provides a plan and illustrated history of this ruined but still impressive former residence of Scottish kings and queens, now in the care of Historic Scotland.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.aboutscotland.com/linlith/gow.html"} +{"d:Title": "Windsor Castle", "d:Description": "The story of this great castle from fortification to palace, taken from P.H. Ditchfield's \"Bygone Berkshire\" (1896). Part of David Nash Ford's Berkshire History.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.berkshirehistory.com/castles/windsor_cast.html"} +{"d:Title": "Wikipedia: Palace of Whitehall", "d:Description": "An illustrated history of the main London residence of English monarchs from 1530 until 1698, from the online collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://en.wikipedia.org/wiki/Palace_of_Whitehall"} +{"d:Title": "Wikipedia: Holyrood Palace", "d:Description": "An illustrated history and description of the principal residence of the kings and queens of Scotland since the 15th century from the online collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://en.wikipedia.org/wiki/Holyroodhouse"} +{"d:Title": "The Royal Residences", "d:Description": "Queen Elizabeth provides illustrated histories of Buckingham Palace, Windsor Castle, Balmoral, Holyroodhouse, St James Palace, Kensington Palace, Sandringham and Frogmore, with visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.royal.gov.uk/TheRoyalResidences/ResChannel.aspx"} +{"d:Title": "Channel4: Big Royal Dig", "d:Description": "Time Team excavated in the gardens of Buckingham Palace, Windsor Castle and Holyroodhouse in 2006. Includes history and tours of the three buildings.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.channel4.com/programmes/time-team-big-royal-dig"} +{"d:Title": "Wayneflete Tower", "d:Description": "The impressive brick gatehouse which is all that remains of the palace of the Bishop of Winchester in Esher, Surrey. Its owner, Penny Rainbow, has written a history of the building.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.waynefletetower.co.uk/"} +{"d:Title": "The Royal Pavilion", "d:Description": "This opulent seaside home was remodelled in Indian style by John Nash 1815-1823 for George, Prince Regent and later George IV. Includes history, photographs, and historic images.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://brightonmuseums.org.uk/royalpavilion/"} +{"d:Title": "Eltham Palace and Gardens", "d:Description": "English Heritage describes this combination of medieval royal palace and Art Deco home. Includes virtual tours, history, games, downloadable activity sheets, news and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Palaces/United_Kingdom", "url": "http://www.english-heritage.org.uk/visit/places/eltham-palace-and-gardens/"} +{"d:Title": "Wikipedia: Pier", "d:Description": "An illustration description of piers of all types from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Piers", "url": "http://en.wikipedia.org/wiki/Pier"} +{"d:Title": "National Piers Society", "d:Description": "Promoting and sustaining interest in the preservation and continued enjoyment of Seaside Piers. News, images of surviving and lost British piers.", "topic": "Top/Arts/Architecture/Building_Types/Piers", "url": "http://www.piers.org.uk/"} +{"d:Title": "The Construction of the Pyramids", "d:Description": "An illustrated guide to the construction, architecture and the evolution of the design of the pyramids from the Egyptian Ministry of Tourism.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.touregypt.net/construction/"} +{"d:Title": "Pyramids: The Inside Story", "d:Description": "NOVA Online tours the Great Pyramid in QuickTime VR, explains how the pyramids were constructed and by whom. Also covers a 1997 excavation of the bakery that fed the pyramid builders.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.pbs.org/wgbh/nova/pyramid/"} +{"d:Title": "Pyramids", "d:Description": "The British Museum provides a readable, illustrated introduction to the pyramids of Ancient Egypt. Includes an interactive reconstruction of Khufu's pyramid complex.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.ancientegypt.co.uk/pyramids/"} +{"d:Title": "The Upuaut Project", "d:Description": "Computer-based study combined with robotic investigation of the shafts in the Great Pyramid of Cheops by engineer Rudolf Gantenbrink. He argues that they were not air shafts.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.cheops.org/"} +{"d:Title": "Great Pyramid of Khufu - El Giza, Egypt - Great Buildings Online", "d:Description": "Great Pyramid of Khufu by unknown architect, at El Giza, Egypt, -2600 to -2480, in the Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.greatbuildings.com/buildings/Great_Pyramid.html"} +{"d:Title": "The Pyramids", "d:Description": "An illustrated description of the evolution of the Egyptian pyramids and discussion of how they were built from King Tut One.com. Includes a virtual model of the Great Pyramid.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.kingtutone.com/pyramids/"} +{"d:Title": "Door Shuts on Pyramid's Mysteries", "d:Description": "The BBC reports that the secrets of Egypt's Great Pyramid of Cheops will remain locked up for another 12 months after a door blocks a miniature robot explorer.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://news.bbc.co.uk/2/hi/world/middle_east/2259838.stm"} +{"d:Title": "Pyramid of Man - The House of Going Forth by Day", "d:Description": "An illustrated discussion by Vincent Brown on the architecture of Old Kingdom pyramids, with bibliography. The focus is on the depiction of the figure of Osiris in the substructure of Khufu's pyramid.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.pyramidofman.com/"} +{"d:Title": "Egyptian Centres of Cult Worship", "d:Description": "Photographs and sectional drawings of the Egyptian pyramids.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://great-pyramid.info/inhalt/html/me/mstarte.htm"} +{"d:Title": "The Pyramids of Egypt", "d:Description": "Photographs by Frank P. Roy together with brief information, and site plans of pyramid complexes. Also a timeline and pyramid statistics, with sources, a map of Egypt and uncritical discussion of the Orion theory.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://egyptphoto.ncf.ca/"} +{"d:Title": "Engineering the Pyramids", "d:Description": "Professor Michel Barsoum describes evidence that parts of the Great Pyramids of Giza were built using an early form of concrete.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.materials.drexel.edu/Pyramids"} +{"d:Title": "Harvard Magazine: Who Built the Pyramids?", "d:Description": "Archaeologist Mark Lehner has discovered a city of pyramid workers. Illustrations include a conjectural drawing of the Giza plateau near the end of Khufu's reign.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://harvardmagazine.com/2003/07/who-built-the-pyramids.html"} +{"d:Title": "The Step Pyramid Complex of Djoser", "d:Description": "Clickable plan and images, provided by the University of Pennsylvania.", "topic": "Top/Arts/Architecture/Building_Types/Pyramids", "url": "http://www.arthistory.upenn.edu/zoser/zoser.html"} +{"d:Title": "Church House South Tawton, Devon, UK", "d:Description": "History, location, usage and restoration project of a 15th-century village hall built of granite and thatch. An outstanding example of a special type of medieval community building.", "topic": "Top/Arts/Architecture/Building_Types/Religious", "url": "http://www.thechurchhouse.org.uk/"} +{"d:Title": "The Metropolitan Cathedral of Liverpool (RC)", "d:Description": "The official site gives a history of this remarkable Modernist building completed in 1967. Designed by Sir Frederick Gibberd, the circular plan and spiked elevation is intended to evoke Christ's crown of thorns. Includes virtual tour and history.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://www.liverpoolmetrocathedral.org.uk/"} +{"d:Title": "Saint Gregory of Nyssa Episcopal Church", "d:Description": "Church in San Francisco that was designed to accommodate the congregation's unusual liturgical practices. The official site includes a tour.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://www.saintgregorys.org/"} +{"d:Title": "Ecclesiastical Architects and Surveyors Association", "d:Description": "EASA is a non-profit organisation in the UK which promotes good standards of design and repair of ecclesiastical buildings across all denominations.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://www.easanet.co.uk/"} +{"d:Title": "New Church Architecture Journal Launched", "d:Description": "A new magazine, Sacred Architecture, edited by Duncan Stroik and devoted to issues of church architecture from an orthodox Catholic perspective premiered in December.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://www.adoremus.org/299Murray.html"} +{"d:Title": "Roots of Modernist Church Architecture", "d:Description": "In this article from Adoremus Bulletin Duncan Stroik, professor of architecture at Notre Dame University, shows how the Church adopted the architecture of the secular realm.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://www.adoremus.org/1097-Stroik.html"} +{"d:Title": "The Roughwood British Churches Album", "d:Description": "Photographs of the interior and exterior features of historical churches in England.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://www.roughwood.net/ChurchAlbum/ChurchFrames.htm"} +{"d:Title": "Village Churches In and Around Peterborough", "d:Description": "Includes several hundred photographs of churches within 20 miles of Peterborough, England.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://www.robschurches.moonfruit.com/"} +{"d:Title": "Clifton Cathedral", "d:Description": "This boldly modern Roman Catholic Cathedral was among the first whose design followed the principles of the Second Vatican Council. Designed by the Percy Thomas Partnership, it was consecrated in 1973. The official site includes an illustrated description.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian", "url": "http://cliftoncathedral.org/"} +{"d:Title": "Romanian Monasteries", "d:Description": "Publishers Metaneira describe the Orthodox monasteries of Bucovina, noted for their exterior murals, and the timber churches of Maramures, with their wall paintings. Includes photographs, location maps and illustrations showing layout.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History", "url": "http://www.romanianmonasteries.org/"} +{"d:Title": "Churches and Monasteries", "d:Description": "Photos and information on structures in the Mecklenburg, Vorpommern region of Germany.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History", "url": "http://www.all-in-all.com/english/8020.htm"} +{"d:Title": "Quebec Religious Heritage Foundation", "d:Description": "Dedicated to the conservation of churches and other religious buildings in Quebec. Illustrated introduction and detailed, scholarly dissertations and monographs on specific buildings and topics.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.patrimoine-religieux.qc.ca/"} +{"d:Title": "Wodan Still Speaks", "d:Description": "Photographs by Guido Deseijn and Gerda Verheeke of Romanesque and Gothic sculptures on medieval religious buildings in Europe, suspected to represent the old pagan god Wodan or Odin.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.angelfire.com/retro/wodanspeaks/"} +{"d:Title": "Margaret Visser: The Geometry of Love", "d:Description": "A book exploring the basilican church of Sant Agnese fuori le Mura, Rome, rebuilt 625-38 to replace a 4th-century church. The author supplies her biography, reviews of the book and images with extracts from it.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.margaretvisser.com/"} +{"d:Title": "Boston Avenue United Methodist Church", "d:Description": "The official site of this towering Art Deco church in Tulsa, designed in the 1920s by Adah Robinson, gives a history and illustrated description, with commentary on its religious symbolism.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.bostonavenue.org/"} +{"d:Title": "First Congregational Church, Orwell, Vermont", "d:Description": "Photographs, 19th-century painting and history of this fine example of the Greek Revival style, built in 1842 by Frederick Bostwick and Fobes. Hosted by the University of Vermont.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.uvm.edu/~histpres/HPJ/NR/orwell/Orwellhist.html"} +{"d:Title": "Unity Temple, Oak Park, Illinois", "d:Description": "Oak Park Tourist provides an online tour with plan, photographs and history of the remarkable cubist concrete church designed in 1905 by Frank Lloyd Wright.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.oprf.com/unity/"} +{"d:Title": "Unity Temple, Oak Park, Illinois", "d:Description": "Official site of the remarkable cubist concrete church designed by Frank Lloyd Wright reproduces the original 1906 brochure with plans, drawings and the design philosophy for the building.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.unitytemple.org/"} +{"d:Title": "Barbados: Religion and Spiritual Retreats", "d:Description": "The Barbados Tourism Authority provides an interactive map of historic churches, leading to a photograph and outline history for each. The oldest is St George's (1784).", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://barbados.org/churches.htm"} +{"d:Title": "The New Jersey Churchscape", "d:Description": "Frank Greenagel records the 18th and 19th century churches of New Jersey. Provides a database and photographic inventory, features, events list.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://njchurchscape.com/"} +{"d:Title": "St. Brigid Catholic Church, San Francisco", "d:Description": "Noted for its Irish stained-glass windows, 19th-century Romanesque architecture, and its Ruffatti organ. History, description, expandable photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.st-brigid.org/index.html"} +{"d:Title": "Shrine of St. Michael, Italy", "d:Description": "History and tour via sensitive cutaway drawing of the shrine in Monte Sant'Angelo on the Gargano peninsula. The shrine in a natural cavern lies at the heart of a complex of medieval buildings.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.gargano.it/sanmichele/english/"} +{"d:Title": "Treasured Churches of Cincinnati", "d:Description": "A visual tour of the many Catholic churches of Cincinnati built since 1840, with their histories.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.aquinas-multimedia.com/church/"} +{"d:Title": "The Hanging Church, Cairo", "d:Description": "Illustrated description from Tour Egypt of the Coptic Church of St Mary, rebuilt in the 11th century. Its fascinating mixture of styles includes Corinthian capitals and Arabic-inspired ornament.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.touregypt.net/hangingchurch.htm"} +{"d:Title": "Divergent Paths: The Development of Newfoundland Church Architecture", "d:Description": "An illustrated essay by Prof Shane O'Dea from Newfoundland and Labrador Heritage, describing the distinctive architecture of churches in Newfoundland.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.heritage.nf.ca/society/church.html"} +{"d:Title": "Windows in Church Architecture", "d:Description": "A history of the use and form of windows in Christian houses of worship from the Catholic Encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.newadvent.org/cathen/15653b.htm"} +{"d:Title": "Churches and Cathedrals in 3D", "d:Description": "A collection of hundreds of 3D and 2D images of churches and cathedrals around the world by Jeffrey L. Cooper. Includes viewing instructions and map-based navigation.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.3dphoto.net/world/topics/churches/churches.html"} +{"d:Title": "The Churches of Rome", "d:Description": "Chris Nyborg's guide to churches of all denominations in this city central to the development of church architecture. Includes biographies of their architects and artists.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://romanchurches.wikia.com/wiki/Main_Page"} +{"d:Title": "Parishes of the Isle of Man and their Churches", "d:Description": "Frances Coakley provides histories of Manx parish churches illustrated with images, both modern and archival. Part of 'A Manx Notebook'.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.isle-of-man.com/manxnotebook/parishes/index.htm"} +{"d:Title": "Baptisteria Sacra", "d:Description": "An ambitious project by the University of Toronto aiming to create an iconographic index of baptismal fonts from the early Christian period to the 17th century. You are invited to contribute information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.library.utoronto.ca/bsi/"} +{"d:Title": "Churches in Florence", "d:Description": "Guide to the many medieval churches the city from Your Way to Florence: brief histories, some with photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches", "url": "http://www.yourwaytoflorence.com/tourism/florence/churches.htm"} +{"d:Title": "Historic Church at Heart of a Modern Row", "d:Description": "The Guardian reports the outcry from preservationists at the plan to return the Church of the Intercession - jewel of Moscow baroque - to church use.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Baroque", "url": "http://www.theguardian.com/world/2000/apr/11/iantraynor"} +{"d:Title": "Church of St. Theodore at Mistra, Greece", "d:Description": "Photograph of the 11th-century Byzantine church from Fordham University, New York.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Byzantine", "url": "http://www.fordham.edu/halsall/ikon/ca10.gif"} +{"d:Title": "Computer Model of the Hagia Sophia", "d:Description": "Project by Princeton University in 1996. Technical details of producing the model, some photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Byzantine", "url": "http://www.princeton.edu/~asce/const_95/const.html"} +{"d:Title": "Hagia Sophia", "d:Description": "Great Buildings Online provide photographs, 3D model, choice quotations and bibliography of the Byzantine masterpiece designed by Isidoros and Anthemios at Istanbul, Turkey, 532-7.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Byzantine", "url": "http://www.greatbuildings.com/buildings/Hagia_Sophia.html"} +{"d:Title": "San Vitale, Ravenna, Italy", "d:Description": "This octagonal church, built 526-47, is among the finest and least altered of all Byzantine buildings. Great Buildings Online provides a description and bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Byzantine", "url": "http://www.greatbuildings.com/buildings/San_Vitale.html"} +{"d:Title": "Great Buildings Online: Cathedrals", "d:Description": "Images and information on some of the best-known European cathedrals.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals", "url": "http://www.greatbuildings.com/types/types/cathedral.html"} +{"d:Title": "St. James Cathedral, Seattle", "d:Description": "The official site of this Catholic church includes a history of its construction 1905-7 to Renaissance-style designs by Heins and LaFarge, with bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals", "url": "http://www.stjames-cathedral.org/"} +{"d:Title": "Belgiumview: Belgian Cathedrals", "d:Description": "Pictures and background information about the most imporant Belgian cathedrals.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals", "url": "http://www.belgiumview.com/cathedral/"} +{"d:Title": "Acceuil, Rencontre, Communaute", "d:Description": "The UK branch of ARC provides free guided tours in European cathedals during the summer.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals", "url": "http://www.encounterarc.org.uk/"} +{"d:Title": "Monumental Churches of Antwerp (Belgium)", "d:Description": "Attractive introduction to the Gothic Cathedral of Our Lady and other historic churches and chapels: history, description, expandable thumbnail photographs. Official site of the diocese of Antwerp.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic", "url": "http://www.topa.be/"} +{"d:Title": "The Oeuvre Notre-Dame", "d:Description": "A detailed, illustrated history of Strasbourg Cathedral, with updates on a recent renovation. Building tool dictionary, glossary of Gothic architecture. In English, French, German.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic", "url": "http://www.oeuvre-notre-dame.org/"} +{"d:Title": "Wikipedia: Duomo di Milano", "d:Description": "An illustrated history and description of the second largest Gothic cathedral in the world from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic", "url": "http://en.wikipedia.org/wiki/Duomo_di_Milano"} +{"d:Title": "Amiens Cathedral", "d:Description": "A virtual tour presented by Columbia University. An exceptionally deep site, offering computer graphics, photography and architectural drawings, Shockwave and Flash animation.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/France", "url": "http://www.learn.columbia.edu/Mcahweb/index-frame.html"} +{"d:Title": "Chartres Cathedral", "d:Description": "San Jose State University presents this masterpiece of Gothic. History and virtual tour of stained glass windows, sculpture and architecture with plan and model.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/France", "url": "http://gallery.sjsu.edu/chartres/"} +{"d:Title": "Notre Dame de Paris", "d:Description": "An exploration from Earthlore: timeline, history and photographs of this Gothic masterpiece.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/France", "url": "http://elore.com/Gothic/History/Overview/paris.htm"} +{"d:Title": "Bourges Cathedral", "d:Description": "A lively, illustrated introduction to the Gothic Cathedral of St Etienne, begun at the end of the 12th century, from the official Bourges local authority site.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/France", "url": "http://www.bourges.fr/english/heritage/cathedral.php"} +{"d:Title": "Medieval English Cathedrals and Churches", "d:Description": "S.H. Shepherd's professional photos of Durham, Gloucester, Lincoln, Litchfield and Salisbury Cathedrals, along with some churches.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://myweb.lmu.edu/sshepherd/med.htm"} +{"d:Title": "St Giles' Cathedral, Edinburgh", "d:Description": "The official site includes history and images of the building, a large presbyterian church commonly known as a cathedral since it served that purpose for part of the 17th century.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.stgilescathedral.org.uk/"} +{"d:Title": "Salisbury Cathedral", "d:Description": "The attractive official site has a brief history and building chronology. An interactive map of the close leads to photographs, history and visitor information on the buildings around the cathedral.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.salisburycathedral.org.uk/"} +{"d:Title": "Medieval Cathedrals in England and Wales A-Z Guide", "d:Description": "Britain Express provides a tourist guide: thumbnail photographs, brief histories and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.britainexpress.com/Where_to_go_in_Britain/Cathedrals/Cathedrals1.htm"} +{"d:Title": "York Minster", "d:Description": "The elegant official site for the largest Gothic cathedral in northern Europe. Well-designed tour with photographs and brief historical details.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.yorkminster.org/"} +{"d:Title": "Ripon Cathedral", "d:Description": "The official site provides an outline history of the medieval minster which became a cathedral in 1836 and an educational tour, with clickable cutaway view leading to text and illustrations suitable for school use.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.riponcathedral.org.uk/"} +{"d:Title": "Winchester Cathedral", "d:Description": "The official site of this magnificent medieval church provides a history, plan showing building phases and features, illustrated description and a gallery of professional, expandable photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.winchester-cathedral.org.uk/"} +{"d:Title": "Lincoln Cathedral", "d:Description": "The official site provides an outline history with reconstruction drawings, and a well-designed tour: beautiful photographs, including close-ups, with brief explanations, and a clickable plan.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.lincolncathedral.com/"} +{"d:Title": "Gloucester Cathedral", "d:Description": "Britannia Internet Magazine supply photographs, an engraving, history (by David Nash Ford) and detailed architectural analysis with bibliography (by Stephanie James).", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.britannia.com/church/studies/gloscath.html"} +{"d:Title": "Exeter Cathedral", "d:Description": "The official web-site. Clear interactive plan, coloured to show building phases. Clicking on locations leads to large photographs and brief details, which can also be seen by following the tour.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.exeter-cathedral.org.uk/"} +{"d:Title": "Canterbury Cathedral", "d:Description": "The official site provides photographs, history and an interactive tour.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.canterbury-cathedral.org/"} +{"d:Title": "Winchester Cathedral: St. Swithun's Shrine", "d:Description": "An illustrated article in Britannia pieces together the evidence for the structure of this popular shrine destroyed in 1538. Bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.britannia.com/church/shrines/sw-shrine.html"} +{"d:Title": "Worcester Cathedral", "d:Description": "Photographs and an elevation drawing from Alison Stones, Images of Medieval Art and Architecture.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.pitt.edu/~medart/menuengl/mainwor.html"} +{"d:Title": "Gothic Architecture in England: The Cathedrals", "d:Description": "Amy Johansen's outline of the topic, using Wells, Lincoln and Gloucester as examples of the three main styles of Gothic Architecture. Includes bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://members.tripod.com/gothic_architecture/"} +{"d:Title": "St Machar's Cathedral, Aberdeen", "d:Description": "The official web-site delves into the medieval cathedral's Celtic roots and has a limited photo album.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.stmachar.com/"} +{"d:Title": "Hereford Cathedral History", "d:Description": "Text edited by David Nash Ford from Cathedrals (1924) with one photograph.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.britannia.com/history/herefords/churches/herefordcath.html"} +{"d:Title": "Gloucester Cathedral", "d:Description": "The official web-site has an outline history, detailed plan and gallery of beautiful photographs using natural lighting effects. Also visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.gloucestercathedral.org.uk/"} +{"d:Title": "Lichfield Cathedral", "d:Description": "The official web-site provides a history and floor-plan coloured to show dated building phases, with details of what is where. Photograph album has expandable thumbnails.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://lichfield-cathedral.org/"} +{"d:Title": "Exeter Cathedral Keystones and Carvings", "d:Description": "Avril Henry and Anna Hulbert's searchable explanatory catalogue of the medieval interior sculptures. Images, descriptions, introduction, notes and bibliography; clickable plan.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://hds.essex.ac.uk/exetercath/index.html"} +{"d:Title": "Rochester Cathedral", "d:Description": "Largely Gothic, though with some Romanesque architecture remaining. The official site provides history, virtual tour using a sensitive ground plan and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.rochestercathedral.org/"} +{"d:Title": "Chester Cathedral", "d:Description": "The official site gives history, description and visitor information. The church of the former Abbey of St Werburgh became a cathedral in 1541.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.chestercathedral.com/"} +{"d:Title": "St. Woolos Cathedral, Newport, Wales", "d:Description": "The official web-site supplies an outline history of the Norman and Gothic church adopted as the cathedral for the new diocese of Monmouth in 1929.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.churchinwales.org.uk/monmouth/people/cathedral/"} +{"d:Title": "Llandaff Cathedral", "d:Description": "The official site provides an illustrated history of this mainly Gothic cathedral on one of the oldest Christian sites in Britain.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.llandaffcathedral.org.uk/"} +{"d:Title": "Sheffield Cathedral", "d:Description": "Provides a history of this medieval church elevated to cathedral status in modern times.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.sheffieldcathedral.org/"} +{"d:Title": "Bradford Cathedral", "d:Description": "The official site includes a brief history, tour and images of this comparatively modest cathedral. The medieval parish church of St Peter became a cathedral in 1919.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic/United_Kingdom", "url": "http://www.bradfordcathedral.org/"} +{"d:Title": "Cathedral of St. John the Divine, New York", "d:Description": "The official site provides a history and virtual tour of this massive Gothic Revival church begun in 1892 and still unfinished. Ralph Adams Cram was the chief architect.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic_Revival", "url": "http://www.stjohndivine.org/"} +{"d:Title": "Christ Church Cathedral, New Zealand", "d:Description": "The official site includes a history and tour of the Victorian cathedral designed by George Gilbert Scott with adaptions and additions by Benjamin Mountfort.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic_Revival", "url": "http://www.christchurchcathedral.co.nz/"} +{"d:Title": "Saint Colman's Cathedral, Cobh, Ireland", "d:Description": "The official site includes a history of this of high watermark of neo-Gothic architecture by the architects Pugin and Ashlin, and information on the restoration programme.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Gothic_Revival", "url": "http://www.iol.ie/~gercasey/"} +{"d:Title": "Christ Church (Anglican) Cathedral, Waterford", "d:Description": "The only neo-classical Georgian cathedral in Ireland, designed by John Roberts (1714-1796). The official site includes a history and images, and a brief biography of its architect.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Ireland", "url": "http://www.christchurchwaterford.com/"} +{"d:Title": "St. Fin Barre's (Anglican) Cathedral, Cork", "d:Description": "The official site includes an illustrated history of this cathedral designed in 1862 by Willliam Burges, including a portrait and biography of the architect.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Ireland", "url": "http://cathedral.cork.anglican.org/"} +{"d:Title": "St Patrick's (Anglican) Cathedral, Dublin", "d:Description": "The official site supplies a brief history.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Ireland", "url": "http://www.stpatrickscathedral.ie/"} +{"d:Title": "St. Colman's Cathedral, Cobh, Co. Cork", "d:Description": "A history and photographs of the neo-Gothic cathedral designed by the architects Pugin and Ashlin. It took 47 years to build, starting in 1868.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Ireland", "url": "http://homepages.iol.ie/~gercasey/"} +{"d:Title": "St Columb's Cathedral Londonderry", "d:Description": "The official site includes a history and tour of this church completed in 1633 in the Gothic style.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Ireland", "url": "http://www.stcolumbscathedral.org/"} +{"d:Title": "Christ Church (Anglican) Cathedral, Dublin", "d:Description": "The cathedral of Holy Trinity, commonly called Christ Church, was founded by the Vikings, rebuilt by the Anglo-Normans restored by the Victorians under George Edmund Street. This attractive official site gives history, archive catalogue, images, and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Ireland", "url": "http://christchurchcathedral.ie/"} +{"d:Title": "Florence Cathedral", "d:Description": "The official site provides an illustrated history and bibliography of the famed Santa Maria del Fiore, with its octagonal baptistry and Renaissance cupola. Includes plans of mosaics and frescos.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.duomofirenze.it/"} +{"d:Title": "Florence Cathedral", "d:Description": "Great Buildings Online provide photographs, commentary and bibliography on the Gothic cathedral designed by master mason Arnolfo di Cambio, with a Renaissance dome added by Filippo Brunelleschi.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.greatbuildings.com/buildings/Florence_Cathedral.html"} +{"d:Title": "Orvieto Cathedral, Italy", "d:Description": "Photographs, commentary and bibliography on the Gothic cathedral designed by master mason Arnolfo di Cambio and built 1290 to 1500, provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.greatbuildings.com/buildings/Orvieto_Cathedral.html"} +{"d:Title": "Passing Through St. Peter's", "d:Description": "A gallery of beautiful images of the central cathedral of the Catholic faith provided by Eternal Word Television Network.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.ewtn.com/gallery/sp/sp1.htm"} +{"d:Title": "The Duomo, Siena", "d:Description": "A beautiful example of the convergence of Gothic and Romanesque - squat, round domes and arches offset by soaring towers. Expandable photographs and description from Digital Imaging Project.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.bluffton.edu/~sullivanm/sienacath/duomo.html"} +{"d:Title": "St. Peter's in Rome, Italy", "d:Description": "Images, commentary and bibliography on this great cathedral from Great Building Online.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.greatbuildings.com/buildings/St_Peters_of_Rome.html"} +{"d:Title": "Cathedral of S. Maria Assunta, Orte, Italy", "d:Description": "Photograph and brief history from Christus Rex.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.christusrex.org/www2/comuni/orte/catten.htm"} +{"d:Title": "Cathedral, Pisa, Italy", "d:Description": "Images, commentary and bibliography from Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.greatbuildings.com/buildings/Cathedral_of_Pisa.html"} +{"d:Title": "Wikipedia: Duomo di Milano", "d:Description": "An illustrated history and description of the second largest Gothic cathedral in the world from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://en.wikipedia.org/wiki/Duomo_di_Milano"} +{"d:Title": "Pisa in Virtual Reality", "d:Description": "Provides interactive 360-degree QuickTime panoramas of the superb architectural ensemble of Cathedral Square, the cloistered churchyard, Baptistry and Cathedral, with brief descriptions.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Italy", "url": "http://www.italyguides.it/en/tuscany/pisa"} +{"d:Title": "Durham Cathedral", "d:Description": "The official web-site includes some images.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "https://www.durhamcathedral.co.uk/"} +{"d:Title": "Norwich Cathedral", "d:Description": "The official site for this magnificent Romanesque and Gothic building supplies a gallery of expandable photographs and a description, outline history and bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.cathedral.org.uk/"} +{"d:Title": "Carlisle Cathedral", "d:Description": "Official guide to Carlisle Cathedral, founded in 1122, and one of the smallest cathedrals in England.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.carlislecathedral.org.uk/"} +{"d:Title": "St David's Cathedral, Wales", "d:Description": "The official site has a brief history and an interactive map, coloured to show dated building phases, which leads to photographs and details.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.stdavidscathedral.org.uk/"} +{"d:Title": "Canterbury Cathedral", "d:Description": "An illustrated report by Kevin Blockley and Paul Bennett on the discovery by the Canterbury Archaeological Trust of remains of the Anglo-Saxon cathedral. Plan and reconstruction drawing.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.hillside.co.uk/arch/cathedral/nave.html"} +{"d:Title": "Peterborough Cathedral", "d:Description": "The official site of the cathedral built as an abbey in 1118. An outstanding example of Norman architecture, with later additions. History, features and funding appeal.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.peterborough-cathedral.org.uk/"} +{"d:Title": "St Magnus Cathedral, Kirkwall, Orkney", "d:Description": "Journalist Sigurd Towrie provides a lively, illustrated history in 'Orkneyjar' of the cathedral founded in 1137 by Earl Rognvald Kolsson in memory of his sainted great-uncle Earl Magnus.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.orkneyjar.com/history/stmagnus/magcath.htm"} +{"d:Title": "St Magnus Cathedral", "d:Description": "Resources for Learning in Scotland prepared this database on the Norse cathedral begun in 1137: its history and present use. Includes audio and video clips.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://sites.scran.ac.uk/stmagnus/"} +{"d:Title": "Ely Cathedral", "d:Description": "Attractive official site for this magnificent Norman Cathedral, founded in 673 as a monastery by a Saxon princess. History and interactive map with photographs and details. Visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.elycathedral.org/"} +{"d:Title": "St Albans Cathedral and Abbey Church", "d:Description": "The official site provides a photograph, history, significant dates and visitor information", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/Romanesque/United_Kingdom", "url": "http://www.stalbanscathedral.org/"} +{"d:Title": "St Paul's Cathedral, London", "d:Description": "The official site of the magnificent Baroque church designed by Sir Christopher Wren in 1673. It has an illustrated chronology and 360-degree panoramas that require QuickTime VR.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.stpauls.co.uk/"} +{"d:Title": "Westminster Cathedral", "d:Description": "The official site of the primary Catholic Cathedral in England and Wales includes a brief history and tour of the Byzantine-style building, designed by Victorian architect John Francis Bentley.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.westminstercathedral.org.uk/"} +{"d:Title": "Abbeys and Cathedrals of England and Wales", "d:Description": "This Britannia guide has a brief introduction and list of cathedrals, organised by age and type, with photographs taken from M.J.Taber, \"The Cathedrals of England\" (1905).", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.britannia.com/church/cath.html"} +{"d:Title": "Coventry Cathedral, Death and Resurrection", "d:Description": "A series of pages from Know Britain exploring the symbolism of the ruined medieval cathedral, and the contrast of the modern cathedral.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.know-britain.com/churches/coventry_cathedral_1.html"} +{"d:Title": "Cathedrals in the East of England", "d:Description": "Presents the history, architecture, art, treasures, and details of their amenities and facilities.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.easterncathedrals.org.uk/"} +{"d:Title": "Coventry Cathedral", "d:Description": "Official site giving history, virtual tour and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.coventrycathedral.org.uk/"} +{"d:Title": "Edinburgh Cathedral (Roman Catholic)", "d:Description": "Originally the Chapel of St Mary, built in 1814 to the designs of James Gillespie Graham, it became a cathedral in 1878. Brief history; interactive plan leads to photographs and details.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.stmaryscathedral.co.uk/"} +{"d:Title": "Belfast, St Anne's Cathedral", "d:Description": "The official site includes an illustrated history and virtual tour of this Church of Ireland cathedral begun in 1899 in the Hiberno-Romanesque style.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.belfastcathedral.org/"} +{"d:Title": "St. Patrick's Cathedral, Armagh", "d:Description": "Founded by St Patrick in 445 A.D. The official site offers a virtual tour, illustrated history and visitor information. Includes a list of past abbots, bishops and archbishops. The music section includes details of early organs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.stpatricks-cathedral.org/"} +{"d:Title": "Edinburgh, St Giles' Cathedral", "d:Description": "History from Napier University of the High Kirk of Edinburgh, Cathedral of the Church of Scotland.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.stgilescathedral.org.uk/"} +{"d:Title": "The Metropolitan Cathedral of Liverpool (RC)", "d:Description": "The official site give a history of this remarkable Modernist building completed in 1967. Designed by Sir Frederick Gibberd, the circular plan and spiked elevation is intended to evoke Christ's crown of thorns.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.liverpoolmetrocathedral.org.uk/"} +{"d:Title": "Coventry Cathedral", "d:Description": "Robert Orland's illustrated history of the new cathedral built to the design of Basil Spence after the medieval cathedral was destroyed by bombing in the second world war.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.historiccoventry.co.uk/cathedrals/newcathedral.php"} +{"d:Title": "Liverpool Cathedral", "d:Description": "Photographs and brief details of the largest Anglican cathedral. Designed by Sir Giles Gilbert Scott, it is a 20th-century building in a traditional mould.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.liverpoolcathedral.org.uk/"} +{"d:Title": "St Mary's Episcopal Cathedral, Glasgow", "d:Description": "The official site provides a history of the building designed by George Gilbert Scott, with a special section on Gwyneth Leech's murals.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://thecathedral.org.uk/"} +{"d:Title": "St George's Cathedral, Southwark", "d:Description": "The official site includes images and brief history of this cathedral designed by Pugin.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://stgeorgescathedral.org.uk/"} +{"d:Title": "Aberdeen, St Andrew's Cathedral", "d:Description": "Opened in 1817, the cathedral of the Scottish Episcopal Church was the first of many buildings in the city designed by Archibald Simpson.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://standrewscathedralaberdeen.org.uk/"} +{"d:Title": "Cathedral City Guide", "d:Description": "Focusing on the ancient towns or cities with a medieval cathedral at their heart. England, Wales and Scotland.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.cathedralcityguide.co.uk/"} +{"d:Title": "Cathedrals Plus", "d:Description": "A spotlight guide to churches, cathedrals and abbeys in the UK and Ireland.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.cathedralsplus.org.uk/"} +{"d:Title": "The Association of English Cathedrals", "d:Description": "Formed to represent the interests of English Anglican Cathedrals in negotiations with English Heritage about a Cathedrals Grant Scheme.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.englishcathedrals.co.uk/"} +{"d:Title": "St.Paul's Cathedral London, its Clocks and the \"Facts Against Scandal\"", "d:Description": "The history of the clocks in St. Paul's Cathedral, and the disputes and scandals around them.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Cathedrals/United_Kingdom", "url": "http://www.cosmicelk.net/StPaulsCathedralclocks.htm"} +{"d:Title": "Cunning Geometry: The Designing of Medieval Churches", "d:Description": "Michael T. Davis of Mount Holyoke College analyses the plan of the chapel Saint-Urbain, Troyes, built in 1262 by Pope Urban IV. Includes detailed plans showing the geometry.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic", "url": "http://www.mtholyoke.edu/courses/rschwart/mac/churches/article/index.shtml"} +{"d:Title": "S. Maria Gloriosa dei Frari, Venice", "d:Description": "Ciao Venezia provide a detailed, illustrated description of the basilica built by Franciscan friars (1340-1445), rich in art treasures. Ground plan and tour.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic", "url": "http://www.ciaovenezia.com/eng/frari.htm"} +{"d:Title": "Our Lady Collegiate Church in Vernon, France", "d:Description": "A guide to Vernon provides a plan and virtual tour of this Flamboyant Gothic church, together with its history and the wider architectural and historical context. Includes historic paintings of the church and photographs of the woodcarving and stained glass.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic", "url": "http://www.vernon-visite.org/colleg/"} +{"d:Title": "Ashburton Parish Church, Devon", "d:Description": "Photographs and history of the medieval St. Andrew's, rebuilt in the 15th century, from the official site.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://homepages.which.net/~harpur/standqq7.htm"} +{"d:Title": "Stratford-on-Avon", "d:Description": "Collegiate Church of the Holy and Undivided Trinity, burial-place of William Shakespeare. If you can bear the musical accompaniment, use an interactive plan to find expandable images and historical notes. QuickTime panoramic views of nave and chancel.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.stratford-upon-avon.org/"} +{"d:Title": "St Andrew's Church, Wood Walton", "d:Description": "The Friends of St Andrew's provide photographs and history of this church standing isolated in fields north of Wood Walton village. The Friends aim to restore the church.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.woodwalton.org.uk/"} +{"d:Title": "Axmouth Church, Devon", "d:Description": "An illustrated article by Roger Johnson from Britannia, giving a history of St. Michael's Church, with a detailed description of its features from many periods, particularly its medieval wall paintings.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.britannia.com/church/studies/histaxmt.html"} +{"d:Title": "All Saints Leighton Buzzard Preservation Trust", "d:Description": "News on the progress of the restoration of the 13th- century parish church, and the appeal supporting it. Photographs, brief history.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.pres.appeal.ukgateway.net/"} +{"d:Title": "Sefton, St. Helen's Church", "d:Description": "This medieval church is the only grade 1 listed building in the whole of Merseyside. A brief history with dynamic plan that shows the phases of building and drawings of the Tudor woodwork.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.merseyworld.com/seftonchurch/"} +{"d:Title": "Walpole St Peter, Norfolk", "d:Description": "Some details of this large and famous village church which holds an annual flower festival.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.ely.anglican.org/parishes/walpole-st-peter"} +{"d:Title": "Rosslyn Templars", "d:Description": "An attempt to separate fact from fancy in the history of the profusely decorated Rosslyn Chapel. Includes descriptions and images of other Scottish collegiate churches.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.rosslyntemplars.org.uk/"} +{"d:Title": "Ash, Kent", "d:Description": "The official site includes a photograph, history and detailed description by John Newman of the parish church of St Peter and St Paul.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.ash-church.org.uk/"} +{"d:Title": "Great Missenden, Buckinghamshire", "d:Description": "The parish web site includes some detailed history of the church of St Peter and St Paul, archaeological finds, and a virtual tour of the church and interior panorama.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.missendenchurch.org.uk/"} +{"d:Title": "The Temple Church, London", "d:Description": "This medieval church built by the Knights Templar is notable for its circular nave. Includes a detailed, illustrated history.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.templechurch.com/"} +{"d:Title": "St Olave's, York", "d:Description": "Founded by Earl Siward of Northumbria (d.1055), though much altered. History of St Olave's, St Helen's and St Martin-le-Grand, York. Gallery of expandable photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.stolave.org.uk/"} +{"d:Title": "St Neots Parish Church", "d:Description": "The official site provides a photograph, detailed history and description with glossary of this grand late medieval church, and notes on the organ built by George Holdich in 1855.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://stneots.org/"} +{"d:Title": "Bath Abbey: The Indian Summer of Bath Priory", "d:Description": "Jean Manco looks at the history of this magnificent building - the only cathedral built in England in Tudor times.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.buildinghistory.org/bath/abbey/"} +{"d:Title": "Kendal Parish Church", "d:Description": "The official site provides a tour via clickable plan and a history of this exceptionally broad, five-aisled, 13th-century church in the Lake District.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.kendalparishchurch.co.uk/"} +{"d:Title": "Rosslyn Chapel", "d:Description": "The official website of this 15th-century medieval chapel rich in unusual carvings and masonic symbolism in Midlothian, Scotland, includes photographs, history and visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic/United_Kingdom", "url": "http://www.rosslynchapel.com/"} +{"d:Title": "A Gothic Revival Church", "d:Description": "Design by Richard Upjohn c.1850s in the Library of Congress. Upjohn was a key figure in introducing the Gothic Revival to the United States and in defining the form of American church architecture.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic_Revival", "url": "http://www.loc.gov/exhibits/treasures/tri003.html"} +{"d:Title": "Church of Saint Mary the Virgin, New York", "d:Description": "Tim Chang's illustrated guide to the history and architecture of this Gothic Revival church, designed in 1895 by the French architect Napoleon Le Brun. Bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic_Revival", "url": "http://www.fordham.edu/halsall/medny/chang.asp"} +{"d:Title": "Trinity Parish Episcopal Church, Seattle", "d:Description": "History, description and photographs of this Gothic Revival church designed in 1889 by Chicago architect Henry Starbuck, from the US National Register of Historic Places Seattle Itinerary.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic_Revival", "url": "http://www.nps.gov/nr/travel/seattle/s20.htm"} +{"d:Title": "Mariner's Church, Detroit", "d:Description": "History, description and photographs of this Gothic Revival building consecrated in 1849 from the US National Register of Historic Places Detroit Itinerary.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Gothic_Revival", "url": "http://www.nps.gov/nr/travel/detroit/d16.htm"} +{"d:Title": "Westminster Abbey", "d:Description": "The official site for the burial place of kings. Five QuickTime virtual reality 360-degree interactive panoramas. Or tour with an interactive floor-plan leading to beautiful photographs and accompanying history. Visitor information.", "priority": "1", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Monastic", "url": "http://www.westminster-abbey.org/"} +{"d:Title": "Bath Abbey", "d:Description": "A former cathedral - the only one in Britain completely rebuilt in the Tudor period. Photographs, brief history, details of the heritage centre and music, visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Monastic", "url": "http://www.bathabbey.org/"} +{"d:Title": "Romsey Abbey, Hampshire", "d:Description": "Photographs, plan, Saxon history, architecture and archaeology with bibliography of the Abbey Church of St. Mary and St. Aethelflaed by David Nash Ford from Britannia Internet Magazine.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Monastic", "url": "http://www.britannia.com/church/saxchurch/romsey.html"} +{"d:Title": "The Abbey Church of St. Gall, Switzerland", "d:Description": "Illustrated description from an exhibition at the National Gallery of Art, Washington, of the Baroque church built c.1752 to a model by the Benedictine lay brother Gabriel Loser.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Monastic", "url": "http://www.nga.gov/exhibitions/2000/baroque/indepth3.htm"} +{"d:Title": "Dore Abbey Interactive Guide Book", "d:Description": "The Friends of Dore Abbey provide an online history and tour of the surviving church of a Cistercian monastery in Herefordshire. Also FDA news; videos can be downloaded.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Monastic", "url": "http://www.doreabbey.org.uk/"} +{"d:Title": "Romsey Abbey", "d:Description": "This impressive former abbey church is now a parish church. Brief history and description.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Monastic", "url": "http://www.romseynet.org.uk/abbeyhist.htm"} +{"d:Title": "Cartmel Priory Church, Cumbria", "d:Description": "The official site of this church with Norman and Gothic features includes an illustrated history and photograph gallery.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Monastic", "url": "http://www.cartmelpriory.org.uk/"} +{"d:Title": "Wimborne Minster", "d:Description": "The official site for this church dedicated to St Cuthburga, who founded a nunnery in Wimborne c.705. The present church is mainly Norman. Outline history included.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.wimborneminster.org.uk/"} +{"d:Title": "Breedon and Worthington, Leicestershire", "d:Description": "The official site includes a detailed history, description and bibliography by Brian Williams of the Saxon church of Breedon-on-the-Hill, notable for its sculptures. Plan and drawings.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.benefice.org.uk/"} +{"d:Title": "All Saints' Church, Brixworth", "d:Description": "Photographs, plan, model, history, discussion and bibliography on this Anglo-Saxon church by Stephanie James from Britannia Internet Magazine.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.britannia.com/church/saxchurch/brixworth1.html"} +{"d:Title": "Odda's Chapel, Deerhurst", "d:Description": "Photograph and brief history of this tiny Anglo-Saxon chapel built by Odda, Earl of the Hwicce, by David Nash Ford from Britannia Internet Magazine.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.britannia.com/travel/tours/glstour9.html"} +{"d:Title": "Anglo-Saxon Churches in Britain", "d:Description": "David Nash Ford introduces the topic in Britannia Internet Magazine. Links to pages on specific churches.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.britannia.com/church/saxchurch/"} +{"d:Title": "St Mary's Priory Church, Deerhurst", "d:Description": "Photographs and history of this Anglo-Saxon church by David Nash Ford from Britannia Internet Magazine.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.britannia.com/travel/tours/glstour8.html"} +{"d:Title": "St. Laurence Church, Bradford-on-Avon", "d:Description": "Photographs, plan, history, discussion and bibliography on this Anglo-Saxon chapel by Stephanie James from Britannia Internet Magazine.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.britannia.com/church/saxchurch/bradford1.html"} +{"d:Title": "St. Mary's Church, Sompting", "d:Description": "Photographs, models, history, discussion and bibliography on this Anglo-Saxon church by Stephanie James and David Nash Ford from Britannia Internet Magazine.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.britannia.com/church/saxchurch/sompting1.html"} +{"d:Title": "Sompting, Sussex, St Mary's Church", "d:Description": "A tour around this historic church, accompanied by many expandable photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.bn15.co.uk/history/stmary.html"} +{"d:Title": "Bradwell-on-Sea, St Peter's Chapel, Essex", "d:Description": "Comprehensive site devoted to this important small chapel which was built by St Cedd as a cathedral around 654, using the site of an old Roman fort.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.bradwellchapel.org/"} +{"d:Title": "Kilpeck, Herefordshire", "d:Description": "Peter Evans gives a description and photographs of this superb Norman church, with bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.hoary.org/snaps/engl/kilp.html"} +{"d:Title": "Saxon Churches in Sussex", "d:Description": "Martin Snow outlines the arrival of Christianity in Sussex and looks at each church. Includes scholarly articles from the Sussex Archaeological Collections, plans, drawings and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://saxon.sussexchurches.co.uk/"} +{"d:Title": "The Shrine Church of Saint Melangell", "d:Description": "This simple Romanesque church at Pennant Melangell, Wales, houses the relics of an Irish saint. Photographs, plan with historical notes, the legend of the saint, visitor information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.st-melangell.org.uk/"} +{"d:Title": "The Friends of Deerhurst Church", "d:Description": "Provide a history of this major Anglo-Saxon church. The Friends support an academic annual lecture which is subsequently published. Details of events and publications.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.deerhurstfriends.co.uk/"} +{"d:Title": "St Andrew's Church, Nether Wallop", "d:Description": "The church which can boast the only Anglo Saxon wall painting to survive in situ. History and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Romanesque/United_Kingdom", "url": "http://www.thewallops.net/NWallop/Church/Church.htm"} +{"d:Title": "The Friends of Christ Church Spitalfields", "d:Description": "The charity leading the restoration of Nicholas Hawksmoor's masterpiece. Includes a biography, history, description and photographs and details of the restoration.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.christchurchspitalfields.org/"} +{"d:Title": "St Vincent Street Church, Glasgow", "d:Description": "Gallery of expandable photographs from the Glasgow Guide of the eclectic church designed (1859) by Alexander 'Greek' Thomson.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.glasgowguide.co.uk/images_agt_stv.html"} +{"d:Title": "The Society for Church Archaeology", "d:Description": "Promotes the study of churches and other places of worship in Britain and Ireland. Aims, committee, list of contents of its journal, news and conference.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.britarch.ac.uk/socchurcharchaeol/"} +{"d:Title": "Round Tower Churches Society", "d:Description": "Works for the preservation of churches with round towers, mostly found in East Anglia, and investigates their history. Council members, application to join, churches to visit, tour programme.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.roundtowers.org.uk/"} +{"d:Title": "A Thousand Years of English Churches", "d:Description": "The Churches Conservation Trust cares for over 325 Church of England churches no longer needed for parish use. Details of churches, events, publications, all in full version or text only.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.visitchurches.org.uk/"} +{"d:Title": "Devon Historic Churches Trust", "d:Description": "A registered charity that assists Devon churches and chapels, of all denominations, with grants and loans for repairs, maintenance and restoration work.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.devonhistoricchurches.co.uk/"} +{"d:Title": "Simon's Suffolk Churches", "d:Description": "County-wide overview and historical background. Entries for individual churches have large, clear photographs and colourful descriptions by Simon Knott.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.suffolkchurches.co.uk/"} +{"d:Title": "Whittington, Worcester", "d:Description": "History of the church of St Philip and St James, particularly of its complete rebuilding in the Early English style between 1842 and 1844.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.whittingtonchurch.co.uk/"} +{"d:Title": "Tin Tabernacles", "d:Description": "Information and photographs of many of the tin churches, chapels and mission halls that were built since 1870. As temporary buildings most have long disappeared, but a surprising number do still survive.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.tintabernacles.com/"} +{"d:Title": "Churches in the Yorkshire Dales", "d:Description": "Attractive presentation from Daelnet of 44 parish churches: a line drawing and brief history of each.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.daelnet.co.uk/features/churches/"} +{"d:Title": "Britannia: Parish Churches", "d:Description": "Britannia's guide to the Parish Churches of England, Wales and Scotland. Provides a great deal of historical and architectural information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://britannia.com/church/studies/"} +{"d:Title": "Southwell DAC Church History Project", "d:Description": "Project by the Diocesan Advisory Committee to supply histories for all the churches in the Diocese of Southwell. A few are already available.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://southwellchurches.nottingham.ac.uk/"} +{"d:Title": "Medieval Wall Painting in the English Parish Church", "d:Description": "An illustrated catalogue by Anne Marshall, Open University. Includes an introduction to the topic, bibliography, location list and access details.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.paintedchurch.org/"} +{"d:Title": "Ecclesiological Society", "d:Description": "History of Society which was founded in 1879, events, publications, membership details. Also monthly articles and images of church features.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.ecclsoc.org/"} +{"d:Title": "Shropshire's Nonconformist Chapels", "d:Description": "Pictures of, and historical notes on many of the various nonconformist chapels in Shropshire, by Janice Cox.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.users.waitrose.com/~coxfamily/index.html"} +{"d:Title": "Churches on the Isle of Wight", "d:Description": "Guide to the churches, with illustrations and varying notes.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.wightonline.co.uk/churches/churches.html"} +{"d:Title": "Friends of Friendless Churches", "d:Description": "Cares for nearly 30 historic and redundant places of worship in England and Wales. Details of properties and joint membership with Ancient Monuments Society.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.friendsoffriendlesschurches.org.uk/"} +{"d:Title": "J31 Churches", "d:Description": "Photographs and brief histories of about a dozen churches between Sheffield, Rotherham and Worksop (around J31 of the M1 motorway).", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.j31.co.uk/parichu.htm"} +{"d:Title": "London Churches", "d:Description": "London Ancestor provides engravings - mainly early 19th-century - of churches in London.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.londonancestor.com/views/vc-all.htm"} +{"d:Title": "Southend-on-Sea, St Erkenwald's Church", "d:Description": "John Whitworth celebrates this now demolished Edwardian church: history, newspaper articles and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.st-erkenwalds.co.uk/"} +{"d:Title": "London Docks, St Peter", "d:Description": "Many photos and details on this comprehensive site of St Peter London Docks, a church built in one of the poorest districts in London, and consecrated in 1866.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.stpeterslondondocks.org.uk/"} +{"d:Title": "Kentish Town, Saint Silas the Martyr", "d:Description": "Photos and information on this church designed for this inner London area by Ernest Shearman and consecrated in 1912.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.saintsilas.org.uk/"} +{"d:Title": "Highgate, St Augustine", "d:Description": "The architect of this N London church was J D Sedding, work started in 1887 but was not completed for many years. Includes photos and some history.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.saintaugustine.org.uk/"} +{"d:Title": "Sussex Churches", "d:Description": "Martin Snow provides photographs, history, bibliography and two maps: one of parishes and the other showing the position of churches and monasteries.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.sussexchurches.co.uk/"} +{"d:Title": "Regents Park, London NW1, St Mark's Church", "d:Description": "Often known as the Zoo Church, St Mark's was built by Thomas Little in 1851-2. The site details changes made in 1890 and 1957, and shows pictures including many of the stained glass.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.stmarksregentspark.org.uk/"} +{"d:Title": "The Historic Churches in Wales Survey", "d:Description": "This database from the Clwyd-Powys Archaeological Trust provides a photograph, history and description for all historic churches so far covered, accessed by list or map.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.cpat.demon.co.uk/projects/longer/churches/idxall.htm"} +{"d:Title": "Essex Churches", "d:Description": "Exterior and interior photographs of churches in Essex with brief descriptions by John Whitworth. In some cases there are also historic images from postcards. Includes a short bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.essexchurches.info/"} +{"d:Title": "Kent Churches", "d:Description": "Historian John Vigar's collection of old postcards, together with modern interior photographs by John Salmon of the parish churches of Kent. Includes some brief descriptions.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.kentchurches.info/"} +{"d:Title": "Church Tours", "d:Description": "Coach tours of English country churches on behalf of several charitable organisations. Tour diary, book list and related links.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.churchtours.org.uk/"} +{"d:Title": "Church of England's Built Heritage", "d:Description": "The official Church of England site. Organization, history, built heritage.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.cofe.anglican.org/about/builtheritage/"} +{"d:Title": "Churches in Hampshire, Surrey and Sussex", "d:Description": "For each church of his selection, John Owen Smith offers a photograph and verse. For some there is a history extracted from the guidebook.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.johnowensmith.co.uk/churches/"} +{"d:Title": "North West Kent Parish Gazetteer", "d:Description": "The North West Kent Family History Society include church photographs in their coverage of parish information useful to genealogists.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.nwkfhs.org.uk/parindex.htm"} +{"d:Title": "The Churches of Britain and Ireland", "d:Description": "Steve Bulman provides thousands of photographs of churches of all types in the British Isles, with brief notes. There is also a discussion forum.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.churches-uk-ireland.org/"} +{"d:Title": "West Gallery Churches", "d:Description": "An illustrated directory of churches in England and Wales which preserve galleries and other pre-Victorian features.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.westgallerychurches.com/"} +{"d:Title": "Diocese of Southwark", "d:Description": "Access is available to a short history and description of each of the parish churches of the South London Diocese.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.southwark.anglican.org/"} +{"d:Title": "St Martin in the Fields Church", "d:Description": "Official website for this famous church in Trafalgar Square, London, designed by James Gibbs, and consecrated in 1726. Brief information about the history of the building.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.stmartin-in-the-fields.org/"} +{"d:Title": "Enfield, St Mary Magdalene", "d:Description": "This prominent Butterfield Church of the early 1880s is portrayed in detail using many photos along with the details of its history. There is also a page with a photograph and brief details of all churches in the Enfield Deanery.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/United_Kingdom", "url": "http://www.stmarymagdalene.church/"} +{"d:Title": "Stave Church: Medieval Wooden Churches in Norway", "d:Description": "Jorgen Holten Jensenius presents his research papers on various aspects of stave churches. Summaries of all articles and full text of some, with bibliography and map of locations.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Wooden", "url": "http://www.stavechurch.org/"} +{"d:Title": "Historic Church Gets Grant for Renovation", "d:Description": "Savannah Morning News reports that Nicholsonboro Baptist Church, the oldest rural black church in Chatham County, Georgia (US), was awarded a restoration grant.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Wooden", "url": "http://www.savannahnow.com/stories/072798/ACCchurchrenovation.html"} +{"d:Title": "The Wooden Churches of Eastern Europe: An Introductory Survey", "d:Description": "An illustrated review by Andrew Gregorovich of this book by David Buxton.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Wooden", "url": "http://www.infoukes.com/culture/architecture/wooden_churches/"} +{"d:Title": "Wooden Architecture of the Ukrainian Carpathians", "d:Description": "Illustrated outline of this book edited by John Hvozda and published in 1978, which covers church and domestic architecture.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Wooden", "url": "http://www.lemko.org/lih/wauc.html"} +{"d:Title": "The Old Church at Pet\u00e4j\u00e4vesi", "d:Description": "The official town site provides an illustrated history of this timber church built in 1763-1764 and now given World Heritage status. Includes a plan.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Churches/Wooden", "url": "http://www.petajavesi.fi/kirkko/"} +{"d:Title": "Mus\u00e9e des Augustins de Toulouse", "d:Description": "Museum housed in the church and the chapter house of an Augustinian monastery, built in the southern French Gothic style. Includes a brief history and images of the buildings.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.augustins.org/"} +{"d:Title": "The Abbey of Saint-Germain of Auxerre", "d:Description": "The French Ministry of Culture covers 15 centuries of history of this monastery founded by Germanus. Plans, reconstructions, biography of Germanus, virtual pilgrimage, and outline of techniques used in studying the building.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.auxerre.culture.gouv.fr/"} +{"d:Title": "Monasteries", "d:Description": "Images and information on a selection of monasteries from medieval to modern in Europe and Asia, provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.greatbuildings.com/types/types/monastery.html"} +{"d:Title": "The Abbey of Montecassino", "d:Description": "Illustrated history and visitor information for the famous Italian monastery founded by St. Benedict. Bombed in 1944, it has been rebuilt to its former plan.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.officine.it/montecassino/main_e.htm"} +{"d:Title": "St. Catherine's Monastery, Egypt", "d:Description": "An illustrated history and description of this ancient monastery in the Sinai desert, built 527-565, from Tour Egypt.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://touregypt.net/catherines.htm"} +{"d:Title": "Abbey", "d:Description": "An article from the Catholic Encyclopedia explaining the history, layout and function of monasteries. Expandable thumbnail image of the plan of Durham Cathedral Priory, UK.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.newadvent.org/cathen/01010a.htm"} +{"d:Title": "Mount Athos: The Holy Mountain", "d:Description": "A guided tour of this flourishing ecclesiastical community by Macedonian Heritage, including an illustrated description of its architecture, with bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.macedonian-heritage.gr/Athos/"} +{"d:Title": "Maulbronn Monastery, Germany", "d:Description": "The most complete and best-preserved medieval monastery north of the Alps and hence a World Heritage Site. Brief history, 19th-century plan and photographs from Wolfgang M. Werner.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://home.bawue.de/~wmwerner/english/maulbron.html"} +{"d:Title": "St. Gall Monastery Plan", "d:Description": "This Mellon Foundation-funded project displays the extraordinary survival of a monastic plan created in the early 9th century. Images of the plan and reconstructions from it.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.stgallplan.org/"} +{"d:Title": "Languedoc: Historic Monasteries", "d:Description": "James McDonald provides a guide to the religious houses of this part of southern France, including the World Heritage site of Saint-Guilhem-le-D\u00e9sert.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.languedoc-france.info/0305_abbeys.htm"} +{"d:Title": "Archabbey of Pannonhalma, Hungary", "d:Description": "Benedictine monks settled here in 996 and went on to convert the Hungarians. Official history, description and photo album of this World Heritage Site, still in monastic use.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries", "url": "http://www.bences.hu/en"} +{"d:Title": "Dungiven Priory, Northern Ireland", "d:Description": "Notes towards a dissertation by Gretta Logue of Queen's University, Belfast. Location plan.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.angelfire.com/ga/priory/"} +{"d:Title": "Glastonbury Abbey", "d:Description": "Official web-site, stronger on myth and legend than proven historical fact.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.glastonburyabbey.com/"} +{"d:Title": "St. Oswald's Priory, Gloucester", "d:Description": "Reconstruction, photograph and history by David Nash Ford from Britannia Internet Magazine.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.britannia.com/church/saxchurch/oswdglos.html"} +{"d:Title": "Whalley Abbey", "d:Description": "A brief history of this Lancashire abbey by its Warden, Canon Geoffrey Williams. The ruins are open to the public.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.aboutlancs.com/whalley.htm"} +{"d:Title": "Bolton Abbey, Yorkshire", "d:Description": "The ruins of a 12th-century Augustinian priory, of which only the church nave survives intact, on the estate of the Duke of Devonshire. Small photographs.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.boltonabbey.com/"} +{"d:Title": "The Cistercians in Yorkshire", "d:Description": "Project at Sheffield University analysing the life, history and architecture of Cistercian monks in Yorkshire. Includes 3D virtual reality re-creations of the abbey buildings, and a gazetteer of Cistercian abbeys in the British Isles.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://cistercians.shef.ac.uk/"} +{"d:Title": "Abbeys of the Witham Valley", "d:Description": "An illustrated to the history and remains of Bardney, Barlings and Tupholme Abbeys from The Heritage Trust of Lincolnshire, including ground plans and artist's reconstructions.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.lincsheritage.org/community_heritage/guides_information/witham_abbeys/"} +{"d:Title": "Buckfast Abbey, Devon", "d:Description": "The official site includes an illustrated history of the medieval monastery refounded in 1882. Sensitive map of the site leads to descriptions of the buildings.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.buckfast.org.uk/"} +{"d:Title": "Medieval English Cathedrals and Churches", "d:Description": "Among the subjects of S.H. Shepherd's professional lens are the ruined Cistercian Abbey of Hailes, Gloucestershire and Malmesbury Abbey, Wiltshire. Includes brief histories.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://myweb.lmu.edu/sshepherd/med.htm"} +{"d:Title": "Farnborough Abbey", "d:Description": "The official site provides a history and interactive Virtual Reality tour of this monastery built by the Empress Eugenie to house the tomb of Napoleon III (d.1873).", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.farnboroughabbey.org/"} +{"d:Title": "Fountains Abbey", "d:Description": "Britain's largest monastic ruin and most complete Cistercian abbey is a World Heritage site. Photographs, outline history and visitor information from the National Trust.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Christian/History/Monasteries/United_Kingdom", "url": "http://www.nationaltrust.org.uk/fountains-abbey/"} +{"d:Title": "Arch Net", "d:Description": "An online community for architects, planners, urban designers, landscape architects and scholars, with a special focus on the Islamic world. Includes an extensive image collection.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic", "url": "http://archnet.org/"} +{"d:Title": "Canadian Islamic Center Al-Jamieh", "d:Description": "Virtual tour of the planned new building.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic", "url": "http://www.cical-jamieh.com/"} +{"d:Title": "Delights of Muslim Architecture", "d:Description": "BBC News reports on the seven winning projects of the Aga Khan Architecture Award - established to encourage traditional Muslim architecture.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic", "url": "http://news.bbc.co.uk/1/hi/world/middle_east/190282.stm"} +{"d:Title": "Bait-ul-Futuh", "d:Description": "Western Europe's largest mosque, opened October 2003 in London. The Ahmadiyya Muslim Community provides particulars, photographs and a press release.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic", "url": "http://www.alislam.org/mosques/"} +{"d:Title": "The Aga Khan Program for Islamic Architecture", "d:Description": "At the Massachusetts Institute of Technology and Harvard University promotes research and teaching in Islamic art, architecture, and urbanism.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic", "url": "http://web.mit.edu/akpia/www/"} +{"d:Title": "Mosque of Amr Ibn El-As", "d:Description": "The Egyptian Tourism Ministry provides an illustrated history and description of the oldest mosque in Egypt, founded in 642 AD and rebuilt several times subsequently.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://touregypt.net/AmrIbnMosque.htm"} +{"d:Title": "Mosques", "d:Description": "Great Buildings Online provides images and information on famous mosques in Turkey, Syria and North Africa.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://www.greatbuildings.com/types/types/mosque.html"} +{"d:Title": "Saigon's Mosque", "d:Description": "Photographs and brief history of this mosque built in 1935 by Indian Muslim traders.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://www.angelfire.com/vt/vietnamesemuslims/saimosq.html"} +{"d:Title": "Mosque", "d:Description": "Tore Kjeilen explains the significance of the mosque to Muslims, its history, development and use, in an article for the Encyclopaedia of the Orient.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://i-cias.com/e.o/mosque.htm"} +{"d:Title": "Sinan Mosques in Istanbul", "d:Description": "Chuck Newman's photographs of his tour around the mosques designed by the famed 16th-century architect.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://newmanservices.com/turkey/sinan.asp"} +{"d:Title": "Wikipedia: Great Mosque of Djenn\u00e9", "d:Description": "Information on the world's largest mud-brick building, in Mali. Sections on its history, design and significance, plus pictures.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://en.wikipedia.org/wiki/Great_Mosque_of_Djenn%C3%A9"} +{"d:Title": "Mosques Around the World", "d:Description": "IslamiCity presents photographs of outstanding mosques, both historic and modern, arranged by continent.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://www.islamicity.com/Culture/Mosques/"} +{"d:Title": "Wikipedia: Suleiman Mosque", "d:Description": "Illustrated history and description from the online collaborative encyclopedia of this grand mosque in Istanbul, built on the order of Suleiman I to a design by Sinan which reflected the nearby Hagia Sophia.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://en.wikipedia.org/wiki/Suleymaniye_Mosque"} +{"d:Title": "Islamic Architecture Tutorial", "d:Description": "An on-line tutorial from San Jose State University focussed on the architecture of the Ottoman Empire, and other buildings with great significance in Islam, organized on a timeline.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History", "url": "http://gallery.sjsu.edu/IslamicTutorial/"} +{"d:Title": "Dome of the Rock, Jerusalem, Israel", "d:Description": "Photographs, commentary and bibliography from Great Buildings Online on this Romano-Byzantine style mosque begun in 684.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History/Dome_of_the_Rock", "url": "http://www.greatbuildings.com/buildings/Dome_of_the_Rock.html"} +{"d:Title": "The Noble Sanctuary", "d:Description": "A guide to Al-Haram al-Sharif in Jerusalem - 35 acres of fountains, gardens, buildings and domes. At its center is the celebrated Dome of the Rock.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Islamic/History/Dome_of_the_Rock", "url": "http://www.noblesanctuary.com/"} +{"d:Title": "Images of the B'nai Amoona Synagogue", "d:Description": "Photographs and text on the first US synagogue designed by modernist synagogue architect Eric Mendelsohn.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish", "url": "http://www.bluffton.edu/~sullivanm/missouri/stlouis/temple/mendelsohn.html"} +{"d:Title": "International Survey of Jewish Monuments", "d:Description": "A non-profit organization dedicated to the research, preservation and documentation of Jewish historical monuments from around the world. Newsletter, monuments information by country.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.isjm.org/"} +{"d:Title": "Preserving Jewish Heritage in Greece", "d:Description": "An illustrated article by Elias V. Messinas in Archaeology on the history of Greek synagogues and the need to preserve these remnants of an ancient tradition.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.archaeology.org/online/features/greece/"} +{"d:Title": "Kol Hakehila", "d:Description": "The newsletter of the Jewish monuments of Greece, for the study and preservation of the Jewish sites of Greece. Includes a gazetteer of sites.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.yvelia.com/kolhakehila"} +{"d:Title": "Etz-Hayyim Synagogue of Hania, Crete, Greece", "d:Description": "The official site of this restored synagogue includes a history, description and plan, together with an illustrated account of the reconstruction.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.etz-hayyim-hania.org/"} +{"d:Title": "Beth Am Synagogue", "d:Description": "The official site includes a history and photographs of the building, which was completed in 1921 and designed by Baltimore architect Joseph Evans Sperry in a Byzantine-Moorish style.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.bethambaltimore.org/"} +{"d:Title": "JewishEncyclopedia.com: Synagogue Architecture", "d:Description": "Joseph Jacobs and A. W. Brunner discuss the origins, development and stylistic influences of synagogue buildings from Hellenic times onwards, with illustrations.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.jewishencyclopedia.com/view.jsp?artid=1213&letter=S"} +{"d:Title": "The Lost Synagogues of Detroit", "d:Description": "Lowell Boileau's collection of images of former synagogues in a wide variety of styles, many now churches, and other Jewish buildings, with histories and recollections of them contributed by others.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.atdetroit.com/shul/"} +{"d:Title": "Frank Lloyd Wright: Beth Sholom Synagogue", "d:Description": "J.H.Delmar provides photographs and Wright's own perspective drawing (1954) of this unusual structure intended as a \"luminous Mount Sinai\", together with commentary and bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.delmars.com/wright/flw8-16.htm"} +{"d:Title": "Eastern European Synagogues", "d:Description": "A gallery of photographs by Ron Anton Rocz.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.ronroczphoto.com/synagogues.html"} +{"d:Title": "Second Temple Synagogues", "d:Description": "Donald D. Binder's study of synagogues before the Jerusalem Temple's destruction in 70 AD. Sites and monuments, ancient literary references, gallery, bibliography, FAQ.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.pohick.org/sts/"} +{"d:Title": "Center for Jewish Art, Hebrew University of Jerusalem", "d:Description": "The institute explains its projects, including the documentation of endangered architecture. Index of Jewish Art, including architecture of synagogues and Jewish monuments, with computer reconstructions. Events, newsletters, educational projects, faculty and staff.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://cja.huji.ac.il/"} +{"d:Title": "Temple Emmanu-El, New York", "d:Description": "An illustrated history by Gerard Fernandez of this Romanesque synagogue built 1927-9 and designed by Robert D. Kohn, Charles Butler, and Clarence Stein. Includes bibliography. Part of Medieval New York, by Fordham University.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Jewish/History", "url": "http://www.fordham.edu/halsall/medny/fernandez.asp"} +{"d:Title": "Indian Temples", "d:Description": "Patrick George provides a photograph of a temple at Khajuraho and drawings which clarify its structure.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples", "url": "http://ccat.sas.upenn.edu/george/prasada.html"} +{"d:Title": "Temples", "d:Description": "Images and information on well-known temples of all periods across the world, from Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples", "url": "http://www.greatbuildings.com/types/types/temple.html"} +{"d:Title": "Temples", "d:Description": "The British Museum provides a readable, illustrated introduction to the temples of Ancient Egypt. Includes an interactive reconstruction of a New Kingdom temple.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples", "url": "http://www.ancientegypt.co.uk/temples/"} +{"d:Title": "Beijing Service: Temple of Heaven", "d:Description": "First built in 1420, it was the place where emperors of the Ming and Qing dynasties came to worship the God of Heaven. Illustrated history and description of this World Heritage site.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples", "url": "http://www.beijingservice.com/templeofheaven.htm"} +{"d:Title": "Buddhist Temples", "d:Description": "The Virtual Museum of Japanese arts gives an overview of the history of pagoda and hall temple forms in Japan, together with photographs and histories of famous examples.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples", "url": "http://web-japan.org/museum/temple/temple.html"} +{"d:Title": "TempleNet", "d:Description": "Provides an illustrated guide to over 2000 Indian temples with pointers to their architectural, cultural, religious and historical significance and tourist information.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples", "url": "http://templenet.com/"} +{"d:Title": "Daigoji Temple, Japan", "d:Description": "The official site provides photographs and a history of this temple with its five-storied pagoda (a National Treasure) erected in 952 A.D.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples/Pagodas", "url": "http://www.daigoji.or.jp/"} +{"d:Title": "Shwedagon Pagoda", "d:Description": "An illustrated history, description and visitor information on this glittering Buddhist monument in the heart of Yangon, the capital city of Myanmar.", "topic": "Top/Arts/Architecture/Building_Types/Religious/Temples/Pagodas", "url": "http://www.shwedagon.org/"} +{"d:Title": "The Willow Tea Rooms, Glasgow", "d:Description": "Designed by Charles Rennie Mackintosh in 1904 in his distinctive Art Nouveau style, it has been recreated and serves today as a cafe specialising in teas. Includes history and photographs.", "topic": "Top/Arts/Architecture/Building_Types/Restaurants", "url": "http://www.willowtearooms.co.uk/"} +{"d:Title": "Harvey Houses", "d:Description": "The history of a chain of railway hotels and restaurants built from 1870 by English immigrant Fred Harvey in the American Southwest. Includes photographs and details by state, newsclippings and bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Restaurants", "url": "http://www.harveyhouses.net/"} +{"d:Title": "Classic Cafes", "d:Description": "A celebration of London's formica 50s and 60s cafes. A history explains the utilitarian minimalist context. Photographs and brief descriptions of over 100 cafes; cafes in TV and film; bibliography.", "topic": "Top/Arts/Architecture/Building_Types/Restaurants", "url": "http://www.classiccafes.co.uk/"} +{"d:Title": "J. Lyons&Co.", "d:Description": "An illustrated history by Peter Bird of this British caterer and food manufacturer from 1887-1998, including its chains of teashops and cornerhouse restaurants.", "topic": "Top/Arts/Architecture/Building_Types/Restaurants", "url": "http://www.kzwp.com/lyons/"} +{"d:Title": "HoJoLand", "d:Description": "Tribute site to Howard Johnson's Restaurants in the US. Includes history and directory of existing locations.", "topic": "Top/Arts/Architecture/Building_Types/Restaurants", "url": "http://hojoland.homestead.com/"} +{"d:Title": "Flickr: Diners", "d:Description": "Photography group to share photos of old diners.", "topic": "Top/Arts/Architecture/Building_Types/Restaurants", "url": "http://www.flickr.com/groups/diners/"} +{"d:Title": "Labelscar: The Retail History Blog", "d:Description": "Jason Damas and Ross Schendel present news, information, opinions and photographs of malls, shopping centers, and retail chains of North America past and present, including redevelopment efforts for dead malls.", "topic": "Top/Arts/Architecture/Building_Types/Retail_Buildings", "url": "http://www.labelscar.com/"} +{"d:Title": "Malls of America", "d:Description": "Images of 1960s and 1970s shopping malls with commentary by Keith Milford.", "topic": "Top/Arts/Architecture/Building_Types/Retail_Buildings", "url": "http://mallsofamerica.blogspot.com/"} +{"d:Title": "Pleasant Family Shopping", "d:Description": "A nostalgic look back at supermarkets and discount chain stores of the past, covering all parts of the United States and illustrated with vintage photographs.", "topic": "Top/Arts/Architecture/Building_Types/Retail_Buildings", "url": "http://pleasantfamilyshopping.blogspot.com/"} +{"d:Title": "Wikipedia: Shopping Mall", "d:Description": "An illustrated description and history of this building type from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Retail_Buildings", "url": "http://en.wikipedia.org/wiki/Shopping_mall"} +{"d:Title": "The Skyscraper Museum", "d:Description": "Private, not-for-profit, educational corporation devoted to the study of highrise buildings. Exhibits and information on the architecture, materials, and physics of highrise construction.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.skyscraper.org/"} +{"d:Title": "Great Buildings: Skyscrapers", "d:Description": "Images, information, and 3D models of a selection of skyscrapers around the world from Great Buildings Online.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.greatbuildings.com/types/types/skyscraper.html"} +{"d:Title": "Skyscraper Page", "d:Description": "Dylan presents an illustrated database of the world's tallest buildings. The illustrations drawn to one scale make it easy to compare heights internationally or within a city. Includes dates and architects.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://skyscraperpage.com/"} +{"d:Title": "The Tallest Buildings in the World", "d:Description": "A list of the tallest buildings in the world, with a graphic showing the tallest.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.tallestbuildingintheworld.com/"} +{"d:Title": "How a Skyscraper is Made", "d:Description": "Background, history, raw materials, design, and the construction process of skyscrapers, from Thomson Gale's How Products Are Made.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.madehow.com/Volume-6/Skyscraper.html"} +{"d:Title": "Wikipedia: Skyscraper", "d:Description": "A comprehensive article from the collaborative online encyclopedia on the skyscraper's historical development.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://en.wikipedia.org/wiki/Skyscraper"} +{"d:Title": "Stock.xchng: Skyscrapers", "d:Description": "Royalty free stock photography community - images in the skyscrapers category.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.sxc.hu/category/1081"} +{"d:Title": "The High-Rise Pages", "d:Description": "Articles, images and wallpapers of the tallest buildings in the world.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.xs4all.nl/~hnetten"} +{"d:Title": "Wicked Tall Buildings", "d:Description": "Drawings of skyscrapers from across the world. With a personal touch.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.wickedtallbuildings.com/"} +{"d:Title": "Building Big", "d:Description": "This site explains the construction process of tall buildings and covers facts of a collection of skyscrapers across the world.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.pbs.org/wgbh/buildingbig/skyscraper/index.html"} +{"d:Title": "UrbanDB", "d:Description": "A comprehensive database of Greater Toronto Area construction and skyscraper development activities.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.urbandb.com/"} +{"d:Title": "Skyscraper Architecture: Tallest Buildings in the World", "d:Description": "Information and pictures of the tallest buildings in the world.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.e-architect.co.uk/world_skyscrapers.htm"} +{"d:Title": "How Skyscrapers Work", "d:Description": "This illustrated narrative by Tom Harris from HowStuffWorks explains that the main technological advancement that made skyscrapers possible was the development of mass iron and steel production.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://science.howstuffworks.com/skyscraper.htm"} +{"d:Title": "Emporis", "d:Description": "An international team maintains this database of tall buildings, navigated by map and list. Also lists construction companies and architects, and supplies news.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://www.emporis.com/application/"} +{"d:Title": "Skylines and Scrapers", "d:Description": "Egbert Gramsbergen presents worldwide highrise statistics, using graphs and various ranking methods.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers", "url": "http://tudl0867.home.xs4all.nl/skystats.html"} +{"d:Title": "European Highrises", "d:Description": "Erwin Blezer presents photographs of highrises in Frankfurt, Rotterdam, Paris and Essen.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional", "url": "http://erwinscitypages.tripod.com/"} +{"d:Title": "Ryugyong Hotel Tower", "d:Description": "Photographs and brief description of North Korea's 330m tall iconic tower which was the first to have over 100 floors outside of America.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional", "url": "http://ryugyonghotel.com/"} +{"d:Title": "European Skyscrapers", "d:Description": "Tim de Bruijn and Ewoud Vegt keep track of the skyscraper boom in cities across Europe. Images and commentary on high-rise buildings proposed, under construction and completed.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional", "url": "http://home.kpn.nl/tadebruijn/"} +{"d:Title": "Halifax Skyscrapers", "d:Description": "Descriptions and photographs of high rise buildings in Canada's maritime capital.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Canada", "url": "http://www.angelfire.com/ns/halifaxskyscrapers/"} +{"d:Title": "Vancouver Skyscrapers", "d:Description": "Short descriptions with photographs of Vancouver's high rise buildings, and a skyline gallery, by Lostman.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Canada", "url": "http://vanscrapers.tripod.com/"} +{"d:Title": "Calgary Skyscrapers", "d:Description": "Pictures and information of proposed and existing skyscrapers in the city of Calgary, Canada.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Canada", "url": "http://www.angelfire.com/pe/skyscrapers/"} +{"d:Title": "SkyscraperCity", "d:Description": "News, photos and discussions on skyscrapers, skylines, cities, architecture and urbanity. Includes a subforum about Dubai and the Burj Dubai tower.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Dubai", "url": "http://www.skyscrapercity.com/"} +{"d:Title": "Burj Dubai Skyscraper", "d:Description": "Regular photo, video and news updates on the world's tallest tower in Dubai, the Burj Dubai. Includes over 5000 construction photos, construction data, renderings and a discussion forum about skyscrapers.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Dubai", "url": "http://www.burjdubaiskyscraper.com/"} +{"d:Title": "Emaar Properties Official Site", "d:Description": "The Dubai-based Public Joint Stock Company is the developer of the Dubai Marina, district of Dubai with over 200 high-rise buildings. Their portfolio includes the world's tallest tower, Burj Dubai and also the largest shopping mall, the Dubai Mall.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Dubai", "url": "http://www.emaar.com/"} +{"d:Title": "Wikipedia: Burj Khalifa", "d:Description": "An illustrated article from the collaborative online encyclopedia on the skyscraper constructed in Dubai, United Arab Emirates, which aimed to be the world's tallest building.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Dubai", "url": "http://en.wikipedia.org/wiki/Burj_Khalifa"} +{"d:Title": "Burj Khalifa", "d:Description": "The official site charts the progress of the world's tallest skyscraper, under construction in Dubai, United Arab Emirates, by Emaar Properties, to a design by Adrian Smith. Includes description of the tower and its setting.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Dubai", "url": "http://www.burjkhalifa.ae/"} +{"d:Title": "Emporis: Dubai", "d:Description": "Complete listing of the high-rise and famous buildings in Dubai, with technical data, facts and other background information.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Dubai", "url": "http://www.emporis.com/city/dubai-unitedarabemirates"} +{"d:Title": "Taipei 101", "d:Description": "Official site of Taipei and Taiwan's tallest skyscraper. Covers facts, illustrations and pictures.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/Taiwan", "url": "http://www.taipei-101.com.tw/"} +{"d:Title": "Skyscraper News UK", "d:Description": "Latest news of skyscraper projects in the United Kingdom.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_Kingdom", "url": "http://www.skyscrapernews.com/"} +{"d:Title": "Building the Chrysler Building", "d:Description": "An illustrated history by Emily Zimmerman, part of America in the 1930s.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://xroads.virginia.edu/~1930s/DISPLAY/chrysler/Frame-1.html"} +{"d:Title": "Calgary Skyscrapers", "d:Description": "Information and pictures of the buildings in downtown Calgary.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.angelfire.com/pe/skyscrapers/"} +{"d:Title": "The Empire State Building", "d:Description": "Official site for facts, history, business directory, and a kids section with a printable coloring book.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.esbnyc.com/"} +{"d:Title": "Denver - Boulder Cityscape", "d:Description": "Information on new projects in the Denver/Boulder area, including area history and skyline pictures.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.denvercityscape.50megs.com/"} +{"d:Title": "Downtown Columbia, South Carolina", "d:Description": "The urban issues, photos, and updates of Columbia, South Carolina--and everything in between.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://downtowncolumbiasc.8k.com/"} +{"d:Title": "Cleveland Skyscrapers", "d:Description": "This non-profit site offers images and information about high-rise architecture in Cleveland, Ohio. Akron, Canton and Youngstown are also included on the site.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.clevelandskyscrapers.com/"} +{"d:Title": "Sears Tower", "d:Description": "Celebrates the skyscraper in Chicago that was the world's tallest building when erected in 1973. Photographs and newspaper articles.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.searstower.org/"} +{"d:Title": "Urban Ohio", "d:Description": "Covering skyscrapers in the Cincinnati and Dayton metro area, part of Ohio. News, information and pictures, including skycams.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.urbanohio.com/"} +{"d:Title": "Chrysler Building", "d:Description": "Still the tallest brick building in the world this site covers pictures and the history of the Chrysler building. Finished in 1930 the tower held the \"tallest tower in the world\" title from 1930-31.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://en.wikipedia.org/wiki/Chrysler_Building"} +{"d:Title": "John Hancock Center", "d:Description": "Iconic office, retail and residential building owned and operated by The Hearn Company.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.johnhancockcenterchicago.com/"} +{"d:Title": "Dallas and Fort Worth in 3D", "d:Description": "Jeffrey L. Cooper's 3D photographs of the Dallas and Fort Worth skylines and metro area, with comments. Includes instructions on how to view.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.3dphoto.net/world/united_states/south/dfw3d/dfw3d.html"} +{"d:Title": "Winston-Salem's Tallest Buildings", "d:Description": "A pictorial tour of Winston-Salem skyscrapers and architecture.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.winstonsalemskyscrapers.com/"} +{"d:Title": "Digital Archive and American Architecture: Skyscrapers", "d:Description": "Photos and information on American skyscrapers by period.", "topic": "Top/Arts/Architecture/Building_Types/Skyscrapers/Regional/United_States", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/20_sky.html"} +{"d:Title": "The Michigan Theater", "d:Description": "Constructed in 1927 in Ann Arbour, Michigan, to the design of Detroit architect Maurice Finkel as a vaudeville and movie palace. The official site includes an illustrated history.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.michtheater.org/"} +{"d:Title": "Kilduff's Movie Theatre Survey", "d:Description": "A survey of movie theatres in Maryland built between 1900 and 1950. Photographs, building dates and descriptions.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.kilduffs.com/"} +{"d:Title": "Cinema Treasures", "d:Description": "Dedicated to saving classic movie theaters in the US. News, database, photographs, virtual tours and message boards. Also aims, founders, sponsors and volunteer staff of the web-site.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.cinematreasures.org/"} +{"d:Title": "Drive In Theater", "d:Description": "The American phenomenon which began in the 1930s: open-air cinemas, viewed from automobiles. An illustrated history and list of theaters both operating and closed.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.driveintheater.com/"} +{"d:Title": "Paramount Theatre, Oakland, California", "d:Description": "The official site offers photographs and a history (with source) of this extraordinary Art Deco theater. The 1930s movie palace was designed by San Francisco architect Timothy Pflueger.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.paramounttheatre.com/"} +{"d:Title": "Theaters", "d:Description": "Great Buildings Online provides photographs, details and bibliographies for a number of significant theaters of all periods across the world.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.greatbuildings.com/types/types/theater.html"} +{"d:Title": "American Picture Palaces", "d:Description": "Illustrated history by the University of Virginia of the extravagant buildings that sprang up in the inter-war years to house the movies. Includes socio-economic background, guide to Art Deco.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://xroads.virginia.edu/~CAP/PALACE/home.html"} +{"d:Title": "Movie House History: Classic Theaters of Kansas", "d:Description": "Paul Salley lists theaters, opera houses and drive-in theaters in Kansas, with some history. Banners.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://moviehousehistory.tripod.com/"} +{"d:Title": "Theatre Historical Society of America", "d:Description": "Nonprofit educational organization dedicated to documenting and celebrating historic theatres of all types in the United States.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www2.hawaii.edu/~angell/thsa/"} +{"d:Title": "Grand Vision Foundation", "d:Description": "A non-profit organization to preserve and promote the Warner Grand Theater, an Art Deco movie palace in San Pedro, California. Includes a history of the theater, which opened in 1931.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.grandvision.org/"} +{"d:Title": "The Cinema Theatre Association", "d:Description": "Promotes the study of old cinemas in the UK. Archives, publications, events, links.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.cinema-theatre.org.uk/"} +{"d:Title": "The Cerrito Theater", "d:Description": "El Cerrito's historic theater with its Art Deco interior and murals is one of California's hidden treasures. History, photographs and progress on its restoration.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://cerritotheater.org/"} +{"d:Title": "Redford Theatre", "d:Description": "This 1928 cinema in Detroit was designed with a Japanese motif. Includes an illustrated history and details of its restoration by the Motor City Theatre Organ Society.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://redfordtheatre.com/"} +{"d:Title": "Theatron", "d:Description": "Features interactive walkthroughs of 3D models of present and past theatres, including those of ancient Greece and Rome. Requires Cosmo Player VRML Plug-in, Flash and Quicktime.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.theatron.org/"} +{"d:Title": "Scottish Surviving Cinemas and Theatres", "d:Description": "Historical archive of all surviving cinema buildings in Scotland and news on cinemas under threat of closure or demolition. A database with photographs and historical information on over 900 cinemas.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.survivingcinemas.org.uk/"} +{"d:Title": "The Rex Cinema, Berkhamsted", "d:Description": "This Art Deco cinema in the UK, designed by David Nye in 1936, has been restored and re-opened. Includes photographs.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.therexberkhamsted.com/"} +{"d:Title": "Saenger Theatres", "d:Description": "A study of the former Saenger organization and its theaters, mainly in the US. A list, with illustrated histories of selected theaters, some now gone.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.saengeramusements.com/"} +{"d:Title": "Theatre Royal, York, UK", "d:Description": "The official site includes a brief history of the theater built in 1744 and re-modelled in the 19th century.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.yorktheatreroyal.co.uk/"} +{"d:Title": "Berkeley Playhouse, Berkeley, California", "d:Description": "Redwood building designed by architect Julia Morgan in 1908 as the St. John's Presbyterian Church. Brief description, photographs, plan.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas", "url": "http://www.berkeleyplayhouse.org/"} +{"d:Title": "Recreating The Theater of Dionysus in Athens", "d:Description": "A computer reconstruction of this ancient amphitheater from the e-journal Didaskalia: Ancient Theater Today.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Ancient_Theaters", "url": "http://www.didaskalia.net/studyarea/recreatingdionysus.html"} +{"d:Title": "The Ancient Theatre Archive", "d:Description": "A virtual reality tour of Greek and Roman theatre architecture featuring historic commentaries, maps, drawings, teminology glossary, and viewer-controlled QVTR panoramic images of ancient theatres by Thomas G. Hines, Whitman College.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Ancient_Theaters", "url": "http://www.whitman.edu/theatre/theatretour/home.htm"} +{"d:Title": "The Ancient Theater of Ephesus", "d:Description": "\u00cfbrahim Ata\u00e7 explains in the CSA Newsletter that a computer model of theater at Ephesus is to be made. Illustrations are included.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Ancient_Theaters", "url": "http://www.csanet.org/newsletter/may96/nl059603.html"} +{"d:Title": "The Uptown Theatre", "d:Description": "Friends of the Uptown provide a history of the landmark building in Chicago which opened in 1921, designed by architects Rapp and Rapp for the Balaban and Katz Corporation.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Balaban_and_Katz", "url": "http://www.uptowntheatre.com/"} +{"d:Title": "Compass Rose: Historic Theatres and Movie Palaces of Balaban and Katz", "d:Description": "Looks at the major historic theatres of the Balaban and Katz chain, complete with historic photos. Includes a lengthy history of the ornate Uptown Theatre in Chicago with plea and petition for its restoration.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Balaban_and_Katz", "url": "http://www.compassrose.org/balaban-and-katz/balaban-and-katz-theatres.html"} +{"d:Title": "The Rose", "d:Description": "Built in 1587 by Philip Henslowe, the Rose was the first theatre on London's Bankside. In 1989 its remains were discovered and partially excavated midst a blaze of international press coverage. History, news and opening times.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Elizabethan_Theaters", "url": "http://www.rosetheatre.org.uk/"} +{"d:Title": "Wikipedia: The Theatre", "d:Description": "A history of the first theatre built in London since Roman times from the online collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Elizabethan_Theaters", "url": "http://en.wikipedia.org/wiki/The_Theatre"} +{"d:Title": "Shakespeare's Globe Theatre, London", "d:Description": "The official site includes images and archaeological evidence of the original Elizabethan circular theater, the story of its reconstruction (1994-7) and a timeline.", "topic": "Top/Arts/Architecture/Building_Types/Theaters_and_Cinemas/Elizabethan_Theaters", "url": "http://www.shakespearesglobe.com/"} +{"d:Title": "LacusCurtius: Roman Fountains", "d:Description": "Article from William Smith's Dictionary of Greek and Roman Antiquities (1875), illustrated with three woodcuts.", "topic": "Top/Arts/Architecture/Building_Types/Water_Houses", "url": "http://penelope.uchicago.edu/Thayer/E/Roman/Texts/secondary/SMIGRA*/Fons.html"} +{"d:Title": "Catholic Encyclopedia: Baptistery", "d:Description": "The separate building in which the sacrament of baptism was once solemnly administered, or that portion of the church-edifice later set apart for the same purpose.", "topic": "Top/Arts/Architecture/Building_Types/Water_Houses", "url": "http://www.newadvent.org/cathen/02276b.htm"} +{"d:Title": "The Early Christian Baptisteries of Rome", "d:Description": "The Swedish Institute of Classical Studies in Rome provides an illustrated introduction to the type and its function, and information on the remains of a baptistery belonging to the church of San Lorenzo in Lucina.", "topic": "Top/Arts/Architecture/Building_Types/Water_Houses", "url": "http://spazioinwind.libero.it/lucina/baptist.htm"} +{"d:Title": "Talkin' About Architecture", "d:Description": "A Yahoo group to express opinions or ask questions about architecture and art. Registration required.", "topic": "Top/Arts/Architecture/Chats_and_Forums", "url": "http://groups.yahoo.com/group/talkinaboutarchitecture/"} +{"d:Title": "Architecture Week Design Community", "d:Description": "This architecture and design web portal provides forums for public communication on world architecture, sustainable design, 3D design and 3D computer graphics.", "topic": "Top/Arts/Architecture/Chats_and_Forums", "url": "http://www.designcommunity.com/"} +{"d:Title": "Vitruvio", "d:Description": "Directory of links to architects and architectural history.", "topic": "Top/Arts/Architecture/Directories", "url": "http://www.vitruvio.ch/"} +{"d:Title": "Sapling.info", "d:Description": "Architecture, planning and landscape information gateway.", "topic": "Top/Arts/Architecture/Directories", "url": "http://www.sapling.info/"} +{"d:Title": "MIMOA | Modern Architecture Guide", "d:Description": "Shows Modern Architecture on a map with the address and additional information to find and visit interiors, parks, public places, buildings and bridges.", "topic": "Top/Arts/Architecture/Directories", "url": "http://www.mimoa.eu/"} +{"d:Title": "Archiprix International", "d:Description": "A biennial international competition for the best graduation projects in architecture, urban design and landscape architecture. A database of universities and academies teaching architecture.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.archiprix.org/"} +{"d:Title": "Association of Collegiate Schools of Architecture", "d:Description": "Information on architecture schools, conferences, student competitions and architectural education in general. Site has advice for prospective architecture students and bulletin board for members.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.acsa-arch.org/"} +{"d:Title": "European Association for Architectural Education", "d:Description": "A non-profit organisation committed to promoting the exchange of ideas and people within the field of architectural education and research throughout Europe.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.eaae.be/"} +{"d:Title": "Architectural Study Materials by William A. Amor", "d:Description": "Study materials for the NCARB architectural registration exam and the CAB California Supplemental Exam. Books, tapes, seminars, and tutoring by architect William A. Amor.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.amorstudyguides.com/"} +{"d:Title": "Architecture Research Institute", "d:Description": "A non-profit, New York-based educational organization working to make cities livable. Mission, publications, large bibliography by topic, news, searchable links collection.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.architect.org/"} +{"d:Title": "Playce", "d:Description": "International association of architectural education. Network of professionals involved in engaging young people in built environment.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.playce.org/"} +{"d:Title": "architectsJURY", "d:Description": "A community site for architectural students where they can share, judge, and discuss their designs and get inspired by others\u2019 ideas.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.architectsjury.com/"} +{"d:Title": "PREPA.R.E.", "d:Description": "Workshops and courses for the preparation for the Architect Registration Exam (ARE) offered by PREPA.R.E. Inc.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.prepareinc.us/course_overview.htm"} +{"d:Title": "Nalsa", "d:Description": "Architect Registration Exam study materials and support.", "topic": "Top/Arts/Architecture/Education", "url": "http://www.nalsa.com/"} +{"d:Title": "National Architectural Accrediting Board", "d:Description": "Organization that accredits US professional degree programs in architecture.", "topic": "Top/Arts/Architecture/Education/Academic_Departments", "url": "http://www.naab.org/"} +{"d:Title": "University of Tokyo Department of Urban Engineering Urban Design Laboratory", "d:Description": "Aiming to propose better ways to design urban spaces. Studies on city renewals, design controls and creation of scenic city views.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://ud.t.u-tokyo.ac.jp/"} +{"d:Title": "National Cheng Kung University Department of Architecture", "d:Description": "Gives information about the courses offered, a brief history of the school, department resources and links to the departments special studies and interests. Taiwan.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://www.arch.ncku.edu.tw/"} +{"d:Title": "The Chinese University of Hong Kong Department of Architecture", "d:Description": "The school's aims, programmes, archives of student work, staff information, research projects, special events and online library resources.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://www.arch.cuhk.edu.hk/"} +{"d:Title": "University of Hong Kong Department of Architecture", "d:Description": "A detailed site with information about the department's staff, events, courses, degrees, research projects and facilities.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://fac.arch.hku.hk/"} +{"d:Title": "Kasetsart University - Department of Architecture", "d:Description": "Provides information about the school, its programs, news, staff, and students. (English and Thai).", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://www.arch.ku.ac.th/"} +{"d:Title": "Lebanese American University - School of Engineering and Architecture", "d:Description": "Offers undergraduate courses in architecture, interior architecture and interior design.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://sea.lau.edu.lb/"} +{"d:Title": "Kyoto University Department of Architecture and Architectural Systems", "d:Description": "With information about the department's outline, course, laboratory, staff, map and link.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://www.ar.t.kyoto-u.ac.jp/en/index.html?set_language=en"} +{"d:Title": "Faculty of Built Environment, Universiti Teknologi Malaysia", "d:Description": "Offers undergraduate and postgraduate programmes in architecture, construction, and planning. Site contains information in English and Bahasa Melayu.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Asia", "url": "http://fab.utm.my/"} +{"d:Title": "Royal Danish Academy of Fine Arts - School of Architecture", "d:Description": "One of the world's oldest schools of architecture. It aims to provide an artistic and scientific course of study to diploma level. Copenhagen, Denmark.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.karch.dk/"} +{"d:Title": "Swiss Federal Institute of Technology Zurich - Department of Architecture", "d:Description": "It focuses on the communication of the basic knowledge and the development of the fundamental skills which are necessary in the growing scope of architectural practice.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.arch.ethz.ch/"} +{"d:Title": "Xarch", "d:Description": "Shows in random order a wide variety of activities at the Technical University Graz (Austria). This is not the official website.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://xarch.tu-graz.ac.at/"} +{"d:Title": "Czech Technical University in Prague - Faculty of Architecture", "d:Description": "Provides information mainly for prospective European Credit Transfer System students. Also departments, research and education.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.fa.cvut.cz/"} +{"d:Title": "Estonian Academy of Art", "d:Description": "Information about the academy, its educational programs, people of note, special relations, links and online library and forum.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.artun.ee/"} +{"d:Title": "Technische Universiteit Delft - The Netherlands", "d:Description": "The main focus in this specialization is on architectural design and through research that students develop the ability for independent work in architecture in relation to social and technical questions.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.bk.tudelft.nl/"} +{"d:Title": "KTH Royal Institute of Technology School of Architecture", "d:Description": "Information from the Student Counsellor's and International offices about programme structures and applications. Located in Sweden.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.kth.se/abe/inst/arch"} +{"d:Title": "Barlett Faculty of the Built Environment - University College London", "d:Description": "Information on the School of Architecture: programmes, people, research, events and resources.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.bartlett.ucl.ac.uk/"} +{"d:Title": "Architectural Association School of Architecture", "d:Description": "Both a learned society and a school of architecture. Details of foundation, undergraduate and graduate courses, events and resources.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.aaschool.ac.uk/"} +{"d:Title": "Study Guide Landscape Architecture and Environmental Planning", "d:Description": "Information in English, French and German.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.uni-hannover.de/en/studium/studienfuehrer/landschaft/index.php"} +{"d:Title": "Bilkent University Faculty of Art, Design and Architecture", "d:Description": "Links to the faculties various departments of study and admissions/administration information. Turkey.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.art.bilkent.edu.tr/"} +{"d:Title": "Centre for Architectural Design Studies", "d:Description": "A research department associated with the School of Architecture at the Faculty of Applied Sciences of the University of Li\u00e8ge (ULg) in Belgium. Its activities are mainly oriented towards computer-aided methods for architectural and urban design, and especially sustainable urban design.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.lema.ulg.ac.be/"} +{"d:Title": "University of Cambridge Department of Architecture", "d:Description": "Part of the Faculty of Architecture and History of Art. Information on courses, staff, research, studio work, events and admissions. UK.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.arct.cam.ac.uk/"} +{"d:Title": "Prince's Foundation", "d:Description": "An architecture school and trust founded by the Prince of Wales (UK) to promotes a return of human values to architecture. Outline of aims and projects.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.princes-foundation.org/"} +{"d:Title": "Berlage Institute", "d:Description": "In the Netherlands offers a postgraduate course for architects, with a focus on architecture, urban planning, and landscape architecture. Staff, curriculum.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.berlage-institute.nl/"} +{"d:Title": "Etnico Metsovio Polytechnio - Athens", "d:Description": "Architectural design, urban and regional planning, interior design and landscaping, building technology -structural design and mechanical equipment. Greece.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.ntua.gr/gr_academics/index.htm"} +{"d:Title": "Newcastle School of Architecture, Planning and Landscape", "d:Description": "The School brings together the teaching disciplines of architecture, town planning, landscape architecture, urban design, digital architecture and urban conservation.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.apl.ncl.ac.uk/"} +{"d:Title": "University of Dundee - School of Architecture", "d:Description": "The School aims to educate resourceful and adaptable architects who are able to respond creatively and responsibly to the demands of a complex and changing profession.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.architecture.dundee.ac.uk/"} +{"d:Title": "University of Strathclyde", "d:Description": "Courses centre upon design, giving priority to the acquisition of knowledge, skills and sensitivity needed to address the problems of the built environment", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.strath.ac.uk/architecture/"} +{"d:Title": "Spatial Development Research Unit", "d:Description": "A research based unit working within the Department of Urban and Regional Planning, Aristotle University of Thessalonika. Research, Geographical Information Systems Unit. Greece.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://estia.arch.auth.gr/"} +{"d:Title": "University of York Archaeology Department", "d:Description": "Offers post-graduate study in conservation of historic buildings. Information on the location, facilities, staff, research interests, news and events. UK.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.york.ac.uk/depts/arch/"} +{"d:Title": "University of Greenwich School of Architecture and Construction", "d:Description": "Information on all the design-based and built environment programmes: architecture, urban design, 3D digital design, graphic design, garden design, real estate, housing, construction, surveying.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.gre.ac.uk/schools/a-and-c/"} +{"d:Title": "Glasgow School of Art - Mackintosh School of Architecture", "d:Description": "Seeks to improve the relationship between people and their environment, encompasses the social and physical sciences as well as the arts.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.gsa.ac.uk/architecture/gsa.cfm?pid=11"} +{"d:Title": "New School of Viseu", "d:Description": "Offers traditional architecture and urbanism education in Portugal.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://luciensteil.tripod.com/newschoolofviseu/index.html"} +{"d:Title": "University of Architecture and Urbanism \"Ion Mincu\" - Bucharest", "d:Description": "Oldest architecture school in Romania, founded in 1892. Includes five sections - architecture, interior, urbanism, architectural college, and advanced studies. Named after architect Ion Mincu, Romanian master of the local 1900 style.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.iaim.ro/en/"} +{"d:Title": "London Metropolitan University - Department of Architecture and Spatial Design", "d:Description": "Offers courses in architecture, interior design, urban design, environmental design and spatial arts.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.londonmet.ac.uk/architecture/"} +{"d:Title": "Manchester School of Architecture", "d:Description": "Information about the school's history, courses, specialist colleges, publications, as well as links for existing students to online resources. UK.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.msa.ac.uk/"} +{"d:Title": "University of East London: School of Architecture and the Visual Arts", "d:Description": "Provides a description of the school and its activities, and details of the BSc and Masters programmes in architecture.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.uel.ac.uk/ava/"} +{"d:Title": "Cardiff University - Welsh School of Architecture", "d:Description": "Offers a three year BSc followed by a two year BArch with a first year of Education in Practice. Courses, research, staff, special centres and student work. UK.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.cardiff.ac.uk/archi/"} +{"d:Title": "University of Sheffield School of Architecture", "d:Description": "Offers information about the school, its courses, research programs, people, events, services, positions available and an online gallery. UK.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.sheffield.ac.uk/architecture"} +{"d:Title": "Izmir Institute of Technology - Faculty of Architecture", "d:Description": "Information on programs offered by four departments: architecture, city and regional planning, industrial design and architectural restoration.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://arch.iyte.edu.tr/"} +{"d:Title": "Edinburgh College of Art School of Architecture", "d:Description": "Focused around the studio and the design project. Based in Scotland. Outline of school aims and standards, courses, services.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "http://www.eca.ed.ac.uk/"} +{"d:Title": "University of Liverpool School of Architecture", "d:Description": "Provides an educational environment for students of architecture, building environmental engineering and construction management.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Europe", "url": "https://www.liverpool.ac.uk/architecture/"} +{"d:Title": "Dalhousie University - Faculty of Architecture", "d:Description": "On the Atlantic coast of Canada, it offers professional education in architecture and planning to students from North America and abroad.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/Canada", "url": "http://www.dal.ca/architecture"} +{"d:Title": "University of Manitoba: Faculty of Architecture", "d:Description": "In Winnipeg includes information about the school's undergraduate and masters' programs, faculty information, events, and student work.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/Canada", "url": "http://www.umanitoba.ca/faculties/architecture/"} +{"d:Title": "University of British Columbia School of Architecture", "d:Description": "Gives the school's news and events, mission statement, programs, faculty and staff, courses and calendar, publications and architecture links.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/Canada", "url": "http://www.arch.ubc.ca/"} +{"d:Title": "Carleton University School of Architecture", "d:Description": "Describes its academic programs, exhibitions, news and events, faculty and student body.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/Canada", "url": "http://www.arch.carleton.ca/"} +{"d:Title": "Ryerson University: Architectural Science", "d:Description": "Offers an undergraduate degree. Description of the academic program, faculty, facilities and student societies.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/Canada", "url": "http://www.ryerson.ca/arch/"} +{"d:Title": "Rhode Island School of Design", "d:Description": "Gives information about the school's faculty and design courses, which include architecture and interior architecture.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.risd.edu/"} +{"d:Title": "University of Cincinnati College of Design, Architecture, Art, and Planning", "d:Description": "The school's courses, events and conferences, general information about the school and special study centres.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.daap.uc.edu/"} +{"d:Title": "Ball State College of Architecture and Planning", "d:Description": "The college offers undergraduate and graduate professional degrees in architecture, landscape architecture and urban planning, and a special program in historic preservation.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.bsu.edu/cap/"} +{"d:Title": "Berkley University Architecture Department", "d:Description": "A large site giving information about the department's various programs, news, people, research and admission process.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://arch.ced.berkeley.edu/"} +{"d:Title": "Cal Poly Architecture Department", "d:Description": "The department offers course information for B.Architecture, masters and various off campus student programs.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.calpoly.edu/~arch/"} +{"d:Title": "The Catholic University of Architecture", "d:Description": "Offers information about the school's current events, programs offered, faculty lecturers, school history, how to apply, portfolios and connected organisations.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://architecture.cua.edu/"} +{"d:Title": "Columbia University Graduate School of Architecture, Planning and Preservation", "d:Description": "Information about the school, its faculty, facilities, programs and syllabi. Requires Flash.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.columbia.edu/"} +{"d:Title": "Gerald D. Hines College of Architecture", "d:Description": "Gives full information about the school's academic programs, people, news, online resources and student gallery. Shockwave and non-Shockwave versions.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.uh.edu/"} +{"d:Title": "Harvard University Graduate School of Design", "d:Description": "Gives information about the school, its research programs and publications, special projects and prizes.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.gsd.harvard.edu/"} +{"d:Title": "Illinois Institute of Technology College of Architecture", "d:Description": "Gives information about the college's history, courses, resources and student projects.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.iit.edu/"} +{"d:Title": "Montana State University School of Architecture", "d:Description": "Gives online information about the course schedule, staff, special community programs, lectures and alumni news.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.montana.edu/"} +{"d:Title": "Pennsylvania State University School of Architecture", "d:Description": "Gives information on the faculty, curriculum, facilities and graduate school. Requires Flash.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.psu.edu/"} +{"d:Title": "Rice University School of Architecture", "d:Description": "Gives information about the architecture course, admissions, online shockwave downloads of architecture interviews and online lectures.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://arch.rice.edu/"} +{"d:Title": "Texas A&M University College of Architecture", "d:Description": "Gives information about the school's design departments, centers and laboratories, students and press events, and university background.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://archone.tamu.edu/"} +{"d:Title": "University of California Los Angeles Department of Architecture and Urban Design", "d:Description": "Faculty and staff, degree programs, online classes, students and alumni, general information.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.aud.ucla.edu/"} +{"d:Title": "University of Kentucky College of Architecture", "d:Description": "Provides an online brochure, academic programs, the college community program and a guide to life in the college.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.uky.edu/Architecture/"} +{"d:Title": "University of Miami School of Architecture", "d:Description": "Supplies information about the faculty, laboratories, undergraduate curriculums, course sequences, seminar calendar and student societies.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arc.miami.edu/"} +{"d:Title": "University of Michigan Taubman College of Architecture and Urban Planning", "d:Description": "Gives information about the college, its staff, courses, news and publications as well as student resources.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.caup.umich.edu/"} +{"d:Title": "University of Minnesota College of Architecture and Landscape Architecture", "d:Description": "Gives information about admissions, lecture series, academic resources, student services and college research groups and centers.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.cala.umn.edu/"} +{"d:Title": "University of Utah Graduate School of Architecture", "d:Description": "A large site with information for students and architects about the school, its news and courses.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.utah.edu/"} +{"d:Title": "Virginia Tech College of Architecture and Urban Studies", "d:Description": "Information about the college's programs, news, people, special places and useful links.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.caus.vt.edu/"} +{"d:Title": "University of Oklahoma College of Architecture", "d:Description": "Gives information about the college, its history and academic programs.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.ou.edu/architecture/"} +{"d:Title": "University of Pittsburgh History of Art and Architecture", "d:Description": "Offers information about the school and its faculty, academic programs, and projects.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.pitt.edu/~arthome/"} +{"d:Title": "University of South Florida School of Architecture and Community Design", "d:Description": "Gives information about school admissions, general information, location, people, programs and news.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.usf.edu/"} +{"d:Title": "Washington State University College of Engineering and Architecture", "d:Description": "Gives an overview of the college and details of its academic programs.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.cea.wsu.edu/"} +{"d:Title": "Washington University in St Louis Department of Architecture", "d:Description": "Gives a clear overview of the department's aims and programs, including a special discovery program.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.wustl.edu/"} +{"d:Title": "University at Buffalo School of Architecture and Planning", "d:Description": "Provides an overview of the school, semester highlights, and information about the admission process, degree programs, study abroad programs and job opportunities.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.ap.buffalo.edu/"} +{"d:Title": "University of Oregon School of Architecture and Allied Arts", "d:Description": "Offers undergraduate and graduate degree programs in architecture and interior architecture. Admissions, faculty, staff, programs, events, gallery of student work.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://architecture.uoregon.edu/"} +{"d:Title": "The Institute for Traditional Architecture", "d:Description": "The institute promotes traditional architectural design through courses, seminars, lectures and publications. Information about the members, news, programs, image archives, recommended books and links.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://intranet.arc.miami.edu/rjohn/ITA/ITA.html"} +{"d:Title": "Oregon University School of Architecture and Allied Arts", "d:Description": "The principal center in Oregon for the study of architecture, art, planning and design. Admissions, faculty, resources and gallery of projects.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://aaa.uoregon.edu/"} +{"d:Title": "Philadelphia University School of Architecture and Design", "d:Description": "Informative site about the school's courses, faculty and special working programs.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.philau.edu/schools/add/"} +{"d:Title": "Southern Californian Institute of Architecture", "d:Description": "Supplies information about studying at the Institute, including admissions, public programs, news, student works, online resources, faculty and work opportunities.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.sciarc.edu/"} +{"d:Title": "Archeworks", "d:Description": "Alternative multi-disciplinary design school in Chicago that includes admission information and student projects in alternative architecture and design.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.archeworks.org/"} +{"d:Title": "Architecture Rensselaer", "d:Description": "Rensselaer Polytechnic Institute in New York offers a design curriculum incorporating science, technology, and the humanities.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.rpi.edu/"} +{"d:Title": "Boston Architectural Center", "d:Description": "Offers bachelor's and master's degrees in architecture and interior design. Application, academic information, and student exhibition information. Boston, Massachusetts.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.the-bac.edu/"} +{"d:Title": "Arizona State University School of Architecture", "d:Description": "Offers a Bachelor of Science in Design Degree with a major in Architectural Studies as well as graduate programs leading to the professional degree of Master of Architecture and the post-professional degree of Master of Science in Building Design.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.asu.edu/caed/SOA/"} +{"d:Title": "Massachusetts Institute of Technology - Department of Architecture", "d:Description": "Educational environment for the study and practice of architecture and art. Provides details of degree courses, staff, recent studios and workshops, and research.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://architecture.mit.edu/"} +{"d:Title": "City College of San Francisco Architecture Department", "d:Description": "Information about the courses offered, degrees and certificates and the faculty staff.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.ccsf.edu/Departments/Architecture/"} +{"d:Title": "NewSchool of Architecture and Design", "d:Description": "In San Diego, California. Calendar, admissions, courses, degrees, faculty, accreditation, and policies.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.newschoolarch.edu/"} +{"d:Title": "Auburn University College of Architecture Design and Construction", "d:Description": "Information about the school and its campus-based and online studio courses.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.cadc.auburn.edu/"} +{"d:Title": "College of the Sequoias Department of Architecture", "d:Description": "Offers two programs, a two-year associate degree and a one-year certificate program. Course details, faculty and facilities.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.cos.edu/architecture/"} +{"d:Title": "University of Texas School of Architecture", "d:Description": "Information about the school, its curriculum, community programs, events, publications and current architectural news.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://soa.utexas.edu/"} +{"d:Title": "Ohio State University Knowlton School of Architecture", "d:Description": "Gives information about the school's programs in architecture, landscape architecture and city and regional planning.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://knowlton.osu.edu/"} +{"d:Title": "Tulane School of Architecture", "d:Description": "Supplies information about the school history, programs, courses, admissions, alumni and the city of New Orleans.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://architecture.tulane.edu/"} +{"d:Title": "Texas Technical University College of Architecture", "d:Description": "Gives information for students and architects about scholarships, academics, admissions, and historic preservation.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.ttu.edu/"} +{"d:Title": "Princetown University School of Architecture", "d:Description": "Gives information about the school's courses and news, as well as contact email addresses of current staff and students.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://soa.princeton.edu/"} +{"d:Title": "Syracuse University School of Architecture", "d:Description": "Offers information about the school's history and courses, as well as links, computer resources and information for prospective students.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://soa.syr.edu/"} +{"d:Title": "University of Hawaii School of Architecture", "d:Description": "Gives information about the school, admission process, programs, places, people and events.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.hawaii.edu/"} +{"d:Title": "University of Southern California School of Architecture", "d:Description": "A large site with information about the school and its context, programs, facilities, financial aid, faculty profiles, courses, calendar and contacts.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://arch.usc.edu/"} +{"d:Title": "University of Nebraska College of Architecture", "d:Description": "Supplies information on the college calendar, departments, research centers, organisations and the Joslyn Castle Institute.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://archweb.unl.edu/"} +{"d:Title": "Georgia Institute of Technology Architecture Program", "d:Description": "Gives information about the school's courses, news, fields of study, people, publications, and admission process.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.gatech.edu/"} +{"d:Title": "New Jersey Institute of Technology School of Architecture", "d:Description": "Information on admissions, the curriculum, faculty and its publications, research, facilities and events.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://architecture.njit.edu/"} +{"d:Title": "Iowa State University Architecture Department", "d:Description": "The department's courses, faculty, students and school calendar.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.design.iastate.edu/architecture/index.php"} +{"d:Title": "University of Notre Dame School of Architecture", "d:Description": "An introduction with a brief profile and images of the school and its contact details.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://architecture.nd.edu/"} +{"d:Title": "Charles W. Durham School of Architectural Engineering&Construction", "d:Description": "A special unit in the College of Engineering at the University of Nebraska-Lincoln. It is the only program in the nation that combines architectural engineering, construction engineering and construction management under one school.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://engineering.unl.edu/durhamschool/"} +{"d:Title": "University of Illinois - School of Architecture", "d:Description": "The school explains its programs and courses and gives information on the school, its staff, faculty and events.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.arch.illinois.edu/"} +{"d:Title": "University of Tennessee College of Architecture and Design", "d:Description": "Gives information about the college's undergraduate and graduate degrees in architecture, its faculty, staff alumni, lectures and events.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://archdesign.utk.edu/"} +{"d:Title": "University of New Mexico School of Architecture and Planning", "d:Description": "Gives information about the school, its programs and organizations, admission procedures, staff, student support and current news and events.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://saap.unm.edu/"} +{"d:Title": "Andrews University Division of Architecture", "d:Description": "A Christian orientated school of architecture. The site offers information about the courses, school and student work.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.andrews.edu/andrewssaad/"} +{"d:Title": "Carnegie Mellon School of Architecture", "d:Description": "Supplies information the school's people, educational programs, admissions, site resources and special events.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://soa.cmu.edu/"} +{"d:Title": "University of Kansas School of Architecture, Design&Planning", "d:Description": "Gives information about the architecture courses offered.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://catalog.ku.edu/architecture/"} +{"d:Title": "Kansas State University College of Architecture, Planning and Design", "d:Description": "A student orientated site with information about the college's courses, staff contacts and online services.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://apdesign.k-state.edu/"} +{"d:Title": "University of Illinois School of Architecture", "d:Description": "Information about the school's history, philosophy, courses, faculty and people, scholarships, lecture series, libraries and galleries.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://arch.uic.edu/"} +{"d:Title": "Northeastern University - School of Architecture", "d:Description": "Site provides information on curriculum, advising, co-op, faculty, research, lectures and exhibitions.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.northeastern.edu/camd/architecture/"} +{"d:Title": "Connecticut College Architectural Studies", "d:Description": "Gives the aims and objectives of the College and information on its courses, students, faculty members, alumni, links to other relevant architecture websites.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://www.conncoll.edu/academics/majors-departments-programs/majors-and-minors/architectural-studies/"} +{"d:Title": "The University of Nebraska, Architectural Engineering", "d:Description": "This program is part of the Charles W. Durham School of Architectural Engineering and Construction. Information on the program and facilities.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://engineering.unl.edu/durhamschool/architectural-engineering/"} +{"d:Title": "University of Arizona College of Architecture", "d:Description": "Gives information about the college's academic programs, faculty, students, alumni, research publications, online resources, college calendar, course pages and computer technical support.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://capla.arizona.edu/college-architecture-planning-landscape"} +{"d:Title": "Cornell University Department of Architecture", "d:Description": "Gives detailed information about the department's aims and objectives, news and publications, special programs and curriculums.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "http://aap.cornell.edu/department-architecture"} +{"d:Title": "Pratt Institute School of Architecture", "d:Description": "Offers graduate and postgraduate programs in architecture or architecture and urban design. School profile and details of programs, events, courses, faculty and staff.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/North_America/United_States", "url": "https://www.pratt.edu/academics/architecture/"} +{"d:Title": "University of Western Australia: Faculty of Architecture, Landscape and Visual Arts", "d:Description": "Gives detailed information about the courses offered, as well as a staff list, virtual gallery, student information and what's new. Australia.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Oceania", "url": "http://www.alva.uwa.edu.au/"} +{"d:Title": "University of Melbourne Faculty of Architecture, Building and Planning", "d:Description": "Supplies information on academic programs, current research, staff, exhibitions and seminars. Australia.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Oceania", "url": "http://www.abp.unimelb.edu.au/"} +{"d:Title": "Adelaide University School of Architecture, Landscape and Urban Design", "d:Description": "Gives information about the school's history, lecturing staff, research programs, projects, calendar and courses. Online courses. Australia.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Oceania", "url": "http://www.arch.adelaide.edu.au/"} +{"d:Title": "University of New South Wales Faculty of the Built Environment", "d:Description": "Gives information about the faculty, degrees and courses, students, staff, research, exhibits, special online computer tutorials and architecture seminars.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Oceania", "url": "http://www.fbe.unsw.edu.au/"} +{"d:Title": "University of Queensland Department of Architecture", "d:Description": "Provides information about the schools news and events, degrees and courses, staff profiles, resources, research as well as an online gallery of student work. Australia.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Oceania", "url": "http://www.architect.uq.edu.au/"} +{"d:Title": "Victoria University School of Architecture", "d:Description": "Gives information about the school's courses, staff, resources, timetables, research programs, facilities and student work online. New Zealand.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Oceania", "url": "http://www.arch.vuw.ac.nz/"} +{"d:Title": "University of Technology Sydney - Faculty of Design, Architecture, Building", "d:Description": "Offers courses and subjects in the discipline of design, architecture, building and property.", "topic": "Top/Arts/Architecture/Education/Academic_Departments/Oceania", "url": "http://www.dab.uts.edu.au/"} +{"d:Title": "Brooks, Robert", "d:Description": "A quantity surveyor and lecture at a college of further education. Site contains information of interest to students of construction and estimating and surveying. Short sound files lecturettes are included.", "topic": "Top/Arts/Architecture/Education/Educators", "url": "http://www.robertbrooks.plus.com/"} +{"d:Title": "Krastins, Janis", "d:Description": "Professor at the University of Riga Technical University in Latvia. Research interests include historic architecture, art-nouveau in Europe, modern style and restoration and preservation of monuments.", "topic": "Top/Arts/Architecture/Education/Educators", "url": "http://www.lza.lv/scientists/krastinsj.htm"} +{"d:Title": "Akin, \u00d6mer", "d:Description": "Professor Akin is a faculty member at Carnegie Mellon University and conducts research on the design process and architectural ethics. Biography, research, publications, teaching and designs.", "topic": "Top/Arts/Architecture/Education/Educators", "url": "http://www.andrew.cmu.edu/user/oa04/"} +{"d:Title": "Raynsford, Anthony", "d:Description": "Teaches architectural history and theory at Temple University. CV and research interests, which include the historiography of modernism, 20th-century urban design, and the visual culture of cities.", "topic": "Top/Arts/Architecture/Education/Educators", "url": "http://www.anthonyraynsford.net/"} +{"d:Title": "El Shafie, Dr. Hatem", "d:Description": "Associate Professor of CAAD in King Saud University. His research interests include computer applications in architecture, emergency egress, smart architecture and Green architecture.", "topic": "Top/Arts/Architecture/Education/Educators", "url": "http://faculty.ksu.edu.sa/hs/"} +{"d:Title": "Great Lakes School of Log Building", "d:Description": "Teaching the craft of log building in northern Minnesota. Courses are designed for all skill levels, and men and women of all ages are invited to participate.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://www.schooloflogbuilding.com/"} +{"d:Title": "The Ecosa Institute", "d:Description": "The Ecosa Institute offers a semester long Total Immersion Program in Sustainable Design Education for college students beginning August 28, 2000 at Arcosanti.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://www.ecosainstitute.org/"} +{"d:Title": "BTB Feng Shui Professional Training Program", "d:Description": "An extensive website providing information about Feng Shui and the Black Sect Tradition. The site has a schedule of classes, information about teachers and other useful links.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://btbfengshui.org/"} +{"d:Title": "Internet Studio 2001", "d:Description": "Nine schools of architecture in Miami and Latin America collaborate over the Internet.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://istudio01.tripod.com/"} +{"d:Title": "Professional Drafting Services", "d:Description": "South African centre offering draughting and CAD training in architecture and related disciplines.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://www.pds.co.za/"} +{"d:Title": "Reworking the Factory", "d:Description": "Intensive programme on the strategies to re-evaluate the industrial heritage of the early 20th century, organised by European Community Education and Culture Socrates Programme Erasmus.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://www.reworking-the-factory.org/"} +{"d:Title": "Earthwood Building School", "d:Description": "Workshops in West Chazy, New York by Rob and Jaki Roy in cordwood masonry and earth sheltered housing.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://www.cordwoodmasonry.com/"} +{"d:Title": "Domus Academy, Milan", "d:Description": "Spring and fall semesters and summer short courses offered at Europe's premier postgraduate school of design, Domus Academy in Milan, Italy.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://www.domusacademy.com/?req=master&id=7&lang=EN"} +{"d:Title": "The Florence Institute of Design International", "d:Description": "Summer courses in architecture offered by an international design school located in Florence, Italy.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://florence-institute.com/Architecture_Florence.html"} +{"d:Title": "Architecture Courses", "d:Description": "Resources and tutorials to help architectural students, intern architects, and almost anyone with their architecture studies.", "topic": "Top/Arts/Architecture/Education/Semester_and_Short_Courses", "url": "http://www.architecturecourses.org/"} +{"d:Title": "Archilab", "d:Description": "Annual international architectural conference in Orl\u00e9ans. It acts as a source of information on research architecture. Dates, round tables, connected events.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.archilab.org/"} +{"d:Title": "Lightfair International", "d:Description": "North America's largest annual architectural and commercial trade lighting show. Location and dates for two years in advance.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.lightfair.com/"} +{"d:Title": "H.O.P.E.S. Conferences", "d:Description": "The Holistic Options for Planet Earth Sustainability is an ecological design arts conference. Features the details of past and present events.", "topic": "Top/Arts/Architecture/Events", "url": "http://hopes.uoregon.edu/"} +{"d:Title": "Archinect : Events Calendar", "d:Description": "Tracks of architectural events from around the world.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.archinect.com/events/"} +{"d:Title": "Image", "d:Description": "The International Festival for Architecture in Video. An event dedicated to the use of video as tool for the communication of architecture.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.image-web.org/"} +{"d:Title": "Blue in Architecture", "d:Description": "International symposium focused on water.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.iuav.it/blueinarchitecture09"} +{"d:Title": "Live Architecture Network", "d:Description": "LaN directs global workshops on digital design and fabrication for academic and client based projects.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.livearchitecture.net/"} +{"d:Title": "ATHENS by SOUND", "d:Description": "Greek national participation to the 11th International Architecture Exhibition La Biennale di Venezia.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.athensbysound.gr/"} +{"d:Title": "Mondo Lounge", "d:Description": "Las Vegas Modernism Week presented by the Atomic Age Alliance focuses on design and architecture events and programs in Las Vegas, Nevada.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.mondolounge.com/"} +{"d:Title": "Eme3 international architecture festival", "d:Description": "Serves as a worldwide connecting node to discover, understand and share new ways of architecture and urbanism strongly related to the reality of contemporary societies.", "topic": "Top/Arts/Architecture/Events", "url": "http://www.eme3.org/"} +{"d:Title": "The Architecture Room", "d:Description": "News of architecture competitions and prizes in UK, Ireland and elsewhere.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.thearchitectureroom.com/"} +{"d:Title": "Hanssem International Interior Design Competition", "d:Description": "An opportunity for creative designers. Three categories are being accepted: architectural design, interior design, and furniture and household utensils design.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.hanssemcompe.com/"} +{"d:Title": "Out of the Box: Digital Architecture Competition", "d:Description": "A biennial design award of the Pasadena and Foothill Chapter of the AIA showcasing new methodologies of communication and information.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.webscape.com/AIAawards2002/"} +{"d:Title": "Archiprix International", "d:Description": "A biennial international competition for the best graduation projects in architecture, urban design and landscape architecture. A database of universities and academies teaching architecture.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.archiprix.org/"} +{"d:Title": "The Lyceum Fellowship", "d:Description": "Promotes new talent by creating a vehicle for stimulating perceptive reasoning and inspiring creative thought in architecture.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.lyceum-fellowship.org/"} +{"d:Title": "Akichiatlas.com", "d:Description": "An architectural competition portal site, which provides comprehensive information on architectural competitions and awards in a number of languages.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.akichiatlas.com/"} +{"d:Title": "Dedalo Minosse International Prize", "d:Description": "An International Prize for commissioning a building.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.dedalominosse.org/"} +{"d:Title": "suckerPUNCH design competitions", "d:Description": "suckerPUNCH sponsors progressive open international design competitions.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.suckerpunchdaily.com/category/competitions/"} +{"d:Title": "Felicity Project Design Contest", "d:Description": "Official website of Felicity Project international contest. Information about topic, submissions, deadlines, the jury, the awards, sponsors, contacts.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.felicityproject.it/"} +{"d:Title": "ArchiCalendar", "d:Description": "A web-based architectural event calendar.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.archicalendar.com/"} +{"d:Title": "The Architecture Competitions Blog", "d:Description": "Seeks to publicize competitions under the categories of Architecture and Engineering.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.thecompetitionsblog.com/"} +{"d:Title": "KRob: Ken Roberts Memorial Delineation Competition", "d:Description": "Celebrates the best in architectural drawing. Accepts hand and digital work from architecture students, professionals and architectural illustrators.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.krobarch.com/"} +{"d:Title": "Death By Architecture", "d:Description": "United States Partner in the International Competition Network. This site features current competition listings, job resources, architecture links, feature projects and new media related information.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.deathbyarchitecture.com/"} +{"d:Title": "Sunset-AIA Western Home Awards", "d:Description": "Sunset-AIA Western Home Award.", "topic": "Top/Arts/Architecture/Events/Competitions", "url": "http://www.sunset.com/home/architecture-design/how-to-enter-sunset-western-home-awards"} +{"d:Title": "The Historic City", "d:Description": "In a Dialog with the Present Day Conference in Gdansk, Poland, 18 - 20 Nov. 1999", "topic": "Top/Arts/Architecture/Events/Past", "url": "http://www.pg.gda.pl/~jkrenz/miasto-gb.html"} +{"d:Title": "Worldfairs", "d:Description": "The website of the world's fairs and International Expositions, history, chronology, pavilions, data from all past and future exhibitions.", "topic": "Top/Arts/Architecture/Events/Past", "url": "http://www.worldfairs.info/"} +{"d:Title": "The Translucent House", "d:Description": "A prototype by Alfons Soldevila. Photograph parades show the building process from design to finish, and other buildings using translucence. A detailed description and theoretical background.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://arquitectes.coac.net/lacasatranslucida/"} +{"d:Title": "Curvilinear Surfaces", "d:Description": "Aleksandra Kasuba explains how to install curved fabric structures (under tension) in environmental and architectural projects. Examples of her installations. Biography, bibliography.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.curvedsurfaces.com/"} +{"d:Title": "Architects Design in Cyberspace", "d:Description": "Illustrated article by Alfredo Andia and Claudia Busch on the designs by New York based architectural firm Asymptote on a virtual New York Stock Exchange.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://members.tripod.com/wwwandia/art/100.html"} +{"d:Title": "The Steel Research House", "d:Description": "An experiment in modern house design using light steel framing at the University of Nottingham, UK. Context, project outline and progress. Feedback form for comments.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.foursteelwalls.co.uk/"} +{"d:Title": "Zomes Concept", "d:Description": "Creating homes from a harmonious family of geometric forms. Includes definitions, symbolism, images, and construction.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.zomes-concept.com/"} +{"d:Title": "Diaphan Architectural", "d:Description": "Sabine von Fischer explores space in material and thought, in the form of drawings and texts \u2013 from concept and criticism to construction. Projects focus on sensorial and invisible boundaries such as \"sonic barriers\", and on completely collapsed objects in the \"event horizon\" series.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.diaphanarch.ch/"} +{"d:Title": "Decodeine", "d:Description": "Margot Kasojevik presents dynamics, simulation and animation design processes in architecture. Includes animations.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.decodeine.org/"} +{"d:Title": "Dynamic Architecture", "d:Description": "David Fisher presents his design for a rotating tower in Dubai. Between its independently rotating floors are wind turbines, generate electric energy for itself as well as for other buildings. Includes description, video and press articles.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.dynamicarchitecture.net/"} +{"d:Title": "Parasitic Architecture", "d:Description": "A manifesto on the subject of parasitic architecture; defined as an adaptable, transient and exploitative form of architecture that forces relationships with host buildings.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.freewebs.com/parasitic-architecture/"} +{"d:Title": "Michael Hansmeyer - Computational Architecture", "d:Description": "Features profile and experiments in computational and algorithmic architecture.", "topic": "Top/Arts/Architecture/Experimental", "url": "http://www.michael-hansmeyer.com/"} +{"d:Title": "Hotel Everland", "d:Description": "Specifically created for Expo.02 by Swiss artist-duo Sabina Lang and Daniel Baumann, this small green futuristic building accommodates two people and uses curving lines. Image gallery and information.", "topic": "Top/Arts/Architecture/Experimental/Biomorphic", "url": "http://www.everland.ch/"} +{"d:Title": "Roadside America: The Last of the Xanadus", "d:Description": "Illustrated article on the Xanadu - the sprayed-foam \"Home of the Future\" tourist attraction franchise.", "topic": "Top/Arts/Architecture/Experimental/Biomorphic", "url": "http://www.roadsideamerica.com/set/xanadu.html"} +{"d:Title": "Eliphante", "d:Description": "Photographs and history of Michael and Leda Kahn's sculptural, painted, architectural three-acre living art installation in Sedona, Arizona.", "topic": "Top/Arts/Architecture/Experimental/Biomorphic", "url": "http://www.eliphante.org/"} +{"d:Title": "The Venus Project", "d:Description": "Concrete concept buildings from the utopian/futurist research center located in Venus, Florida. Presentation of project vision, ideas, and philosophies.", "topic": "Top/Arts/Architecture/Experimental/Biomorphic", "url": "http://www.thevenusproject.com/"} +{"d:Title": "14th Vision", "d:Description": "Edward Rojas presents his thesis project: an exploration into \"blobchitecture\" in the form of an Astronomy Museum/Skyscraper in Downtown Los Angeles.", "topic": "Top/Arts/Architecture/Experimental/Biomorphic", "url": "http://www.14thvision.com/"} +{"d:Title": "MaterialEcology", "d:Description": "Formed by Neri Oxman as an interdisciplinary research initiative that undertakes design research in the intersection between architecture, engineering, computation, biology and ecology.", "topic": "Top/Arts/Architecture/Experimental/Biomorphic", "url": "http://www.materialecology.com/"} +{"d:Title": "Chayo Frank", "d:Description": "This Miami-based firm specializes in sprayed-concrete freeform residences and sculptural architecture. Images of their organic and exotic designs.", "topic": "Top/Arts/Architecture/Experimental/Biomorphic", "url": "http://chayofrank.com/"} +{"d:Title": "Concrete Canvas", "d:Description": "Engineers William Crawford and Peter Brewin have developed a building in a bag that requires only water and air for construction, intended for emergency shelters, and Concrete Cloth, a flexible mat that will harden to a concrete surface on the addition of water.", "topic": "Top/Arts/Architecture/Experimental/Modular", "url": "http://www.concretecanvas.org.uk/"} +{"d:Title": "Futuro House : Home of the Future", "d:Description": "Len Peltier presents photographs, a plan, and links to related sites on this space-saucer modular home designed by Finnish architect Matti Suuronen in 1968.", "topic": "Top/Arts/Architecture/Experimental/Modular", "url": "http://www.futurohouse.com/"} +{"d:Title": "Arcosanti", "d:Description": "An experimental town in the desert of Arizona, built to embody Paolo Soleri's concept of arcology - the fusion of architecture with ecology. Theory, workshops, events, images, visitor information.", "topic": "Top/Arts/Architecture/Experimental/Sustainable", "url": "http://www.arcosanti.org/"} +{"d:Title": "Cae Mabon", "d:Description": "This retreat centre in Wales created by storyteller Eric Maddern is composed of a group of low-impact buildings, including reconstructions of Iron Age roundhouses and a straw-bale hogan, inspired by Navaho dwellings. Includes photographs and history.", "topic": "Top/Arts/Architecture/Experimental/Sustainable", "url": "http://www.caemabon.co.uk/"} +{"d:Title": "Lammas", "d:Description": "Describes a planned low-impact eco-village near Glandwr. Profile, proposal and how to become involved.", "topic": "Top/Arts/Architecture/Experimental/Sustainable", "url": "http://www.lammas.org.uk/"} +{"d:Title": "Ecoboot", "d:Description": "This weblog looks at initiatives and research on amphibious or floating houses.", "topic": "Top/Arts/Architecture/Experimental/Sustainable", "url": "http://www.ecoboot.nl/ecoboot_new/"} +{"d:Title": "A Low Impact Woodland Home", "d:Description": "Simon Dale describes how he built his own Hobbit-like house in Wales with maximum regard for the environment. Includes plans and photographs of the building process and finished house.", "topic": "Top/Arts/Architecture/Experimental/Sustainable", "url": "http://www.simondale.net/house/"} +{"d:Title": "Vitruvio: Masters", "d:Description": "Biographies of famous architects, image galleries, bibliographies, some VRML models and documentation.", "topic": "Top/Arts/Architecture/Famous_Names", "url": "http://www.vitruvio.ch/arc/masters/masters.php"} +{"d:Title": "Famous Architects", "d:Description": "Biographies of well-known architects, almost all of the Modern Movement.", "topic": "Top/Arts/Architecture/Famous_Names", "url": "http://architect.architecture.sk/"} +{"d:Title": "An Introduction for Object-Oriented Designers", "d:Description": "A review of Christopher Alexander's major texts, interspersed with remarks about the architect's methodological and pragmatic concerns and software design. By Doug Lea.", "topic": "Top/Arts/Architecture/Famous_Names/A/Alexander,_Christopher", "url": "http://g.oswego.edu/dl/ca/ca/ca.html"} +{"d:Title": "Pattern Language", "d:Description": "Official web site of the architect. Attempts to put his theory into practice via the web, users can design buildings online using Alexander's principles. Extensive excerpts from the architect's texts and reviews.", "topic": "Top/Arts/Architecture/Famous_Names/A/Alexander,_Christopher", "url": "http://www.patternlanguage.com/"} +{"d:Title": "A Generative Sequence for Building a Residential College", "d:Description": "Applying Alexander's ideas on architectural patterns and sequences to the creation of strong academic and social communities within large universities.", "topic": "Top/Arts/Architecture/Famous_Names/A/Alexander,_Christopher", "url": "http://www.collegiateway.org/howto/sequence/"} +{"d:Title": "The Nature of Order", "d:Description": "Official site of Christopher Alexander's four-volume series, \"The Nature of Order\".", "topic": "Top/Arts/Architecture/Famous_Names/A/Alexander,_Christopher", "url": "http://www.natureoforder.com/"} +{"d:Title": "Tadao Ando", "d:Description": "Biography of the modern Japanese architect in Great Buildings Online, with samples of his work and bibliography.", "topic": "Top/Arts/Architecture/Famous_Names/A/Ando,_Tadao", "url": "http://www.greatbuildings.com/architects/Tadao_Ando.html"} +{"d:Title": "Tadao Ando: Photographs by Sanghyun Lee", "d:Description": "A gallery of photographs of the architect's works in Japan, together with his resume and an article from Casa on his work in Europe and the United States.", "topic": "Top/Arts/Architecture/Famous_Names/A/Ando,_Tadao", "url": "http://www.andotadao.org/"} +{"d:Title": "Summons", "d:Description": "An illustrated commentary on this architectural installation by Mauricio Rodriguez Anza in ExTeresa Museum, Mexico City in August, 1998.", "topic": "Top/Arts/Architecture/Famous_Names/A/Anza,_Mauricio_Rodr\u00edguez", "url": "http://www.summons.0pi.com/english.htm"} +{"d:Title": "Calatrava, Santiago (1951- )", "d:Description": "Official web site of the Spanish architect, offices in Paris, Valencis and Zurich. Extensive project portfolio, with some animations.", "topic": "Top/Arts/Architecture/Famous_Names/C/Calatrava,_Santiago", "url": "http://www.calatrava.com/"} +{"d:Title": "Calatrava, Santiago (1951- )", "d:Description": "Biography of the Spanish architect and engineer in Great Buildings Online.", "topic": "Top/Arts/Architecture/Famous_Names/C/Calatrava,_Santiago", "url": "http://www.greatbuildings.com/architects/Santiago_Calatrava.html"} +{"d:Title": "Structurae: Santiago Calatrava Valls", "d:Description": "List of works, biography, bibliography.", "topic": "Top/Arts/Architecture/Famous_Names/C/Calatrava,_Santiago", "url": "http://structurae.net/persons/santiago-calatrava"} +{"d:Title": "Charles Correa Associates", "d:Description": "Official web site of the Mumbai based architect. Extensive project descriptions with photographs, biography and bibliography.", "topic": "Top/Arts/Architecture/Famous_Names/C/Correa,_Charles", "url": "http://www.charlescorrea.net/"} +{"d:Title": "Charles Correa", "d:Description": "Architect, planner, activist and theoretician, his work covers a wide range. Over the last four decades, Correa has done pioneering work in urban issues and low cost shelter in the Third World.", "topic": "Top/Arts/Architecture/Famous_Names/C/Correa,_Charles", "url": "http://www.greatbuildings.com/architects/Charles_Correa.html"} +{"d:Title": "Vastu Shilpa Foundation for Studies and Research in Environmental Design", "d:Description": "A non-profit, non-governmental research organisation in India. Profile, activities, publications and awards.", "topic": "Top/Arts/Architecture/Famous_Names/D/Doshi,_Balkrishna", "url": "http://www.vastushilpa.org/"} +{"d:Title": "Eisenman, Peter (1932- )", "d:Description": "Biography of the American architectural theorist, samples of his work and bibliography from Great Buildings Online.", "topic": "Top/Arts/Architecture/Famous_Names/E/Eisenman,_Peter", "url": "http://www.greatbuildings.com/gbc/architects/Peter_Eisenman.html"} +{"d:Title": "Stanford Presidential Lectures: Peter Eisenman", "d:Description": "Brief introduction, excerpts from texts by Peter Eisenman and commentaries. Project descriptions and gallery.", "topic": "Top/Arts/Architecture/Famous_Names/E/Eisenman,_Peter", "url": "http://prelectur.stanford.edu/lecturers/eisenman/index.html"} +{"d:Title": "Fehn, Sverre", "d:Description": "Pritzker Architecture Prize site offers a biography and photograph of the Norwegian architect, photo gallery and chronology of his work, and 1997 citation by the jury. (1925-)", "topic": "Top/Arts/Architecture/Famous_Names/F/Fehn,_Sverre", "url": "http://www.pritzkerprize.com/laureates/1997/"} +{"d:Title": "Dietmar Feichtinger", "d:Description": "Dietmar Feichtinger, Prize of Architecture 1998, Academy of Fine Arts Berlin. Winner of international competition footbridge Bercy-Tolbiac Paris.", "topic": "Top/Arts/Architecture/Famous_Names/F/Feichtinger,_Dietmar", "url": "http://www.feichtingerarchitectes.com/"} +{"d:Title": "Foster and Partners", "d:Description": "Official site of the London-based architects responsible for the Chep Lap Kok Airport, the Hong Kong and Shanghai Bank and the Bilbao Metro. Includes a biography of Lord Foster of Thames Bank.", "topic": "Top/Arts/Architecture/Famous_Names/F/Foster,_Norman", "url": "http://www.fosterandpartners.com/"} +{"d:Title": "Foster, Norman", "d:Description": "Biography of the prize-winning 'High-Tech' modern architect in Great Buildings Online, with samples of his work and bibliography. (1935- )", "topic": "Top/Arts/Architecture/Famous_Names/F/Foster,_Norman", "url": "http://www.greatbuildings.com/architects/Norman_Foster.html"} +{"d:Title": "Sir Norman Foster", "d:Description": "Biography, detailed project list with images and bibliography archived at ArchINFORM database. (1935- )", "topic": "Top/Arts/Architecture/Famous_Names/F/Foster,_Norman", "url": "http://www.archinform.net/arch/400.htm"} +{"d:Title": "Frank O. Gehry: The Architect's Studio", "d:Description": "Arcspace provides a photograph and details of this exhibition, including the catalog introduction by Nikolai Ouroussoff and highlights from reviews.", "topic": "Top/Arts/Architecture/Famous_Names/G/Gehry,_Frank_O.", "url": "http://www.arcspace.com/gehry_new/index.html"} +{"d:Title": "Frank Gehry", "d:Description": "The Pritzker Architecture Prize site offers a brief biography of the Canadian-born naturalized US architect, 1989 citation by the Pritzker Jury and his acceptance speech.", "topic": "Top/Arts/Architecture/Famous_Names/G/Gehry,_Frank_O.", "url": "http://www.pritzkerprize.com/laureates/1989/index.html"} +{"d:Title": "Salon Brilliant Careers: Frank Gehry", "d:Description": "Karen Templer assesses the career of the Pritzker Prize-winning architect. Includes links to photographs of his works.", "topic": "Top/Arts/Architecture/Famous_Names/G/Gehry,_Frank_O.", "url": "http://www.salon.com/1999/10/05/gehry/"} +{"d:Title": "Michael Graves", "d:Description": "Illustrated biography at Princeton Patron Magazine.", "topic": "Top/Arts/Architecture/Famous_Names/G/Graves,_Michael", "url": "http://www.princetonol.com/patron/mgraves.html"} +{"d:Title": "Michael Graves (1934- )", "d:Description": "Works and biography at Great Buildings Online.", "topic": "Top/Arts/Architecture/Famous_Names/G/Graves,_Michael", "url": "http://www.greatbuildings.com/architects/Michael_Graves.html"} +{"d:Title": "Michael Graves (1934 - )", "d:Description": "Biography, detailed project list with images and bibliography archived at ArchINFORM database.", "topic": "Top/Arts/Architecture/Famous_Names/G/Graves,_Michael", "url": "http://www.archinform.net/arch/21.htm"} +{"d:Title": "Steven Holl Architects", "d:Description": "Web site of the architect of the award winning Chapel of St. Ignatius in Seattle, Washington.", "topic": "Top/Arts/Architecture/Famous_Names/H/Holl,_Steven", "url": "http://www.stevenholl.com/"} +{"d:Title": "The Chapel of St. Ignatius", "d:Description": "Seattle University's award winning chapel.", "topic": "Top/Arts/Architecture/Famous_Names/H/Holl,_Steven", "url": "http://www.seattleu.edu/chapel/"} +{"d:Title": "The Muzharul Islam Archive", "d:Description": "Maintains and catalogs the drawings and related materials of noted Bangladeshi architect Muzharul Islam. It is hosted by the University of Asia Pacific. Biography and photographs.", "topic": "Top/Arts/Architecture/Famous_Names/I", "url": "http://www.muzharulislam.com/"} +{"d:Title": "20th Century Architecture: Helmut Jahn", "d:Description": "Photographs and descriptions from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/Famous_Names/J/Jahn,_Helmut", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/jahn.html"} +{"d:Title": "Great Buildings Online: Helmut Jahn", "d:Description": "A profile of the German-born architect together with images and commentary on some of his best-known buildings.", "topic": "Top/Arts/Architecture/Famous_Names/J/Jahn,_Helmut", "url": "http://www.greatbuildings.com/architects/Helmut_Jahn.html"} +{"d:Title": "Charles Jencks", "d:Description": "The official site includes a full CV of this designer of buildings, landscape and sculpture, who is also an architectural historian known for his books questioning Modern architecture and defining its successors.", "topic": "Top/Arts/Architecture/Famous_Names/J/Jencks,_Charles", "url": "http://www.charlesjencks.com/"} +{"d:Title": "Architecture Profile: Charles Jencks", "d:Description": "Michael Cathcart talks to Charles Jencks about his view that there has been a paradigm shift in contemporary architecture. The simple brute forms of Modernism have had their day he says.", "topic": "Top/Arts/Architecture/Famous_Names/J/Jencks,_Charles", "url": "http://www.abc.net.au/rn/arts/atoday/stories/s248345.htm"} +{"d:Title": "Culture 24: Charles Jencks' Landform Wins \u00a3100,000 Gulbenkian Museum Prize", "d:Description": "The Scottish National Gallery of Modern Art won the 2004 Gulbenkian Prize for Museum of the Year for Charles Jencks' dramatic and radical landscaping project, Landform.", "topic": "Top/Arts/Architecture/Famous_Names/J/Jencks,_Charles", "url": "http://www.culture24.org.uk/art/art21728"} +{"d:Title": "Johnson, Philip (1906- )", "d:Description": "Pritzker Architecture Prize site offers a brief biography of the American winner of its first award, portrait photograph, 1979 citation by the Pritzker Jury and his acceptance speech.", "topic": "Top/Arts/Architecture/Famous_Names/J/Johnson,_Phillip", "url": "http://www.pritzkerprize.com/laureates/1979"} +{"d:Title": "Philip Johnson", "d:Description": "Photos and information from Wikipedia.", "topic": "Top/Arts/Architecture/Famous_Names/J/Johnson,_Phillip", "url": "http://en.wikipedia.org/wiki/Philip_Johnson"} +{"d:Title": "Architecture of Philip Johnson", "d:Description": "Photos and data on over two hundred buildings arttributed to the architect.", "topic": "Top/Arts/Architecture/Famous_Names/J/Johnson,_Phillip", "url": "http://www.bluffton.edu/~sullivanm/index/johnson/johnsonindex.html"} +{"d:Title": "Koenig, Pierre (1925- )", "d:Description": "Biography and works of the Californian architect noted for his exposed steel and glass houses, with links to articles and other material. Hosted by the University of Southern California.", "topic": "Top/Arts/Architecture/Famous_Names/K/Koenig,_Pierre", "url": "http://www.usc.edu/dept/architecture/slide/koenig/index.html"} +{"d:Title": "Koolhaas, Rem (1944- )", "d:Description": "Brief biography of the Dutch architect and his Office for Metropolitan Architecture in Great Buildings Online, with a sample of his work and sources.", "topic": "Top/Arts/Architecture/Famous_Names/K/Koolhaas,_Rem", "url": "http://www.greatbuildings.com/architects/Rem_Koolhaas.html"} +{"d:Title": "Rem Koolhaas", "d:Description": "Information on Rem Koolhaas and his Office for Metropolitan Architecture (OMA) archived at the ArchINFORM database.", "topic": "Top/Arts/Architecture/Famous_Names/K/Koolhaas,_Rem", "url": "http://www.archinform.net/arch/434.htm"} +{"d:Title": "From Bauhaus to Koolhaas", "d:Description": "Katrina Heron interviewed Dutch architect Rem Koolhaas for Wired Magazine when he was commissioned to redesign MCA headquarters and its 420-acre Universal Studios lot in Los Angeles.", "topic": "Top/Arts/Architecture/Famous_Names/K/Koolhaas,_Rem", "url": "http://www.wired.com/1996/07/koolhaas/"} +{"d:Title": "Legorreta + Legorreta", "d:Description": "Homepage of Ricardo Legorreta featuring works, profile and news.", "topic": "Top/Arts/Architecture/Famous_Names/L/Legorreta,_Ricardo", "url": "http://legorretalegorreta.com/en/"} +{"d:Title": "Bustle - Praemium Imperiale Prize", "d:Description": "Article featuring Mexican architect Ricardo Legorreta who received the 2011 Praemium Imperiale Prize.", "topic": "Top/Arts/Architecture/Famous_Names/L/Legorreta,_Ricardo", "url": "http://www.bustler.net/index.php/article/ricardo_legorreta_honored_with_2011_praemium_imperiale_prize/"} +{"d:Title": "Libeskind:", "d:Description": "Official web site of Daniel Libeskind (1946 -), project archive and texts by the architect.", "topic": "Top/Arts/Architecture/Famous_Names/L/Libeskind,_Daniel", "url": "http://www.daniel-libeskind.com/"} +{"d:Title": "TEDxClassroomProject - Greg Lynn Lecture", "d:Description": "Lynn speaking about the Calculus in Architecture at The TEDxClassroomProject on April 25, 2010.", "topic": "Top/Arts/Architecture/Famous_Names/L/Lynn,_Greg", "url": "http://tedxproject.wordpress.com/2010/04/25/greg-lynn-calculus-in-architecture/"} +{"d:Title": "VernissageTV - Interview with Greg Lynn", "d:Description": "The architect interviewed by VernissageTV at Design Miami 2009", "topic": "Top/Arts/Architecture/Famous_Names/L/Lynn,_Greg", "url": "http://vernissage.tv/blog/2009/12/16/interview-with-greg-lynn-design-miami-2009/"} +{"d:Title": "Time Magazine - Greg Lynn", "d:Description": "Brief profile of the innovator in Time Magazine.", "topic": "Top/Arts/Architecture/Famous_Names/L/Lynn,_Greg", "url": "http://www.time.com/time/specials/2007/article/0,28804,1727737_1727659_1727025,00.html"} +{"d:Title": "McDonough, William", "d:Description": "Architect, designer, and author known for his work in sustainability. Includes biography, writings, and schedule of appearances.", "topic": "Top/Arts/Architecture/Famous_Names/M", "url": "http://www.mcdonough.com/"} +{"d:Title": "Wikipedia: Fumihiko Maki", "d:Description": "An illustrated biography of the Japanese architect from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Famous_Names/M/Maki,_Fumihiko", "url": "http://en.wikipedia.org/wiki/Fumihiko_Maki"} +{"d:Title": "Richard Meier and Partners", "d:Description": "Official web site of the New York based architect. Brief project descriptions with photographs, biography and bibliography.", "topic": "Top/Arts/Architecture/Famous_Names/M/Meier,_Richard", "url": "http://www.richardmeier.com/"} +{"d:Title": "National Gallery of Art, Washington: East Building", "d:Description": "The NGA offers this virtual tour of the wing designed by I.M.Pei. It requires Live Picture Viewer 3.2, which can be downloaded from the site.", "topic": "Top/Arts/Architecture/Famous_Names/P/Pei,_Ieoh_Ming", "url": "http://www.nga.gov/collection/ebwel.htm"} +{"d:Title": "National Gallery of Art: East Building", "d:Description": "Architectural tour with an audio commentary by I. M. Pei.", "topic": "Top/Arts/Architecture/Famous_Names/P/Pei,_Ieoh_Ming", "url": "http://www.nga.gov/collection/eastarch1.htm"} +{"d:Title": "Ieoh Ming Pei (1917- )", "d:Description": "Biography, detailed project list with images and bibliography archived at the ArchINFORM database.", "topic": "Top/Arts/Architecture/Famous_Names/P/Pei,_Ieoh_Ming", "url": "http://www.archinform.net/arch/922.htm"} +{"d:Title": "I.M. Pei", "d:Description": "Photos and descriptive information from the Digital Archive of American Architecture.", "topic": "Top/Arts/Architecture/Famous_Names/P/Pei,_Ieoh_Ming", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/pei.html"} +{"d:Title": "I. M. Pei", "d:Description": "Biography and works of the modern architect from Great Buildings Online.", "topic": "Top/Arts/Architecture/Famous_Names/P/Pei,_Ieoh_Ming", "url": "http://www.greatbuildings.com/architects/I._M._Pei.html"} +{"d:Title": "Pei, Ieoh Ming", "d:Description": "Pritzker Architecture Prize site offers a brief biography of the Chinese-born architect who worked mainly in the US, 1983 citation by the Pritzker Jury and his acceptance speech. (1917- )", "topic": "Top/Arts/Architecture/Famous_Names/P/Pei,_Ieoh_Ming", "url": "http://www.pritzkerprize.com/laureates/1983/"} +{"d:Title": "Renzo Piano (1937- )", "d:Description": "Biography with sources of the 'High-Tech' Italian architect in Great Buildings Online, with samples of his work.", "topic": "Top/Arts/Architecture/Famous_Names/P/Piano,_Renzo", "url": "http://www.greatbuildings.com/gbc/architects/Renzo_Piano.html"} +{"d:Title": "The Incredible Lightness of Being Renzo Piano", "d:Description": "Extract of a 1999 article about the Genoese architect who has built an international reputation with daring designs.", "topic": "Top/Arts/Architecture/Famous_Names/P/Piano,_Renzo", "url": "http://www.smithsonianmag.com/arts-culture/piano-abstract.html"} +{"d:Title": "Renzo Piano", "d:Description": "Pritzker Architecture Prize site offers a biography, chronological list of projects, 1998 citation by the jury and photograph of Piano accepting the prize at the White House with President Clinton.", "topic": "Top/Arts/Architecture/Famous_Names/P/Piano,_Renzo", "url": "http://www.pritzkerprize.com/laureates/1998/index.html"} +{"d:Title": "Ian Ritchie Architects", "d:Description": "Involved in many of Europe's prestigious cultural projects including the Louvre and La Villette Science City in Paris, Reina Sofia Museum of Modern Art in Madrid.", "topic": "Top/Arts/Architecture/Famous_Names/R/Ritchie,_Ian", "url": "http://www.ianritchiearchitects.co.uk/"} +{"d:Title": "Wikipedia: Ian Ritchie Architects", "d:Description": "Profile of this leading British architectural practice from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/Famous_Names/R/Ritchie,_Ian", "url": "http://en.wikipedia.org/wiki/Ian_Ritchie_Architects"} +{"d:Title": "Roche-Dinkeloo", "d:Description": "Great Buildings Online gives the history of the partnership between Kevin Roche and John Dinkeloo, with references and links to a selection of their works.", "topic": "Top/Arts/Architecture/Famous_Names/R/Roche,_Kevin", "url": "http://www.greatbuildings.com/architects/Roche-Dinkeloo.html"} +{"d:Title": "Kevin Roche John Dinkeloo and Associates LLC", "d:Description": "Kevin Roche Official Office Site", "topic": "Top/Arts/Architecture/Famous_Names/R/Roche,_Kevin", "url": "http://www.krjda.com/"} +{"d:Title": "Richard Rogers", "d:Description": "Biography of the British architect of the Pompidou Centre provided by Great Buildings Online, with photographs, details and bibliography for some of his works.", "topic": "Top/Arts/Architecture/Famous_Names/R/Rogers,_Richard", "url": "http://www.greatbuildings.com/architects/Richard_Rogers.html"} +{"d:Title": "BBC: State Opening for Welsh Assembly", "d:Description": "The Queen officially opens the new \u00a367m Senedd building in Cardiff designed by Richard Rogers. Includes a virtual tour and a video of Rogers talking about the design.", "topic": "Top/Arts/Architecture/Famous_Names/R/Rogers,_Richard", "url": "http://news.bbc.co.uk/1/hi/wales/4716394.stm"} +{"d:Title": "\u00c1lvaro Siza Vieira", "d:Description": "Editorial Blau gives a photograph, biography and bibliography of the Portuguese architect (1933- ). Essay by Pedro Vieira de Almeida. Documents and drawings from Siza's Faculty of Architecture in Oporto and Setubal College projects.", "topic": "Top/Arts/Architecture/Famous_Names/S/Siza,_Alvaro", "url": "http://www.cidadevirtual.pt/blau/siza.html"} +{"d:Title": "\u00c1lvaro Siza", "d:Description": "Pritzker Architecture Prize site offers a brief biography and photograph of the Portuguese architect, photo gallery of his work, 1992 citation by the jury, his acceptance speech and discussion of his work by Vittorio Gregotti.", "topic": "Top/Arts/Architecture/Famous_Names/S/Siza,_Alvaro", "url": "http://www.pritzkerprize.com/laureates/1992/"} +{"d:Title": "Kenzo Tange", "d:Description": "The Pritzker Architecture Prize site provides a brief biography of the influential Japanese architect, 1987 citation by the jury and his acceptance speech.", "topic": "Top/Arts/Architecture/Famous_Names/T/Tange,_Kenzo", "url": "http://www.pritzkerprize.com/laureates/1987/index.html"} +{"d:Title": "Yoshio Taniguchi", "d:Description": "Museum of Modern Art, New York, displays Tanaguchi's design for the new museum.", "topic": "Top/Arts/Architecture/Famous_Names/T/Taniguchi,_Yoshio", "url": "http://www.moma.org/about/MoMA_builds"} +{"d:Title": "Bernard Tschumi Architects", "d:Description": "Based in New York and Paris. Includes several texts by the architect, who is an academic and theorist, winner of several international awards and responsible for projects such as Parc de la Villette.", "topic": "Top/Arts/Architecture/Famous_Names/T/Tschumi,_Bernard", "url": "http://www.tschumi.com/"} +{"d:Title": "Venturi Scott Brown and Associates", "d:Description": "Professional site of the firm based in Philadelphia and led by husband and wife Robert Venuri and Denise Scott Brown.", "topic": "Top/Arts/Architecture/Famous_Names/V/Venturi,_Robert", "url": "http://www.vsba.com/"} +{"d:Title": "Robert Venturi", "d:Description": "Brief biography, descriptions of significant works and bibliography from Great Buildings Online.", "topic": "Top/Arts/Architecture/Famous_Names/V/Venturi,_Robert", "url": "http://www.greatbuildings.com/gbc/architects/Robert_Venturi.html"} +{"d:Title": "Robert Venturi", "d:Description": "Pritzker Architecture Prize site has a brief biography and photograph of this leading American Post-Modern architect and theorist, photo gallery of his work, 1991 citation by the jury and his acceptance speech.", "topic": "Top/Arts/Architecture/Famous_Names/V/Venturi,_Robert", "url": "http://www.pritzkerprize.com/laureates/1991/"} +{"d:Title": "Thais 4000 Years of Architecture", "d:Description": "A photographic guide to Egyptian, Greek, Islamic and Romanesque architecture, indexed by localities, subjects and periods.", "topic": "Top/Arts/Architecture/History", "url": "http://www.thais.it/architettura/"} +{"d:Title": "Cupola", "d:Description": "A picture gallery of cupolas, architecture, art, and picturesque landscapes from around the world. Also US state capitol building histories, wordplay, FAQ and Autocad links.", "topic": "Top/Arts/Architecture/History", "url": "http://www.cupola.com/"} +{"d:Title": "Digital Images of Historic Architecture", "d:Description": "Galleries of photographs of European and North American architecture from Classical to Post-Modern, whole facades and details, with explanations, by Mary Ann Sullivan, Bluffton College, US.", "topic": "Top/Arts/Architecture/History", "url": "http://www.bluffton.edu/~sullivanm/"} +{"d:Title": "Great Buildings Online", "d:Description": "Documents hundreds of buildings and leading architects across the world with 3D models, photographs and drawings, commentaries, biographies and bibliographies. Searchable.", "priority": "1", "topic": "Top/Arts/Architecture/History", "url": "http://www.greatbuildings.com/"} +{"d:Title": "SPIRO: Architecture Slide Library", "d:Description": "Online images from the large collection of slides at the University of California at Berkeley. Can be searched by period, place, building name, personal name, subject type.", "topic": "Top/Arts/Architecture/History", "url": "http://www.mip.berkeley.edu/query_forms/browse_spiro_form.html"} +{"d:Title": "Digital Archive of Architecture", "d:Description": "Images of buildings in Europe and America from prehistoric to 20th century by Prof. Jeffrey Howe of Boston College, USA.", "topic": "Top/Arts/Architecture/History", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/"} +{"d:Title": "CyArk", "d:Description": "A prototype project to test the concept of a digital archive of 3D survey information of endangered world heritage sites.", "topic": "Top/Arts/Architecture/History", "url": "http://www.cyark.org/"} +{"d:Title": "Cities and Buildings Image Database", "d:Description": "A searchable photographic database of buildings from around the world and across the ages, designed by the University of Washington's Architecture, History and Art History Departments.", "topic": "Top/Arts/Architecture/History", "url": "http://content.lib.washington.edu/buildingsweb/"} +{"d:Title": "World Art Treasures", "d:Description": "Images of a range of ancient and historic art and architecture from the collection of slides in the Jacques-Edouard Berger Foundation, Lausanne, Switzerland.", "topic": "Top/Arts/Architecture/History", "url": "http://www.jebfoundation.ch/"} +{"d:Title": "Center for the Study of Architecture/Archaeology", "d:Description": "Housed on Bryn Mawr Campus, US, it provides an archival home for computer models of architectural monuments and archaeological sites.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://csanet.org/"} +{"d:Title": "Moscow State University", "d:Description": "Departments of Russian History of Art and General History of Art offer some architectural history. English language outline of course content.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://www.hist.msu.ru/English/departments/index.htm"} +{"d:Title": "Institute of Classical Architecture", "d:Description": "Founded in 1991 in New York to provide a resource for the study, research and perpetuation of the classical tradition.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://www.classicist.org/"} +{"d:Title": "University of Melbourne, Australia: Faculty of Architecture Building&Planning", "d:Description": "Offers postgraduate programs in architectural history and conservation.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://www.abp.unimelb.edu.au/"} +{"d:Title": "Princeton University, US: Department of Art and Archaeology", "d:Description": "Offers undergraduate and graduate programs which can include architecture. History of the department and details of programs and courses.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://web.princeton.edu/sites/ArtandArchaeology/"} +{"d:Title": "Yale University, US: History of Art", "d:Description": "Courses, events, Art and Architecture Library, Yale Center for British Art, digital resources.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://arthistory.yale.edu/"} +{"d:Title": "Texas A&M University: Department of Architecture", "d:Description": "Offers architectural history and theory as an optional addition to the core curriculum for architecture. Course details.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://www.arch.tamu.edu/"} +{"d:Title": "Harvard, US: Department of The History of Art and Architecture", "d:Description": "Offers information about the department and its faculty, as well as courses taught.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://haa.fas.harvard.edu/"} +{"d:Title": "University of Virginia, US", "d:Description": "School of Architecture, Department of Architectural History. Curriculum covers both great monuments and vernacular traditions.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://www.arch.virginia.edu/academics/disciplines/history"} +{"d:Title": "Brown University, US: Department of History of Art and Architecture", "d:Description": "Offers an architectural studies program. Undergraduate and graduate programs, courses, facilities, faculty and staff.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://www.brown.edu/academics/art-history/"} +{"d:Title": "Connecticut College Architectural Studies", "d:Description": "Offers architectural history. Gives the aims and objectives of the college and information on its courses, students, faculty members, alumni.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://www.conncoll.edu/academics/majors-departments-programs/majors-and-minors/architectural-studies/"} +{"d:Title": "Cornell University, US: Department of Architecture", "d:Description": "Offers an M.A. and Ph.D. in history of architecture and urbanism. Includes student handbook, news and events.", "topic": "Top/Arts/Architecture/History/Academic_Departments", "url": "http://aap.cornell.edu/department-architecture"} +{"d:Title": "University of Essex Department of Art History and Theory", "d:Description": "Offers an MA in History and Theory of Architecture. Courses, resources and activities, staff and their research interests.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www2.essex.ac.uk/arthistory/"} +{"d:Title": "University of Cambridge Department of History of Art", "d:Description": "The B.A. course is for those who are particularly interested in the history and criticism of art and architecture in Western Europe. Curriculum, admissions.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www.hoart.cam.ac.uk/"} +{"d:Title": "University College London", "d:Description": "The Bartlett Faculty of the Built Environment offers an MSc in 19th- and 20th-century architectural history.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www.bartlett.ucl.ac.uk/"} +{"d:Title": "Courtauld Institute of Art", "d:Description": "Research interests over a broad spectrum of the arts and architecture of the Western world from classical antiquity to the present day. The Conway Library, open to the public, houses just under a million images related to architecture.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www.courtauld.ac.uk/"} +{"d:Title": "University of Warwick History of Art Department", "d:Description": "The department is strong on the art and architecture of Venice, but also offers an option on the English great house. Courses, research, resources, staff and their research interests.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www2.warwick.ac.uk/fac/arts/arthistory/"} +{"d:Title": "Edinburgh University", "d:Description": "The Department of Architecture offers an MA in Architectural History.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www.architecture.ed.ac.uk/"} +{"d:Title": "University of St Andrews School of Art History", "d:Description": "Teaching and research interests extend from the Medieval to the Modern periods, and include British architecture. Prospectus, staff and their research interests.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www-ah.st-andrews.ac.uk/"} +{"d:Title": "University of Bristol Department of Art History", "d:Description": "Offers an undergraduate degree course covering the main developments and styles of European art and architecture from the medieval to modern periods.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www.bris.ac.uk/arthistory/"} +{"d:Title": "Birkbeck, University of London", "d:Description": "Offers a part-time certificate/diploma in history of art and architecture. Course aims and outline.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www.bbk.ac.uk/study/all_courses/historyofart.html"} +{"d:Title": "University of York Department of History of Art", "d:Description": "The MA programme is a flexible course that can focus on Architectural History. Modules, staff.", "topic": "Top/Arts/Architecture/History/Academic_Departments/United_Kingdom", "url": "http://www.york.ac.uk/history-of-art/"} +{"d:Title": "Masters", "d:Description": "Directory web resources on famous architects and their works, part of Vitruvio.", "topic": "Top/Arts/Architecture/History/Architects", "url": "http://www.vitruvio.ch/arc/masters/masters.php"} +{"d:Title": "Agram", "d:Description": "A database by R. Saariste of the work of some historically important architects, with photographs, drawings, and models. The architects covered are: Le Corbusier, Jujol, Loos, Duiker, Schinkel, Wright and Melnikov.", "topic": "Top/Arts/Architecture/History/Architects", "url": "http://agram.saariste.nl/"} +{"d:Title": "Architecture of Harris Armstrong", "d:Description": "Ruminations of Andrew L. W. Raimist in weblog format on the Saint Louis modern architect, with images of his buildings.", "topic": "Top/Arts/Architecture/History/Architects/A", "url": "http://remiss63.blogspot.com/"} +{"d:Title": "Alvar Aalto", "d:Description": "Brief biography and bibliography of the modern Finnish architect in Great Buildings Online. Photographs or downloadable 3D models of some of his buildings, with dates, comments and bibliography.", "topic": "Top/Arts/Architecture/History/Architects/A/Aalto,_Alvar", "url": "http://www.greatbuildings.com/architects/Alvar_Aalto.html"} +{"d:Title": "20th Century Architecture: Alvar Aalto", "d:Description": "Photos and information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Architects/A/Aalto,_Alvar", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/aalto.html"} +{"d:Title": "Between Humanism and Materialism", "d:Description": "Notice of 1998 MoMA exhibition of Aalto's works. Includes illustrated timeline of Aalto's career.", "topic": "Top/Arts/Architecture/History/Architects/A/Aalto,_Alvar", "url": "http://www.moma.org/exhibitions/1998/aalto/"} +{"d:Title": "Alvar Aalto Foundation", "d:Description": "Offers a biography, bibliography and list of the Finnish architect's buildings, as well as information on the Alto archives, museum and academy.", "topic": "Top/Arts/Architecture/History/Architects/A/Aalto,_Alvar", "url": "http://www.alvaraalto.fi/"} +{"d:Title": "Alvar Aalto", "d:Description": "A biography, photograph and pictural survey of the present state of most of his work, collected by R.Saariste, part of Agram.", "topic": "Top/Arts/Architecture/History/Architects/A/Aalto,_Alvar", "url": "http://agram.saariste.nl/scripts/index.asp?dir=aalto&pics=aa&tekst=Alvar%A0Aalto"} +{"d:Title": "Robert Adam", "d:Description": "Biography of the famous Scottish architect from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/A/Adam,_Robert", "url": "http://www.greatbuildings.com/architects/Robert_Adam.html"} +{"d:Title": "Robert Adam's Vision of Edinburgh", "d:Description": "An interactive multimedia catalog by Cadking Design of existing and unbuilt designs by Robert Adam. Essays, photographs, drawings, 3D computer images and bibliography.", "topic": "Top/Arts/Architecture/History/Architects/A/Adam,_Robert", "url": "http://www.scran.ac.uk/dl/ada/ra.htm"} +{"d:Title": "Robert Adam and the Building of Culzean Castle", "d:Description": "About Scotland explains how Adam transformed Culzean from a dowdy fortress to a grand, romantic and fashionable castle. Illustrations include some of Adam's drawings.", "topic": "Top/Arts/Architecture/History/Architects/A/Adam,_Robert", "url": "http://www.aboutscotland.com/culzean/adam.html"} +{"d:Title": "Robert Adam (1728-1792)", "d:Description": "Portrait bust and notes from Bob Speel on this important Scottish architect, with description of the neoclassical Kenwood House, remodelled by Adam.", "topic": "Top/Arts/Architecture/History/Architects/A/Adam,_Robert", "url": "http://myweb.tiscali.co.uk/speel/arch/adam.htm"} +{"d:Title": "Leon Battista Alberti (1404-72)", "d:Description": "Biography of the Italian Renaissance architect, with photographs and bibliography of his greatest works provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/A/Alberti,_Leone_Battista", "url": "http://www.greatbuildings.com/architects/Leon_Battista_Alberti.html"} +{"d:Title": "Life of Alberti", "d:Description": "Biography of Leon Battista Alberti from Giorgio Vasari's 'Lives of the Artists' (1568), with a portrait and photographs of his works.", "topic": "Top/Arts/Architecture/History/Architects/A/Alberti,_Leone_Battista", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/albert/alberti.htm"} +{"d:Title": "Leone Battista Alberti", "d:Description": "Portrait and brief biography from MacTutor History of Mathematics archive at the University of St Andrews, concentrating on his contribution to mathematics.", "topic": "Top/Arts/Architecture/History/Architects/A/Alberti,_Leone_Battista", "url": "http://www-groups.dcs.st-and.ac.uk/~history/Mathematicians/Alberti.html"} +{"d:Title": "Leon Battista Alberti: Architect of Florence", "d:Description": "Includes a biography as written by Giorgio Vasari and a gallery.", "topic": "Top/Arts/Architecture/History/Architects/A/Alberti,_Leone_Battista", "url": "http://www.artist-biography.info/artist/leon_battista_alberti/"} +{"d:Title": "Isidoros and Anthemios (6th Century)", "d:Description": "Joint biography of the architects of the Hagia Sophia, Istanbul, provided by Great Buildings Online from Adolf K Placzek, Macmillan Encyclopedia of Architects.", "topic": "Top/Arts/Architecture/History/Architects/A/Anthemios_of_Tralles", "url": "http://www.greatbuildings.com/architects/Isidoros_and_Anthemios.html"} +{"d:Title": "Wikipedia: Anthemius of Tralles", "d:Description": "Brief biography of the co-architect of the Hagia Sophia, Istanbul (532-7).", "topic": "Top/Arts/Architecture/History/Architects/A/Anthemios_of_Tralles", "url": "http://en.wikipedia.org/wiki/Anthemius_of_Tralles"} +{"d:Title": "Apollodorus of Damascus", "d:Description": "Biography of the Roman Emperor Trajan's chief architect provided by Great Buildings Online from Adolf K Placzek. Macmillan Encyclopedia of Architects.", "topic": "Top/Arts/Architecture/History/Architects/A/Apollodorus_of_Damascus", "url": "http://www.greatbuildings.com/architects/Apollodorus_of_Damascus.html"} +{"d:Title": "Artigas, Jo\u00e3o Batista Villanova (1915-1984)", "d:Description": "Portrait and biography of the modernist Brazilian architect by Eduardo Nobre, with photographs of his works, hosted by the University of S\u00e3o Paulo.", "topic": "Top/Arts/Architecture/History/Architects/A/Artigas,_Jo\u00e3o_Batista_Villanova", "url": "http://www.lsi.usp.br/~artigas/home/"} +{"d:Title": "Ashbee, C.R. (1863-1942)", "d:Description": "Brief biography of this leading member of the Arts and Crafts movement in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/A/Ashbee,_Charles_Robert", "url": "http://www.greatbuildings.com/architects/C._R._Ashbee.html"} +{"d:Title": "Erik Gunnar Asplund (1885-1940)", "d:Description": "Biography of the Swedish architect from Great Buildings Online, with information on a selection of his work.", "topic": "Top/Arts/Architecture/History/Architects/A/Asplund,_Erik_Gunnar", "url": "http://www.greatbuildings.com/architects/Erik_Gunnar_Asplund.html"} +{"d:Title": "Charles Bulfinch (1763-1844)", "d:Description": "Photos and descriptive information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Architects/B", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/bulfinch.html"} +{"d:Title": "Luis Barragan (1902-88)", "d:Description": "Biography of the Mexican architect from Great Buildings Online, with a description of Casa Antonio Galvez (1954).", "topic": "Top/Arts/Architecture/History/Architects/B/Barragan,_Luis", "url": "http://www.greatbuildings.com/architects/Luis_Barragan.html"} +{"d:Title": "The Houses of Luis Barrag\u00e1n", "d:Description": "An illustrated extract from the book \"Casa Mexicana\" (1989) by Tim Street-Porter, reproduced online in the series 'The Architecture of Mexico' from Mexico Connect.", "topic": "Top/Arts/Architecture/History/Architects/B/Barragan,_Luis", "url": "http://www.mexconnect.com/mex_/feature/barragan1.html"} +{"d:Title": "Barragan Foundation", "d:Description": "Biography and masterpieces of Mexican architect Luis Barrag\u00e1n (1902-1988), including a visitor's guide and information on the foundation, which takes care of the professional archives of Luis Barrag\u00e1n.", "topic": "Top/Arts/Architecture/History/Architects/B/Barragan,_Luis", "url": "http://www.barragan-foundation.org/"} +{"d:Title": "Sir Charles Barry (1795-1860)", "d:Description": "Brief notes on the Victorian architect of the Houses of Parliament from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/B/Barry,_Sir_Charles", "url": "http://myweb.tiscali.co.uk/speel/arch/cbarry.htm"} +{"d:Title": "Wikipedia: Charles Barry", "d:Description": "An illustrated biography of the Victorian architect from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/History/Architects/B/Barry,_Sir_Charles", "url": "http://en.wikipedia.org/wiki/Charles_Barry"} +{"d:Title": "Hendrick Petrus Berlage (1856-1934)", "d:Description": "Biography of the original Dutch architect by Frank Derville from Art Nouveau World Wide.", "topic": "Top/Arts/Architecture/History/Architects/B/Berlage,_Hendrick_Petrus", "url": "http://pagesperso-orange.fr/artnouveau/en/artistes/berlage.htm"} +{"d:Title": "Francesco Borromini: Structure and Metamorphosis", "d:Description": "Exhibition by the Albertina Collection of Graphic Art, Vienna, to celebrate 400 years of the famous architect of Italian Baroque. Biography and images including original drawings.", "topic": "Top/Arts/Architecture/History/Architects/B/Borromini,_Francesco", "url": "http://www.borromini.at/"} +{"d:Title": "San Carlo alle Quattro Fontane, Rome", "d:Description": "Photographs and description of the facade of the Baroque church designed by Francesco Borromini (1665-76), taken by Mary Ann Sullivan. Part of her Digital Imaging Project.", "topic": "Top/Arts/Architecture/History/Architects/B/Borromini,_Francesco", "url": "http://www.bluffton.edu/~sullivanm/carlo/carlo.html"} +{"d:Title": "Francesco Borromini (1599-1667)", "d:Description": "Biography and bibliography of the Italian Baroque stone-carver and architect in Great Buildings Online, with samples of his work.", "topic": "Top/Arts/Architecture/History/Architects/B/Borromini,_Francesco", "url": "http://www.greatbuildings.com/architects/Francesco_Borromini.html"} +{"d:Title": "Donato Bramante (1444-1514)", "d:Description": "Brief biography of the first of the great Italian High Renaissance architects provided by Great Buildings Online, with samples of his work.", "topic": "Top/Arts/Architecture/History/Architects/B/Bramante,_Donato", "url": "http://www.greatbuildings.com/architects/Donato_Bramante.html"} +{"d:Title": "Donato Bramante", "d:Description": "Expandable photographs and brief descriptions of the works of this Renaissance architect from Archinform. Pop-up banner advertising.", "topic": "Top/Arts/Architecture/History/Architects/B/Bramante,_Donato", "url": "http://www.archinform.de/arch/1303.htm"} +{"d:Title": "Brunel, Isambard Kingdom (1806-59)", "d:Description": "Brief biography of the Victorian architect and engineer notable for the Clifton Suspension Bridge, Bristol and the Great Western Railway. Part of Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://www.greatbuildings.com/architects/Isambard_Kingdom_Brunel.html"} +{"d:Title": "The Mechanics Institution in Swindon", "d:Description": "Designed by Isambard Kingdom Brunel, and built by the railway workers, it has now been derelict for two decades. This site has a proposal to save the building.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://www.swindon.org.uk/mechanics.htm"} +{"d:Title": "BBC History: Isambard Kingdom Brunel (1806 - 1859)", "d:Description": "A biography with photograph of this British engineer and architect, linked to a longer article on Brunel by Prof. Ross Peters, with bibliography.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://www.bbc.co.uk/history/historic_figures/brunel_kingdom_isambard.shtml"} +{"d:Title": "Wikipedia: Isambard Kingdom Brunel", "d:Description": "Biography, works, related links and references.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://en.wikipedia.org/wiki/Isambard_Kingdom_Brunel"} +{"d:Title": "Brunel 200", "d:Description": "Details the programme of events and exhibitions to celebrate the two hundredth anniversary of his birth. Also provides information about Brunel, his projects, his contemporaries and successors, and their impact upon the modern world.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://www.brunel200.com/"} +{"d:Title": "A Brunel Travelogue", "d:Description": "Article describes several of Brunel's creations by Karen Frederickson.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://www.tc.umn.edu/~frede005/Brunel.html"} +{"d:Title": "Bristol University: Brunel Collection", "d:Description": "A description of what is the finest collection of original Brunel source material.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://www.bris.ac.uk/is/library/collections/specialcollections/archives/brunel/"} +{"d:Title": "Isambard Kingdom Brunel", "d:Description": "Biography with links to his major works. From Brunel University.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://www.brunel.ac.uk/about/history/isambard-kingdom-brunel"} +{"d:Title": "Structurae: Isambard Kingdom Brunel", "d:Description": "Biography, links, literature and list of works.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunel,_Isambard_Kingdom", "url": "http://structurae.net/persons/isambard-kingdom-brunel"} +{"d:Title": "Filippo Brunelleschi (1377-1446)", "d:Description": "Brief biography and bibliography of the first Italian Renaissance architect in Great Buildings Online, with samples of his work.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunelleschi,_Filippo", "url": "http://www.greatbuildings.com/architects/Filippo_Brunelleschi.html"} +{"d:Title": "The Life of Brunelleschi", "d:Description": "Pictures of the works of Filippo Brunelleschi and accompanying text from Vasari's 'Lives of the Artists' (1568).", "topic": "Top/Arts/Architecture/History/Architects/B/Brunelleschi,_Filippo", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/brunell/brunell.htm"} +{"d:Title": "Filippo Brunelleschi: Sculptor and Architect of Florence", "d:Description": "Biography from Giorgio Vasari, \"Lives of the Artists\" (1568), together with a gallery of photographs of his major works.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunelleschi,_Filippo", "url": "http://www.artist-biography.info/artist/filippo_brunelleschi/"} +{"d:Title": "Filippo Brunelleschi: Sculptor and Architect: Florence 1377-1446", "d:Description": "Gloria Chiarini provides a biography, together with illustrated descriptions of the Renaissance architect's famous works, in The Florence Art Guide.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunelleschi,_Filippo", "url": "http://www.mega.it/eng/egui/pers/fibru.htm"} +{"d:Title": "Brunelleschi, Filippo (1377-1446)", "d:Description": "Biography and images of some of his most famous works, from the Web Gallery of Art.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunelleschi,_Filippo", "url": "http://www.wga.hu/bio/b/brunelle/biograph.html"} +{"d:Title": "Brunelleschi's Monograph", "d:Description": "Illustrated, detailed description of the influences on Renaissance architect Filippo Brunelleschi (1377-1446) and his impact on Florence, from Your Way to Florence.", "topic": "Top/Arts/Architecture/History/Architects/B/Brunelleschi,_Filippo", "url": "http://www.yourwaytoflorence.com/tourism/florence/brunelle.htm"} +{"d:Title": "Glenapp Castle, Ballantrae, Ayrshire", "d:Description": "House built in 1870 by the architect David Bryce for James Hunter, the Deputy Lord Lieutenant of Ayrshire, and now an hotel. Includes some history and images.", "topic": "Top/Arts/Architecture/History/Architects/B/Bryce,_David", "url": "http://www.glenappcastle.com/"} +{"d:Title": "Craigends House: Home of the Cuninghame Family", "d:Description": "Jim Campbell describes the mansion designed by David Bryce in 1857. Includes history, photographs, biography of Bryce and chronologies of his other works.", "topic": "Top/Arts/Architecture/History/Architects/B/Bryce,_David", "url": "http://www.ourlocalhistory.co.uk/"} +{"d:Title": "St. Fin Barre's (Anglican) Cathedral, Cork", "d:Description": "The official site includes an illustrated history of this cathedral designed in 1862 by William Burges, including a portrait and biography of the architect.", "topic": "Top/Arts/Architecture/History/Architects/B/Burges,_William", "url": "http://cathedral.cork.anglican.org/"} +{"d:Title": "William Burges (1827-1881)", "d:Description": "An overview from the Victorian Web of the English architect who worked in the medieval style.", "topic": "Top/Arts/Architecture/History/Architects/B/Burges,_William", "url": "http://www.victorianweb.org/art/design/burges/burgesov.html"} +{"d:Title": "Richard, 3rd Earl of Burlington (1694-1753)", "d:Description": "Brief biography of a leader of the English Palladian revival, whose delightful legacy is Chiswick House. Part of Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/B/Burlington,_Richard_Boyle,_3rd_Earl_of", "url": "http://www.greatbuildings.com/architects/Lord_Burlington.html"} +{"d:Title": "Chiswick House Friends", "d:Description": "A charitable trust assisting in the conservation and support of Chiswick House. Includes an illustrated history of this villa designed by Richard Boyle, Third Earl of Burlington, in 1725.", "topic": "Top/Arts/Architecture/History/Architects/B/Burlington,_Richard_Boyle,_3rd_Earl_of", "url": "http://www.chfriends.org.uk/"} +{"d:Title": "Burton, Decimus (1800-81)", "d:Description": "Brief notes on the London-based architect Decimus Burton, from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/B/Burton,_Decimus", "url": "http://myweb.tiscali.co.uk/speel/arch/burton.htm"} +{"d:Title": "William Butterfield (1814-1900)", "d:Description": "Brief biography of this Victorian exponent of the Gothic revival in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/B/Butterfield,_William", "url": "http://www.greatbuildings.com/architects/William_Butterfield.html"} +{"d:Title": "William Butterfield (1814-1900)", "d:Description": "Brief notes on the Gothic Revival architect William Butterfield from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/B/Butterfield,_William", "url": "http://myweb.tiscali.co.uk/speel/arch/butter.htm"} +{"d:Title": "William Butterfield", "d:Description": "Photograph and brief biography of this Gothic Revival architect from Spartacus Educational.", "topic": "Top/Arts/Architecture/History/Architects/B/Butterfield,_William", "url": "http://spartacus-educational.com/ARbutterfield.htm"} +{"d:Title": "Barry Byrne (1883-1967)", "d:Description": "American architect who merged the American Prairie Style and Expressionism. Biography and details of his religious commissions from TurnersCross.Com.", "topic": "Top/Arts/Architecture/History/Architects/B/Byrne,_Francis_Barry", "url": "http://turnerscross.com/church/barry_byrne/"} +{"d:Title": "Adolf Cluss", "d:Description": "German-born, he became the most influential architect in Washington in the late 19th century. Includes biography, photographs and information on a centenary exhibition and other events planned in 2005. English and German.", "topic": "Top/Arts/Architecture/History/Architects/C", "url": "http://www.adolf-cluss.org/"} +{"d:Title": "Callicrates (5th Century BC)", "d:Description": "Brief details on the architect of the Parthenon and Temple of Athena Nike in Athens, with photographs of both and plan and downloadable 3D model of the Parthenon provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/C/Callicrates", "url": "http://www.greatbuildings.com/architects/Callicrates.html"} +{"d:Title": "Charles Cameron Architect", "d:Description": "Illustrated online monograph by Georges Lukomski on the Scottish Neoclassical architect who worked at Pavlovsk and Tsarskoe Selo for Catherine the Great.", "topic": "Top/Arts/Architecture/History/Architects/C/Cameron,_Charles", "url": "http://www.alexanderpalace.org/cameron/"} +{"d:Title": "Chambers, William (1723-1796)", "d:Description": "Brief notes on the architect William Chambers from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/C/Chambers,_Sir_William", "url": "http://myweb.tiscali.co.uk/speel/arch/chambers.htm"} +{"d:Title": "Churriguera, Jos\u00e9 Benito (b. 1665, Madrid, d. 1725, Madrid)", "d:Description": "Biography in the Web Gallery of Art of the head of a family of architects working in the Spanish late-Baroque style, mainly in Salamanca.", "topic": "Top/Arts/Architecture/History/Architects/C/Churriguera,_Jos\u00e9_Benito", "url": "http://www.wga.hu/frames-e.html?/bio/c/churrigu/biograph.html"} +{"d:Title": "Cockerell, Charles Robert (1788-1863)", "d:Description": "Brief notes on the Victorian architect Charles Cockerell from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/C/Cockerell,_Charles_Robert", "url": "http://myweb.tiscali.co.uk/speel/arch/cockerel.htm"} +{"d:Title": "Mauro Codussi (c.1440-1504)", "d:Description": "Biographical sketch of the innovative Venetian Renaissance architect by C.I.Gable. Photographs and description of Cornaro della Regina Chapel at the church of SS. Apostoli.", "topic": "Top/Arts/Architecture/History/Architects/C/Codussi,_Mauro", "url": "http://www.boglewood.com/cornaro/xcodussi.html"} +{"d:Title": "Thomas Edward Collcutt (1840-1924)", "d:Description": "Brief notes on the Victorian architect who designed the Imperial Institute in London, from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/C/Collcutt,_Thomas_Edward", "url": "http://myweb.tiscali.co.uk/speel/arch/collcutt.htm"} +{"d:Title": "Comper, John Ninian (1864-1960)", "d:Description": "A brief biography of the arts and crafts architect, with examples of his work St John the Baptist Church, Lound, Suffolk.", "topic": "Top/Arts/Architecture/History/Architects/C/Comper,_John_Ninian", "url": "http://www.suffolkchurches.co.uk/zcomper.htm"} +{"d:Title": "Info Bras\u00edlia", "d:Description": "History, images and facts about the capital of Brazil. Includes a brief biography of Lucio Costa and his pilot plan for the city, presented in 1957.", "topic": "Top/Arts/Architecture/History/Architects/C/Costa,_L\u00facio", "url": "http://www.infobrasilia.com.br/"} +{"d:Title": "Cubitt, Thomas (1788-1855)", "d:Description": "Brief notes on the architect Thomas Cubitt from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/C/Cubitt,_Thomas", "url": "http://myweb.tiscali.co.uk/speel/arch/cubitt.htm"} +{"d:Title": "Doxiadis, Constantinos A. (1913-1975)", "d:Description": "Biography, publications and projects of the Greek author, architect and city planner. Link to the C.A.Doxiadis archives database.", "topic": "Top/Arts/Architecture/History/Architects/D", "url": "http://www.doxiadis.org/"} +{"d:Title": "Architect Drofa", "d:Description": "A biography of the Czechoslovak architect Miroslav Drofa (1908-84) and his works in housing, and public and industrial building. [Czech, English, German]", "topic": "Top/Arts/Architecture/History/Architects/D", "url": "http://drofa.com/architekt/"} +{"d:Title": "Forbes: Sleeper House", "d:Description": "Woody Allen used the Sculptured House designed by Charles Deaton to represent the future in his 1973 film Sleeper. Isabella Geist reports that the house is for sale.", "topic": "Top/Arts/Architecture/History/Architects/D/Deaton,_Charles", "url": "http://www.forbes.com/2002/09/09/0909how.html"} +{"d:Title": "Victor Djorbenadze (1920-99)", "d:Description": "Rolf Gross provides insights into this architect from the Republic of Georgia. Photo essay on his \"Wedding Cathedral\" in Tbilisi. A visit with Victor Djorbenadze.", "topic": "Top/Arts/Architecture/History/Architects/D/Djorbenadze,_Victor", "url": "http://vdjorbenadze.tripod.com/"} +{"d:Title": "Andrew Jackson Downing", "d:Description": "An illustrated biography by Christopher Glynn Parker of one of the most important pre-Civil War designers and writers in America.", "topic": "Top/Arts/Architecture/History/Architects/D/Downing,_Andrew_Jackson", "url": "http://www.fredericklawolmsted.com/ajdowning.htm"} +{"d:Title": "A Treatise on the Theory and Practice of Landscape Gardening", "d:Description": "Online text of the book by A.J. Downing, published in 1859, from Making of America Books.", "topic": "Top/Arts/Architecture/History/Architects/D/Downing,_Andrew_Jackson", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=AJQ8330.0001.001&view=toc"} +{"d:Title": "The Architecture of Country Houses", "d:Description": "Online text of the book by A. J. Downing with 320 illustrations, which was published in 1859. From Making of America.", "topic": "Top/Arts/Architecture/History/Architects/D/Downing,_Andrew_Jackson", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ADQ1020.0001.001&view=toc"} +{"d:Title": "Johannes Duiker", "d:Description": "Dutch architect of the Modern Movement: brief biography and pictorial survey of his works by Rein Saariste, part of the AGRAM database.", "topic": "Top/Arts/Architecture/History/Architects/D/Duiker,_Johannes", "url": "http://agram.saariste.nl/scripts/index.asp?dir=duiker&pics=du&tekst=Johannes%A0Duiker"} +{"d:Title": "Eames Office", "d:Description": "Dedicated to communicating, preserving and extending the work of Charles and Ray Eames.", "topic": "Top/Arts/Architecture/History/Architects/E/Eames,_Charles_and_Ray", "url": "http://www.eamesoffice.com/"} +{"d:Title": "The Work of Charles and Ray Eames", "d:Description": "Library of Congress exhibition on the legacy of these architects and furniture designers. Includes biography and many images.", "topic": "Top/Arts/Architecture/History/Architects/E/Eames,_Charles_and_Ray", "url": "http://www.loc.gov/exhibits/eames/"} +{"d:Title": "George Grant Elmslie", "d:Description": "Biography and photograph of the Scottish-born Prairie Style architect from a site associated with \"The Airplane House\", Woods Hole, Mass.", "topic": "Top/Arts/Architecture/History/Architects/E/Elmslie,_George_Grant", "url": "http://www.prairiestyles.com/elmslie.htm"} +{"d:Title": "Furness, Frank (1839-1912)", "d:Description": "Biography, projects, and photographs from the Philadelphia Architects and Buildings Project.", "topic": "Top/Arts/Architecture/History/Architects/F", "url": "http://www.philadelphiabuildings.org/pab/app/ar_display.cfm?ArchitectId=A0478"} +{"d:Title": "Hassan Fathy (1899-1989)", "d:Description": "Biography and bibliography from Great Buildings Online of the Egyptian architect who used ancient design methods and materials, with information on his village of New Gourna.", "topic": "Top/Arts/Architecture/History/Architects/F/Fathy,_Hassan", "url": "http://www.greatbuildings.com/architects/Hassan_Fathy.html"} +{"d:Title": "Ferrey, Benjamin (1810-1880)", "d:Description": "Brief notes on the architect Benjamin Ferrey from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/F/Ferrey,_Benjamin", "url": "http://myweb.tiscali.co.uk/speel/arch/ferrey.htm"} +{"d:Title": "Josef Frank", "d:Description": "Scandinavian Design describes the style of the Swedish designer. Includes a photograph of Frank.", "topic": "Top/Arts/Architecture/History/Architects/F/Frank,_Josef", "url": "http://www.scandinaviandesign.com/josefFrank/"} +{"d:Title": "R. Buckminster Fuller FAQ", "d:Description": "Answers to frequently asked questions on Fuller, compiled by Christopher J. Fearnley from the discussions on the Bitnet mailing list Geodesic and its Usenet gateway.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.cjfearnley.com/fuller-faq.html"} +{"d:Title": "Chris Fearnley's List of Buckminster Fuller References", "d:Description": "A collection of links relating to the work of R. Buckminster Fuller.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.cjfearnley.com/buckyrefs.html"} +{"d:Title": "Synergetics on the Web", "d:Description": "An introduction to some of Fuller's innovations: synergetics, geodesic domes, fuller projection maps, with illustrations.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.grunch.net/synergetics/"} +{"d:Title": "US Pavilion at Expo '67", "d:Description": "Photographs, discussion and sources on the geodesic dome designed by Buckminster Fuller and Shoji Sadao at Montreal, Canada, in 1967, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.greatbuildings.com/buildings/US_Pavilion_at_Expo_67.html"} +{"d:Title": "The Fuller Map", "d:Description": "Buckminster Fuller's ideas about geometry, architecture, cartography, and cognition in an analytical framework. Includes references for further study.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.nous.org.uk/BFMAP.html"} +{"d:Title": "Geodesic Domes", "d:Description": "Ray-traced picture of a dome and links to information about Buckminster Fuller.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.insite.com.br/rodrigo/bucky/geodome.html"} +{"d:Title": "R. Buckminster Fuller's Synergetics", "d:Description": "A technical treatise, reaching book length, about many of the ideas developed by Fuller. Illustrated with 32 color plates and six drawings.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.rwgrayprojects.com/synergetics/synergetics.html"} +{"d:Title": "Dymaxion Projection Animation", "d:Description": "The earth, as represented by Buckminster Fuller's icosahedral projection.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.westnet.com/~crywalt/unfold.html"} +{"d:Title": "The Bucky Fuller Travelling Miracle Medicine Show", "d:Description": "Buckminster Fuller's description of his inventions with pictures of Fuller.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.westnet.com/~crywalt/Bucky.html"} +{"d:Title": "Buckminster Fuller Institute", "d:Description": "Provides a biography and bibliography of Fuller and images and descriptions of his inventions. Includes geodesic domes, Synergetics, and Design Science.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.bfi.org/"} +{"d:Title": "Nature's Invisible Architecture", "d:Description": "Treatise on the art and science of Buckminster Fuller by Bonnie Goldstein DeVarco, with numerous links and references.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://members.cruzio.com/~devarco/invisible.htm"} +{"d:Title": "Buckminster Fuller: Thinking out Loud", "d:Description": "TV program by wNetStation, New York. Clips and quotes. Video cassette for sale. Gallery of expandable thumbnails of major works.", "topic": "Top/Arts/Architecture/History/Architects/F/Fuller,_Richard_Buckminster", "url": "http://www.thirteen.org/bucky/film.html"} +{"d:Title": "Gaudi 2002 Barcelona", "d:Description": "The official site of international Gaudi year has information on the architect and his works, Barcelona, and special events during 2002. Image galleries. Bibliography, news, forum.", "topic": "Top/Arts/Architecture/History/Architects/G/Gaud\u00ed,_Antoni", "url": "http://www.gaudi2002.bcn.es/"} +{"d:Title": "Sagrada Familia, Spain", "d:Description": "Official site of the astonishing unfinished church in Barcelona designed by Gaud\u00ed. History, description, symbolism and interactive panoramas. English, Castilian and Catalan versions.", "topic": "Top/Arts/Architecture/History/Architects/G/Gaud\u00ed,_Antoni", "url": "http://www.sagradafamilia.org/"} +{"d:Title": "Gaud\u00ed: The Man and His Works", "d:Description": "Biography, works, and words of Antoni Gaud\u00ed, the great Catalan architect, assembled by Josep Maria Tarragona.", "topic": "Top/Arts/Architecture/History/Architects/G/Gaud\u00ed,_Antoni", "url": "http://www.antonigaudi.org/"} +{"d:Title": "Gaudi Designer", "d:Description": "Brief biography; photos and information about selected works.", "topic": "Top/Arts/Architecture/History/Architects/G/Gaud\u00ed,_Antoni", "url": "http://www.gaudidesigner.com/"} +{"d:Title": "Antonio Gaud\u00ed", "d:Description": "Biography and bibliography of the architect who dotted Barcelona with monuments to his astonishing imagination, with photographs of his work, in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/G/Gaud\u00ed,_Antoni", "url": "http://www.greatbuildings.com/architects/Antonio_Gaudi.html"} +{"d:Title": "The Barcelona of Antoni Gaud\u00ed", "d:Description": "Illustrated, descriptive list of the extraordinary works in Barcelona of this important modernist, from All About Spain.", "topic": "Top/Arts/Architecture/History/Architects/G/Gaud\u00ed,_Antoni", "url": "http://www.red2000.com/spain/barcelon/gaudi.html"} +{"d:Title": "Gaud\u00ed and Barcelona Club", "d:Description": "Club devoted to the architect, with information on his work, plus events and membership information.", "topic": "Top/Arts/Architecture/History/Architects/G/Gaud\u00ed,_Antoni", "url": "http://www.gaudiclub.com/"} +{"d:Title": "Bruce Goff", "d:Description": "Brief biography of the individualistic American architect from Great Buildings Online, with information about the Bavinger and Colmorgan residences.", "topic": "Top/Arts/Architecture/History/Architects/G/Goff,_Bruce", "url": "http://www.greatbuildings.com/architects/Bruce_Goff.html"} +{"d:Title": "Olrig House", "d:Description": "Caithness Community site with a history of this house at Casteltown with an idiosyncratic gate lodge attributed to Sir James Gowans.", "topic": "Top/Arts/Architecture/History/Architects/G/Gowans,_Sir_James", "url": "http://www.caithness.org/atoz/countryhouses/olrighouse/olrighousefacts/information.htm"} +{"d:Title": "Gamble House", "d:Description": "The masterpiece of the Arts and Crafts Movement in America, designed by Charles and Henry Greene for David Gamble. Photographs, drawing, description and visitor information, from Pasadena City Center.", "topic": "Top/Arts/Architecture/History/Architects/G/Greene,_Henry_and_Charles", "url": "http://www.citycent.com/CCC/Pasadena/gamble.htm"} +{"d:Title": "Charles Sumner Greene and Henry Mather Greene", "d:Description": "Extensive list by Tim May of current buildings and demolished buildings designed by the Greene brothers.", "topic": "Top/Arts/Architecture/History/Architects/G/Greene,_Henry_and_Charles", "url": "http://www.sonic.net/~mityam/greenes/"} +{"d:Title": "Marion Mahony", "d:Description": "A portrait photograph and biography from Prairie Styles of this architect of the Prairie School who worked in the US and Australia.", "topic": "Top/Arts/Architecture/History/Architects/G/Griffin,_Marion_Mahony", "url": "http://www.prairiestyles.com/mahony.htm"} +{"d:Title": "Walter Burley Griffin: In His Own Right", "d:Description": "Companion site to the PBS film. Biography, photographs, and interviews concerning Walter Burley Griffin and Marion Mahoney Griffin and their architecture.", "topic": "Top/Arts/Architecture/History/Architects/G/Griffin,_Walter_Burley", "url": "http://www.pbs.org/wbgriffin/"} +{"d:Title": "Walter Burley Griffin", "d:Description": "A portrait photograph and biography from Prairie Styles of this architect of the Prairie School who worked in the US and Australia.", "topic": "Top/Arts/Architecture/History/Architects/G/Griffin,_Walter_Burley", "url": "http://www.prairiestyles.com/griffin.htm"} +{"d:Title": "Walter Gropius (1883-1969)", "d:Description": "Biography of the pioneer of the International Modern style and influential head of the Bauhaus school, with samples of his work in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/G/Gropius,_Walter", "url": "http://www.greatbuildings.com/architects/Walter_Gropius.html"} +{"d:Title": "20th Century Architecture: Walter Gropius", "d:Description": "Biographical sketch plus photos of the Gropius House in Lincoln, Massachusetts.", "topic": "Top/Arts/Architecture/History/Architects/G/Gropius,_Walter", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/gropius.html"} +{"d:Title": "Hector Guimard (1867-1942)", "d:Description": "Biography, bibliography and samples of the work of the best-known French Art Nouveau architect from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/G/Guimard,_Hector", "url": "http://www.greatbuildings.com/architects/Hector_Guimard.html"} +{"d:Title": "Hector Guimard", "d:Description": "Notes on the French Art Nouveau architect, with photographs of his some of his work, by Frank Derville from Art Nouveau World Wide.", "topic": "Top/Arts/Architecture/History/Architects/G/Guimard,_Hector", "url": "http://pagesperso-orange.fr/artnouveau/en/artistes/guimard.htm"} +{"d:Title": "Peter Harrison (1716-1775)", "d:Description": "Photos and descriptive information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Architects/H", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/harrison.html"} +{"d:Title": "19th Century Architecture: Richard Morris Hunt", "d:Description": "Photos and descriptive information on the man who designed The Breakers in Newport, Rhode Island.", "topic": "Top/Arts/Architecture/History/Architects/H", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/rmhunt.html"} +{"d:Title": "Hansom, Joseph Aloysius (1803-82)", "d:Description": "Brief notes on the Victorian architect Joseph Hansom (of Hansom cab fame) from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/H/Hansom,_Joseph_Aloysius", "url": "http://myweb.tiscali.co.uk/speel/arch/hansom.htm"} +{"d:Title": "The Friends of Christ Church Spitalfields", "d:Description": "The charity leading the restoration of Nicholas Hawksmoor's masterpiece. Includes a biography, history, description and photographs and details of the restoration.", "topic": "Top/Arts/Architecture/History/Architects/H/Hawksmoor,_Nicholas", "url": "http://www.christchurchspitalfields.org/"} +{"d:Title": "Nicholas Hawksmoor (1661-1736)", "d:Description": "A biography of this major figure of English Baroque with examples of his work from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/H/Hawksmoor,_Nicholas", "url": "http://www.greatbuildings.com/architects/Nicholas_Hawksmoor.html"} +{"d:Title": "Barbelith: Hawksmoor", "d:Description": "Catherine Wright discusses the life and architecture of Nicholas Hawksmoor, asking why his churches prompt thoughts of gloom and mystery. Includes a gazetteer.", "topic": "Top/Arts/Architecture/History/Architects/H/Hawksmoor,_Nicholas", "url": "http://www.barbelith.com/cgi-bin/articles/00000054.shtml"} +{"d:Title": "St Alfege Church, Greenwich", "d:Description": "The official site includes a history and photograph of this church rebuilt in 1718 to the designs of Nicholas Hawksmoor.", "topic": "Top/Arts/Architecture/History/Architects/H/Hawksmoor,_Nicholas", "url": "http://www.st-alfege.org/"} +{"d:Title": "Josef Hoffmann (1870-1956)", "d:Description": "Great Buildings Online presents a profile of this Viennese architect, a pupil of Otto Wagner, and examples of his works.", "topic": "Top/Arts/Architecture/History/Architects/H/Hoffmann,_Josef", "url": "http://www.greatbuildings.com/architects/Josef_Hoffmann.html"} +{"d:Title": "Wikipedia: Villard de Honnecourt", "d:Description": "A biography of the 13th-century Frenchman who may have been an itinerant master-builder, illustrated with a possible self-portrait from his portfolio.", "topic": "Top/Arts/Architecture/History/Architects/H/Honnecourt,_Villard_de", "url": "http://en.wikipedia.org/wiki/Villard_de_Honnecourt"} +{"d:Title": "The Autrique House", "d:Description": "First major \"Modern Style\" design by Victor Horta (1893). Presentation of the house in Brussels and its renovation by Schuiten and Peeters.", "topic": "Top/Arts/Architecture/History/Architects/H/Horta,_Victor", "url": "http://www.autrique.be/"} +{"d:Title": "Victor Horta", "d:Description": "Great Buildings Online provides a brief biography and list of works of the Belgian architect who was at the forefront of Art Nouveau.", "topic": "Top/Arts/Architecture/History/Architects/H/Horta,_Victor", "url": "http://www.greatbuildings.com/architects/Victor_Horta.html"} +{"d:Title": "Kunst Haus Wien", "d:Description": "The museum in Vienna dedicated to the work of Friedensreich Hundertwasser. Visitor information, news and biography of the Austrian architect and artist.", "topic": "Top/Arts/Architecture/History/Architects/H/Hundertwasser,_Friedensreich", "url": "http://www.hundertwasser.at/"} +{"d:Title": "Imhotep (2635-2595 BC)", "d:Description": "Brief biography of the Ancient Egyptian architect responsible for the Step Pyramid at Saqqara, from Great Buildings Online, which quotes its source.", "topic": "Top/Arts/Architecture/History/Architects/I/Imhotep", "url": "http://www.greatbuildings.com/architects/Imhotep.html"} +{"d:Title": "Imhotep 3rd Dynasty", "d:Description": "Brief biography of the Ancient Egyptian official best known as the architect of the Step Pyramid at Saqqara, with photograph and description of the pyramid, from Intercity Oz.", "topic": "Top/Arts/Architecture/History/Architects/I/Imhotep", "url": "http://www.touregypt.net/featurestories/imhotep.htm"} +{"d:Title": "Wikipedia: Imhotep", "d:Description": "Brief biography of the first architect known by name to written history.", "topic": "Top/Arts/Architecture/History/Architects/I/Imhotep", "url": "http://en.wikipedia.org/wiki/Imhotep"} +{"d:Title": "Isidoros and Anthemios (6th Century)", "d:Description": "Joint biography of the architects of the Hagia Sophia, Istanbul, provided by Great Buildings Online from Adolf K Placzek, Macmillan Encyclopedia of Architects.", "topic": "Top/Arts/Architecture/History/Architects/I/Isidorus_of_Miletus", "url": "http://www.greatbuildings.com/architects/Isidoros_and_Anthemios.html"} +{"d:Title": "Wikipedia: Isidore of Miletus", "d:Description": "Brief biography of the joint architect of the Hagia Sophia, Istanbul.", "topic": "Top/Arts/Architecture/History/Architects/I/Isidorus_of_Miletus", "url": "http://en.wikipedia.org/wiki/Isidore_of_Miletus"} +{"d:Title": "Arne Jacobsen (1902-1971)", "d:Description": "Photograph and biography of Denmark's leading International Style architect and designer, from Scandinaviandesign.com.", "topic": "Top/Arts/Architecture/History/Architects/J/Jacobsen,_Arne", "url": "http://www.scandinaviandesign.com/arne_jacobsen/"} +{"d:Title": "William Jay, Architect", "d:Description": "The career of a young Regency architect in America. Illustrated biography by Kelly-Denslow and Associates.", "topic": "Top/Arts/Architecture/History/Architects/J/Jay,_William", "url": "http://www.denslow.com/williamjay/"} +{"d:Title": "Telfair Museum of Art", "d:Description": "Images and history of the neoclassical mansion designed by English architect William Jay for Alexander Telfair and also the Owens-Thomas House designed by Jay.", "topic": "Top/Arts/Architecture/History/Architects/J/Jay,_William", "url": "http://www.telfair.org/"} +{"d:Title": "Jefferson, Thomas (1742-1826)", "d:Description": "Brief biography of the 3rd President of the USA and samples of his work, with references, in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/J/Jefferson,_Thomas", "url": "http://www.greatbuildings.com/architects/Thomas_Jefferson.html"} +{"d:Title": "The Architecture of Thomas Jefferson", "d:Description": "Photographs by Prof. Jeffery Howe of Monticello and the University of Virginia in Charlottesville, from the Digital Archive of American Architecture.", "topic": "Top/Arts/Architecture/History/Architects/J/Jefferson,_Thomas", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/Jeffersn.html"} +{"d:Title": "The Architecture of Thomas Jefferson", "d:Description": "Photographs by and commentary by Mary Ann Sullivan from her Digital Imaging Project.", "topic": "Top/Arts/Architecture/History/Architects/J/Jefferson,_Thomas", "url": "http://www.bluffton.edu/~sullivanm/virginia/jeffersonarchitecture.html"} +{"d:Title": "The Architecture of Thomas Jefferson", "d:Description": "Jefferson (1743-1826), 3rd President of the USA, was an able and influential Neo-Classical architect. Portrait, works, drawings and bibliography from R. G. Wilson, University of Virginia.", "topic": "Top/Arts/Architecture/History/Architects/J/Jefferson,_Thomas", "url": "http://www2.iath.virginia.edu/wilson/home.html"} +{"d:Title": "Inigo Jones (1573-1652)", "d:Description": "Great Buildings Online provides a brief biography of the English architect who embraced the Renaissance ideas of Palladio, with images and information on the elegant Queen's House and Banqueting House.", "topic": "Top/Arts/Architecture/History/Architects/J/Jones,_Inigo", "url": "http://www.greatbuildings.com/architects/Inigo_Jones.html"} +{"d:Title": "Inigo Jones (1573-1652)", "d:Description": "Biography from Infoplease Encyclopedia of the architect who introduced the Palladian style to England, with reference.", "topic": "Top/Arts/Architecture/History/Architects/J/Jones,_Inigo", "url": "http://www.infoplease.com/ce5/CE027247.html"} +{"d:Title": "Inigo Jones", "d:Description": "A biography with references of the London-born architect who drew his inspiration from the Classical forms of Italy, from the Catholic Encyclopedia.", "topic": "Top/Arts/Architecture/History/Architects/J/Jones,_Inigo", "url": "http://newadvent.org/cathen/08500b.htm"} +{"d:Title": "Inigo Jones", "d:Description": "Britain Express provides a biography of the master builder who brought Renaissance ideas to English architecture, with discussion of his major works.", "topic": "Top/Arts/Architecture/History/Architects/J/Jones,_Inigo", "url": "http://www.britainexpress.com/History/inigo-jones.htm"} +{"d:Title": "Wikipedia: Albert Kahn", "d:Description": "A biography of the German-born industrial architect who worked in Detroit, and a list of his works from the collaborative encyclopedia.", "topic": "Top/Arts/Architecture/History/Architects/K/Kahn,_Albert", "url": "http://en.wikipedia.org/wiki/Albert_Kahn_(architect)"} +{"d:Title": "Louis I. Kahn", "d:Description": "Biography of the Estonian-born US architect and academic (1901-74) from Great Buildings Online, with examples of his work.", "topic": "Top/Arts/Architecture/History/Architects/K/Kahn,_Louis", "url": "http://www.greatbuildings.com/architects/Louis_I._Kahn.html"} +{"d:Title": "Louis Kahn (1901-74)", "d:Description": "Photos and descriptive information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Architects/K/Kahn,_Louis", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/kahn.html"} +{"d:Title": "Kahn, Louis Isadore (1901-1974)", "d:Description": "Biography from the Philadelphia Architects and Buildings Project.", "topic": "Top/Arts/Architecture/History/Architects/K/Kahn,_Louis", "url": "http://www.philadelphiabuildings.org/pab/app/ar_display.cfm?ShortId=21829"} +{"d:Title": "Salk Institute by Louis I. Kahn", "d:Description": "Appreciation of the Salk complex by the Galinsky project, with description, photographs, and visiting information.", "topic": "Top/Arts/Architecture/History/Architects/K/Kahn,_Louis", "url": "http://www.galinsky.com/buildings/salk/"} +{"d:Title": "Salk Institute: The Great Buildings Collection", "d:Description": "Includes commentary, pictures, and references about this building designed by architect Louis I. Kahn.", "topic": "Top/Arts/Architecture/History/Architects/K/Kahn,_Louis", "url": "http://www.greatbuildings.com/buildings/Salk_Institute.html"} +{"d:Title": "William Kent", "d:Description": "The career of the English architect and landscape garden pioneer - part of the British Biography guide from Britain Express.", "topic": "Top/Arts/Architecture/History/Architects/K/Kent,_William", "url": "http://www.britainexpress.com/History/bio/kent.htm"} +{"d:Title": "Holkham Hall and Estate", "d:Description": "The official site of this grand Palladian mansion in Norfolk designed by William Kent for Thomas Coke, 1st Earl of Leicester. History, and images and descriptions of state rooms.", "topic": "Top/Arts/Architecture/History/Architects/K/Kent,_William", "url": "http://www.holkham.co.uk/"} +{"d:Title": "George E. Kessler - Historic Landscape Architect", "d:Description": "Extensive site devoted to prolific St. Louis, Missouri-based landscape architect and city planner George E. Kessler (1862-1923).", "topic": "Top/Arts/Architecture/History/Architects/K/Kessler,_George_E.", "url": "http://www.georgekessler.org/"} +{"d:Title": "Leo von Klenze", "d:Description": "Biography from the J. Paul Getty Museum, with images of one of his paintings.", "topic": "Top/Arts/Architecture/History/Architects/K/Klenze,_Leo_von", "url": "http://www.getty.edu/art/collection/artists/328/leo-von-klenze-german-1784-1864/"} +{"d:Title": "Wikipedia: Philibert de l'Orme", "d:Description": "Biography of the French architect - one of the great masters of the Renaissance - taken from the 1911 Encyclopaedia Britannica.", "topic": "Top/Arts/Architecture/History/Architects/L/L'Orme,_Philibert", "url": "http://www.wikipedia.org/wiki/Philibert_de_l'Orme"} +{"d:Title": "Denys Lasdun (1914- )", "d:Description": "Biography, detailed project list with images and bibliography archived at the ArchINFORM database.", "topic": "Top/Arts/Architecture/History/Architects/L/Lasdun,_Denys", "url": "http://www.archinform.net/arch/767.htm"} +{"d:Title": "Benjamin Henry Latrobe (1764-1820)", "d:Description": "Biography and bibliography from Great Buildings Online of the English-born architect who introduced the Greek Revival style to America with a series of monumental buildings.", "topic": "Top/Arts/Architecture/History/Architects/L/Latrobe,_Benjamin_Henry", "url": "http://www.greatbuildings.com/architects/Benjamin_Henry_Latrobe.html"} +{"d:Title": "The John Lautner Foundation", "d:Description": "Holds the archives of this creative American architect (1911-94). Provides a biography with plans, photographs and bibliography of his works. Aims, directors, events.", "topic": "Top/Arts/Architecture/History/Architects/L/Lautner,_John", "url": "http://johnlautner.org/"} +{"d:Title": "John Lautner", "d:Description": "Profile of 20th-century US architect John Lautner from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/L/Lautner,_John", "url": "http://www.greatbuildings.com/architects/John_Lautner.html"} +{"d:Title": "Fondation Le Corbusier", "d:Description": "The official site of the Le Corbusier Foundation in Paris includes its goals, summaries of recent issues of its Bulletin, details of its Le Corbusier buildings and a biography of the architect.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard", "url": "http://www.fondationlecorbusier.fr/"} +{"d:Title": "Le Corbusier", "d:Description": "Biography and bibliography of this most influential of 20th-century architects, with samples of his work, in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard", "url": "http://www.greatbuildings.com/architects/Le_Corbusier.html"} +{"d:Title": "Notre Dame du Haut, Ronchamp", "d:Description": "Images by Jeffery Howe of the LeCorbusier church, built 1950-54.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/Corbu2.html"} +{"d:Title": "Notre Dame du Haut, Ronchamp", "d:Description": "On-line walk-through by Scott Demel of Le Corbusier's chapel at Ronchamp, considered one of the finest and most important buildings of the 20th century.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard", "url": "http://www.demel.net/fs-ronchamp.html"} +{"d:Title": "Carpenter Center", "d:Description": "Images by Jeffery Howe of the building designed by LeCorbusier at Harvard University, Cambridge, Massachusetts, US, built 1962.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/Corbu3.html"} +{"d:Title": "Le Corbusier", "d:Description": "Brief biography and pictorial survey of the present state of most of the work of Le Corbusier by Rein Saariste and his son. Part of the AGRAM database.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard", "url": "http://agram.saariste.nl/scripts/index.asp?dir=corbu&pics=cb&tekst=Le%A0Corbusier"} +{"d:Title": "Villa Savoye", "d:Description": "Images by Jeffery Howe of Le Corbusier's famous 1928-31 \"machine for living\".", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard/Villa_Savoye", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/Corbu.html"} +{"d:Title": "Galinsky", "d:Description": "Outline of Le Corbusier's \"five points of a new architecture\" as represented in Villa Savoye.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard/Villa_Savoye", "url": "http://www.galinsky.com/buildings/savoye/index.htm"} +{"d:Title": "Villa Savoye", "d:Description": "A tour of the villa. [Flash, Quicktime required]", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard/Villa_Savoye", "url": "http://www.learn.columbia.edu/villa_savoye/"} +{"d:Title": "Ultimatehouse - Villa Savoye", "d:Description": "Video tour praising the house as the work that defined the course of modern architecture.", "topic": "Top/Arts/Architecture/History/Architects/L/Le_Corbusier,_Charles-Edouard/Villa_Savoye", "url": "https://www.youtube.com/watch?v=56t4-QYSh24"} +{"d:Title": "All Saints Episcopal Church: Gordon Lloyd", "d:Description": "Michigan Historical Markers page on this church in Saugatuck designed by Gordon W. Lloyd. Includes photo of church and links to other Lloyd building pages.", "topic": "Top/Arts/Architecture/History/Architects/L/Lloyd,_Gordon_W.", "url": "http://www.michmarkers.com/pages/L0908.htm"} +{"d:Title": "Adolf Loos", "d:Description": "Biography of the architect in Great Buildings Online, with information on selected works in Austria.", "topic": "Top/Arts/Architecture/History/Architects/L/Loos,_Adolf", "url": "http://www.greatbuildings.com/architects/Adolf_Loos.html"} +{"d:Title": "Adolf Loos", "d:Description": "Brief biography and pictorial survey of the work (built and unbuilt) of the ardent anti-ornamentalist, author of 'Ornament and Crime', by Rein Saariste. Part of the Agram database.", "topic": "Top/Arts/Architecture/History/Architects/L/Loos,_Adolf", "url": "http://agram.saariste.nl/scripts/index.asp?dir=loos&pics=lo&tekst=Adolf%A0Loos"} +{"d:Title": "Lubetkin, Berthold (1901-1990)", "d:Description": "Brief summary of the work of the Russian architect who moved to England in 1930, and whose most popular work was at London Zoo. From Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/L/Lubetkin,_Berthold", "url": "http://www.greatbuildings.com/architects/Berthold_Lubetkin.html"} +{"d:Title": "Edwin Lutyens (1869-1944)", "d:Description": "Biography of this much-admired architect (taken from Dennis Sharp, The Illustrated Encyclopedia of Architects and Architecture) with details of several of his works, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/L/Lutyens,_Edwin_Landseer", "url": "http://www.greatbuildings.com/architects/Edwin_Lutyens.html"} +{"d:Title": "The Lutyens Trust", "d:Description": "An educational charity which acts as a source of information and help on the care and maintenance of the works of Sir Edwin Lutyens. Biography, chronology, works and bibliography.", "topic": "Top/Arts/Architecture/History/Architects/L/Lutyens,_Edwin_Landseer", "url": "http://www.lutyenstrust.org.uk/"} +{"d:Title": "Saint Jude-on-the-Hill", "d:Description": "This Grade I listed building in Hampstead Garden Suburb is considered Lutyens' finest church. Includes a history and photographs.", "topic": "Top/Arts/Architecture/History/Architects/L/Lutyens,_Edwin_Landseer", "url": "http://www.stjudeonthehill.com/"} +{"d:Title": "Span-Kent", "d:Description": "The history and ethos of a unique 1960s housing project in Kent - New Ash Green - developed by Span and their architects Eric Lyons and Partners. Biographies, plans, photographs. Extensive use of Flash.", "topic": "Top/Arts/Architecture/History/Architects/L/Lyons,_Eric", "url": "http://www.span-kent.co.uk/"} +{"d:Title": "Charles Rennie Mackintosh (1868-1928)", "d:Description": "Brief biography of this inspirational melder of Art Nouveau and Modernism in Scotland, with details of several of his works, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.greatbuildings.com/architects/Charles_Rennie_Mackintosh.html"} +{"d:Title": "The Charles Rennie Mackintosh Society", "d:Description": "Society information and news, brief biography, illustrated introduction to Mackintosh's major works, links to other Mackintosh sites.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.crmsociety.com/"} +{"d:Title": "Armin Crewe: Charles Rennie Mackintosh", "d:Description": "Personal tribute to the architect: his life, photographs of his work and annotated link and book listings.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.armin-grewe.com/crm/crm.htm"} +{"d:Title": "Charles Rennie Mackintosh", "d:Description": "Artcyclopedia gives links to the artist's works in art museum sites and image archives.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.artcyclopedia.com/artists/mackintosh_charles_rennie.html"} +{"d:Title": "Charles Rennie MacKintosh and the Glasgow School of Art", "d:Description": "An illustrated discussion by JK Gillon of the influences on the design of the Glasgow School of Art.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://gillonj.tripod.com/MacKintosh/"} +{"d:Title": "Charles Rennie Mackintosh", "d:Description": "A short profile and history of the architect. Includes image gallery.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.charlesrenniemac.co.uk/"} +{"d:Title": "The House for an Art Lover", "d:Description": "This house was built in Glasgow 1989-96 to a 1901 design by Charles Rennie Mackintosh. Tour, news, events and visitor information, including details of the cafe, shop and suitability for functions.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.houseforanartlover.co.uk/"} +{"d:Title": "78 Derngate", "d:Description": "Describes the restoration work on this unique house in Northampton, remodelled in 1916-17 by Charles Rennie Mackintosh for W. J. Bassett-Lowke.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.78derngate.org.uk/"} +{"d:Title": "The Willow Tea Rooms, Glasgow", "d:Description": "Designed by Charles Rennie Mackintosh in 1904, it has been recreated and serves again today as a cafe specialising in teas. Includes history and photographs.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.willowtearooms.co.uk/"} +{"d:Title": "Wikipedia: Charles Rennie Mackintosh", "d:Description": "Illustrated biography of the main exponent of Art Nouveau in Scotland from the online collaborative encyclopedia.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://en.wikipedia.org/wiki/Charles_Rennie_Mackintosh"} +{"d:Title": "The Mackintosh House", "d:Description": "A QuickTime virtual reality tour of the house of architect and designer Charles Rennie Mackintosh (1868-1928), with its original contents restored. Visitor information.", "topic": "Top/Arts/Architecture/History/Architects/M/Mackintosh,_Charles_Rennie", "url": "http://www.gla.ac.uk/hunterian/collections/permanentdisplays/themackintoshhouse/"} +{"d:Title": "George W. Maher Quarterly", "d:Description": "A journal on the life and work of this influential architect. Illustrated outline of content, how to order, related links.", "topic": "Top/Arts/Architecture/History/Architects/M/Maher,_George", "url": "http://userpages.chorus.net/donjohn/maher.html"} +{"d:Title": "George Washington Maher", "d:Description": "A biography of Maher by John Edward Powell, from Biographies of prominent historic architects, designers and builders in Fresno and the Central Valley.", "topic": "Top/Arts/Architecture/History/Architects/M/Maher,_George", "url": "http://historicfresno.org/bio/maher.htm"} +{"d:Title": "Frank Matcham (1854-1920)", "d:Description": "Brief notes on this Victorian architect of music halls from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/M/Matcham,_Frank", "url": "http://myweb.tiscali.co.uk/speel/arch/matcham.htm"} +{"d:Title": "Friends of First Church, Berkeley", "d:Description": "Activities, events and contacts for this group which supports the restoration and preservation of Bernard Maybeck's architectural masterpiece.", "topic": "Top/Arts/Architecture/History/Architects/M/Maybeck,_Bernard", "url": "http://www.friendsoffirstchurch.org/"} +{"d:Title": "Konstantin Melnikov", "d:Description": "Brief biography and pictorial survey of the work of the 'Productivist' Russian architect prominent in the early 1920s by Rein Saariste. Part of the Agram database.", "topic": "Top/Arts/Architecture/History/Architects/M/Melnikov,_Konstantin", "url": "http://agram.saariste.nl/scripts/index.asp?dir=melnikof&pics=me&tekst=K.%A0Melnikov"} +{"d:Title": "Great Buildings Online: Erich Mendelsohn", "d:Description": "An outline of his life and work drawn from Dennis Sharp, The Illustrated Encyclopedia of Architects and Architecture.", "topic": "Top/Arts/Architecture/History/Architects/M/Mendelsohn,_Erich", "url": "http://www.greatbuildings.com/architects/Erich_Mendelsohn.html"} +{"d:Title": "ArchitectureWeek: Erich Mendelsohn: Oriental from East Prussia", "d:Description": "Lili Eylon considers the life and work of the German exile whose achievements have been too often ignored.", "topic": "Top/Arts/Architecture/History/Architects/M/Mendelsohn,_Erich", "url": "http://www.architectureweek.com/2001/0124/culture_1-1.html"} +{"d:Title": "Mies van der Rohe Foundation: Barcelona", "d:Description": "Cares for the German Pavilion designed by Rohe for the 1929 Barcelona International Exhibition, dismantled in 1930 and reconstructed 1983-6. Photographs, visitor information.", "topic": "Top/Arts/Architecture/History/Architects/M/Mies_van_der_Rohe,_Ludwig", "url": "http://www.miesbcn.com/"} +{"d:Title": "20th Century Architecture: Mies van der Rohe", "d:Description": "Photos and information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Architects/M/Mies_van_der_Rohe,_Ludwig", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/mies.html"} +{"d:Title": "Ludwig Mies van der Rohe (1886-1969)", "d:Description": "Brief biography, bibliography and works of the German-born architect, teacher and philosopher of International Modernism, provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/M/Mies_van_der_Rohe,_Ludwig", "url": "http://www.greatbuildings.com/architects/Ludwig_Mies_van_der_Rohe.html"} +{"d:Title": "Landhaus Lemke", "d:Description": "Brief illustrated article written by Mies' daughter Georgio von der Rohe about 1932 Berlin house. Link to house history.", "topic": "Top/Arts/Architecture/History/Architects/M/Mies_van_der_Rohe,_Ludwig", "url": "http://www.germangalleries.com/MiesVanDerRoheHaus/MiesVanDerRoheHaus2E.html"} +{"d:Title": "Mies in Berlin: Mies in America", "d:Description": "Whitney Museum and Museum of Modern Art online exhibit on the architect's career, with a project list and images. (Requires Flash).", "topic": "Top/Arts/Architecture/History/Architects/M/Mies_van_der_Rohe,_Ludwig", "url": "http://www.whitney.org/mies/"} +{"d:Title": "Barcelona Pavilion", "d:Description": "A virtual reality model of Mies van der Rohe's Barcelona Pavilion developed by the University of Michigan Virtual Reality Laboratory.", "topic": "Top/Arts/Architecture/History/Architects/M/Mies_van_der_Rohe,_Ludwig", "url": "http://www.umich.edu/~vrl/project/barcelona/"} +{"d:Title": "Embt Arquitectes Associats SL", "d:Description": "Enric Miralles (1955-2000) and Benedetta Tagliabue. Official web site of the Spanish architectural practice.", "topic": "Top/Arts/Architecture/History/Architects/M/Miralles,_Enric", "url": "http://www.mirallestagliabue.com/"} +{"d:Title": "BBC : Scots Parliament Architect Dies", "d:Description": "The architect responsible for the design of the new Scottish Parliament building at Holyrood has died.", "topic": "Top/Arts/Architecture/History/Architects/M/Miralles,_Enric", "url": "http://news.bbc.co.uk/1/hi/scotland/817635.stm"} +{"d:Title": "Mockbee Southern Genius", "d:Description": "Illustrated profile of Mockbee and the Rural Studio by Ted Katauskas in Architecture Week.", "topic": "Top/Arts/Architecture/History/Architects/M/Mockbee,_Samuel", "url": "http://www.architectureweek.com/2000/0823/design_1-1.html"} +{"d:Title": "Samuel Mockbee: A Life's Work", "d:Description": "Andrea Oppenheimer Dean looks back at Mockbee's life and career as he was posthumously awarded an AIA Gold Medal Award. Includes links to other relevant Architectural Record articles.", "topic": "Top/Arts/Architecture/History/Architects/M/Mockbee,_Samuel", "url": "http://archrecord.construction.com/features/aiaAwards/04mockbee-1.asp"} +{"d:Title": "Wikipedia: Samuel Mockbee", "d:Description": "Encyclopedia entry provides biographical information.", "topic": "Top/Arts/Architecture/History/Architects/M/Mockbee,_Samuel", "url": "http://en.wikipedia.org/wiki/Samuel_Mockbee"} +{"d:Title": "Samuel Mockbee", "d:Description": "Profile and interview by Brian Libby in Salon.", "topic": "Top/Arts/Architecture/History/Architects/M/Mockbee,_Samuel", "url": "http://www.salon.com/2001/08/09/mockbee/"} +{"d:Title": "Kresge College, U. C. Santa Cruz", "d:Description": "Several dozen photographs of Moore's 1972-74 college buildings from Mary Ann Sullivan's Digital Imaging Project.", "topic": "Top/Arts/Architecture/History/Architects/M/Moore,_Charles_W.", "url": "http://www.bluffton.edu/~sullivanm/moorekresge/kresge.html"} +{"d:Title": "Charles Moore (1925-1993)", "d:Description": "Biography of the humanist postmodern architect from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/M/Moore,_Charles_W.", "url": "http://www.greatbuildings.com/architects/Charles_Moore.html"} +{"d:Title": "Berkeley Playhouse", "d:Description": "Redwood building in Berkeley, CA, designed by Morgan in 1908 as the St. John's Presbyterian Church. Includes a biography of the architect and photos of the building.", "topic": "Top/Arts/Architecture/History/Architects/M/Morgan,_Julia", "url": "http://www.berkeleyplayhouse.org/"} +{"d:Title": "The Julia Morgan Collection at CalPoly, SLO", "d:Description": "Biography, bibliography, list of holdings regarding the architect.", "topic": "Top/Arts/Architecture/History/Architects/M/Morgan,_Julia", "url": "http://lib.calpoly.edu/support/findingaids/ms010-morgan/"} +{"d:Title": "Stockbridge Town Hall", "d:Description": "Describes the Romanesque structure designed by Myers and constructed by Mitter and Heuderlong in 1892. Includes a photo, and links to several other Myers buildings in Michigan.", "topic": "Top/Arts/Architecture/History/Architects/M/Myers,_Elijah_E", "url": "http://www.michmarkers.com/Pages/L0431.htm"} +{"d:Title": "History of the Texas Capitol", "d:Description": "Texas State Preservation Board page on the history of the Capitol building designed by Elijah E. Myers in 1881.", "topic": "Top/Arts/Architecture/History/Architects/M/Myers,_Elijah_E", "url": "http://www.tspb.state.tx.us/SPB/Capitol/TexCap.htm"} +{"d:Title": "John Nash, Regency Architect", "d:Description": "Biography of the architect of Regency England, his work in London for the Prince Regent, and on the Brighton Pavillion from Britain Express.", "topic": "Top/Arts/Architecture/History/Architects/N/Nash,_John", "url": "http://www.britainexpress.com/History/nash.htm"} +{"d:Title": "John Nash (1752-1835)", "d:Description": "Brief notes on the greatest English architect of the Picturesque movement from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/N/Nash,_John", "url": "http://myweb.tiscali.co.uk/speel/arch/jnash.htm"} +{"d:Title": "John Nash", "d:Description": "Brief notes on the greatest English architect of the Picturesque movement from Spartacus Educational.", "topic": "Top/Arts/Architecture/History/Architects/N/Nash,_John", "url": "http://spartacus-educational.com/ARnash.htm"} +{"d:Title": "Richard and Dion Neutra Architecture", "d:Description": "Dion Neutra, son of Richard, explains the aims of his Institute for Survival through Design, and provides on-line tours, news, a gallery of Neutra architecture, bookstore and links.", "topic": "Top/Arts/Architecture/History/Architects/N/Neutra,_Richard", "url": "http://www.neutra.org/"} +{"d:Title": "Richard Neutra (1892-1970)", "d:Description": "Biography of the Vienna-born architect associated with southern California modernism, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/N/Neutra,_Richard", "url": "http://www.greatbuildings.com/architects/Richard_Neutra.html"} +{"d:Title": "Richard J. Neutra Architect", "d:Description": "An illustrated biography of this International Modernist. Zeno Winkens supplies text from the New Grolier Encyclopedia and images from the collection of Egon Winkens, who worked with Neutra.", "topic": "Top/Arts/Architecture/History/Architects/N/Neutra,_Richard", "url": "http://homepage.eircom.net/~winkens/neutra.htm"} +{"d:Title": "Jardinette Apartments", "d:Description": "Photograph and details of this complex built in 1927 from the Cities/Buildings Database at Washington University.", "topic": "Top/Arts/Architecture/History/Architects/N/Neutra,_Richard", "url": "http://www.washington.edu/ark2/archtm/USA81.html"} +{"d:Title": "Richard Neutra", "d:Description": "Brief biography, partial listing of projects and bibliography, from L.A. Obsura, featuring the photographs of Julius Schulman.", "topic": "Top/Arts/Architecture/History/Architects/N/Neutra,_Richard", "url": "http://www.usc.edu/dept/architecture/shulman/architects/neutra/"} +{"d:Title": "Interview with Witold Rybczynski", "d:Description": "Article from Atlantic Unbound on the biography by Rybczynski of Frederick Law Olmsted - 'A Clearing in the Distance', which aims to tell the story of 19th-century America through landscape architecture.", "topic": "Top/Arts/Architecture/History/Architects/O/Olmsted,_Frederick_Law", "url": "http://www.theatlantic.com/unbound/interviews/ba990714.htm"} +{"d:Title": "Grave of Frederick Law Olmstead", "d:Description": "Photographs of the landscape architect and his grave in Old North Cemetery in Hartford, Connecticut, from Find A Grave.", "topic": "Top/Arts/Architecture/History/Architects/O/Olmsted,_Frederick_Law", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1582"} +{"d:Title": "John Singer Sargent's Frederick Law Olmsted", "d:Description": "Portrait by Sargent, biography, and list of projects from the John Singer Sargent Virtual Gallery by Natasha Wallace.", "topic": "Top/Arts/Architecture/History/Architects/O/Olmsted,_Frederick_Law", "url": "http://www.jssgallery.org/Paintings/Frederick_Law_Olmsted.htm"} +{"d:Title": "Yosemite and the Mariposa Grove: A Preliminary Report", "d:Description": "Written in 1865 by Frederick Law Olmsted when he served briefly as one of the first Commissioners appointed to manage the grant of the Yosemite Valley.", "topic": "Top/Arts/Architecture/History/Architects/O/Olmsted,_Frederick_Law", "url": "http://yosemite.ca.us/history/olmsted/"} +{"d:Title": "Olmsted, Frederick Law (1822-1903): American Landscape Architect", "d:Description": "Rod Davis provides a photograph and brief biography, with related web links, most with short descriptions.", "topic": "Top/Arts/Architecture/History/Architects/O/Olmsted,_Frederick_Law", "url": "http://freepages.history.rootsweb.ancestry.com/~dav4is/people/OLMS123.htm"} +{"d:Title": "Scape Artist", "d:Description": "New York Times review of Witold Rybczynski's book on Frederick Law Olmsted, who co-designed New York City's Central Park and was among the first to call himself a landscape architect.", "topic": "Top/Arts/Architecture/History/Architects/O/Olmsted,_Frederick_Law", "url": "http://www.hartfordhistory.net/olmsted.html"} +{"d:Title": "Andrea Palladio (1508-80)", "d:Description": "Biography of the hugely influential Renaissance architect with samples of his work and bibliography in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/P/Palladio,_Andrea", "url": "http://www.greatbuildings.com/architects/Andrea_Palladio.html"} +{"d:Title": "Villa Godi Malinverni", "d:Description": "Built in 1542 to the design of Palladio, with frescos by Zelotti, Padovan and Battista del Moro. Virtual tour and visitor information in English and Italian.", "topic": "Top/Arts/Architecture/History/Architects/P/Palladio,_Andrea", "url": "http://www.villagodi.com/"} +{"d:Title": "Andrea Palladio", "d:Description": "Portrait, biography and examples of the designs of the influential Renaissance architect from Archinform. English-language text, but some headings in German.", "topic": "Top/Arts/Architecture/History/Architects/P/Palladio,_Andrea", "url": "http://www.archinform.de/arch/23.htm"} +{"d:Title": "Epalladio", "d:Description": "Watercolor drawings by Giovanni Giaconi of all the villas planned by Renaissance architect Andrea Palladio.", "topic": "Top/Arts/Architecture/History/Architects/P/Palladio,_Andrea", "url": "http://www.epalladio.com/"} +{"d:Title": "The Guy Who Can't Draw Comics", "d:Description": "A strange twist to standard comic strips. No art. Bizarre humor. Period.", "topic": "Top/Arts/Architecture/History/Architects/P/Palladio,_Andrea", "url": "http://www.i-mockery.com/comic/"} +{"d:Title": "Palladio's Italian Villas", "d:Description": "Life and works of the seminal Italian Renaissance architect, whose impact was world-wide. Plans, photographs, descriptions, bibliography, visitor information by C. I. Gable.", "topic": "Top/Arts/Architecture/History/Architects/P/Palladio,_Andrea", "url": "http://www.boglewood.com/palladio/"} +{"d:Title": "The International Center for the Study of the Architecture of Andrea Palladio", "d:Description": "Includes biography, bibliography and illustrated gazetteer of his works. [Italian and English versions.]", "topic": "Top/Arts/Architecture/History/Architects/P/Palladio,_Andrea", "url": "http://www.palladiomuseum.org/"} +{"d:Title": "Wikipedia", "d:Description": "Biography of the gardener and architect, major projects and publications.", "topic": "Top/Arts/Architecture/History/Architects/P/Paxton,_Sir_Joseph", "url": "http://en.wikipedia.org/wiki/Joseph_Paxton"} +{"d:Title": "Crystal Palace Campaign", "d:Description": "Group campaigning to prevent a multiplex being built on the Grade 2* listed park land site of the Crystal Palace. Includes articles and background.", "topic": "Top/Arts/Architecture/History/Architects/P/Paxton,_Sir_Joseph", "url": "http://www.crystal.dircon.co.uk/"} +{"d:Title": "Crystal Palace Foundation", "d:Description": "Registered charity dedicated to preserving the history of the Crystal Palace. Includes history and news.", "topic": "Top/Arts/Architecture/History/Architects/P/Paxton,_Sir_Joseph", "url": "http://www.angelfire.com/mi2/cpfsales/"} +{"d:Title": "Paxton, Sir Joseph (1803-65)", "d:Description": "Brief notes on the architect Joseph Paxton, designer of Crystal Palace for the Great Exhibition, from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/P/Paxton,_Sir_Joseph", "url": "http://myweb.tiscali.co.uk/speel/arch/paxton.htm"} +{"d:Title": "The Crystal Palace", "d:Description": "Computer models of the Victorian marvel designed by Joseph Paxton, with an illustrated account of its history and construction.", "topic": "Top/Arts/Architecture/History/Architects/P/Paxton,_Sir_Joseph", "url": "http://www2.iath.virginia.edu/london/model/"} +{"d:Title": "Pearson, John Loughborough (1817-97)", "d:Description": "Brief notes on the London-based Gothic Revival architect John Pearson, designer of Truro Cathedral, Cornwall and St John's Cathedral, Brisbane, Australia, from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/P/Pearson,_John_Loughborough", "url": "http://myweb.tiscali.co.uk/speel/arch/pearson.htm"} +{"d:Title": "James Playfair (1755 - 1794)", "d:Description": "Outline of the life and work of this Scottish architect from Gazetteer for Scotland, with information on Melville Castle, which he designed.", "topic": "Top/Arts/Architecture/History/Architects/P/Playfair,_James", "url": "http://www.scottish-places.info/people/famousfirst80.html"} +{"d:Title": "Wikipedia: William Henry Playfair", "d:Description": "Biography of this Scottish architect from the online collaborative encyclopedia.", "topic": "Top/Arts/Architecture/History/Architects/P/Playfair,_William_Henry", "url": "http://en.wikipedia.org/wiki/William_Henry_Playfair"} +{"d:Title": "Edinburgh Architecture: William Playfair Architect", "d:Description": "Biography of the Scottish architect (1789-1857) with images and descriptions of his works in Edinburgh.", "topic": "Top/Arts/Architecture/History/Architects/P/Playfair,_William_Henry", "url": "http://www.edinburgharchitecture.co.uk/william_playfair.htm"} +{"d:Title": "Joze Plecnik", "d:Description": "Portrait and biography of the Yugoslavian architect who created an original blend of modern, classical, Byzantine and Slovenian vernacular in his works in Ljubljana. Linked to a city tour.", "topic": "Top/Arts/Architecture/History/Architects/P/Plecnik,_Josef", "url": "http://www.ijs.si/slo/ljubljana/plecnik.html"} +{"d:Title": "Augustus Welby Northmore Pugin", "d:Description": "A comprehensive biography of the life and work of this, who was responsible for many Catholic cathedrals and churches, from the Catholic Encyclopedia.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://www.newadvent.org/cathen/12558b.htm"} +{"d:Title": "Pugin Foundation", "d:Description": "An Australian not-for profit organisation whose principal purpose is to assist in the conservation of Pugin's Australian works.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://www.puginfoundation.org/"} +{"d:Title": "Victoria and Albert Museum", "d:Description": "Search the extensive V&A collections for photos and descriptions of Pugin's work.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://collections.vam.ac.uk/name/pugin-augustus-welby-northmore/666/"} +{"d:Title": "The Wall Street Journal: A Victorian Novel in Stone", "d:Description": "An essay on the role Pugin played in the design of the Houses of Parliament.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://online.wsj.com/article/SB123758504383299443.html"} +{"d:Title": "The Victorian Web", "d:Description": "Biography of Augustus Pugin with photos and descriptions of his work.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://www.victorianweb.org/art/design/pugin/"} +{"d:Title": "BBC Four: God's Own Architect", "d:Description": "Richard Taylor charts the life of Pugin in the BBC Four documentary God's Own Architect.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://www.bbc.co.uk/programmes/p00n58pm"} +{"d:Title": "Wikipedia: Augustus Pugin", "d:Description": "Concise biography of the life and work of Augustus Pugin.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://en.wikipedia.org/wiki/Augustus_Welby_Northmore_Pugin"} +{"d:Title": "Flickr: Augustus Pugin", "d:Description": "Large collection of user submitted photos of Pugin's work.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://www.flickr.com/search/?q=Augustus+Pugin"} +{"d:Title": "August Welby Pugin", "d:Description": "Spartacus provides a brief biography of the Gothic Revivalist and descriptions of his major works.", "topic": "Top/Arts/Architecture/History/Architects/P/Pugin,_Augustus_Welby_Northmore", "url": "http://spartacus-educational.com/ARpugin.htm"} +{"d:Title": "Rich, Charles Alonzo (1854-1943)", "d:Description": "Scott Meacham's master's thesis on Rich's 1893-1914 expansion of the campus of Dartmouth College in Hanover, New Hampshire, US, with many photographs of its two dozen buildings.", "topic": "Top/Arts/Architecture/History/Architects/R/Rich,_Charles_Alonzo", "url": "http://www.dartmo.com/rich/"} +{"d:Title": "Richardson, Henry Hobson (1838-86)", "d:Description": "Biography of one of the most admired US architects in Great Buildings Online, with samples of his work and bibliography.", "topic": "Top/Arts/Architecture/History/Architects/R/Richardson,_Henry_Hobson", "url": "http://www.greatbuildings.com/architects/Henry_Hobson_Richardson.html"} +{"d:Title": "Henry Hobson Richardson (1838-86)", "d:Description": "Photos and information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Architects/R/Richardson,_Henry_Hobson", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/hhr.html"} +{"d:Title": "Architecturally Speaking", "d:Description": "Photographs and history by an amateur enthusiast of extant H.H. Richardson projects, works by related architects and other Boston buildings.", "topic": "Top/Arts/Architecture/History/Architects/R/Richardson,_Henry_Hobson", "url": "http://hereibe.homestead.com/"} +{"d:Title": "Richardson, Henry Hobson (1838-86)", "d:Description": "Biography and photographs of surviving Richardson buildings from 'Architecturally Speaking' by an amateur Bostonian enthusiast.", "topic": "Top/Arts/Architecture/History/Architects/R/Richardson,_Henry_Hobson", "url": "http://hereibe.homestead.com/hhr.html"} +{"d:Title": "Rickmans Gothic Architecture", "d:Description": "Contents page of Rickman's book 'Rickman's Gothic Architecture' (1848), illustrated with some examples of his work. From YSF Books Catalogue.", "topic": "Top/Arts/Architecture/History/Architects/R/Rickman,_Thomas", "url": "http://www.users.globalnet.co.uk/~jimmiysf/gothic.htm"} +{"d:Title": "Eero Saarinen", "d:Description": "Portrait and brief biography of the son of Eliel Saarinen, concentrating on his furniture designs, with photographs, from the Scandinavian Design Company.", "topic": "Top/Arts/Architecture/History/Architects/S/Saarinen,_Eero", "url": "http://www.scandinaviandesign.com/eero_saarinen/"} +{"d:Title": "Eero Saarinen (1910-1961)", "d:Description": "Brief biography and major US works of the Finnish-born architect, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/S/Saarinen,_Eero", "url": "http://www.greatbuildings.com/gbc/architects/Eero_Saarinen.html"} +{"d:Title": "The Architecture of Eero Saarinen", "d:Description": "Photographs from the Digital Imaging Project by Mary Ann Sullivan, Bluffton College.", "topic": "Top/Arts/Architecture/History/Architects/S/Saarinen,_Eero", "url": "http://www.bluffton.edu/~sullivanm/index/saarinen/saarinenindex.html"} +{"d:Title": "Jacopo Sansovino", "d:Description": "Biographical sketch and analysis of the work of the influential Renaissance architect and sculptor who shaped the core of Venice, from C.I. Gable.", "topic": "Top/Arts/Architecture/History/Architects/S/Sansovino,_Jacopo", "url": "http://www.boglewood.com/cornaro/xsansovino.html"} +{"d:Title": "Rudolf M. Schindler (1887-1953)", "d:Description": "Profile and works of the Viennese-born architect from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/S/Schindler,_Rudolph", "url": "http://www.greatbuildings.com/architects/Rudolf_N._Schindler.html"} +{"d:Title": "El Pueblo Ribera Court, La Jolla, California", "d:Description": "Photographs of 1923 twelve unit apartment complex. Site maintained by current resident of the property.", "topic": "Top/Arts/Architecture/History/Architects/S/Schindler,_Rudolph", "url": "http://www.hottr6.com/pueblo/"} +{"d:Title": "Karl Friedrich Schinkel", "d:Description": "Brief biography of the greatest German architect of the 19th century, with a pictorial survey of the present state of his work by Rein Saariste. Part of the Agram database.", "topic": "Top/Arts/Architecture/History/Architects/S/Schinkel,_Karl_Friedrich", "url": "http://agram.saariste.nl/scripts/index.asp?dir=schinkel&pics=sc&tekst=K.F.%A0Schinkel"} +{"d:Title": "The Catholic New World: St. Edmund Church", "d:Description": "Photograph and description of the decorated interior of this church in Oak Park, Illinois, designed by Henry Schlacks in the English Gothic style and dedicated in 1910.", "topic": "Top/Arts/Architecture/History/Architects/S/Schlacks,_Henry", "url": "http://www.catholicnewworld.com/archive/cnw2003/060803/parishpride.html"} +{"d:Title": "Great Buildings Online: George Gilbert Scott (1811-1878)", "d:Description": "Biography of the English architect dedicated to the historic preservation of medieval buildings and whose designs were influenced by them.", "topic": "Top/Arts/Architecture/History/Architects/S/Scott,_George_Gilbert", "url": "http://www.greatbuildings.com/architects/George_Gilbert_Scott.html"} +{"d:Title": "Sir George Gilbert Scott PRIBA (1811-1878)", "d:Description": "Notes on a major British proponent of the Gothic Revival from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/S/Scott,_George_Gilbert", "url": "http://myweb.tiscali.co.uk/speel/arch/gilscot.htm"} +{"d:Title": "Wikipedia: George Gilbert Scott", "d:Description": "Biography of the English Victorian architect notable for his Gothic Revival designs for public buildings.", "topic": "Top/Arts/Architecture/History/Architects/S/Scott,_George_Gilbert", "url": "http://en.wikipedia.org/wiki/George_Gilbert_Scott"} +{"d:Title": "M. H. Baillie-Scott", "d:Description": "Brief biography of M. H. Baillie-Scott, architect, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/S/Scott,_Mackay_Hugh_Baillie", "url": "http://www.greatbuildings.com/architects/M._H._Baillie-Scott.html"} +{"d:Title": "Victorian Web: Mackay Hugh Baillie Scott", "d:Description": "An overview of the life and work of the Arts and Crafts architect Baillie Scott (1865-1945), with examples of his work and critical commentary.", "topic": "Top/Arts/Architecture/History/Architects/S/Scott,_Mackay_Hugh_Baillie", "url": "http://www.victorianweb.org/art/design/bailliescott/mhbsov.html"} +{"d:Title": "Shaw, Richard Norman (1831-1913)", "d:Description": "Brief biography in Great Buildings Online of an architect noted for his country houses in the 'Old English' and 'Queen Anne' styles, with information on Bedford Park.", "topic": "Top/Arts/Architecture/History/Architects/S/Shaw,_Richard_Norman", "url": "http://www.greatbuildings.com/architects/Richard_Norman_Shaw.html"} +{"d:Title": "Diego de Silo\u00e9 (c. 1495-1563)", "d:Description": "Biography from the Web Gallery of Art of this Spanish architect and sculptor, who was one of the leading figures in the transition from Gothic to Renaissance in Spain.", "topic": "Top/Arts/Architecture/History/Architects/S/Silo\u00e9,_Diego_de", "url": "http://www.wga.hu/frames-e.html?/bio/s/siloe/diego/biograph.html"} +{"d:Title": "Great Buildings Online: Sinan", "d:Description": "Biography of the great Turkish architect (1489-1588) with examples of his work.", "topic": "Top/Arts/Architecture/History/Architects/S/Sinan,_Mimar", "url": "http://www.greatbuildings.com/architects/Sinan.html"} +{"d:Title": "Wikipedia: Sinan", "d:Description": "Biography from the collaborative encyclopedia of the Ottoman chief architect for sultans Selim I, Suleiman I, Selim II and Murad III.", "topic": "Top/Arts/Architecture/History/Architects/S/Sinan,_Mimar", "url": "http://en.wikipedia.org/wiki/Sinan"} +{"d:Title": "Skipper, George John (1856-1948)", "d:Description": "Brief notes on the Norwich-based architect George Skipper from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/S/Skipper,_George_John", "url": "http://myweb.tiscali.co.uk/speel/arch/skipper.htm"} +{"d:Title": "Eastnor Castle, Ledbury, Herefordshire", "d:Description": "This restored castle - the work of Robert Smirke, and with decoration by Augustus Pugin - is now a visitor attraction and event venue. Includes a detailed history of the building, and a photo tour.", "topic": "Top/Arts/Architecture/History/Architects/S/Smirke,_Sir_Robert", "url": "http://www.eastnorcastle.com/"} +{"d:Title": "Smythson, Robert (1535-1614)", "d:Description": "Brief biography in Great Buildings Online, with details of his work at Wollaton Hall, Nottinghamshire.", "topic": "Top/Arts/Architecture/History/Architects/S/Smythson,_Robert", "url": "http://www.greatbuildings.com/architects/Robert_Smythson.html"} +{"d:Title": "Sir John Soane's Museum", "d:Description": "Sir John Soane's life and work (1753-1837) are displayed in his own amazing house at Lincoln's Inn Fields, London, now a museum.", "topic": "Top/Arts/Architecture/History/Architects/S/Soane,_John", "url": "http://www.soane.org/"} +{"d:Title": "Sir John Soane", "d:Description": "Brief biography of this original architect in Great Buildings Online, with details of several of his works.", "topic": "Top/Arts/Architecture/History/Architects/S/Soane,_John", "url": "http://www.greatbuildings.com/architects/Sir_John_Soane.html"} +{"d:Title": "Sir John Soane (1753-1857)", "d:Description": "Brief notes on the architect John Soane, designer of the Bank of England, from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/S/Soane,_John", "url": "http://myweb.tiscali.co.uk/speel/arch/soane.htm"} +{"d:Title": "James Stirling", "d:Description": "Brief biography of this Scottish-born experimentalist in Great Buildings Online, with details of some of his buildings.", "topic": "Top/Arts/Architecture/History/Architects/S/Stirling,_James", "url": "http://www.greatbuildings.com/gbc/architects/James_Stirling.html"} +{"d:Title": "George Edmund Street (1824-81)", "d:Description": "Brief notes on the London-based architect George Street from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/S/Street,_George_Edmund", "url": "http://myweb.tiscali.co.uk/speel/arch/street.htm"} +{"d:Title": "Louis Sullivan", "d:Description": "A portrait photograph and biography from Prairie Styles of this innovatory architect.", "topic": "Top/Arts/Architecture/History/Architects/S/Sullivan,_Louis", "url": "http://www.prairiestyles.com/lsullivan.htm"} +{"d:Title": "Trumbauer, Horace (1868-1938)", "d:Description": "Biography, photographs, project list and references for this Philadelphia architect, from Philadelphia Architects and Buildings.", "topic": "Top/Arts/Architecture/History/Architects/T", "url": "http://www.philadelphiabuildings.org/pab/app/ar_display.cfm?RecordId=A1415"} +{"d:Title": "Samuel Sanders Teulon (1812-73)", "d:Description": "Notes on this Victorian architect and his work from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/T/Teulon,_Samuel_Sanders", "url": "http://myweb.tiscali.co.uk/speel/arch/teulon.htm"} +{"d:Title": "The John Hudson Thomas Gallery", "d:Description": "Biography and list of works, many with photographs, of San Francisco Bay Area architect John Hudson Thomas (1878-1945) by Jim Stetson.", "topic": "Top/Arts/Architecture/History/Architects/T/Thomas,_John_Hudson", "url": "http://home.earthlink.net/~jimstetson/jht/"} +{"d:Title": "Alexander Thomson", "d:Description": "Photograph of the Glaswegian architect and two pages of expandable photographs of his works from the Glasgow Guide.", "topic": "Top/Arts/Architecture/History/Architects/T/Thomson,_Alexander", "url": "http://www.glasgowguide.co.uk/images_agt_stv.html"} +{"d:Title": "Alexander Greek Thomson: Antiquity Rediscovered", "d:Description": "A guide to Thomson's architectural work in Glasgow by Gerry Blaikie, part of his Glasgow and Edinburgh: A trail of two cities, illustrated with his own drawings of buildings.", "topic": "Top/Arts/Architecture/History/Architects/T/Thomson,_Alexander", "url": "http://www.scotcities.com/greekthomson.htm"} +{"d:Title": "A Gothic Revival Church", "d:Description": "Architectural drawings by Richard Upjohn in the Library of Congress, introduced with a brief biography of this key figure in introducing the Gothic Revival to the United States.", "topic": "Top/Arts/Architecture/History/Architects/U/Upjohn,_Richard", "url": "http://www.loc.gov/exhibits/treasures/tri003.html"} +{"d:Title": "Blenheim Palace", "d:Description": "This baroque masterpiece - a World Heritage site - was built (1705-22) for the 1st Duke of Marlborough to designs by John Vanbrugh. The official site supplies an illustrated history and visitor information.", "topic": "Top/Arts/Architecture/History/Architects/V/Vanbrugh,_John", "url": "http://www.blenheimpalace.com/"} +{"d:Title": "Grimsthorpe Castle and Gardens", "d:Description": "The official site for this stately home in Lincolnshire, remodelled in 1715 to the design of Sir John Vanbrugh. Includes a few images and very brief history, amid the visitor information.", "topic": "Top/Arts/Architecture/History/Architects/V/Vanbrugh,_John", "url": "http://www.grimsthorpe.co.uk/"} +{"d:Title": "John Vanbrugh", "d:Description": "Portrait and short biography of Sir John Vanbrugh from Garden Visit, mentioning his influence on the history of garden design.", "topic": "Top/Arts/Architecture/History/Architects/V/Vanbrugh,_John", "url": "http://www.gardenvisit.com/b/vanburgh.htm"} +{"d:Title": "Castle Howard", "d:Description": "One of England's grandest Baroque mansions, designed for Charles Howard, 3rd Earl of Carlisle, by John Vanbrugh and Nicholas Hawksmoor. History, images, news and visitor information.", "topic": "Top/Arts/Architecture/History/Architects/V/Vanbrugh,_John", "url": "http://www.castlehoward.co.uk/"} +{"d:Title": "Sir John Vanbrugh (1664-1726)", "d:Description": "Portrait and detailed biography from Malaspina of the British dramatist and architect, adapted from Encyclopedia Britannica (1911).", "topic": "Top/Arts/Architecture/History/Architects/V/Vanbrugh,_John", "url": "http://www.malaspina.org/vanbrughj.htm"} +{"d:Title": "Aldo van Eyck", "d:Description": "Biogragraphy of the Dutch architect from Great Buildings Online with references, and a list of his works, with images or details of some.", "topic": "Top/Arts/Architecture/History/Architects/V/Van_Eyck,_Aldo", "url": "http://architects.greatbuildings.com/Aldo_van_Eyck.html"} +{"d:Title": "Henry van de Velde", "d:Description": "Biography of the Belgian artist, designer and architect from Great Buildings Online, with information on the Bloemenwerf House (Belgium) and the Werkbund Theater (Germany).", "topic": "Top/Arts/Architecture/History/Architects/V/Velde,_Henry_van_de", "url": "http://www.greatbuildings.com/architects/Henry_van_de_Velde.html"} +{"d:Title": "Fundacion Villanueva", "d:Description": "A non-profit foundation dedicated to exploring and presenting the life and work of Carlos Raul Villanueva. Includes biography, works year by year, and publications. Caracas, Venezuela.", "topic": "Top/Arts/Architecture/History/Architects/V/Villanueva,_Carlos_Ra\u00fal", "url": "http://www.fundacionvillanueva.org/"} +{"d:Title": "Ciudad Universitaria de Caracas", "d:Description": "UNESCO explains why this masterpiece of modern city planning, architecture and art created by the Venezuelan architect Carlos Ra\u00fal Villanueva was declared a World Heritage Site.", "topic": "Top/Arts/Architecture/History/Architects/V/Villanueva,_Carlos_Ra\u00fal", "url": "http://whc.unesco.org/sites/986.htm"} +{"d:Title": "Wikipedia: Carlos Ra\u00fal Villanueva", "d:Description": "An illustrated biography and list of works of the most prominent Venezuelan architect of the 20th century, noted as a Modernist.", "topic": "Top/Arts/Architecture/History/Architects/V/Villanueva,_Carlos_Ra\u00fal", "url": "http://en.wikipedia.org/wiki/Carlos_Ra%C3%BAl_Villanueva"} +{"d:Title": "Viollet-le-Duc, Eug\u00e8ne-Emmanuel", "d:Description": "Comments on the French Gothic Revival architect, whose publications had more influence than his buildings, by Frank Derville from Art Nouveau World Wide.", "topic": "Top/Arts/Architecture/History/Architects/V/Viollet-le-Duc,_Eug\u00e8ne-Emmanuel", "url": "http://pagesperso-orange.fr/artnouveau/en/artistes/vld.htm"} +{"d:Title": "Vitruvius: 1st Century BC Roman Architect", "d:Description": "Brief biography and outline of the contents of his famous work 'De architectura' from HyperHistory Online.", "topic": "Top/Arts/Architecture/History/Architects/V/Vitruvius_Pollio,_Marcus", "url": "http://www.hyperhistory.com/online_n2/people_n2/persons2_n2/vitruvius.html"} +{"d:Title": "Vitruvius", "d:Description": "Comment on Vitruvius's work by John H. Leinard of the University of Houston's College of Engineering.", "topic": "Top/Arts/Architecture/History/Architects/V/Vitruvius_Pollio,_Marcus", "url": "http://www.uh.edu/engines/epi580.htm"} +{"d:Title": "Wikipedia: Vitruvius", "d:Description": "Biography of Marcus Vitruvius Pollio, Roman architect of the 1st century BC, most noted as the author of De Architectura.", "topic": "Top/Arts/Architecture/History/Architects/V/Vitruvius_Pollio,_Marcus", "url": "http://en.wikipedia.org/wiki/Vitruvius"} +{"d:Title": "Vitruvius, On Architecture", "d:Description": "12 plates from an 18th-century Venetian edition, hosted by the University of Pennsylvania.", "topic": "Top/Arts/Architecture/History/Architects/V/Vitruvius_Pollio,_Marcus/De_Architectura", "url": "http://ccat.sas.upenn.edu/george/vitruvius.html"} +{"d:Title": "Vitruvius Pollio, The Ten Books on Architecture", "d:Description": "The full text of the English translation by Morris Hicky Morgan (1914), hosted by the Perseus Project. Easy navigation through the text; map of sites mentioned.", "topic": "Top/Arts/Architecture/History/Architects/V/Vitruvius_Pollio,_Marcus/De_Architectura", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.02.0073"} +{"d:Title": "Charles F. A. Voysey (1857-1941)", "d:Description": "Brief biography supplied by Great Buildings Online, with details of The Orchard.", "topic": "Top/Arts/Architecture/History/Architects/V/Voysey,_Charles_F._A.", "url": "http://www.greatbuildings.com/architects/Charles_F._A._Voysey.html"} +{"d:Title": "The Residential Architecture of C. F. A. Voysey", "d:Description": "A brief summary of Voysey's style, with large photographs of Moorcrag, Windermere (1898) - a good example of his house designs - from Felhandler, Steeneken and Wilk, Architects.", "topic": "Top/Arts/Architecture/History/Architects/V/Voysey,_Charles_F._A.", "url": "http://www.fswarchitects.com/history/voysey.html"} +{"d:Title": "The Winsford Trust", "d:Description": "Aims to raise funds to restore the Winsford Cottage Hospital, which is an arts and crafts building in Devon, England, designed by Charles F. A. Voysey. Includes photographs and original plans.", "topic": "Top/Arts/Architecture/History/Architects/V/Voysey,_Charles_F._A.", "url": "http://www.winsfordtrust.com/"} +{"d:Title": "Wikipedia: Otto Wagner", "d:Description": "Illustrated biography and list of the works of this Austrian Art Nouveau architect from the online collaborative encyclopedia.", "topic": "Top/Arts/Architecture/History/Architects/W/Wagner,_Otto", "url": "http://en.wikipedia.org/wiki/Otto_Wagner"} +{"d:Title": "Otto Wagner: The Academy of Fine Arts", "d:Description": "A past exhibition at the Clark Art Institute focused on Otto Wagner\u2019s design for a new Academy of Fine Arts in Vienna. Illustrated description including a biography of the Austrian architect and samples of his decorative Art Nouveau designs.", "topic": "Top/Arts/Architecture/History/Architects/W/Wagner,_Otto", "url": "http://www.clarkart.edu/exhibitions/klimt/wagner/"} +{"d:Title": "Great Buildings Online: Otto Wagner", "d:Description": "Brief biography of the Austrian architect with reference, and images of a selection of his major works in Vienna.", "topic": "Top/Arts/Architecture/History/Architects/W/Wagner,_Otto", "url": "http://www.greatbuildings.com/architects/Otto_Wagner.html"} +{"d:Title": "ArchitectureWeek: Alfred Waterhouse's Terracotta Menagerie", "d:Description": "Colin Cunningham describes the extraordinary facade designed by Waterhouse for London's Natural History Museum.", "topic": "Top/Arts/Architecture/History/Architects/W/Waterhouse,_Alfred", "url": "http://www.architectureweek.com/2003/0903/culture_1-1.html"} +{"d:Title": "Alfred Waterhouse (1830-1905)", "d:Description": "Brief notes on the Victorian architect from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/W/Waterhouse,_Alfred", "url": "http://myweb.tiscali.co.uk/speel/arch/awaterhs.htm"} +{"d:Title": "Wikipedia: Alfred Waterhouse", "d:Description": "Biography of the English architect noted as a designer of Victorian public buildings, incorporating text from the 1911 Encyclopaedia Britannica.", "topic": "Top/Arts/Architecture/History/Architects/W/Waterhouse,_Alfred", "url": "http://en.wikipedia.org/wiki/Alfred_Waterhouse"} +{"d:Title": "Webb, Aston (1849-1930)", "d:Description": "Brief notes on the Victorian architect Aston Webb, who designed the frontage of the Victoria and Albert Museum and the Birmingham Law Courts, from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/W/Webb,_Aston", "url": "http://myweb.tiscali.co.uk/speel/arch/awebb.htm"} +{"d:Title": "Society for the Protection of Ancient Buildings - History", "d:Description": "A page on the history of the Society, which was founded by William Morris and Philip Webb in 1877 to counteract the highly destructive 'restoration' of medieval buildings being practised by many Victorian architects. Philip Webb was the leading light of this group and a number of young architects trained under his guidance.", "topic": "Top/Arts/Architecture/History/Architects/W/Webb,_Philip_Speakman", "url": "http://www.spab.org.uk/education_scholarship_history.html"} +{"d:Title": "Webb, Philip Speakman (1831-1915)", "d:Description": "Brief notes on the Victorian architect Philip Webb from Bob Speel.", "topic": "Top/Arts/Architecture/History/Architects/W/Webb,_Philip_Speakman", "url": "http://myweb.tiscali.co.uk/speel/arch/pwebb.htm"} +{"d:Title": "Williams the Conqueror", "d:Description": "An illustrated article by Shashank Bengali on Paul R. Williams, the African-American architect of Los Angeles International Airport and other buildings in the region.", "topic": "Top/Arts/Architecture/History/Architects/W/Williams,_Paul_Revere", "url": "http://www.usc.edu/dept/pubrel/trojan_family/spring04/williams1.html"} +{"d:Title": "Wikipedia: Paul Williams (Architect)", "d:Description": "The collaborative encyclopedia provides a biography, portrait, list of major works and references for the African American architect who worked largely in the Southern California area.", "topic": "Top/Arts/Architecture/History/Architects/W/Williams,_Paul_Revere", "url": "http://en.wikipedia.org/wiki/Paul_Williams_(architect)"} +{"d:Title": "John Wood the elder (1704-54)", "d:Description": "Samples of his work in Bath, and that of his son John Wood the younger, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/W/Wood,_John", "url": "http://www.greatbuildings.com/architects/John_Wood.html"} +{"d:Title": "New York Times - An Architect Unbounded by the Status Quo", "d:Description": "Article about the irreverent architect whose dark and moody renderings made him a cult figure by Nicolai Ouroussoff in the New York Times.", "topic": "Top/Arts/Architecture/History/Architects/W/Woods,_Lebbeus", "url": "http://www.nytimes.com/2008/08/25/arts/design/25wood.html"} +{"d:Title": "St Paul's Cathedral, London", "d:Description": "The official site of the magnificent Baroque church designed by Sir Christopher Wren in 1673. It has an illustrated chronology and 360-degree panoramas that require QuickTime VR.", "topic": "Top/Arts/Architecture/History/Architects/W/Wren,_Sir_Christopher", "url": "http://www.stpauls.co.uk/"} +{"d:Title": "Sir Christopher Wren (1632-1723)", "d:Description": "Great Buildings Online provides a biography taken from Dennis Sharp, The Illustrated Encyclopedia of Architects and Architecture, photographs, details and bibliographies of Wren's works.", "topic": "Top/Arts/Architecture/History/Architects/W/Wren,_Sir_Christopher", "url": "http://www.greatbuildings.com/architects/Sir_Christopher_Wren.html"} +{"d:Title": "Sir Christopher Wren (1632-1723)", "d:Description": "Biography of the great English architect responsible for St Paul's Cathedral, from the MacTutor History of Mathematics archive at the University of St Andrews.", "topic": "Top/Arts/Architecture/History/Architects/W/Wren,_Sir_Christopher", "url": "http://www-groups.dcs.st-and.ac.uk/~history/Mathematicians/Wren.html"} +{"d:Title": "Wren, Christopher", "d:Description": "Biography, bibliography and achievements compiled by Richard S. Westfall, as part of the Catalog of the Scientific Community, hosted by Rice University, Texas.", "topic": "Top/Arts/Architecture/History/Architects/W/Wren,_Sir_Christopher", "url": "http://galileo.rice.edu/Catalog/NewFiles/wren.html"} +{"d:Title": "BBC: Sir Christopher Wren 1632-1723", "d:Description": "Biography of the architect of St Paul's Cathedral, illustrated with his portrait by Sir Godfrey Kneller.", "topic": "Top/Arts/Architecture/History/Architects/W/Wren,_Sir_Christopher", "url": "http://www.bbc.co.uk/history/historic_figures/wren_christopher.shtml"} +{"d:Title": "Christopher Wren", "d:Description": "Spartacus provides a portrait and biography of the great English architect, descriptions of his major works and some comments on them the diaries of Celia Fiennes and Daniel Defoe.", "topic": "Top/Arts/Architecture/History/Architects/W/Wren,_Sir_Christopher", "url": "http://spartacus-educational.com/ARwren.htm"} +{"d:Title": "Frank Lloyd Wright", "d:Description": "PBS companion site to the film by Ken Burns and Lynn Novick. Biography, photographs, drawings and plans of his works, related architectural movements, reviews, and lesson plans.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.pbs.org/flw/"} +{"d:Title": "The Frank Lloyd Wright Foundation", "d:Description": "The foundation established by Wright himself at Taliesin West in Arizona aims to advance the principles of organic architecture and preserve Wright's archive. Portraits, biography, building chronology and bibliography.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.franklloydwright.org/"} +{"d:Title": "Frank Lloyd Wright in Wisconsin", "d:Description": "Tour information, building list, and news concerning Frank Lloyd Wright's buildings in his home state.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.wrightinwisconsin.org/"} +{"d:Title": "Taliesin Preservation Commission", "d:Description": "Works to protect Taliesin, Frank Lloyd Wright's estate in Spring Green, Wisconsin. Tours, visitor center, events, preservation program.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.taliesinpreservation.org/"} +{"d:Title": "Dana-Thomas House Foundation", "d:Description": "Official site for Wright's landmark 1902 design in Springfield, Illinois.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.dana-thomas.org/"} +{"d:Title": "Unity Temple, Oak Park, Illinois", "d:Description": "Oak Park Tourist provides an online tour with plan, photographs and history of the remarkable cubist concrete church designed in 1905 by Frank Lloyd Wright.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.oprf.com/unity/"} +{"d:Title": "Frank Lloyd Wright School of Architecture", "d:Description": "Complete information on this architectural school founded by Frank Lloyd Wright and based in Wisconsin and Arizona. Includes many illustrations.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.taliesin.edu/"} +{"d:Title": "Darwin Martin House", "d:Description": "An illustrated history of the house built by Frank Lloyd Wright for Darwin D. Martin in 1905. Hosted by the Martin House Restoration Corporation.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.darwinmartinhouse.org/"} +{"d:Title": "Frank Lloyd Wright in Oak Park, Illinois", "d:Description": "Illustrated guide to Wright's buildings in this Chicago suburb, indexed by street and by date. Includes news and events.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.oprf.com/flw/"} +{"d:Title": "Unity Temple, Oak Park, Illinois", "d:Description": "Official site of the remarkable cubist concrete church designed by Frank Lloyd Wright reproduces the original 1906 brochure with plans, drawings and the design philosophy for the building.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.unitytemple.org/"} +{"d:Title": "Digital Archive of American Architecture - Frank Lloyd Wright", "d:Description": "Index of dozens of images of Frank Lloyd Wright's buildings by Boston College professor Jeffery Howe.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/FLW.html"} +{"d:Title": "Frank Lloyd Wright", "d:Description": "Biography of this influential architect from Prairie Styles.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.prairiestyles.com/wright.htm"} +{"d:Title": "Prairie Style Architecture", "d:Description": "Information about a selection of Wright houses from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.greatbuildings.com/types/styles/prairie_style.html"} +{"d:Title": "Finding Mr. Wright - A Photo-Journey", "d:Description": "The Delmars present many photographs of Midwestern Frank Lloyd Wright buildings.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.delmars.com/flwtrip/"} +{"d:Title": "FLlW Update", "d:Description": "Wright news, reviews, and opinion from the author of the \"Frank Lloyd Wright Companion\" and other books.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.franklloydwrightinfo.com/"} +{"d:Title": "Yahoo Groups - Wright", "d:Description": "Yahoo Club with message board concerning Frank Lloyd Wright", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://groups.yahoo.com/group/Wright/"} +{"d:Title": "About Pittsburgh - Kentuck Knob", "d:Description": "About.com history, photos, and travel guide to Kentuck Knob, the Frank Lloyd Wright house located near Fallingwater in western Pennsylvania", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://pittsburgh.about.com/library/blkentuck.htm"} +{"d:Title": "Frank Lloyd Wright House in Ebsworth Park", "d:Description": "Site for preservation effort concerning Frank Lloyd Wright-designed residence in the Sugar Creek area of Kirkwood, Missouri.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.ebsworthpark.org/"} +{"d:Title": "Frank Lloyd Wright - Louis Penfield House", "d:Description": "Frank Lloyd Wright Usonian-style Willoughby Hills, Ohio, available for short-term rental.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.penfieldhouse.com/"} +{"d:Title": "About Phoenix - Taliesin West", "d:Description": "Page with description of Frank Lloyd Wright's home in Scottsdale, Arizona, with links to related resources.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://phoenix.about.com/library/weekly/aa051000a.htm"} +{"d:Title": "About.com Guide to Frank Lloyd Wright in California", "d:Description": "A guide to visiting all the architect's publicly accessible buildings in the state.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://gocalifornia.about.com/library/weekly/aa060401a.htm"} +{"d:Title": "The Westcott House Foundation", "d:Description": "Preserving a house built around 1904 and designed by Frank Lloyd Wright. Mission, biography of Wright, the history and restoration of the house, features and links.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.westcotthouse.org/"} +{"d:Title": "The Rosenbaum House", "d:Description": "The official website for the only Frank Lloyd Wright-designed structure in the state of Alabama. Site contains photographs, tour information and directions.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://wrightinalabama.com/"} +{"d:Title": "Frank Lloyd Wright Building Conservancy", "d:Description": "Dedicated to preserving the remaining buildings by Wright. Membership, conference, events, gallery of expandable thumbnail photographs. Includes an active Wright message board.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.savewright.org/"} +{"d:Title": "Frank Lloyd Wright", "d:Description": "A timeline of Wright's life, list and images of his buildings.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.planetclaire.org/fllw/"} +{"d:Title": "F.L. Wright", "d:Description": "A brief biography and a pictural survey of the present state of most of the architect's work, collected by Rein Saariste, part of the Agram database.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://agram.saariste.nl/scripts/index.asp?dir=wright&pics=wr&tekst=F.L.%A0Wright"} +{"d:Title": "The Boulter House, Frank Lloyd Wright", "d:Description": "Photographs and history by the current owners of The Boulter House designed by Frank Lloyd Wright in 1956. It is a unique two story Usonian Design of concrete block, redwood, Douglas fir and mahogany.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.wrightboulter.com/"} +{"d:Title": "Dana-Thomas House, Springfield, Illinois", "d:Description": "History of the best preserved and most complete of Frank Lloyd Wright's early \"Prairie\" houses (1902-04), with tour information, from the State of Illinois.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.illinoishistory.gov/hs/dana_thomas.htm"} +{"d:Title": "Frank Lloyd Wright Wasmuth Portfolio", "d:Description": "131 images of the prints and overlays at the University of Utah with accompaning text in English and German from the Wasmuth Portfolio of Frank Lloyd Wright.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://content.lib.utah.edu/cdm4/browse.php?CISOROOT=/FLWright-jp2"} +{"d:Title": "Frank Lloyd Wright", "d:Description": "Mark Freeman supplies photographs of the famous architect, Fallingwater, the Westcott House (Springfield, OH) and a guide to Wright's designs for stained glass, featuring many images and links to other relevant sites.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://franklloydwright.tercenim.com/"} +{"d:Title": "Unity Temple Restoration Foundation", "d:Description": "Created to preserve and restore the temple built in 1908 by Frank Lloyd Wright. History and information about tours, membership, concert series.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.utrf.org/"} +{"d:Title": "Frank Lloyd Wright: Designs for an American Landscape 1922-1932", "d:Description": "An exhibition in the Library of Congress built around models and descriptions of five seminal projects.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.loc.gov/exhibits/flw/flw.html"} +{"d:Title": "Florida Southern College: Sharp Family Tourism and Education Center", "d:Description": "Houses a permanent display of photographs, furniture, and drawings depicting Frank Lloyd Wright's work on the campus, for which he designed 18 structures. Illustrated construction timeline, history, walking tour and visitor information.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.flsouthern.edu/fllw-visitors.aspx"} +{"d:Title": "Frank Lloyd Wright Trust", "d:Description": "Tour information, history, news, photographs, and program information concerning Wright's home in Oak Park, Illinois.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd", "url": "http://www.flwright.org/"} +{"d:Title": "Fallingwater", "d:Description": "Great Buildings Online offers a free down-loadable 3D model, description and bibliography of the concrete and stone house over a waterfall designed by Frank Lloyd Wright at Ohiopyle, Pennsylvania (1934).", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd/Fallingwater", "url": "http://www.greatbuildings.com/buildings/Fallingwater.html"} +{"d:Title": "The Invisible Cantilever", "d:Description": "Describes a Berkeley student project concerning a microscopic model of Wright's Fallingwater. Includes photos and other images.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd/Fallingwater", "url": "http://www.ieor.berkeley.edu/~goldberg/flw/"} +{"d:Title": "Object Lessons", "d:Description": "John Sealander has praise for Fallingwater, but not for its creator, Frank Lloyd Wright, whom he describes as an egotistical, petty tyrant. A personal view of the famous house.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd/Fallingwater", "url": "http://www.sealander.com/Wright.html"} +{"d:Title": "Frank Lloyd Wright's Fallingwater", "d:Description": "Interactive tour from Casas.com of Fallingwater, the masterpiece of architect Frank Lloyd Wright. Select photographs via an elevation and plan. Flash required.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd/Fallingwater", "url": "http://www.casas.com/architect/franklloydwright/fallingwater0.htm"} +{"d:Title": "Fallingwater", "d:Description": "Official page for Fallingwater, with information on tours, Wright, current programs, and the Fallingwater Museum.", "topic": "Top/Arts/Architecture/History/Architects/W/Wright,_Frank_Lloyd/Fallingwater", "url": "http://www.fallingwater.org/"} +{"d:Title": "Zook Houses", "d:Description": "Bob Davies provides a brief biography of R. Harold Zook, along with a photographic tour of the houses he designed in Hinsdale, Illinois.", "topic": "Top/Arts/Architecture/History/Architects/Z/Zook,_Roscoe_Harold", "url": "http://www.bobdavies.net/zook_houses.htm"} +{"d:Title": "R. Harold Zook Home and Studio", "d:Description": "Biography of the Chicago-based architect noted for his Cotswold Style houses with a spider-web motif, and information about Hinsdale Historical Society efforts to save his home and studio.", "topic": "Top/Arts/Architecture/History/Architects/Z/Zook,_Roscoe_Harold", "url": "http://www.zookhomeandstudio.org/"} +{"d:Title": "Hinsdale Historic Landmarked Properties: 514 South Garfield Street", "d:Description": "A 1928 Tudor Revival style house designed by R. Harold Zook, with comments on his other works in the Village of Hinsdale, Illinois.", "topic": "Top/Arts/Architecture/History/Architects/Z/Zook,_Roscoe_Harold", "url": "http://www.villageofhinsdale.org/residents/village_history/514_south_garfield_street_(1928).php"} +{"d:Title": "Tiles and Architectural Ceramics Society", "d:Description": "This UK society provides a beginner's guide to the history of tiles used pave floors or decorate walls.", "topic": "Top/Arts/Architecture/History/Materials", "url": "http://www.tilesoc.org.uk/"} +{"d:Title": "Azulejos de Lisboa", "d:Description": "Colin Manning gives a photographic tour of some of the Portuguese tiles, known as azulejos, which adorn the inside and outside of almost every home in Portugal.", "topic": "Top/Arts/Architecture/History/Materials", "url": "http://www.newmediarepublic.com/azulejos/"} +{"d:Title": "Timeline of Concrete", "d:Description": "The story of concrete from the natural deposit of cement compounds 12,000,000 BC, through the creation of concrete by the Romans to its uses in the 1990s. Short bibliography.", "topic": "Top/Arts/Architecture/History/Materials", "url": "http://www.duc.auburn.edu/academic/architecture/bsc/classes/bsc314/timeline/timeline.htm"} +{"d:Title": "Roman Concrete", "d:Description": "David Moore's writings and references on Roman and modern concrete technology and construction.", "topic": "Top/Arts/Architecture/History/Materials", "url": "http://www.romanconcrete.com/"} +{"d:Title": "Stone Roofing Association", "d:Description": "Provides an introduction to sandstone and limestone roofs, giving the geology, history and use of stone slates, with bibliography and glossary.", "topic": "Top/Arts/Architecture/History/Materials", "url": "http://www.stoneroof.org.uk/"} +{"d:Title": "The Traditional Paint Forum", "d:Description": "A non-profit group with an interest in historic decorative schemes, which publishes the journal \"Traditional Paint News\" (contents listed online). Annual conference, membership, news.", "topic": "Top/Arts/Architecture/History/Materials", "url": "http://traditionalpaintforum.org.uk/"} +{"d:Title": "Old Cast Iron", "d:Description": "History of cast and wrought iron architecture between 1850 and 1920. Articles with information and pictures. Some articles are brought with prior permission from authorities on the topic.", "topic": "Top/Arts/Architecture/History/Materials", "url": "http://oldcastiron.blogspot.dk/"} +{"d:Title": "UNESCO: World Heritage", "d:Description": "Calendar of events, the guiding principles of the World Heritage Committee, list of World Heritage Sites, special reports and online exhibitions, ways to participate.", "topic": "Top/Arts/Architecture/History/Organizations", "url": "http://whc.unesco.org/"} +{"d:Title": "Organization of World Heritage Cities", "d:Description": "List of member cities, with an outline history of each and links to further information.", "topic": "Top/Arts/Architecture/History/Organizations", "url": "http://www.ovpm.org/"} +{"d:Title": "Docomomo International", "d:Description": "Non-profit organization devoted to the documentation and conservation of buildings, sites and neighbourhoods of the modern movement. Includes aims, activities, publications and conferences.", "topic": "Top/Arts/Architecture/History/Organizations", "url": "http://www.docomomo.com/"} +{"d:Title": "Vernacular Architecture Forum", "d:Description": "Aims to encourage the study and preservation of the ordinary architecture of North America. Publishes a scholarly journal and a newsletter. Board of directors, membership application, news and annual meeting.", "topic": "Top/Arts/Architecture/History/Organizations", "url": "http://www.vernaculararchitectureforum.org/"} +{"d:Title": "International Survey of Jewish Monuments", "d:Description": "A non-profit organization dedicated to the research, preservation and documentation of Jewish historical monuments from around the world. Newsletter, monuments information by country.", "topic": "Top/Arts/Architecture/History/Organizations", "url": "http://www.isjm.org/"} +{"d:Title": "Society for Church Archaeology", "d:Description": "Promotes the study of churches and other places of worship in Britain and Ireland. Aims, committee, list of contents of its journal, news and conference.", "topic": "Top/Arts/Architecture/History/Organizations", "url": "http://churcharchaeology.org/"} +{"d:Title": "The International Molinological Society", "d:Description": "Fosters interest and understanding of wind, water and animal-driven mills. It encourages research, preservation and restoration of historical mills. Activities, news, publications, glossary, links.", "topic": "Top/Arts/Architecture/History/Organizations", "url": "http://www.molinology.org/"} +{"d:Title": "Periods in Art History", "d:Description": "Illustrated outline of Western art and architecture from the Technological Institute of Monterrey, Campus Tampico, Mexico.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles", "url": "http://www.tam.itesm.mx/art/imenu.html"} +{"d:Title": "Bricks and Brass: Design by Style", "d:Description": "An overview of Classical, Georgian, Italianate, Gothic, Aesthetic, Arts and Crafts, Eclectic, Queen Anne, Art Nouveau, and Edwardian architecture and design styles.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles", "url": "http://www.bricksandbrass.co.uk/design_history/design_of_period_house_by_style.php"} +{"d:Title": "Friends of Red House", "d:Description": "Aim to acquire, preserve and educate about William Morris's house in Bexley. History and visitor information, with online booking. Membership form, news, bibliography, FAQ and contact information.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://friends-red-house.co.uk/"} +{"d:Title": "Arts and Crafts Society", "d:Description": "This US-based society provides an events list, photographs, bibliography, lists of early Arts and Crafts exhibitions and societies, classifieds, links and a discussion forum.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://www.arts-crafts.com/"} +{"d:Title": "The Gamble House", "d:Description": "The official site for this example of American Arts and Crafts architecture, designed by Charles and Henry Greene in 1908. History, biographies of the architects, virtual tour and visitor information.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://www.gamblehouse.org/"} +{"d:Title": "Arts and Crafts Architecture", "d:Description": "A late 19th-century movement to revive handicrafts. Examples from Belgium, the UK and the US in Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://www.greatbuildings.com/types/styles/arts_and_crafts.html"} +{"d:Title": "Arts and Crafts Architecture", "d:Description": "Images and information on US Arts and Crafts buildings and their architects from Cupola.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://www.cupola.com/html/bldgstru/artscrft/artscr01.htm"} +{"d:Title": "Craftsman Perspective", "d:Description": "A guide to the history, aesthetics and architecture of the Arts and Crafts style, with a special focus on American architecture from 1900 to 1920, by Ken Lonsinger.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://www.craftsmanperspective.com/"} +{"d:Title": "Hewn and Hammered", "d:Description": "A collaborative community weblog devoted to Arts and Crafts movement architecture and design.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://www.hewnandhammered.com/"} +{"d:Title": "The Emery Walker Trust", "d:Description": "Emery Walker was a leading figure in the Arts and Crafts movement in Britain, The Art Workers' Guild and The Society for the Protection of Ancient Buildings. Biography and illustrated description of his house and its collections.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Arts_and_Crafts", "url": "http://www.emerywalker.org.uk/"} +{"d:Title": "Art Deco Society Inc.", "d:Description": "A non-profit organisation promoting the preservation Art Deco in Victoria and Tasmania. News, events, publications, membership.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.artdeco.org.au/"} +{"d:Title": "Paramount Theatre, Oakland, California", "d:Description": "The official site offers photographs and a history (with source) of this extraordinary Art Deco theater. The 1930s movie palace was designed by San Francisco architect Timothy Pflueger.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.paramounttheatre.com/"} +{"d:Title": "Boston Avenue United Methodist Church", "d:Description": "The official site of this towering Art Deco church in Tulsa, designed in the 1920s by Adah Robinson, gives a history and illustrated description, with commentary on its religious symbolism.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.bostonavenue.org/"} +{"d:Title": "Art Deco Society of Washington", "d:Description": "Supports the preservation of Art Deco architecture. Membership, events, preservation news, description and history of Art Deco sites in the area, articles and reviews, forum, links.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://adsw.org/"} +{"d:Title": "Midland Hotel, Morecambe, UK", "d:Description": "The Friends of the Midland aim to raise awareness and funding towards the restoration of this important Art Deco building by Oliver Hill. History, photographs.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.midlandhotel.org/"} +{"d:Title": "Art Deco in Miami Beach", "d:Description": "A brief history and description of the style and its prominence in the hotels of Miama Beach, from Absolutely Florida. Listing of hotels, map and directions.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.abfla.com/1tocf/hotf/1/decohis.html"} +{"d:Title": "Art Deco in Architecture", "d:Description": "Illustrated essay by Heidi Dressler on the Art Deco buildings of Kalamazoo, Michigan, US.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://kalamazoomi.com/deco/decointr.htm"} +{"d:Title": "Building the Chrysler Building", "d:Description": "An illustrated history by Emily Zimmerman, part of America in the 1930s.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://xroads.virginia.edu/~1930s/DISPLAY/chrysler/Frame-1.html"} +{"d:Title": "Rockefeller Center", "d:Description": "NYCTourist describes this Art Deco complex of commercial buildings in New York built 1929-34. Includes images of Art Deco and Art Nouveau murals and mosaics.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.nyctourist.com/rock_center1.htm"} +{"d:Title": "Art Deco Society of Los Angeles", "d:Description": "Aims to save historic Art Deco structures throughout the world. Preservation issues, news, events. Pictures and listings of Art Deco buildings in the Los Angeles area.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.adsla.org/"} +{"d:Title": "South Bay Deco", "d:Description": "Andrew Wood of San Jos\u00e9 State University introduces the Art Deco movement and provides photographs of Deco buildings dotted around the South San Francisco Bay area.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.sjsu.edu/faculty/wooda/southbaydeco/splash.html"} +{"d:Title": "Decorative Art in Architecture as a Part of Bandung History", "d:Description": "An essay by Dibyo Hartono on the influence of Art Deco on the architecture of Bandung in Indonesia.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.iis.u-tokyo.ac.jp/~fujimori/heritage/artdeco.html"} +{"d:Title": "Miami Design Preservation League", "d:Description": "Protects, preserves and promotes the famed Art Deco District in Miami Beach, Florida. Events, architectural tours, Art Deco Museum.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.mdpl.org/"} +{"d:Title": "Art Deco Trust", "d:Description": "The town of Napier, New Zealand was rebuilt in the early 1930's after an earthquake and has many Art Deco buildings, some with Maori motifs. Provides photographs, history and an introduction to the style.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://www.artdeconapier.com/"} +{"d:Title": "The Art Deco Society of California", "d:Description": "A not-for-profit organization with both serious and social activities. Events, membership, preservation awards (with photographs of some Deco buildings), guide to Art Deco in the San Francisco Bay area, magazine.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Deco", "url": "http://artdecosociety.squarespace.com/"} +{"d:Title": "Art Nouveau Architecture", "d:Description": "Images and information on buildings in the Art Nouveau style and their architects, provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Nouveau", "url": "http://www.greatbuildings.com/types/styles/art_nouveau.html"} +{"d:Title": "Art Nouveau Architecture", "d:Description": "Gallery of images of Victor Horta's stunning work in Brussels, Belgium and other Art Nouveau buildings in Brussels and Antwerp, Belgium and Nancy, France. Part of the Cupola Collection.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Nouveau", "url": "http://www.cupola.com/html/bldgstru/artnouv/artnouv1.htm"} +{"d:Title": "Jugendstilsenteret", "d:Description": "A fire in 1904 left the town of Alesund, Norway in ashes. Its rebuilding in the Art Nouveau era is celebrated in this center. Visitor information.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Nouveau", "url": "http://www.jugendstilsenteret.no/"} +{"d:Title": "Art Nouveau World Wide", "d:Description": "Frank Derville introduces the avant-garde movement of the period 1894-1914. Photographs of doors, a sensitive map of locations, with photographs and descriptions, and a list of architects.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Art_Nouveau", "url": "http://artnouveau.pagesperso-orange.fr/"} +{"d:Title": "Versailles", "d:Description": "Elegant bilingual official site offering history, guided tour via an interactive map, 360-degree panoramas, gallery of masterpieces and visitor information.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Baroque", "url": "http://www.chateauversailles.fr/"} +{"d:Title": "Baroque Architecture", "d:Description": "Images and information on buildings in the Baroque style and their architects, provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Baroque", "url": "http://www.greatbuildings.com/types/styles/baroque.html"} +{"d:Title": "17th-Century Architecture", "d:Description": "Images from Prof Jeffery Howe, Boston College, of Rubens' houses and the Church of St Charles Borromeo in Antwerp, Chateau Vaux-le-Vicomte and Versailles, France.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Baroque", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/17arch_europe.html"} +{"d:Title": "St Paul's Cathedral, London", "d:Description": "The official site of the magnificent Baroque church designed by Sir Christopher Wren in 1673. It has an illustrated chronology and 360-degree panoramas that require QuickTime VR.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Baroque", "url": "http://www.stpauls.co.uk/"} +{"d:Title": "Triumph of the Baroque, Architecture in Europe: 1600-1750", "d:Description": "A virtual tour of the exhibition at the National Gallery of Art, Washington, United States, 21 May - 9 October 2000.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Baroque", "url": "http://www.nga.gov/exhibitions/2000/baroque/splash.htm"} +{"d:Title": "Wikipedia: Baroque Architecture", "d:Description": "An illustrated history and description of the style.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Baroque", "url": "http://en.wikipedia.org/wiki/Baroque_architecture"} +{"d:Title": "Baroque Rome in the Etchings of Giuseppe Vasi", "d:Description": "Vasi published 10 books of etchings of the monuments of Rome (1746-61), which can be seen here alongside photographs of the same locations today.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Baroque", "url": "http://romeartlover.tripod.com/"} +{"d:Title": "Wikipedia: Byzantine Architecture", "d:Description": "An illustrated history and description of the architecture of the Byzantine empire.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Byzantine", "url": "http://en.wikipedia.org/wiki/Byzantine_architecture"} +{"d:Title": "Wikipedia: Churrigueresque", "d:Description": "Overview of the most exuberantly ornamental phase of Spanish architectural decoration, which migrated to Spanish colonies in the New World.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Churrigueresque", "url": "http://en.wikipedia.org/wiki/Churrigueresque"} +{"d:Title": "Classical Orders of Architecture", "d:Description": "An illustrated description of the Doric, Ionic and Corinthian orders from the Charlotte-Mecklenburg Historic Landmarks Commission.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical", "url": "http://www.cmhpf.org/kids/dictionary/ClassicalOrders.html"} +{"d:Title": "Wikipedia Classical Architecture", "d:Description": "An illustrated history and description of the architecture of ancient Greece and Rome.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical", "url": "http://en.wikipedia.org/wiki/Classical_architecture"} +{"d:Title": "Kusadasi.biz: Ephesus Guide", "d:Description": "A virtual tour of the remains of a Greek and later Roman town in Turkey, including history and interactive panoramas.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical", "url": "http://www.kusadasi.biz/ephesus/"} +{"d:Title": "Maecenas: Images of Ancient Greece and Rome", "d:Description": "Photographs by Leo Curran, University of Buffalo, of Classical remains across Europe, with some models and reconstructions of their original appearance. Searchable.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical", "url": "http://wings.buffalo.edu/AandL/Maecenas/"} +{"d:Title": "The Temple of Artemis", "d:Description": "A history of the famed temple at Ephesus, one of the seven wonders of the ancient world, with an artist's impression of the building by Lee Krystek.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek", "url": "http://unmuseum.mus.pa.us/ephesus.htm"} +{"d:Title": "Greek Architecture", "d:Description": "Explanations and examples of the Greek order of columns: The Doric, Ionic and Corinthian.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/greek_arch.html"} +{"d:Title": "Ancient Greek Architecture", "d:Description": "Information from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek", "url": "http://www.greatbuildings.com/types/styles/greek.html"} +{"d:Title": "Greek Architecture and American Buildings", "d:Description": "Illustrations and text reprinted from How to Know Architecture by Frank E. Wallis.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek", "url": "http://www.buffaloah.com/a/DCTNRY/doric/how/howto.html"} +{"d:Title": "Greek Architecture Monuments - About.com", "d:Description": "Selection of articles and links on the subject.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek", "url": "http://ancienthistory.about.com/od/greekarchitecture/"} +{"d:Title": "Recreating The Theater of Dionysus in Athens", "d:Description": "A computer reconstruction from the e-journal Didaskalia: Ancient Theater Today.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek", "url": "http://www.didaskalia.net/studyarea/recreatingdionysus.html"} +{"d:Title": "Perseus Art and Archaeology", "d:Description": "A massive catalog of Archaic and Classical Greek art, buildings and sites. Each entry has a description, most with images. Tufts University in collaboration with other institutions and scholars.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek", "url": "http://www.perseus.tufts.edu/hopper/help/startpoints"} +{"d:Title": "Parthenon Marbles", "d:Description": "Ian Swindale and his students in Crete present the history of the magnificent frieze and sculptures from the Parthenon in Athens and the campaign to return to Greece those now in the British Museum.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.uk.digiserve.com/mentor/marbles/"} +{"d:Title": "British Committee for the Restitution of the Parthenon Marbles", "d:Description": "Dedicated to securing the return of the Elgin Marbles to the new Acropolis Museum in Athens. Includes a history of the marbles taken from the Acropolis and reconstructions of the Parthenonon.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.parthenonuk.com/"} +{"d:Title": "Parthenon Frieze", "d:Description": "The National Documentation Centre of Greece provides photographs of all restored stones of the Parthenon frieze from the British, Louvre and Acropolis museums, with a detailed description and history.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.ekt.gr/parthenonfrieze/"} +{"d:Title": "Wikipedia: Parthenon", "d:Description": "Illustrated article from the collaborative online encyclopedia.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://en.wikipedia.org/wiki/Parthenon"} +{"d:Title": "Great Buildings Online: The Parthenon", "d:Description": "Description, images and 3D model of this monument by Ictinus and Callicrates with Phidias architect, at Athens, Greece, built -477 to -438.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.greatbuildings.com/buildings/The_Parthenon.html"} +{"d:Title": "Parthenon International", "d:Description": "An organisation of 17 committees from Australia, Belgium, Brazil, Britain, Canada, Cyprus, Germany, Italy, New Zealand, Russia, Serbia, Spain, Sweden, Switzerland and the USA that are committed to the return of the Parthenon sculptures to Athens.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.parthenoninternational.org/"} +{"d:Title": "Parthenon Frieze", "d:Description": "A site from Columbia University that provides images and descriptive text about the frieze at the Parthenon in Athens, Greece.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.mcah.columbia.edu/parthenon/flash/main.htm"} +{"d:Title": "Elginism", "d:Description": "News and information on the campaign for the restitution of the Elgin Marbles to the New Acropolis Museum in Athens, and the restitution of other artworks to their country of origin.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.elginism.com/"} +{"d:Title": "Elgin Marbles: Parthenon Frieze", "d:Description": "David Pinnegar's novel analysis of the frieze and the meaning of the Parthenon itself, arguing that the marbles should be reunited with existing sections in Athens.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek/Parthenon", "url": "http://www.hammerwood.mistral.co.uk/elgin.htm"} +{"d:Title": "Union Library of Hatboro, Pennsylvania", "d:Description": "Historic Hatboro gives a history and photograph of this Greek Revival building, completed in 1850.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek_Revival", "url": "http://www.pitrone.com/library.html"} +{"d:Title": "Destrehan Planation in Destrehan, Louisiana", "d:Description": "A plantation house built in 1787 in French Colonial style and remodeled to Greek Revival in 1840. Photograph, history and visitor information from the River Road Historical Society.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek_Revival", "url": "http://www.destrehanplantation.org/"} +{"d:Title": "First Congregational Church, Orwell, Vermont", "d:Description": "Photographs, 19th-century painting and history of this fine example of the Greek Revival style, built in 1842 by Frederick Bostwick and Fobes. Hosted by the University of Vermont.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek_Revival", "url": "http://www.uvm.edu/~histpres/HPJ/NR/orwell/Orwellhist.html"} +{"d:Title": "Greek Revival Architecture in America", "d:Description": "Photos and information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek_Revival", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/greekrev.html"} +{"d:Title": "Hammerwood Park, Sussex, UK", "d:Description": "The Greek Revival house was built in 1792 to the designs of Benjamin Latrobe. Scholarly history by Michael Trinder and a virtual guided tour.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek_Revival", "url": "http://www.hammerwood.mistral.co.uk/"} +{"d:Title": "Willowbank", "d:Description": "A fine Greek Revival country home in Queenston, Ontario, Canada, now the Willowback School of the Restoration Arts. Includes an illustrated history, and information on events.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Greek_Revival", "url": "http://willowbank.ca/"} +{"d:Title": "Edinburgh New Town", "d:Description": "Article by Jane Boyd-Brent for About Scotland on the burst of Neo-Classical architecture in Edinburgh in the 18th century and its architects, including Robert Adam. Photographs and map.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://www.aboutscotland.com/edin/jane.html"} +{"d:Title": "Neoclassical Architecture c. 1780-1850", "d:Description": "Descriptive information from the Digital Archive and American Architecture.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/fa267/neoclassic.html"} +{"d:Title": "Osgoode Hall", "d:Description": "An illustrated history of this grand Classical building in Toronto, designed in 1860 and the focus for legal activity in Ontario. The Great Library is touted as the most beautiful room in Canada.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://www.osgoodehall.com/"} +{"d:Title": "Christ Church Cathedral, Waterford", "d:Description": "The only neo-classical Georgian cathedral in Ireland, designed by John Roberts (1714-1796). The official site includes a history and images, and a brief biography of its architect.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://www.christchurchwaterford.com/"} +{"d:Title": "Wikipedia: Neoclassical Architecture", "d:Description": "An illustrated history and description of the revival of classical styles in architecture from the 18th century onwards.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://en.wikipedia.org/wiki/Neoclassical_architecture"} +{"d:Title": "St George's Hall, Liverpool", "d:Description": "Photographs and history from the BBC of this splendid Neo-Classical building, erected between 1842 and 1855 to the designs of Harvey Elmes and now a conference venue.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://www.bbc.co.uk/liverpool/culture/2002/08/st_georges/map.shtml"} +{"d:Title": "Wikipedia: Palladian Architecture", "d:Description": "An illustrated history and description from the online collaborative encyclopedia of the style derived from the designs of the Italian architect Andrea Palladio (1508\u20131580).", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://en.wikipedia.org/wiki/Palladian_architecture"} +{"d:Title": "Georgian Colonial Houses", "d:Description": "About.com provides an illustrated introduction to the simplified Neo-Classical style favored by the well-to-do in 18th-century America.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Neo-Classical", "url": "http://architecture.about.com/od/periodsstyles/ig/House-Styles/Georgian.htm"} +{"d:Title": "Pompeii Forum Project", "d:Description": "This study of the forum by the University of Virginia includes a photographic survey of its architecture and sensitive maps of Pompeii and its forum leading to 360-degree panoramas.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://pompeii.virginia.edu/"} +{"d:Title": "Roman Architecture", "d:Description": "Course notes by Dr. Franklin Toker of Pittsburgh University, providing an illustrated introduction and major works.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://www.pitt.edu/~tokerism/0040/syl/src0918.html"} +{"d:Title": "Rome: Ancient Sites", "d:Description": "Virtual reconstructions of the Theatre of Marcellus and Circus Maximus.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://australis.www2.50megs.com/Marcellus/tour1a.HTML"} +{"d:Title": "Roman Architecture", "d:Description": "An illustrated introduction to Roman columns and arches by Jordan Drew, with images of famous Roman buildings and the three main orders - Doric, Ionic and Corinthian.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://romarchjd.tripod.com/"} +{"d:Title": "Temple Complex of Fortuna Primigenia in Palastrina", "d:Description": "Computer model of the temple complex built by Sulla, complete with walkthroughs. Also photographs of the existing remains.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://australis.www2.50megs.com/Fortuna/Fortuna.html"} +{"d:Title": "Ancient and Classical Architecture", "d:Description": "Howard Partridge provides a gallery of his photographs of buildings and ruins in Rome from c.179 BC to 141 AD. Part of the Cupola Collection.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://www.cupola.com/html/bldgstru/ancient/ancient1.htm"} +{"d:Title": "Ancient Roman Architecture", "d:Description": "Great Buildings Online provides images and commentary on some of the best-known buildings of the Roman world.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://www.greatbuildings.com/types/styles/roman.html"} +{"d:Title": "The Ruins of Rome", "d:Description": "David Chaszar's photographs and descriptions of various ancient Roman ruins located in Rome and Ostia.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://ancient-rome.tripod.com/"} +{"d:Title": "The Colosseum", "d:Description": "Comprehensive coverage by Andrea and Daniele Pepe and Catherine McElwee of the history and architecture of greatest amphitheatre of antiquity, built by the Flavian emperors in the first century AD.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://www.the-colosseum.net/"} +{"d:Title": "Forum Romanum", "d:Description": "Photographs and description of the Forum Romanum, monument by monument, by Ren\u00e9 Seindal.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://sights.seindal.dk/Italy/Rome/Forum_Romanum"} +{"d:Title": "A Gazetteer of the Roman World", "d:Description": "This section of Bill Thayer's huge Lacus Curtius site provides many photographs of cities and monuments of the ancient Roman world, some with detailed commentary.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://penelope.uchicago.edu/Thayer/E/Gazetteer/Periods/Roman/"} +{"d:Title": "Roman Domestic Architecture: A Bibliography", "d:Description": "This bibliography compiled by Joshua Brandt lists sources in German, Italian, French, and (primarily) English.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://penelope.uchicago.edu/Thayer/E/Gazetteer/Periods/Roman/Topics/Architecture/_General/Bibliography/Joshua_Brandt**/"} +{"d:Title": "Encyclopaedia Romana", "d:Description": "James Grout's collection of articles, plans and pictures of ancient Rome and its remains, with an extensive bibliography. Includes Vitruvius's comments on temple architecture.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://penelope.uchicago.edu/~grout/encyclopaedia_romana/"} +{"d:Title": "Trajan's Column", "d:Description": "Paul Barrette's history, image database and discussion of this 100-foot marble sculptural monument built during the reign of Emperor Trajan (98-117 AD).", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://cheiron.mcmaster.ca/~trajan/"} +{"d:Title": "Timgad, Algeria", "d:Description": "Tore Kjeilen provides a plan and brief description of the substantial remains of this Roman town in North Africa - a World Heritage Site. Photograph of Trajan's Arch.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://lexicorient.com/algeria/timgad.htm"} +{"d:Title": "Roman Baths", "d:Description": "Essay on the design and functions of Roman Baths by J.K. Gillon.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://gillonj.tripod.com/romanbaths/"} +{"d:Title": "The Pompeian House", "d:Description": "Essay on the construction and decoration of the Pompeian House.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://gillonj.tripod.com/pompeianhouse/"} +{"d:Title": "Flickr: Roman Empire", "d:Description": "Anything involving the Roman world. Archaeology, historic sites re-enactment and art.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://www.flickr.com/groups/roman_empire/"} +{"d:Title": "Rome Reborn", "d:Description": "An international project which aims to create a digital model illustrating the entire urban development of ancient Rome. Users can navigate the model or view still images.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Classical/Roman", "url": "http://romereborn.frischerconsulting.com/"} +{"d:Title": "Margaret Visser: The Geometry of Love", "d:Description": "A book exploring the basilican church of Sant Agnese fuori le Mura, Rome, rebuilt 625-38 to replace a 4th-century church. Author's biography, reviews, images with extracts.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Early_Christian", "url": "http://www.margaretvisser.com/"} +{"d:Title": "Early Christian Architecture", "d:Description": "An illustrated introduction to the development of the church plan in the 3rd and 4th centuries by Dr. Franklin Toker, University of Pittsburgh. Includes the house-church at Dura Europos (230).", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Early_Christian", "url": "http://www.pitt.edu/~tokerism/0040/syl/christian.html"} +{"d:Title": "Early Christian and Byzantine Art and Architecture", "d:Description": "Belmont University offers pages of annotated, expandable thumbnail images of Christian structures and art ranging from the Catacombs of Ancient Rome to the 12th century.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Early_Christian", "url": "http://campus.belmont.edu/honors/byzart2001/byzindex.html"} +{"d:Title": "Mysteries of the Nile", "d:Description": "A team from the U.S. PBS TV programme Nova attempted to recreate the raising of an obelisk. History of obelisks, QTVR and standard images of temples, tombs, and other ancient Egyptian monuments.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://www.pbs.org/wgbh/nova/egypt/"} +{"d:Title": "Mark Millmore's Ancient Egypt", "d:Description": "This artist offers computer-generated reconstructions of pyramids and temples as first built, along with interactive ground plans and images. Free screen savers, eCard, translator into hieroglyphics.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://www.discoveringegypt.com/"} +{"d:Title": "Building in Ancient Egypt", "d:Description": "A clear, illustrated introduction to the building process: materials, tools, methods, planning, forms. Descriptions of a worker's house at Deir el Medine and the townhouse of Djehutinefer.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://www.reshafim.org.il/ad/egypt/building/"} +{"d:Title": "Wikipedia: Ancient Egyptian Architecture", "d:Description": "An illustrated description of the architecture of ancient Egypt and its characteristics.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://en.wikipedia.org/wiki/Ancient_Egyptian_architecture"} +{"d:Title": "Aldokkan: Egyptian Architecture", "d:Description": "Describes the architectural style and development of Egyptian temples, mastabas and pyramids.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://www.aldokkan.com/art/architecture.htm"} +{"d:Title": "Crystalinks: Ancient Egyptian Temples", "d:Description": "Provides information about the functions, locations and characteristics of religious architecture in Egypt", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://www.crystalinks.com/egyptemples.html"} +{"d:Title": "Sphinx and Pyramids - Dr. Zahi Hawass", "d:Description": "Collection of articles about the Pyramids and their builders. Includes updates on the Sphinx and Pyramid restoration projects", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://guardians.net/hawass/sphinx-pyramid-main.htm"} +{"d:Title": "Pilgrimage to Abydos", "d:Description": "World Art Treasures provides an interactive plan of the Egyptian temple, which leads to photographs, history and description. Glossary available.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Egyptian", "url": "http://www.jebfoundation.ch/Abydos/"} +{"d:Title": "New York Carver", "d:Description": "A virtual Gothic cathedral project, featuring articles, photo galleries of Gothic architectural details and an illustrated glossary of terms. Image of the day, screensaver, newsletter, resources.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.newyorkcarver.com/"} +{"d:Title": "Gothic Dreams", "d:Description": "An exploration and study of churches and cathedrals in the Gothic style. Features include: histories, study guides, glossaries and galleries.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://elore.com/elore04.html"} +{"d:Title": "Medieval Architecture", "d:Description": "Clickable thumbnails of photos and drawings illustrating Early Gothic with links to the High Gothic period, from the Digital Archive of Architecture.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/gothic_arch.html"} +{"d:Title": "1200 Years of Italian Sculpture: Gothic", "d:Description": "Architectural details predominate in this collection of photographs from Thais.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.thais.it/scultura/romanica.htm"} +{"d:Title": "St. Joseph Messenger: Architecture", "d:Description": "For young viewers...a concise answer to the question: What makes it Gothic?", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.aquinas-multimedia.com/stjoseph/architecture.html"} +{"d:Title": "Sculpture for Buildings: Medieval Europe", "d:Description": "The Metropolitan Museum of Art uses its extensive collection to present an online tutorial.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.metmuseum.org/toah/hd/arch/hd_arch.htm"} +{"d:Title": "Gothic Style Brick Buildings", "d:Description": "Information on structures in the Mecklenburg, Vorpommern region of Germany.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.all-in-all.com/english/8022.htm"} +{"d:Title": "Medieval Architecture: High Gothic", "d:Description": "Slides of major Gothic buildings from Jeffery Howe's Digital Archive of Architecture: Notre Dame, Chartres; Notre Dame, Amiens; St. Etienne, Bourges; Ste. Chapelle, Paris; Cologne Cathedral.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/high_gothic.html"} +{"d:Title": "European Route of Brick Gothic", "d:Description": "Project aiming to survey medieval buildings in brick in cities around the Baltic Sea and promote a thematic tourist route.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.eurob.org/"} +{"d:Title": "Earthlore: Gothic Dreams", "d:Description": "A lively and illustrated introduction to the monumental churches and cathedrals of the Middle Ages, with a feature on Notre Dame de Paris.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www.elore.com/Gothic/contents.htm"} +{"d:Title": "The Nature of Gothic", "d:Description": "An extract from John Ruskin's influential book \"The Stones of Venice\" (1851-3).", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://www47.homepage.villanova.edu/seth.koven/gothic.html"} +{"d:Title": "Wikipedia: Gothic Architecture", "d:Description": "An illustrated description of the origins and characteristics of the style and its variants and revival. It includes a list of notable Gothic structures.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://en.wikipedia.org/wiki/Gothic_architecture"} +{"d:Title": "The Age of Carpentry: The New Art and Society in Plantagent England", "d:Description": "In this lecture Christopher Currie argues that England excelled in Gothic structural carpentry. Text without illustrations.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic", "url": "http://history.eserver.org/medieval-carpentry.txt"} +{"d:Title": "The Arts Centre of Christchurch, New Zealand", "d:Description": "Housed in the former Canterbury College, a Gothic Revival complex designed by Benjamin Mountfort and Samuel Seagar. The official site gives photographs, history, description and brief biographies of the architects.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic/Gothic_Revival", "url": "http://www.artscentre.org.nz/"} +{"d:Title": "Wikipedia: Gothic Revival Architecture", "d:Description": "An illustrated description and history of the style.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic/Gothic_Revival", "url": "http://en.wikipedia.org/wiki/Gothic_revival_architecture"} +{"d:Title": "The National Trust: Tyntesfield", "d:Description": "Overview, pictorial tour and history of a gothic Victorian mansion set in a 500 acre estate. Includes details for booking a visit.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic/Gothic_Revival", "url": "http://www.nationaltrust.org.uk/tyntesfield/"} +{"d:Title": "V&A Museum: Gothic Revival Style Guide", "d:Description": "A brief introduction to Gothic Revival style, key characteristics, people and buildings.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic/Gothic_Revival", "url": "http://www.vam.ac.uk/content/articles/s/style-guide-gothic-revival/"} +{"d:Title": "YouTube: Gothic Revival - Design in a Nutshell", "d:Description": "A short introductory video to the Gothic Revival style from the Open University", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Gothic/Gothic_Revival", "url": "http://www.youtube.com/watch?v=NsfL8KpM7Qs"} +{"d:Title": "Docomomo International", "d:Description": "Non-profit organization devoted to the documentation and conservation of buildings, sites and neighbourhoods of the modern movement. Includes aims, activities, publications and conferences.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/International_Modern", "url": "http://www.docomomo.com/"} +{"d:Title": "Modcom", "d:Description": "The Modern Committee is a Los Angeles-based volunteer group formed in response to the rapid destruction of post-WWII buildings. Includes listings of endangered and destroyed Modern buildings in southern California.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/International_Modern", "url": "http://www.modcom.org/"} +{"d:Title": "I. G. Farben Building", "d:Description": "Chip Chapin's photographs and history of the complex built l928-31 for Farben to the Bauhaus design of Hans Poelzig. Now part of the Johann Wolfgang Goethe University, Frankfurt am Main, Germany.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/International_Modern", "url": "http://www.angelfire.com/ny/IGFarben/index.html"} +{"d:Title": "The Canberra House", "d:Description": "A history of modernist residential architecture in Australia's capital. House profiles, architect biographies, essays on styles and a history of Canberra.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/International_Modern", "url": "http://www.canberrahouse.com.au/"} +{"d:Title": "Wikipedia: Modern Architecture", "d:Description": "A description of the development and characteristics of the International Modern style of the twentieth century.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/International_Modern", "url": "http://en.wikipedia.org/wiki/Modern_architecture"} +{"d:Title": "Islamic Architecture", "d:Description": "Images and information on outstanding mosques and other examples of monumental Islamic architecture in Spain, the Middle East, India and North Africa, from Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://www.greatbuildings.com/types/styles/islamic.html"} +{"d:Title": "Religious Architecture and Islamic Cultures", "d:Description": "Overview of a course at the Massachusetts Institute of Technology by Prof. Nasser Rabbat. Illustrated outline history of Islamic architecture.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://web.mit.edu/4.614/www/"} +{"d:Title": "Islamic Architecture: An Appreciation", "d:Description": "Sakar Datoo explains the development of Islamic architecture, with references. Part of Ismaili Web.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://www.amaana.org/tajik/sakarchit.htm"} +{"d:Title": "Early Islamic Tiles", "d:Description": "An on-line exhibition of 14th-17th century decorative tiles collected in 1880-86 by Lockwood de Forest. Scholarly comments by Anthony Slayter-Ralph. Biography of de Forest.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://www.anthonyralphgallery.com/"} +{"d:Title": "Wonders of Az-Zahra and other Andalusian Palaces", "d:Description": "Essay by Dr. A. Zahoor on the extravagant pleasure palaces built in the 10th century by Islamic potentates in Spain.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://cyberistan.org/islamic/zahra.html"} +{"d:Title": "Islamic Art Network", "d:Description": "The Thesaurus Islamicus Foundation provides material on Islamic art and architecture including photographs of Islamic monuments in Cairo.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://www.islamic-art.org/"} +{"d:Title": "Architecture of Isfahan", "d:Description": "Beautiful photography enhances this tour around the Iranian city notable for the Grand Mosque and other buildings around the Great Square, a World Heritage site. By Thomas Rochford of Anglia Polytechnic University.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://www.isfahan.org.uk/"} +{"d:Title": "Islamic Architecture at the MIT Rotch Library", "d:Description": "Textual and visual resources on Islamic architecture around the world. Includes a collection of images from the Aga Khan Visual Archives.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Islamic", "url": "http://libguides.mit.edu/islam-arch/"} +{"d:Title": "Wikipedia: Picturesque", "d:Description": "Outline from the collaborative encyclopedia of the 18th-century movement which affected art, architecture and landscape design.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Picturesque", "url": "http://en.wikipedia.org/wiki/Picturesque"} +{"d:Title": "Renaissance Architecture", "d:Description": "Images and information on Renaissance buildings and their architects, provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Renaissance", "url": "http://www.greatbuildings.com/types/styles/renaissance.html"} +{"d:Title": "Renaissance and Mannerist Architecture", "d:Description": "Howard Partridge provides a gallery of his photographs of 15th- and 16th-century buildings in France, Denmark and Italy, including works of Palladio. Part of the Cupola Collection.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Renaissance", "url": "http://www.cupola.com/html/bldgstru/renaissa/renais01.htm"} +{"d:Title": "Wikipedia: Renaissance Architecture", "d:Description": "An illustrated description of the architecture of the Renaissance.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Renaissance", "url": "http://en.wikipedia.org/wiki/Renaissance_architecture"} +{"d:Title": "Rococo Style", "d:Description": "A discussion of this whimsical style, its origins and uses, from the Catholic Encyclopedia, with a bibliography.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Rococo", "url": "http://www.newadvent.org/cathen/13106a.htm"} +{"d:Title": "The Dizzying Grandeur of Rococo", "d:Description": "The late Jacques-Edouard Berger described this exuberantly ornate style in art and architecture, and picked out six Bavarian churches as outstanding examples.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Rococo", "url": "http://www.jebfoundation.ch/Vertige/english/"} +{"d:Title": "The Corpus of Romanesque Sculpture in Britain and Ireland", "d:Description": "A searchable text and image database. A British Academy Research Project hosted by the Courtauld Institute of Art.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Romanesque", "url": "http://www.crsbi.ac.uk/"} +{"d:Title": "Romanesque Architecture", "d:Description": "Images and information on buildings in the round-arched style current in Europe from the 7th to 13th centuries and revived in the 19th century, provided by Great Buildings Online.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Romanesque", "url": "http://www.greatbuildings.com/types/styles/romansesque.html"} +{"d:Title": "The Architectural Heritage of the Normans", "d:Description": "A gazetteer of Norman castles, abbeys, cathedrals and other churches in Normandy, the British Isles and Italy. Photographs, history and references for each can be found via clickable map or list. Part of a European Commission-backed project on the Normans.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Romanesque", "url": "http://www.mondes-normands.caen.fr/angleterre/archi/index_archi.htm"} +{"d:Title": "The Romanesque Art in Saintonge", "d:Description": "The Charentes bears a rich testimony to the religious art of the 11th and 12th centuries. Illustrated descriptions of a few notable buildings from Bernezac travel guide.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Romanesque", "url": "http://www.bernezac.com/ArtRoman_uk.htm"} +{"d:Title": "Wikipedia: Romanesque Architecture", "d:Description": "An illustrated description of the style and lengthy list of surviving examples.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Romanesque", "url": "http://en.wikipedia.org/wiki/Romanesque_architecture"} +{"d:Title": "Balmoral Castle", "d:Description": "Highland home of Her Majesty, Queen Elizabeth II. Includes history and visitor information.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Scottish_Baronial", "url": "http://www.balmoralcastle.com/"} +{"d:Title": "Wikipedia: Scottish Baronial Style", "d:Description": "An illustrated outline of this variation on the Gothic revival style from the collaborative online encyclopedia.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Scottish_Baronial", "url": "http://en.wikipedia.org/wiki/Scottish_baronial_style"} +{"d:Title": "The Fairmont Banff Springs", "d:Description": "Hotel designed in 1886 by Bruce Price of New York for William Van Horne, General Manager of Canadian Pacific Railways. Includes history and slideshow.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Scottish_Baronial", "url": "http://www.fairmont.com/banff-springs/"} +{"d:Title": "Merrymeeting House", "d:Description": "Sells reprints of houseplan books from the 1830s to the 1930s. Online free samples of vintage house designs and floor plans.", "topic": "Top/Arts/Architecture/History/Periods_and_Styles/Victorian", "url": "http://www.housemouse.net/"} +{"d:Title": "Paul Oliver Encyclopedia of Vernacular Architecture", "d:Description": "The world's first encyclopedia of the architecture of the people. Publication information.", "topic": "Top/Arts/Architecture/History/Vernacular", "url": "http://www.home.worldcom.ch/~negenter/211Oliver_Vernacular.html"} +{"d:Title": "Vernacular Architecture of the World", "d:Description": "Great Buildings Online describes a range of buildings in regional styles, and some designed by architects in Neo-Vernacular styles.", "topic": "Top/Arts/Architecture/History/Vernacular", "url": "http://www.greatbuildings.com/types/styles/vernacular.html"} +{"d:Title": "China's Rural Architecture", "d:Description": "Photographs by Olivier Laude and brief texts by Ronald G. Knapp. Up to ten photographs per province.", "topic": "Top/Arts/Architecture/History/Vernacular/Asia", "url": "http://www.atlasmagazine.com/photo/laude6/"} +{"d:Title": "Quanzhou Vernacular Architecture", "d:Description": "Daniel Abramson of the University of Washington introduces this historic Chinese city and its buildings. Includes city maps, photographs and a vocabulary of characteristic forms and elements.", "topic": "Top/Arts/Architecture/History/Vernacular/Asia", "url": "http://courses.washington.edu/quanzhou/vernac/quanzhou/qzcontnt.htm"} +{"d:Title": "Clan Homes in Fujian", "d:Description": "Jens Aaberg-Jorgensen's illustrated article on these remarkable traditional dwellings in China, originally published in Danish in Arkitekten no. 28, November 2000, translated and updated in the online edition.", "topic": "Top/Arts/Architecture/History/Vernacular/Asia", "url": "http://www.chinadwelling.dk/"} +{"d:Title": "Vernacular Housing in Inishowen", "d:Description": "Stephen Roulston examines some of the house types found on this peninsula of County Donegal, Republic of Ireland.", "topic": "Top/Arts/Architecture/History/Vernacular/Europe", "url": "http://www.geographyinaction.co.uk/Vernacular%20housing/Vern_background.html"} +{"d:Title": "Yorkshire Vernacular Buildings Study Group", "d:Description": "Researches and records the vernacular architecture of Yorkshire, organises conferences, dayschools and walkabouts, and publishes newsheets and an annual journal.", "topic": "Top/Arts/Architecture/History/Vernacular/Europe/United_Kingdom", "url": "http://www.yvbsg.org.uk/"} +{"d:Title": "Medieval Peasant Houses", "d:Description": "Mainly in 14th-century England. Scholarly summary by Paul Gans of the Department of Chemistry, New York University, on his Medieval Technology Pages.", "topic": "Top/Arts/Architecture/History/Vernacular/Europe/United_Kingdom", "url": "http://scholar.chem.nyu.edu/tekpages/peasanthouses.html"} +{"d:Title": "Scottish Vernacular Buildings Working Group", "d:Description": "SVBWG provides a focus for people interested in the traditional buildings of Scotland. Illustrated description of its activities and events.", "topic": "Top/Arts/Architecture/History/Vernacular/Europe/United_Kingdom", "url": "http://www.svbwg.org.uk/"} +{"d:Title": "Gawmless End", "d:Description": "An illustrated description and history of a historic old Lancashire farmhouse nestling among the Rossendale Hills in the West Pennines of northern England.", "topic": "Top/Arts/Architecture/History/Vernacular/Europe/United_Kingdom", "url": "http://www.farcourt.co.uk/ge/"} +{"d:Title": "Timber-Framed Houses", "d:Description": "A beginner's guide to the history and construction of medieval timber-framed houses in England and Wales by the owner of one. Examples, bibliography and glossary.", "topic": "Top/Arts/Architecture/History/Vernacular/Europe/United_Kingdom", "url": "http://www.today.plus.com/houses/"} +{"d:Title": "Barley Hall, York", "d:Description": "This medieval oak-framed house has been carefully restored to the way it would have looked in the 1480s. Photographs, visitor information.", "topic": "Top/Arts/Architecture/History/Vernacular/Europe/United_Kingdom", "url": "http://barleyhall.co.uk/"} +{"d:Title": "Newfoundland and Labrador Heritage: Vernacular Arts", "d:Description": "This page from the Memorial University of Newfoundland includes comment on vernacular houses with an expandable photograph of a house built ca.1900 in Placentia.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America", "url": "http://www.heritage.nf.ca/arts/vernacular.html"} +{"d:Title": "Vernacular Architecture Forum", "d:Description": "Aims to encourage the study and preservation of the ordinary architecture of North America. Publishes a scholarly journal and a newsletter. Board of directors, membership application, news and annual meeting.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America", "url": "http://www.vernaculararchitectureforum.org/"} +{"d:Title": "Vernacular House Forms in 17th-Century Plymouth Colony", "d:Description": "An analysis of evidence from room-by-room probate inventories 1633-1685.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.people.virginia.edu/~jfd3a/Plymouth/folkhouse.htm"} +{"d:Title": "Huguenot Street Historic District, New Paltz, NY", "d:Description": "A virtual visit to the oldest continuously inhabited street in America with its original houses, from Hudson Valley Network.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.hvnet.com/museums/huguenotst/"} +{"d:Title": "Back of the Big House: The Cultural Landscape of the Plantation", "d:Description": "On-line version of an exhibition on slave life by George Washington University Professor John Michael Vlach. Photographs and descriptions of slave cabins.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.gwu.edu/~folklife/bighouse"} +{"d:Title": "Jualpa Mine Camp Rehabilitation Project -- Historic Structures Report", "d:Description": "Structural analysis and rehabilitation of buildings in the Gold Creek area, Alaska, that grew out of a gold rush in the 1880s. Hosted by Juneau Public Library.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.juneau.lib.ak.us/history/Jualpa_Mine/struct.htm"} +{"d:Title": "Historic Architecture of Blacksburg, Virginia", "d:Description": "On-line version of a slide show by Gibson Worsham. A text version is available for downloading. Hosted by Special Collections of the University Libraries, Virginia Tech.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://spec.lib.vt.edu/bicent/slides/ssintro.htm"} +{"d:Title": "Death of a Dream", "d:Description": "Explores the rise and fall of Midwestern farmhouses, and the literature they inspired. Also examines the cost of advances in agriculture. From PBS.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.pbs.org/ktca/farmhouses/"} +{"d:Title": "Hancock Shaker Village", "d:Description": "An outdoor history museum of Shaker life in western Massachusetts. Twenty original buildings and historic working farm are used to interpret the life of America's most successful communitarian society.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.hancockshakervillage.org/"} +{"d:Title": "Dutch Barn Preservation Society", "d:Description": "A not-for-profit educational organization for the study and preservation of New World Dutch barns. Prints a newsletter twice a year with the latest findings on Dutch barns.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.dutchbarns.org/"} +{"d:Title": "The Vernacular Architecture of Hamilton, New York", "d:Description": "An illustrated description by Colgate College Professor of Art and Art History, Eric Van Schaack.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www4.colgate.edu/scene/sept1997/architecture.html"} +{"d:Title": "Henry Whitfield State Museum", "d:Description": "Begun in 1639, The Henry Whitfield House, in Guilford, Connecticut, is the oldest remaining house in Connecticut.", "topic": "Top/Arts/Architecture/History/Vernacular/North_America/United_States", "url": "http://www.cultureandtourism.org/cct/cwp/view.asp?a=2127&q=302248"} +{"d:Title": "Lilies Of The Field", "d:Description": "Advice on landscape design. Includes landscape construction techniques, examples of features, guides to plants, weeds and beneficial insects, and a climate zone map of North America.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.lotf.com/"} +{"d:Title": "Landscape Your Vision", "d:Description": "Offers landscape design tips and incorporating photographs of plants.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.landscapeyourvisions.com/"} +{"d:Title": "PMG Landscape Architects", "d:Description": "Park planning, urban design, abroriculture. Consultants located in Burnaby, BC, Canada.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://pmglandscape.com/"} +{"d:Title": "World Landscape Architect", "d:Description": "Landscape architecture news and information about a worldwide projects.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://worldlandscapearchitect.com/"} +{"d:Title": "KPA Landscape Architecture", "d:Description": "Landscape architecture, urban design, and land llanning firm located in Indianapolis, USA. Provides services to public and private sectors.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.landarkkt.com/"} +{"d:Title": "Katherine Field Landscape", "d:Description": "Landscape architectural services to individuals in New England, USA - pools, lighting, drainage, stone walls, sculpture and boulder placement and planning.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.katherinefield.com/"} +{"d:Title": "Shimi Dahan Archtecture", "d:Description": "Online portfolio and CV of landscape architect Shimi Dahan.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.shimid.com/"} +{"d:Title": "Smith Planning Group", "d:Description": "Landscape architecture, land planning and urban design firm located in Watkinsville, Georgia.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.smithplanninggroup.com/"} +{"d:Title": "Sri Lanai landscape Artist", "d:Description": "Designing and building of softscape and hardscape. Located in Malaysia.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.srilanai.com/"} +{"d:Title": "Stephane Bertrand", "d:Description": "Planning training, landscape architect and freelance curator in contemporary public art. Located in Montreal, Canada.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://stephane-bertrand.ca/"} +{"d:Title": "Townshend Landscape Architects", "d:Description": "Landscape design, environmental planning and urban design service. Based in Central London, UK.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://townshendla.com/"} +{"d:Title": "Landform Designs by Greg Bland", "d:Description": "A landscape architecture company that is based and focused on Northwest Arkansas, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.landformdesigns.com/"} +{"d:Title": "V.I.P. Landscaping and Lawn Care", "d:Description": "Residential and commercial sites in the Las Vegas valley and Clark County, Nevada. Landscape design, installation, maintenance, lawn care, desert and tropical landscapes.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://viplandscapingandlawncare.com/"} +{"d:Title": "Rue Group Inc.", "d:Description": "Consultations and design. Located in Fullerton, CA, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://ruegroupinc.com/"} +{"d:Title": "J and L Gibbons", "d:Description": "Landscape architecture and urban design practice established in London, UK in 1986.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.jlg-london.com/"} +{"d:Title": "USHA Projects", "d:Description": "EPC company located in India for infrastructure development, construction and project management.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.ushaprojects.com/"} +{"d:Title": "Suzanne Turner Associates", "d:Description": "Landscape architecture, cultural landscape reports, planning and design. Located in Louisiana, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://sta-la.com/"} +{"d:Title": "Red Valley Landscape", "d:Description": "Landscape and design firm serving in Oklahoma City Metro, USA and surrounding areas, residential and commercial landscape design.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.redvalleylandscape.com/"} +{"d:Title": "Land 8", "d:Description": "Landscape architecture buisness catalogue, forum, projects listing and job offers.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://land8.com/"} +{"d:Title": "Landscaping Network", "d:Description": "Landscaping ideas, design styles, garden, swimming pools and othdoor structures guides and ideas.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.landscapingnetwork.com/"} +{"d:Title": "Studioh", "d:Description": "Residential landscape, architecture services, initial conceptual design and construction management. Services throughout USA and worldwide.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.studioh-inc.com/"} +{"d:Title": "SCA-Larc", "d:Description": "Design studio with a focus on contemporary landscape. Located in Los Angeles, CA, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.sca-larc.com/"} +{"d:Title": "HAAG Landscape Concrete Center", "d:Description": "Commercial and residential landscape company located in Minneapolis, USA. Carries supplies for landscaping and masonry work.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://landscapeandconcretecenter.com/"} +{"d:Title": "Garden Art Landscaping", "d:Description": "Offers landscape remodeling, garden maintenance, exriscaping, irrigation upgrades, patio design, retaining wall consulting and landscaping design.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://gardenartfortcollins.com/"} +{"d:Title": "Be a Landscape Architect", "d:Description": "Information, courses, university programs, advices and guidance for becoming a landscape architect.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.bealandscapearchitect.com/"} +{"d:Title": "Landscapee DIY", "d:Description": "Landscaping designs ideas, photo gallery, landscaping software reviews, planning, DIY tips.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://landscapee.com/"} +{"d:Title": "Landscape Leadership", "d:Description": "Inbound marketing agency for the green industry based in Austin, TX, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.landscapeleadership.com/"} +{"d:Title": "LandscaperMarketing.com", "d:Description": "Provides web design, advertising, logo design, branding, printing and strategic marketing services for landscapers and the green industry. Based in Washington, NJ, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.landscapermarketing.com/"} +{"d:Title": "LandscapeDesignAdvice.com", "d:Description": "Landscape design advice, photos and tips for front and back yards, patios, plantings, driveways, slopes, water issues from landscape designer Susan Schlenger.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.landscape-design-advice.com/"} +{"d:Title": "Green Velvet Landscape Contractors Inc.", "d:Description": "Landscape design and constructions, irrigation, retaining walls, snow removal, drainage and hydroseeding solutions, sports field installation, interlocking paving stones, asphalt repair and tree service on Long Island, New York, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.greenvelvetlandscape.com/"} +{"d:Title": "Elan Landscape Development Inc.", "d:Description": "Landscape and hardscape design, installation, outdoor lighting sytems and maintenance services to clients in the north and northwest suburbs of Chicago, IL, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://elanlandscapes.com/"} +{"d:Title": "Seer Studio", "d:Description": "Landscape architecture design studio located in Scotland working with commercial and private clients.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.seerstudio.co.uk/"} +{"d:Title": "Jeremy Taylor Designs", "d:Description": "Provides commercial and residential landscape design in the Los Angeles area, USA.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.jeremytaylorlandscapes.com/"} +{"d:Title": "E Nuzum", "d:Description": "Tarmac repairs, driveway resurfacing and repairs, carpark resurfacing. Working mainly in the Dublin area, Ireland.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.enuzum.com/"} +{"d:Title": "Land05 Landscape Architects", "d:Description": "Landscape architectural studio - commercial landscaping, urban design and planning, garden design and supervision of landscape projects.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.land05.cz/en"} +{"d:Title": "Syracuse Lightscapes Inc.", "d:Description": "Landscape lighting installation serving Central New York, specializing in LED low voltage lighting installation and design.", "topic": "Top/Arts/Architecture/Landscape", "url": "http://www.syracuselightscapes.com/"} +{"d:Title": "The American Society of Landscape Architects", "d:Description": "A national professional society that represents the landscape architecture profession. Searchable listing of firms, news, information on events and education, bookstore, magazine.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.asla.org/"} +{"d:Title": "American Society of Landscape Architects - San Diego Chapter", "d:Description": "Offering an events calendar, chapter news, links to local firms, and project profiles.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.asla-sandiego.org/"} +{"d:Title": "Association of Professional Landscape Designers", "d:Description": "Advancing landscape design as an independent profession and promoting the recognition of landscape designers.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "https://www.apld.org/"} +{"d:Title": "Council of Landscape Architectural Registration Boards (CLARB)", "d:Description": "A non-profit international association whose members are the 46 states and two Canadian provinces that license landscape architects.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.clarb.org/"} +{"d:Title": "North Carolina Board of Landscape Architects", "d:Description": "Established to register professional landscape architects. Licensee search, education, forms, laws and FAQ.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.ncbola.org/"} +{"d:Title": "North Carolina Chapter of the American Society of Landscape Architects", "d:Description": "Gives information of its activities, including conferences, news, awards and opinion.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.ncasla.org/"} +{"d:Title": "ASLA St. Louis Chapter", "d:Description": "The St. Louis Regional Chapter of the American Society of Landscape Architects. Information on Chapter awards, sponsors, members, news and landscape architecture in general.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://stlouisasla.org/"} +{"d:Title": "Landscape Institute", "d:Description": "The UK national organisation for landscape professionals concerned with enhancing and conserving the environment.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.landscapeinstitute.org/"} +{"d:Title": "Minnesota Chapter of the American Society of Landscape Architects", "d:Description": "The local chapter of the American Society of Landscape Architects that represents the landscape architecture profession.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://asla-mn.org/"} +{"d:Title": "Lake Oconee Landscape Association", "d:Description": "Located in North Georgia, it is a local trade organization dedicated to the betterment of local landscape services.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.lakeoconeelandscapeassociation.com/"} +{"d:Title": "Maryland Landscape and Greenhouse Association", "d:Description": "Supporting, promoting, and providing services and assistance to member businesses since 1934.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.mnlga.org/"} +{"d:Title": "TNLA", "d:Description": "The Texas Nursery and Landscape Association - advocacy, education, networking to local nursery and landscape industry.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.tnlaonline.org/"} +{"d:Title": "Canadian Nursery Landscape Association", "d:Description": "CNLA is a national body linking together nine provincial member associations in the landscape, horticulture and nursery industries across Canada.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.cnla-acpp.ca/"} +{"d:Title": "LNA Master Landscapers Association", "d:Description": "Professional landscape contractors association located in New South Wales, Australia.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.landscapenswact.com.au/"} +{"d:Title": "MBNLA - Manitoba Nursery Landscape Association", "d:Description": "Provincial association dedicated to advancing the interests of horticulture and landscape local professionals.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://mbnla.com/"} +{"d:Title": "AmericanHort", "d:Description": "Consolidated webpage of the American Nursery and Landscape Association and OFA - The Association of Horticultural Professionals.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://americanhort.org/"} +{"d:Title": "Ohio Landscape Association", "d:Description": "Professional green industry non-profit trade association that encourages professional and ethical standards among landscape contractors through educational opportunities.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.ohiolandscapers.org/"} +{"d:Title": "MNLA Montana Nursery and Landscape Association", "d:Description": "Serves the public by working with various horticulture trades, state agencies, and others to develop and improve standards in local nursery and landscape industry.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://www.plantingmontana.com/"} +{"d:Title": "Florida Greenways and Trails Foundation", "d:Description": "The foundation seeks to raise public awareness of Florida\u2019s existing greenways and trails and foster the development of a connected state system.", "topic": "Top/Arts/Architecture/Landscape/Associations", "url": "http://fgtf.org/"} +{"d:Title": "Department of Geography, Michigan State University", "d:Description": "Offers professional courses in landscape architecture.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.geo.msu.edu/"} +{"d:Title": "University of Illinois Department of Landscape Architecture", "d:Description": "Information on BLA, MLA, and PhD programs, courses, and staff.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.landarch.uiuc.edu/"} +{"d:Title": "Manchester Metropolitan University - Landscape Architecture", "d:Description": "Course information, staff research details and projects.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.landscape.mmu.ac.uk/"} +{"d:Title": "Amber College Temple University Department of Landscape Architecture", "d:Description": "Provides information about the program, staff and campus.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.temple.edu/ambler/la-hort/"} +{"d:Title": "Architectural Association School of Architecture, London", "d:Description": "Offers an MA in Landscape Urbanism. Course description and contact information.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.aaschool.ac.uk/"} +{"d:Title": "Study Guide Landscape Architecture and Environmental Planning", "d:Description": "Information in English, French and German.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.uni-hannover.de/en/studium/studienfuehrer/landschaft/index.php"} +{"d:Title": "GardenDesignAcademy.com", "d:Description": "Distance learning of gardening, horticulture, garden design and CAD courses for garden designers, landscapers and amateur gardeners.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.gardendesignacademy.com/"} +{"d:Title": "Istituto Superiore di Architettura e Design", "d:Description": "This design school in Milan, Italy, offers a two-year course in garden design.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://isad-school.com/"} +{"d:Title": "Cal Poly Pomona Department of Landscape Architecture", "d:Description": "Offers undergraduate and graduate programs leading to professional degrees in landscape architecture.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.cpp.edu/~la/"} +{"d:Title": "Graduate School of Fine Arts", "d:Description": "University of Pennsylvania landscape architecture and regional planning programme.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.design.upenn.edu/"} +{"d:Title": "Illinois Institute of Technology (IIT)", "d:Description": "Students in IIT's MLA program learn in an unsurpassed setting, surrounded by enviable natural and urban resources as well as world-renowned faculty and colleagues. Rolling admission; classes start each fall.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://arch.iit.edu/study/mla/"} +{"d:Title": "American Society of Landscape Architects Schools", "d:Description": "ASLA provides a list of Master's and Bachelor's landscape architecture degree programs in the U.S. Also see candidacy programs.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.asla.org/Schools.aspx"} +{"d:Title": "Landscape Architecture Faculty of Chulalongkorn University", "d:Description": "This website is intended to provide useful information about the department of landscape architecture of Chulalongkorn University, Bangkok, Thaialnd.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.land.arch.chula.ac.th/"} +{"d:Title": "Landscape Architecture Technical Information Series (LATIS)", "d:Description": "Allows people to earn professional development hours. LATIS are peer-reviewed and cover a range of technical subjects.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.asla.org/ContentDetail.aspx?id=1064&PageTitle=Professional+Practice&RMenuId=58"} +{"d:Title": "Cornell University Department of Landscape Architecture", "d:Description": "The department offers accredited, license-qualifying landscape architecture degrees at the undergraduate and graduate levels.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://landscape.cals.cornell.edu/"} +{"d:Title": "University of Minnesota Landscape Architecture Department", "d:Description": "Degree programs, information for students, workshops and events. Landscape design education resourses, design library.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://landarch.design.umn.edu/"} +{"d:Title": "Landscape Academy", "d:Description": "Certificate program in garden design to expose students to the history, garden language, materials and methods of designing residential gardens.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.landscapeacademy.com/"} +{"d:Title": "Garden Design School UK", "d:Description": "Garden design vocational training courses across the UK.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://www.gardendesignschool.co.uk/"} +{"d:Title": "LE:Notre", "d:Description": "Thematic network in landscape education and research in Europe. Includes details of participating schools, and briefs for various working groups.", "topic": "Top/Arts/Architecture/Landscape/Education", "url": "http://ln-institute.org/"} +{"d:Title": "East Coast Greenway", "d:Description": "A 2,500 mile traffic-free path linking East Coast cities from Maine to Florida.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.greenway.org/"} +{"d:Title": "Mountains to Sound Greenway", "d:Description": "Mountains to Sound Greenway National Scenic Byway - a linked network of green spaces, recreational opportunities and historic towns in Washington State", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.mtsgreenway.org/"} +{"d:Title": "The Greenway Collaborative, Inc.", "d:Description": "Consulting services in greenway planning and design, non-motorized transportation planning, and digital cartography and geographic information systems (GIS).", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.greenwaycollab.com/"} +{"d:Title": "The Great Barrington Housatonic River Walk", "d:Description": "Public greenway trail in Massachusetts which has become a national model for other communities.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.gbriverwalk.org/"} +{"d:Title": "Genesee Valley Greenway", "d:Description": "Friends of the Genesee Valley Greenway, a multi-use trail.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.fogvg.org/"} +{"d:Title": "Cooks River to Iron Cove GreenWay", "d:Description": "Regional environmental project in inner Sydney aiming to provide a recognizable environmental and cultural corridor.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.greenway.org.au/"} +{"d:Title": "Bear Creek Greenway", "d:Description": "A narrow corridor of publicly-owned land, follows the Bear Creek streambed from Ashland to Central Point.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.bearcreekgreenway.com/"} +{"d:Title": "Lafitte Greenway", "d:Description": "Bicycle and pedestrian trail and green corridor connecting neighborhoods from Armstrong Park to City Park, New Orleans, LA, USA.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.lafittegreenway.org/"} +{"d:Title": "Ozark Greenways", "d:Description": "Non-profit group of private citizens in Springfield, Missouri, USA working to preserve the Ozarks natural heritage for public use.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://ozarkgreenways.org/"} +{"d:Title": "WS Greenways", "d:Description": "Winston-Salem, NC, USA greenways where users can hike, bike, stroll or roll, with less traffic and more scenery.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.wsgreenways.org/"} +{"d:Title": "Fox Cities Greenways", "d:Description": "Non-profit organization for development and preservation of trails and greenways in the Greater Fox Cities Region of Wisconsin, USA.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://foxcitiesgreenways.org/"} +{"d:Title": "Cardinal Greenways", "d:Description": "Indiana\u2019s longest span of recreational trails - 62 miles from Marion through Muncie to Richmond in East Central Indiana, USA.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://cardinalgreenways.org/"} +{"d:Title": "Central Ohio Greenways", "d:Description": "Series of trails in Central Ohio, USA that wind through picnic areas, boating and fishing facilities and family friendly parks.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "http://www.centralohiogreenways.com/"} +{"d:Title": "National Park Service", "d:Description": "Online guide for America's national parks - find park, discover hiystory, explore nature, teachers, kids programs.", "topic": "Top/Arts/Architecture/Landscape/Greenways", "url": "https://www.nps.gov/subjects/centennial/index.htm"} +{"d:Title": "NaturePhotographers.net", "d:Description": "Nature, wildlife and landscape photography resource - galleries, forum, portfolios, book, DVDs and prints shop.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.naturephotographers.net/"} +{"d:Title": "Landscape Photography by Charlie Waite", "d:Description": "Landscape photography, exhibitions, tuition, photo critiques, books and DVDs, landscape photography blog by Charlie Waite - landscape photographers in the UK and Worldwide.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.charliewaite.com/"} +{"d:Title": "Studies in the History of Gardens&Landscapes", "d:Description": "Scholarly journal dealing with garden history.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.tandfonline.com/toc/tgah20/current"} +{"d:Title": "Landscape photography by Mark Gray", "d:Description": "Photographer specialising in panoramic Australian landscapes. Open and limited edition prints, photography courses, workshops, news, framing and licensing.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.markgray.com.au/"} +{"d:Title": "Topos", "d:Description": "European landscape magazine looking at avant garde landscape architecture in Europe.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.toposmagazine.com/"} +{"d:Title": "Root - Landscape Architecture Journal", "d:Description": "Annual publication created by graduate landscape architecture students at the University of Colorado Denver College of Architecture and Planning.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://root-land.org/"} +{"d:Title": "Landscape Architecture Internet Resources", "d:Description": "A guide to landscape publications on the world wide web.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.lib.berkeley.edu/libraries/environmental-design-library"} +{"d:Title": "Structure in the Landscape", "d:Description": "Provides up-to-date thinking, interviews, book reviews, project reviews and current events in the field of landscape design.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.rootstemleaf.com/"} +{"d:Title": "The Dirt Blog (ASLA)", "d:Description": "The Dirt, a blog from the American Society of Landscape Architects, providers landscape architecture, sustainability and environmental news.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://dirt.asla.org/"} +{"d:Title": "Landscape Architecture Magazine", "d:Description": "The magazine of the American Society of Landscape Architects - record for the landscape architecture profession in North America.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://landscapearchitecturemagazine.org/"} +{"d:Title": "LandscapeOnline.com", "d:Description": "Online resources for landscape architects, designers, contractors, superintendents and maintenance managers.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.landscapeonline.com/"} +{"d:Title": "LandscapeOnline.de", "d:Description": "The official journal of the International Association for Landscape Ecology, Germany.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.landscapeonline.de/"} +{"d:Title": "Landscape Me Magazine", "d:Description": "The magazine is the first specialized monthly publication targeting the landscaping industry in the Middle East.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.landscape-me.com/"} +{"d:Title": "Turf Magazine", "d:Description": "Professional magazine for green industry news, articles, resources, products review, buisness directory.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.turfmagazine.com/"} +{"d:Title": "Landscape photography by Roger Foley", "d:Description": "Garden photography, landscape architecture photography for landscape architects, landscape designers, garden designers, landscape companies, book publishers, magazines.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.foleyfoto.com/"} +{"d:Title": "Landscape Photography Magazine", "d:Description": "Online pdf downloadable landscape photography magazine with educational tutorials, tips, articles and landscape photographs.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "https://landscapephotographymagazine.com/"} +{"d:Title": "NewLandscapePhotography.com", "d:Description": "Contemporary art from around the world that explores the natural and built environments. Created and published by Willson Cummer.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://newlandscapephotography.com/"} +{"d:Title": "Landscape Photography by Elizabeth Carmel", "d:Description": "Fine art landscape photography by Elizabeth Carmel. Custom sized art prints, photographic murals, image licensing.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://elizabethcarmel.com/"} +{"d:Title": "USA Landscape Photographer of the Year", "d:Description": "\u200bA new international photography competition that puts the spotlight on talented photographers from around the world and celebrates the rural and urban landscape of the U.S.A.", "topic": "Top/Arts/Architecture/Landscape/News_and_Media", "url": "http://www.usalpoty.com/"} +{"d:Title": "UK House of Commons Report on Public Parks", "d:Description": "Evidence to the Environment, Transport and Regional Affairs committee on public parks.", "topic": "Top/Arts/Architecture/Landscape/Public_Parks", "url": "http://www.publications.parliament.uk/pa/cm199899/cmselect/cmenvtra/477/477mem02.htm"} +{"d:Title": "Project for Public Spaces (PPS)", "d:Description": "Comment and advice on public parks and public spaces around the world.", "topic": "Top/Arts/Architecture/Landscape/Public_Parks", "url": "http://pps.org/"} +{"d:Title": "The High Line Park Manhattan", "d:Description": "Official website of the High Line public park built on a historic freight rail line elevated above the streets on Manhattan\u2019s West Side, NY, USA.", "topic": "Top/Arts/Architecture/Landscape/Public_Parks", "url": "http://www.thehighline.org/"} +{"d:Title": "Sydney Harbour High Line", "d:Description": "Sydney Harbour High Line utilises the disused train line between Lavender Bay and Waverton station in Sydney Australia as a public walking trail.", "topic": "Top/Arts/Architecture/Landscape/Public_Parks", "url": "http://www.sydneyharbourhighline.org.au/"} +{"d:Title": "Dynascape", "d:Description": "Providing design and business software for the landscape industry.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://www.dynascape.com/"} +{"d:Title": "Turnkey Business Solutions", "d:Description": "Offers landscaping design software and business software. Includes details and screenshots.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://landscaping.tbs4u.com/"} +{"d:Title": "Asuni CAD, S.A.", "d:Description": "Software for garden design and landscaping projects that runs in the AutoCAD environment. Lands is a landscaping software that allows to develop the technical plan of green spaces in 2D and 3D.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://www.asunilands.com/"} +{"d:Title": "Vista3d ltd", "d:Description": "Visually verified montages, verified view photomontage, architectural verified views, landscape visual impact assessment, townscape visual impact assessment etc.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://www.vista3d.co.uk/"} +{"d:Title": "Structure Studios: VizTerra", "d:Description": "Professional landscape design software that features instant 3D. Transform a flat 2D site plan into a real time 3D tour, draw in 2D and instantly display in 3D.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "https://www.structurestudios.com/software/vizterra-best-professional-3d-landscape-design-software"} +{"d:Title": "Drafix Software, Inc.", "d:Description": "Produce software for landscaping professionals.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://prolandscape.com/en/"} +{"d:Title": "Jobber", "d:Description": "Field service management cloud software for landscaping and lawn care professionals. Save time on invoicing, scheduling, routing.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://getjobber.com/"} +{"d:Title": "Landscape Design Imaging Software", "d:Description": "GreenScapes shows clients what they have a hard time visualizing when designing landscaping, hardscapes or night lighting.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://www.landscapedesignimagingsoftware.com/"} +{"d:Title": "LawnPro Software", "d:Description": "Business software for billing, scheduling, estimating lawn care and equipment.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "https://www.lawnprosoftware.com/"} +{"d:Title": "Citrine UK Ltd", "d:Description": "Landscape and architectural visualization, photo montages, ZTV / ZVI analysis, environmental impact assessment services, terrain modelling.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://www.citrine.com/"} +{"d:Title": "Littlefleets", "d:Description": "Scheduling and dispatching software for landscaping companies and lawn care professionals. Helping to manage better mobile workforce.", "topic": "Top/Arts/Architecture/Landscape/Software", "url": "http://info.littlefleets.com/service/lawn-care-wms"} +{"d:Title": "Topix: Architecture News", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/Architecture/News_and_Media", "url": "http://www.topix.com/business/architecture"} +{"d:Title": "ArchitectureFilms", "d:Description": "On-site video interview of the architect describing his/her project and discussing the design's impetus. End users and key project team members are also interviewed.", "topic": "Top/Arts/Architecture/News_and_Media", "url": "http://www.architecturefilms.com/"} +{"d:Title": "Book of Holotecture", "d:Description": "Online book by Bruno Urh about communication technologies as a regenerator of architecture. It encapsulates his post-graduate studies at the University of Ljubljana.", "topic": "Top/Arts/Architecture/News_and_Media", "url": "http://www.holotecture.com/"} +{"d:Title": "Praxis", "d:Description": "A journal of writing and building, published twice-yearly by an all-volunteer staff.", "topic": "Top/Arts/Architecture/News_and_Media/Journals", "url": "http://www.praxisjournal.net/"} +{"d:Title": "Nexus Network", "d:Description": "Peer reviewed e-journal dedicated to architecture and mathematics.", "topic": "Top/Arts/Architecture/News_and_Media/Journals", "url": "http://www.nexusjournal.com/"} +{"d:Title": "Online Planning Journal", "d:Description": "Articles about the impact of the internet on the planning and design process. Part of the Centre for Advanced Spatial Analysis at University College London.", "topic": "Top/Arts/Architecture/News_and_Media/Journals", "url": "http://www.casa.ucl.ac.uk/planning/articles.htm"} +{"d:Title": "The Journal of Environmental Psychology", "d:Description": "Directed toward individuals in a wide range of disciplines who have an interest in the study of the transactions and interrelationships between people and their socio-physical surroundings including man-made and natural environments.", "topic": "Top/Arts/Architecture/News_and_Media/Journals", "url": "http://www.sciencedirect.com/science/journal/02724944"} +{"d:Title": "Harvard Design Magazine", "d:Description": "Published by the MIT Press, thematic issues in architecture, urban design and landscape design. Contents list and sample articles.", "topic": "Top/Arts/Architecture/News_and_Media/Journals", "url": "http://www.gsd.harvard.edu/#/projects/publications/harvard_design.html"} +{"d:Title": "Cityscape: A Journal of Policy Development and Research", "d:Description": "Focuses on innovative ideas, policies, and programs that show promise in revitalizing cities and regions. Published three times a year by the United States Department of Housing and Urban Development (HUD).", "topic": "Top/Arts/Architecture/News_and_Media/Journals", "url": "https://www.huduser.gov/portal/periodicals/cityscape.html"} +{"d:Title": "DIMENSI (Journal of Architecture and Built Environment)", "d:Description": "Indonesian peer-reviewed journal devoted to the applications of architecture theory, history and urban design and planning.", "topic": "Top/Arts/Architecture/News_and_Media/Journals", "url": "http://puslit2.petra.ac.id/ejournal/index.php/ars"} +{"d:Title": "ViA Arquitectura", "d:Description": "Quarterly review on particular aspects of recent international architecture. [in Spanish and English]", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.via-arquitectura.net/"} +{"d:Title": "Detail - Portal for Architecture", "d:Description": "DETAIL is an international magazine dedicated to architecture and construction details.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.detail.de/"} +{"d:Title": "Archis", "d:Description": "A bi-monthly print magazine on architecture, city, and visual culture. Archive of feature articles. [Dutch and English]", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.archis.org/"} +{"d:Title": "ArchiNed", "d:Description": "An architectural platform collecting, linking and editing internet information on the design professions in the Netherlands. [in English and Dutch]", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.archined.nl/"} +{"d:Title": "Contract Magazine", "d:Description": "Has a special focus on interior design and architecture for the corporate, retail, educational, hospitality, health care, entertainment, government, institutional, and performing arts markets.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.contractmagazine.com/"} +{"d:Title": "ArchE", "d:Description": "The world of architecture in competitions, theories, polemics, commerce and propaganda. A chronicle of architecture's footnotes and trivia.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.bbzine.com/archeplus/BBZine.html"} +{"d:Title": "Metropolis Magazine", "d:Description": "Online version of the print magazine covering architecture, interior design, crafts, product and graphic design and urban planning.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.metropolismag.com/"} +{"d:Title": "Archinect", "d:Description": "Aims to bring together designers from around the world to introduce new ideas from all disciplines. Features, news, views, image gallery and event calendar.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.archinect.com/"} +{"d:Title": "Abitare", "d:Description": "Subscription information, lists of back issues, and history. [in English and Italian]", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.abitare.it/"} +{"d:Title": "Competitions Magazine", "d:Description": "Design competitions announcements for architecture, landscape architecture and urban planning.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.competitions.org/"} +{"d:Title": "Design Share", "d:Description": "Journal of educational facility planning. Includes design awards and directory of architects.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.designshare.com/"} +{"d:Title": "ArchNewsNow", "d:Description": "Online archive of an e-mailnewsletter. Links to architecture, design and construction stories, mostly from mainstream media.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.archnewsnow.com/"} +{"d:Title": "Azure Magazine", "d:Description": "A bi-monthly magazine focused on design, architecture, and interiors. Current issue and events listings.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.azuremagazine.com/"} +{"d:Title": "Modern Building Services", "d:Description": "Offers an editorial perspective covering not only traditional areas of building services but also seeking to unify the role of everyone in the industry across the entire building process, from inception to lifetime maintenance and refurbishment.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.modbs.co.uk/"} +{"d:Title": "Architectural Digest", "d:Description": "International magazine of interior design. Introduction to content and how to subscribe.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.architecturaldigest.com/"} +{"d:Title": "Professional Lighting Design", "d:Description": "Official magazine of the European Lighting Designers' Association and the International Association of Lighting Designers. Past issues and ordering.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.via-verlag.com/"} +{"d:Title": "Architecture Media", "d:Description": "Publishes magazines on architecture in Australia, interiors, design, houses and building products. They are Architecture Australia, Houses, Artichoke, and Architectural Product News.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.architecturemedia.com/"} +{"d:Title": "Quaderns", "d:Description": "Magazine on contemporary architecture, urban planning and arts. [English and Spanish]", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://quaderns.coac.net/"} +{"d:Title": "Trends", "d:Description": "Home and commercial design ideas, from kitchen design to architecture to home theatre trends.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://trendsideas.com/"} +{"d:Title": "The Architects Journal", "d:Description": "A British independent architecture magazine with information on news, jobs, buildings, regulations, products, materials and events.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://info.architectsjournal.co.uk/"} +{"d:Title": "Architectural Design Sense", "d:Description": "Digital magazine focussing on ideas for the home. Includes tours of exceptional homes from across North America: exteriors, interiors, pools and fittings.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.architecturaldesignsense.com/"} +{"d:Title": "Form Magazine", "d:Description": "Features emerging talents and precedent-setting work in the areas of architecture and design. Includes subscriptions and back issues [formerly known as LA Architect].", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.formmag.net/"} +{"d:Title": "Architecture Lab", "d:Description": "An online magazine dedicated to everything related to architecture, urban and sustainable design. Articles and archives.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://architecturelab.net/"} +{"d:Title": "BD", "d:Description": "UK magazine Building Design's online presence, providing news for architects. Includes events, people and jobs.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.bdonline.co.uk/"} +{"d:Title": "Domus", "d:Description": "Global reporting on architecture, design, communication, art, web art, home furnishing and interior design. [in Italian and English]", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.domusweb.it/"} +{"d:Title": "Canadian Architect", "d:Description": "Timely topics on architecture with a Canadian bent; international architecture news is also covered.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.canadianarchitect.com/"} +{"d:Title": "eVolo", "d:Description": "A magazine focused on technological advances and innovative design for the 21st century.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.evolo.us/"} +{"d:Title": "ArchSupply", "d:Description": "News, reviews and interviews.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.archsupply.com/"} +{"d:Title": "World Architecture", "d:Description": "Provides pictures and information about designs around the world and profiles of architects.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.e-architect.co.uk/"} +{"d:Title": "Architecture&Style", "d:Description": "Blog format e-zine bringing forth the latest designs for buildings, including celebrity homes, and external areas such as parkland.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "https://archiandstyle.blogspot.co.uk/"} +{"d:Title": "The Architectural Review", "d:Description": "Architecture magazine with a global perspective. Every month detailed and illustrated reviews of buildings and architectural projects are featured.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "https://www.architectural-review.com/"} +{"d:Title": "Architecture", "d:Description": "Online version of the magazine of the same name. Coverage of architectural design, projects and products, industry news and trends. Searchable archive of past issues.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.architectmagazine.com/"} +{"d:Title": "Interiors and Sources", "d:Description": "Magazine for architects, interior designers, and facility planners. Back and current issues, links, and product directory.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.interiorsandsources.com/"} +{"d:Title": "Architectural Record", "d:Description": "Online version of the print magazine, covering issues in architecture, professional directories, and recruitment listings.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.architecturalrecord.com/"} +{"d:Title": "Frame", "d:Description": "Bi-monthly magazine about interior design, architecture and art.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.frameweb.com/"} +{"d:Title": "Urukia", "d:Description": "Covers buildings, interiors and art.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.urukia.com/"} +{"d:Title": "HAW Magazine", "d:Description": "Indian publication covering residential and commercial designs.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://www.howarchitectworks.com/"} +{"d:Title": "UpVisually", "d:Description": "Covering topics such as technology and home decor.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://upvisually.com/"} +{"d:Title": "A as Architecture", "d:Description": "Covers the design of buildings and landscapes, and interior and urban design.", "topic": "Top/Arts/Architecture/News_and_Media/Magazines_and_E-zines", "url": "http://aasarchitecture.com/"} +{"d:Title": "A Dose of Architecture", "d:Description": "John Hill, an architect who lives and works in Chicago, looks at contemporary architectural works of significance through his illustrated weblog and weekly articles.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.archidose.org/"} +{"d:Title": "BldgBlog", "d:Description": "Los Angeles-based writer Geoff Manaugh provides architectural news and conjecture, heavily illustrated.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://bldgblog.blogspot.com/"} +{"d:Title": "Busyboo", "d:Description": "Vered Carmel's illustrated weblog covers architecture, interior design, digital photography, web design and illustration.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.busyboo.com/"} +{"d:Title": "CoolBoom", "d:Description": "An interior designer in Valencia provides architecture and interior design news from across the world. [English and Spanish versions.]", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://coolboom.net/"} +{"d:Title": "Eikongraphia", "d:Description": "A continuous research into the appliance of iconography in contemporary architecture", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.eikongraphia.com/"} +{"d:Title": "William Bostwick", "d:Description": "Author presents a selection of articles and podcasts on architecture and design.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.williambostwick.com/"} +{"d:Title": "Arch Daily", "d:Description": "Features the notable works of prominent architects and analyzes them. Also publishes announcements and results of architectural competitions.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.archdaily.com/"} +{"d:Title": "Architechnophilia", "d:Description": "Blog of an architect practicing in the Caribbean in which he shares his views and finds.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://architechnophilia.blogspot.com/"} +{"d:Title": "Talkitecture", "d:Description": "A group of architects critique new designs and showcase research papers on contemporary issues in architecture.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.talkitect.com/"} +{"d:Title": "New Architects in Latin America", "d:Description": "Elias Redstone explores the styles of new generation architects practicing in Central and South America.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://newarchitects.blogspot.com/"} +{"d:Title": "The ARCH", "d:Description": "Blog by Jon Brouchoud covering architecture and design in virtual environments like Second Life.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://archsl.wordpress.com/"} +{"d:Title": "Stair Porn", "d:Description": "A site entirely dedicated to stairs often highlighting unusual and breakthrough concepts in stair design.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.stairporn.org/"} +{"d:Title": "Ernesto Bueno\u2019s Blog", "d:Description": "Describes emergent strategies in architectural design and fabrication, such as scripting techniques for algorithmic design, parametric modeling, and CAD/CAM and usage of tools like RhinoScript and Grasshopper.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://ernestobueno.blogspot.com/"} +{"d:Title": "Super Colossal", "d:Description": "Blog of 'Super Colossal', an architecture office based in Sydney, offering news and views on architecture.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://supercolossal.ch/"} +{"d:Title": "Interactive Architecture", "d:Description": "Ruairi Glynn, Installaton Artist&Lecturer at the Bartlett School of Architecture blogs about interactive architecture and emerging artistic practices where digital technologies&virtual spaces merge with tangible and physical spatial experiences.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.interactivearchitecture.org/"} +{"d:Title": "Pruned", "d:Description": "A blog on landscape, architecture, habitat and related fields", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://pruned.blogspot.com/"} +{"d:Title": "Sensing Architecture", "d:Description": "Sensing Architecture, a digital platform authored by Maria Lorena Lehman, focuses on where architecture should be headed by finding links between architectural design, neuroscience and new technology.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://sensingarchitecture.com/"} +{"d:Title": "Architecture + Morality", "d:Description": "A blog that explores the social issues behind architecture.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://architectureandmorality.blogspot.com/"} +{"d:Title": "Fotofacade", "d:Description": "Architectural photographer Andy Marshall's photo blog.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://fotofacade.blogspot.com/"} +{"d:Title": "Dwell", "d:Description": "An architecture and design blog maintained by the Dwell magazine's editorial staff.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.dwell.com/"} +{"d:Title": "Architectoid", "d:Description": "Provides videos and interviews with architects, along with industry news.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://architectoid.blogspot.com/"} +{"d:Title": "NMA Modern New Architecture", "d:Description": "Photographs and reviews of designs throughout the world.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.newarchitecture.biz/"} +{"d:Title": "An Architecture", "d:Description": "C. Wassmann's weblog dedicated to architecture and architectural thinking. Includes news, links, archives and opinions.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.an-architecture.com/"} +{"d:Title": "Architectural Videos", "d:Description": "Blog sharing videos of new buildings and architectural concepts.", "topic": "Top/Arts/Architecture/News_and_Media/Weblogs", "url": "http://www.architectural-videos.com/"} +{"d:Title": "Ortygia", "d:Description": "Architect Sergio Tin\u00e8 uses his experience of conserving historic buildings in the island of Ortygia to propose a code of professional practice for urban conservation.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.culturalheritage.net/ortygia/"} +{"d:Title": "Ecole d'Avignon", "d:Description": "Offers courses and consulting in historic preservation, decorative painting, and traditional building techniques. Avignon, France.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.ecole-avignon.com/"} +{"d:Title": "Donhead Publishing", "d:Description": "Specializes in practical books on building conservation, preservation and heritage. Also publishes the Journal of Architectural Conservation: abstracts online.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.donhead.com/"} +{"d:Title": "Old House Journal", "d:Description": "Online magazine. Preservation advice for owners of old homes, restoration directory, products, classifieds, bulletin boards.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.oldhousejournal.com/"} +{"d:Title": "Restoration Trades Exchange", "d:Description": "An online business exchange for the building restoration field in America, featuring directories, job listings, trade forums, regional information.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.restorationtrades.com/"} +{"d:Title": "Building Conservation", "d:Description": "Cathedral Communications provides a directory of building conservation companies, courses and organizations, mainly in the United Kingdom. Also on-line articles and events listing.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.buildingconservation.com/"} +{"d:Title": "The Conservation Glossary", "d:Description": "Architectural, building and conservation terms explained by Neil Grieve of The Centre for Conservation and Urban Studies, University of Dundee.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.trp.dundee.ac.uk/research/glossary/glossary.html"} +{"d:Title": "Cultural Heritage Search Engine", "d:Description": "A directory of sites related to the conservation of cultural heritage - the natural and urban landscape.\u00a0English and Italian.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.culturalheritage.net/"} +{"d:Title": "Cultural Heritage Charters and Standards", "d:Description": "A review of the principal UNESCO and ICOMOS charters and standards for the preservation of cultural heritage by J.K. Gillon.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://gillonj.tripod.com/culturalheritagechartersandstandards/"} +{"d:Title": "Preservation Alumni", "d:Description": "Independent organization of friends and alumni of the Columbia University Historic Preservation Program.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://preservationalumni.org/"} +{"d:Title": "Preservation Directory", "d:Description": "Resources and research tools for historic preservation and cultural resource management in the US. Lists preservation organizations, programs, historic property for sale, news and events.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.preservationdirectory.com/"} +{"d:Title": "National Center for Preservation Technology and Training", "d:Description": "The U.S. National Park Service provides searchable databases on training, materials, job opportunities, conferences, funding and its grants and projects.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.ncptt.nps.gov/"} +{"d:Title": "Masonry Conservation Research Group", "d:Description": "In the Robert Gordon University in Aberdeen describes its research into building stone decay, conservation and historic preservation.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www2.rgu.ac.uk/schools/mcrg/mcrghome.htm"} +{"d:Title": "Preserve Net", "d:Description": "Cornell University's resource for US preservationists includes listings of job opportunities and conferences, along with a directory of web-sites related to historic preservation.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.preservenet.cornell.edu/"} +{"d:Title": "Pond Lily Mill Restorations", "d:Description": "Ted Hazen supplies extensive, illustrated information on the history and technology of flour milling in America, and the restoration of watermills, with bibliography.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.angelfire.com/journal/pondlilymill/"} +{"d:Title": "USGS National Geologic Lexicon Database", "d:Description": "Find out about US stone names here.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://ngmdb.usgs.gov/Geolex/search"} +{"d:Title": "European Heritage Network", "d:Description": "The Council of Europe offers a databank on official heritage policies, and a heritage portal.", "topic": "Top/Arts/Architecture/Preservation", "url": "http://www.coe.int/t/dg4/cultureheritage/heritage/Herein/Default_en.asp"} +{"d:Title": "Cultural Heritage Without Borders", "d:Description": "Aims to restore cultural property in those areas ravaged by conflicts, catastrophes or endangered in other ways. News, activities, appeal for support.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://chwb.org/"} +{"d:Title": "International Centre for the Study of the Preservation and Restoration of Cultural Property", "d:Description": "Created by UNESCO to promote conservation of monuments and sites of historical, artistic and archaeological interest. Headquarters in Rome. Activities, events calendar, image archive, training directory. English and French versions", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.iccrom.org/"} +{"d:Title": "World Heritage", "d:Description": "Elegant site from UNESCO explaining the choice of certain buildings and sites as World Heritage. List with pictures and information available on each. French and English language options.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://whc.unesco.org/"} +{"d:Title": "Association for Preservation Technology International", "d:Description": "Aims to advance the application of technology to the conservation of the built environment. Conference, bulletin, membership, local chapters, scholarships.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.apti.org/"} +{"d:Title": "Sociedade dos Amigos dos Jardins Europa e Paulistano", "d:Description": "Neighborhood group aiming to preserve the \"Garden City\" districts of S\u00e3o Paulo, Brazil, designed by British architects Barry Parker and Raymond Unwin in the 1920s. Site in Portuguese and English.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://sajep.org.br/sajenglish.htm"} +{"d:Title": "Committee of Struggle against Gold Mining in Chalkidiki", "d:Description": "Opposition to the building of a gold plant in Olympias.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://antigoldgreece.tripod.com/"} +{"d:Title": "An Taisce: The National Trust for Ireland", "d:Description": "Concerned with conserving the best of Ireland's heritage, both built and natural. Policy, environmental programs, National Spring Clean, planning, events.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.antaisce.org/"} +{"d:Title": "World Monuments Fund", "d:Description": "Private, nonprofit organization for preserving art and architecture, based in New York. Photographs and descriptions of 100 most endangered sites. Projects, Jewish Heritage, trips, publications.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.wmf.org/"} +{"d:Title": "The Tibet Heritage Fund", "d:Description": "Organized, funded and supervised the protection and restoration of the ancient secular buildings on the Lhasa Barkor, many originally scheduled for demolition.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.tibetheritagefund.org/"} +{"d:Title": "Falmouth Heritage Renewal", "d:Description": "A United States-based non-profit organization dedicated to saving the cultural and historical heritage of Falmouth, Jamaica. Mission, illustrated history of Falmouth, programs, partners and news.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.falmouthjamaica.org/"} +{"d:Title": "Atomic Age Alliance", "d:Description": "A volunteer organization dedicated to celebrating and preserving historic Mid Century Modernism and Atomic Age design and architecture.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.atomicage.org/"} +{"d:Title": "Aga Khan Trust for Culture", "d:Description": "Focuses on the physical, social, cultural and economic revitalisation of communities in the Muslim world.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.akdn.org/aktc"} +{"d:Title": "Al-Quds / Jerusalem 2015", "d:Description": "A collaborative, long-term program devoted to the architectural heritage of Al-Quds/Jerusalem, involving concerned international institutions and organisations.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.alqudsjerusalem2015.com/"} +{"d:Title": "Historic Cities Overview", "d:Description": "Part of the Aga Khan Trust Culture which focuses on the physical, social, cultural and economic revitalisation of communities in the Muslim world.", "topic": "Top/Arts/Architecture/Preservation/Organizations", "url": "http://www.akdn.org/our-agencies/aga-khan-trust-culture/aga-khan-historic-cities-programme/historic-cities-overview"} +{"d:Title": "Quebec Heritage Web", "d:Description": "A portal to the history and heritage of the various regions of Quebec, produced by the Quebec Anglophone Heritage Network (QAHN).", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://www.quebecheritageweb.com/"} +{"d:Title": "Heritage Foundation of Newfoundland", "d:Description": "Descriptions and histories of registered heritage structures with images where available.", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://www.heritagefoundation.ca/"} +{"d:Title": "Heritage BC", "d:Description": "Aims to conserve historic buildings and other heritage resources. Offers on-line guide to BC heritage sites and services, activities, advice on maintenance and conservation of historic buildings.", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://www.heritagebc.ca/"} +{"d:Title": "North Shore Heritage Preservation Society", "d:Description": "Promotes the conservation of historic buildings on the North Shore of Vancouver, British Columbia.", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://www.northshoreheritage.org/"} +{"d:Title": "Heritage Yukon", "d:Description": "Organization of historical societies and museums in the Yukon.", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://heritageyukon.ca/"} +{"d:Title": "Canadian Association of Professional Heritage Consultants", "d:Description": "Provides a directory of Canadian professional heritage consultants, calendar of heritage events, recommended reading and list of heritage conferences.", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://cahp-acecp.ca/"} +{"d:Title": "Architectural Conservancy of Ontario", "d:Description": "Registered charity aiming to preserve buildings and structures of architectural merit and places of natural beauty. Publications, advisory board, membership form.", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://www.arconserv.ca/"} +{"d:Title": "Heritage Manitoba", "d:Description": "A place where small urban and rural communities in Manitoba can connect and find conceptual tools, guides and sample products to ensure that local heritage activities, projects and products attain high standards", "topic": "Top/Arts/Architecture/Preservation/Organizations/Canada", "url": "http://heritagemanitoba.ca/"} +{"d:Title": "The Lutyens Trust", "d:Description": "An educational charity which acts as a source of information and help on the care and maintenance of the works of Sir Edwin Lutyens. Biography, chronology, works and bibliography.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.lutyenstrust.org.uk/"} +{"d:Title": "Architectural Heritage Fund", "d:Description": "Helps voluntary and community groups to repair and regenerate historic buildings, with grants, loans, advice and publications.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.ahfund.org.uk/"} +{"d:Title": "Save Stonehenge", "d:Description": "A campaign against upgrading the A303 road through the Stonehenge World Heritage Site, Wiltshire, England.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.savestonehenge.org.uk/"} +{"d:Title": "The Society for the Protection of Ancient Buildings", "d:Description": "Founded by William Morris in 1877 to counteract the destructive 'restoration' of medieval buildings by Victorian architects. Activities, publications, events, course for home owners.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.spab.org.uk/"} +{"d:Title": "Round Tower Churches Society", "d:Description": "Works for the preservation of churches with round towers, mostly found in East Anglia, and investigates their history. Council members, application to join, churches to visit, tour programme.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.roundtowers.org.uk/"} +{"d:Title": "Georgian Group", "d:Description": "The group campaigns against the neglect, maltreatment and destruction of Georgian buildings, parks and gardens in England and Wales. Activities, publications, invitation to join.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.georgiangroup.org.uk/"} +{"d:Title": "Friends of City Churches", "d:Description": "Aims to foster awareness of City of London churches and ensure their preservation. Features a clickable map and index leading to details of opening hours.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.london-city-churches.org.uk/"} +{"d:Title": "The Churches Conservation Trust", "d:Description": "Over 300 historic churches throughout England for people to visit, with search facilities via county, region and key features.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.visitchurches.org.uk/"} +{"d:Title": "Institute of Historic Building Conservation", "d:Description": "The professional institute which represents conservation professionals in the public and private sectors in the United Kingdom and Ireland. Quarterly magazine 'Context'.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.ihbc.org.uk/"} +{"d:Title": "Hearth", "d:Description": "A charity that restores historic buildings in Northern Ireland that are at risk, and provides social housing for rent. Projects, news, tenant pages.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.hearth-housing.org.uk/"} +{"d:Title": "Warley Woods Community Trust", "d:Description": "Aims to restore and maintain this urban parkland in Smethwick designed by landscape architect Humphry Repton. History, news, activities, notes for teachers, membership and committee.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://warleywoods.org.uk/"} +{"d:Title": "The Regency Society", "d:Description": "A registered charity which campaigns for the preservation and enhancement of the historic architecture of Brighton and Hove. Issues, events, lectures, newsletter.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.regencysociety.co.uk/"} +{"d:Title": "Carved Stones Advisor Project", "d:Description": "Dedicated to promoting the enjoyment and protection of Scottish graveyards.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.scottishgraveyards.org.uk/"} +{"d:Title": "Maintain our Heritage", "d:Description": "A not-for-profit organisation conducting a research programme into the benefits of regular maintenance and a pilot service in the Bath area. Includes research findings.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.maintainourheritage.co.uk/"} +{"d:Title": "Devon Earth Building Association", "d:Description": "Supports research into and the conservation of earth buildings in the South West of England. Includes leaflets, newsletters and bibliography covering all aspects of building with earth.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.devonearthbuilding.com/"} +{"d:Title": "Bath Preservation Trust", "d:Description": "Aims to preserve the unique architectural heritage of a World Heritage City. Maps and directions, news, museums run by the Trust.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.bath-preservation-trust.org.uk/"} +{"d:Title": "Landmark Trust", "d:Description": "A charity which rescues buildings of historic interest or architectural importance and gives them a new life by making them available to stay in for holidays. The official site gives sample pages from the handbook.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.landmarktrust.org.uk/"} +{"d:Title": "The Twentieth Century Society", "d:Description": "Aims to safeguard the heritage of architecture and design in Britain from 1914 onwards. Aims, history, activities, casework, news, publications and membership of the society.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.c20society.org.uk/"} +{"d:Title": "The International Council on Monuments and Sites: UK", "d:Description": "The UK section of the international non-governmental organization of professionals, dedicated to the conservation of the world's historic monuments and sites.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://icomos-uk.org/"} +{"d:Title": "Wilts and Berks Canal Trust", "d:Description": "A registered charity committed to the restoration of the Wilts and Berks Canal, the central section of the Wessex Waterways Network. Includes news, history, and images.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.gentle-highway.info/"} +{"d:Title": "Architectural Heritage Society of Scotland", "d:Description": "For the study and protection of Scottish architecture. The society's activities and publications.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.ahss.org.uk/"} +{"d:Title": "Historic Chapels Trust", "d:Description": "Aims to take into ownership and preserve redundant chapels and other places of worship in England of outstanding architectural and historic interest.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.hct.org.uk/"} +{"d:Title": "Association of Preservation Trusts", "d:Description": "Representative body for building preservation trusts in the United Kingdom. Offers its members practical advice and support. Sources of finance, success stories, conference, meetings, newsletter.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.ukapt.org.uk/"} +{"d:Title": "Heritage Works", "d:Description": "A registered charity that regenerates historic buildings whose cost of repair is uneconomic for the private sector. Staff, trustees and projects.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.heritageworks.co.uk/"} +{"d:Title": "Worcestershire and Dudley Historic Churches Trust", "d:Description": "Seeks to award grants toward the preservation, repair, or improvement of any Christian Church within the geographical area of the Diocese of Worcester or the county of Worcestershire. Includes aims, annual report and church tours.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://worcestershirechurches.blogspot.com/"} +{"d:Title": "Glasgow Buildings Preservation Trust", "d:Description": "Runs Doors Open Day in Glasgow in September and restores buildings. Programme, membership, projects and annual report.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://gbpt.org/"} +{"d:Title": "Ancient Monuments Society", "d:Description": "Dedicated to the study and conservation of ancient monuments, historic buildings and fine old craftsmanship in the UK. Aims, officers, membership, casework, lecture series and publications.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://home.btconnect.com/ancientmonuments/"} +{"d:Title": "SAVE Britain's Heritage", "d:Description": "Conservation group campaigning for endangered historic buildings. News, achievements, publications list, buildings at risk register.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.savebritainsheritage.org/"} +{"d:Title": "Conference on Training in Architectural Conservation", "d:Description": "A UK-registered charity that aims to improve the standard of education for everyone involved in conservation including professionals, craftspeople and building owners.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.cotac.org.uk/"} +{"d:Title": "Capel: The Chapels Heritage Society", "d:Description": "Encourages the study and preservation of the Nonconformist chapels of Wales.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.capeli.org.uk/"} +{"d:Title": "Jersey Heritage", "d:Description": "An independent organisation which receives an annual grant from the States of Jersey to support its running costs. Responsible for the island's major historic sites and museums.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.jerseyheritage.org/"} +{"d:Title": "Friends of St Mary's Church, Cricklade", "d:Description": "Aims to raise funds for the care and maintenance of the church. Membership form, constitution, newsletters, church history.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.crickladestmary.org.uk/"} +{"d:Title": "National Piers Society", "d:Description": "Promoting and sustaining interest in the preservation and continued enjoyment of Seaside Piers. News, images of surviving and lost British piers.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.piers.org.uk/"} +{"d:Title": "The Victorian Society", "d:Description": "Campaigns for the preservation of Victorian and Edwardian buildings in England and Wales.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "http://www.victoriansociety.org.uk/"} +{"d:Title": "Heritage Lincolnshire", "d:Description": "Exists to protect, preserve, promote and present the heritage of the county for the benefit of local people and visitors. Includes details of work, staff, historic sites, events diary, and historic Lincolnshire villages.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_Kingdom", "url": "https://www.heritagelincolnshire.org/"} +{"d:Title": "Federal Highway Administration", "d:Description": "The FHA has an awards program for preservation projects, particularly for historic bridges.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.fhwa.dot.gov/"} +{"d:Title": "Montana Preservation Alliance", "d:Description": "This non-profit all-volunteer organization works to preserve historic resources and places in Montana. Board, workshops, Montana's most endangered historic places.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://preservemontana.org/"} +{"d:Title": "Cinema Treasures", "d:Description": "Dedicated to saving classic movie theaters in the US. News, database, photographs, virtual tours and message boards. Also aims, founders, sponsors and volunteer staff of the web-site.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.cinematreasures.org/"} +{"d:Title": "Miami Design Preservation League", "d:Description": "Protects, preserves and promotes the famed Art Deco District in Miami Beach, Florida. Events, architectural tours, Art Deco Museum.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.mdpl.org/"} +{"d:Title": "The Dutch Barn Preservation Society", "d:Description": "A not-for-profit educational organization for the study and preservation of New World Dutch barns. Prints a newsletter twice a year with the latest findings on Dutch barns.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.dutchbarns.org/"} +{"d:Title": "Connecticut Trust for Historic Preservation", "d:Description": "Dedicated to preserving the state's historic architecture, streetscapes, urban neighborhoods and country landscapes. Photographs and details of endangered historic properties. News, events.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.cttrust.org/"} +{"d:Title": "National Society for the Preservation of Covered Bridges", "d:Description": "This American non-profit society publishes the World Guide to Covered Bridges, a quarterly magazine and a newsletter, excerpts from which are online.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.vermontbridges.com/nspcb1st.htm"} +{"d:Title": "The Preservation Resource Center", "d:Description": "Founded in 1974, the Preservation Resource Center is a non-profit organization dedicated to the preservation of historic architecture and neighborhoods of New Orleans.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.prcno.org/"} +{"d:Title": "Society for the Preservation of Old Mills", "d:Description": "A non-profit organization. Aims, board, publications, conference, event calendar, grants for repair and research, regional chapters, links.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.spoom.org/"} +{"d:Title": "Alameda Architectural Preservation Society", "d:Description": "Dedicated to preserving the architectural legacy of Alameda, California. Articles, events, officers.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.alameda-preservation.org/"} +{"d:Title": "California Preservation Foundation", "d:Description": "Non-profit organization dedicated to the preservation and enhancement of California's historic built environment. Aims, membership, event calendar, publications, case studies.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.californiapreservation.org/"} +{"d:Title": "Florida Trust For Historic Preservation", "d:Description": "Aims to promote and preserve architectural, historical, and archaeological heritage. Conferences, workshops, publications and tours of preserved historical sites.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.floridatrust.org/"} +{"d:Title": "New York Landmarks Conservancy", "d:Description": "An organization which helps owners of older buildings by providing grants, low-interest loans, hands-on consulting services, workshops, and publications.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.nylandmarks.org/"} +{"d:Title": "Preservation Society of Newport County", "d:Description": "Non-profit organization which saved and opened to the public the summer homes of the 19th-century New York elite. Visitor information, events, news, membership.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://newportmansions.org/"} +{"d:Title": "San Antonio Conservation Society", "d:Description": "Preserving San Antonio heritage for future generations of South Texans. Learn about the society - the goals, the projects, the leaders, and the related sites.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.saconservation.org/"} +{"d:Title": "The American Cultural Resources Association", "d:Description": "A trade association for cultural resources consulting firms, promoting the business of archaeology, history, historic preservation, architectural history.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://acra-crm.org/"} +{"d:Title": "Merritt Parkway Conservancy", "d:Description": "A program of the Connecticut Trust for Historic Preservation which aims to revitalize the Merritt Parkway. Mission, Steering Committee, programs, Merritt Parkway history.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://merrittparkway.org/"} +{"d:Title": "New Jersey Historic Preservation Office", "d:Description": "Official website with information and technical assistance for the identification, protection, and preservation of architectural and archaeological resources.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.state.nj.us/dep/hpo"} +{"d:Title": "Idaho Heritage Trust", "d:Description": "Funded in 1989 by members of the Idaho Centennial Foundation. Gallery of projects throughout the state. Grant application (requires Adobe Acrobat Reader.)", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.idahoheritage.org/"} +{"d:Title": "Landmarks Preservation Council of Illinois", "d:Description": "Statewide not-for-profit membership organization dedicated to the preservation of Illinois's architectural and historic resources. Membership, events, programs, current issues.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.landmarks.org/"} +{"d:Title": "Pennsylvania Historical and Museum Commission", "d:Description": "Operates the state archives and historic sites and museums throughout Pennsylvania and administers historic preservation programs.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.phmc.state.pa.us/"} +{"d:Title": "Dayton Lane Historic Area Inc.", "d:Description": "An association for the preservation of the historic buildings of this area of Hamilton, Ohio. Gallery, membership, activities, events.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.historicdaytonlane.org/"} +{"d:Title": "Preservation Burlington", "d:Description": "An organization that seeks to improve the livability of neighborhoods and to preserve the architectural, historic, aesthetic, and economic vitality of Burlington, Vermont.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.preservationburlington.org/"} +{"d:Title": "Partners for Sacred Places", "d:Description": "Non-denominational nonprofit organization focused on caring for and making good use of older and historic religious properties. Includes information on places at risk extensive advice and case histories on maintenance techniques, fundraising, and preservation.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.sacredplaces.org/"} +{"d:Title": "Friends of Historic Preservation, Iowa City, Iowa", "d:Description": "A non-profit organization dedicated to the preservation of historic buildings in Iowa City and Johnson County, Iowa. Directors, projects, events, newsletters.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.ic-fhp.org/"} +{"d:Title": "Historic Savannah Foundation", "d:Description": "A non-profit organization aiming to preserve the architectural heritage and original plan of this city in Georgia. History, neighborhood guide, events, board of trustees, staff, how to join.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.historicsavannahfoundation.org/"} +{"d:Title": "Memphis Heritage", "d:Description": "The non-profit historic preservation society for Memphis and Shelby County, Tennessee. Concerns, projects and successes, events, old postcards, preservation listings, roundtable forums.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.memphisheritage.org/"} +{"d:Title": "The Turner Foundation", "d:Description": "In Springfield, Ohio includes historic preservation among its mandates.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.hmturnerfoundation.org/"} +{"d:Title": "Mosaic Templars Building Preservation Society", "d:Description": "Celebrates Black achievement in Arkansas and seeks to preserve African American history, heritage, organizations and enterprises in Little Rock's downtown business district on Ninth Street and Broadway.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.mosaictemplarspreservation.org/"} +{"d:Title": "Grand Canyon Historical Society", "d:Description": "A non-profit corporation for the study and preservation of historical sites, photographs and artifacts of Grand Canyon and surrounding areas; and restoration of sites and buildings at Grand Canyon National Park.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.grandcanyonhistory.org/"} +{"d:Title": "Mojave Desert Heritage and Cultural Association", "d:Description": "A nonprofit volunteer organization devoted to preserving the natural and cultural history of the Mojave Desert regions.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://mdhca.org/"} +{"d:Title": "Oracle Historical Society", "d:Description": "Dedicated to the restoration and preservation of historic buildings and artifacts that reflect the history of Oracle, Arizona.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.oraclehistoricalsociety.org/"} +{"d:Title": "Old Island Restoration Foundation", "d:Description": "Dedicated to preserving the architectural and cultural history of Key West Florida via the Oldest House Museum, Mallory Square Museum, grants and scholarships. Details of museums, programs and renting museum gardens for events.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.oirf.org/"} +{"d:Title": "New York Preservation Archive Project", "d:Description": "Aims to protect the endangered narratives of historic preservation in New York through public programs, outreach, celebration and the creation of public access to information.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.nypap.org/"} +{"d:Title": "The League of Historical Societies of New Jersey", "d:Description": "Supports the preservation of history in the state of New Jersey.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://lhsnj.org/"} +{"d:Title": "Hartford Preservation Alliance", "d:Description": "Nonprofit organization that promotes, preserves and protects historical architecture in Hartford, Connecticut.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.hartfordpreservation.org/"} +{"d:Title": "Advisory Council on Historic Preservation", "d:Description": "Promotes protection and enhancement of America's historical resources.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.achp.gov/"} +{"d:Title": "The Boston Preservation Alliance", "d:Description": "Collective of over 50 organizations and individuals who advocate the preservation of Boston's historic buildings, communities and landscapes.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.bostonpreservation.org/"} +{"d:Title": "National Conference of State Historic Preservation Officers (NCSHPO)", "d:Description": "Professional association of the State government officials who carry out the national historic preservation program. Includes news, preservation issues and meeting information.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.ncshpo.org/"} +{"d:Title": "Historic New England", "d:Description": "Aims to preserve, interpret and collect buildings, landscapes and objects reflecting New England life from the 17th century to the present. It owns and operates 35 historic properties.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.historicnewengland.org/"} +{"d:Title": "ARCH", "d:Description": "Fort Wayne, Indiana's not-for-profit historic preservation organization dedicated to the preservation of historic structures.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.archfw.org/"} +{"d:Title": "Providence Preservation Society", "d:Description": "A non-profit, advocacy organization, offering historic house, garden and walking tours of Providence, Rhode Island, as well as technical advice to owners of historic buildings.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.ppsri.org/"} +{"d:Title": "Preservation Alliance of West Virginia", "d:Description": "Statewide grassroots organization dedicated to the support and promotion of historic preservation.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.pawv.org/"} +{"d:Title": "Palm Beach Preservation Foundation", "d:Description": "A private, nonprofit membership organization dedicated to the preservation of the historic architecture and cultural heritage of Palm Beach, Florida. Mission, activities and events.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.palmbeachpreservation.org/"} +{"d:Title": "The Preservation Education Institute", "d:Description": "Offers workshops in Vermont, US, to lay people and professionals pertaining to historic restoration techniques and technology, as well as modern alternatives.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.preservationworks.org/"} +{"d:Title": "New Jersey Lighthouse Society", "d:Description": "A non-profit, educational corporation dedicated to the history and preservation of lighthouses, particularly in the New Jersey, Delaware Bay and New York Harbor areas.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.njlhs.org/"} +{"d:Title": "Historic Fredericksburg Foundation", "d:Description": "Aims to preserve, protect and revitalize the distinctive historic environment of the Fredericksburg area. Aims and activities, events and tours, projects, newsletter and board of directories.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.hffi.org/"} +{"d:Title": "National Park Service: Preservation", "d:Description": "Explains the preservation work of the service in America\u2019s nearly 400 national parks, including the Historic American Buildings Survey.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.nps.gov/history/preservation.htm"} +{"d:Title": "Yellowstone Historic Preservation Board", "d:Description": "Promotes, preserves and protects the heritage and historic architecture of Yellowstone County, Montana. Includes projects, programs, virtual tours and photographs of National Register sites.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.yhpb.org/"} +{"d:Title": "San Buenaventura Conservancy", "d:Description": "Historic preservation organization aiming to protect endangered historic buildings in Ventura, California. Explains its activities and includes photographs and descriptions of historically and architecturally significant buildings.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.sbconservancy.org/"} +{"d:Title": "Goodsprings Historical Society", "d:Description": "Aims to preserve the historical and natural resources of the Goodsprings Township in southern Clark County, Nevada, by educating the public about its environment, archeology and history.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://goodsprings.org/"} +{"d:Title": "Historic City of Jefferson", "d:Description": "Dedicated to the preservation and rehabilitation of historic structures in Jefferson City MO. Officers, projects, activities and heritage fund.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.historiccityofjefferson.org/"} +{"d:Title": "National Trust for Historic Preservation", "d:Description": "Preservation information, features, events and activism for America's historic places.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.preservationnation.org/"} +{"d:Title": "Cambridge Historical Commission", "d:Description": "Protects historic buildings in Cambridge, Massachusetts, marks historic sites, advises owners of historic buildings on preservation, researches and publishes on the city's architectural history.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.cambridgema.gov/Historic/"} +{"d:Title": "Association for Preservation Technologies Washington DC Chapter", "d:Description": "The local chapter of Association for Preservation Technology International. Newsletter, calendar, contacts.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://sites.google.com/site/aptdcorg/"} +{"d:Title": "Preservation Idaho", "d:Description": "The official website of the Idaho Historic Preservation Council, a non-profit organization to promote the preservation of Idaho's cultural and historical resources.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.preservationidaho.org/"} +{"d:Title": "Loudoun Restoration and Preservation Society", "d:Description": "LRPS devotes itself to preserving the best of the heritage of Loudoun County, Virginia. Annual August Court Days, historic plaques, grants, projects and membership.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.preserveloudoun.org/"} +{"d:Title": "Natchitoches Historic Foundation", "d:Description": "Aims to develop and improve the area\u2019s tourist attractions, and encourage historical research and preservation. Includes information on the oldest continually occupied settlement in the Louisiana Purchase Territory.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.historicfoundation.org/"} +{"d:Title": "Houston Mod", "d:Description": "A non-profit membership organization dedicated to promoting knowledge, appreciation and preservation of modern architecture and design in Houston and Texas. Mission, events, projects and news.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://houstonmod.net/"} +{"d:Title": "Eureka Heritage Society", "d:Description": "An organization dedicated to the preservation of the over 9000 historic homes in Eureka, California.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://eurekaheritage.com/"} +{"d:Title": "US ICOMOS", "d:Description": "The U.S. National Committee of the International Council on Monuments and Sites fosters heritage conservation and historic preservation.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.usicomos.org/"} +{"d:Title": "History Colorado", "d:Description": "Comprehensive information about the architectural patrimony of the State of Colorado. History, grant, styles, planning information.", "topic": "Top/Arts/Architecture/Preservation/Organizations/United_States", "url": "http://www.historycolorado.org/"} +{"d:Title": "The Westcott House Foundation", "d:Description": "Preserving a house built around 1904 and designed by Frank Lloyd Wright. Mission, biography of Wright, the history and restoration of the house, features and links.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.westcotthouse.org/"} +{"d:Title": "Conserving Tibetan Monasteries", "d:Description": "The China Exploration and Research Society and Kham Aid Foundation provide information on their project to repair Buddhist monasteries and their mural paintings.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.asianart.com/cers/"} +{"d:Title": "The Read House", "d:Description": "This Federal style house in Illinois, built in 1847, needs to be saved. Owners Wade and Tara Scharlau present its history and solicit donations towards its preservation.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.ourschoolbytes.com/ReadHouse"} +{"d:Title": "Bonsall Field Barn Project", "d:Description": "Project dedicated to the conservation and rebuilding of approximately 120 ancient field barns on Bonsall Moor, Derbyshire, England.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.bonsallfieldbarnproject.org/"} +{"d:Title": "Church House, South Tawton, Devon", "d:Description": "Follows the restoration project on this 15th-century community building. Also gives details of facilities provided for educational visits, exhibitions, and other communal events.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.thechurchhouse.org.uk/"} +{"d:Title": "Historic Lawrence Barn", "d:Description": "The Lawrence Barn in Hollis, New Hampshire, is a double English-style timber-framed barn with yet a third addition, one of perhaps a half-dozen still remaining in New England. It is to be reconstructed as community center.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://lawrencebarn.tripod.com/"} +{"d:Title": "The McPike Mansion", "d:Description": "House in Alton, Illinois, built in 1869 to designs by Lucas Pfeiffenberger. History, restoration progress, paranormal claims, events, media mentions and visitor information.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.mcpikemansion.com/"} +{"d:Title": "Marmaduke Forster House", "d:Description": "This Victorian house in Pleasantville , NY, was restored and revived to become an award-winning office space. Includes history and images.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.marmadukeforsterhouse.com/"} +{"d:Title": "Harris and Pearson Office Buildings", "d:Description": "Restoration project of the office buildings of the former Harris and Pearson Brickworks in Brettell Lane, Brierley Hill, West Midlands. Includes recollections of former workers.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.harrisandpearson.info/"} +{"d:Title": "Our Victorian House", "d:Description": "Restoration and renovation of a Victorian house in Kirkcaldy, Scotland.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.victorian-house.com/"} +{"d:Title": "Copped Hall Trust", "d:Description": "Seeks to restore what is at present the burnt out shell of a fine Georgian mansion in Essex, England. Details of the trust, history, education and future.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.coppedhalltrust.org.uk/"} +{"d:Title": "Deutsche Welle: Celebrating Dresden's Frauenkirche", "d:Description": "Destroyed during the final months of World War II, Dresden's Baroque Church of Our Lady has been rebuilt to its former glory during the past decade, serving as a symbol of reconciliation in reunited Germany.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.dw.com/en/celebrating-dresdens-frauenkirche/a-1754012"} +{"d:Title": "The Acroplis Restoration Project", "d:Description": "The restoration of the ancient monuments on the hill in the heart of Athens, Greece. An illustrated description of the damage and destruction suffered by the monuments, methods of intervention and progress reports.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.acropolisofathens.gr/aoa/the-acropolis/restoration/"} +{"d:Title": "Chicago Historic Landmark", "d:Description": "Aims to preserve the neo-classical Church of Shrine of Christ the King in Chicago. Includes a history, description of its present state, details of the restoration plans, and how to donate.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://shrinelandmark.org/"} +{"d:Title": "The Winsford Trust", "d:Description": "Aims to raise funds to restore the Winsford Cottage Hospital, which is an arts and crafts building in Devon, England, designed by Charles F. A. Voysey. Includes photographs and original plans.", "topic": "Top/Arts/Architecture/Preservation/Projects", "url": "http://www.winsfordtrust.com/"} +{"d:Title": "Andres Blaisten Museum", "d:Description": "Masterpieces of Mexican Art from the XIX and XX Centuries from private collections.", "topic": "Top/Arts/Art_History", "url": "http://www.museoblaisten.com/"} +{"d:Title": "L\u00fcbeck's Dance of Death", "d:Description": "Dances of Death in L\u00fcbeck, Tallinn, Berlin and Denmark. Pictures, books and primary sources. Several books are reproduced.", "topic": "Top/Arts/Art_History", "url": "http://www.dodedans.com/"} +{"d:Title": "ARC International - The Art Renewal Center", "d:Description": "Offering biographical information and high-resolution images of many paintings from the great masters of the 19th century.", "topic": "Top/Arts/Art_History", "url": "http://www.artrenewal.org/"} +{"d:Title": "Art History with Michelli", "d:Description": "The Art Browser divides categories of resources by time period and their movements.", "topic": "Top/Arts/Art_History", "url": "http://www.plinia.net/"} +{"d:Title": "About.com: Art History", "d:Description": "Online community and resource guide for the artists and art periods of the past.", "topic": "Top/Arts/Art_History", "url": "http://arthistory.about.com/"} +{"d:Title": "WebMuseum: Famous Paintings", "d:Description": "Offers images and historical context for artists and art movements ranging from Gothic and Renaissance to Impressionism and Pop.", "topic": "Top/Arts/Art_History", "url": "http://www.ibiblio.org/wm/paint/"} +{"d:Title": "Delineavit et Sculpsit", "d:Description": "The Internet stepping stone for all those interested in Old Master prints and drawings: publications, events, links to the world's main print rooms, and the periodical Delineavit et Sculpsit.", "topic": "Top/Arts/Art_History", "url": "http://www.delineavit.nl/"} +{"d:Title": "Christian Art and Artists from Late Medieval to Modern", "d:Description": "Examples illustrating the development of Christian art, from the Christian Traveler's Guides.", "topic": "Top/Arts/Art_History", "url": "http://www.christian-travelers-guides.com/art/christian2.html"} +{"d:Title": "Mexican Masks", "d:Description": "Collection of authentic danced masks from Mexico and Guatemala with discussions and comments on the art of collecting and other curious observations. Antique masks, patination techniques, insect infestation, techniques of collecting.", "topic": "Top/Arts/Art_History", "url": "http://www.mexicanmasks.us/"} +{"d:Title": "Mona Lisa Images for a Modern World", "d:Description": "A site exploring the enduring fascination of the Mona Lisa, including history, parodies, homages and comments from site visitors.", "topic": "Top/Arts/Art_History", "url": "http://www.studiolo.org/Mona/MONALIST.htm"} +{"d:Title": "Art Historians' Guide to the Movies", "d:Description": "A record by Craig Eliason of appearances of and references to famous works of art and architecture in the movies, arranged by period.", "topic": "Top/Arts/Art_History", "url": "http://personal.stthomas.edu/cdeliason/ahgttm.htm"} +{"d:Title": "Art in the Picture.com", "d:Description": "Offers an introduction to visual art history. Famous artist's galleries and biographies, movements, major museums.", "topic": "Top/Arts/Art_History", "url": "http://www.artinthepicture.com/"} +{"d:Title": "Artists and Ancestors", "d:Description": "Exhibition of miniature portraits from XVIII - XX century, information about artists and sitters.", "topic": "Top/Arts/Art_History", "url": "http://portrait-miniature.blogspot.com/"} +{"d:Title": "Mark Harden's Artchive", "d:Description": "An art archive with thousands of images that are accessed through an alphabetical list of artist's names and art styles. Covers every style of art from ancient and African to impressionist and modern.", "topic": "Top/Arts/Art_History", "url": "http://www.artchive.com/artchive/artists.html"} +{"d:Title": "Sister Wendy's American Collection", "d:Description": "From PBS - Sister Wendy Beckett, nun and art commentator, takes viewers on a tour through six of America's greatest art museums.", "topic": "Top/Arts/Art_History", "url": "http://www.pbs.org/wgbh/sisterwendy/"} +{"d:Title": "Resources in Art History for Graduate Students", "d:Description": "This newsletter lists grants, fellowships, internships, conferences, publication and study abroad opportunities for graduate students in art history and closely related areas.", "topic": "Top/Arts/Art_History", "url": "http://members.efn.org/~acd/resources.html"} +{"d:Title": "The Getty Provenance Index", "d:Description": "Collects and disseminates information related to the history of collecting and the provenance of individual works of art.", "topic": "Top/Arts/Art_History", "url": "http://www.getty.edu/research/tools/provenance/"} +{"d:Title": "World Art Treasures", "d:Description": "Based on the Jacques-Edouard Berger collection of images of art objects and essays devoted to the main civilizations, such as Egypt, China, Japan, India, Europe.", "topic": "Top/Arts/Art_History", "url": "http://www.jebfoundation.ch/"} +{"d:Title": "ARC International - The Art Renewal Center", "d:Description": "Offering biographical information and high-resolution images of many paintings from the great masters of the 19th century.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.artrenewal.org/"} +{"d:Title": "AskART.com Artist Reference", "d:Description": "Information on American and international artists including bodies of work, valuation and appraisal techniques, auction records, publications, and artists representatives as well as biographies, literature and museum information for American painters and sculptors.", "topic": "Top/Arts/Art_History/Artists", "url": "http://askart.com/AskART/index.aspx"} +{"d:Title": "WetCanvas: Virtual Museum", "d:Description": "A true virtual museum, complete with images, stories, and biographical notes on the great masters.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.wetcanvas.com/Museum/"} +{"d:Title": "Olga's Gallery", "d:Description": "Large collection of images of the works of many artists, with biographies and historical comments. Sorted by alphabet, topic, movement, and nationality/country. Offers a newsletter, and archives are available.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.abcgallery.com/"} +{"d:Title": "Artquizz", "d:Description": "Test your knowledge of all periods of Art, painters and painting with quizzes, games and puzzles. Site aimed for all levels of knowledge, including children pages. Visitors are invited to participate in the making of new pages, in English and in French.", "topic": "Top/Arts/Art_History/Artists", "url": "http://quizzart.free.fr/"} +{"d:Title": "The Smart-Ass Guide to Art", "d:Description": "Offers humorous biographical notes on artists such as Giotto, Artemisia Gentileschi, Franz Hals, Picasso.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.incompetech.com/art/"} +{"d:Title": "Distinguished Artists Series", "d:Description": "Distinguished artists, including an alphabetical index of over 2,000 deceased American artists, as provided by Traditional Fine Arts Online organization.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.tfaoi.com/distingu/alvarez.htm"} +{"d:Title": "Women Artists in History", "d:Description": "Showcase of women artists down through the centuries.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.wendy.com/women/artists.html"} +{"d:Title": "Giorgio Vasari - The Lives of the Artists", "d:Description": "An illustrated companion to Vasari's Lives, with associated text.", "topic": "Top/Arts/Art_History/Artists", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/"} +{"d:Title": "Web Gallery of Art", "d:Description": "A virtual museum and searchable database of European painting and sculpture of the Gothic, Renaissance and Baroque periods (1200-1700), currently containing over 6,500 reproductions. Biographies, commentaries, and guided tours.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.wga.hu/"} +{"d:Title": "Loggia: Artists", "d:Description": "'Artists at a Glance' features a select group of some of the most important artists who have shaped the history of art.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.loggia.com/art/artists/index.html"} +{"d:Title": "The National Gallery", "d:Description": "Presents a wide list in alphabetic order providing biographies and images of their artwork. Includes historical background information on each artwork.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.nationalgallery.org.uk/artists/"} +{"d:Title": "The Athenaeum", "d:Description": "Displays over 3,000 artists listed by name, in ascending order showing their artworks in high detail images.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.the-athenaeum.org/people/list.php"} +{"d:Title": "Tate Collection", "d:Description": "Features comprehensive art collections found through artists\u2019 names and work titles. In addition, provides highlights, news and exhibitions.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.tate.org.uk/collection/"} +{"d:Title": "Artble", "d:Description": "Encyclopedia webpage featuring biographies, styles, artworks and critical receptions regarding worldwide famous artists.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.artble.com/"} +{"d:Title": "United States Senate Catalogue of Fine Art", "d:Description": "Senate document detailing the portraits and sculptures in the United States Senate.", "topic": "Top/Arts/Art_History/Artists", "url": "http://www.gpo.gov/fdsys/pkg/GPO-CDOC-107sdoc11/content-detail.html"} +{"d:Title": "Antoine, Otto (1865-1951)", "d:Description": "Features several paintings of the \"painter of Berlin\".", "topic": "Top/Arts/Art_History/Artists/A", "url": "http://www.ottoantoine.com/"} +{"d:Title": "Armfield, George", "d:Description": "Virtual exhibition featuring the works of the 19th century British Victorian artist who specialized in dog paintings. Includes a short biography on the artist and a selection of high resolution images.", "topic": "Top/Arts/Art_History/Artists/A", "url": "http://www.rehsgalleries.com/george_armfield_virtex.htm"} +{"d:Title": "Abakanowicz, Magdalena", "d:Description": "Learn about the contemporary Polish sculptor and the 'Abakanowicz on the Roof' exhibit displayed at The Metropolitan Museum of Art in 1999.", "topic": "Top/Arts/Art_History/Artists/A", "url": "http://www.metmuseum.org/press/exhibitions/1999/abakanowicz-on-the-roof"} +{"d:Title": "Olga's Gallery: Aivazovsky, Ivan", "d:Description": "Comprehensive collection of the works of the famous Russian artist and an original biography.", "topic": "Top/Arts/Art_History/Artists/A/Aivazovsky,_Ivan", "url": "http://www.abcgallery.com/A/aivazovsky/aivazovsky.html"} +{"d:Title": "Aivazovski, I. K.", "d:Description": "Biographical information and images of this 19th century Russian artist's work.", "topic": "Top/Arts/Art_History/Artists/A/Aivazovsky,_Ivan", "url": "http://www.ibiblio.org/sergei/Exs/Aivaz/ai.html"} +{"d:Title": "Wikipedia: Ivan Aivazovsky", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/A/Aivazovsky,_Ivan", "url": "http://en.wikipedia.org/wiki/Ivan_Aivazovsky"} +{"d:Title": "John White Alexander", "d:Description": "AskART.com's auction results, biographies, images and books regarding John White Alexander.", "topic": "Top/Arts/Art_History/Artists/A/Alexander,_John_White", "url": "http://www.askart.com/askart/artist.aspx?artist=22626"} +{"d:Title": "Wikipedia: John White Alexander", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/A/Alexander,_John_White", "url": "http://en.wikipedia.org/wiki/John_White_Alexander"} +{"d:Title": "ARC: John White Alexander", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/A/Alexander,_John_White", "url": "http://www.artrenewal.org/pages/artist.php?artistid=210"} +{"d:Title": "The Athenaeum: John White Alexander", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/A/Alexander,_John_White", "url": "http://the-athenaeum.org/art/list.php?m=a&s=tu&aid=478"} +{"d:Title": "Sir Lawrence Alma-Tadema - Olga's Gallery", "d:Description": "Comprehensive collection of the works of the famous artist and his biography", "topic": "Top/Arts/Art_History/Artists/A/Alma-Tadema,_Lawrence", "url": "http://www.abcgallery.com/A/almatadema/alma.html"} +{"d:Title": "Humanities Web - Alma-Tadema", "d:Description": "Biography and selected works of this popular Victorian artist", "topic": "Top/Arts/Art_History/Artists/A/Alma-Tadema,_Lawrence", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=i&ID=0"} +{"d:Title": "Wikipedia: Lawrence Alma-Tadema", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/A/Alma-Tadema,_Lawrence", "url": "http://en.wikipedia.org/wiki/Lawrence_Alma-Tadema"} +{"d:Title": "CGFA: Sir Lawrence Alma-Tadema", "d:Description": "Collection of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/A/Alma-Tadema,_Lawrence", "url": "http://sunsite.icm.edu.pl/cjackson/alma/index.html"} +{"d:Title": "Biography of Sir Lawrence Alma-Tadema", "d:Description": "ARC image archive provides biographical information written by Professor Vern Swanson, an expert on this artist. Site also includes a selection of images.", "topic": "Top/Arts/Art_History/Artists/A/Alma-Tadema,_Lawrence", "url": "http://www.artrenewal.org/articles/2001/Alma-Tadema/tadema1.php"} +{"d:Title": "Web Gallery of Art: Altdorfer, Albrecht", "d:Description": "Virtual gallery of artist's paintings and a biography.", "topic": "Top/Arts/Art_History/Artists/A/Altdorfer,_Albrecht", "url": "http://www.wga.hu/frames-e.html?/html/a/altdorfe/index.html"} +{"d:Title": "WebMuseum: Altdorfer, Albrecht", "d:Description": "Artist's biography and a collection of paintings.", "topic": "Top/Arts/Art_History/Artists/A/Altdorfer,_Albrecht", "url": "http://www.ibiblio.org/wm/paint/auth/altdorfer/"} +{"d:Title": "Olga's Gallery - Albrecht Altdorfer", "d:Description": "Collection of images of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/A/Altdorfer,_Albrecht", "url": "http://www.abcgallery.com/A/altdorfer/altdorfer.html"} +{"d:Title": "Albrecht Altdorfer at NGA", "d:Description": "Artist's biography and collection of paintings.", "topic": "Top/Arts/Art_History/Artists/A/Altdorfer,_Albrecht", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=250&imageset=1"} +{"d:Title": "ARC: Albrecht Altdorfer (1480-1538)", "d:Description": "Collection of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/A/Altdorfer,_Albrecht", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2"} +{"d:Title": "Art and Illusion - Guiseppe Arcimboldo", "d:Description": "Artist's biography and gallery of images of his paintings.", "topic": "Top/Arts/Art_History/Artists/A/Arcimboldo,_Giuseppe", "url": "http://www.sandlotscience.com/EyeonIllusions/Arcimboldo.htm"} +{"d:Title": "Artcyclopedia: Giuseppe Arcimboldo on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/A/Arcimboldo,_Giuseppe", "url": "http://www.artcyclopedia.com/artists/arcimboldo_giuseppe.html"} +{"d:Title": "Giuseppe Arcimboldo - Olga's Gallery", "d:Description": "Comprehensive collection of images of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/A/Arcimboldo,_Giuseppe", "url": "http://www.abcgallery.com/A/arcimboldo/arcimboldo.html"} +{"d:Title": "Tigertail Virtual Museum: Giuseppe Arcimbaldo", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/A/Arcimboldo,_Giuseppe", "url": "http://tigtail.org/TIG/TVM/X1/c.Mannerism/arcimboldo/arcimboldo.html"} +{"d:Title": "Web Gallery of Art: Giuseppe Arcimboldo", "d:Description": "Image gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/A/Arcimboldo,_Giuseppe", "url": "http://www.wga.hu/frames-e.html?/html/a/arcimbol/"} +{"d:Title": "Beer, Dick", "d:Description": "Displays the works of one of the Swedish masters of Neo-impressionism and C\u00e9zanne-style cubism. [English and French]", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.dickbeer.org/"} +{"d:Title": "Brainard, Joe", "d:Description": "The official site concerning the life and work of the 20th century visual artist.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.joebrainard.org/"} +{"d:Title": "Life of an Artist: Fra Bartolommeo", "d:Description": "Biography of the Florentine painter as recorded by Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.artist-biography.info/artist/fra_bartolommeo/"} +{"d:Title": "Boddington, Henry John", "d:Description": "Biographical information on the Victorian landscape artist (1811-1865). Site also includes a selection of high-resolution images of his work.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.rehsgalleries.com/henry_john_boddington_virtex.htm"} +{"d:Title": "Bluhm, Norman (1921-1999)", "d:Description": "American Abstract Expressionist painter. Biography, reproductions, exhibitions, essays, chronology, bibliography and publications.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.normanbluhm.com/"} +{"d:Title": "Brooker, Harry", "d:Description": "Includes a selection of paintings depicting domestic Victorian scenes often involving children at play, biographical information and resources on Victorian art.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.harrybrooker.org.uk/"} +{"d:Title": "Burkhardt, Hans", "d:Description": "Biographical information and a selection of images for this leading artist of the Modernism movement.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.caldwellgallery.com/bios/burkhardtbio.html"} +{"d:Title": "Brumidi, Constantino", "d:Description": "Document describing the life and art of the Italian-American artist.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.access.gpo.gov/congress/senate/brumidi/index.html"} +{"d:Title": "Bulman, Orville (1905-1978)", "d:Description": "Information about the American artist including a biography, details about buying and selling his work, and images of his paintings.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.orvillebulman.com/"} +{"d:Title": "Brewster, Anna Richards", "d:Description": "Early 20th century Impressionist style. Includes biography, excerpts from her letters, and sample gallery of her works.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.arbrewster.com/"} +{"d:Title": "Brunelleschi, Filippo (1377 - 1446)", "d:Description": "Brief introduction to the life of the Florentine Renaissance architect, sculptor and painter.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.wga.hu/frames-e.html?/bio/b/brunelle/biograph.html"} +{"d:Title": "Benoist, Marie-Guilhelmine - NCAW", "d:Description": "James Smalls' scholarly article Slavery is a Woman: \"Race,\" Gender, and Visuality in Marie Benoist's Portrait d'une n\u00e9gresse (1800).", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.19thc-artworldwide.org/index.php/component/content/article/70-spring04article/286-slavery-is-a-woman-race-gender-and-visuality-in-marie-benoists-portrait-dune-negresse-1800"} +{"d:Title": "Bogart, George A (1933-2005)", "d:Description": "Abstract painter and professor of art at the University of Oklahoma. Includes biography and portfolio.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://georgebogart.net/"} +{"d:Title": "Brownscombe, Jennie Augusta", "d:Description": "Information on the American painter, presented by the National Museum of Women in the Arts. Includes a brief biographical sketch of Brownscombe, a selected bibliography of related monographs and articles, and the image of \"Love's Young Dream.\"", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://www.nmwa.org/explore/artist-profiles/jennie-augusta-brownscombe"} +{"d:Title": "Bloom, Hyman (1913-2009)", "d:Description": "Educational site about the work and life of Boston artist Hyman Bloom. Bloom was a highly regarded artist whom Pollack and De Kooning considered to be America\u2019s first abstract expressionist.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://hymanbloominfo.org/"} +{"d:Title": "Butler, Theodore Earl - Catalogue Raisonne", "d:Description": "This project is done with the collaboration and support of the descendant of artists' family.", "topic": "Top/Arts/Art_History/Artists/B", "url": "http://artgiverny.com/?q=en/content/official-catalog-raisonn%C3%A9"} +{"d:Title": "Queer Arts: Francis Bacon", "d:Description": "Selection of paintings, biography, and information about the life of the artist.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.queer-arts.org/bacon/bacon.html"} +{"d:Title": "Well Furlong: Francis Bacon", "d:Description": "An appreciation of Bacon, a chronology and list of recommended books on the artist.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://wellfurlong.co.uk/art/bacon.htm"} +{"d:Title": "Francis Bacon - Changing the Face of Portraiture", "d:Description": "Biographical chronology, key works, recommended readings, and quotations from Humanities Web.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=275"} +{"d:Title": "Wikipedia: Francis Bacon (1561 - 1626)", "d:Description": "Encyclopedia article featuring a comprehensive biography, philosophy, influence and artworks.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://en.wikipedia.org/wiki/Francis_Bacon"} +{"d:Title": "Artchive: Francis Bacon", "d:Description": "Biography and 12 examples of Bacon's art.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.artchive.com/artchive/B/bacon.html"} +{"d:Title": "Francis Bacon Studio", "d:Description": "Relocated studio of the artist at the Hugh Lane Gallery in Dublin. Includes a selection of paintings, biographical information, photographs and details of the studio.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.hughlane.ie/history-of-studio-relocation"} +{"d:Title": "The Grave of Francis Bacon", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=21647"} +{"d:Title": "The Art Institute of Chicago: Francis Bacon", "d:Description": "Analysis on one of his masterpieces \"Figure with Meat\".", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.artic.edu/aic/collections/artwork/4884?search_id=1"} +{"d:Title": "Baconianism", "d:Description": "Document regarding the Baconian method done by Francis Bacon.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://xtf.lib.virginia.edu/xtf/view?docId=DicHist/uvaBook/tei/DicHist1.xml;chunk.id=dv1-25;toc.depth=1;toc.id=dv1-25;brand=default"} +{"d:Title": "Stanford Encyclopedia of Philosophy", "d:Description": "Essay providing a comprehensive biography, natural philosophy and scientific methods regarding Francis Bacon.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://plato.stanford.edu/entries/francis-bacon/"} +{"d:Title": "Guggenheim: Francis Bacon", "d:Description": "Analysis of his paintings \"Three Studies for a Crucifixion\" and \"Study for Chimpanzee\".", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/800/Francis%20Bacon"} +{"d:Title": "MoMA The Collection: Francis Bacon", "d:Description": "Provides a brief biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Bacon,_Francis", "url": "http://www.moma.org/collection/artists/272"} +{"d:Title": "Artcyclopedia: Antoine-Louis Barye", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.artcyclopedia.com/artists/barye_antoine-louis.html"} +{"d:Title": "The Bronze Gallery: Antoine Louis Barye", "d:Description": "Biography, recommended reading, and photos.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://bronze-gallery.com/sculptors/artist.cfm?sculptorID=4"} +{"d:Title": "Web Gallery of Art: Barye, Antoine-Louis", "d:Description": "Image gallery of artist's works and a short biography.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.wga.hu/frames-e.html?/html/b/barye/index.html"} +{"d:Title": "The Grave of Antoine Louis Barye", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7833"} +{"d:Title": "ARC: Antoine-Louis Barye", "d:Description": "Provides images of the French sculptor's work.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.artrenewal.org/pages/artist.php?artistid=266"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of Barye's artwork called 'Pair of Candelabra'.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.metmuseum.org/toah/works-of-art/10.108.1a,b"} +{"d:Title": "Rehs Gallery: Antoine-Louis Barye", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.rehsgalleries.com/Antoine-Louis_Barye_Bio.html"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Gallery regarding Barye's work, including medium and size on each painting.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.artic.edu/aic/collections/artwork/artist/Barye,+Antoine+Louis"} +{"d:Title": "National Gallery of Art: Antoine-Louis Barye", "d:Description": "Biography and works of art.", "topic": "Top/Arts/Art_History/Artists/B/Barye,_Antoine_Louis", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.39083.html"} +{"d:Title": "Basquiat, Jean-Michel", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this artist.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.askart.com/askart/b/jeanmichel_basquiat/jeanmichel_basquiat.aspx"} +{"d:Title": "Wikipedia: Jean-Michel Basquiat (1960 - 1988)", "d:Description": "Article featuring his early life, career and legacy regarding the American artist.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://en.wikipedia.org/wiki/Jean-Michel_Basquiat"} +{"d:Title": "The Grave of Jean-Michel Basquiat", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3360"} +{"d:Title": "Artchive - Jean-Michel Basquiat", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.artchive.com/artchive/B/basquiat.html"} +{"d:Title": "Brooklyn Museum Exhibitions: Basquiat", "d:Description": "Compendium of the American artist's work, including a biography and his connection to modernism.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.brooklynmuseum.org/exhibitions/basquiat/"} +{"d:Title": "Driving Mr. Basquiat", "d:Description": "Article focused on Basquiat personal life elaborated by John Seed.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.huffingtonpost.com/john-seed/driving-mr-basquiat_b_658553.html"} +{"d:Title": "Interview with Jean-Michel Basquiat", "d:Description": "Interview conducted by Marc H. Miller. Video is available.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://98bowery.com/returntothebowery/art-new-york-jean-michel-basquiat.php"} +{"d:Title": "The Athenaeum - Jean-Michel Basquiat", "d:Description": "Features a total of 42 paintings of the American painter in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=390"} +{"d:Title": "Artnet: Jean-Michel Basquiat", "d:Description": "View images of available artworks, biography, and exhibitions for this American artist.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.artnet.com/artists/jean-michel-basquiat/"} +{"d:Title": "Emory University: Basquiat, Jean-Michel", "d:Description": "Small selection of paintings, biography, and links to more information about the artist.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://postcolonialstudies.emory.edu/jean-michel-basquiat/"} +{"d:Title": "MoMA The Collection: Jean-Michel Basquiat", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Basquiat,_Jean-Michel", "url": "http://www.moma.org/collection/artists/370"} +{"d:Title": "Olga's Gallery: Fr\u00e9d\u00e9ric Bazille", "d:Description": "Features a collection of images of his works with a biography.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.abcgallery.com/B/bazzile/bazzile.html"} +{"d:Title": "WebMuseum: Fr\u00e9d\u00e9ric Bazille", "d:Description": "Brief biography and two paintings of early French impressionist.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.ibiblio.org/wm/paint/auth/bazille/"} +{"d:Title": "MyStudios: Fr\u00e9d\u00e9ric Bazille", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.mystudios.com/art/impress/bazille/bazille.html"} +{"d:Title": "Frederic Bazille - A Tragic Story", "d:Description": "Biography focused on his career, friends and early death.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.wetcanvas.com/Museum/Artists/b/Frederic_Bazille/index.html"} +{"d:Title": "Artcyclopedia: Frederic Bazille", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.artcyclopedia.com/artists/bazille_frederic.html"} +{"d:Title": "Wikipedia: Fr\u00e9d\u00e9ric Bazille (1841 - 1870)", "d:Description": "Article featuring a biography, main works and a gallery regarding the French Impressionist painter.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://en.wikipedia.org/wiki/Fr%C3%A9d%C3%A9ric_Bazille"} +{"d:Title": "ARC: Frederic Bazille", "d:Description": "Provides images of the French painter's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.artrenewal.org/pages/artist.php?artistid=276"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows Bazille's style by analyzing one of his works 'Porte de la Reine at Aigues-Mortes'.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.metmuseum.org/toah/works-of-art/1988.221"} +{"d:Title": "Artchive - Frederic Bazille", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.artchive.com/artchive/B/bazille.html"} +{"d:Title": "National Gallery of Art", "d:Description": "Analysis on one of Bazille's artwork called \"Young Woman with Peonies\".", "topic": "Top/Arts/Art_History/Artists/B/Bazille,_Fr\u00e9d\u00e9ric", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.61356.html"} +{"d:Title": "Catholic Encyclopedia: Aubrey Beardsley", "d:Description": "Biography of the daring English illustrator.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://www.newadvent.org/cathen/02363a.htm"} +{"d:Title": "Wikipedia: Aubrey Beardsley (1872 - 1898)", "d:Description": "Biographical summary of the English illustrator with information on his life, work and proper bibliography.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://en.wikipedia.org/wiki/Aubrey_Beardsley"} +{"d:Title": "The Grave of Aubrey Beardsley", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2971"} +{"d:Title": "ARC: Aubrey Beardsley", "d:Description": "Provides images of the English print-maker's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://www.artrenewal.org/pages/artist.php?artistid=1248"} +{"d:Title": "NNDB: Aubrey Beardsley", "d:Description": "Short biography regarding the English artist.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://www.nndb.com/people/209/000085951/"} +{"d:Title": "The Art Institute of Chicago: Aubrey Vincent Beardsley", "d:Description": "Provides a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://www.artic.edu/aic/collections/artwork/artist/Beardsley,+Aubrey+Vincent"} +{"d:Title": "Collection Tate: Aubrey Beardsley", "d:Description": "Provides a total of 8 artworks, including historical background information on most paintings.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://www.tate.org.uk/art/artists/aubrey-beardsley-716"} +{"d:Title": "MoMA The Collection: Aubrey Beardsley", "d:Description": "Provides a comprehensive biography including 2 images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://www.moma.org/collection/artists/413"} +{"d:Title": "Aubrey Beardsley Collection", "d:Description": "Brief biography and finding aid for this special collection of the artist's correspondence, at the University of Texas at Austin.", "topic": "Top/Arts/Art_History/Artists/B/Beardsley,_Aubrey", "url": "http://norman.hrc.utexas.edu/fasearch/findingaid.cfm?eadid=00010"} +{"d:Title": "Bellini Paintings", "d:Description": "Part of the Christus Rex Project - a virtual gallery of the artist's work.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.christusrex.org/www2/art/Bellini.htm"} +{"d:Title": "Life of an Artist: Jacopo, Giovanni, and Gentile Bellini", "d:Description": "Information on the life and works of the Bellini family of painters. Includes a gallery.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.artist-biography.info/artist/bellini/"} +{"d:Title": "Olga's Gallery: Giovanni Bellini", "d:Description": "Comprehensive collection of the artist's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.abcgallery.com/B/bellini/bellini.html"} +{"d:Title": "WebMuseum: Giovanni Bellini", "d:Description": "Article on the artist and some paintings.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.ibiblio.org/wm/paint/auth/bellini/"} +{"d:Title": "Investigating Bellini's Feast of the Gods", "d:Description": "X-rays and infrared images of 1512 Italian Renaissance masterpiece reveal hidden underpaintings: Bellini, Dossi, and Titian.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://webexhibits.org/feast/"} +{"d:Title": "Wikipedia: Giovanni Bellini (1430 - 1516)", "d:Description": "Article featuring his early career, maturity, assessment and artworks regarding the Italian Renaissance painter.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://en.wikipedia.org/wiki/Giovanni_Bellini"} +{"d:Title": "The National Gallery: Giovanni Bellini", "d:Description": "Provides a list of the Italian artist's work including a biography.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.nationalgallery.org.uk/artists/giovanni-bellini"} +{"d:Title": "Web Gallery of Art", "d:Description": "Extensive gallery of Bellini's artwork starting from 1459 till 1509.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.wga.hu/frames-e.html?/html/b/bellini/giovanni/index.html"} +{"d:Title": "ARC: Giovanni Bellini", "d:Description": "Provides images of the Italian painter's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.artrenewal.org/pages/artist.php?artistid=290"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows Bellini's style by analyzing one of his work 'Madonna and Child'.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.metmuseum.org/toah/works-of-art/08.183.1"} +{"d:Title": "The Artchive: Giovanni Bellini", "d:Description": "Biography including some images of his artworks.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.artchive.com/artchive/B/bellini.html"} +{"d:Title": "Biblical art by Bellini", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.artbible.info/art/work/giovanni-bellini"} +{"d:Title": "The Early Renaissance: Giovanni Bellini", "d:Description": "Introduction to the Bellini family, including Giovanni's biography and high resolution images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.all-art.org/early_renaissance/bellini_giovanni1.html"} +{"d:Title": "Artble: Giovanni Bellini", "d:Description": "Covers the venetian artist's biography, style, technique and critical reception. Images of his artworks are also available.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.artble.com/artists/giovanni_bellini"} +{"d:Title": "The Athenaeum - Giovanni Bellini", "d:Description": "Features a total of 159 paintings of the Italian artist in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3550"} +{"d:Title": "Getty Museum: Giovanni Bellini", "d:Description": "Brief biography regarding the Venetian artist including background information on two of his works.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.getty.edu/art/collection/artists/2974/giovanni-bellini-italian-about-14311436-1516/"} +{"d:Title": "National Gallery of Art: Giovanni Bellini", "d:Description": "Features a list of the Italian artist's paintings and drawings including a biography.", "topic": "Top/Arts/Art_History/Artists/B/Bellini,_Giovanni", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.936.html"} +{"d:Title": "Salem Tales - Frank Weston Benson", "d:Description": "Article on the Salem born artist who was called \"the most medaled painter in America\".", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.salemweb.com/tales/benson.shtml"} +{"d:Title": "Frank W. Benson", "d:Description": "An authoritative resource on the American Impressionist and master of the sporting print. Includes a biography, research resources, posters, books, and exhibits.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.frankwbenson.com/"} +{"d:Title": "Light Shines on Frank W. Benson: American Impressionist", "d:Description": "Information on the artist and Peabody Essex Museum exhibit.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.tfaoi.com/aa/2aa/2aa189.htm"} +{"d:Title": "Frank W. Benson American Impressionist", "d:Description": "Provides gallery and biography. Includes chronological timeline on the artist from 1862 to 1951.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.pem.org/sites/benson/"} +{"d:Title": "ARC: Frank W. Benson (1862-1951)", "d:Description": "Display of featured artworks and photograph of the artist.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.artrenewal.org/pages/artist.php?artistid=309"} +{"d:Title": "Wikipedia: Frank Weston Benson (1862 - 1951)", "d:Description": "Article featuring his early career, late success and posthumous sales.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://en.wikipedia.org/wiki/Frank_Weston_Benson"} +{"d:Title": "The Grave of Frank Weston Benson", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9448432"} +{"d:Title": "The Athenaeum - Frank Weston Benson", "d:Description": "Features a total of 37 paintings of the American artist in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1715"} +{"d:Title": "The Art institute of Chicago", "d:Description": "Gallery regarding Benson's work, including medium and size on each painting.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.artic.edu/aic/collections/artwork/artist/Benson,+Frank+Weston"} +{"d:Title": "National Gallery of Art - Frank Benson", "d:Description": "Provides a list of the American artist's paintings and prints including a biography and bibliography.", "topic": "Top/Arts/Art_History/Artists/B/Benson,_Frank_Weston", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.949.html"} +{"d:Title": "Architecture Week: Bernini", "d:Description": "Biography of the dominating figure in Roman Baroque art in Great Buildings Online, with photographs and details of his architectural works.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.greatbuildings.com/architects/Bernini.html"} +{"d:Title": "Web Gallery of Art: Bernini, Gian Lorenzo", "d:Description": "Portrait and biography of the Italian sculptor, architect and artist in the Web Gallery of Art, along with annotated galleries of his sculptures and paintings.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.wga.hu/frames-e.html?/bio/b/bernini/gianlore/biograph.html"} +{"d:Title": "Wikipedia: Gian Lorenzo Bernini", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://en.wikipedia.org/wiki/Gian_Lorenzo_Bernini"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows Bernini's style by analyzing 4 of his known works.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.metmuseum.org/toah/hd/bern/hd_bern.htm"} +{"d:Title": "ARC: Gian Lorenzo Bernini", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.artrenewal.org/pages/artist.php?artistid=133"} +{"d:Title": "The National Gallery: Gian Lorenzo Bernini", "d:Description": "Biography and related paintings.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.nationalgallery.org.uk/artists/gian-lorenzo-bernini"} +{"d:Title": "The Grave of Frank Gian Lorenzo Bernini", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7750417"} +{"d:Title": "The Artchive: Gian Lorenzo Bernini", "d:Description": "Biography including some images of his artworks.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.artchive.com/artchive/B/bernini.html"} +{"d:Title": "When stone came to life", "d:Description": "Article focused on Bernini's style elaborated by Simon Schama", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.theguardian.com/artanddesign/2006/sep/16/art"} +{"d:Title": "Artble: Gian Lorenzo Bernini", "d:Description": "Features a biography, style, technique and critical reception regarding the Baroque artist born in Naples. Images of his famous works are also available", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.artble.com/artists/gian_lorenzo_bernini"} +{"d:Title": "Getty Museum: Gian Lorenzo Bernini", "d:Description": "Brief biography regarding the Venetian artist including background information on 4 of his works.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.getty.edu/art/collection/artists/573/gian-lorenzo-bernini-italian-1598-1680/"} +{"d:Title": "National Gallery of Art: Gian Lorenzo Bernini", "d:Description": "Provides a biography, and a list of paintings and sculptures.", "topic": "Top/Arts/Art_History/Artists/B/Bernini,_Gianlorenzo", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.2025.html"} +{"d:Title": "Wikipedia: Joseph Beuys", "d:Description": "Biographical summary of the German artist with information on his life and art.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://en.wikipedia.org/wiki/Joseph_Beuys"} +{"d:Title": "The Artchive: Joseph Beuys", "d:Description": "Biography including links for further reading.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://www.artchive.com/artchive/B/beuys.html"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Beuys' paintings and drawings including a description on each one of them.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://www.nationalgalleries.org/collection/artists-a-z/B/2762/artistName/Joseph%20Beuys"} +{"d:Title": "ArtNet - Joseph Beuys", "d:Description": "Some examples of the artists work. Brief biography and selected exhibitions.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://www.artnet.com/artists/joseph-beuys/"} +{"d:Title": "Joseph Beuys Multiples", "d:Description": "Excerpts from interviews, essays, notes and images relating to the German artist and his work.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://www.walkerart.org/collections/artists/joseph-beuys"} +{"d:Title": "Guggenheim Collection Online", "d:Description": "Proper analysis on different Beuys' artworks.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/423"} +{"d:Title": "Collection Tate: Joseph Beuys", "d:Description": "Provides a total of 587 artworks, including historical background information on most paintings.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://www.tate.org.uk/art/artists/joseph-beuys-747"} +{"d:Title": "MoMA The Collection: Joseph Beuys", "d:Description": "Provides a brief biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Beuys,_Joseph", "url": "http://www.moma.org/collection/artists/540"} +{"d:Title": "Artcyclopedia: Albert Bierstadt", "d:Description": "Provides a listing of many online galleries regarding the German artist.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.artcyclopedia.com/artists/bierstadt_albert.html"} +{"d:Title": "Albert Bierstadt Biography", "d:Description": "A short biography with two paintings from the White Mountains of New Hampshire.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.whitemountainart.com/Biographies/bio_ab.htm"} +{"d:Title": "AskART.com - Albert Bierstadt", "d:Description": "Auction results, biographies, images and books regarding Albert Bierstadt.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.askart.com/askart/artist.aspx?artist=6467"} +{"d:Title": "Artchive - Albert Bierstadt", "d:Description": "Biography and links to images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.artchive.com/artchive/B/bierstadt.html"} +{"d:Title": "NCAW - Bierstadt, Albert", "d:Description": "Paul Manoguerra's scholarly article Anti-Catholicism in Albert Bierstadt's Roman Fish Market, Arch of Octavius.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.19thc-artworldwide.org/index.php/component/content/article/79-winter03article/245-anti-catholicism-in-albert-bierstadts-roman-fish-market-arch-of-octavius"} +{"d:Title": "Wikipedia: Albert Bierstadt (1830 - 1902)", "d:Description": "Article featuring a biography, existing work, legacy and selected paintings.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://en.wikipedia.org/wiki/Albert_Bierstadt"} +{"d:Title": "The Grave of Albert Bierstadt", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3014"} +{"d:Title": "ARC: Albert Bierstadt", "d:Description": "Provides images of the German painter's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.artrenewal.org/pages/artist.php?artistid=80"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of Bierdstadt's artwork \"The Rocky Mountains, Lander's Peak\".", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.metmuseum.org/toah/works-of-art/07.123"} +{"d:Title": "GalleriX: Albert Bierstadt", "d:Description": "Landscape paintings gallery.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://en.gallerix.ru/album/Albert-Bierstadt"} +{"d:Title": "The Athenaeum - Albert Bierstadt", "d:Description": "Features a total of 299 paintings of the German artist in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=439"} +{"d:Title": "National Gallery of Art: Albert Bierstadt", "d:Description": "Comprehensive biography including a link to his artworks.", "topic": "Top/Arts/Art_History/Artists/B/Bierstadt,_Albert", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.6707.html?artistId=6707&pageNumber=1"} +{"d:Title": "Artcyclopedia: Sir Oswald Hornby Joseph Birley", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Birley,_Sir_Oswald", "url": "http://www.artcyclopedia.com/artists/birley_sir_oswald_hornby_joseph.html"} +{"d:Title": "Wikipedia: Oswald Birley (1880 - 1952)", "d:Description": "Article featuring a biography and a list of his works. Includes a family tree of the Birley family.", "topic": "Top/Arts/Art_History/Artists/B/Birley,_Sir_Oswald", "url": "http://en.wikipedia.org/wiki/Oswald_Birley"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows portraits done by Sir Oswald Birley.", "topic": "Top/Arts/Art_History/Artists/B/Birley,_Sir_Oswald", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp06686&role=art"} +{"d:Title": "Wikipedia: William Blake (1757 - 1827)", "d:Description": "Article featuring his early life, career, religious views and general cultural influence regarding the English artist.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://en.wikipedia.org/wiki/William_Blake"} +{"d:Title": "WebMuseum: Blake, William", "d:Description": "Biography and examples of his best known works.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.ibiblio.org/wm/paint/auth/blake/"} +{"d:Title": "Web Gallery of Art", "d:Description": "Presents a set of images regarding Blake's work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.wga.hu/frames-e.html?/html/b/blake/index.html"} +{"d:Title": "The Grave of William Blake", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4565"} +{"d:Title": "ARC: William Blake", "d:Description": "Provides images of the artist's work born in London with additional information such as date and medium.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2931"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Extended biography including a slideshow of his works including proper analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.metmuseum.org/toah/hd/blke/hd_blke.htm"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits of William Blake.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.npg.org.uk/collections/search/person/mp00448/william-blake?role=sit"} +{"d:Title": "Artchive - William Blake", "d:Description": "Biography and links to images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.artchive.com/artchive/B/blake.html"} +{"d:Title": "Biblical art by Blake", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.artbible.info/art/work/william-blake"} +{"d:Title": "Poetry Archive: William Blake", "d:Description": "Presents a total of 14 poems.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.poetry-archive.com/b/blake_william.html"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Blake's painting background information along with a short biography.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.nationalgalleries.org/collection/artists-a-z/B/4535/artistName/William%20Blake/recordId/18506#.T_4CU5HrR8E"} +{"d:Title": "The Art Institute of Chicago: William Blake", "d:Description": "Provides a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.artic.edu/aic/collections/artwork/artist/Blake,+William"} +{"d:Title": "William Blake - Art Professor", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.wisdomsupreme.com/dictionary/william-blake.php"} +{"d:Title": "Collection Tate: William Blake", "d:Description": "Provides a total of 175 artworks, including historical background information on most paintings.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.tate.org.uk/art/artists/william-blake-39"} +{"d:Title": "Getty Museum: William Blake", "d:Description": "Brief biography regarding the English artist including background information on one of his works.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.getty.edu/art/collection/artists/315/william-blake-british-1757-1827/"} +{"d:Title": "National Gallery of Art: William Blake", "d:Description": "Provides links to a biography, paintings, drawings and after works concerning the English poet, painter, and printmaker.", "topic": "Top/Arts/Art_History/Artists/B/Blake,_William", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.970.html"} +{"d:Title": "Antoine Blanchard.org", "d:Description": "Internet catalogue raisonne project featuring around 240 artworks regarding the French artist. Biography and bibliography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Blanchard,_Antoine", "url": "http://www.antoineblanchard.org/"} +{"d:Title": "Rehs Galleries: Antoine Blanchard", "d:Description": "Features a collection of paintings by the artist and a short biography.", "topic": "Top/Arts/Art_History/Artists/B/Blanchard,_Antoine", "url": "http://www.rehsgalleries.com/antoine_blanchard_virtex.htm"} +{"d:Title": "CGFA: Arnold B\u00f6cklin", "d:Description": "Gallery of works and a biography.", "topic": "Top/Arts/Art_History/Artists/B/B\u00f6cklin,_Arnold", "url": "http://sunsite.icm.edu.pl/cjackson/bocklin/index.html"} +{"d:Title": "ARC: Arnold B\u00f6cklin", "d:Description": "Artist's biography and images of his paintings.", "topic": "Top/Arts/Art_History/Artists/B/B\u00f6cklin,_Arnold", "url": "http://www.artrenewal.org/pages/artist.php?artistid=329"} +{"d:Title": "Wikipedia: Arnold B\u00f6cklin (1827 - 1901)", "d:Description": "Article featuring his life, art and legacy regarding the Swiss symbolist painter.", "topic": "Top/Arts/Art_History/Artists/B/B\u00f6cklin,_Arnold", "url": "http://en.wikipedia.org/wiki/Arnold_B%C3%B6cklin"} +{"d:Title": "Web Gallery of Arts - B\u00f6cklin, Arnold", "d:Description": "Image gallery of artist's works and a brief biography.", "topic": "Top/Arts/Art_History/Artists/B/B\u00f6cklin,_Arnold", "url": "http://www.wga.hu/frames-e.html?/html/b/bocklin/index.html"} +{"d:Title": "The Grave of Arnold B\u00f6cklin", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/B/B\u00f6cklin,_Arnold", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=17725774"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows B\u00f6cklin's style by analyzing one of his work 'Island of the Dead'.", "topic": "Top/Arts/Art_History/Artists/B/B\u00f6cklin,_Arnold", "url": "http://www.metmuseum.org/toah/works-of-art/26.90"} +{"d:Title": "Artchive - Albert Bierstadt", "d:Description": "Biography and links to images of his work.", "topic": "Top/Arts/Art_History/Artists/B/B\u00f6cklin,_Arnold", "url": "http://www.artchive.com/artchive/B/boecklin.html"} +{"d:Title": "Image Gallery / Giotto", "d:Description": "Virtual gallery of some of the works of the artist.", "topic": "Top/Arts/Art_History/Artists/B/Bondone,_Giotto_di", "url": "http://www.christusrex.org/www2/art/giotto.htm"} +{"d:Title": "Olga's Gallery: Giotto", "d:Description": "Collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Bondone,_Giotto_di", "url": "http://www.abcgallery.com/G/giotto/giotto.html"} +{"d:Title": "The Worldwide Art Gallery: Giotto di Bondone", "d:Description": "Biography and an image of St Francis Receiving the Stigmata which is generally considered to be his greatest work.", "topic": "Top/Arts/Art_History/Artists/B/Bondone,_Giotto_di", "url": "http://www.theartgallery.com.au/ArtEducation/greatartists/Bondone/about/index.html"} +{"d:Title": "Webmuseum, Paris : Giotto di Bondone", "d:Description": "Biography of this painter, sculptor, and architect.", "topic": "Top/Arts/Art_History/Artists/B/Bondone,_Giotto_di", "url": "http://www.ibiblio.org/wm/paint/auth/giotto/"} +{"d:Title": "Web Gallery of Art: Giotto", "d:Description": "Introduction to his life and works.", "topic": "Top/Arts/Art_History/Artists/B/Bondone,_Giotto_di", "url": "http://www.wga.hu/frames-e.html?/html/g/giotto/index.html"} +{"d:Title": "Artble - Giotto di Bondone", "d:Description": "Presents a comprehensive biography, context, style and artworks regarding the Italian Renaissance artist.", "topic": "Top/Arts/Art_History/Artists/B/Bondone,_Giotto_di", "url": "http://www.artble.com/artists/giotto_di_bondone"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Image and complete description of Bonheur's Paris Salon work - The Horse Fair.", "topic": "Top/Arts/Art_History/Artists/B/Bonheur,_Rosa", "url": "http://www.metmuseum.org/toah/works-of-art/87.25"} +{"d:Title": "Wikipedia: Rosa Bonheur (1822 - 1899)", "d:Description": "Biographical summary of the French realist artist with information on hes early success, development and training.", "topic": "Top/Arts/Art_History/Artists/B/Bonheur,_Rosa", "url": "http://en.wikipedia.org/wiki/Rosa_Bonheur"} +{"d:Title": "The Grave of Rosa Bonheur", "d:Description": "Location and pictures of her grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Bonheur,_Rosa", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=44170350"} +{"d:Title": "ARC: Rosa Bonheur", "d:Description": "Features a brief biography, including portraits and images of her work.", "topic": "Top/Arts/Art_History/Artists/B/Bonheur,_Rosa", "url": "http://www.artrenewal.org/pages/artist.php?artistid=333"} +{"d:Title": "The Athenaeum - Displaying artworks for Rosa Bonheur", "d:Description": "Provides a total of 21 paintings by the French artist in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Bonheur,_Rosa", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1016"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Bonheur's gallery.", "topic": "Top/Arts/Art_History/Artists/B/Bonheur,_Rosa", "url": "http://www.artic.edu/aic/collections/artwork/artist/Bonheur,+Rosa"} +{"d:Title": "The National Museum of Women in the Arts", "d:Description": "Image of Bonheur's \"Highland Raid\" and a short biography.", "topic": "Top/Arts/Art_History/Artists/B/Bonheur,_Rosa", "url": "http://www.nmwa.org/explore/artist-profiles/rosa-bonheur"} +{"d:Title": "Olga's Gallery: Richard Parkes Bonington", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.abcgallery.com/B/bonington/bonington.html"} +{"d:Title": "Richard Parkes Bonington", "d:Description": "Short artist's biography from the essay by Terry Fenton on the development of English watercolour landscape painting.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.sharecom.ca/wc/bonington.html"} +{"d:Title": "Artcyclopedia: Richard Parkes Bonington", "d:Description": "Guide to pictures of artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.artcyclopedia.com/artists/bonington_richard_parkes.html"} +{"d:Title": "Wikipedia: Richard Parkes Bonington", "d:Description": "Biography with links to sources.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://en.wikipedia.org/wiki/Richard_Parkes_Bonington"} +{"d:Title": "The National Gallery", "d:Description": "Presents Bonington's biography and proper analysis on one of his masterpieces 'La Fert\u00e9'.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.nationalgallery.org.uk/artists/richard-parkes-bonington"} +{"d:Title": "Web Gallery of Art", "d:Description": "Features images of Bonington's work including a biography.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.wga.hu/frames-e.html?/html/b/boningto/index.html"} +{"d:Title": "ARC: Richard Parkes Bonington", "d:Description": "Provides images of the artist's work born in London with additional information such as date and medium.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2942"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows Bonington's style by analyzing one of his works 'View of Rouen from St. Catherine's Hill'.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.metmuseum.org/toah/works-of-art/1996.277"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits of Richard Parkes Bonington.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.npg.org.uk/collections/search/person/mp00478/richard-parkes-bonington?role=sit"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Bonington's gallery.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.artic.edu/aic/collections/artwork/artist/Bonington,+Richard+Parkes"} +{"d:Title": "National Gallery of Arts: Richard Parkes Bonington", "d:Description": "Provides a set of links including a biography, paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.978.html"} +{"d:Title": "Collection Tate: Richard Parkes Bonington", "d:Description": "Images of his works along with a biography.", "topic": "Top/Arts/Art_History/Artists/B/Bonington,_Richard_Parkes", "url": "http://www.tate.org.uk/art/artists/richard-parkes-bonington-41"} +{"d:Title": "Hieronymous Bosch: The Unearthly Gardener", "d:Description": "Article about Bosch's life and work.", "topic": "Top/Arts/Art_History/Artists/B/Bosch,_Hieronymus", "url": "http://www.tabula-rasa.info/DarkAges/Bosch.html"} +{"d:Title": "Craig's Web - Hieronymus Bosch", "d:Description": "A collection of some of Bosch's most famous paintings with short descriptions for each one.", "topic": "Top/Arts/Art_History/Artists/B/Bosch,_Hieronymus", "url": "http://www.craigsweb.com/bosch.htm"} +{"d:Title": "Olga's Gallery - Hieronymus Bosch", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Bosch,_Hieronymus", "url": "http://www.abcgallery.com/B/bosch/bosch.html"} +{"d:Title": "WebMuseum: Bosch, Hieronymus", "d:Description": "Biography, pictures, and explanations of selected paintings.", "topic": "Top/Arts/Art_History/Artists/B/Bosch,_Hieronymus", "url": "http://www.ibiblio.org/wm/paint/auth/bosch/"} +{"d:Title": "The Artchive: Hieronymous Bosch", "d:Description": "Picture gallery of selected paintings.", "topic": "Top/Arts/Art_History/Artists/B/Bosch,_Hieronymus", "url": "http://www.artchive.com/artchive/B/bosch.html"} +{"d:Title": "Artcyclopedia: Guide to Sandro Botticelli", "d:Description": "Guide to 25 art museum sites and image archives where Botticelli's paintings can be viewed online.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://artcyclopedia.com/artists/botticelli_sandro.html"} +{"d:Title": "Life of an Artist: Sandro Boticelli", "d:Description": "Biography of the Florentine painter as written by Giorgio Vasari. Includes a gallery.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.artist-biography.info/artist/sandro_botticelli/"} +{"d:Title": "Olga's Gallery - Alessandro Botticelli", "d:Description": "About 70 images of the Renaissance artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.abcgallery.com/B/botticelli/botticelli.html"} +{"d:Title": "Artchive - Sandro Botticelli", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://artchive.com/artchive/B/botticelli.html"} +{"d:Title": "Sandro Botticelli", "d:Description": "Biography with interpretation of major works.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://historylink101.com/art/Sandro_Botticelli/bio_Botticelli.htm"} +{"d:Title": "Web Gallery of Art", "d:Description": "Hungary-based image archive with a variety of works by Botticelli.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.wga.hu/html/b/botticel/index.html"} +{"d:Title": "Wikipedia: Sandro Botticelli", "d:Description": "Biographical summary of the Italian Renaissance artist with information on his early life, masterpieces, maturity, death and posthumous eclipse.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://en.wikipedia.org/wiki/Sandro_Botticelli"} +{"d:Title": "The National Gallery: Sandro Botticelli", "d:Description": "Comprehensive biography including proper analysis on each of his paintings featured.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.nationalgallery.org.uk/artists/sandro-botticelli"} +{"d:Title": "The Grave of Sandro Botticelli", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7846100"} +{"d:Title": "Art Renewal Center: Sandro Botticelli", "d:Description": "Provides images of the Italian Renaissance artist's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.artrenewal.org/pages/artist.php?artistid=356"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows Botticelli's style by analyzing one of his works 'The Annunciation'.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.metmuseum.org/toah/works-of-art/1975.1.74"} +{"d:Title": "Biblical art by Botticellli", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.artbible.info/art/work/botticelli-sandro-filipepi"} +{"d:Title": "Artble: Sandro Botticelli", "d:Description": "Features a biography, style, technique and critical reception regarding the Renaissance artist. Images of his famous works include date, size and medium.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.artble.com/artists/sandro_botticelli"} +{"d:Title": "The Athenaeum - Botticelli", "d:Description": "Provides over 100 paintings regarding the Italian artist in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=19"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Historical information on one of Botticelli's masterpieces.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.nationalgalleries.org/collection/artists-a-z/B/6172/artistName/Sandro%20Botticelli/recordId/57676#.T_4CvpHrR8E"} +{"d:Title": "National Gallery of Art: Sandro Botticelli", "d:Description": "Presents a list of paintings and drawings done by the Renaissance artist, includes a biography and bibliography.", "topic": "Top/Arts/Art_History/Artists/B/Botticelli,_Sandro", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.989.html"} +{"d:Title": "Webmuseum: Fran\u00e7ois Boucher", "d:Description": "Short article on the French master (1703-1770), and numerous paintings.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.ibiblio.org/wm/paint/auth/boucher/"} +{"d:Title": "Wikipedia: Fran\u00e7ois Boucher", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://en.wikipedia.org/wiki/Fran%C3%A7ois_Boucher"} +{"d:Title": "The Metropolitan Museum of Art: Fran\u00e7ois Boucher", "d:Description": "Artist's biography and a collection of his works.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.metmuseum.org/TOAH/HD/bouc/hd_bouc.htm"} +{"d:Title": "Ancien Regime Rococo - Boucher", "d:Description": "Collection of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.bc.edu/bc_org/avp/cas/his/CoreArt/art/ancien_bou.html"} +{"d:Title": "Olga's Gallery: Fran\u00e7ois Boucher", "d:Description": "Collection of works of the French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.abcgallery.com/B/boucher/boucher.html"} +{"d:Title": "ARC: Francois Boucher", "d:Description": "Collection of images of artist's paintings.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.artrenewal.org/pages/artist.php?artistid=366"} +{"d:Title": "Web Gallery of Art: Fran\u00e7ois Boucher", "d:Description": "Image gallery of artist's works and a short biography.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.wga.hu/html/b/boucher/1/index.html"} +{"d:Title": "The National Gallery: Fran\u00e7ois Boucher", "d:Description": "Provides a biography including analysis on three of his famous artworks.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.nationalgallery.org.uk/artists/francois-boucher"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Boucher's gallery including size, medium and date.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.artic.edu/aic/collections/artwork/artist/Boucher,+Francois"} +{"d:Title": "National Gallery of Art: Fran\u00e7ois Boucher", "d:Description": "Artist's paintings and drawings including a biography.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.996.html"} +{"d:Title": "Getty Museum: Fran\u00e7ois Boucher", "d:Description": "Brief biography regarding the French artist including background information on five of his works.", "topic": "Top/Arts/Art_History/Artists/B/Boucher,_Fran\u00e7ois", "url": "http://www.getty.edu/art/collection/artists/409/franois-boucher-french-1703-1770/"} +{"d:Title": "Artchive: Eugene Boudin", "d:Description": "Images of artist's works and short biographical sketch.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.artchive.com/artchive/B/boudin.html"} +{"d:Title": "Olga's Gallery - Eug\u00e8ne-Louis Boudin", "d:Description": "An online painting museums providing new exhibits daily. Biographies and main works of many famous artists, with historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.abcgallery.com/B/boudin/boudin.html"} +{"d:Title": "WetCanvas: Eug\u00e8ne Boudin", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.wetcanvas.com/Museum/Artists/b/Eugene_Boudin/index.html"} +{"d:Title": "Artcyclopedia: Eugene Boudin", "d:Description": "Guide to pictures of artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.artcyclopedia.com/artists/boudin_eugene.html"} +{"d:Title": "Boudin, Eugene Louis - Impressions of the Sea", "d:Description": "Detailed biography on the French Impressionist artist. Included are a number of high-resolution images of his artwork.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.rehsgalleries.com/eugene_louis_boudin_impressions_of_the_sea.html"} +{"d:Title": "Wikipedia: Eug\u00e8ne Boudin", "d:Description": "Provides a biography including images of his landscape works.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://en.wikipedia.org/wiki/Eug%C3%A8ne_Boudin"} +{"d:Title": "The National Gallery: Eug\u00e8ne Boudin", "d:Description": "Background information on his masterpieces.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.nationalgallery.org.uk/artists/eugene-boudin"} +{"d:Title": "The Grave of Eugene Boudin", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=43829414"} +{"d:Title": "The Athenaeum - Eugene Boudin", "d:Description": "Shows a total of 742 paintings in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=418"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Displays Boudin's paintings background information along with a short biography.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.nationalgalleries.org/collection/artists-a-z/B/2810/artistName/Louis-Eug%C3%A8ne%20Boudin"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "List of Boudin's artworks.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.artic.edu/aic/collections/artwork/artist/Boudin,+Eugene+Louis"} +{"d:Title": "National Gallery of Art", "d:Description": "Features Boudin's paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/B/Boudin,_Eug\u00e8ne-Louis", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1002.html"} +{"d:Title": "William A. Bouguereau - The Academic Tradition Personified", "d:Description": "Biography on the 19th century French artist. Includes a number of high-resolution images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.rehsgalleries.com/william_a_bouguereau.html"} +{"d:Title": "Detroit Institute of Arts", "d:Description": "Image of \"The Nut Gatherers\" painted in 1882.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.dia.org/the_collection/overview/viewobject.asp?objectid=35198"} +{"d:Title": "Mead Art Museum", "d:Description": "Image of \"Penelope\" (Le Travail Interrompu) painted in 1891.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://museums.fivecolleges.edu/detail.php?t=objects&type=ext&f=&s=&record=0&id_number=AC+1971.54"} +{"d:Title": "ARC Museum", "d:Description": "Offers detailed information on the life of William Bouguereau and includes numerous images of his work.", "priority": "1", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.artrenewal.org/articles/On-Line_Books/Bouguereau_William/bio1.php"} +{"d:Title": "Wikipedia: William-Adolphe Bouguereau", "d:Description": "Encyclopedia article featuring his life, career, fame, and legacy. In addition, provides a gallery with most of his works.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://en.wikipedia.org/wiki/William-Adolphe_Bouguereau"} +{"d:Title": "The Grave of William Bouguereau", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11465820"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Presents \"Breton Brother and Sister\" - an oil on canvas painting by Bouguereau.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.metmuseum.org/toah/works-of-art/87.15.32"} +{"d:Title": "The Artchive: William Bouguereau", "d:Description": "Extended biography including links to his artworks.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.artchive.com/artchive/B/bouguereau.html"} +{"d:Title": "The Athenaeum - William Bouguereau", "d:Description": "Shows a total of 59 paintings in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=380"} +{"d:Title": "William Adolphe Bouguereau", "d:Description": "Offering images, chronology and bibliography on this important 19th century Academic artist.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://frqnc23.home.xs4all.nl/framesen.html"} +{"d:Title": "Getty Museum: William Bouguereau", "d:Description": "Brief biography regarding the French artist including a background information on one of his masterpieces 'Young Girl&Eros'.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://www.getty.edu/art/collection/artists/780/william-adolphe-bouguereau-french-1825-1905/"} +{"d:Title": "The Herbert F. Johnson Museum of Art", "d:Description": "Image of Bouguereau's \"The Goose Girl\" painted in 1891.", "topic": "Top/Arts/Art_History/Artists/B/Bouguereau,_William_A.", "url": "http://museum.cornell.edu/collections/european/european-art-1600-1900/goose-girl"} +{"d:Title": "Wikipedia: Antoine Bourdelle", "d:Description": "Brief biography of the artist.", "topic": "Top/Arts/Art_History/Artists/B/Bourdelle,_\u00c9mile_Antoine", "url": "http://en.wikipedia.org/wiki/Antoine_Bourdelle"} +{"d:Title": "The Grave of Antoine Bourdelle", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Bourdelle,_\u00c9mile_Antoine", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7341"} +{"d:Title": "Artcyclopedia: Antoine Bourdelle", "d:Description": "Links to the French Expressionist sculptor's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Bourdelle,_\u00c9mile_Antoine", "url": "http://www.artcyclopedia.com/artists/bourdelle_emile_antoine.html"} +{"d:Title": "Emile-Antoine Bourdelle In The Mus\u00e9e-D'Orsay", "d:Description": "Provides pictures from different angles concerning once of his masterpieces 'Hercules the Archer Killing the Stymphalian Birds'.", "topic": "Top/Arts/Art_History/Artists/B/Bourdelle,_\u00c9mile_Antoine", "url": "http://www.bluffton.edu/~sullivanm/france/paris/dorsaysc/bourdelle.html"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Bourdelle's biography and proper analysis of his artworks 'La Vierge d'Alsace'.", "topic": "Top/Arts/Art_History/Artists/B/Bourdelle,_\u00c9mile_Antoine", "url": "http://www.nationalgalleries.org/collection/artists-a-z/B/2812/artistName/Emile-Antoine%20Bourdelle/recordId/252#.T_4DtpHrR8F"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Images of Bourdelle's artworks including size and medium.", "topic": "Top/Arts/Art_History/Artists/B/Bourdelle,_\u00c9mile_Antoine", "url": "http://www.artic.edu/aic/collections/artwork/artist/Bourdelle,+Emile-Antoine"} +{"d:Title": "Collection Tate: Antoine Bourdelle", "d:Description": "Background information on one of his sculptures 'Sir James George Frazer'.", "topic": "Top/Arts/Art_History/Artists/B/Bourdelle,_\u00c9mile_Antoine", "url": "http://www.tate.org.uk/art/artworks/bourdelle-sir-james-george-frazer-n04115"} +{"d:Title": "Olga's Gallery: Dieric Bouts the Elder", "d:Description": "Collection of images of the artist's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://abcgallery.com/B/bouts/bouts.html"} +{"d:Title": "Web Gallery of Art: Bouts, Dieric the Elder", "d:Description": "Image gallery of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://www.wga.hu/frames-e.html?/html/b/bouts/dirk_e/altar/"} +{"d:Title": "ARC: Dirck Bouts (1415-1475)", "d:Description": "Collection of artist's works.", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2805"} +{"d:Title": "Wikipedia: Dirk Bouts", "d:Description": "Article focused on his early works, portraits, and documented artworks .", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://en.wikipedia.org/wiki/Dirk_Bouts"} +{"d:Title": "The National Gallery", "d:Description": "Brief biography regarding Dirk Bouts including images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://www.nationalgallery.org.uk/artists/dirk-bouts"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Presents \"Virgin and Child\" - an oil on canvas painting by Dieric Bouts .", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://www.metmuseum.org/toah/works-of-art/30.95.280"} +{"d:Title": "Getty Museum: Dieric Bouts", "d:Description": "Brief biography regarding the Flemish artist including a background information on one of his masterpieces 'Annunciation'.", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://www.getty.edu/art/collection/artists/1047/dieric-bouts-netherlandish-about-1415-1475/"} +{"d:Title": "Gallery of Arts: Dirck Bouts", "d:Description": "Proper analysis on one of his masterpieces 'Madonna and Child'.", "topic": "Top/Arts/Art_History/Artists/B/Bouts_the_Elder,_Dieric", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.69387.html"} +{"d:Title": "SurLaLune Fairy Tales: Illustrations by Eleanor Vere Boyle", "d:Description": "Gallery of artist's works and titles of books she illustrated.", "topic": "Top/Arts/Art_History/Artists/B/Boyle,_Eleanor_Vere", "url": "http://www.surlalunefairytales.com/illustrations/illustrators/boyle.html"} +{"d:Title": "Database of Mid-Victorian Illustration", "d:Description": "Provides images of Boyle's illustrations.", "topic": "Top/Arts/Art_History/Artists/B/Boyle,_Eleanor_Vere", "url": "http://www.dmvi.cardiff.ac.uk/SearchResultsGallery.asp?IllustrationArtistConcern=Eleanor+Vere+Boyle+[E.V.B.]"} +{"d:Title": "Nocloo - Eleanor Vere Boyle", "d:Description": "Features images of the English artist's artwork based on stories of Hans Christian Andersen.", "topic": "Top/Arts/Art_History/Artists/B/Boyle,_Eleanor_Vere", "url": "http://www.nocloo.com/gallery2/v/eleanor-vere-boyle-andersen-fairy-tales/"} +{"d:Title": "National Portrait Gallery", "d:Description": "Displays two Boyle's portraits done by Louisa Anne.", "topic": "Top/Arts/Art_History/Artists/B/Boyle,_Eleanor_Vere", "url": "http://www.npg.org.uk/collections/search/person/mp51598/eleanor-vere-boyle-nee-gordon"} +{"d:Title": "Eleanor Vere Boyle", "d:Description": "Brief biography of the artist, writer, and illustrator, plus some images.", "topic": "Top/Arts/Art_History/Artists/B/Boyle,_Eleanor_Vere", "url": "http://www.llansadwrn-wx.info/garden/hcm/evb.html"} +{"d:Title": "Artchive: Georges Braque", "d:Description": "Profile and images.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.artchive.com/artchive/B/braque.html"} +{"d:Title": "Wikipedia: Georges Braque", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://en.wikipedia.org/wiki/Georges_Braque"} +{"d:Title": "ArtIcons: Georges Braque", "d:Description": "Artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.articons.co.uk/braque.htm"} +{"d:Title": "Olga's Gallery: Georges Braque", "d:Description": "Collection of works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.abcgallery.com/B/braque/braque.html"} +{"d:Title": "National Gallery of Art", "d:Description": "Features Braque's paintings, drawings, prints and portfolio.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1027"} +{"d:Title": "The Grave of Georges Braque", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7861854"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Presents \"Still Life with a Pair of Banderillas\" - an oil on canvas painting by Bouguereau.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.metmuseum.org/toah/works-of-art/1999.363.11"} +{"d:Title": "The Athenaeum - Georges Braque", "d:Description": "Shows a total of 53 paintings in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=411"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "List of Braque's artworks.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.artic.edu/aic/collections/artwork/artist/Braque,+Georges"} +{"d:Title": "Tate Collection: Georges Braque", "d:Description": "Gallery featuring a total of 15 artworks including a biography.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.tate.org.uk/art/artists/georges-braque-803"} +{"d:Title": "MoMA The Collection: Georges Braque", "d:Description": "Provides a biography based on his life and work; includes a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.moma.org/collection/artists/744"} +{"d:Title": "Guggenheim Collection: Braque", "d:Description": "Artist's works from museum collection, biography and bibliography.", "topic": "Top/Arts/Art_History/Artists/B/Braque,_George", "url": "http://www.guggenheim.org/artwork/artist/georges-braque"} +{"d:Title": "Blessing of the Wheat in Artois", "d:Description": "Image and description of the oil on canvas painting from 1857, on display at Mus\u00e9es des Beaux-Arts d'Arras, France.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.gegoux.com/blessing.htm"} +{"d:Title": "Jules Breton", "d:Description": "Artist's biography and an image of his painting \"Petite Glaneuse Assise dans un Champs\".", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.rehsgalleries.com/Jules_Breton_Bio.html"} +{"d:Title": "Breton, Jules: La chanson des bl\u00e9s", "d:Description": "Jan Dewilde's, Curator of the Ypres City Museums, Belgium, detailed review of this exhibition.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.19thc-artworldwide.org/index.php/component/content/article/83-autumn02review/251-jules-breton-la-chanson-des-bles"} +{"d:Title": "ARC Museum - Jules Breton", "d:Description": "Art Renewal Center's site featuring detailed biographical information and numerous images.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.artrenewal.org/pages/artist.php?artistid=409"} +{"d:Title": "Wikipedia: Jules Breton (1827 - 1906)", "d:Description": "Encyclopedia article featuring the French Realist painter's early life, training and fame.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://en.wikipedia.org/wiki/Jules_Breton"} +{"d:Title": "The Grave of Jules Breton", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7357"} +{"d:Title": "Lines and Colors - Jules Breton", "d:Description": "Provides images of his works including a brief biography.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.linesandcolors.com/2010/01/11/jules-breton/"} +{"d:Title": "Brooklyn Museum - Julse Breton", "d:Description": "Background information on one of his masterpieces 'Peasant Woman Holding a Taper'.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.brooklynmuseum.org/opencollection/objects/4392/Breton_Peasant_Woman_Holding_a_Taper"} +{"d:Title": "The Athenaeum - Jules Breton", "d:Description": "Shows a total of 11 paintings in high detail.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=200"} +{"d:Title": "Dahesh Museum of art", "d:Description": "Proper analysis on one of Breton's paintings 'Study of the Snack'.", "topic": "Top/Arts/Art_History/Artists/B/Breton,_Jules", "url": "http://www.daheshmuseum.org/portfolio/jules-bretonstudy-for-the-snack/gallery/artists_b/"} +{"d:Title": "John Brett ARA (1831-1902)", "d:Description": "Notes on the life of the Pre-Raphaelite and marine artist John Brett, and links to related information. From Bob Speel.", "topic": "Top/Arts/Art_History/Artists/B/Brett,_John", "url": "http://myweb.tiscali.co.uk/speel/paint/brett.htm"} +{"d:Title": "John Brett", "d:Description": "Collection of artist's works from the Victorian Web.", "topic": "Top/Arts/Art_History/Artists/B/Brett,_John", "url": "http://www.victorianweb.org/painting/brett/index.html"} +{"d:Title": "Wikipedia: John Brett", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Brett,_John", "url": "http://en.wikipedia.org/wiki/John_Brett"} +{"d:Title": "Art Renewal Center: John Brett", "d:Description": "Image gallery of artist's paintings including some of his letters.", "topic": "Top/Arts/Art_History/Artists/B/Brett,_John", "url": "http://www.artrenewal.org/pages/artist.php?artistid=407"} +{"d:Title": "National Museums Liverpool - The Stonebreaker", "d:Description": "Detailed description on this artwork.", "topic": "Top/Arts/Art_History/Artists/B/Brett,_John", "url": "http://www.liverpoolmuseums.org.uk/walker/collections/paintings/19c/item.aspx?tab=summary&item=wag+1632&hl=1&coll=8"} +{"d:Title": "Artcyclopedia: Karl Briullov on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Briullov,_Karl", "url": "http://www.artcyclopedia.com/artists/briullov_karl.html"} +{"d:Title": "Olga's Gallery: Karl Brulloff", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Briullov,_Karl", "url": "http://www.abcgallery.com/B/briullov/briullov.html"} +{"d:Title": "Karl Briullov - Wikipedia", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Briullov,_Karl", "url": "http://en.wikipedia.org/wiki/Briullov"} +{"d:Title": "WebMuseum: Bronzino, Agnolo", "d:Description": "Includes brief biography and images.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.ibiblio.org/wm/paint/auth/bronzino/"} +{"d:Title": "Artcyclopedia: Bronzino, Agnolo", "d:Description": "Features links to works by Agnolo Bronzino in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.artcyclopedia.com/artists/bronzino_agnolo.html"} +{"d:Title": "Wikipedia: Bronzino", "d:Description": "Artist's biography", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://en.wikipedia.org/wiki/Bronzino"} +{"d:Title": "Olga's Gallery: Agnolo Bronzino", "d:Description": "Collection of works of an Italian artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.abcgallery.com/B/bronzino/bronzino.html"} +{"d:Title": "The National Gallery: Bronzino", "d:Description": "Brief biography including analysis on each of his paintings featured.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.nationalgallery.org.uk/artists/bronzino"} +{"d:Title": "ARC: Agnolo Bronzino", "d:Description": "Provides images of the Italian painter's work including date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.artrenewal.org/pages/artist.php?artistid=510"} +{"d:Title": "Artchive - Agnolo Bronzino", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.artchive.com/artchive/B/bronzino.html"} +{"d:Title": "Artble: Agnolo Bronzino", "d:Description": "Features a biography, style, influence and critical reception regarding the Mannerist artist. Images of his famous works include date, size and medium.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.artble.com/artists/agnolo_bronzino"} +{"d:Title": "Getty Museum: Agnolo Bronzino", "d:Description": "Brief biography regarding the Mannerist artist including background information on four of his works.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.getty.edu/art/collection/artists/883/agnolo-bronzino-italian-1503-1572/"} +{"d:Title": "National Gallery of Art: Agnolo Bronzino", "d:Description": "Analysis on one of his masterpieces 'A Young Woman and Her Little Boy'.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.1143.html"} +{"d:Title": "The New Yorker: Agnolo Bronzino at the Met", "d:Description": "Review of the exhibition in the Metropolitan Museum of Art.", "topic": "Top/Arts/Art_History/Artists/B/Bronzino,_Agnolo", "url": "http://www.newyorker.com/magazine/2010/02/01/then-and-now-6"} +{"d:Title": "The National Gallery: Adriaen Brouwer", "d:Description": "Background information on two of his masterpieces.", "topic": "Top/Arts/Art_History/Artists/B/Brouwer,_Adriaen", "url": "http://www.nationalgallery.org.uk/artists/adriaen-brouwer"} +{"d:Title": "Web Gallery of Art: Brouwer, Adriaen", "d:Description": "Gallery including a brief biography.", "topic": "Top/Arts/Art_History/Artists/B/Brouwer,_Adriaen", "url": "http://www.wga.hu/frames-e.html?/html/b/brouwer/index.html"} +{"d:Title": "Wikipedia: Adriaen Brouwer", "d:Description": "Brief biography, works and further reference regarding the Flemish artist.", "topic": "Top/Arts/Art_History/Artists/B/Brouwer,_Adriaen", "url": "http://en.wikipedia.org/wiki/Adriaen_Brouwer"} +{"d:Title": "Art Renewal Center: Adriaen Brouwer", "d:Description": "Provides images of the Flemish artist's work including date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/B/Brouwer,_Adriaen", "url": "http://www.artrenewal.org/pages/artist.php?artistid=4111"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows Brouwer's style by analyzing one of his works 'The Smokers'.", "topic": "Top/Arts/Art_History/Artists/B/Brouwer,_Adriaen", "url": "http://www.metmuseum.org/toah/works-of-art/32.100.21"} +{"d:Title": "Artble: Adriaen Brouwer", "d:Description": "Features a biography, style, influence and critical reception regarding the Mannerist artist. Images of his famous works include date, size and medium.", "topic": "Top/Arts/Art_History/Artists/B/Brouwer,_Adriaen", "url": "http://www.artble.com/artists/adriaen_brouwer"} +{"d:Title": "National Gallery of Art: Adriaen Brouwer", "d:Description": "Analysis on one of his paintings 'Youth making a face'.", "topic": "Top/Arts/Art_History/Artists/B/Brouwer,_Adriaen", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.86204.html"} +{"d:Title": "Ford Madox Brown", "d:Description": "Article from Spartacus Educational about the life and work of Ford Madox Brown. Includes his Pre-Raphaelite picture 'Work'.", "topic": "Top/Arts/Art_History/Artists/B/Brown,_Ford_Madox", "url": "http://spartacus-educational.com/Jford.htm"} +{"d:Title": "Olga's Gallery: Pieter Bruegel the Elder", "d:Description": "Collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Bruegel_the_Elder,_Pieter", "url": "http://www.abcgallery.com/B/bruegel/bruegel.html"} +{"d:Title": "Artchive: Pieter Bruegel the Elder", "d:Description": "Essay by E.H. Gombrich and images.", "topic": "Top/Arts/Art_History/Artists/B/Bruegel_the_Elder,_Pieter", "url": "http://www.artchive.com/artchive/B/bruegel.html"} +{"d:Title": "Web Gallery of Art: Bruegel", "d:Description": "Biography and archive of his best known works.", "topic": "Top/Arts/Art_History/Artists/B/Bruegel_the_Elder,_Pieter", "url": "http://www.wga.hu/frames-e.html?/html/b/bruegel/pieter_e/08/"} +{"d:Title": "BBC Radio 4: The Harvesters by Pieter Bruegel the Elder", "d:Description": "Twenty eight minute audio, with link to a high resolution copy of the original oil, describing the component scenes, narrated with sound effects with assistance from an historian of the open air museum, Bokrijk, Belgium.", "topic": "Top/Arts/Art_History/Artists/B/Bruegel_the_Elder,_Pieter", "url": "http://www.bbc.co.uk/programmes/b07w9jg5"} +{"d:Title": "Google Arts&Culture: Pieter Bruegel the Elder", "d:Description": "Collection of digitized copies of the Flemish Renaissance paintings in high resolution from museums worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Bruegel_the_Elder,_Pieter", "url": "https://www.google.com/culturalinstitute/beta/entity/m0h6nl"} +{"d:Title": "CGFA: Jan Brueghel the Elder", "d:Description": "Image gallery and artist's biography from MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://sunsite.icm.edu.pl/cjackson/jbrueghel1/"} +{"d:Title": "Jan Brueghel at Prado", "d:Description": "Artist's works from the faamous museum with historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.spanisharts.com/prado/jbruegel.htm"} +{"d:Title": "Olga's Gallery: Jan Brueghel the Elder", "d:Description": "Comprehensive collection of artist's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.abcgallery.com/B/bruegel/jan.html"} +{"d:Title": "Artcyclopedia: Jan Bruegel the Elder on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.artcyclopedia.com/artists/bruegel_the_elder_jan.html"} +{"d:Title": "Nancy Huntting: Jan Brueghel", "d:Description": "Article discussing the Hunters in the Snow painting with details of the painting included.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.nancyhuntting.net/Bruegel-Talk.html"} +{"d:Title": "National Gallery of Art - Jan Bruegel the Elder", "d:Description": "Two important works by the artist with detailed descriptions.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=213090&imageset=1"} +{"d:Title": "Web Gallery of Art: Brueghel, Jan the Elder", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.wga.hu/html/b/bruegel/jan_e/"} +{"d:Title": "Jan Brueghel the Elder at J. Paul Getty Museum", "d:Description": "Artist's biography and links to images of artist's works from the collection.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.getty.edu/art/collection/artists/686/jan-brueghel-the-elder-flemish-1568-1625/"} +{"d:Title": "The Metropolitan Museum of Art - Jan Brueghel, the Elder", "d:Description": "Features and discusses \"View of Heidelberg\", ca. 1588-89.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.metmuseum.org/collection/the-collection-online/search/337489"} +{"d:Title": "The Metropolitan Museum of Art - Jan Brueghel the Elder", "d:Description": "Presents \"A Woodland Road with Travelers\" - an oil painting by the Flemish artist.", "topic": "Top/Arts/Art_History/Artists/B/Brueghel_the_Elder,_Jan", "url": "http://www.metmuseum.org/collection/the-collection-online/search/435810"} +{"d:Title": "Bernard Buffet (1928 - 1999)", "d:Description": "Bernard Buffet Museum featuring the works of this French painter (57 years of painting, 57 works) in various media. (English, French)", "topic": "Top/Arts/Art_History/Artists/B/Buffet,_Bernard", "url": "http://museebernardbuffet.com/"} +{"d:Title": "Bernard Buffet: Landscapes&Beyond", "d:Description": "Collection of artist's works.", "topic": "Top/Arts/Art_History/Artists/B/Buffet,_Bernard", "url": "http://www.galerierienzo.com/exhibitions/2006/buffet_landscapes_beyond/list.html"} +{"d:Title": "Thais - 1200 Years of Italian Sculpture", "d:Description": "Image archive with good photographs of many of Michelangelo's sculptures. Text is in Italian and English.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.thais.it/scultura/michelan.htm"} +{"d:Title": "Artcyclopedia: Michelangelo Buonarroti", "d:Description": "Guide to 30 art museum sites and image archives where Michelangelo's paintings and sculptures can be viewed online.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://artcyclopedia.com/artists/michelangelo_buonarroti.html"} +{"d:Title": "Michelangelo - Olga's Gallery", "d:Description": "Comprehensive collection of the images of Michelangelo's works with biography and historical comments", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.abcgallery.com/M/michelangelo/michelangelo.html"} +{"d:Title": "Michelangelo Buonarroti, Renaissance Artist", "d:Description": "Narrative on the life of Michelangelo and his Renaissance art, with details on his paintings on the ceiling of the Sistine Chapel.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.citiesannex.com/michelangelo.htm"} +{"d:Title": "Life of an Artist: Michelangelo Buonarotti", "d:Description": "Includes a biography as written by Giorgio Vasari and a gallery.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.artist-biography.info/artist/michelangelo_buonarotti/"} +{"d:Title": "Poetry Archive: Michelangelo Buonarroti", "d:Description": "An index of poems by the Italian artist.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.poetry-archive.com/b/buonarroti_michelangelo.html"} +{"d:Title": "Cappella Sistina", "d:Description": "Pictorial overview of the Sistine Chapel. Detailed and complete.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://198.62.75.1/www1/sistine/0-Tour.html"} +{"d:Title": "Michelangelo Buonarroti", "d:Description": "Provides a comprehensive overview of Michelangelo's life. Three-part biography includes links to photographs of many of his works.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.michelangelo.com/buon/bio-index2.html"} +{"d:Title": "The Digital Michelangelo Project", "d:Description": "Home page of a group developing a way to scan Michelangelo's sculptures and represent them digitally in 3 dimensions.", "priority": "1", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://graphics.stanford.edu/projects/mich/"} +{"d:Title": "Web Gallery of Art: Visit to the Sistine Chapel in Vatican", "d:Description": "Virtual tour guides through the Sistine Chapel, presenting the ceiling frescoes painted by the artist, the Last Judgment, also by Michelangelo, and the frescoes on the side walls. Image galleries and history.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.wga.hu/tours/sistina/index.html"} +{"d:Title": "Michelangelo: The Flower of Florence", "d:Description": "An article about the artist, his life and his work and his time spent in Florence, Italy.", "topic": "Top/Arts/Art_History/Artists/B/Buonarroti,_Michelangelo", "url": "http://www.literarytraveler.com/articles/michelangelo_florence/"} +{"d:Title": "Journal of Contemporary Art - Victor Burgin", "d:Description": "Interview with Laura Cottingham discussion art, the process of art making and self-consciousness.", "topic": "Top/Arts/Art_History/Artists/B/Burgin,_Victor", "url": "http://www.jca-online.com/burgin.html"} +{"d:Title": "WebMuseum: Burne-Jones, Sir Edward Coley", "d:Description": "Short biography and limited number of pictures.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://www.ibiblio.org/wm/paint/auth/burne-jones/"} +{"d:Title": "Proofs Of Wood Engravings", "d:Description": "Wood proofs designed by Burne-Jones for William Morris's unpublished Earthly Paradise. Part of the University of Florida's Rare Book Collection. .", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://web.uflib.ufl.edu/spec/rarebook/bjones/index.htm"} +{"d:Title": "Victorian Web: Edward Coley Burne-Jones", "d:Description": "Biography, chronology and list of his works.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://www.victorianweb.org/painting/bj/"} +{"d:Title": "Artcyclopedia: Edward Burne-Jones", "d:Description": "Links to the artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://www.artcyclopedia.com/artists/burne-jones_edward.html"} +{"d:Title": "Olga's Gallery: Sir Edward Coley Burne-Jones", "d:Description": "Comprehensive collection of the images of Burne-Jones's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://www.abcgallery.com/B/burne-jones/burnejones.html"} +{"d:Title": "Edward Coley Burne-Jones (1833-1898)", "d:Description": "Notes on the life of the Pre-Raphaelite artist Edward Coley Burne-Jones, and links to related information.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://myweb.tiscali.co.uk/speel/paint/bj.htm"} +{"d:Title": "Humanities Web: Burne-Jones, Sir Edward Coley", "d:Description": "Biography, representative works and suggested further resources for the Pre-Raphaelite painter.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=i&ID=5"} +{"d:Title": "ARC: Edward Burne-Jones", "d:Description": "Images and biography on the British artist including his letters.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://www.artrenewal.org/pages/artist.php?artistid=72"} +{"d:Title": "Wikipedia: Edward Burne-Jones", "d:Description": "Encyclopedia article focused on the British artist's early life, career, influence and honors. Supports a gallery.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://en.wikipedia.org/wiki/Edward_Burne-Jones"} +{"d:Title": "The Grave of Edward Burne-Jones", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11454114"} +{"d:Title": "St Mary's Church Whitton", "d:Description": "Window designed by Burne-Jones and executed by William Morris in Norman church in Shropshire.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward/Stained_Glass", "url": "http://www.whitton-stmarys.org.uk/window.html"} +{"d:Title": "St Martin's Pre-Raphaelite Church, Brampton, Cumbria", "d:Description": "Designed by the Pre-Raphaelite architect Philip Webb, with stained glass windows designed by Sir Edward Burne-Jones, and executed in the William Morris studio.", "topic": "Top/Arts/Art_History/Artists/B/Burne-Jones,_Edward/Stained_Glass", "url": "http://www.stmartinsbrampton.org.uk/"} +{"d:Title": "Cazin, Jean Charles (1841-1901)", "d:Description": "One of the more intriguing and influential artists of the 19th century French Realist movement. This virtual exhibition includes a detailed biography, high-resolution images, listing of museums and bibliography.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.juliendupre.com/jean_charles_cazin_virtex.htm"} +{"d:Title": "Cauchois, Eugene Henri (1850-1911)", "d:Description": "Virtual exhibition featuring the works of the French artist who specialized in still life paintings. Offers a short biography and a selection of high resolution images.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.rehsgalleries.com/eugene_henri_cauchois_virtex.htm"} +{"d:Title": "Cavailles, Jules (1901 - 1977)", "d:Description": "Information about the life and works of the French poetic realist painter Jules Cavailles.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.jules-cavailles.com/"} +{"d:Title": "Cappiello, Leonetto (1875 - 1942)", "d:Description": "Life and work of the Italian artist and caricaturist.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.cappiello.fr/"} +{"d:Title": "Chatterton, Clarence Kerr (1880-1973)", "d:Description": "Offering information on the life and work of the American artist.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.ckchatterton.com/"} +{"d:Title": "Crowe, Eyre A.R.A. (1824-1910)", "d:Description": "An appreciation of the life and artwork of the British painter of historical and genre works, and friend of William Makepeace Thackeray.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.eyrecrowe.com/"} +{"d:Title": "Carroll, Frank (1932-2010)", "d:Description": "An oil painter from Massachusetts whose talent to work with a variety of subjects made his art appealing to all ages. Includes biography and portfolio.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.frankcarrollfineart.com/"} +{"d:Title": "Casson, Alfred Joseph", "d:Description": "Virtual gallery archives of the art works of this Canadian painter. Original oil paintings, reproductions and biographical information.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://groupofsevenart.com/AJ-Casson-Group-Of-Seven-Art"} +{"d:Title": "Carmichael, Franklin", "d:Description": "Virtual gallery archives of the art works of this Canadian painter. Original oil paintings, reproductions and biographical information.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.groupofsevenart.com/Frankin-Carmichael-Group-of-Seven-Art"} +{"d:Title": "Coolidge, Cassius (1844-1934)", "d:Description": "A biography on the artist Cassius Coolidge, who create the famous dogs playing poker series of paintings.", "topic": "Top/Arts/Art_History/Artists/C", "url": "http://www.dogsplayingpoker.org/bio/coolidge/"} +{"d:Title": "WebMuseum - Cabanel, Alexandre", "d:Description": "Features a couple of images by the French painter.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.ibiblio.org/wm/paint/auth/cabanel/"} +{"d:Title": "NCAW - Alexandre Cabanel's Portraits of American \"Aristocracy\"", "d:Description": "Informative article on Cabanel's portraits by Leanne Zalewski.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.19thc-artworldwide.org/index.php/component/content/article/64-spring05article/300--alexandre-cabanels-portraits-of-the-american-aristocracy-of-the-early-gilded-age"} +{"d:Title": "Wikipedia: Alexandre Cabanel (1823 - 1889)", "d:Description": "Encyclopedia article featuring a biography, list of pupils and selected work presented in a gallery regarding the French painter.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://en.wikipedia.org/wiki/Alexandre_Cabanel"} +{"d:Title": "ARC: Alexandre Cabanel", "d:Description": "Presents a gallery including some of them in high resolution, portraits and letters are also available.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.artrenewal.org/pages/artist.php?artistid=5"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of Cabanel's masterpieces 'The Birth of Venus'.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.metmuseum.org/toah/works-of-art/94.24.1"} +{"d:Title": "National Portrait Gallery", "d:Description": "Provides two Cabanel's portraits done by Charles Reutlinger and Louis Damman.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp82487"} +{"d:Title": "Artcyclopedia: Alexandre Cabanel", "d:Description": "Links to works by the French artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.artcyclopedia.com/artists/cabanel_alexandre.html"} +{"d:Title": "Alexandre Cabanel - The tradition of beauty", "d:Description": "Information, catalogue and images of the exhibition in the Wallraf das Museum.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.wallraf.museum/index.php?id=250&L=1"} +{"d:Title": "Lines and Colors - Alexandre Cabanel", "d:Description": "Article reviewing the French artist's style and technique.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.linesandcolors.com/2009/01/01/alexandre-cabanel/"} +{"d:Title": "Humanities Web: Alexandre Cabanel", "d:Description": "Biography, selected works and chronological events on the French artist's life.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=6"} +{"d:Title": "The Athenaeum: Alexandre Cabanel", "d:Description": "Gallery showing 25 artworks done by the French artist.", "topic": "Top/Arts/Art_History/Artists/C/Cabanel,_Alexandre", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=362"} +{"d:Title": "Caillebotte, Gustave", "d:Description": "One of the lesser known impressionist painters, Caillebotte, was one of the first to be influenced by the medium of photography.", "topic": "Top/Arts/Art_History/Artists/C/Caillebotte,_Gustave", "url": "http://www.ibiblio.org/wm/paint/auth/caillebotte/"} +{"d:Title": "Caillebotte, Gustave", "d:Description": "Includes the artist's biography, images of his works, and links to related sites.", "topic": "Top/Arts/Art_History/Artists/C/Caillebotte,_Gustave", "url": "http://www.gustavcaillebotte.org/"} +{"d:Title": "Artcyclopedia: Massimo Campigli", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Campigli,_Massimo", "url": "http://www.artcyclopedia.com/artists/campigli_massimo.html"} +{"d:Title": "Estorick Collection of Modern Italian Art", "d:Description": "Brief Campigli's biography including one of his artworks 'Il Belvedere'.", "topic": "Top/Arts/Art_History/Artists/C/Campigli,_Massimo", "url": "http://www.estorickcollection.com/permanent/Massimo_Campigli.php"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows nine Campigli's portraits done by Ida Kar.", "topic": "Top/Arts/Art_History/Artists/C/Campigli,_Massimo", "url": "http://www.npg.org.uk/collections/search/person/mp95038/massimo-campigli-ne-max-ihlenfeld"} +{"d:Title": "WebMuseum: Fl\u00e9malle, Master of (Campin, Robert)", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.ibiblio.org/wm/paint/auth/flemalle/"} +{"d:Title": "Robert Campin (Master of Flemalle) - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.abcgallery.com/C/campin/campin.html"} +{"d:Title": "Artcyclopedia: Robert Campin", "d:Description": "Links to artist's works by in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.artcyclopedia.com/artists/campin_robert.html"} +{"d:Title": "Web Gallery of Art: Master of Fl\u00e9malle", "d:Description": "Image gallery of Robert Campin's works and a biography.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.wga.hu/frames-e.html?/html/m/master/flemalle/1/"} +{"d:Title": "Wikipedia: Robert Campin (c. 1375 \u2013 1444)", "d:Description": "Encyclopedia article featuring the Master of Fl\u00e9malle's life, identity, style and early works.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://en.wikipedia.org/wiki/Robert_Campin"} +{"d:Title": "Art Renewal Center - Robert Campin", "d:Description": "Provides images of the Early Netherlandish artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2799"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Proper analysis on one of Campin's masterpieces 'Annunciation Triptych'.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.metmuseum.org/toah/works-of-art/56.70"} +{"d:Title": "Artchive - Robert Campin", "d:Description": "Biography and 4 examples of his art.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.artchive.com/artchive/C/campin.html"} +{"d:Title": "The National Gallery", "d:Description": "Features a biography and analysis on each Campin's artworks listed.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.nationalgallery.org.uk/artists/robert-campin"} +{"d:Title": "Biblical art by Campin", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.artbible.info/art/work/robert-campin"} +{"d:Title": "Humanities Web: Robert Campin", "d:Description": "Artist's biography, selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=180"} +{"d:Title": "NGA: Robert Campin", "d:Description": "Background information regarding his masterpiece 'Madonna and Child with Saints in the Enclosed Garden'.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.45888.html"} +{"d:Title": "Getty Museum: Robert Campin", "d:Description": "Brief biography regarding the Netherlands artist including background information on one of his masterpieces 'Madonna of Humility'.", "topic": "Top/Arts/Art_History/Artists/C/Campin,_Robert", "url": "http://www.getty.edu/art/collection/artists/3536/robert-campin-netherlandish-about-1375-1444/"} +{"d:Title": "Canaletto - Giovanni Antonio Canal", "d:Description": "Article about the exhibition \"An Imaginary Venice\" at the Museo Thyssen-Bornemisza in Madrid.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.cosmopolis.ch/english/cosmo18/canaletto.htm"} +{"d:Title": "Olga's Gallery: Giovanni Antonio Canale, called Canaletto", "d:Description": "Comprehensive collection of images with a full biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.abcgallery.com/C/canaletto/canaletto.html"} +{"d:Title": "WebMuseum: Canaletto", "d:Description": "Artist's biography and images.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.ibiblio.org/wm/paint/auth/canaletto/"} +{"d:Title": "Artcyclopedia: Canaletto", "d:Description": "Links to works by Canaletto in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.artcyclopedia.com/artists/canaletto.html"} +{"d:Title": "Web Gallery of Art: Canaletto", "d:Description": "Collection of images of artist's paintings with a biography.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.wga.hu/html/c/canalett/"} +{"d:Title": "Wikipedia: Canaletto (1697 \u2013 1768)", "d:Description": "Encyclopedia article presenting the Italian painter's early career, popularity and emphasis on his works in England.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://en.wikipedia.org/wiki/Canaletto"} +{"d:Title": "Art Renewal Center - Canaletto", "d:Description": "Provides images of the Italian artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2632"} +{"d:Title": "Mark Harden's Artchive", "d:Description": "Canaletto's biography.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.artchive.com/artchive/C/canaletto.html"} +{"d:Title": "The National Gallery - Canaletto", "d:Description": "Provides a biography and analysis on each of Canaletto's artworks featured.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.nationalgallery.org.uk/artists/canaletto"} +{"d:Title": "The Athenaeum - Canaletto", "d:Description": "Gallery showing 60 artworks done by the Italian artist born in Venice.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1345"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Canaletto's gallery including date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.artic.edu/aic/collections/artwork/artist/Canaletto"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Brief Canaletto's biography along with background information on three of his masterpieces.", "topic": "Top/Arts/Art_History/Artists/C/Canaletto", "url": "http://www.getty.edu/art/collection/artists/828/canaletto-giovanni-antonio-canal-italian-1697-1768/"} +{"d:Title": "Caravaggio - Image Gallery", "d:Description": "An Online Gallery Featuring many Hi Res images of the artist", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.christusrex.org/www2/art/caravaggio.htm"} +{"d:Title": "WebMuseum: Caravaggio, Michelangelo Merisi da", "d:Description": "Provides a brief biography, images and commentary on this Italian artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.ibiblio.org/wm/paint/auth/caravaggio/"} +{"d:Title": "Olga's Gallery: Caravaggio", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.abcgallery.com/C/caravaggio/caravaggio.html"} +{"d:Title": "Caravaggio", "d:Description": "A comprehensive collection of the artist's works, with historical comments on each piece of work.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.wga.hu/html/c/caravagg/"} +{"d:Title": "Wikipedia: Caravaggio (c. 1572-1610)", "d:Description": "Encyclopedia article providing an extensive biography including his influence to the birth of baroque.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://en.wikipedia.org/wiki/Michelangelo_Merisi_Da_Caravaggio"} +{"d:Title": "The Grave of Caravaggio", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=25127178"} +{"d:Title": "Art Renewal Center - Caravaggio", "d:Description": "Provides images of the Italian artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.artrenewal.org/pages/artist.php?artistid=589"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Caravaggio's biography including a slideshow containing his known masterpieces. Each artwork featured has background information.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.metmuseum.org/toah/hd/crvg/hd_crvg.htm"} +{"d:Title": "Artchive - Caravaggio", "d:Description": "Biography and 15 examples of his art.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.artchive.com/artchive/C/caravaggio.html"} +{"d:Title": "The National Gallery", "d:Description": "Comprehensive Caravaggio's biography together with proper analysis on three masterpieces such as 'Boy bitten by a Lizard' and 'The Supper at Emmaus'.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.nationalgallery.org.uk/artists/michelangelo-merisi-da-caravaggio"} +{"d:Title": "Biblical art by Caravaggio", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.artbible.info/art/work/caravaggio"} +{"d:Title": "Caravaggio - The Night Prince", "d:Description": "Compendium of separate subdivisions articles towards the Milanese artist together with images of his works at the Jacques-Edouard Berger Foundation.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.bergerfoundation.ch/Caravage/E/"} +{"d:Title": "Caravaggio and the camera obscura", "d:Description": "Article covering the link between the artist and camera obscura technology.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.webexhibits.org/hockneyoptics/post/grundy7.html"} +{"d:Title": "Humanities Web: Caravaggio", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=153"} +{"d:Title": "Artble: Caravaggio", "d:Description": "Covers the Milanese artist's biography, style, technique, followers and critical reception. Images of his artworks are also available.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.artble.com/artists/caravaggio"} +{"d:Title": "The Athenaeum - Caravaggio", "d:Description": "Provides 68 artworks done by the Italian artist born in Milan.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1935"} +{"d:Title": "The mystery of Caravaggio's death solved at last", "d:Description": "Article showing how dramatic Caravaggio's short life was, researchers say he may have quite literally died for his art.", "topic": "Top/Arts/Art_History/Artists/C/Caravaggio,_Michelangelo_Merisi_da", "url": "http://www.theguardian.com/artanddesign/2010/jun/16/caravaggio-italy-remains-ravenna-art"} +{"d:Title": "Catholic Encyclopedia: Vittore Carpaccio", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.newadvent.org/cathen/03373c.htm"} +{"d:Title": "Vittore Carpaccio - Olga's Gallery", "d:Description": "Collection of works of the Italian Renaissance artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.abcgallery.com/C/carpaccio/carpaccio.html"} +{"d:Title": "Web Gallery of Art - Carpaccio, Vittore", "d:Description": "Image gallery of artist works with a biography.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.wga.hu/html/c/carpacci/"} +{"d:Title": "ARC: Vittore Carpaccio", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.artrenewal.org/pages/artist.php?artistid=616"} +{"d:Title": "Wikipedia: Vittore Carpaccio (c. 1465 - 1525/1526)", "d:Description": "Artist's biography including a list of his main works.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://en.wikipedia.org/wiki/Vittore_Carpaccio"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Proper analysis on one of Carpaccio's masterpieces 'Studies of a Seated Youth in Armor'.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.metmuseum.org/toah/works-of-art/54.119"} +{"d:Title": "The National Gallery", "d:Description": "Brief introductory biography including background information on 'The Departure of Ceyx'.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.nationalgallery.org.uk/artists/vittore-carpaccio"} +{"d:Title": "National Gallery of Art - Vittore Carpaccio", "d:Description": "Provides a biography, and a list of paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1092.html"} +{"d:Title": "Getty Museum: Vittore Carpaccio", "d:Description": "Biography together with background information on four masterpieces.", "topic": "Top/Arts/Art_History/Artists/C/Carpaccio,_Vittore", "url": "http://www.getty.edu/art/collection/artists/790/vittore-carpaccio-italian-about-1460-1526/"} +{"d:Title": "To the Totem Forests: Emily Carr and Contemporaries Interpret Coastal Villages", "d:Description": "An exhibit of Canadian paintings regarding the artist and her contemporaries - Langdon Kihn, George Pepper, Walter J. Phillips, Frederick Marlett Bell-Smith, A.Y. Jackson - and historical photographs.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.emilycarr.org/"} +{"d:Title": "Emily Carr At Home and At Work", "d:Description": "Contains hundreds of images of her life and work, a virtual tour of her childhood home, and teaching aids for students and teachers.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.emilycarr.net/"} +{"d:Title": "Artcyclopedia: Emily Carr", "d:Description": "Links to the Canadian artist's work in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.artcyclopedia.com/artists/carr_emily.html"} +{"d:Title": "Grave of Emily Carr", "d:Description": "Her burial place at Ross Bay Cemetery, Victoria BC.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2547"} +{"d:Title": "Wikipedia: Emily Carr", "d:Description": "Presents a biography, artworks and links to further reading regarding the Canadian artist.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://en.wikipedia.org/wiki/Emily_Carr"} +{"d:Title": "Artchive - Emily Carr", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.artchive.com/artchive/C/carr.html"} +{"d:Title": "The Art History Archive - Emily Carr", "d:Description": "Detailed biography together with a chronology list of her paintings.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.arthistoryarchive.com/arthistory/canadian/Emily-Carr.html"} +{"d:Title": "National Gallery of Canada", "d:Description": "Comprehensive biography and images of her work.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=915"} +{"d:Title": "The Athenaeum - Emily Carr", "d:Description": "Provides 18 artworks done by the Canadian artist born in Victoria.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=460"} +{"d:Title": "Art Gallery of Greater Victoria", "d:Description": "Features Carr's gallery including date, period and medium.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://aggv.ca/collection/emily-carr"} +{"d:Title": "Virtual Museum of Canada - Emily Carr Image Gallery", "d:Description": "A selection of digital images from Canadian art gallery holdings.", "topic": "Top/Arts/Art_History/Artists/C/Carr,_Emily", "url": "http://www.virtualmuseum.ca/virtual-exhibits/exhibit/emily-carr/"} +{"d:Title": "Web Gallery of Art: Carracci, Annibale", "d:Description": "Gallery of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.wga.hu/frames-e.html?/html/c/carracci/annibale/index.html"} +{"d:Title": "WebMuseum: Carracci", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.ibiblio.org/wm/paint/auth/carracci/"} +{"d:Title": "Drawings of Annibale Carracci at NGA", "d:Description": "Collection of artist's drawings, essays and bibliography.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.nga.gov/exhibitions/car_toc.htm"} +{"d:Title": "ARC: Annibale Carracci (1560-1609)", "d:Description": "Collection of images of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.artrenewal.org/pages/artist.php?artistid=630"} +{"d:Title": "Wikipedia: Annibale Carracci", "d:Description": "Encyclopedia article featuring the Italian Baroque painter's early career, chronology of his works and footnotes.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://en.wikipedia.org/wiki/Annibale_Carracci"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Carracci's biography including a slideshow containing his known masterpieces. Each artwork featured has background information.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.metmuseum.org/toah/hd/carr/hd_carr.htm"} +{"d:Title": "Artchive - Annibale Carracci", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.artchive.com/artchive/C/carracci.html"} +{"d:Title": "The National Gallery", "d:Description": "Carracci's biography together with proper analysis on each painting featured.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.nationalgallery.org.uk/artists/annibale-carracci"} +{"d:Title": "Artble: Annibale Carracci", "d:Description": "Covers the Bolognese painter's biography, style, technique, and critical reception.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.artble.com/artists/annibale_carracci"} +{"d:Title": "Humanities Web: Annibale Carracci", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=204"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Carracci's gallery including date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.artic.edu/aic/collections/artwork/artist/Carracci,+Annibale"} +{"d:Title": "Getty Museum: Carracci", "d:Description": "Brief biography including background information on two paintings: 'Self-Portrait' and 'Studies of heads'.", "topic": "Top/Arts/Art_History/Artists/C/Carracci,_Annibale", "url": "http://www.getty.edu/art/collection/artists/489/annibale-carracci-italian-1560-1609/"} +{"d:Title": "Artcyclopedia: Mary Cassatt", "d:Description": "Guide to 45 art museum sites and image archives where the impressionist paintings can be viewed online.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.artcyclopedia.com/artists/cassatt_mary.html"} +{"d:Title": "Olga's Gallery: Mary Cassatt", "d:Description": "Collection of the images of her works along with a brief biography.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.abcgallery.com/C/cassatt/cassatt.html"} +{"d:Title": "WebMuseum: Cassatt, Mary", "d:Description": "Brief biography and examples of the artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.ibiblio.org/wm/paint/auth/cassatt/"} +{"d:Title": "Mary Cassatt - Modern Woman", "d:Description": "Overview of the October 13, 1998, through January 10, 1999 exhibition which featured approximately 100 of the artist's paintings, pastels, drawings, and prints, from public and private collections. Art Institute of Chicago.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.tfaoi.com/newsmu/nmus1d.htm"} +{"d:Title": "AskART - Mary Stevenson Cassatt", "d:Description": "Auction results, biographies, images and books regarding this American Impressionist artist.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.askart.com/askart/c/mary_stevenson_cassatt/mary_stevenson_cassatt.aspx"} +{"d:Title": "Mary Cassatt Foundation", "d:Description": "Artist's biography and images of her works. Information about foundation, news, membership.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.lesamisdemarycassatt.fr/"} +{"d:Title": "Sheldon Museum of Art: Mary Cassatt", "d:Description": "Biographical information and artwork.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.sheldonartmuseum.org/collection/search.html?topic=artistdetail&clct_artist_full_name=Mary+Cassatt&clct_id=5890"} +{"d:Title": "Wikipedia: Mary Cassatt (1844-1926)", "d:Description": "Article featuring a biography, her influence to Impressionism as well as a gallery showing her work.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://en.wikipedia.org/wiki/Mary_Cassatt"} +{"d:Title": "Mary Cassatt - The Metropolitan Museum of Art", "d:Description": "Explore the life, times, and works of art of the female American Impressionist through her biography and a slideshow presenting her works of art.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.metmuseum.org/toah/hd/cast/hd_cast.htm"} +{"d:Title": "Find A Grave - Mary Cassatt", "d:Description": "Location and pictures of her grave, biography is also available.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8090"} +{"d:Title": "Art Renewal Center - Mary Cassatt", "d:Description": "Provides images of the American artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.artrenewal.org/pages/artist.php?artistid=631"} +{"d:Title": "National Gallery of Art", "d:Description": "Features an overview of Cassat's work including images.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.nga.gov/collection/gallery/ggcassattptg/ggcassattptg-main1.html/"} +{"d:Title": "Artchive: Cassatt", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.artchive.com/artchive/C/cassatt.html"} +{"d:Title": "Humanities Web: Mary Cassatt", "d:Description": "Features a biography as well as a list of her selected works, quotations and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=7"} +{"d:Title": "The Athenaeum: Cassatt", "d:Description": "Provides 289 artworks done by the American artist born in Pennsylvania.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=374"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Cassat's gallery including date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.artic.edu/aic/collections/artwork/artist/Cassatt,+Mary"} +{"d:Title": "Adelson Galleries - Mary Cassatt", "d:Description": "Provides images regarding the American artist's work. Includes a biography, selected press and exhibitions.", "topic": "Top/Arts/Art_History/Artists/C/Cassatt,_Mary", "url": "http://www.adelsongalleries.com/artists/cassatt-mary/"} +{"d:Title": "Artcyclopedia: Paul C\u00e9zanne", "d:Description": "Guide to 50 art museum sites and image archives where his artwork can be viewed online.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.artcyclopedia.com/artists/cezanne_paul.html"} +{"d:Title": "Olga's Gallery: Paul C\u00e9zanne", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.abcgallery.com/C/cezanne/cezanne.html"} +{"d:Title": "WebMuseum: C\u00e9zanne, Paul", "d:Description": "Good introduction to his life and works.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.ibiblio.org/wm/paint/auth/cezanne/"} +{"d:Title": "Wikipedia - Paul Cezanne", "d:Description": "Encyclopedia article featuring his life and work, together with his legacy and a gallery.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_C%C3%A9zanne"} +{"d:Title": "Find A Grave - Paul Cezanne", "d:Description": "Location and pictures of his grave, biography is also available.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6760"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Comprehensive biography including a slideshow with proper analysis on each painting featured.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.metmuseum.org/toah/hd/pcez/hd_pcez.htm"} +{"d:Title": "Mark Harden's Artchive: Cezanne", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.artchive.com/artchive/C/cezanne.html"} +{"d:Title": "The National Gallery", "d:Description": "Presents Cezanne's biography and background information per painting.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.nationalgallery.org.uk/artists/paul-cezanne"} +{"d:Title": "Humanities Web: Paul Cezanne", "d:Description": "Features a biography as well as a list of his selected works, quotations and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=8"} +{"d:Title": "Pioneering Modern Painting: C\u00e9zanne and Pissarro", "d:Description": "Analysis on Cezanne's style in comparison with other contemporary artists of that time.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.haberarts.com/cezannep.htm"} +{"d:Title": "Artble: Paul Cezanne", "d:Description": "Presents a biography, style, technique, and critical reception regarding the Post-Impressionist artist. Images of his famous works include date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.artble.com/artists/paul_cezanne"} +{"d:Title": "The Art Story: Paul Cezanne", "d:Description": "Comprehensive biography covering his childhood, early training, late periods, legacy and additional quotes.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.theartstory.org/artist-cezanne-paul.htm"} +{"d:Title": "The Athenaeum: Paul Cezanne", "d:Description": "Provides 498 artworks done by the French artist born in Aix-en-Provence.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=326"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Cezanne's gallery including date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.artic.edu/aic/collections/artwork/artist/Cezanne,+Paul"} +{"d:Title": "Wisdom Supreme: Paul Cezanne", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.wisdomsupreme.com/dictionary/paul-cezanne.php"} +{"d:Title": "National Gallery of Art - Paul Cezanne", "d:Description": "Provides a biography, and a list of paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1115.html"} +{"d:Title": "Tate Collection: Paul Cezanne", "d:Description": "Provides a total of 6 artworks, including historical background information on most paintings.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.tate.org.uk/art/artists/paul-cezanne-879"} +{"d:Title": "Guggenheim: Paul Cezanne", "d:Description": "Artist's works from museum collection.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/1296"} +{"d:Title": "Getty Museum: Paul Cezanne", "d:Description": "Brief biography regarding the French artist including background information on 5 of his works.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.getty.edu/art/collection/artists/254/paul-czanne-french-1839-1906/"} +{"d:Title": "MoMA Collection: Paul Cezanne", "d:Description": "Provides a biography based on his life and working methods. A slideshow showing images of his work is also available.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.moma.org/collection/artists/1053"} +{"d:Title": "C\u00e9zanne 2006", "d:Description": "The C\u00e9zanne in Provence exhibition at the National Gallery of Art (NGA), Washington and the Granet Museum, Aix-en-Provence, France.", "topic": "Top/Arts/Art_History/Artists/C/C\u00e9zanne,_Paul", "url": "http://www.nga.gov/exhibitions/2006/cezanne/"} +{"d:Title": "Graphic Work of Marc Chagall", "d:Description": "Wuyt Art is dedicated to the graphical work of the artist. The website contains many medium-sized images, mainly of lithographs, woodcuts and etchings. The images are groupped by periods.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.chagall.nl/"} +{"d:Title": "Coast Galleries - Marc Chagall Collection", "d:Description": "Offering a brief biography and prints bearing seals from the printer.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.coastgalleries.com/chagall/"} +{"d:Title": "Marc Chagall Paintings", "d:Description": "Features a biography and some paintings.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.chagallpaintings.org/"} +{"d:Title": "Humanities Web: Marc Chagall", "d:Description": "Short biography, images of the representative works and suggested further resources.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=i&ID=9"} +{"d:Title": "Olga's Gallery: Marc Chagall", "d:Description": "Collection of works of the artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.abcgallery.com/C/chagall/chagall.html"} +{"d:Title": "Artchive: Marc Chagall", "d:Description": "Contains the artist's biography, list of books about his creations from Amazon, and selected images.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.artchive.com/artchive/C/chagall.html"} +{"d:Title": "Wikipedia: Marc Chagall", "d:Description": "Encyclopedia article featuring his early life, education, career, styles and technique.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://en.wikipedia.org/wiki/Marc_Chagall"} +{"d:Title": "The Grave of Marc Chagall", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3759"} +{"d:Title": "The Art Story: Marc Chagall", "d:Description": "Comprehensive biography covering his childhood, early training, periods, legacy and additional quotes.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.theartstory.org/artist-chagall-marc.htm"} +{"d:Title": "National Gallery of Canada", "d:Description": "Chagall's biography including awards and historical comments on his artworks.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=949"} +{"d:Title": "The Athenaeum: Marc Chagall", "d:Description": "Provides 13 artworks done by the Russian-French artist born in Liozna.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=17"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Chagall's gallery including date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.artic.edu/aic/collections/artwork/artist/Chagall,+Marc"} +{"d:Title": "National Gallery of Art", "d:Description": "Provides a list of Chagall's paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1117.html"} +{"d:Title": "Tate Collection: Marc Chagall", "d:Description": "Provides a total of 7 artworks, including historical background information on most paintings.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.tate.org.uk/art/artists/marc-chagall-881"} +{"d:Title": "Marc Chagall at Weinstein Gallery", "d:Description": "Information, biography, curriculum vitae, and reviews, with images of many lithographs, paintings, sketches, and original works for sale.", "priority": "1", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.weinstein.com/artists/marc-chagall/"} +{"d:Title": "MoMA Collection: Marc Chagall", "d:Description": "Gallery slideshow.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.moma.org/collection/artists/1055"} +{"d:Title": "Guggenheim: Marc Chagall", "d:Description": "Background information on four of his artworks including 'Green Violinist' and 'Paris through the window'.", "topic": "Top/Arts/Art_History/Artists/C/Chagall,_Marc", "url": "http://www.guggenheim.org/artwork/artist/marc-chagall"} +{"d:Title": "WebMuseum: Chardin, Jean-Baptiste-Sim\u00e9on", "d:Description": "Brief article on the 18th century French master (1699-1779) and some paintings.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.ibiblio.org/wm/paint/auth/chardin/"} +{"d:Title": "Olga's Gallery: Jean-Baptiste-Simeon Chardin", "d:Description": "Collection of works of a French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.abcgallery.com/C/chardin/chardin.html"} +{"d:Title": "Wikipedia - Jean-Sim\u00e9on Chardin", "d:Description": "Encyclopedia article featuring his life, work, influences and external links for further reading.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://en.wikipedia.org/wiki/Jean-Sim%C3%A9on_Chardin"} +{"d:Title": "ARC: Jean-Sim\u00e9on Chardin", "d:Description": "Provides images of the French artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2633"} +{"d:Title": "Soap Bubbles", "d:Description": "Analysis on Chardin's painting at The Metropolitan Museum of Art.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.metmuseum.org/toah/works-of-art/49.24"} +{"d:Title": "Web Gallery of Art: Chardin", "d:Description": "Collection of artist's works with a biography and comments on most paintings.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.wga.hu/html/c/chardin/1/index.html"} +{"d:Title": "Artchive - Jean-Sim\u00e9on Chardin", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.artchive.com/artchive/C/chardin.html"} +{"d:Title": "Getty Museum: Jean-Sim\u00e9on Chardin", "d:Description": "Brief biography and background information on three paintings.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=539"} +{"d:Title": "Chardin's Enchanting and Ageless Moments", "d:Description": "Article focused on the French artist career at the New York Times.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.nytimes.com/2010/12/23/arts/23iht-chardin23.html"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "This major loan exhibition offers a survey of Chardin's distinguished career as a still life and genre painter, as seen in sixty-six works from international collections.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.nationalgallery.org.uk/artists/jean-simeon-chardin"} +{"d:Title": "Humanities Web: Jean-Sim\u00e9on Chardin", "d:Description": "Features a biography as well as a list of his selected works, quotations and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=62"} +{"d:Title": "National Gallery of Canada", "d:Description": "Chardin's biography and historical comments on his artworks 'The Governess' and 'The Return from the Market'.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=965"} +{"d:Title": "National Gallery of Art - Chardin", "d:Description": "Provides a list of his paintings along with a biography.", "topic": "Top/Arts/Art_History/Artists/C/Chardin,_Jean-Sim\u00e9on", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1127.html"} +{"d:Title": "Edition Art Magazine - Michael Ray Charles", "d:Description": "Brief biography.", "topic": "Top/Arts/Art_History/Artists/C/Charles,_Michael_Ray", "url": "http://www.editionedartmag.com/artist-bios/michael-ray-charles"} +{"d:Title": "Public Broadcasting Service: Michael Ray Charles", "d:Description": "Provides a biography, interviews, essays and images of his works.", "topic": "Top/Arts/Art_History/Artists/C/Charles,_Michael_Ray", "url": "http://www.pbs.org/art21/artists/michael-ray-charles"} +{"d:Title": "Artcyclopedia: William Merritt Chase", "d:Description": "Guide to art museum sites and image archives for the American impressionist painter.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.artcyclopedia.com/artists/chase_william_merritt.html"} +{"d:Title": "WebMuseum: Chase, William Merritt", "d:Description": "Brief biographical note and artwork images for the American painter.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.ibiblio.org/wm/paint/auth/chase/"} +{"d:Title": "William Merritt Chase - Wikipedia, the free encyclopedia", "d:Description": "Encyclopedia article offers a brief biography a gallery of selected artworks.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://en.wikipedia.org/wiki/William_Merritt_Chase"} +{"d:Title": "William Merritt Chase: Modern American Landscapes, 1886-1890", "d:Description": "Article provides details about the Brooklyn Museum of Art exhibit (May 26 - August 13, 2000). Includes short biographical note.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.tfaoi.com/aa/1aa/1aa435.htm"} +{"d:Title": "ARC: William Merritt Chase (1849-1916)", "d:Description": "Display of featured artworks, biographical information and photograph of the artist.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.artrenewal.org/pages/artist.php?artistid=429"} +{"d:Title": "William Merritt Chase", "d:Description": "Brief article about the artist's painting \"Sunlight and Shadow\" on permanent display at the Joslyn Art Museum.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.joslyn.org/collections-and-exhibitions/permanent-collections/american/william-merritt-chase-sunlight-and-shadow/"} +{"d:Title": "Find A Grave - William Merritt Chase (1849 - 1916)", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3352"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows Chase's style by analyzing one of his works 'At the Seaside'.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.metmuseum.org/toah/works-of-art/67.187.123"} +{"d:Title": "The City Review - Chase", "d:Description": "Comprehensive biography towards the impressionist American painter.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.thecityreview.com/chase.html"} +{"d:Title": "Sullivan Goss - William Merrit Chase", "d:Description": "Complete essay on the American painter by Danielle Peltakian. Provides a biography, analysis on his works, chronology of events and awards.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.sullivangoss.com/william_merritt_chase/"} +{"d:Title": "Sheldon Museum of Art", "d:Description": "Chase's biographical information.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.sheldonartmuseum.org/collection/index.html?topic=artistdetail&clct_artist_full_name=William+Merritt+Chase&clct_id=5898"} +{"d:Title": "The Athenaeum - William Merritt Chase", "d:Description": "Features a total of 358 works of the American painter in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=106"} +{"d:Title": "National Gallery of Art - William Merritt Chase", "d:Description": "Presents a brief biography along with analysis on one of his masterpieces 'A Friendly Call'.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.3205.html"} +{"d:Title": "Adelson Galleries - William Merritt Chase", "d:Description": "Provides two images regarding the leading impressionist painter's work. Includes a biography, selected press and exhibitions.", "topic": "Top/Arts/Art_History/Artists/C/Chase,_William_Merritt", "url": "http://www.adelsongalleries.com/artists/chase-william-merritt/"} +{"d:Title": "Artchive: Giorgio De Chirico", "d:Description": "Essay from Robert Hughes, and links to images on the web.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.artchive.com/artchive/D/de_chiricobio.html"} +{"d:Title": "Giorgio de Chirico - Olga's Gallery", "d:Description": "Collection of works of the Italian Surrealist artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.abcgallery.com/C/chirico/chirico.html"} +{"d:Title": "Wikipedia: Giorgio De Chirico", "d:Description": "Encyclopedia article offers a brief biography, legacy and list of selected artworks.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://en.wikipedia.org/wiki/Giorgio_De_Chirico"} +{"d:Title": "Artcyclopedia: Giorgio De Chirico", "d:Description": "Guide to art museum sites and image archives for the Italian Surrealist Painter and Sculptor.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.artcyclopedia.com/artists/de_chirico_giorgio.html"} +{"d:Title": "Bella Gallery: Chirico", "d:Description": "Images and a short profile.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.mcs.csueastbay.edu/~malek/Chirico.html"} +{"d:Title": "Estorick Collections", "d:Description": "Brief De Chirico's biography including an image 'Revolt of the Sage'.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.estorickcollection.com/permanent/Giorgio_de_Chirico.php"} +{"d:Title": "IMDB: Giorgio De Chirico", "d:Description": "Biography provided by the Internet Movie Database.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.imdb.com/name/nm1804724/bio"} +{"d:Title": "Giorgio de Chirico", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.giorgio-de-chirico.com/"} +{"d:Title": "The Art Story: Giorgio De Chirico", "d:Description": "Comprehensive biography covering his childhood, periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.theartstory.org/artist-de-chirico-giorgio.htm"} +{"d:Title": "Peggy Guggenheim Collection", "d:Description": "Artist's works from museum collection includes a biography.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.guggenheim-venice.it/inglese/collections/artisti/biografia.php?id_art=48"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Chirico's small gallery.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.artic.edu/aic/collections/artwork/artist/Chirico,+Giorgio+de"} +{"d:Title": "Tate Collection: Giorgio De Chirico", "d:Description": "Provides a total of 3 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.tate.org.uk/art/artists/giorgio-de-chirico-902"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Shows De Chirico's signature composition by analyzing one of his works 'Ariadne'.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.metmuseum.org/collection/the-collection-online/search/486740"} +{"d:Title": "MoMA Collection: Giorgio De Chirico", "d:Description": "Provides a biography based on his life and working methods. A slideshow presenting images of his work is also available.", "topic": "Top/Arts/Art_History/Artists/C/Chirico,_Giorgio_de", "url": "http://www.moma.org/collection/artists/1106"} +{"d:Title": "Christo and Jeanne-Claude", "d:Description": "Features photographs and information of completed artworks and works in progress. Includes bibliography, artist biographies and an interview.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.christojeanneclaude.net/"} +{"d:Title": "Stanford Presidential Lectures: Christo&Jeanne-Claude", "d:Description": "Includes biographical and bibliographical information about the subjects as well as essays, texts and commentaries.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://prelectur.stanford.edu/lecturers/christo/"} +{"d:Title": "Wikipedia - Christo and Jeanne-Claude", "d:Description": "Article provides early history and a list of major artworks by the couple practicing environmental, installation art.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://en.wikipedia.org/wiki/Christo"} +{"d:Title": "Find A Grave - Jeanne-Claude (1935 - 2009)", "d:Description": "Location and pictures of her grave including brief biography.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=44584034"} +{"d:Title": "Art Obituaries - Jeanne-Claude", "d:Description": "Brief biography covering the different projects she had together with her husband.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.telegraph.co.uk/news/obituaries/culture-obituaries/art-obituaries/6614804/Jeanne-Claude.html"} +{"d:Title": "Journal of Contemporary Art", "d:Description": "Christo and Jeanne-Claude interview (in text) by Gianfranco Mantegna.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.jca-online.com/christo.html"} +{"d:Title": "Over the River", "d:Description": "Official website regarding the Project for the Arkansas River. Provides news, gallery and information on Christo and Jeanne_Claude.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.overtheriverinfo.com/"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Christo's gallery including date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.artic.edu/aic/collections/artwork/artist/Christo"} +{"d:Title": "NGA: Christo", "d:Description": "Selection of the artist's works with commentary provided by the National Gallery of Art.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.6937.html"} +{"d:Title": "Jeanne-Claude dies aged 74", "d:Description": "Article reporting Claude's demise and her relationship with Christo.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.theguardian.com/artanddesign/2009/nov/19/jeanne-claude-christo"} +{"d:Title": "Guggenheim Collection - Artist Biography", "d:Description": "Biography for Christo Javacheff and Jeanne-Claude de Guillebon. Includes information on The Gates, Project for Central Park, New York, 2003.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/bios/1637"} +{"d:Title": "From The Roof: Christo and Jeanne-Claude's The Gates in Central Park", "d:Description": "Provides technical information such as dimension, medium and inscription at the Metropolitan Museum of Art.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.metmuseum.org/collection/the-collection-online/search/495160"} +{"d:Title": "MoMA Collection: Christo and Jeanne-Claude", "d:Description": "Provides an extensive biography and bibliography including a slideshow of images presenting their works.", "topic": "Top/Arts/Art_History/Artists/C/Christo_and_Jeanne-Claude", "url": "http://www.moma.org/collection/artists/1114"} +{"d:Title": "Olana State Historic Site", "d:Description": "Church's beautiful home overlooking the Hudson River. A Persian masterpiece of architecture and landscaping.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.olana.org/"} +{"d:Title": "Frederic Edwin Church on the Internet", "d:Description": "Artcyclopedia.com's links to works by the artist in museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.artcyclopedia.com/artists/church_frederic_edwin.html"} +{"d:Title": "Grave of Frederic Edwin Church", "d:Description": "Photos from Spring Grove Cemetery in Hartford, Connecticut.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1922"} +{"d:Title": "A Hike to History, With Nature as a Guide", "d:Description": "New York Times article from the series \"In Art's Footsteps\" about an annual class trip to the Catskill Mtn. House, where F. E. Church and other members of the Hudson River School often visited. Fee required.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.nytimes.com/2001/08/03/nyregion/03HUDS.html"} +{"d:Title": "With His Head Scenically in the Clouds, Church Became a Star", "d:Description": "A brief \"Critic's Notebook\" piece from the New York Times. Fee required.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.nytimes.com/2001/08/03/nyregion/03CHUR.html"} +{"d:Title": "AskART.com: Frederic Edwin Church", "d:Description": "Auction results, biographies, images and books pertaining to this Hudson River School artist.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.askart.com/askart/artist.aspx?artist=23781"} +{"d:Title": "Wikipedia - Frederic Edwin Church", "d:Description": "Encyclopedia article offers a brief biography, legacy and list of selected artworks.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://en.wikipedia.org/wiki/Frederic_Edwin_Church"} +{"d:Title": "Art Renewal Center - Frederic Edwin Church", "d:Description": "Thorough biography including images of his works on high detail.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.artrenewal.org/pages/artist.php?artistid=673"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Comprehensive Church's biography along with a slideshow with his known landscapes such as 'The heart of the Andes' and 'The Parthenon'.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.metmuseum.org/toah/hd/chur/hd_chur.htm"} +{"d:Title": "Artchive - Frederic Edwin Church", "d:Description": "Artist's biography together with links to his artworks.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.artchive.com/artchive/C/church.html"} +{"d:Title": "NGA: Frederic Edwin Church", "d:Description": "Background information regarding his masterpiece 'Newport Mountain, Mount Desert', 1851.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.nga.gov/feature/wilmerding/jwcat04.htm"} +{"d:Title": "Quest Royal Fine Art: Frederic Edwin Church", "d:Description": "Essay containing a biography, chronologyof events, collections and exhibitions regarding the American artist done by Alexandra A. Jopp.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.questroyalfineart.com/artist/frederic-edwin-church"} +{"d:Title": "The Athenaeum: Frederic Edwin Church", "d:Description": "Features a total of 358 works of the American painter in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/C/Church,_Frederic_Edwin", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=425"} +{"d:Title": "Art Gallery: Cimabue", "d:Description": "Some of his most famous paintings in a beautiful format", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.christusrex.org/www2/art/Cimabue.htm"} +{"d:Title": "Cimabue - Olga's Gallery", "d:Description": "Images of the Cimabue's works, biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.abcgallery.com/C/cimabue/cimabue.html"} +{"d:Title": "Wikipedia: Cimabue", "d:Description": "Biography, artworks and legacy regarding the Italian artist also known as Bencivieni di Pepo.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://en.wikipedia.org/wiki/Giovanni_Cimabue"} +{"d:Title": "Art Renewal Center - Cimabue", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2791"} +{"d:Title": "Web Gallery of Art", "d:Description": "Cimabue's collection of images and biography.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.wga.hu/frames-e.html?/html/c/cimabue/index.html"} +{"d:Title": "Artchive - Cimabue", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.artchive.com/artchive/C/cimabue.html"} +{"d:Title": "The National Gallery", "d:Description": "Brief biography regarding the Italian artist born in Florence including proper analysis on one of his paintings.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.nationalgallery.org.uk/artists/cimabue"} +{"d:Title": "NNDB: Cimabue", "d:Description": "Provides an extensive biography.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.nndb.com/people/062/000084807/"} +{"d:Title": "Italian Renaissance Art", "d:Description": "Features a comparison between his pupil Giotto's work and Cimabue, considered the last great painter working in the Byzantine tradition.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.italian-renaissance-art.com/Cimabue.html"} +{"d:Title": "Humanities Web: Cimabue", "d:Description": "Presents a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=226"} +{"d:Title": "Cimabue and Giotto", "d:Description": "Article based on the relationship between the master and his pupil.", "topic": "Top/Arts/Art_History/Artists/C/Cimabue,_Giovanni", "url": "http://www.fordham.edu/halsall/basis/vasari/vasari1.htm"} +{"d:Title": "The Sculpture of Camille Claudel", "d:Description": "Photos of the works by the French late 19th century sculptor.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/art/claudel.html"} +{"d:Title": "Artcyclopedia: Camille Claudel", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.artcyclopedia.com/artists/claudel_camille.html"} +{"d:Title": "Wikipedia: Camille Claudel", "d:Description": "Biography, artworks and legacy regarding the female French sculptor.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://en.wikipedia.org/wiki/Camille_Claudel"} +{"d:Title": "The Grave of Camille Claudel (1864 - 1943)", "d:Description": "Location and pictures of his grave including brief biography.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=30117893"} +{"d:Title": "Introduction to Camille Claudel", "d:Description": "Provides a chronologically biography and images of her collection of works.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.rodin-web.org/claudel/default.htm"} +{"d:Title": "The Art Tribune: Camille Claudel", "d:Description": "Present's analysis and images of her most famous sculptures including detailed information regarding an exhibition organized into eight sections.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.thearttribune.com/Camille-Claudel.html"} +{"d:Title": "Camille Claudel and Rodin: Fateful Encounter", "d:Description": "Exhibition centered on their relationship, including biographies, chronological events and images of their work at The Detroit Institute of Art.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.dia.org/exhibitions/claudel_rodin/preview.asp"} +{"d:Title": "Some Beautiful (If Tortured) Works of Camille Claudel", "d:Description": "Essay on the life of the artist and her relationship with Rodin, photos with comments.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www1.cse.wustl.edu/~loui/camille.html"} +{"d:Title": "NMWA: Camille Claudel", "d:Description": "Profile of the sculptor.", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.nmwa.org/explore/artist-profiles/camille-claudel"} +{"d:Title": "Camille Claudel at the Orsay", "d:Description": "Brief review on one of her masterpieces \"Maturity\".", "topic": "Top/Arts/Art_History/Artists/C/Claudel,_Camille", "url": "http://www.musee-orsay.fr/en/collections/works-in-focus/search/commentaire_id/maturity-2198.html"} +{"d:Title": "The Battle of Midway", "d:Description": "How code breaking made the difference in the dramatic, history-turning Battle of Midway in World War II; story is illustrated with one of Griffith B. Coale's works.", "topic": "Top/Arts/Art_History/Artists/C/Coale,_Griffith_B.", "url": "http://www.worldwar2history.info/Midway/Battle-of-Midway.html"} +{"d:Title": "Rehs Galleries - Coale, Griffith B. (1890 - 1950)", "d:Description": "Biographical information on the little known American Impressionist artist. Included is a high-resolution image of his important early work - \"The Baltimore Flower Market, 1915\".", "topic": "Top/Arts/Art_History/Artists/C/Coale,_Griffith_B.", "url": "http://www.rehsgalleries.com/griffith_bailey_coale_the_baltimore_flower_market_1915.html"} +{"d:Title": "The Grave of Griffith Coale (1890 - 1950)", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/C/Coale,_Griffith_B.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=60396445"} +{"d:Title": "Department of the Navy", "d:Description": "Information on the life and work of Griffith Coale.", "topic": "Top/Arts/Art_History/Artists/C/Coale,_Griffith_B.", "url": "http://www.history.navy.mil/our-collections/art/artists/the-art-of-griffith-baily-coale.html"} +{"d:Title": "Cedar Grove, The Thomas Cole National Historic Site", "d:Description": "Catskill, NY home and workshop of the founder of the Hudson River School.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.thomascole.org/"} +{"d:Title": "Artcyclopedia: Thomas Cole", "d:Description": "A collection of links to online exhibitions which include his works and all other material on the artist.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.artcyclopedia.com/artists/cole_thomas.html"} +{"d:Title": "Thomas Cole - Olga's Gallery", "d:Description": "Collection of images of Cole's works with artist's biography", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.abcgallery.com/C/cole/cole.html"} +{"d:Title": "Thomas Cole Biography", "d:Description": "Short bio focusing on work in the White Mountains of New Hampshire.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.whitemountainart.com/Biographies/bio_tc.htm"} +{"d:Title": "WebMuseum: Cole, Thomas", "d:Description": "Contains two paintings from The Voyage of Life series.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.ibiblio.org/wm/paint/auth/cole/"} +{"d:Title": "AskART.com - Cole, Thomas", "d:Description": "Auction results, biographies, images and books pertaining to this Hudson River artist.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.askart.com/askart/c/thomas_cole/thomas_cole.aspx"} +{"d:Title": "Wikipedia - Thomas Cole", "d:Description": "Article showing a complete biography regarding the English-born American artist, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://en.wikipedia.org/wiki/Thomas_Cole"} +{"d:Title": "The Grave of Thomas Cole (1801 - 1848)", "d:Description": "Location and pictures of the artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9365212"} +{"d:Title": "ARC: Thomas Cole", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.artrenewal.org/pages/artist.php?artistid=704"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Collection of Cole's paintings with notes on each work including a detailed biography.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.metmuseum.org/toah/hd/cole/hd_cole.htm"} +{"d:Title": "Web Gallery of Art: Thomas Cole", "d:Description": "Presents a set of images regarding Cole's work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.wga.hu/frames-e.html?/html/c/cole/index.html"} +{"d:Title": "Mark Harden's Artchive: Thomas Cole", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.artchive.com/artchive/C/cole.html"} +{"d:Title": "Thomas Cole (1801 - 1498)", "d:Description": "Article focused on three landscape paintings 'The Falls of the Kaaterskill', 'Lake with Dead Trees' and 'A View of Fort Putnam'.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.hamiltonauctiongalleries.com/Cole.htm"} +{"d:Title": "Humanities Web: Thomas Cole", "d:Description": "Presents a biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=243"} +{"d:Title": "Quest Royal Fine Art: Thomas Cole", "d:Description": "Essay containing a biography, chronology of events, collections and exhibitions regarding the American artist done by William Tylee Ranney Abbott.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.questroyalfineart.com/artist/thomas-cole"} +{"d:Title": "Sheldon Museum of Art", "d:Description": "Analysis over Cole's style and technique.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.sheldonartmuseum.org/collection/index.html?topic=artistdetail&clct_artist_full_name=Thomas+Cole&clct_id=5902"} +{"d:Title": "The Athenaeum - Thomas Cole", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=375"} +{"d:Title": "National Gallery of Art", "d:Description": "The works of Thomas Cole including 'the Voyage of Life'.", "topic": "Top/Arts/Art_History/Artists/C/Cole,_Thomas", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1155.html"} +{"d:Title": "Artcyclopedia: John Collier", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Collier,_Hon._John", "url": "http://www.artcyclopedia.com/artists/collier_john.html"} +{"d:Title": "Wikipedia: John Collier", "d:Description": "Article showing a complete biography regarding the English portrait painter, including images of his works.", "topic": "Top/Arts/Art_History/Artists/C/Collier,_Hon._John", "url": "http://en.wikipedia.org/wiki/John_Collier_(artist)"} +{"d:Title": "ARC: John Collier", "d:Description": "Provides images of the English artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/C/Collier,_Hon._John", "url": "http://www.artrenewal.org/pages/artist.php?artistid=706"} +{"d:Title": "National Portrait Gallery", "d:Description": "Wide list of portraits done by John Collier.", "topic": "Top/Arts/Art_History/Artists/C/Collier,_Hon._John", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp00970&role=art"} +{"d:Title": "Find A Grave - John Collier(1850 - 1934)", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/C/Collier,_Hon._John", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8879070"} +{"d:Title": "Tate Collection: John Collier", "d:Description": "Provides two Collier's artworks 'The Last Voyage of Henry Hudson' and 'Mrs Huxley' including historical background on both paintings.", "topic": "Top/Arts/Art_History/Artists/C/Collier,_Hon._John", "url": "http://www.tate.org.uk/art/artists/the-hon-john-collier-101"} +{"d:Title": "Artcyclopedia: James Collinson", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Collinson,_James", "url": "http://www.artcyclopedia.com/artists/collinson_james.html"} +{"d:Title": "The Androom Archives: Collinson, James", "d:Description": "Biography and related sites.", "topic": "Top/Arts/Art_History/Artists/C/Collinson,_James", "url": "http://androom.home.xs4all.nl/biography/p013359.htm"} +{"d:Title": "James Collinson's \"The Child Jesus\"", "d:Description": "Proper analysis on one of his masterpieces explaining as well the influence of typological symbolism on this artwork.", "topic": "Top/Arts/Art_History/Artists/C/Collinson,_James", "url": "http://www.victorianweb.org/painting/whh/replete/collinson.html"} +{"d:Title": "Collection Tate: James Collinson", "d:Description": "Background information on three of his paintings 'The Child Jesus', 'Home Again' and 'The Empty Purse'.", "topic": "Top/Arts/Art_History/Artists/C/Collinson,_James", "url": "http://www.tate.org.uk/art/artists/james-collinson-2214"} +{"d:Title": "Olga's Gallery: John Constable", "d:Description": "Comprehensive collection of the artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.abcgallery.com/C/constable/constable.html"} +{"d:Title": "Artchive: John Constable", "d:Description": "Excerpts from the article by Simon Wilson and a collection of images.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.artchive.com/artchive/C/constable.html"} +{"d:Title": "Artcyclopedia: John Constable", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.artcyclopedia.com/artists/constable_john.html"} +{"d:Title": "Haber's Art Reviews: John Constable and the Origins of Modernism", "d:Description": "Reviews by John Haber of New York City art galleries and museums.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.haberarts.com/constabl.htm"} +{"d:Title": "Britain Express: John Constable", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.britainexpress.com/History/bio/constable.htm"} +{"d:Title": "WebMuseum: Constable, John", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.ibiblio.org/wm/paint/auth/constable/"} +{"d:Title": "The Haywain by John Constable", "d:Description": "The story behind the classic English work of art.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.thelilypad.co.uk/haywain.html"} +{"d:Title": "Grave of John Constable", "d:Description": "Pictures of artist's grave.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5392"} +{"d:Title": "John Constable at Langham, a Village in Essex", "d:Description": "Artist's biography and several images of his works.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.langham.org.uk/HistoryIndex/Constable/Constable.htm"} +{"d:Title": "Wikipedia: John Constable", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the English Romantic painter. Collection of his artworks is also available dated from 1802 to 1837.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://en.wikipedia.org/wiki/John_Constable"} +{"d:Title": "ARC: John Constable", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.artrenewal.org/pages/artist.php?artistid=782"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life, times, and works of art of the English landscape painter through his biography and a slideshow presenting his works of art.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.metmuseum.org/toah/hd/jcns/hd_jcns.htm"} +{"d:Title": "Web Gallery of Art: John Constable", "d:Description": "Collection of artist's works with a biography and comments on most paintings.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.wga.hu/frames-e.html?/html/c/constabl/index.html"} +{"d:Title": "Constable: Impression of Land, Sea and Sky", "d:Description": "Exhibition information including a brief biography and a gallery showing his artworks.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://nga.gov.au/Exhibition/CONSTABLE/Default.cfm"} +{"d:Title": "The National Gallery - John Constable", "d:Description": "Brief biography regarding the English artist born in Suffolk. Additional historical background information on each painting is available.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.nationalgallery.org.uk/artists/john-constable"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows Constable's portrait done by multiple artists.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp01007"} +{"d:Title": "Constable's Great Lanscapes: The Six-Foot Paintings", "d:Description": "Provides a biography focused on his early life and work with emphasis on his many Six-Foot Stour River paintings. Elaborated by the National Gallery of Art.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.nga.gov/exhibitions/2006/constable/earlylife.shtm"} +{"d:Title": "Constable: The Great Landscapes", "d:Description": "Artist's biography including images of his works.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.musicweb-international.com/SandH/2006/Jan-Jun06/constable.htm"} +{"d:Title": "A sketchbook by John Constable", "d:Description": "Pictures of the artist's sketchbook he used back in 1814. At the Victoria and Albert Museum.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.vam.ac.uk/content/articles/a/constable_sketchbook/"} +{"d:Title": "John Constable \u2013 English Landscape Painter", "d:Description": "Presents a biography focused on his art studies, marriage and career.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.buzzle.com/articles/john-constable-english-landscape-painter.html"} +{"d:Title": "Humanities Web: John Constable", "d:Description": "Features a biography as well as a list of his selected works, quotations, suggested reading and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=10"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a list of artworks done by the English artist.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.artic.edu/aic/collections/artwork/artist/Constable,+John"} +{"d:Title": "Artble: John Constable", "d:Description": "Extensive biography including artist context, style, technique and critical reception. Also provides a list with images of his famous paintings with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.artble.com/artists/john_constable"} +{"d:Title": "Tate Collection: John Constable", "d:Description": "Provides a total of 261 artworks, including historical background information on most paintings.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.tate.org.uk/art/artists/john-constable-108"} +{"d:Title": "Getty Museum: John Constable", "d:Description": "Brief biography regarding the English artist including background information on one of his works.", "topic": "Top/Arts/Art_History/Artists/C/Constable,_John", "url": "http://www.getty.edu/art/collection/artists/2853/william-constable-british-1783-1861/"} +{"d:Title": "Watson and the Shark", "d:Description": "Comprehensive article at The National Gallery of Art about John Singleton Copley and his famous painting.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.nga.gov/feature/watson/watsonhome.html"} +{"d:Title": "Olga's Gallery: John Singleton Copley", "d:Description": "Collection of works of the American artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.abcgallery.com/C/copley/copley.html"} +{"d:Title": "AskART.com - Copley, John S.", "d:Description": "Auction results, biographies, images and books pertaining to Copley.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.askart.com/askart/c/john_singleton_copley/john_singleton_copley.aspx"} +{"d:Title": "Wikipedia: John Singleton Copley (1738 \u2013 1815)", "d:Description": "Article showing a complete biography regarding the American painter born in Boston. Gallery of his works is also available.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://en.wikipedia.org/wiki/John_Singleton_Copley"} +{"d:Title": "ARC: John Singleton Copley", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.artrenewal.org/pages/artist.php?artistid=713"} +{"d:Title": "Web Gallery of Art", "d:Description": "Copley's collection of images and biography.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.wga.hu/frames-e.html?/html/c/copley/index.html"} +{"d:Title": "Mark Harden's Artchive: John Singleton Copley", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.artchive.com/artchive/C/copley.html"} +{"d:Title": "National Portrait Gallery", "d:Description": "Wide list of portraits done by Copley.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp01024&role=art"} +{"d:Title": "Find A Grave - John Singleton Copley (1738 - 1815)", "d:Description": "Location and pictures of the American artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2607"} +{"d:Title": "Humanities Web: John Singleton Copley", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=206"} +{"d:Title": "The Metropolitan Museum of Art - Copley, John Singleton", "d:Description": "Learn about the renowned American portraitist and his paintings.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.metmuseum.org/toah/hd/copl/hd_copl.htm"} +{"d:Title": "The Athenaeum: John Singleton Copley", "d:Description": "Gallery containing a total of 117 paintings.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=525"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Copley\u2019s gallery from 1764 to 1789.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.artic.edu/aic/collections/artwork/artist/Copley,+John+Singleton"} +{"d:Title": "Collection Tate: John Singleton Copley", "d:Description": "Provides a total of 7 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://www.tate.org.uk/art/artists/john-singleton-copley-113"} +{"d:Title": "Museum of New Zealand: John Singelton Copley", "d:Description": "Proper analysis on one of his paintings 'Mrs Humphrey Devereux'.", "topic": "Top/Arts/Art_History/Artists/C/Copley,_John_Singleton", "url": "http://collections.tepapa.govt.nz/object/41241"} +{"d:Title": "Artchive: Jean-Baptiste-Camille Corot", "d:Description": "Images of his work.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.artchive.com/artchive/C/corot.html"} +{"d:Title": "WebMuseum: Corot, Jean-Baptiste-Camille", "d:Description": "Images of his paintings and a short biography.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.ibiblio.org/wm/paint/auth/corot/"} +{"d:Title": "Artcyclopedia: Jean-Baptiste-Camille Corot", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.artcyclopedia.com/artists/corot_jean-baptiste-camille.html"} +{"d:Title": "CGFA: Camille Corot", "d:Description": "Short biography and examples of his work.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://sunsite.icm.edu.pl/cjackson/corot/"} +{"d:Title": "Olga's Gallery - Jean-Baptiste-Camille Corot", "d:Description": "Collection of works of the French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.abcgallery.com/C/corot/corot.html"} +{"d:Title": "Rehs Galleries - Corot, Jean-Baptiste-Camille", "d:Description": "Detailed biography and high-resolution photos of works by the French Barbizon artist.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.rehsgalleries.com/jean_baptiste_camille_corot.html"} +{"d:Title": "Humanities Web: Corot, Camille", "d:Description": "Biography, representative works and suggested further resources for the painter of the Barbizon School.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=11"} +{"d:Title": "Wikipedia: Jean-Baptiste-Camille Corot", "d:Description": "Biography, artworks and Forgeries regarding the French landscape painter.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://en.wikipedia.org/wiki/Jean-Baptiste-Camille_Corot"} +{"d:Title": "Web Gallery of Art", "d:Description": "Set of images regarding Corot's work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.wga.hu/html/c/corot/index.html"} +{"d:Title": "The National Gallery - Corot", "d:Description": "Presents a thorough biography and related paintings with historical notes.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.nationalgallery.org.uk/artists/jean-baptiste-camille-corot"} +{"d:Title": "Find A Grave - Jean-Baptiste-Camille Corot (1796 - 1875)", "d:Description": "Photos of his grave at Cimeti\u00e8re du P\u00e8re Lachaise in Paris.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5653"} +{"d:Title": "National Gallery of Canada", "d:Description": "Brief biography and images of his work.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=1139"} +{"d:Title": "The Athenaeum: Jean-Baptiste-Camille Corot", "d:Description": "Gallery containing a total of 414 paintings.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=110"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Corot\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.artic.edu/aic/collections/artwork/artist/Corot,+Jean+Baptiste+Camille"} +{"d:Title": "National Gallery of Art - Corot", "d:Description": "Features a comprehensive biography including his artworks.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1169.html"} +{"d:Title": "Getty Museum: Jean-Baptiste-Camille Corot", "d:Description": "Provides a brief biography regarding the Realist artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/C/Corot,_Jean-Baptiste-Camille", "url": "http://www.getty.edu/art/collection/artists/805/jean-baptiste-camille-corot-french-1796-1875/"} +{"d:Title": "Artcyclopedia: Correggio", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.artcyclopedia.com/artists/correggio.html"} +{"d:Title": "WebMuseum: Correggio", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.ibiblio.org/wm/paint/auth/correggio/"} +{"d:Title": "Correggio and Parmigianino at the Metropolitan Museum of Art", "d:Description": "Article about the exhibition which took place in February - May 2001.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.thecityreview.com/correggio.htm"} +{"d:Title": "Olga's Gallery: Correggio", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.abcgallery.com/C/correggio/correggio.html"} +{"d:Title": "The Life of Correggio by Vasari.", "d:Description": "Excerpts from the biography of the artist by famous Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/corregg/correg.htm"} +{"d:Title": "Life of an Artist: Antonio da Correggio", "d:Description": "Biography of the painter as written by Giorgio Vasari. Includes a gallery.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.artist-biography.info/artist/antonio_da_correggio/"} +{"d:Title": "Web Gallery of Art: Correggio", "d:Description": "Image Gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.wga.hu/html/c/correggi/"} +{"d:Title": "Wikipedia: Correggio", "d:Description": "Article focused on the Italian painter's life, works and mythological influence. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://en.wikipedia.org/wiki/Correggio"} +{"d:Title": "Artchive - Correggio", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.artchive.com/artchive/C/correggio.html"} +{"d:Title": "The National Gallery - Correggio", "d:Description": "Presents a brief biography and related paintings with historical notes.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.nationalgallery.org.uk/artists/correggio"} +{"d:Title": "Correggio e l'antico", "d:Description": "Shows a gallery of his artworks towards the exhibition \u201cTen Great Exhibitions\u201d.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.casa-in-italia.com/arte/Correggio_antico_en.html"} +{"d:Title": "Virtual Uffizi: Correggio", "d:Description": "Artist's biography also known as Antonio Allegri.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.virtualuffizi.com/biography/Antonio-Allegri-Called-Correggio.htm"} +{"d:Title": "Correggio - The Renaissance Artist of Parma", "d:Description": "Brief biography along with images of his work.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.italian-renaissance-art.com/Correggio.html"} +{"d:Title": "Humanities Web: Correggio", "d:Description": "Presents a biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=168"} +{"d:Title": "The Athenaeum - Correggio", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3539"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Correggio's gallery including size, medium and date.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.artic.edu/aic/collections/artwork/artist/Correggio"} +{"d:Title": "National Gallery of Art - Correggio", "d:Description": "Also known as Antonio Allegri da Correggio, provides a list of the Italian artist's paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1170.html"} +{"d:Title": "Getty Museum: Correggio", "d:Description": "Provides a biography regarding the High Renaissance artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/C/Correggio", "url": "http://www.getty.edu/art/collection/artists/729/correggio-antonio-allegri-italian-about-1489-1534/"} +{"d:Title": "Cortes, Edouard-Leon (1882-1969)", "d:Description": "Detailed biography on the French artist Edouard Cortes and a number of high-resolution images of Cortes' work. Featured works include: L'Arc de Triomphe, Place de la Republique and Boulevard de Madeleine.", "topic": "Top/Arts/Art_History/Artists/C/Cortes,_Edouard_Leon", "url": "http://www.edouardleoncortes.com/"} +{"d:Title": "Edouard Cortes - Country Life: Scenes in Normandy and Brittany", "d:Description": "Virtual exhibition featuring a group of landscapes and interiors that were painted by Edouard Cortes (1882-1969) in the Normandy and Brittany areas of France.", "topic": "Top/Arts/Art_History/Artists/C/Cortes,_Edouard_Leon", "url": "http://www.rehsgalleries.com/edouard_leon_cortes_virtex.htm"} +{"d:Title": "Wikipedia: Edouard Cortes", "d:Description": "Article focused on the French post-impressionist painter's life, painting history and external links for further reading.", "topic": "Top/Arts/Art_History/Artists/C/Cortes,_Edouard_Leon", "url": "http://en.wikipedia.org/wiki/Edouard_Leon_Cortes"} +{"d:Title": "ARC: Edouard Cortes", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/C/Cortes,_Edouard_Leon", "url": "http://www.artrenewal.org/pages/artist.php?artistid=190"} +{"d:Title": "Edouard Cortes (French, 1882-1969)", "d:Description": "Brief biography including 3 samples of his works.", "topic": "Top/Arts/Art_History/Artists/C/Cortes,_Edouard_Leon", "url": "http://www.cortes-garin.com/cortes.html"} +{"d:Title": "The Athenaeum - Edouard Cortes", "d:Description": "Gallery containing a total of 6 paintings.", "topic": "Top/Arts/Art_History/Artists/C/Cortes,_Edouard_Leon", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1426"} +{"d:Title": "Artchive: Piero di Cosimo", "d:Description": "Images (details) of the paintings.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.artchive.com/artchive/P/piero_di_cosimo.html"} +{"d:Title": "Artcyclopedia: Piero di Cosimo", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.artcyclopedia.com/artists/piero_di_cosimo.html"} +{"d:Title": "WebMuseum: Cosimo, Piero di", "d:Description": "Biography and his best known works.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.ibiblio.org/wm/paint/auth/cosimo/"} +{"d:Title": "Life of an Artist: Piero di Cosimo", "d:Description": "Biography of the Florentine painter as written by Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.artist-biography.info/artist/piero_di_cosimo/"} +{"d:Title": "Web Gallery of Art: Piero di Cosimo", "d:Description": "Archive of images and frescoes in the Sistine Chapel.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.wga.hu/html/p/piero_co/allegory/index.html"} +{"d:Title": "Wikipedia: Piero di Cosimo", "d:Description": "Article focused on the Italian painter's life, seleceted works and a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://en.wikipedia.org/wiki/Piero_di_Cosimo"} +{"d:Title": "Art Renewal Center - Piero di Cosimo", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2761"} +{"d:Title": "Rijks Museum - Piero di Cosimo", "d:Description": "Artist's brief biography including analysis on 2 of his portrait masterpieces.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00017402?lang=en"} +{"d:Title": "The Documented Life of Piero di Cosimo", "d:Description": "Comprehensive biography regarding the Italian artist born in Florence.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.willemswebs.com/ringlingdocents/dicosimo-bio.htm"} +{"d:Title": "The National Gallery - Piero di Cosimo", "d:Description": "Brief biography regarding the Italian Renaissance painter born in Florence. Additional historical background information on each painting featured.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.nationalgallery.org.uk/artists/piero-di-cosimo"} +{"d:Title": "Virtual Uffizi: Piero di Cosimo", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Cosimo,_Piero_di", "url": "http://www.virtualuffizi.com/piero-di-cosimo.html"} +{"d:Title": "WebMuseum: Courbet, Gustave", "d:Description": "Biographical information on this French Realist artist.", "topic": "Top/Arts/Art_History/Artists/C/Courbet,_Gustave", "url": "http://www.ibiblio.org/wm/paint/auth/courbet/"} +{"d:Title": "Artcyclopedia: Gustave Courbet", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Courbet,_Gustave", "url": "http://www.artcyclopedia.com/artists/courbet_gustave.html"} +{"d:Title": "Artchive: Gustave Courbet", "d:Description": "Biographical information regarding the French artist.", "topic": "Top/Arts/Art_History/Artists/C/Courbet,_Gustave", "url": "http://www.artchive.com/artchive/C/courbet.html"} +{"d:Title": "Humanities Web: Gustave Courbet", "d:Description": "Brief biography with links to images and resources.", "topic": "Top/Arts/Art_History/Artists/C/Courbet,_Gustave", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=i&ID=12"} +{"d:Title": "Rehs Galleries: Gustave Courbet", "d:Description": "Detailed biography on the French Realist artist.", "topic": "Top/Arts/Art_History/Artists/C/Courbet,_Gustave", "url": "http://www.rehsgalleries.com/Gustave_Courbet_Bio.html"} +{"d:Title": "Getty Museum: Gustave Courbet", "d:Description": "Brief biography regarding the French artist including background information on each artwork such as 'Grotto of Sarrazine' and 'Bouquet of Flowers'.", "topic": "Top/Arts/Art_History/Artists/C/Courbet,_Gustave", "url": "http://www.getty.edu/art/collection/artists/365/gustave-courbet-french-1819-1877/"} +{"d:Title": "ARC: Frank Cadogan Cowper", "d:Description": "Artist's biography and image gallery of his paintings.", "topic": "Top/Arts/Art_History/Artists/C/Cowper,_Frank_Cadogan", "url": "http://www.artrenewal.org/pages/artist.php?artistid=758"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows Cowper's portraits done by multiple artists.", "topic": "Top/Arts/Art_History/Artists/C/Cowper,_Frank_Cadogan", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp64593"} +{"d:Title": "Humanities Web: Frank Cadogan Cowper (1877 - 1958)", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/C/Cowper,_Frank_Cadogan", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=s&ID=13"} +{"d:Title": "Collection Tate", "d:Description": "Analysis on two Frank Cadogan Cowper's masterpieces 'Shining White Garment' and ' Lucretia Borgia Reigns in the Vatican in the Absence of Pope Alexander V'.", "topic": "Top/Arts/Art_History/Artists/C/Cowper,_Frank_Cadogan", "url": "http://www.tate.org.uk/art/artists/frank-cadogan-cowper-950"} +{"d:Title": "Olga's Gallery: Lucas Cranach the Elder", "d:Description": "Large comprehensive collection of the works and a full biography of the German artist. Offers reproductions with interesting historical comments.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.abcgallery.com/C/cranach/cranach.html"} +{"d:Title": "WebMuseum: Cranach, Lucas the Elder", "d:Description": "Artist's biography..", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.ibiblio.org/wm/paint/auth/cranach/"} +{"d:Title": "People in Luther's Environment: Lucas Cranach the Elder", "d:Description": "Short biography of the artist, where his relations with Luther are described.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.luther.de/en/lca.html"} +{"d:Title": "Web Gallery of Art: Lucas Cranach the Elder", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.wga.hu/html/c/cranach/lucas_e/01/index.html"} +{"d:Title": "Wikipedia: Lucas Cranach the Elder (1472 \u2013 1553)", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the German Renaissance painter. Collection of books for further reading is also available.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://en.wikipedia.org/wiki/Lucas_Cranach_the_Elder"} +{"d:Title": "Web Gallery of Art", "d:Description": "Hungary-based image archive with a variety of works by Cranach.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.wga.hu/frames-e.html?/html/c/cranach/lucas_e/index.html"} +{"d:Title": "Artchive: Lucas Cranach the Elder", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.artchive.com/artchive/C/cranach.html"} +{"d:Title": "Biblical art by Cranach the Elder", "d:Description": "Artist's gallery inspired by stories in the bible.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.artbible.info/art/work/lucas-cranach-the-elder"} +{"d:Title": "Humanities Web: Lucas Cranach the Elder", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=249"} +{"d:Title": "Three Graces of Cranach - A composition", "d:Description": "Extensive detailed analysis on one of his famous paintings.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.francois-murez.com/compocranach%20en.htm"} +{"d:Title": "Cranach's Golden Age", "d:Description": "Reviewing the artist's style and technique while analyzing an exhibition at the Royal Academy of Arts.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://entertainment.timesonline.co.uk/tol/arts_and_entertainment/the_tls/article3757336.ece"} +{"d:Title": "The Gloomy Brilliance of Lucas Cranach the Elder", "d:Description": "Article based on the artist's career.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.nytimes.com/2011/03/05/arts/05iht-melikian05.html"} +{"d:Title": "Cranach Digital Archive", "d:Description": "Provides information towards the artist's biography, paintings and archival documents.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.lucascranach.org/"} +{"d:Title": "The Athenaeum - Lucas Cranach the Elder", "d:Description": "Provides 81 artworks done by the German artist born in Kronach.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3498"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Cranach's gallery.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.artic.edu/aic/collections/artwork/artist/Cranach,+Lucas,+the+Elder"} +{"d:Title": "National Gallery of Art - Lucas Cranach the Elder", "d:Description": "Provides a list of the German artist's work known for his portraits.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1179.html"} +{"d:Title": "National Museums Liverpool - 'The Nymph of the fountain'", "d:Description": "Analysis on one of Cranach's masterpieces.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Elder,_Lucas", "url": "http://www.liverpoolmuseums.org.uk/walker/collections/paintings/13c-16c/item.aspx?tab=summary&item=wag+1223&hl=1&coll=5"} +{"d:Title": "Lucas Cranach the Younger", "d:Description": "Main works and biography of Lutheran artist and son of the noted Reformation-era painter. Offers reproductions with historical comments. Presented by Olga's Gallery.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Younger,_Lucas", "url": "http://www.abcgallery.com/C/cranach/ycranach.html"} +{"d:Title": "Web Gallery of Art: Lucas Cranach the Younger", "d:Description": "Presents a set of images of his works. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Younger,_Lucas", "url": "http://www.wga.hu/html/c/cranach/lucas_y/index.html"} +{"d:Title": "Scholars Resource - Lucas Cranach the Younger", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Younger,_Lucas", "url": "http://www.scholarsresource.com/browse/artist/321"} +{"d:Title": "Sphinx Fine Art - Lucas Cranach the Younger", "d:Description": "Features a comprehensive biography including proper analysis on one of his paintings 'An Interior with a Childbirth Scene'.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Younger,_Lucas", "url": "http://www.sphinxfineart.com/DesktopDefault.aspx?tabid=45&tabindex=44&artistid=24864"} +{"d:Title": "Artcyclopedia: Lucas Cranach the Younger", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Younger,_Lucas", "url": "http://www.artcyclopedia.com/artists/cranach_the_younger_lucas.html"} +{"d:Title": "NGA: Lucas Cranach the Younger", "d:Description": "Provides a list of the artist's prints.", "topic": "Top/Arts/Art_History/Artists/C/Cranach_the_Younger,_Lucas", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.3837.html"} +{"d:Title": "Artcyclopedia - Walter Crane", "d:Description": "Links to the artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://www.artcyclopedia.com/artists/crane_walter.html"} +{"d:Title": "Wikipedia: Walter Crane", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the English artist and book illustrator.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://en.wikipedia.org/wiki/Walter_Crane"} +{"d:Title": "Find A Grave - Walter Crane (1845 - 1915)", "d:Description": "Photos from Golders Green, England.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8795569"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits regarding the British artist born in Liverpool.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp01088"} +{"d:Title": "Walter Crane, The Cover Designer", "d:Description": "Analysis on one of his artworks \u2018Illustrations of the Victorian Series and Other Wall-Papers\u2019. At the Victoria and Albert Museum.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://www.vam.ac.uk/content/articles/w/walter-crane-book-cover-design/"} +{"d:Title": "The Baby's Own Aesop", "d:Description": "Provides images regarding Crane's Fables.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://mythfolklore.net/aesopica/crane/"} +{"d:Title": "National Gallery of Art - Walter Crane", "d:Description": "Provides a list of the British artist's work.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.5876.html"} +{"d:Title": "Museum of New Zealand: Walter Crane", "d:Description": "Gallery showing 11 samples of his work.", "topic": "Top/Arts/Art_History/Artists/C/Crane,_Walter", "url": "http://collections.tepapa.govt.nz/Person/24792"} +{"d:Title": "White Mountain Art&Artists: Jasper F Cropsey", "d:Description": "A brief biography with four paintings from the White Mountains of New Hampshire.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.whitemountainart.com/Biographies/bio_jfc.htm"} +{"d:Title": "Newington Cropsey Art Foundation", "d:Description": "Founded for the purpose of preserving, maintaining, and displaying the art, paintings and studio of Jasper F. Cropsey, Hudson River School Painter.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.newingtoncropsey.com/"} +{"d:Title": "AskART.com - Jasper Francis Cropsey", "d:Description": "Auction results, biographies, images and books pertaining to this Hudson River School artist.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.askart.com/askart/c/jasper_francis_cropsey/jasper_francis_cropsey.aspx"} +{"d:Title": "Wikipedia: Jasper Francis Cropsey", "d:Description": "Biography, artworks and external links regarding the first-generation member from the Hudson River School.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://en.wikipedia.org/wiki/Jasper_Francis_Cropsey"} +{"d:Title": "Find A Grave - Jasper Francis Cropsey (1823 - 1900)", "d:Description": "Photos from Sleepy Hollow, Westchester County.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11617709"} +{"d:Title": "The Athenaeum: Jasper Francis Cropsey", "d:Description": "Features a total of 138 paintings by the American artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.the-athenaeum.org/people/detail.php?ID=470"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Cropsey's masterpiece shown at the National Academy of Design in New York in 1865.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.metmuseum.org/toah/works-of-art/66.113"} +{"d:Title": "Antiques&Fine Art - Jasper Francis Cropsey", "d:Description": "Article reviewing the American landscape artist's style and technique. Includes images of his works.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.antiquesandfineart.com/articles/article.cfm?request=692"} +{"d:Title": "Albany Institute of History&Art", "d:Description": "Provides background information towards one of his paintings 'Dawn of Morning, Lake George'.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.albanyinstitute.org/details/items/dawn-of-morning-lake-george.html"} +{"d:Title": "Autumn on the Hudson", "d:Description": "1860 oil painting by Jasper Francis Cropsey, from the National Gallery.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.46191.html"} +{"d:Title": "National Gallery of Art - Jasper Francis Cropsey", "d:Description": "Presents a comprehensive biography including links to his artworks.", "topic": "Top/Arts/Art_History/Artists/C/Cropsey,_Jasper_Francis", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1191.html"} +{"d:Title": "Diebenkorn, Richard", "d:Description": "The official site for the estate of the artist, provides a full biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/D", "url": "http://www.diebenkorn.org/"} +{"d:Title": "De Breanski, Jr., Alfred", "d:Description": "The peaceful and colorful gardens of England were among the locations he drew inspiration from. Site features an updated biography on this British Victorian artist and a number of high-resolution images.", "topic": "Top/Arts/Art_History/Artists/D", "url": "http://www.rehsgalleries.com/alfred_de_breanski_jr_virtex.htm"} +{"d:Title": "Wikipedia: Richard Dadd (1817 - 1886)", "d:Description": "Encyclopedia article featuring a biography and notes regarding the Victorian painter.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Dadd"} +{"d:Title": "ARC: Richard Dadd", "d:Description": "Provides images of the English artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2450"} +{"d:Title": "The Grave of Richard Dadd", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=15310988"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Brief biography regarding the English artist including background information on one of his works.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=281"} +{"d:Title": "Richard Dadd (1817 - 1886)", "d:Description": "Presents a biography, career and a list of his paintings, drawings and illustrations regarding the English artist.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.noumenal.com/marc/dadd/"} +{"d:Title": "Richard Dadd's Exhibition", "d:Description": "Information regarding one of his exhibition exploring the life and work of one of the most fascinating Victorian visionaries.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.richmond.gov.uk/home/leisure_and_culture/arts/orleans_house_gallery/orleans_house_gallery_exhibitions/richard_dadd_exhibition.htm"} +{"d:Title": "Richard Dadd, Contradiction", "d:Description": "Proper analysis on one of his famous paintings.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.andrewlloydwebber.com/art/artist-profiles/richard-dadd-contradiction"} +{"d:Title": "Artcyclopedia: Richard Dadd", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.artcyclopedia.com/artists/dadd_richard.html"} +{"d:Title": "Psychiatry in pictures", "d:Description": "Article showing Dadd's style by analyzing one of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://bjp.rcpsych.org/content/179/1/0.1.full?sid=b89b88fc-be7e-4645-941e-c422ee9ebe01"} +{"d:Title": "Tate Collection: Richard Dadd", "d:Description": "Provides a total of 8 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/D/Dadd,_Richard", "url": "http://www.tate.org.uk/art/artists/richard-dadd-130"} +{"d:Title": "Gala-Salvador Dali Foundation", "d:Description": "Official website of the institution that manages the painter's artistic, cultural and intellectual legacy.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.salvador-dali.org/"} +{"d:Title": "3D Dali", "d:Description": "Anaglyphs based on paintings of surrealist artist Eugenio Salvador Dal\u00ed.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.3d-dali.com/"} +{"d:Title": "Salvador Dal\u00ed Archives", "d:Description": "This private repository of information was established in New York City with the approval of the artist.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.daliarchives.com/"} +{"d:Title": "The Salvador Dali Gallery", "d:Description": "Authentic Salvador Dali prints, intaglio and woodcuts. Appraisal service for your collection. Art authenticated by Albert Field.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.daligallery.com/"} +{"d:Title": "Dali 2000", "d:Description": "Image galleries of artist's works, a brief bio, and various links to other surreal and Dali sites.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.angelfire.com/ego/dali2k/"} +{"d:Title": "Superdali - Salvador Dali", "d:Description": "Biography of the artist, several galleries, comparison galleries, and a links.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.angelfire.com/art/superdali/"} +{"d:Title": "Artelino - Salvador Dali", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.artelino.com/articles/salvador_dali.asp"} +{"d:Title": "Webcoast's View on Salvador Dali", "d:Description": "Artist's biography, collection of images and links to other Dali site.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.daliweb.tampa.fl.us/"} +{"d:Title": "Virtual Dali", "d:Description": "A biography and photographs of the artist and galleries of expandable thumbnails of his works, including links and wallpapers. Requires Flash to view.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.virtualdali.com/"} +{"d:Title": "Salvador Dali Art Gallery", "d:Description": "Supplies a biography, photographs and an art gallery of the artist.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.dali-gallery.com/"} +{"d:Title": "Daniels Site - Salvador Dali", "d:Description": "Focusing on the life and work of the artist, featuring a brief biography and image galleries.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.angelfire.com/wa/danart98/"} +{"d:Title": "Wikipedia - Salvador Dali", "d:Description": "Includes a biography, a chronology of notable works, images, and a discussion on his political views.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://en.wikipedia.org/wiki/Salvador_Dali"} +{"d:Title": "Artchive: Salvador Dali", "d:Description": "Images, links to related books, and a complete Salvador Dali chronology.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.artchive.com/artchive/D/dali.html"} +{"d:Title": "Olga's Gallery: Salvador Dali", "d:Description": "Collection of works of the Surrealist artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.abcgallery.com/D/dali/dali.html"} +{"d:Title": "George Bailey - Salvador Dali Image Gallery", "d:Description": "Collection of images of artist's works and biography.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://sdali42.tripod.com/dalihomepage.htm"} +{"d:Title": "The Dali Museum", "d:Description": "The official site of the museum in St. Petersburg, Florida, featuring a comprehensive collection.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://thedali.org/"} +{"d:Title": "ARC: Salvador Dali", "d:Description": "Thorough biography including images of his works on high detail.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2769"} +{"d:Title": "The Grave of Salvador Dali", "d:Description": "Location and pictures of the Catalan artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1989"} +{"d:Title": "Salvador Dal\u00ed Interviews", "d:Description": "Presents a list of interviews recorded in English and in French.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.ubu.com/sound/dali.html"} +{"d:Title": "Haber's Art Reviews - Dal\u00ed and Film", "d:Description": "Article focused on the connection between the artist and film industry.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.haberarts.com/dalifilm.htm"} +{"d:Title": "The Art Story: Salvador Dali", "d:Description": "Detailed biography covering his childhood, early training, late periods, legacy and additional quotes.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.theartstory.org/artist-dali-salvador.htm"} +{"d:Title": "Humanities Web: Salvador Dali", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=127"} +{"d:Title": "The Athenaeum - Salvador Dali", "d:Description": "Provides 9 artworks done by the Catalan artist born in Figueres.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1610"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Dali's paintings background information along with a short biography.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.nationalgalleries.org/collection/artists-a-z/D/3035/artistName/Salvador%20Dal%C3%AD"} +{"d:Title": "Guggenheim: Salvador Dali", "d:Description": "Proper analysis on one of his paintings 'Birth of Liquid Desires' along with a biography.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artwork/935"} +{"d:Title": "Collection Tate: Salvador Dali", "d:Description": "Analysis on Dali's masterpieces such as 'Metamorphosis of Narcissus' and 'Mountain Lake'.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.tate.org.uk/art/artists/salvador-dali-971"} +{"d:Title": "MoMA Collection: Salvador Dali", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/D/Dali,_Salvador", "url": "http://www.moma.org/collection/artists/1364"} +{"d:Title": "Sara Ayers: Henry Darger", "d:Description": "Profile, links, and examples of his work.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.saraayers.com/darger.htm"} +{"d:Title": "The Unrequited Henry Darger", "d:Description": "E. Tage Larsen's essay.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.zingmagazine.com/zing4/reviews/07darger.html"} +{"d:Title": "Slate.com: Thank Heaven for Little Girls", "d:Description": "Larissa MacFarquhar's article on 'The Unreality of Being' exhibition, and Darger's life and works.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.slate.com/default.aspx?id=2911"} +{"d:Title": "ArtScope.net: Henry Darger - Realms of the Unreal", "d:Description": "G. Jurek Polanski's review of the exhibition. Includes example pieces.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.artscope.net/VAREVIEWS/Darger1000.shtml"} +{"d:Title": "Wikipedia - Henry Darger (1892 - 1973)", "d:Description": "Encyclopedia article featuring his life, fame and influence regarding the Outsider art painter.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://en.wikipedia.org/wiki/Henry_Darger"} +{"d:Title": "The Grave of Henry Darger", "d:Description": "Location and pictures of his grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=21463209"} +{"d:Title": "The Henry Darger Study Center", "d:Description": "Provides a brief biography along with images of his works.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.folkartmuseum.org/dargerstudycenter"} +{"d:Title": "Interesting Ideas: Rescuing Henry Darger", "d:Description": "Article focused on the Outsider art painter's career. Includes historical comments on some of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.interestingideas.com/out/darger2.htm"} +{"d:Title": "Art Beast: Henry Darger", "d:Description": "Artist's private collection gallery.", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.thedailybeast.com/galleries/2010/04/05/the-private-collection-of-henry-darger.html"} +{"d:Title": "Audio Tour: Henry Darger", "d:Description": "Provides audio resources on each painting displayed at the Public Broadcasting Service (PBS).", "topic": "Top/Arts/Art_History/Artists/D/Darger,_Henry", "url": "http://www.pbs.org/pov/intherealms/audiotour.php"} +{"d:Title": "Webmuseum: Jacques-Louis David", "d:Description": "Brief article on the French neoclassicist (1748-1825), and some paintings.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.ibiblio.org/wm/paint/auth/david/"} +{"d:Title": "Olga's Gallery - Jacques-Louis David", "d:Description": "Collection of works of the French artist with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.abcgallery.com/D/david/david.html"} +{"d:Title": "Wikipedia: Jacques-Louis David", "d:Description": "Article showing a complete biography regarding the French neoclassicist painter, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://en.wikipedia.org/wiki/Jacques_Louis_David"} +{"d:Title": "ARC: Jacques-Louis David", "d:Description": "Collection of images along with a biography.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.artrenewal.org/pages/artist.php?artistid=40"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.metmuseum.org/toah/hd/jldv/hd_jldv.htm"} +{"d:Title": "Web Gallery of Art: Jacques-Louis David", "d:Description": "Presents a set of images from 1783 till 1792 regarding David's work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.wga.hu/frames-e.html?/html/d/david_j/index.html"} +{"d:Title": "The Grave of Jacques-Louis David", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7849"} +{"d:Title": "Mark Harden's Artchive: Jacques-Louis David", "d:Description": "Thorough biography regarding the French neoclassicist artist.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.artchive.com/artchive/D/david.html"} +{"d:Title": "The National Gallery - Jacques-Louis David", "d:Description": "Features a biography and analysis on two of his portrait masterpieces.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.nationalgallery.org.uk/artists/jacques-louis-david"} +{"d:Title": "Humanities Web: Jacques-Louis David", "d:Description": "Presents a biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=87"} +{"d:Title": "Neoclassicism and Romanticism - Jacques-Louis David", "d:Description": "Artist's gallery showing images with high resolution.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.all-art.org/neoclasscism/david1.html"} +{"d:Title": "Jacques-Louis David - Empire to Exile", "d:Description": "Details regarding the French artist's exhibition.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.clarkart.edu/exhibitions/david/"} +{"d:Title": "Jacques-Louis David: A Sign of the Times", "d:Description": "Article based on the French painter's biography including his most famous pieces of artwork by Sarah Towle.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.bonjourparis.com/story/jacques-louis-david-sign-times/"} +{"d:Title": "Artcyclopedia: Jacques-Louis David", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.artcyclopedia.com/artists/david_jacques-louis.html"} +{"d:Title": "NNDB: Jacques-Louis David", "d:Description": "Comprehensive biography regarding the French neoclassical painter born in Paris.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.nndb.com/people/797/000084545/"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Brief biography regarding the French artist including background information on each painting featured.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=544"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "David's gallery showing 42 sketches.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.artic.edu/aic/collections/artwork/artist/David,+Jacques+Louis"} +{"d:Title": "National Gallery of Art - Jacques-Louis David", "d:Description": "Artist's biography including a list of his famous paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/D/David,_Jacques_Louis", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1212.html"} +{"d:Title": "Leonardo da Vinci: the Codex Leicester", "d:Description": "Presents artist's ideas and concepts about water, the moon, the earth and how things move written in the Codex Leicester.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.odranoel.de/"} +{"d:Title": "Art Gallery: Leonardo da Vinci", "d:Description": "The so famous Leonardo masterpiece \"annunciation\" in a beautiful format. From the Uffizi Gallery.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.christusrex.org/www2/art/leonardo.htm"} +{"d:Title": "Life of an Artist: Leonardo da Vinci", "d:Description": "Biography from Giorgio Vasari's \"The Lives of the Artists\" and a gallery.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.artist-biography.info/artist/leonardo_da_vinci/"} +{"d:Title": "WebMuseum: Leonardo da Vinci", "d:Description": "Collection of paintings and information on the great master.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.ibiblio.org/wm/paint/auth/vinci/"} +{"d:Title": "Artchive: Leonardo da Vinci", "d:Description": "Provides a biography and various links to his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.artchive.com/artchive/L/leonardo.html"} +{"d:Title": "Leonardo: the Man, His Machines", "d:Description": "Extensive information centre on the life and works of Leonardo da Vinci and his machines.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.lairweb.org.nz/leonardo/"} +{"d:Title": "Leonardo Da Vinci's Life", "d:Description": "Featuring a biography and discussing several images of the artist.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.davincilife.com/"} +{"d:Title": "National Museum of Science and Technology: Leonardo da Vinci", "d:Description": "This museum in Milan has displays over one hundred models illustrating the work of Leonardo. Chronology of his life, manuscripts, his machines in VRML.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.museoscienza.org/english/leonardo/"} +{"d:Title": "The Last Supper", "d:Description": "Very close details of the figure of Christ, the faces of Philip and John, and the hand of Thaddeus.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.press.uchicago.edu/Misc/Chicago/504271.html"} +{"d:Title": "Leonardo the Ideal City", "d:Description": "A possible reconstruction from an \"ideal city\" that he could have projected assembling the most of this studies. Offers drawings of buildings and machines related to the city and urban life.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.museoscienza.org/IdealCity/"} +{"d:Title": "Leonardo da Vinci - Olga's Gallery", "d:Description": "Collection of images of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.abcgallery.com/L/leonardo/leonardo.html"} +{"d:Title": "BBCi: Leonardo's Life In Science And Arts", "d:Description": "Interactive site featuring paintings, imposters, quizzes, and the studio of the artist.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.bbc.co.uk/science/leonardo/"} +{"d:Title": "British Library: Leonardo da Vinci (1452 - 1519)", "d:Description": "An illustrated biography, including photographs of three full-size models made for the BBC One series Leonardo, and online images of the Library's Leonardo notebook.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.bl.uk/onlinegallery/features/leonardo/leonardo.html"} +{"d:Title": "Sketches of a Renaissance Man", "d:Description": "Collection of artist's drawings.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.davincisketches.com/"} +{"d:Title": "Web Gallery of Art: Leonardo da Vinci", "d:Description": "A collection of many works of the author, including paintings, drawings and sculptures. With notes for each work", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.wga.hu/frames-e.html?/html/l/leonardo/02/"} +{"d:Title": "Leonardo's Horse", "d:Description": "Project to actualize Leonardo's planned but never-completed sculpture of a 24-foot horse.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.davincisciencecenter.org/inside-dsc/leonardo-and-the-horse/"} +{"d:Title": "Wikipedia: Leonardo da Vinci (1452 - 1519)", "d:Description": "Encyclopedia article featuring his life, relationships and influences regarding the High Renaissance artist and scientist. Collection of his artworks are also available.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://en.wikipedia.org/wiki/Da_Vinci"} +{"d:Title": "Find A Grave - Leonardo da Vinci", "d:Description": "Location and pictures of the Italian artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3673"} +{"d:Title": "Leonardo da Vinci: Master Draftsman", "d:Description": "Reviews by John Haber of New York City art galleries and museums.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.haberarts.com/davinci.htm"} +{"d:Title": "OpenLearn: Leonardo's life: A timeline of genius", "d:Description": "Provides a thorough timeline of the Italian artist born in Anchiano.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://www.open.edu/openlearn/history-the-arts/history/world-history/leonardos-life-timeline-genius"} +{"d:Title": "Washington State University: Leonardo da Vinci", "d:Description": "Excerpt from Reading About the World, Volume 1.", "topic": "Top/Arts/Art_History/Artists/D/Da_Vinci,_Leonardo", "url": "http://public.wsu.edu/~brians/world_civ/worldcivreader/world_civ_reader_1/leonardo.html"} +{"d:Title": "ARC - Joseph R. DeCamp", "d:Description": "Art works featured include The Blue Mandarin Coat, The Window Blind and Blue Bird. Provides date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/D/DeCamp,_Joseph", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2022"} +{"d:Title": "Artcyclopedia: Joseph DeCamp", "d:Description": "Links to works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/DeCamp,_Joseph", "url": "http://www.artcyclopedia.com/artists/decamp_joseph.html"} +{"d:Title": "The Athenaeum", "d:Description": "Provides a display of artworks for Joseph DeCamp, sorted in alphabetical order by date.", "topic": "Top/Arts/Art_History/Artists/D/DeCamp,_Joseph", "url": "http://www.the-athenaeum.org/art/list.php?m=o&s=du&oid=1.&f=a&fa=581"} +{"d:Title": "WebMuseum: Degas, Edgar", "d:Description": "Brief commentary on the life and art of the painter.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.ibiblio.org/wm/paint/auth/degas/"} +{"d:Title": "Olga's Gallery: Edgar Degas", "d:Description": "Comprehensive collection of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.abcgallery.com/D/degas/degas.html"} +{"d:Title": "Artchive: Edgar Degas", "d:Description": "Essay by Robert Hughes and images of the artist's paintings.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.artchive.com/artchive/D/degas.html"} +{"d:Title": "Edgar Degas - Cosmopolis", "d:Description": "Biography and exhibition review Degas at Harvard.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.cosmopolis.ch/english/art/65/edgar_degas.htm"} +{"d:Title": "Wikipedia: Edgar Degas (1834 - 1917)", "d:Description": "Encyclopedia article featuring his life, career, style and reputation regarding the Impressionist painter. Includes a Collection of his artworks dated from 1858 to 1898.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://en.wikipedia.org/wiki/Edgar_Degas"} +{"d:Title": "Degas House", "d:Description": "Pictures of the New Orleans\u2019 home to the French Impressionist Master Edgar Degas. Provides images of his artworks as well.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.degashouse.com/"} +{"d:Title": "NCAW - Edgar Degas", "d:Description": "Martha Lucy's scholarly article Reading the Animal in Degas' Young Spartans.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.19thc-artworldwide.org/index.php/component/content/article/76-spring03article/222-reading-the-animal-in-degass-young-spartans"} +{"d:Title": "ARC: Edgar Degas", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.artrenewal.org/pages/artist.php?artistid=46"} +{"d:Title": "The Grave of Edgar Degas", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2324"} +{"d:Title": "Tour: Edgar Degas", "d:Description": "Background information towards his masterpieces such as 'Woman Viewed from Behind' and 'The Mother and Sister of the Artist' among others. At the National Gallery of Art.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.nga.gov/collection/gallery/gg89/gg89-main1.html"} +{"d:Title": "The National Gallery - Edgar Degas", "d:Description": "Presents a thorough biography and related paintings with historical notes.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.nationalgallery.org.uk/artists/hilaire-germain-edgar-degas"} +{"d:Title": "Getty Museum: Edgar Degas", "d:Description": "Short biography regarding Degas together with images of his artworks including sketches.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=1775"} +{"d:Title": "Norton Simon Museum - Degas, Edgar", "d:Description": "Artist's gallery including historical comments on most paintings.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.nortonsimon.org/collections/browse_artist.php?name=Degas,+Edgar"} +{"d:Title": "Edgar Degas and His Private Collection", "d:Description": "Reviews by John Haber of New York City art galleries and museums.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.haberarts.com/degas.htm"} +{"d:Title": "Notable Biograpghies - Edgar Degas", "d:Description": "Biography focused on his early years, techniques and his connection to bronze sculptures.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.notablebiographies.com/De-Du/Degas-Edgar.html"} +{"d:Title": "National Gallery of Canada", "d:Description": "Degas' biography and historical comments on his artworks 'Leaving the Bath' and 'Dances at the Bar'.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=1352"} +{"d:Title": "Humanities Web: Edgar Degas", "d:Description": "Collection of works, brief chronological biography and further resources.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=15"} +{"d:Title": "The Metropolitan Museum of Art - Edgar Degas", "d:Description": "His biography, the historical context of Impressionism, his works, and his collection.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.metmuseum.org/toah/hd/dgsp/hd_dgsp.htm"} +{"d:Title": "The Athenaeum - Edgar Degas", "d:Description": "Features a total of 531 paintings of the French artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=144"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Proper analysis on one of his paintings 'The Millinery Shop'.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.artic.edu/aic/collections/artwork/14572"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Degas' painting background information along with a short biography.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.nationalgalleries.org/collection/artists-a-z/D/3051/artist_name/Edgar%20Degas/"} +{"d:Title": "Wally Findlay Galleries - Edgar Degas", "d:Description": "Thorough biography focused on the Impressionist artist born in Paris.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.wallyfindlay.com/artists/period/edgar-degas/"} +{"d:Title": "Guggenheim: Edgar Degas", "d:Description": "Artist's works from museum collection including background information on each painting.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/931"} +{"d:Title": "Tate Collection: Edgar Degas", "d:Description": "Works by the Impressionist artist including historical notes.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.tate.org.uk/art/artists/edgar-degas-988"} +{"d:Title": "MoMA Collection: Edgar Degas", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/D/Degas,_Edgar", "url": "http://www.moma.org/collection/artists/1465"} +{"d:Title": "Artchive: Eugene Delacroix", "d:Description": "Excerpt from the book about the artist by Gilles Neret and a collection of images.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.artchive.com/artchive/D/delacroix.html"} +{"d:Title": "Catholic Encyclopedia: Ferdinand-Victor-Eugene Delacroix", "d:Description": "The artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.newadvent.org/cathen/04689b.htm"} +{"d:Title": "Eugene Delacroix at Arthistory.cc", "d:Description": "Biography of the artist with a collection of images.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.arthistory.cc/auth/delacroix/"} +{"d:Title": "Olga's Gallery: Eug\u00e8ne Delacroix", "d:Description": "Comprehensive collection of images with artist's biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.abcgallery.com/D/delacroix/delacroix.html"} +{"d:Title": "WebMuseum: Delacroix, Eug\u00e8ne", "d:Description": "Artist's biography and images of his paintings.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.ibiblio.org/wm/paint/auth/delacroix/"} +{"d:Title": "Delacroix's Hamlet Lithographs", "d:Description": "Collection of 16 lithographs based on the Shakespeare's play.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.english.emory.edu/classes/Shakespeare_Illustrated/dh.html"} +{"d:Title": "Wikipedia: Eug\u00e8ne Delacroix", "d:Description": "Article focused on the French painter's life, maturity and legacy. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://en.wikipedia.org/wiki/Eug%C3%A8ne_Delacroix"} +{"d:Title": "ARC: Eug\u00e8ne Delacroix", "d:Description": "Thorough biography including images of his works on high detail.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.artrenewal.org/pages/artist.php?artistid=48"} +{"d:Title": "Web Gallery of Art: Eug\u00e8ne Delacroix", "d:Description": "Presents a set of images regarding Delacroix's work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.wga.hu/html/d/delacroi/index.html"} +{"d:Title": "The Grave of Eug\u00e8ne Delacroix", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=272"} +{"d:Title": "The National Gallery - Eug\u00e8ne Delacroix", "d:Description": "Presents a brief biography including proper background information on each painting featured.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.nationalgallery.org.uk/artists/eugene-delacroix"} +{"d:Title": "Getty Museum: Eug\u00e8ne Delacroix", "d:Description": "Brief biography regarding the French artist including background information on some of his paintings.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=408"} +{"d:Title": "Biblical art by Eug\u00e8ne Delacroix", "d:Description": "Gallery based on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.artbible.info/art/work/eugene-delacroix"} +{"d:Title": "Mus\u00e9e National Eug\u00e8ne Delacroix", "d:Description": "Museum dedicated to the French artist born in Charenton, providing an extensive collection of his artworks along with a comprehensive biography. Activities and events are also presented.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.musee-delacroix.fr/en/"} +{"d:Title": "Victoria and Albert Museum", "d:Description": "Proper analysis and interpretation on one of Delacroix paintings 'The shipwreck of Don Juan'.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.vam.ac.uk/content/articles/o/the-shipwreck-of-don-juan/"} +{"d:Title": "NNDB - Eug\u00e8ne Delacroix", "d:Description": "Comprehensive biography regarding the French Romantic painter born in Charenton.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.nndb.com/people/465/000022399/"} +{"d:Title": "Eugene Delacroix and Tahrir Square", "d:Description": "Review on one of his masterpieces 'Liberty Leading the People'.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.guardian.co.uk/artanddesign/jonathanjonesblog/2011/feb/15/eugene-delacroix"} +{"d:Title": "Artble: Eugene Delacroix", "d:Description": "Presents a comprehensive biography, context, style and artworks regarding the French Romantic artist. Size, medium and current location of his paintings are also available.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.artble.com/artists/eugene_delacroix"} +{"d:Title": "Humanities Web: Eug\u00e8ne Delacroix", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=16"} +{"d:Title": "Liberty Leading the People, Accidental Icon?", "d:Description": "Provides an explanatory video reviewing one of Delacroix's masterpieces.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.canal-educatif.fr/en/videos/art/1/delacroix/liberty-leading-the-people.html"} +{"d:Title": "The Athenaeum: Eug\u00e8ne Delacroix", "d:Description": "Gallery containing a total of 25 paintings.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=348"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Delacroix's gallery including size, medium and date.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.artic.edu/aic/collections/artwork/artist/Delacroix,+Eugene"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Delacroix's biography and proper analysis on two of his artworks 'A Vase of Flowers' and 'Arabs Playing Chess'.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "https://www.nationalgalleries.org/collection/322/D/3052/artist_name/Eugene%20Delacroix/"} +{"d:Title": "National Gallery of Art - Eug\u00e8ne Delacroix", "d:Description": "Provides an extensive biography including a list of the French artist's work.", "topic": "Top/Arts/Art_History/Artists/D/Delacroix,_Eug\u00e8ne", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1221.html"} +{"d:Title": "Wikipedia - Robert Delaunay", "d:Description": "Article showing a complete biography regarding the French Abstract painter, including images of his works.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://en.wikipedia.org/wiki/Robert_Delaunay"} +{"d:Title": "The Grave of Robert Delaunay", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=37608218"} +{"d:Title": "NGA: Robert Delaunay", "d:Description": "Provides a list of the French artist's exhibition history.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.nga.gov/fcgi-bin/tinfo_f?object=52397&detail=exhibit"} +{"d:Title": "Mark Harden's Artchive: Robert Delaunay", "d:Description": "Thorough biography regarding the French Abstract artist.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.artchive.com/artchive/D/delaunay.html"} +{"d:Title": "Artcyclopedia: Robert Delaunay", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.artcyclopedia.com/artists/delaunay_robert.html"} +{"d:Title": "Wisdom Supreme: Robert Delaunay", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.wisdomsupreme.com/dictionary/robert-delaunay.php"} +{"d:Title": "Guggenheim: Robert Delaunay", "d:Description": "Artist's works from museum collection.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/1385"} +{"d:Title": "Tate Collection | Robert Delaunay", "d:Description": "Brief biography regarding the painter born in Paris.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.tate.org.uk/art/artists/robert-delaunay-992"} +{"d:Title": "MoMA Collection: Robert Delaunay", "d:Description": "Collection of the French artist since 1911 together with a comprehensive biography.", "topic": "Top/Arts/Art_History/Artists/D/Delaunay,_Robert", "url": "http://www.moma.org/collection/artists/1479"} +{"d:Title": "Jean Delville: Belgian Symbolist", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Delville,_Jean", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/art/delville.html"} +{"d:Title": "Wikipedia: Jean Delville (1867 - 1953)", "d:Description": "Article focused on the Belgian symbolist painter's background, paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/D/Delville,_Jean", "url": "http://en.wikipedia.org/wiki/Jean_Delville"} +{"d:Title": "Poems by Jean Delville", "d:Description": "Presents some of his poems such as 'Lunar Park', 'Magica' and 'The Holy Book' among others.", "topic": "Top/Arts/Art_History/Artists/D/Delville,_Jean", "url": "http://www.shigeku.org/xlib/lingshidao/waiwen/delville.htm"} +{"d:Title": "Demuth Foundation", "d:Description": "Includes a short biography, gallery, and information about the Demuth museum.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.demuth.org/"} +{"d:Title": "Artchive: Charles Demuth", "d:Description": "Includes biographical quotation from scholarly literature, links to articles, and image links.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.artchive.com/artchive/D/demuth.html"} +{"d:Title": "Wikipedia - Charles Demuth", "d:Description": "Article focused on the American watercolorist's career including a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://en.wikipedia.org/wiki/Charles_Demuth"} +{"d:Title": "Find A Grave - Charles Demuth", "d:Description": "Location and pictures of the American artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=276"} +{"d:Title": "Artcyclopedia: Charles Demuth", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.artcyclopedia.com/artists/demuth_charles.html"} +{"d:Title": "American Icons - Charles Demuth", "d:Description": "Biography including images of some of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.areaofdesign.com/americanicons/demuth.htm"} +{"d:Title": "Artists in 60 Seconds: Charles Demuth", "d:Description": "Presents artist's biography, quotes and a list with some of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://arthistory.about.com/cs/namesdd/p/demuth.htm"} +{"d:Title": "Where Paint and Poetry Meet", "d:Description": "Analysis and interpretation on his masterpiece 'The Figure in Gold' and the connection to William Carlos Williams.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://online.wsj.com/article/SB10001424052748704002104575291183951560378.html"} +{"d:Title": "Art Experts - Charles Demuth", "d:Description": "Artist's gallery along with a biography.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.artexpertswebsite.com/pages/artists/demuth.php"} +{"d:Title": "Sheldon Museum of Art", "d:Description": "Demuth's biography showing how diabetes affected his career.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.sheldonartmuseum.org/collection/index.html?topic=artistdetail&clct_artist_full_name=Charles+Demuth&clct_id=5919"} +{"d:Title": "Questroyal Fine Art", "d:Description": "Comprehensive Demuth's compendium information providing a biography, art collection, exhibitions and a chronology of events.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.questroyalfineart.com/artist/charles-demuth"} +{"d:Title": "The Athenaeum - Charles Demuth", "d:Description": "Features a total of 37 paintings of the American artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1738"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Demuth\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.artic.edu/aic/collections/artwork/artist/Demuth,+Charles"} +{"d:Title": "AskArt: Charles Demuth", "d:Description": "Includes biography, bibliography, and image gallery.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.askart.com/artist/Charles_Henry_Demuth/24022/Charles_Henry_Demuth.aspx"} +{"d:Title": "MoMA Collection: Charles Demuth", "d:Description": "Provides a comprehensive biography including an example on one of his masterpieces 'Eggplant and Tomatoes'.", "topic": "Top/Arts/Art_History/Artists/D/Demuth,_Charles", "url": "http://www.moma.org/collection/artists/1490"} +{"d:Title": "Haber's Art Reviews - Sue de Beer", "d:Description": "Review by John Haber on themes of fashion, feminism, suicide, and 'The Quickening' by the artist.", "topic": "Top/Arts/Art_History/Artists/D/De_Beer,_Sue", "url": "http://www.haberarts.com/debeer2.htm"} +{"d:Title": "Sue de Beer Official Website", "d:Description": "Provides articles, interviews and detailed information on the artist's exhibitions. A biography is also available.", "topic": "Top/Arts/Art_History/Artists/D/De_Beer,_Sue", "url": "http://www.suedebeer.com/"} +{"d:Title": "Marianne Boesky Gallery", "d:Description": "Presents De Beer's gallery, chronological events and a list of her exhibitions.", "topic": "Top/Arts/Art_History/Artists/D/De_Beer,_Sue", "url": "http://www.marianneboeskygallery.com/artists/sue-de-beer/"} +{"d:Title": "White Paint, Chocolate, and Postmodern Ghosts", "d:Description": "Extensive article based on De Beer's career and experiences. In addition, images and video are supported.", "topic": "Top/Arts/Art_History/Artists/D/De_Beer,_Sue", "url": "http://www.nytimes.com/2011/01/30/arts/design/30debeer.html?_r=1"} +{"d:Title": "Haunted: Sue de Beer at the Park Avenue Armory", "d:Description": "Article focused on the artist's role as a movie director on \"The Ghosts\".", "topic": "Top/Arts/Art_History/Artists/D/De_Beer,_Sue", "url": "http://www.huffingtonpost.com/doreen-remen/the-ghosts-of-park-avenue_b_818125.html"} +{"d:Title": "Making out with myself: Sue de Beer", "d:Description": "Artist's interview centered on one of her artworks.", "topic": "Top/Arts/Art_History/Artists/D/De_Beer,_Sue", "url": "http://www.thirteen.org/reelny/previous_seasons/reelnewyork3/interview-debeer.html"} +{"d:Title": "CUE Art Foundation - Ian Cooper", "d:Description": "Sue de Beer interviewing Ian Cooper about art, film, ghosts, faith and The Poltergeist.", "topic": "Top/Arts/Art_History/Artists/D/De_Beer,_Sue", "url": "http://cueartfoundation.org/ian-cooper/"} +{"d:Title": "ARC: Sir Frank Dicksee", "d:Description": "Images and biographical information on the British artist.", "topic": "Top/Arts/Art_History/Artists/D/Dicksee,_Sir_Frank", "url": "http://www.artrenewal.org/pages/artist.php?artistid=29"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows Dicksee's portrait done by multiple artists.", "topic": "Top/Arts/Art_History/Artists/D/Dicksee,_Sir_Frank", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp15212&role=art"} +{"d:Title": "Lines and Colors: Sir Frank Dicksee", "d:Description": "Article based on the English painter's career including images of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Dicksee,_Sir_Frank", "url": "http://www.linesandcolors.com/2011/03/27/sir-frank-dicksee/"} +{"d:Title": "Sir Frank Dicksee, P.R.A. (1853-1928)", "d:Description": "Provides analysis and interpretation on one of his paintings 'Paolo and Francesca'.", "topic": "Top/Arts/Art_History/Artists/D/Dicksee,_Sir_Frank", "url": "http://www.christies.com/LotFinder/lot_details.aspx?intObjectID=5161181"} +{"d:Title": "Humanities Web: Sir Frank Dicksee", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/D/Dicksee,_Sir_Frank", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=17"} +{"d:Title": "Collection Tate: Sir Frank Dicksee", "d:Description": "Background information on two of his paintings 'Harmony' and 'The two Crowns'.", "topic": "Top/Arts/Art_History/Artists/D/Dicksee,_Sir_Frank", "url": "http://www.tate.org.uk/art/artists/sir-frank-dicksee-149"} +{"d:Title": "Otto Dix (1891 - 1969)", "d:Description": "Dedicated website to one of the most significant artists of the 20th century. Provides a biography, chronological events and artworks regarding the German artist. English version is available.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.otto-dix.de/"} +{"d:Title": "Art For A Change: The Seven Deadly Sins", "d:Description": "Provides a short explanation about the painting.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.art-for-a-change.com/Express/ex7.htm"} +{"d:Title": "Art for a Change: The War", "d:Description": "Background information regarding Dix's Oil/Tempera painting.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.art-for-a-change.com/Express/ex11.htm"} +{"d:Title": "The Online Otto Dix Project", "d:Description": "An online catalog of his work including a biography and an extensive collection of art by the German Expressionist.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.ottodix.org/"} +{"d:Title": "Wikipedia: Otto Dix (1891 - 1969)", "d:Description": "Encyclopedia article featuring a biography and a gallery of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://en.wikipedia.org/wiki/Otto_Dix"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Dix's masterpiece explaining the influence of realism known as Neue Sachlichkeit (New Objectivity) on his work.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.metmuseum.org/toah/works-of-art/1992.146"} +{"d:Title": "Find A Grave - Otto Dix", "d:Description": "Location and pictures of the German artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11389825"} +{"d:Title": "Norton Simon Museum - Dix, Otto", "d:Description": "Historical comments on one of his paintings 'Lady' 1922.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.nortonsimon.org/collections/browse_artist.php?name=Dix,+Otto"} +{"d:Title": "Otto Dix Gallery", "d:Description": "Brief introduction including images of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.mess.net/galleria/dix/"} +{"d:Title": "German Expressionism: The Graphic Impulse", "d:Description": "Review by John HaReviews by John Haber on Dix's artworks.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.haberarts.com/germanex.htm"} +{"d:Title": "Neue Gallerie - Otto Dix", "d:Description": "Includes artworks dated from 1920 to 1928.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.neuegalerie.org/exhibitions/items/955"} +{"d:Title": "National Gallery of Australia", "d:Description": "Comprehensive biography along with a slideshow gallery including interpretation and analysis on his artworks featured.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.nga.gov.au/dix/"} +{"d:Title": "Artcyclopedia: Otto Dix", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.artcyclopedia.com/artists/dix_otto.html"} +{"d:Title": "Otto Dix and Hugo Erfurth", "d:Description": "Article focused on the relationship of both artist. Includes portraits.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.museothyssen.org/microsites/otto_dix/hugo_ing.html"} +{"d:Title": "Ketterer Kunst - Otto Dix", "d:Description": "Artist's biography including one of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.kettererkunst.com/bio/OttoDix-1891-1969.shtml"} +{"d:Title": "The Art Story: Otto Dix", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.theartstory.org/artist-dix-otto.htm"} +{"d:Title": "Humanities Web: Otto Dix", "d:Description": "Collection of works, biography and further resources.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=306"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Dix\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.artic.edu/aic/collections/artwork/artist/Dix,+Otto"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Historical information on two of Dix's masterpieces.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.nationalgalleries.org/collection/artists-a-z/D/3172/artist_name/Otto%20Dix"} +{"d:Title": "Spartacus Educational: Otto Dix", "d:Description": "Provides detailed biography as well as several pictures.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://spartacus-educational.com/ARTdix.htm"} +{"d:Title": "Encyclopedia.com: Otto Dix", "d:Description": "Provides a very brief biography.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.encyclopedia.com/topic/Otto_Dix.aspx"} +{"d:Title": "National Gallery of Art - Otto Dix", "d:Description": "List of his drawings and prints.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.3935.html?artobj_artistId=3935&pageNumber=1"} +{"d:Title": "Cornell University - Otto Dix", "d:Description": "Features one of the Neue Sachlichkeit portraits - Woman Lying on a Leopard Skin (Liegende auf Leopardenfell), 1927.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://museum.cornell.edu/collections/modern-contemporary/20th-century-painting/reclining-woman-leopard-skin"} +{"d:Title": "MoMA Collection: Otto Dix", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/D/Dix,_Otto", "url": "http://www.moma.org/collection/artists/1559"} +{"d:Title": "Wikipedia: Theo Van Doesburg", "d:Description": "Article showing a complete biography regarding the Dutch Neo-Plasticism artist.", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://en.wikipedia.org/wiki/Theo_Van_Doesburg"} +{"d:Title": "Poetry: De Stijl", "d:Description": "A collection of visual poetry by I.K. Bonset (pseudonym of Theo van Doesburg). German", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://sdrc.lib.uiowa.edu/dada/De_Stijl/011/index.htm"} +{"d:Title": "Theo van Doesburg: Forgotten artist of the avant garde", "d:Description": "Article focus on the Dutch artist's career.", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://www.guardian.co.uk/artanddesign/2010/jan/23/theo-van-doesburg-avant-garde-tate"} +{"d:Title": "Artcyclopedia: Theo Van Doesburg", "d:Description": "A collections of links to online exhibitions which include his works and all other material on the artist.", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://www.artcyclopedia.com/artists/doesburg_theo_van.html"} +{"d:Title": "Theo van Doesburg", "d:Description": "Biography towards the Dutch artist born in Utrecht.", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://www.iconofgraphics.com/Theo-Van-Doesburg/"} +{"d:Title": "Netherlands Institute for Cultural Heritage", "d:Description": "Van Doesburg's brief biography.", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://www.geheugenvannederland.nl/?/en/collecties/instituut_collectie_nederland/theo_van_doesburg"} +{"d:Title": "Guggenheim Collection - Theo van Doesburg", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/bios/1481"} +{"d:Title": "MoMA Collection: Theo Van Doesburg", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/D/Doesburg,_Theo_van", "url": "http://www.moma.org/collection/artists/6076"} +{"d:Title": "Artchive - Donatello", "d:Description": "Biographical article, includes images of sculptures.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.artchive.com/artchive/D/donatello.html"} +{"d:Title": "Web Gallery of Art - Donatello", "d:Description": "Artist's biography including comments on most of his artworks. Images can be sent as ecards.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.wga.hu/bio/d/donatell/biograph.html"} +{"d:Title": "Wikipedia: Donatello", "d:Description": "Biography, main artworks and major commissions regarding the Italian artist.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://en.wikipedia.org/wiki/Donatello"} +{"d:Title": "ARC: Donatello", "d:Description": "Thorough biography including images of his works on high detail.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.artrenewal.org/pages/artist.php?artistid=91"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Provides a comprehensive biography including background information on two of his masterpieces.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.metmuseum.org/toah/hd/dona/hd_dona.htm"} +{"d:Title": "Find A Grave - Donatello", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7846114"} +{"d:Title": "The 'Chellini Madonna'", "d:Description": "Article based on the bronze roundel given by the sculptor Donatello to his doctor Giovanni Chellini in lieu of payment.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.vam.ac.uk/content/articles/t/the-chellini-madonna/"} +{"d:Title": "NNDB - Donatello", "d:Description": "Provides an extensive biography.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.nndb.com/people/706/000084454/"} +{"d:Title": "Notable Biograpghies - Donatello", "d:Description": "Biography focused on his early years, techniques and style.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.notablebiographies.com/De-Du/Donatello.html"} +{"d:Title": "Italian Renaissance Art", "d:Description": "Features a biography including images of his works towards the great Florentine sculptor.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.italian-renaissance-art.com/Donatello.html"} +{"d:Title": "Artble: Donatello", "d:Description": "Features a biography, style and technique regarding the Early Renaissance artist. Includes a list of his famous works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/D/Donatello", "url": "http://www.artble.com/artists/donatello"} +{"d:Title": "New York University - Suzanne Doppelt", "d:Description": "Open Exhibition of Doppelt's Photographs at the Deutsche Haus, New York University.", "topic": "Top/Arts/Art_History/Artists/D/Doppelt,_Suzanne", "url": "https://www.nyu.edu/about/news-publications/news/2006/11/16/readings_open_exhibition_of.html"} +{"d:Title": "Wikipedia: Arthur Dove (1880 - 1946)", "d:Description": "Biography, cottage and artworks regarding the American artist.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://en.wikipedia.org/wiki/Arthur_Garfield_Dove"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Provides a comprehensive biography including a slideshow presenting images of his work.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.metmuseum.org/toah/hd/dove/hd_dove.htm"} +{"d:Title": "Artchive - Arthur Dove", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.artchive.com/artchive/D/dove.html"} +{"d:Title": "Archives of American Art - Arthur Dove", "d:Description": "Features biographical information including an overview of his collection.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.aaa.si.edu/collections/arthur-and-helen-torr-dove-papers-9318/more"} +{"d:Title": "The Art Story: Arthur Garfield Dove", "d:Description": "Biography covering his early training, mature and late periods, including his legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.theartstory.org/artist-dove-arthur.htm"} +{"d:Title": "Questroyal Fine Art", "d:Description": "Comprehensive Dove's compendium information providing a biography, art collection, exhibitions and chronology of events.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.questroyalfineart.com/artist/arthur-dove"} +{"d:Title": "Sheldon Museum of Art", "d:Description": "Dove's biography acknowledged as one of America\u2019s most important and original early modernist artists.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.sheldonartmuseum.org/collection/index.html?topic=artistdetail&clct_artist_full_name=Arthur+Dove&clct_id="} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Dove's gallery.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.artic.edu/aic/collections/artwork/artist/Dove,+Arthur"} +{"d:Title": "National Gallery of Art: Arthur Dove", "d:Description": "Biography including a list of his paintings, drawings and sculptures.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1241.html"} +{"d:Title": "AskART: Arthur Garfield Dove", "d:Description": "Auction results, biography, and images.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.askart.com/artist/Arthur_Garfield_Dove/30032/Arthur_Garfield_Dove.aspx"} +{"d:Title": "MoMA Collection: Arthur Dove", "d:Description": "Provides a biography together with a bibliography from the Oxford University Press.", "topic": "Top/Arts/Art_History/Artists/D/Dove,_Arthur_Garfield", "url": "http://www.moma.org/collection/artists/1602"} +{"d:Title": "Wikipedia - Richard Doyle", "d:Description": "Encyclopedia article featuring a biography and a gallery regarding the Victorian era illustrator.", "topic": "Top/Arts/Art_History/Artists/D/Doyle,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Doyle_(illustrator)"} +{"d:Title": "Art Renewal Center - Richard Doyle", "d:Description": "Provides images of the English artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/D/Doyle,_Richard", "url": "http://www.artrenewal.org/pages/artist.php?artistid=6621"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows Doyle's portrait done by multiple artists.", "topic": "Top/Arts/Art_History/Artists/D/Doyle,_Richard", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp05256"} +{"d:Title": "George A. Smather Libraries", "d:Description": "Features 8 books animated by Doyle. Includes titles such as 'Princess Nobody', 'Story of Jack and the giants' and 'In fairyland' among others.", "topic": "Top/Arts/Art_History/Artists/D/Doyle,_Richard", "url": "http://ufdc.ufl.edu/juv/contains/brief/?t=doyle,+richard"} +{"d:Title": "The Victorian Web - Richard Doyle", "d:Description": "Includes biographical and critical discussions along with images of his illustrations.", "topic": "Top/Arts/Art_History/Artists/D/Doyle,_Richard", "url": "http://www.victorianweb.org/art/illustration/doyle/bio.html"} +{"d:Title": "Artcyclopedia: Herbert Draper", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Draper,_Herbert", "url": "http://www.artcyclopedia.com/artists/draper_herbert.html"} +{"d:Title": "ARC: Herbert Draper", "d:Description": "Provides images of the English artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/D/Draper,_Herbert", "url": "http://www.artrenewal.org/pages/artist.php?artistid=98"} +{"d:Title": "The Victorian Web - Herbert Draper", "d:Description": "Brief biography together with interpretation and analysis on his paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/D/Draper,_Herbert", "url": "http://www.victorianweb.org/painting/draper/index.html"} +{"d:Title": "The Lament for Icarus", "d:Description": "Proper analysis on one of Draper's masterpieces.", "topic": "Top/Arts/Art_History/Artists/D/Draper,_Herbert", "url": "http://www.101bananas.com/art/icarus.html"} +{"d:Title": "Tate Collection: Herbert Draper", "d:Description": "Background information on one of his paintings 'The Lament for Icarus'.", "topic": "Top/Arts/Art_History/Artists/D/Draper,_Herbert", "url": "http://www.tate.org.uk/art/artworks/draper-the-lament-for-icarus-n01679"} +{"d:Title": "Catholic Encyclopedia: Duccio di Buoninsegna", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.newadvent.org/cathen/05181c.htm"} +{"d:Title": "Christus Rex: Duccio di Buoninsegna", "d:Description": "A virtual gallery of the artist's most famous paintings.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.christusrex.org/www2/art/duccio.htm"} +{"d:Title": "Olga's Gallery: Duccio di Buoninsegna", "d:Description": "Comprehensive collection of images with artist's biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.abcgallery.com/D/duccio/duccio.html"} +{"d:Title": "The Life of Duccio by Vasari", "d:Description": "Excerpts from the biography of the artist by famous Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/duccio/duccio.htm"} +{"d:Title": "Duccio di Buoninsegna", "d:Description": "Artist's image gallery.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.jetset.it/piazza/pages/pictures/duccio.htm"} +{"d:Title": "Duccio and the Art of Siena", "d:Description": "Article about the artist's art and a comparison of his works with Giotto's.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://employees.oneonta.edu/farberas/arth/Arth213/Duccio.html"} +{"d:Title": "The Frick Collection: Duccio", "d:Description": "Story about the artist's masterpiece 'Temptation of Christ on the Mountain'.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://collections.frick.org/view/objects/asitem/items$0040:152"} +{"d:Title": "Web Gallery of Art: Duccio di Buoninsegna", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.wga.hu/html/d/duccio/index.html"} +{"d:Title": "Wikipedia: Duccio Di Buoninsegna (c. 1255-1260 \u2013 c. 1318-1319)", "d:Description": "Article focused on the Italian painter's known surviving works.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://en.wikipedia.org/wiki/Duccio_Di_Buoninsegna"} +{"d:Title": "ARC: Duccio Di Buoninsegna", "d:Description": "Provides images of the Italian artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.artrenewal.org/pages/artist.php?artistid=4208"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Duccio's masterpiece explaining the influence of younger contemporary Giotto on his work.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.metmuseum.org/toah/works-of-art/2004.442"} +{"d:Title": "National Gallery of Art - Duccio Di Buoninsegna", "d:Description": "Proper analysis on one of his paintings 'The Calling of the Apostles Peter and Andrew'.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.nga.gov/fcgi-bin/tinfo_f?object=282"} +{"d:Title": "The National Gallery - Duccio", "d:Description": "Features a biography and analysis on each of his artworks listed.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.nationalgallery.org.uk/artists/duccio"} +{"d:Title": "Biblical art by Duccio Di Buoninsegna", "d:Description": "Gallery based on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.artbible.info/art/work/duccio-di-buoninsegna"} +{"d:Title": "The Missing Madonna", "d:Description": "Article focused on the story behind the Met\u2019s most expensive acquisition by Calvin Tomkins.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.newyorker.com/archive/2005/07/11/050711fa_fact"} +{"d:Title": "Duccio - The beginning of Sienese Painting", "d:Description": "Detailed information on the exhibition 'Santa Maria della Scala'.", "topic": "Top/Arts/Art_History/Artists/D/Duccio_di_Buoninsegna", "url": "http://www.duccio.siena.it/intro/intro.html"} +{"d:Title": "Fresh Widow - Encounter with Marcel Duchamp", "d:Description": "Site dedicated to the man who made art out of an urinal.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.freshwidow.com/duchamp-aug96.html"} +{"d:Title": "Understanding Duchamp - Retrospective", "d:Description": "Presents artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.understandingduchamp.com/"} +{"d:Title": "Marcel Duchamp - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.abcgallery.com/D/duchamp/duchamp.html"} +{"d:Title": "Tout-Fait - Marcel Duchamp Studies Online Journal", "d:Description": "Journal focusing on the French-American artist.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.toutfait.com/"} +{"d:Title": "University at Buffalo - Dada Without Duchamp / Duchamp Without Dada", "d:Description": "A scholarly essay by Marjorie Perloff.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://epc.buffalo.edu/authors/perloff/dada.html"} +{"d:Title": "Wikipedia: Marcel Duchamp (1887 \u2013 1968)", "d:Description": "Biography, legacy and artworks regarding the French artist.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://en.wikipedia.org/wiki/Marcel_Duchamp"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life and career of the French artist including a slideshow presenting his works of art.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.metmuseum.org/toah/hd/duch/hd_duch.htm"} +{"d:Title": "Find A Grave - Marcel Duchamp", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4326"} +{"d:Title": "NGA: Marcel Duchamp", "d:Description": "Background information regarding his masterpiece 'Fresh Widow'.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.nga.gov/fcgi-bin/tinfo_f?object=140327"} +{"d:Title": "Mark Harden's Artchive: Marcel Duchamp", "d:Description": "Thorough biography regarding the French artist.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.artchive.com/artchive/D/duchamp.html"} +{"d:Title": "Philadelphia Museum of Art", "d:Description": "Analysis on Duchamp's masterpiece 'Portrait of Chess Players' explaining the influence of chess on his life.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.philamuseum.org/collections/permanent/51446.html"} +{"d:Title": "Marcel Duchamp Interviews", "d:Description": "Presents a list of interviews recorded in English and in French.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.ubu.com/sound/duchamp.html"} +{"d:Title": "Marcel Duchamp World Community", "d:Description": "Dedicated website to one of the most significant artists of the 20th century. Provides a biography, news, images, events and artworks regarding the French artist.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.marcelduchamp.net/"} +{"d:Title": "DaDa Companion - Marcel Duchamp", "d:Description": "Shows biographical information along with exhibition history and description on his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.dada-companion.com/duchamp/"} +{"d:Title": "Inventing Marcel Duchamp", "d:Description": "Detailed information on this exhibition showing the portraits, audio and video.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.npg.si.edu/exhibit/duchamp/"} +{"d:Title": "The Art Story: Marcel Duchamp", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.theartstory.org/artist-duchamp-marcel.htm"} +{"d:Title": "Artcyclopedia: Marcel Duchamp", "d:Description": "A collections of links to online exhibitions which include his works and all other material on the artist.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.artcyclopedia.com/artists/duchamp_marcel.html"} +{"d:Title": "Marcel Duchamp: Chess Master", "d:Description": "Article explaining how he quit making art in order to focus on his new passion: chess.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.slu.edu/x29638.xml"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Duchamp's gallery including size, medium and date.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.artic.edu/aic/collections/artwork/artist/Duchamp,+Marcel"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Duchamp's painting background information along with a short biography.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.nationalgalleries.org/collection/artists-a-z/D/3200/artist_name/Marcel%20Duchamp/"} +{"d:Title": "Tate Collection: Marcel Duchamp", "d:Description": "Works by the French artist including historical notes.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.tate.org.uk/art/artists/marcel-duchamp-1036"} +{"d:Title": "MoMA Collection: Marcel Duchamp", "d:Description": "Gallery slideshow including an extensive biography.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.moma.org/collection/artists/1634"} +{"d:Title": "Guggenheim: Marcel Duchamp", "d:Description": "Background information on three of his artworks including 'Apropos of Little Sister' and 'Study for Chess Players'.", "topic": "Top/Arts/Art_History/Artists/D/Duchamp,_Marcel", "url": "http://www.guggenheim.org/artwork/artist/marcel-duchamp"} +{"d:Title": "Mark Harden's Artchive: Raoul Dufy", "d:Description": "Images, profile, and links.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.artchive.com/artchive/D/dufy.html"} +{"d:Title": "Raoul Dufy Paintings", "d:Description": "Image gallery of paintings.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.art-prints-gallery.com/gallery/raoul_dufy/"} +{"d:Title": "Wikipedia: Raoul Dufy", "d:Description": "Article showing a brief biography regarding the French Fauvist painter, including a list of his works.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://en.wikipedia.org/wiki/Raoul_Dufy"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Dufy's artwork explaining the influence of abstracted shapes of Cubism on his work.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.metmuseum.org/toah/works-of-art/23.116"} +{"d:Title": "The Grave of Raoul Dufy", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=43088322"} +{"d:Title": "Artcyclopedia: Raoul Dufy", "d:Description": "Links to works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.artcyclopedia.com/artists/dufy_raoul.html"} +{"d:Title": "ArtNet: Raoul Dufy", "d:Description": "Sample work provided.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.artnet.com/artists/raoul-dufy/"} +{"d:Title": "Raoul Dufy's Ambient Light", "d:Description": "Article focused on the artist's experiments with colors.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.webexhibits.org/colorart/dufy.html"} +{"d:Title": "Humanities Web: Raoul Dufy", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=116"} +{"d:Title": "The Athenaeum: Raoul Dufy", "d:Description": "Provides 26 artworks done by the French artist born in Le Havre.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1745"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a list of artworks done by the French artist.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.artic.edu/aic/collections/artwork/artist/Dufy,+Raoul"} +{"d:Title": "Wally Findlay Galleries - Raoul Dufy", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.wallyfindlay.com/artists/period/raoul-dufy/"} +{"d:Title": "NGA: Raoul Dufy", "d:Description": "Presents a list of his paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1252.html?artobj_artistId=1252&pageNumber=1"} +{"d:Title": "Collection Tate: Raoul Dufy", "d:Description": "Background information on each painting displayed.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.tate.org.uk/art/artists/raoul-dufy-1038"} +{"d:Title": "MoMA Collection: Raoul Dufy", "d:Description": "Gallery slideshow.", "topic": "Top/Arts/Art_History/Artists/D/Dufy,_Raoul", "url": "http://www.moma.org/collection/artists/1646"} +{"d:Title": "Rehs Galleries - Dupre, Jules (1811-1889)", "d:Description": "Considered one of the leading 19th century French landscape painters and an important member of the Barbizon School. Site offers a detailed biography and an image of an early important work - Environs de Plymouth.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.rehsgalleries.com/jules_dupre.htm"} +{"d:Title": "The National Gallery - Jules Dupr\u00e9", "d:Description": "Features a biography and analysis on one of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.nationalgallery.org.uk/artists/jules-louis-dupre"} +{"d:Title": "NNDB: Jules Dupr\u00e9", "d:Description": "Provides a brief biography.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.nndb.com/people/468/000104156/"} +{"d:Title": "Artcyclopedia: Jules Dupr\u00e9", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.artcyclopedia.com/artists/dupre_jules.html"} +{"d:Title": "The Athenaeum - Jules Dupr\u00e9", "d:Description": "Features a total of 12 paintings belong to the Nantais artist in high detail.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=547"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Dupr\u00e9's gallery.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.artic.edu/aic/collections/artwork/artist/Dupre,+Jules"} +{"d:Title": "NGA: Jules Dupr\u00e9", "d:Description": "Extensive biography including a list of his paintings and prints.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1253.html"} +{"d:Title": "Wally Findlay Galleries - Jules Dupr\u00e9", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Dupr\u00e9,_Jules", "url": "http://www.wallyfindlay.com/artists/period/jules-dupre/"} +{"d:Title": "Hay In Art - Julien Dupre", "d:Description": "Essay exploring the theme of hay in art; included are a number of his works.", "topic": "Top/Arts/Art_History/Artists/D/Dupre,_Julien", "url": "http://www.hayinart.com/000414.html"} +{"d:Title": "Kemper Art Museum: Julien Dupre", "d:Description": "Image of the three paintings in the Mildred Lane Kemper Art Museum; Haying Scene (1882), In Pasture (1883), and Milking Time (1888).", "topic": "Top/Arts/Art_History/Artists/D/Dupre,_Julien", "url": "http://www.kemperartmuseum.wustl.edu/collection/search?keyword=julien+dupre"} +{"d:Title": "ARC: Julien Dupr\u00e9", "d:Description": "The Art Renewal Center's biography and image bank featuring the works of the French Realist artist. Images include: Shepherdess with Goat, Le Repos, La Faneuse, Laitiere, and Le Dejeuner", "topic": "Top/Arts/Art_History/Artists/D/Dupre,_Julien", "url": "http://www.artrenewal.org/pages/artist.php?artistid=113"} +{"d:Title": "Rehs Galleries - Julien Dupr\u00e9 (1851-1910)", "d:Description": "Information pertaining to the preparation of the catalogue raisonn\u00e9 on the French Realist artist. Site contains information concerning his lifeas well as a link to an online exhibition of his work.", "topic": "Top/Arts/Art_History/Artists/D/Dupre,_Julien", "url": "http://www.rehsgalleries.com/dupre.htm"} +{"d:Title": "Artcyclopedia: Julien Dupre", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Dupre,_Julien", "url": "http://www.rehsgalleries.com/virtexjd.htm"} +{"d:Title": "The Athenaeum - Julien Dupre", "d:Description": "Gallery containing a total of 10 paintings.", "topic": "Top/Arts/Art_History/Artists/D/Dupre,_Julien", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=995"} +{"d:Title": "IHAS Movements: The Hudson River School", "d:Description": "A brief summary of the School's place in American philosophy by Thomas Hampson, narrator of the PBS series \"I Hear America Singing.\" Includes a video clip review of Durand's Kindred Spirits.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.pbs.org/wnet/ihas/icon/hudson.html"} +{"d:Title": "Wikipedia: Asher Durand (1796 - 1886)", "d:Description": "Article focused on his early life and painting career, includes a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://en.wikipedia.org/wiki/Asher_Brown_Durand"} +{"d:Title": "Art Renewal Center - Asher Durand", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.artrenewal.org/pages/artist.php?artistid=116"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Provides a biography and a gallery containing Durand's masterpieces including historical notes and analysis on each one of them.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.metmuseum.org/toah/hd/dura/hd_dura.htm"} +{"d:Title": "Find A Grave - Asher Durand", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4700"} +{"d:Title": "NGA: Asher Durand", "d:Description": "Presents details regarding one of the American artist's exhibition \"Kindred Spirits\".", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.nga.gov/exhibitions/durandinfo.shtm"} +{"d:Title": "Mark Harden's Artchive: Asher Durand", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.artchive.com/artchive/D/durand.html"} +{"d:Title": "Smithsonian American Art Museum: Asher B. Durand", "d:Description": "Provides artist's biography and a large catalog of paintings and etchings. Includes images and art work details.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://americanart.si.edu/collections/search/artist/?ID=1364"} +{"d:Title": "Artcyclopedia: Asher Durand", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.artcyclopedia.com/artists/durand_asher_b.html"} +{"d:Title": "Heeding the Call of Nature: Asher Brown Durand", "d:Description": "Presents a biography towards the famous landscape artist.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.tfaoi.com/aa/6aa/6aa4d.htm"} +{"d:Title": "Aaron art prints - Asher Durand", "d:Description": "Biography of one of the founders of the Hudson River School of landscape painting. In addition, shows interpretation and analysis on two of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.aaronartprints.org/durand-asher.php"} +{"d:Title": "A Strokes of Genius", "d:Description": "Detailed biography covering his early life, travels, and how he decided to concentrate exclusively on landscape painting.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.maplewoodonline.com/matters/durand/"} +{"d:Title": "Questroyal Fine Art", "d:Description": "Comprehensive Durand's set of information providing a biography, art collection, exhibitions and a chronology of events.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.questroyalfineart.com/artist/asher-b-durand"} +{"d:Title": "The Athenaeum - Asher Durand", "d:Description": "Features a total of 49 paintings belong to the American painter of the Hudson River School in high detail.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=517"} +{"d:Title": "The American Landscapes of Asher B. Durand", "d:Description": "Compendium providing a short biography, artworks, engravings and his obsession to trees.", "topic": "Top/Arts/Art_History/Artists/D/Durand,_Asher", "url": "http://www.march.es/arte/madrid/exposiciones/asher/?l=2"} +{"d:Title": "Olga's Gallery: Albrecht D\u00fcrer", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.abcgallery.com/D/durer/durer.html"} +{"d:Title": "WebMuseum: D\u00fcrer, Albrecht", "d:Description": "Profile of this German painter, printmaker, draughtsman and art theorist. Features a gallery of high-resolution images of some representative paintings and prints, with annotations.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.ibiblio.org/wm/paint/auth/durer/"} +{"d:Title": "MacTutor History of Mathematics: Albrecht D\u00fcrer", "d:Description": "Features a biography of the artist (1471-1528).", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www-gap.dcs.st-and.ac.uk/~history/Mathematicians/Durer.html"} +{"d:Title": "Cornaro Family: Albrecht Durer", "d:Description": "Biographical sketch of the leading German artist of the Renaissance era.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.boglewood.com/cornaro/xdurer.html"} +{"d:Title": "Wikipedia: Albrecht D\u00fcrer (1471 - 1528)", "d:Description": "Article focused on the German painter's life, journeys, legacy and influences. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://en.wikipedia.org/wiki/Albrecht_D%C3%BCrer"} +{"d:Title": "New Advent - Albretch D\u00fcrer", "d:Description": "Biography divided in three periods.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.newadvent.org/cathen/05209c.htm"} +{"d:Title": "ARC: Albrecht D\u00fcrer", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.artrenewal.org/pages/artist.php?artistid=122"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life, times, and works of art of the German Northern Renaissance through his biography and a slideshow presenting his works of art.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.metmuseum.org/toah/hd/durr/hd_durr.htm"} +{"d:Title": "Web Gallery of Art", "d:Description": "D\u00fcrer's collection of images and biography.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.wga.hu/bio/d/durer/biograph.html"} +{"d:Title": "The Grave of Albrecht D\u00fcrer", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9062"} +{"d:Title": "Mark Harden's Artchive: Albrecht D\u00fcrer", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.artchive.com/artchive/D/durer.html"} +{"d:Title": "The National Gallery - Albrecht D\u00fcrer", "d:Description": "Features a brief biography and analysis on each D\u00fcrer's artworks listed.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.nationalgallery.org.uk/artists/albrecht-durer"} +{"d:Title": "Biblical art by Albrecht D\u00fcrer", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.artbible.info/art/work/albrecht-durer"} +{"d:Title": "The Strange World of Albercht D\u00fcrer", "d:Description": "Detailed information on the German artist exhibition, including biography and analysis on his symbolic space through his artworks.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.clarkart.edu/exhibitions/durer/content/exhibition.cfm"} +{"d:Title": "University of Glasgow - D\u00fcrer Italy", "d:Description": "Provides analysis and interpretation on each artwork featured.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.hunterian.gla.ac.uk/durer/"} +{"d:Title": "Bodkin Prints - Albrecht D\u00fcrer", "d:Description": "Proper analysis on one of his artworks 'Nemesis'.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.bodkinprints.co.uk/product.php?id=20"} +{"d:Title": "Gothic Era - Albrecht Durer", "d:Description": "Background information on each painting displayed.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.all-art.org/durer/durer1-1.html"} +{"d:Title": "National Gallery of Canada", "d:Description": "Interactive D\u00fcrer's gallery showing his famous artworks.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.gallery.ca/cybermuse/showcases/durer/index_e.jsp"} +{"d:Title": "Albrecht D\u00fcrer's House", "d:Description": "Presents orientation and interactive panoramic view.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.museums.nuremberg.de/duerer-house/index.html"} +{"d:Title": "Getty Museum: Albrecht D\u00fcrer", "d:Description": "Brief biography including background information on his famous paintings such as 'Study of Good Thief', 'Stag Beetle' and 'Ornament Design'.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=334&page=1"} +{"d:Title": "Rijksmuseum - Albrecht D\u00fcrer", "d:Description": "Short introduction to his life including analysis on two of his paintings 'The Fall' and 'Erasmus'.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00017507?lang=en"} +{"d:Title": "Victoria and Albert Museum", "d:Description": "D\u00fcrer's gallery centered basically on his prints and medals.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://collections.vam.ac.uk/name/durer-albrecht/608/"} +{"d:Title": "Humanities Web: Albrecht D\u00fcrer", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=186"} +{"d:Title": "The Athenaeum: Albrecht D\u00fcrer", "d:Description": "Features a total of 436 paintings of the German artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=319"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features D\u00fcrer\u2019s gallery.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.artic.edu/aic/collections/artwork/artist/Durer,+Albrecht"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Historical information on two of D\u00fcrer's masterpieces.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.nationalgalleries.org/collection/artists-a-z/D/36/artist_name/Albrecht%20D%C3%BCrer"} +{"d:Title": "Albrecht D\u00fcrer - Animals in Art", "d:Description": "Being one of the first artists to view animals as a subject worthy of attention, provides analysis on each of his artworks.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.artyfactory.com/art_appreciation/animals_in_art/albrecht_durer.htm"} +{"d:Title": "National Gallery of Art - Albrecht D\u00fcrer", "d:Description": "Provides a biography, and a complete gallery of his paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1256.html"} +{"d:Title": "Connecticut College: Albrecht D\u00fcrer", "d:Description": "Features woodcuts and engravings from the German artist.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://oak.conncoll.edu/visual/prints/Index_Pages/durer_index.html"} +{"d:Title": "Getty Museum: Albrecht D\u00fcrer", "d:Description": "Biography with links to works of art and images.", "topic": "Top/Arts/Art_History/Artists/D/D\u00fcrer,_Albrecht", "url": "http://www.getty.edu/art/collection/artists/330/albrecht-drer-german-1471-1528/"} +{"d:Title": "Olga's Gallery: Sir Anthony Van Dyck", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.abcgallery.com/V/vandyck/vandyck.html"} +{"d:Title": "NGA: Sir Anthony Van Dyck", "d:Description": "Artist's paintings from National Gallery of Art.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.nga.gov/collection/gallery/gg4243/gg4243-main1.html"} +{"d:Title": "Web Gallery of Art: Sir Anthony Van Dyck", "d:Description": "Image gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.wga.hu/frames-e.html?/html/d/dyck_van/"} +{"d:Title": "Sir Anthony Van Dyck", "d:Description": "Artist's biography and collection of paintings from the National Gallery, London, UK.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.nationalgallery.org.uk/artists/anthony-van-dyck"} +{"d:Title": "Wikipedia: Anthony Van Dyck (1599 - 1641)", "d:Description": "Biography, studio and artworks regarding the Flemish Baroque artist.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://en.wikipedia.org/wiki/Anthony_Van_Dyck"} +{"d:Title": "ARC: Anthony Van Dyck", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.artrenewal.org/pages/artist.php?artistid=121"} +{"d:Title": "Web Gallery of Art: Dyck, Sir Anthony van", "d:Description": "Presents a set of images towards his artworks and a brief biography.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.wga.hu/bio/d/dyck_van/biograph.html"} +{"d:Title": "The Grave of Anthony Van Dyck", "d:Description": "Location and pictures of the Flemish Baroque artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11805"} +{"d:Title": "WebMuseum: Dyck, Sir Anthony van", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.ibiblio.org/wm/paint/auth/dyck/"} +{"d:Title": "Humanities Web: Anthony Van Dyck", "d:Description": "Presents a biography, images of his famous portraits and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=268"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Short biography regarding Van Dyck along with historical notes on his famous paintings.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=453"} +{"d:Title": "Biblical art by Anthony Van Dyck", "d:Description": "Gallery based on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.artbible.info/art/biography/anthony-van-dyck"} +{"d:Title": "Rijksmuseum - Anthony Van Dyck", "d:Description": "Short introduction to his life including analysis on six of his paintings such as 'Titian and his mistress', 'Sheet of Studies' and 'William and Mary' among others.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00017049?lang=en"} +{"d:Title": "Van Dyck's Climb to the Top", "d:Description": "Article centered on the artist's career.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.nytimes.com/2009/04/25/arts/25iht-melik25.html"} +{"d:Title": "Artble: Anthony Van Dyck", "d:Description": "Features a biography, style and technique regarding the |MOVEMENT| artist. Includes a list of his famous works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.artble.com/artists/anthony_van_dyck"} +{"d:Title": "The Athenaeum: Anthony Van Dyck", "d:Description": "Features a total of 63 paintings belong to the Flemish Baroque painter in high detail.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=2515"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Van Dyck\u2019s gallery.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.artic.edu/aic/collections/artwork/artist/Dyck,+Anthony+van"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Historical information on multiple Van Dyck's masterpieces.", "topic": "Top/Arts/Art_History/Artists/D/Dyck,_Anthony_van", "url": "http://www.nationalgalleries.org/collection/artists-a-z/D/4719/artist_name/Sir%20Anthony%20van%20Dyck/"} +{"d:Title": "Eardley, Joan (1922-1963)", "d:Description": "Best known for her dramatic sea and landscapes of Scotland's east coast, and her unique vision of 1950s Glasgow.", "topic": "Top/Arts/Art_History/Artists/E", "url": "http://www.eardleyeditions.com/"} +{"d:Title": "Arthur John Elsley (1860-1952)", "d:Description": "Virtual exhibition and biographical information on the artist - one of the most well known and sensitive of the 19th century British Victorian genre artists.", "topic": "Top/Arts/Art_History/Artists/E/Elsley,_Arthur_John", "url": "http://www.rehsgalleries.com/arthur_john_elsley_virtex.htm"} +{"d:Title": "ARC: Arthur John Elsley", "d:Description": "Provides images of the English artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/E/Elsley,_Arthur_John", "url": "http://www.artrenewal.org/pages/artist.php?artistid=1157"} +{"d:Title": "Artcyclopedia: Arthur John Elsley", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/E/Elsley,_Arthur_John", "url": "http://www.artcyclopedia.com/artists/elsley_arthur_john.html"} +{"d:Title": "Wikipedia: James Ensor", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://en.wikipedia.org/wiki/James_Ensor"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of Ensor's masterpieces 'The Banquet of the Starved'.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.metmuseum.org/toah/works-of-art/67.187.68"} +{"d:Title": "The Grave of James Ensor", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11257"} +{"d:Title": "National Gallery of Art - James Ensor", "d:Description": "Provides a list of the Flemish-Belgian artist's prints and drawings.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=4039"} +{"d:Title": "Artcyclopedia: James Ensor", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.artcyclopedia.com/artists/ensor_james.html"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Brief biography regarding the Belgian painter, printmaker, and draftsman including background information on two of his works.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=253"} +{"d:Title": "National Gallery of Canada", "d:Description": "Historical comments on Ensor's artworks 'Peculiar Insects', and 'Skeletons in the Studio'.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=1145"} +{"d:Title": "Haber's Art Reviews: James Ensor", "d:Description": "Review by John Haber on the artist's career and his connection to mask figures.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.haberarts.com/jensor.htm"} +{"d:Title": "Nightmares of Gruesome Beauty", "d:Description": "Article centered on Ensor's career and style.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.nytimes.com/2009/06/26/arts/design/26ensor.html?pagewanted=all"} +{"d:Title": "James Ensor - An Online Museum", "d:Description": "Dedicated website to the Belgian artist showing a comprehensive biography, images of his artworks including their research sources.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://jamesensor.vlaamsekunstcollectie.be/"} +{"d:Title": "James Ensor Exhibition", "d:Description": "Interactive content showing an introduction, artworks, essays and supplemental resources.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.moma.org/interactives/exhibitions/2009/ensor/#/intro/"} +{"d:Title": "Belgian Art Research Institute", "d:Description": "Ensor's biography including certain images of his work.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.belart.org/artists/ensor/ensor.html"} +{"d:Title": "The Brooklyn Rail - James Ensor", "d:Description": "Provides details towards an exhibition at the Museum of Modern Art by Valery Oisteanu.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.brooklynrail.org/2009/07/artseen/james-ensor"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Ensor's gallery.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.artic.edu/aic/collections/artwork/artist/Ensor,+James"} +{"d:Title": "MoMA Collection: James Ensor", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/E/Ensor,_James", "url": "http://www.moma.org/artists/1739"} +{"d:Title": "Mark Harden's Artchive: Max Ernst", "d:Description": "A number of Ernst's works viewable at multiple sizes.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.artchive.com/artchive/E/ernst.html"} +{"d:Title": "Olga's Gallery - Max Ernst", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.abcgallery.com/E/ernst/ernst.html"} +{"d:Title": "Askart.com - Max Ernst", "d:Description": "Auction results, biographies, images and books pertaining to this abstraction, Surrealist artist.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.askart.com/askart/e/max_ernst/max_ernst.aspx"} +{"d:Title": "Bella Gallery - Max Ernst", "d:Description": "A collection of Ernst images and a very brief biography.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.mcs.csueastbay.edu/~malek/Ernst.html"} +{"d:Title": "Wikipedia - Max Ernst", "d:Description": "Biography, selected artworks, legacy and a short gallery regarding the German artist.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://en.wikipedia.org/wiki/Max_Ernst"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Ernst's masterpiece 'The Barbarians' explaining the influence of metaphors and mythology on his work.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.metmuseum.org/toah/works-of-art/1999.363.21"} +{"d:Title": "The Grave of Max Ernst", "d:Description": "Location and pictures of the German artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5763"} +{"d:Title": "National Gallery of Art - Max Ernst", "d:Description": "Brief biography including images of his work.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.nga.gov/exhibitions/2006/dada/artists/ernst.shtm"} +{"d:Title": "Artcyclopedia: Max Ernst", "d:Description": "A collections of links to online exhibitions which include his works and all other material on the artist.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.artcyclopedia.com/artists/ernst_max.html"} +{"d:Title": "The Art Story: Max Ernst", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.theartstory.org/artist-ernst-max.htm"} +{"d:Title": "Max Ernst Interviews", "d:Description": "Presents an interview recorded in English originally from the CD Surrealism Reviewed.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.ubu.com/sound/ernst.html"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a list of artworks done by the German artist.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.artic.edu/aic/collections/artwork/artist/Ernst,+Max"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Ernst's biography and proper analysis on most of his artworks displayed.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.nationalgalleries.org/collection/artists-a-z/E/3237/artist_name/work-bio"} +{"d:Title": "Tate Collection: Max Ernst", "d:Description": "Provides a total of 9 artworks, including historical background information on most artworks.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.tate.org.uk/art/artists/max-ernst-1065"} +{"d:Title": "MoMA Collection: Max Ernst", "d:Description": "Provides a biography based on his life and work.", "topic": "Top/Arts/Art_History/Artists/E/Ernst,_Max", "url": "http://www.moma.org/artists/1752"} +{"d:Title": "The Official M.C. Escher Website", "d:Description": "Art, news, history, copyright and M.C. Escher Foundation information.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.mcescher.com/"} +{"d:Title": "MC Escher", "d:Description": "Everything you will ever need to know or see about Escher: biography, illusions, and tesselations.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://gonzo2236.tripod.com/escher.html"} +{"d:Title": "Zvi Har'El's M.C.Escher Collection", "d:Description": "Image gallery with 84 drawings.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.math.technion.ac.il/~rl/M.C.Escher/"} +{"d:Title": "Leiden University - Escher and the Droste Effect", "d:Description": "Student project aiming to visualize the mathematical structure behind the 'Print Gallery'.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://escherdroste.math.leidenuniv.nl/"} +{"d:Title": "Artcyclopedia: M.C. Escher", "d:Description": "Guide to art museum sites and image archives where artworks can be viewed online.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.artcyclopedia.com/artists/escher_mc.html"} +{"d:Title": "Wikipedia: M. C. Escher", "d:Description": "Encyclopedia article providing a biography, artworks selection and legacy towards the Dutch graphic artist.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://en.wikipedia.org/wiki/M._C._Escher"} +{"d:Title": "Art Renewal Center - M. C. Escher", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2771"} +{"d:Title": "Find A Grave - M. C. Escher", "d:Description": "Location and pictures of his grave. Includes a biography.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8129363"} +{"d:Title": "National Gallery of Art - M. C. Escher", "d:Description": "Provides a tour and an overview towards 24 of his artworks.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.nga.gov/collection/gallery/ggescher/ggescher-main1.html"} +{"d:Title": "Artchive - M. C. Escher", "d:Description": "Biography including images of his works dated from 1930 to 1963.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.artchive.com/artchive/E/escher.html"} +{"d:Title": "National Gallery of Canada", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=603"} +{"d:Title": "M.C. Escher: Impossible Realities", "d:Description": "Article based on the Dutch graphic artist's career including images of his artworks.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.linesandcolors.com/2011/05/11/m-c-escher-impossible-realities/"} +{"d:Title": "The Art Institute of Chicago - M. C. Escher", "d:Description": "Displays a list of artworks done by the Dutch artist.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://www.artic.edu/aic/collections/artwork/artist/Escher,+Maurits+Cornelis"} +{"d:Title": "Mathematical Art of M.C. Escher", "d:Description": "A detailed illustrated essay on the significance of Escher's artwork from a mathematical point of view.", "topic": "Top/Arts/Art_History/Artists/E/Escher,_M._C.", "url": "http://platonicrealms.com/minitexts/Mathematical-Art-Of-M-C-Escher/"} +{"d:Title": "WebMuseum - Eyck, Jan van", "d:Description": "Short biography with illustrations of his work.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.ibiblio.org/louvre/paint/auth/eyck/"} +{"d:Title": "Metropolitan Museum of Art - Jan Van Eyck", "d:Description": "Presenting a profile of the artist and two of his images.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.metmuseum.org/toah/hd/eyck/hd_eyck.htm"} +{"d:Title": "Olga's Gallery: Jan van Eyck", "d:Description": "Collection of images of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.abcgallery.com/E/eyck/eyck.html"} +{"d:Title": "The National Gallery - Jan Van Eyck", "d:Description": "View the 'Portrait of a Man' (1433) and read a short biography. Includes background information on other paintings.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.nationalgallery.org.uk/artists/jan-van-eyck"} +{"d:Title": "Wikipedia: Jan Van Eyck", "d:Description": "Encyclopedia article covering his life and reputation. A selection of his works are also listed.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://en.wikipedia.org/wiki/Jan_Van_Eyck"} +{"d:Title": "ARC: Jan Van Eyck", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2636"} +{"d:Title": "Web Gallery of Art: Jan Van Eyck", "d:Description": "Collection of artist's works with a biography and comments on most paintings.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.wga.hu/bio/e/eyck_van/jan/biograph.html"} +{"d:Title": "Find A Grave - Jan Van Eyck", "d:Description": "Location of his grave including a biography.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9529183"} +{"d:Title": "National Gallery of Art - Jan Van Eyck", "d:Description": "Background information regarding his masterpiece 'The Annunciation'.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.nga.gov/fcgi-bin/tinfo_f?object=46"} +{"d:Title": "Artcyclopedia: Jan Van Eyck", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.artcyclopedia.com/artists/eyck_jan_van.html"} +{"d:Title": "Biblical art by Jan van Eyck", "d:Description": "Gallery based on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.artbible.info/art/work/jan-van-eyck"} +{"d:Title": "Humanities Web: Jan Van Eyck", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=181"} +{"d:Title": "The Guardian - Jan Van Eyck", "d:Description": "Read the article to learn more about the artist and the Arnolfini Portrait.", "topic": "Top/Arts/Art_History/Artists/E/Eyck,_Jan_van", "url": "http://www.theguardian.com/culture/2000/apr/15/art"} +{"d:Title": "Feeley, Paul", "d:Description": "An artist of the Bennington College Avant-Garde movement in the 1950s and 1960s.", "topic": "Top/Arts/Art_History/Artists/F", "url": "http://www.paulfeeley.com/"} +{"d:Title": "Fortuny y Madrazo, Mariano", "d:Description": "Information about artist's life, inventions, paintings, and fabrics.", "topic": "Top/Arts/Art_History/Artists/F", "url": "http://www.fortuny.com/"} +{"d:Title": "Farnham, Sally James (1869-1943)", "d:Description": "Highlighting the life and work of American sculptor, best known for her heroic bronze commissions and detailed portrait work.", "topic": "Top/Arts/Art_History/Artists/F", "url": "http://www.sallyjamesfarnham.org/"} +{"d:Title": "Olga's Gallery - Henri Fantin-Latour", "d:Description": "Collection of works of the artist, with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.abcgallery.com/F/fantin-latour/fantin-latour.html"} +{"d:Title": "WebMuseum: Fantin-Latour, Henri", "d:Description": "Artist's biography and several images of his works.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.ibiblio.org/wm/paint/auth/fantin-latour/"} +{"d:Title": "ARC: Henri Theodore Fantin-Latour", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.artrenewal.org/pages/artist.php?artistid=154"} +{"d:Title": "Wikipedia: Henri Fantin-Latour", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Impressionist painter. Collection of his artworks is also available dated from 1860 to 1890.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://en.wikipedia.org/wiki/Henri_Fantin-Latour"} +{"d:Title": "Find A Grave - Henri Fantin-Latour", "d:Description": "Location and pictures of the French artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7321"} +{"d:Title": "National Gallery of Art - Henri Fantin-Latour", "d:Description": "Also known as Ignace Henri Jean Th\u00e9odore Fantin-Latour, provides a list of the French artist's work along with a portfolio.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1275"} +{"d:Title": "The National Gallery - Henri Fantin-Latour", "d:Description": "Features a biography and analysis on each painting featured.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.nationalgallery.org.uk/artists/ignace-henri-theodore-fantin-latour"} +{"d:Title": "Artcyclopedia: Henri Fantin-Latour", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.artcyclopedia.com/artists/fantin-latour_henri.html"} +{"d:Title": "Getty Museum: Henri Fantin-Latour", "d:Description": "Brief biography regarding the French artist including background information on one of his masterpieces 'Discouraged French'.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=7348"} +{"d:Title": "Humanities Web: Henri Fantin-Latour", "d:Description": "Features a biography as well as a list of his selected works, quotations and chronological events.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=304"} +{"d:Title": "NNDB - Henri Fantin-Latour", "d:Description": "Artist's Biography.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.nndb.com/people/221/000101915/"} +{"d:Title": "National Gallery of Canada", "d:Description": "Proper analysis on one of his paintings 'Roses'.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=10111"} +{"d:Title": "The Athenaeum: Henri Fantin-Latour", "d:Description": "Gallery containing a total of 220 paintings.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=360"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Fantin\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/F/Fantin-Latour,_Henri", "url": "http://www.artic.edu/aic/collections/artwork/artist/Fantin-Latour,+Henri"} +{"d:Title": "Artscene - Lyonel Feininger", "d:Description": "Short essay by Kathy Zimmerer, includes several pictures of the artist.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.artscenecal.com/ArticlesFile/Archive/Articles1998/Articles0598/LFeiningerA.html"} +{"d:Title": "Lambiek.net - Lyonel Feininger", "d:Description": "Discusses the early comics \"The Kin-der-Kids\" and \"Willie Winkie's World\" by the artist.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.lambiek.net/artists/f/feininger_l.htm"} +{"d:Title": "Sheldon Memorial Art Gallery - Lyonel Feininger", "d:Description": "Short biography of the artist and information about the painting \"Brooding Sky\".", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.sheldonartgallery.org/collection/index.html?topic=artistdetail&clct_artist_full_name=Lyonel+Feininger&clct_id=5952"} +{"d:Title": "New York Observer", "d:Description": "Lyonel Feininger's Leap, caricaturist to cubist.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.observer.com/2001/01/lyonel-feiningers-leap-caricaturist-to-cubist/"} +{"d:Title": "Wikipedia - Lyonel Feininger", "d:Description": "Article focused on the German-American painter's life, works and further reading.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://en.wikipedia.org/wiki/Lyonel_Feininger"} +{"d:Title": "Find A Grave - Lyonel Feininger", "d:Description": "Location and pictures of his grave. Brief biography is also available.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8241261"} +{"d:Title": "National Gallery of Art - Lyonel Feininger", "d:Description": "Provides a biography along with a long list of links towards his paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1278"} +{"d:Title": "Artcyclopedia: Lyonel Feininger", "d:Description": "Links to works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.artcyclopedia.com/artists/feininger_lyonel.html"} +{"d:Title": "National Gallery of Canada", "d:Description": "Brief interpretation on one of Feininger's paintings 'Yachts'.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=7692"} +{"d:Title": "Haber's Art Reviews: Lyonel Feininger", "d:Description": "Reviews by John Haber of New York City art galleries and museums.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.haberarts.com/feining.htm"} +{"d:Title": "Lyonel Feininger: At The Edge Of The World", "d:Description": "Details towards one of his exhibitions at the Whitney Museum of American Art.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://whitney.org/Exhibitions/LyonelFeininger"} +{"d:Title": "Don Markstein's Toonopedia: Lyonel Feininger", "d:Description": "Lyonel Charles Feininger (1871-1956)", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.toonopedia.com/feiningr.htm"} +{"d:Title": "Moeller Fine Art New York", "d:Description": "Features Feininger's chronological biography. Including past gallery exhibitions.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.moellerfineart.com/moeller-fine-art/artists/lyonel-feininger"} +{"d:Title": "MoMA Collection: Lyonel Feininger", "d:Description": "Offers a slide show gallery along with a comprehensive biography.", "topic": "Top/Arts/Art_History/Artists/F/Feininger,_Lyonel", "url": "http://www.moma.org/collection/artists/1832"} +{"d:Title": "Rosso Fiorentino at the Morgan Library", "d:Description": "Article presenting a review on one of Fiorentino's paintings \u201cHoly Family With the Young St. John the Baptist\u201d", "topic": "Top/Arts/Art_History/Artists/F/Fiorentino,_Rossi", "url": "http://www.nytimes.com/2012/11/30/arts/design/rosso-fiorentino-at-the-morgan-library.html?_r=0"} +{"d:Title": "Wikipedia: Rosso Fiorentino", "d:Description": "Article focused on the Italian painter's life, works and reputation. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/F/Fiorentino,_Rossi", "url": "http://en.wikipedia.org/wiki/Rosso_Fiorentino"} +{"d:Title": "Smart History - Rosso Fiorentino", "d:Description": "Proper analysis on his painting \"The Dead Christ with Angels\" including a video at Khanacademy.", "topic": "Top/Arts/Art_History/Artists/F/Fiorentino,_Rossi", "url": "http://smarthistory.khanacademy.org/rosso-the-dead-christ-with-angels.html"} +{"d:Title": "Artble: Rosso Fiorentino", "d:Description": "Presents a comprehensive biography, context, style and artworks regarding the Italian Mannerist artist. Size, medium and current location of his paintings are also available.", "topic": "Top/Arts/Art_History/Artists/F/Fiorentino,_Rossi", "url": "http://www.artble.com/artists/rosso_fiorentino"} +{"d:Title": "Lines and Colors: John Anster Fitzgerald", "d:Description": "Review towards the Victorian painter artwork.", "topic": "Top/Arts/Art_History/Artists/F/Fitzgerald,_John_Anster", "url": "http://www.linesandcolors.com/2010/05/23/john-anster-fitzgerald/"} +{"d:Title": "The Victorian Web: John Anster Fitzgerald", "d:Description": "Shows a proper interpretation on one of his watercolor paintings 'The Concert'.", "topic": "Top/Arts/Art_History/Artists/F/Fitzgerald,_John_Anster", "url": "http://www.victorianweb.org/painting/fitzgerald/wc/3.html"} +{"d:Title": "Collection Tate: John Anster Fitzgerald", "d:Description": "Background information on one of his paintings 'The Fairy's Lake '.", "topic": "Top/Arts/Art_History/Artists/F/Fitzgerald,_John_Anster", "url": "http://www.tate.org.uk/art/artworks/fitzgerald-the-fairys-lake-t01083"} +{"d:Title": "Wikipedia: John Flaxman", "d:Description": "Biographical article of the English sculptor and draughtsman.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://en.wikipedia.org/wiki/John_Flaxman"} +{"d:Title": "Flaxman's Odyssey", "d:Description": "Illustrations of Homer's Odyssey found in \"L'Odyssee d'Homere gravee par Reveil d'apres les compositions de John Flaxman\" (Paris, 1835)", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.bc.edu/bc_org/avp/cas/ashp/flaxman_odyssey.html"} +{"d:Title": "National Portrait Gallery - John Flaxman (1755-1826)", "d:Description": "Portraits of the British artist.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp01604"} +{"d:Title": "Web Gallery of Art: Flaxman, John", "d:Description": "Presents a set of images including a brief biography.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.wga.hu/html/f/flaxman/index.html"} +{"d:Title": "The Grave of John Flaxman", "d:Description": "Location and pictures of the British artist's grave.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8259"} +{"d:Title": "Artcyclopedia: John Flaxman", "d:Description": "A collections of links to online exhibitions which include his works and all other material on the artist.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.artcyclopedia.com/artists/flaxman_john.html"} +{"d:Title": "John Flaxman: The Iliad of Homer", "d:Description": "Provides scanned images of a book illustrated by the British artist.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.mccunecollection.org/Iliad%20of%20Homer.html"} +{"d:Title": "Artble - John Flaxman", "d:Description": "Presents a comprehensive biography, context, style and artworks regarding the member of the Royal Academy of Arts. Size, medium and current location of his artworks are also available.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.artble.com/artists/john_flaxman"} +{"d:Title": "Collection Tate: John Flaxman", "d:Description": "Works by the York's artist including historical notes in some of his artworks.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.tate.org.uk/art/artists/john-flaxman-186"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Artist's gallery showing some sketches of his works.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.artic.edu/aic/collections/artwork/artist/Flaxman,+John"} +{"d:Title": "Cephalus and Aurora, 1789-90", "d:Description": "Extensive information covering the story of this artwork at the Lady Lever Art Gallery, Liverpool.", "topic": "Top/Arts/Art_History/Artists/F/Flaxman,_John", "url": "http://www.liverpoolmuseums.org.uk/picture-of-month/displaypicture.aspx?venue=7&id=51"} +{"d:Title": "Arthurian Art - Eleanor Fortescue-Brickdale", "d:Description": "Biography and image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/F/Fortescue-Brickdale,_Eleanor", "url": "http://www.celtic-twilight.com/camelot/art/fbrickdale/index.htm"} +{"d:Title": "ARC: Eleanor Fortescue-Brickdale", "d:Description": "Collection of artist's works.", "topic": "Top/Arts/Art_History/Artists/F/Fortescue-Brickdale,_Eleanor", "url": "http://www.artrenewal.org/pages/artist.php?artistid=166"} +{"d:Title": "Lines and Colors: Eleanor Fortescue-Brickdale", "d:Description": "Review towards the Edwardian period English artist's artwork.", "topic": "Top/Arts/Art_History/Artists/F/Fortescue-Brickdale,_Eleanor", "url": "http://www.linesandcolors.com/2010/06/06/eleanor-fortescue-brickdale/"} +{"d:Title": "The Art of Eleanor Fortescue-Brickdale", "d:Description": "Article centered on the style and career of the female artist considered to be the last survivor of the late Pre-Raphaelite painters", "topic": "Top/Arts/Art_History/Artists/F/Fortescue-Brickdale,_Eleanor", "url": "http://www.spiritoftheages.com/Eleanor%20Fortescue-Brickdale%20Collection.htm"} +{"d:Title": "National Museums Liverpool", "d:Description": "Provides an interpretation and analysis on one of Eleanor Fortescue-Brickdale's masterpieces 'The Forerunner'.", "topic": "Top/Arts/Art_History/Artists/F/Fortescue-Brickdale,_Eleanor", "url": "http://www.liverpoolmuseums.org.uk/picture-of-month/displaypicture.aspx?venue=7&id=126"} +{"d:Title": "Artcyclopedia: Jean-Honore Fragonard", "d:Description": "Guide to pictures of artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.artcyclopedia.com/artists/fragonard_jean-honore.html"} +{"d:Title": "Humanities Web: Jean Honor\u00e9 Fragonard", "d:Description": "Brief biography, keyworks and resources.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=18"} +{"d:Title": "Olga's Gallery: Jean-Honore Fragonard", "d:Description": "Collection of works of a French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.abcgallery.com/F/fragonard/fragonard.html"} +{"d:Title": "ARC: Jean-Honor\u00e9 Fragonard", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.artrenewal.org/pages/artist.php?artistid=1489"} +{"d:Title": "Web Gallery of Art: Fragonard, Jean-Honor\u00e9", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.wga.hu/html/f/fragonar/father/index.html"} +{"d:Title": "Wikipedia - Jean-Honor\u00e9 Fragonard", "d:Description": "Article focused on the French painter's life, works and reputation. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://en.wikipedia.org/wiki/Jean-Honor%C3%A9_Fragonard"} +{"d:Title": "Find A Grave - Jean-Honor\u00e9 Fragonard", "d:Description": "Location and pictures of the French artist's grave.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6803"} +{"d:Title": "National Gallery of Art - Jean-Honor\u00e9 Fragonard", "d:Description": "Provides a biography, and a list of paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1316"} +{"d:Title": "The Artchive: Jean-Honor\u00e9 Fragonard", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.artchive.com/artchive/F/fragonard.html"} +{"d:Title": "The National Gallery - Jean-Honor\u00e9 Fragonard", "d:Description": "Presents a biography and related paintings with historical notes.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.nationalgallery.org.uk/artists/jean-honore-fragonard"} +{"d:Title": "Getty Museum: Jean-Honor\u00e9 Fragonard", "d:Description": "Provides a brief biography regarding the Rococo artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=617"} +{"d:Title": "WebMuseum: Fragonard, Jean-Honor\u00e9", "d:Description": "French artist's biography along with some examples of his paintings.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.ibiblio.org/wm/paint/auth/fragonard/"} +{"d:Title": "National Gallery of Canada", "d:Description": "Fragonard's biography and historical comments on his artworks 'Medea Slaying her Children' and 'A Young Lady Arranging her Garter'.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=1855"} +{"d:Title": "Fragonard and the French Tradition", "d:Description": "Details towards an exhibition at the Morgan Library&Museum.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.themorgan.org/exhibitions/exhibPast06.asp?id=3"} +{"d:Title": "Artble - Jean-Honore Fragonard", "d:Description": "Covers the French artist's biography, style, technique and critical reception. Images of his artworks are also available.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.artble.com/artists/jean-honore_fragonard"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a list of artworks done by the French artist.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.artic.edu/aic/collections/artwork/artist/Fragonard,+Jean+Honore"} +{"d:Title": "Young Woman, Jean-Honore Fragonard", "d:Description": "Article from Guardian about one of artist's paintings.", "topic": "Top/Arts/Art_History/Artists/F/Fragonard,_Jean-Honor\u00e9", "url": "http://www.theguardian.com/culture/2000/dec/09/art"} +{"d:Title": "Artcyclopedia: Edward Reginald Frampton", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/F/Frampton,_Edward_Reginald", "url": "http://www.artcyclopedia.com/artists/frampton_edward_reginald.html"} +{"d:Title": "BBC - Edward Reginald Frampton", "d:Description": "Provides a slideshow containing images of his known artworks.", "topic": "Top/Arts/Art_History/Artists/F/Frampton,_Edward_Reginald", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/edward-reginald-frampton"} +{"d:Title": "Our Lady of Promise", "d:Description": "Provides notes towards one of Frampton's masterpiece.", "topic": "Top/Arts/Art_History/Artists/F/Frampton,_Edward_Reginald", "url": "http://www.christies.com/LotFinder/lot_details.aspx?intObjectID=5275390"} +{"d:Title": "ARC: Edward Reginald Frampton", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/F/Frampton,_Edward_Reginald", "url": "http://www.artrenewal.org/pages/artist.php?artistid=1920"} +{"d:Title": "Collection Tate: Edward Reginald Frampton", "d:Description": "Provides interpretation and historical background information on one of his paintings 'Brittany: 1914'..", "topic": "Top/Arts/Art_History/Artists/F/Frampton,_Edward_Reginald", "url": "http://www.tate.org.uk/art/artworks/frampton-brittany-1914-t03414"} +{"d:Title": "Christus Rex: Piero della Francesca", "d:Description": "Some of the artist's most famous paintings in a beautiful format.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.christusrex.org/www2/art/Piero_della_Francesca.htm"} +{"d:Title": "Artchive: Piero della Francesca", "d:Description": "Images of his work.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.artchive.com/artchive/P/piero.html"} +{"d:Title": "WebMuseum: Piero della Francesca", "d:Description": "Short biography and examples of his work.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.ibiblio.org/wm/paint/auth/piero/"} +{"d:Title": "CGFA: Piero della Francesca", "d:Description": "Biography and collection of images.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://sunsite.icm.edu.pl/cjackson/francesc/"} +{"d:Title": "Life of an Artist: Piero Della Francesca", "d:Description": "Biography of the painter as recorded by Giorgio Vasari. Includes a gallery.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.artist-biography.info/artist/piero_della_francesca/"} +{"d:Title": "Olga's Gallery - Piero della Francesca", "d:Description": "Collection of works of the artist, with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.abcgallery.com/P/piero/piero.html"} +{"d:Title": "Web Gallery of Art: Piero della Francesca", "d:Description": "Biography and image archive, altarpieces, fresco cycle, paintings of the Madonna, and portraits.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.wga.hu/html/p/piero/index.html"} +{"d:Title": "Wikipedia: Piero Della Francesca", "d:Description": "Biography, artworks and inspiration regarding the Italian artist.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://en.wikipedia.org/wiki/Piero_della_Francesca"} +{"d:Title": "ARC: Piero Della Francesca", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2812"} +{"d:Title": "The Grave of Piero Della Francesca", "d:Description": "Location and pictures of the Italian artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=25495374"} +{"d:Title": "Artcyclopedia: Piero Della Francesca", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.artcyclopedia.com/artists/piero_della_francesca.html"} +{"d:Title": "Humanities Web: Piero Della Francesca", "d:Description": "Presents a biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=176"} +{"d:Title": "Il Pozzeto - Piero Della Francesca", "d:Description": "Interactive website showing a map and the locations where he created his masterpieces.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.ilpozzeto.it/dellafrancesca/emain.html"} +{"d:Title": "University of St Andrews, Scotland - Piero della Francesca", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www-history.mcs.st-andrews.ac.uk/Biographies/Francesca.html"} +{"d:Title": "The National Gallery", "d:Description": "Features a brief biography and analysis on the paintings displayed.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.nationalgallery.org.uk/artists/piero-della-francesca"} +{"d:Title": "Italian Renaissance Art - Piero della Francesca", "d:Description": "Presents background information on 5 of his paintings.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.italian-renaissance-art.com/Piero-della-Francesca.html"} +{"d:Title": "Piero della Francesca's Polyhedra", "d:Description": "Biography centered on the mathematician side of the Italian Renaissance painter.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.georgehart.com/virtual-polyhedra/piero.html"} +{"d:Title": "NNDB - Piero Della Francesca", "d:Description": "Biography covering how he became an adept in mathematics and geometry and how it influenced his art style.", "topic": "Top/Arts/Art_History/Artists/F/Francesca,_Piero_della", "url": "http://www.nndb.com/people/888/000084636/"} +{"d:Title": "Wikipedia: Caspar David Friedrich", "d:Description": "Article showing a complete biography regarding the German Romantic painter, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://en.wikipedia.org/wiki/Caspar_David_Friedrich"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Friedrich's masterpiece \"Two Men Contemplating the Moon\".", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://www.metmuseum.org/toah/works-of-art/2000.51"} +{"d:Title": "ARC: Caspar David Friedrich", "d:Description": "Collection of his works.", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://www.artrenewal.org/pages/artist.php?artistid=179"} +{"d:Title": "Artble: Caspar David Friedrich", "d:Description": "Features a biography, style and technique regarding the Romantic artist. Includes a list of his famous works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://www.artble.com/artists/caspar_david_friedrich"} +{"d:Title": "Kuntspedia: Caspar David Friedrich", "d:Description": "Article based on the exhibition at State Hermitage Museum.", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://www.kunstpedia.com/articles/caspar-david-friedrich-and-the-german-romantic-landscape.html"} +{"d:Title": "The National Gallery: Caspar David Friedrich", "d:Description": "Brief biography regarding the German artist born in Griefswald. Additional historical background information on one of his paintings \"Winter Landscape\".", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://www.nationalgallery.org.uk/artists/caspar-david-friedrich"} +{"d:Title": "The Athenaeum: Caspar David Friedrich", "d:Description": "Gallery containing over 60 works ordered by title including the year it was published.", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=1470"} +{"d:Title": "The Grave of Caspar David Friedrich", "d:Description": "Location and pictures of his grave together with a biography.", "topic": "Top/Arts/Art_History/Artists/F/Friedrich,_Caspar_David", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=18425677"} +{"d:Title": "WebMuseum: Fuseli, Henry", "d:Description": "Biography and some images.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.ibiblio.org/wm/paint/auth/fuseli/"} +{"d:Title": "Wikipedia: Henry Fuseli", "d:Description": "Biography and artworks of the British artist born in Switzerland.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://en.wikipedia.org/wiki/Henry_Fuseli"} +{"d:Title": "ARC: Henry Fuseli", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.artrenewal.org/pages/artist.php?artistid=185"} +{"d:Title": "Web Gallery of Art", "d:Description": "Fuseli's collection of images and biography.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.wga.hu/html/f/fuseli/index.html"} +{"d:Title": "Artchive - Johann Heinrich Fussli", "d:Description": "Brief biography including images to some of his artworks.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.artchive.com/artchive/F/fuseli.html"} +{"d:Title": "Artcyclopedia: Henry Fuseli", "d:Description": "A collections of links to online exhibitions which include his works and all other material on the artist.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.artcyclopedia.com/artists/fuseli_john_henry.html"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Fuseli's' brief biography including proper interpretation on one of his paintings \"An Old Man Murdered by Three Younger Men\".", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=227"} +{"d:Title": "National Portrait Gallery", "d:Description": "Features a total of 11 portraits done by multiple artists along with a brief biography.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp01702"} +{"d:Title": "Humanities Web: Henry Fuseli", "d:Description": "Collection of works, brief chronological biography and further resources.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=96"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Fuseli's gallery.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.artic.edu/aic/collections/artwork/artist/Fuseli,+Johann+Heinrich"} +{"d:Title": "National Gallery of Art - Henry Fuseli", "d:Description": "Artist's biography together with analysis on some of his artwork.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.2513.html"} +{"d:Title": "Collection Tate: Henry Fuseli", "d:Description": "Works by the painter including historical notes.", "topic": "Top/Arts/Art_History/Artists/F/Fuseli,_Henry", "url": "http://www.tate.org.uk/art/artists/henry-fuseli-198"} +{"d:Title": "Gubler, Max (1898-1973)", "d:Description": "Swiss painter featured in online gallery and biography.", "topic": "Top/Arts/Art_History/Artists/G", "url": "http://www.maxgubler.ch/"} +{"d:Title": "G\u00e9goux, Th\u00e9odore (1850-1931)", "d:Description": "Artist who worked in New York, California, and Oregon. Specialized in portraits, seascapes, landscapes, florals, and still lifes. Also did sculptures and was a violinist, a violin teacher, and a violin maker.", "topic": "Top/Arts/Art_History/Artists/G", "url": "http://www.gegoux.com/"} +{"d:Title": "Gallegos y Anosa, Jos\u00e9 (1857-1917)", "d:Description": "Information relating to the life and works of the Spanish artist. (Site in English and Spanish)", "topic": "Top/Arts/Art_History/Artists/G", "url": "http://www.gallegosyarnosa.org.uk/"} +{"d:Title": "Giunta, Joseph (1911-2001)", "d:Description": "Canadian artist who created oil paintings, drawings, mixed media abstract assemblages and collage 3D art.", "topic": "Top/Arts/Art_History/Artists/G", "url": "http://www.jgiunta.com/"} +{"d:Title": "Goldin, Nan", "d:Description": "View images of available artworks, biography, and exhibitions for this American artist.", "topic": "Top/Arts/Art_History/Artists/G", "url": "http://www.artnet.com/artists/nan-goldin/"} +{"d:Title": "Gainsborough's House", "d:Description": "The museum and art gallery at the birthplace of Thomas Gainsborough in Sudbury, Suffolk, UK. Image and description of the house and highlights of the collection of the artist's works. Visitor information.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.gainsborough.org/"} +{"d:Title": "Gainsborough, Thomas - Olga's Gallery", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.abcgallery.com/G/gainsborough/gainsborough.html"} +{"d:Title": "WebMuseum: Gainsborough, Thomas", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.ibiblio.org/wm/paint/auth/gainsborough/"} +{"d:Title": "Web Gallery of Art: Gainsborough, Thomas", "d:Description": "Collection of images of artist's works with a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.wga.hu/html/g/gainsbor/"} +{"d:Title": "Wikipedia - Thomas Gainsborough", "d:Description": "Article focused on the English painter's life, works and technique. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://en.wikipedia.org/wiki/Thomas_Gainsborough"} +{"d:Title": "ARC: Thomas Gainsborough", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.artrenewal.org/pages/artist.php?artistid=189"} +{"d:Title": "The Grave of Thomas Gainsborough", "d:Description": "Location and pictures of his grave. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=12118"} +{"d:Title": "Artchive: Thomas Gainsborough", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.artchive.com/artchive/G/gainsborough.html"} +{"d:Title": "The National Gallery - Thomas Gainsborough", "d:Description": "Features a biography and analysis on each painting featured.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.nationalgallery.org.uk/artists/thomas-gainsborough"} +{"d:Title": "Humanities Web: Thomas Gainsborough", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=20"} +{"d:Title": "NNDB: Thomas Gainsborough", "d:Description": "Comprehensive biography.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.nndb.com/people/607/000030517/"} +{"d:Title": "National Gallery of Canada", "d:Description": "Provides background information on Gainsborough's artworks 'The Reverend William Stevens' and 'River Landscape with Cattle Watering and Ferry Boat'.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=14367"} +{"d:Title": "Gainsborough's showbox", "d:Description": "Explains how the English landscape and portrait painter displayed his paintings through a showbox.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.vam.ac.uk/content/articles/g/gainsboroughs_showbox/"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with an image of Gainsborough's painting 'Portrait of Sarah Buxton'. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/221"} +{"d:Title": "Philadelphia Museum of Art", "d:Description": "Extensive biography including a link to a gallery showing Gainsborough's masterpieces.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.philamuseum.org/collections/biography/449.html"} +{"d:Title": "Your Paintings - Thomas Gainsborough", "d:Description": "Slideshow containing around 100 artworks by the English painter.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/thomas-gainsborough-658"} +{"d:Title": "The Athenaeum - Thomas Gainsborough", "d:Description": "Features a total of 130 paintings by the Royal Family's favorite painter in high detail.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1581"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Gainsborough\u2019s gallery from 1750 to 1787.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gainsborough,+Thomas"} +{"d:Title": "Thomas Gainsborough: The hidden story", "d:Description": "Feature article in the Guardian, Saturday October 19, 2002 by Jonathan Jones.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.theguardian.com/culture/2002/oct/19/artsfeatures"} +{"d:Title": "NGA - Thomas Gainsborough", "d:Description": "Presents a biography including a list of his paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1329.html"} +{"d:Title": "Tate Collection: Thomas Gainsborough", "d:Description": "Works by the painter including historical notes.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.tate.org.uk/art/artists/thomas-gainsborough-199"} +{"d:Title": "Getty Museum: Thomas Gainsborough", "d:Description": "Brief biography regarding the English artist including background information on some of his paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gainsborough,_Thomas", "url": "http://www.getty.edu/art/collection/artists/570/thomas-gainsborough-english-1727-1788/"} +{"d:Title": "Olga's Gallery - Paul Gauguin", "d:Description": "Collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.abcgallery.com/G/gauguin/gauguin.html"} +{"d:Title": "Artcyclopedia: Paul Gauguin", "d:Description": "Guide to art museum sites and image archives where his paintings can be viewed online.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.artcyclopedia.com/artists/gauguin_paul.html"} +{"d:Title": "A Previously Unknown Painting by Paul Gauguin", "d:Description": "History and analysis of a painting discovered near Belgrade in 1975.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://paul.wadiwe.de/indexen.php"} +{"d:Title": "Paul Gauguin and the Russian Avantgarde", "d:Description": "Set up for an exhibition in '95, this site includes browser-friendly images of over 50 of his works.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.iasfbo.inaf.it/~mauro/Show/"} +{"d:Title": "Wikipedia: Paul Gauguin (1848 - 1903)", "d:Description": "Article showing a complete biography regarding the French Post-Impressionist painter, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_Gauguin"} +{"d:Title": "WebMuseum: Gauguin, (Eug\u00e8ne-Henri-) Paul", "d:Description": "Short biography including images of his works dated from 1888 to 1894.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.ibiblio.org/wm/paint/auth/gauguin/"} +{"d:Title": "NCAW - Gauguin, Paul", "d:Description": "Dario Gamboni's article Gauguin's Genesis of a Picture: A Painter's Manifesto and Self-Analysis.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.19thc-artworldwide.org/index.php?view=article&id=274"} +{"d:Title": "Find A Grave - Paul Gauguin", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3125"} +{"d:Title": "Mark Harden's Artchive: Paul Gauguin", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.artchive.com/artchive/G/gauguin.html"} +{"d:Title": "The National Gallery - Paul Gauguin", "d:Description": "Provides a list of the French artist's work including proper background information per each painting. Includes a short biography.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.nationalgallery.org.uk/artists/paul-gauguin"} +{"d:Title": "Biblical art by Paul Gauguin", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.artbible.info/art/work/paul-gauguin"} +{"d:Title": "Humanities Web: Paul Gauguin", "d:Description": "Collection of works, brief chronological biography and further resources.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=i&ID=21"} +{"d:Title": "The Art Story: Paul Gauguin", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.theartstory.org/artist-gauguin-paul.htm"} +{"d:Title": "National Gallery of Canada", "d:Description": "Analysis towards one of Gauguin's paintings 'Manao Tupapau: Watched by the Spirit of the Dead'.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=5567"} +{"d:Title": "BBC Your Paintings - Paul Gauguin", "d:Description": "Slideshow including paintings attributed to or associated with the artist.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/paul-gauguin"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Gauguin's biography in English and in Spanish. Includes a gallery.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/222"} +{"d:Title": "The Athenaeum - Paul Gauguin", "d:Description": "Features around 500 paintings of the French artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=105"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a wide gallery showing Gauguin's work, includes illustrated books and journals.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gauguin,+Paul"} +{"d:Title": "Guggenheim: Paul Gauguin", "d:Description": "Interpretation of two of his Tahitian works from 1891.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/1299/Paul%20Gauguin"} +{"d:Title": "National Gallery of Art", "d:Description": "Provides 170 of Gauguin's works listed. Some can be viewed online.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1330.html"} +{"d:Title": "Tate Collection: Paul Gauguin", "d:Description": "Provides a total of 4 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.tate.org.uk/art/artists/paul-gauguin-1144"} +{"d:Title": "Getty Museum: Paul Gauguin", "d:Description": "Shows a brief biography including proper interpretation on some of his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.getty.edu/art/collection/artists/255/paul-gauguin-french-1848-1903/"} +{"d:Title": "MoMA Collection: Paul Gauguin", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/G/Gauguin,_Paul", "url": "http://www.moma.org/collection/artists/2098"} +{"d:Title": "Web Gallery of Art: Artemisia Gentileschi", "d:Description": "Artist's biography and collection of paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.wga.hu/frames-e.html?/html/g/gentiles/artemisi/index.html"} +{"d:Title": "Humanities Web: Artemisia Gentileschi", "d:Description": "Gallery of paintings, biography, resources.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=s&ID=210"} +{"d:Title": "Artemisia, Renaissance Baroque Artist", "d:Description": "Artist's biography, art, the rape trial, the movie by Tracy Marks.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.webwinds.com/artemisia/artemisia.htm"} +{"d:Title": "The Life and Art of Artemisia Gentileschi", "d:Description": "Includes a tour through 34 of artist's paintings in chronological order with details about the painting itself, and biographical details of the artist's life around the time of the execution of the work.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.artemisia-gentileschi.com/index.shtml"} +{"d:Title": "Wikipedia: Artemisia Gentileschi", "d:Description": "Artist's biography and profile.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://en.wikipedia.org/wiki/Artemisia_Gentileschi"} +{"d:Title": "ARC: Artemisia Gentileschi", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.artrenewal.org/pages/artist.php?artistid=4249"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of Gentileschi's masterpieces 'Esther before Ahasuerus'.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.metmuseum.org/toah/works-of-art/69.281"} +{"d:Title": "Find A Grave - Artemisia Gentileschi (1593 - 1651)", "d:Description": "Location of her grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=13117959"} +{"d:Title": "Artchive - Artemisia Gentileschi", "d:Description": "Artist's biography along with a list of her artworks.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.artchive.com/artchive/G/gentileschi.html"} +{"d:Title": "Biblical art by Artemisia Gentileschi", "d:Description": "Artist's gallery inspired by stories in the bible.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.artbible.info/art/work/artemisia-gentileschi"} +{"d:Title": "NNDB - Artemisia Gentileschi", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.nndb.com/people/583/000104271/"} +{"d:Title": "Artemisia Gentileschi: Venus and Cupid", "d:Description": "Review by John Haber on one of her paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.haberarts.com/vmfa.htm"} +{"d:Title": "Who was Artemisia? Fact and Fiction", "d:Description": "Biographical article explaining the advantage over the male painters contemporary to her.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.svreeland.com/whowas.html"} +{"d:Title": "BBC - Susannah and the Elders", "d:Description": "Background information on the painting considered to be the first Artemisia's artwork.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.bbc.co.uk/arts/yourpaintings/paintings/susannah-and-the-elders-46877"} +{"d:Title": "The Athenaeum: Artemisia Gentileschi", "d:Description": "Gallery containing a total of 6 paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Artemisia", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1630"} +{"d:Title": "Web Gallery of Art: Orazio Gentileschi", "d:Description": "Collection of images of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.wga.hu/frames-e.html?/html/g/gentiles/orazio/index.html"} +{"d:Title": "Wikipedia: Orazio Gentileschi", "d:Description": "Artist's biography and mentions of his famous artworks.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://en.wikipedia.org/wiki/Orazio_Gentileschi"} +{"d:Title": "Orazio Gentileschi in Genoa (Getty Exhibitions)", "d:Description": "This Getty Museum exhibition features three extraordinary paintings towards the Italian artist.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.getty.edu/art/exhibitions/gentileschi/"} +{"d:Title": "ARC: Orazio Gentleschi", "d:Description": "Gallery of artist's paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.artrenewal.org/pages/artist.php?artistid=4248"} +{"d:Title": "The National Gallery - Orazio Gentileschi", "d:Description": "Brief introduction of his life including a proper interpretation on one of his paintings 'The finding of Moses'.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.nationalgallery.org.uk/artists/orazio-gentileschi"} +{"d:Title": "NNDB: Orazio Gentileschi", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.nndb.com/people/582/000104270/"} +{"d:Title": "National Gallery of Canada", "d:Description": "Proper analysis on one of his masterpieces 'Lot and his Daughters'.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=6795"} +{"d:Title": "Haber's Art Reviews - Orazio Gentileschi", "d:Description": "Review by John Haber on his relationship with his daughter Artemisia and the influence of Caravaggio on his work.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.haberarts.com/orazio.htm"} +{"d:Title": "Orazio Gentileschi and the theme of \"Lot and His Daughters\"", "d:Description": "Bulletin centered on the artist's masterpiece.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.gallery.ca/bulletin/num14/bissell1.html"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Orazio's biography in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/224"} +{"d:Title": "The Athenaeum: Orazio Gentileschi", "d:Description": "Features around 10 paintings belong to the Baroque painter in high detail.", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3587"} +{"d:Title": "National Gallery of Art - Orazio Gentileschi", "d:Description": "Provides a biography towards the Italian Baroque painter together with analysis on his works such as \"The Lute Player\".", "topic": "Top/Arts/Art_History/Artists/G/Gentileschi,_Orazio", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1332.html"} +{"d:Title": "Th\u00e9dore G\u00e9ricault", "d:Description": "Image gallery from Tigertail Virtual Museum.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.tigtail.org/TIG/L_View/TVM/X2/a.NeoClassic/gericault/gericault.html"} +{"d:Title": "ARC: Jean Louis Andr\u00e9 Th\u00e9odore G\u00e9ricault", "d:Description": "Collection of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.artrenewal.org/pages/artist.php?artistid=199"} +{"d:Title": "Wikipedia: Theodore Gericault", "d:Description": "Encyclopedia article featuring a biography the Romantic painter and information on one of his paintings 'The Raft of the Medusa'.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://en.wikipedia.org/wiki/Theodore_Gericault"} +{"d:Title": "The Grave of Th\u00e9odore G\u00e9ricault", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5629"} +{"d:Title": "Artchive - Theodore Gericault", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.artchive.com/artchive/G/gericault.html"} +{"d:Title": "The National Gallery - Theodore Gericault", "d:Description": "Features a biography and analysis in two of his paintings 'A Horse frightened by Lightning' and 'A Shipwreck'.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.nationalgallery.org.uk/artists/jean-louis-andre-theodore-gericault"} +{"d:Title": "Humanities Web: Theodore Gericault", "d:Description": "Biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=22"} +{"d:Title": "National Gallery of Canada", "d:Description": "Proper analysis on 'Oenone and a Nymph'.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=99964"} +{"d:Title": "BBC - Theodore Gericault", "d:Description": "Provides a slideshow presenting Gericault's artwork themed on horses.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/theodore-gericault"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Gericault's biography in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/225"} +{"d:Title": "The Athenaeum - Theodore Gericault", "d:Description": "Provides 7 artworks done by the French artist born in Rouen.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=231"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Gericault's gallery.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gericault,+Jean+Louis+Andre+Theodore"} +{"d:Title": "National Gallery of Art - Theodore Gericault", "d:Description": "Provides a list of the French artist's paintings and drawings including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1334.html"} +{"d:Title": "Getty Museum: Theodore Gericault", "d:Description": "Provides a biography regarding the Romantic artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/G/Gericault,_Theodore", "url": "http://www.getty.edu/art/collection/artists/493/thodore-gricault-french-1791-1824/"} +{"d:Title": "Artcyclopedia: Jean Leon G\u00e9r\u00f4me", "d:Description": "Guide to 15 art museum sites and image archives where Gerome's works can be viewed online.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://artcyclopedia.com/artists/gerome_jean-leon.html"} +{"d:Title": "WebMuseum's G\u00e9r\u00f4me Page", "d:Description": "Short biography and image of \"Duel After a Masked Ball.\"", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.ibiblio.org/wm/paint/auth/gerome/"} +{"d:Title": "The Spectacular Art of Jean-L\u00e9on G\u00e9r\u00f4me (1824\u20131904)", "d:Description": "A journal of 19th century visual culture reviewed by Gabriel P. Weisberg.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.19thc-artworldwide.org/index.php/autumn10/the-spectacular-art-of-jean-leon-gerome-18241904"} +{"d:Title": "Wikipedia - Jean Leon G\u00e9r\u00f4me", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Orientalist painter.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://en.wikipedia.org/wiki/Jean_Leon_G%C3%A9r%C3%B4me"} +{"d:Title": "ARC: Jean Leon G\u00e9r\u00f4me", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.artrenewal.org/pages/artist.php?artistid=9"} +{"d:Title": "Web Gallery of Art: Jean Leon G\u00e9r\u00f4me", "d:Description": "Hungary-based image archive with a variety of works by Gerome.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.wga.hu/html/g/gerome/index.html"} +{"d:Title": "Find A Grave - Jean Leon G\u00e9r\u00f4me", "d:Description": "Location and pictures of the French artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=70536405"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Short biography regarding Gerome, including slideshow presenting his works.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.getty.edu/art/exhibitions/gerome/index.html"} +{"d:Title": "Rehs Galleries: Jean Leon Gerome", "d:Description": "Biography on the 19th century French artist. Includes a list of museums with his work.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.rehsgalleries.com/Jean_Leon_Gerome_Bio.html"} +{"d:Title": "NNDB - Jean Leon G\u00e9r\u00f4me", "d:Description": "Provides an extensive biography.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.nndb.com/people/460/000040340/"} +{"d:Title": "National Gallery of Canada", "d:Description": "Gerome's biography including awards and historical comments on his artworks.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.gallery.ca/en/see/collections/artwork.php?mkey=15177"} +{"d:Title": "The Haggin Museum", "d:Description": "Features a comprehensive biography including background information and interpretation on five of his works.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.hagginmuseum.org/collections/gerome.shtml"} +{"d:Title": "The Athenaeum - Jean Leon G\u00e9r\u00f4me", "d:Description": "Features a total of 170 paintings belong to the Orientalist in high detail.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=56"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Gerome's gallery: Anacreon with the Infants Bacchus and Cupid, Portrait of a Woman, Napoleon Entering Cairo, Chariot race, and Eagle Expiring, Monument for Waterloo.", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gerome,+Jean+Leon"} +{"d:Title": "Dahesh Museum of Art", "d:Description": "Proper analysis on one of his masterpieces \"King Candaules\".", "topic": "Top/Arts/Art_History/Artists/G/G\u00e9r\u00f4me,_Jean_Leon", "url": "http://www.daheshmuseum.org/portfolio/jean-leon-geromeking-candaules/gallery/artists_g/"} +{"d:Title": "Life on an Artist - Domenico Ghirlandajo", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.artist-biography.info/artist/domenico_ghirlandajo/"} +{"d:Title": "Web Gallery of Art: Domenico Ghirlandaio", "d:Description": "Galleries and documentation of the artist's life and works.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.wga.hu/html/g/ghirland/domenico/"} +{"d:Title": "Wikipedia: Domenico Ghirlandaio", "d:Description": "Biography and critical assessment of the Renaissance painter.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://en.wikipedia.org/wiki/Domenico_Ghirlandaio"} +{"d:Title": "Olga's Gallery - Domenico Ghirlandaio", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.abcgallery.com/G/ghirlandao/ghirlandaio.html"} +{"d:Title": "Art Renewal Center - Domenico Ghirlandaio", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2819"} +{"d:Title": "The Grave of Domenico Ghirlandaio", "d:Description": "Burial location.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=31269291"} +{"d:Title": "Mark Harden's Artchive: Domenico Ghirlandaio", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.artchive.com/artchive/G/ghirlandaio.html"} +{"d:Title": "The National Gallery - Domenico Ghirlandaio", "d:Description": "Brief biography regarding the Italian artist born in Florence. Additional historical background information on each painting featured.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.nationalgallery.org.uk/artists/domenico-ghirlandaio"} +{"d:Title": "BBC - Your Paintings: Domenico Ghirlandaio", "d:Description": "Explore the paintings of Domenico Ghirlandaio in the National Collection and find out where you can see them...", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/domenico-ghirlandaio"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Ghirlandaio's biography in English as in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/226"} +{"d:Title": "Biblical art by Domenico Ghirlandaio", "d:Description": "Gallery based on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.artbible.info/art/work/domenico-ghirlandaio"} +{"d:Title": "The Athenaeum - Domenico Ghirlandaio", "d:Description": "Provides over 80 artworks done by the Italian artist born in Florence.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3536"} +{"d:Title": "Old Man with His Grandson, Ghirlandaio (c1490)", "d:Description": "Review of the painting in the Louvre, Guardian article, Jonathan Jones Saturday June 22, 2002.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.theguardian.com/culture/2002/jun/22/art"} +{"d:Title": "NGA: Domenico Ghirlandaio", "d:Description": "Provides a biography, and a list of paintings.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1336.html?artistId=1336&pageNumber=1"} +{"d:Title": "Domenico Bigordi called Ghirlandaio", "d:Description": "Biography towards the Italian Renaissance artist.", "topic": "Top/Arts/Art_History/Artists/G/Ghirlandaio,_Domenico", "url": "http://www.virtualuffizi.com/domenico-bigordi-called-ghirlandaio.html"} +{"d:Title": "Artchive: Alberto Giacometti", "d:Description": "Text from Edward Lucie-Smith, and links to images on the web.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.artchive.com/artchive/G/giacometti.html"} +{"d:Title": "Artcyclopedia: Alberto Giacometti", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.artcyclopedia.com/artists/giacometti_alberto.html"} +{"d:Title": "Wikipedia: Alberto Giacometti", "d:Description": "Encyclopedia article covering his artistic contribution and historical perspectives.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://en.wikipedia.org/wiki/Alberto_Giacometti"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Background information on one of his artworks 'Three Men Walking II'.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.metmuseum.org/toah/works-of-art/1999.363.22"} +{"d:Title": "Find A Grave - Alberto Giacometti", "d:Description": "Location and pictures of the Swiss artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8009239"} +{"d:Title": "Modernism with Its Throat Cut", "d:Description": "Review by John Haber on Giacometti's life.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.haberarts.com/giacomet.htm"} +{"d:Title": "The Art Story: Alberto Giacometti", "d:Description": "Comprehensive biography covering his early training, mature and late periods, including his legacy.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.theartstory.org/artist-giacometti-alberto.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing Giacometti's work. Content available in English as in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/229"} +{"d:Title": "Fondation Alberto et Annette Giacometti", "d:Description": "Official website towards Giacometti's foundation.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.fondation-giacometti.fr/"} +{"d:Title": "Kunsthaus Z\u00fcrich - Alberto Giacometti", "d:Description": "Features a biography alone with his early, surreal and mature work.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.kunsthaus.ch/en/the-collection/painting-and-sculptures/alberto-giacometti/"} +{"d:Title": "MoMA Collection: Alberto Giacometti", "d:Description": "Interactive gallery including background information on his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.moma.org/interactives/exhibitions/2001/giacometti/start/flash.html"} +{"d:Title": "Alberto Giacometti: Drawings", "d:Description": "Article analyzing how drawing allowed Giacometti to engage in an intimate relationship with his subject. By Peter Freeman at the Brooklyn Rail.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.brooklynrail.org/2009/06/artseen/alberto-giacometti-drawings"} +{"d:Title": "Peter Freeman, inc. - Alberto Giacometti", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.peterfreemaninc.com/exhibitions/alberto-giacometti/images/"} +{"d:Title": "Gagosian Gallery - Alberto Giacometti", "d:Description": "Information regarding the artist's exhibition \u201cGiacometti in Switzerland\u201d.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.gagosian.com/exhibitions/november-18-2010--alberto-giacometti"} +{"d:Title": "Alberto Giacometti Foundation", "d:Description": "Presents a collection of his works at the Kunsthaus Z\u00fcrich, includes a biography and information towards the foundation.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.giacometti-stiftung.ch/"} +{"d:Title": "National Gallery of Art - Alberto Giacometti", "d:Description": "Features a list of the Swiss artist's work such as sculptors, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.2118.html"} +{"d:Title": "The Guardian - Giacometti", "d:Description": "Article focused on the statue breaks auction record with \u00a365m sale.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.theguardian.com/artanddesign/2010/feb/03/giacometti-statue-breaks-auction-record"} +{"d:Title": "Tate Collection: Alberto Giacometti", "d:Description": "Works by the sculptor including historical notes.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.tate.org.uk/art/artists/alberto-giacometti-1159"} +{"d:Title": "Guggenheim: Alberto Giacometti", "d:Description": "Background information on seven of his artworks including 'Spoon Woman' and 'Piazza'.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/548"} +{"d:Title": "MoMA Collection: Alberto Giacometti", "d:Description": "Collection of the Swiss artist since 1923.", "topic": "Top/Arts/Art_History/Artists/G/Giacometti,_Alberto", "url": "http://www.moma.org/collection/artists/2141"} +{"d:Title": "Mt. Merino: Acquisition by AIHA", "d:Description": "News of the purchase of the Sanford Gifford's painting \"Mount Merino and the City of Hudson in Autumn\" by the Albany Institute of History and Art.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.tfaoi.com/newsmu/nmus92a.htm"} +{"d:Title": "AskArt.com: Sanford Gifford", "d:Description": "Brief biographical information, gallery, and auction records including works currently for sale.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.askart.com/artist/G/sanford_robinson_gifford.asp?ID=4748"} +{"d:Title": "Artcyclopedia: Sanford Robinson Gifford", "d:Description": "Artcyclopedia guide to works by the American Hudson River School Painter in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.artcyclopedia.com/artists/gifford_sanford_robinson.html"} +{"d:Title": "Wikipedia - Sanford R. Gifford", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the luminist painter.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://en.wikipedia.org/wiki/Sanford_Robinson_Gifford"} +{"d:Title": "ARC: Sanford R. Gifford", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2030"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Provides a slideshow and a biography towards the Hudson River School painter Sanford Robinson Gifford.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.metmuseum.org/toah/hd/giff/hd_giff.htm"} +{"d:Title": "Find A Grave - Sanford R. Gifford", "d:Description": "Location of his grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=55394951"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with an image of Gifford's landscape painting 'Near Palermo'.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/231"} +{"d:Title": "Archives of American Art", "d:Description": "Provides Sanford Robinson Gifford's papers scanned into a digitized collection including a biography and an overview of his works.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.aaa.si.edu/collections/sanford-robinson-gifford-papers-8974/more"} +{"d:Title": "The Athenaeum - Sanford R. Gifford", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=422"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Gifford's gallery.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gifford,+Sanford+Robinson"} +{"d:Title": "NGA: Sanford R. Gifford", "d:Description": "Provides a list of the American artist's paintings and drawings including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gifford,_Sanford_R.", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.2527.html"} +{"d:Title": "Wikipedia: Eric Gill", "d:Description": "Biography, quotations, selected writings and references.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://en.wikipedia.org/wiki/Eric_Gill"} +{"d:Title": "Rare Book Collection - Eric Gill", "d:Description": "Proofs, drawings and engraved woodblocks in the collection of The University of Florida.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.uflib.ufl.edu/spec/rarebook/egill/gill.htm"} +{"d:Title": "Wilfrid's church - Eric Gill", "d:Description": "A celebration of the artist's life and work compiled by Peter Green and John Hawkins of Bognor Regis.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.wilfrid.com/people/eric_gill.htm"} +{"d:Title": "Find A Grave - Eric Gill", "d:Description": "Location and pictures of the British artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=33100194"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits regarding the British sculptor, typeface designer, stonecutter and print-maker.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp01776&role=art"} +{"d:Title": "NNDB: Eric Gill", "d:Description": "Provides an extensive biography.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.nndb.com/people/945/000113606/"} +{"d:Title": "The Eric Gill Society", "d:Description": "Features information towards the society and Gill himself such as a biography and works.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.ericgill.org.uk/"} +{"d:Title": "Identifont - Eric Gill (1882-1940)", "d:Description": "Brief biography including a list of fonts designed by him.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.identifont.com/show?12W"} +{"d:Title": "Eric Gill got it wrong; a re-evaluation of Gill Sans", "d:Description": "Critique article presenting Gill Sans typeface and the idiosyncrasies of its creation from a contemporary perspective.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.typotheque.com/articles/re-evaluation_of_gill_sans/"} +{"d:Title": "Davidson Galleries", "d:Description": "Gill's gallery.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.davidsongalleries.com/artists/gill/gill.php"} +{"d:Title": "My Fonts - Eric Gill", "d:Description": "Provides a brief biography including a list of 24 font families created by him.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.myfonts.com/person/Eric_Gill/"} +{"d:Title": "A Spiritual Journey", "d:Description": "Capel-y-ffin the Welsh home of Gill's religious community, described by Ben Mallalieu. Guardian article, May 3 2006.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.theguardian.com/travel/2006/may/03/unitedkingdom.onlocationfilminspiredtravel.culturaltrips"} +{"d:Title": "Tate Collection - Eric Gill", "d:Description": "Examples of the artist's work.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.tate.org.uk/art/artists/eric-gill-1168"} +{"d:Title": "Written in stone", "d:Description": "Article based on Gill's life as an sculptor. By Fiona MacCarthy at The Guardian.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.theguardian.com/artanddesign/2006/jul/22/art.art"} +{"d:Title": "The British Museum", "d:Description": "Information regarding Eric Gill's exhibition showing his public and private art.", "topic": "Top/Arts/Art_History/Artists/G/Gill,_Eric", "url": "http://www.britishmuseum.org/whats_on/past_exhibitions/2011/eric_gill.aspx"} +{"d:Title": "Artcyclopedia: Harold Gilman", "d:Description": "A page of links to media, articles and other resources relating to this artist.", "topic": "Top/Arts/Art_History/Artists/G/Gilman,_Harold", "url": "http://www.artcyclopedia.com/artists/gilman_harold.html"} +{"d:Title": "Wikipedia - Harold Gilman", "d:Description": "Article showing a complete biography regarding the British painter, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/G/Gilman,_Harold", "url": "http://en.wikipedia.org/wiki/Harold_Gilman"} +{"d:Title": "BBC - Your Paintings: Harold Gilman", "d:Description": "Slideshow containing around 16 of his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Gilman,_Harold", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/harold-gilman"} +{"d:Title": "Leeds Art Gallery", "d:Description": "Interpretation on Gilman's painting 'Mrs Mounter' (1916 - 1917).", "topic": "Top/Arts/Art_History/Artists/G/Gilman,_Harold", "url": "http://www.leedsartgallery.co.uk/gallery/listings/l0005.php"} +{"d:Title": "Tate Collection - Harold Gilman", "d:Description": "Works by the artist including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gilman,_Harold", "url": "http://www.tate.org.uk/art/artists/harold-gilman-1172"} +{"d:Title": "Walker Art Gallery - 'Mrs Mounter'", "d:Description": "Image and description of Harold Gilman's 20th century oil painting 'Mrs Mounter', in the Walker Art Gallery, Liverpool.", "topic": "Top/Arts/Art_History/Artists/G/Gilman,_Harold", "url": "http://www.liverpoolmuseums.org.uk/picture-of-month/displaypicture.aspx?id=47"} +{"d:Title": "Art Gallery New South Wales", "d:Description": "Proper analysis on a self-portrait.", "topic": "Top/Arts/Art_History/Artists/G/Gilman,_Harold", "url": "http://www.artgallery.nsw.gov.au/collection/works/7837/"} +{"d:Title": "Artcyclopedia: Giorgione on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.artcyclopedia.com/artists/giorgione.html"} +{"d:Title": "Artchive: Giorgione", "d:Description": "Excerpt from the Story of Art, by E.H. Gombrich and images of artist's works.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.artchive.com/artchive/G/giorgione.html"} +{"d:Title": "Giorgione [Giorgio Barbarella]", "d:Description": "Biographical sketch and introduction to the work of the Renaissance artist.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.boglewood.com/cornaro/xgiorgione.html"} +{"d:Title": "Catholic Encyclopedia: Giorgione", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.newadvent.org/cathen/06564c.htm"} +{"d:Title": "Olga's Gallery: Giorgione", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.abcgallery.com/G/giorgione/giorgione.html"} +{"d:Title": "The Life of Giorgione by Vasari", "d:Description": "Excerpts from the biography of the artist by famous Giorgio Vasari and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/giorgion/giorg.htm"} +{"d:Title": "Tour: Giorgione and the High Renaissance in Venice", "d:Description": "Virtual tour from the National Gallery of Art, Washington, DC.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.nga.gov/collection/gallery/gg16/gg16-main1.html"} +{"d:Title": "Encyclopedia.com: Giorgione", "d:Description": "Biography focused on his early and matured works.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.encyclopedia.com/topic/Giorgione.aspx"} +{"d:Title": "Web Gallery of Art: Giorgione", "d:Description": "Image gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.wga.hu/html/g/giorgion/"} +{"d:Title": "Wikipedia - Giorgione", "d:Description": "Article focused on the Venetian painter's life, works and legacy. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://en.wikipedia.org/wiki/Giorgione"} +{"d:Title": "The National Gallery - Giorgione", "d:Description": "Provides a list of the Venetian artist's work including proper background information on each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.nationalgallery.org.uk/artists/giorgione"} +{"d:Title": "NNDB: Giorgione", "d:Description": "Thorough biography regarding the Venetian's career.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.nndb.com/people/811/000084559/"} +{"d:Title": "BBC - Your Paintings: Giorgione", "d:Description": "Slideshow presenting his artwork.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/-giorgione"} +{"d:Title": "Giorgione - Venetian High Renaissance", "d:Description": "Brief introductions to some of his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.italian-renaissance-art.com/Giorgione.html"} +{"d:Title": "Uffizi Gallery Florence - Giorgione", "d:Description": "Biography towards the Italian Renaissance artist.", "topic": "Top/Arts/Art_History/Artists/G/Giorgione", "url": "http://www.virtualuffizi.com/giorgione.html"} +{"d:Title": "Courtauld Institute of Art Gallery - Thomas Girtin", "d:Description": "A gallery of paintings including descriptions on most paintings.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.artandarchitecture.org.uk/search/results.html?_creators=ULAN5903&display=Girtin,+Thomas"} +{"d:Title": "Wikipedia: Thomas Girtin", "d:Description": "Biography of the English landscape artist.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://en.wikipedia.org/wiki/Thomas_Girtin"} +{"d:Title": "Norwich Castle Museum and Art Gallery", "d:Description": "Provides a slideshow presenting Girtin's artwork along with a chronological events of his short career.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.museums.norfolk.gov.uk/default.asp?document=200.21.70.017.03x1#content"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits of Thomas Girtin by other artists.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp01782"} +{"d:Title": "ARC: Thomas Girtin", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2928"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of Girtin's masterpieces 'Lindisfarne Castle, Holy Island'.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.metmuseum.org/toah/works-of-art/06.1051.1"} +{"d:Title": "The Grave of Thomas Girtin", "d:Description": "Location of his grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=16146349"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows seven Girtin's portraits done by Samuel William Reynolds.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.npg.org.uk/collections/search/person/mp01782/thomas-girtin?role=sit"} +{"d:Title": "Artcyclopedia: Thomas Girtin", "d:Description": "A collections of links to online exhibitions which include his works and all other material on the artist.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.artcyclopedia.com/artists/girtin_thomas.html"} +{"d:Title": "Wikimedia Commons: 'Rue Saint-Denis in Paris'", "d:Description": "High resolution image of a Girtin watercolour.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://commons.wikimedia.org/wiki/File:Thomas_Girtin_004.jpg"} +{"d:Title": "National Gallery of Art - Thomas Girtin", "d:Description": "Presents a list of drawings and prints.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.4206.html"} +{"d:Title": "Collection Tate: Thomas Girtin", "d:Description": "Artist's gallery including background information on some of his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Girtin,_Thomas", "url": "http://www.tate.org.uk/art/artists/thomas-girtin-211"} +{"d:Title": "Art Renewal Center - Godward, John William", "d:Description": "Features an extensive biography and more than 120 images towards the English artist.", "topic": "Top/Arts/Art_History/Artists/G/Godward,_John_William", "url": "http://www.artrenewal.org/pages/artist.php?artistid=82"} +{"d:Title": "Wikipedia: John William Godward", "d:Description": "Article focused on the English painter's life, works and career. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/G/Godward,_John_William", "url": "http://en.wikipedia.org/wiki/John_William_Godward"} +{"d:Title": "The Grave of John William Godward (1861 - 1922)", "d:Description": "Location and pictures of his grave. Includes a biography.", "topic": "Top/Arts/Art_History/Artists/G/Godward,_John_William", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8054841"} +{"d:Title": "Getty Museum: John William Godward", "d:Description": "Provides a brief biography regarding the Victorian Neo-classicist artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/G/Godward,_John_William", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=616"} +{"d:Title": "The Victorian Web", "d:Description": "Presents Godward's drawings and paintings.", "topic": "Top/Arts/Art_History/Artists/G/Godward,_John_William", "url": "http://www.victorianweb.org/painting/godward/index.html"} +{"d:Title": "The Athenaeum: John William Godward", "d:Description": "Gallery containing around 20 paintings.", "topic": "Top/Arts/Art_History/Artists/G/Godward,_John_William", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=230"} +{"d:Title": "Olga's Gallery: Hugo van der Goes", "d:Description": "Comprehensive collection of the images of van der Goes's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.abcgallery.com/G/goes/goes.html"} +{"d:Title": "WebMuseum: Goes, Hugo van der", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.ibiblio.org/wm/paint/auth/goes/"} +{"d:Title": "Wikipedia: Hugo van der Goes", "d:Description": "Encyclopedia article featuring a biography and collection of his artworks dated from 1470 to 1490.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://en.wikipedia.org/wiki/Hugo_van_der_Goes"} +{"d:Title": "ARC: Hugo van der Goes", "d:Description": "Provides images of the Flemish artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2813"} +{"d:Title": "Web Gallery of Art - Hugo van der Goes", "d:Description": "Collection of artist's works with a biography and comments on most paintings.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.wga.hu/html/g/goes/index.html"} +{"d:Title": "Artchive - Hugo van der Goes", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.artchive.com/artchive/G/goes.html"} +{"d:Title": "The National Gallery - Hugo van der Goes", "d:Description": "Provides a list of the Flemish artist's work including proper background information per each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.nationalgallery.org.uk/artists/hugo-van-der-goes"} +{"d:Title": "NNDB - Hugo van der Goes", "d:Description": "Comprehensive biography regarding the Flemish painter born in Oudergem.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.nndb.com/people/237/000104922/"} +{"d:Title": "BBC - Your Paintings: Hugo van der Goes", "d:Description": "Artist's slideshow.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/hugo-van-der-goes"} +{"d:Title": "Uffizi Gallery Florence", "d:Description": "Presents Van der Goes' biography.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.virtualuffizi.com/biography/Hugo-van-der-Goes.htm"} +{"d:Title": "Biblical art by Hugo van der Goes", "d:Description": "Artist's gallery inspired by stories in the bible.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.artbible.info/art/biography/hugo-van-der-goes"} +{"d:Title": "Italian Renaissance - Hugo van der Goes", "d:Description": "Brief introductions to some of his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.italian-renaissance-art.com/Van-der-Goes.html"} +{"d:Title": "Hugo van der Goes - Spiritual vision and realism", "d:Description": "Article focused on Hugo's style and his connection to Vincent van Gogh.", "topic": "Top/Arts/Art_History/Artists/G/Goes,_Hugo_van_der", "url": "http://www.theguardian.com/artanddesign/jonathanjonesblog/2011/aug/01/hugo-van-der-goes-trinity-altarpiece"} +{"d:Title": "Van Gogh Museum", "d:Description": "The artist's life and times, exhibitions and the Museum's collection.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.vangoghmuseum.nl/"} +{"d:Title": "WebMuseum: Gogh, Vincent van", "d:Description": "Provides information and images on some of his works.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.ibiblio.org/wm/paint/auth/gogh/"} +{"d:Title": "Vincent van Gogh - Olga's Gallery", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.abcgallery.com/V/vangogh/vangogh.html"} +{"d:Title": "Artchive: Van Gogh", "d:Description": "Dozens of scans, critical articles, and online resources featuring Vincent's art.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://artchive.com/artchive/V/vangogh.html"} +{"d:Title": "Missing Masterpieces", "d:Description": "Review and information about the Van Gogh exhibition held at the National Gallery of Art, Washington. 1998 - 1999.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.culturekiosque.com/art/exhibiti/rhevgogh.htm"} +{"d:Title": "Four Ways to Look at Van Gogh", "d:Description": "Article from the Washington Post.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.washingtonpost.com/wp-srv/style/museums/photogallery/vangogh/vangogh.htm"} +{"d:Title": "All Van Gogh's Letters - Unabridged and annotated", "d:Description": "Provides a list of letters categorized by topic.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.webexhibits.org/vangogh/"} +{"d:Title": "Artcyclopedia: Vincent van Gogh's Works on the Net", "d:Description": "Links to the Dutch artist's paintings which can be viewed online at over 50 art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.artcyclopedia.com/artists/van_gogh_vincent.html"} +{"d:Title": "ArtQuotes.net: Vincent van Gogh", "d:Description": "Selection of paintings, famous quotes, biographical information, and links to resources online.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.artquotes.net/masters/vangogh.htm"} +{"d:Title": "Vincent van Gogh Paintings Project", "d:Description": "Online collection of paintings made by the artist in Paris and Arles.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.vangoghreproductions.com/"} +{"d:Title": "Wikimedia commons: Vincent van Gogh", "d:Description": "Gallery of artist's paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://commons.wikimedia.org/wiki/Vincent_van_Gogh"} +{"d:Title": "The Vincent Van Gogh Gallery", "d:Description": "A comprehensive resource for information about Van Gogh and images of his works. Has images of all the paintings, sketches, watercolours, letter sketches, and early works created by the artist.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.vggallery.com/"} +{"d:Title": "Art on Stamps - Self Portraits of Van Gogh", "d:Description": "Brief biography and images of the postal stamps.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.artonstamps.org/vangogh.htm"} +{"d:Title": "Van Gogh Gallery", "d:Description": "Artist's biography, paintings, letters, drawings, catalog of works.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.vangoghgallery.com/"} +{"d:Title": "Vincent van Gogh Paintings", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.art-prints-gallery.com/gallery/vincent_van_gogh/"} +{"d:Title": "Encyclopedia.com - Results for Van Gogh, Vincent", "d:Description": "A biography with additional links to related material.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.encyclopedia.com/topic/Vincent_Van_Gogh.aspx"} +{"d:Title": "Wikipedia: Vincent Van Gogh", "d:Description": "Article focused on the Dutch painter's life, works and legacy. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://en.wikipedia.org/wiki/Vincent_Van_Gogh"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life, times, and works of art of the Dutch post-Impressionist through his biography and a slideshow presenting his works of art.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.metmuseum.org/toah/hd/gogh/hd_gogh.htm"} +{"d:Title": "Artble - Vincent van Gogh", "d:Description": "Provides a biography including his styles and techniques along with his most representative paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.artble.com/artists/vincent_van_gogh"} +{"d:Title": "Art Renewal Center - Vincent Van Gogh", "d:Description": "Provides images of the Dutch artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2162"} +{"d:Title": "The Grave of Vincent Van Gogh", "d:Description": "Location and pictures of his grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1055"} +{"d:Title": "NGA: Vincent Van Gogh", "d:Description": "Also known as Vincent Willem van Gogh, provides an interactive virtual tour at the Van Gogh Museum at Amsterdam.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.nga.gov/exhibitions/vgwel.shtm"} +{"d:Title": "The National Gallery - Vincent Van Gogh", "d:Description": "Presents a thorough biography and related paintings with historical notes.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.nationalgallery.org.uk/artists/vincent-van-gogh"} +{"d:Title": "National Gallery of Canada", "d:Description": "Van Gogh's biography including awards and historical comments on his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=2078"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Van Gogh's biography in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/237"} +{"d:Title": "Drama at Arles new light on Van Gogh's self-mutilation", "d:Description": "Article revealing what is behind Van Gogh severed part of his ear.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.highbeam.com/doc/1G1-136510803.html"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features van Gogh\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gogh,+Vincent+van"} +{"d:Title": "Vincent van Gogh - Wisdom Supreme", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.wisdomsupreme.com/dictionary/vincent-van-gogh.php"} +{"d:Title": "Collection Tate: Vincent Van Gogh", "d:Description": "Background information on four of his paintings including 'Farms near Auvers' and 'The Oise at Auvers'.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.tate.org.uk/art/artists/vincent-van-gogh-1182"} +{"d:Title": "Painted with Words", "d:Description": "Vincent van Gogh's Letters to \u00c9mile Bernard.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.themorgan.org/collection/vincent-van-gogh/letter/1/page/1?id=600"} +{"d:Title": "MoMA Collection: Vincent Van Gogh", "d:Description": "Offers a slideshow gallery.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://www.moma.org/collection/artists/2206"} +{"d:Title": "Art UK - Vincent van Gogh", "d:Description": "Slideshow of 21 paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gogh,_Vincent_van", "url": "http://artuk.org/discover/artists/van-gogh-vincent-18531890"} +{"d:Title": "Artcyclopedia: Eva Gonzales", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.artcyclopedia.com/artists/gonzales_eva.html"} +{"d:Title": "Women in Art- Eva Gonzales", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.mystudios.com/women/fghij/gonzales.html"} +{"d:Title": "Wikipedia: Eva Gonzales", "d:Description": "Encyclopedia article covering a brief biography and a gallery.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://en.wikipedia.org/wiki/Eva_Gonzales"} +{"d:Title": "Find A Grave - Eva Gonzales", "d:Description": "Location of her grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=44063893"} +{"d:Title": "The National Gallery - Eva Gonzales", "d:Description": "Brief introduction on her life including one of her paintings on high resolution.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.nationalgallery.org.uk/paintings/edouard-manet-eva-gonzales"} +{"d:Title": "Spaightwood Galleries - Eva Gonzales", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.spaightwoodgalleries.com/Pages/Gonzales.html"} +{"d:Title": "Mus\u00e9e d'Orsay - Eva Gonzales", "d:Description": "Proper analysis towards 'A Box at the Theatre des Italiens'.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.musee-orsay.fr/en/collections/works-in-focus/search/commentaire/commentaire_id/une-loge-aux-italiens-17423.html"} +{"d:Title": "Art Renewal Center - Eva Gonzales", "d:Description": "Features images of the French Impressionist painter's work with high detail.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=376"} +{"d:Title": "NGA: Eva Gonzales", "d:Description": "Proper analysis and background information towards one of her paintings 'Nanny and Child'.", "topic": "Top/Arts/Art_History/Artists/G/Gonzales,_Eva", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.135512.html"} +{"d:Title": "Artcyclopedia - Felix Gonzalez-Torres", "d:Description": "Links to images of his museum and gallery holdings provided.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.artcyclopedia.com/artists/gonzalez-torres_felix.html"} +{"d:Title": "Queer Cultural Center", "d:Description": "Biography, image gallery, and transcript of 1995 interview by Robert Storr for ArtPress magazine offered in addition to Lawrence Rinder's review of the artist's 1994 solo MATRIX/Berkeley 161 exhibition.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.queerculturalcenter.org/Pages/FelixGT/FelixIndex.html"} +{"d:Title": "Walker Art Gallery", "d:Description": "Quotations of the artist himself provide image captions and link to a comprehensive bibliography and critical reviews of his work.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://adaweb.walkerart.org/context/artists/fgt/fgt1.html"} +{"d:Title": "Digital Journalist: 20 Years - AIDS and Photography", "d:Description": "W.M. Hunt explores the subjective nature and affirms the diversity of interpretations for the artist's \"Visions\" series, sponsored by New York Museum of Modern Art's Projects 34.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.digitaljournalist.org/issue0106/visions_torres.htm"} +{"d:Title": "Wikipedia - F\u00e9lix Gonz\u00e1lez-Torres", "d:Description": "Article showing a complete biography regarding the American, Cuban-born visual artist.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://en.wikipedia.org/wiki/F%C3%A9lix_Gonz%C3%A1lez-Torres"} +{"d:Title": "Felix Gonzalez-Torres at MMK", "d:Description": "Provides pictures and information towards the exhibition 'Specific Objects without Specific Form'.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.contemporaryartdaily.com/2011/03/felix-gonzalez-torres-at-mmk/"} +{"d:Title": "Andrea Rosen Gallery", "d:Description": "Gonz\u00e1lez-Torres' exhibition history including pictures of his works.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.andrearosengallery.com/artists/felix-gonzalez-torres/"} +{"d:Title": "The Museum of Contemporary Art, Los Angeles", "d:Description": "Analysis on one of his works.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.moca.org/pc/viewArtWork.php?id=23"} +{"d:Title": "Museum f\u00fcr Moderne Kunst", "d:Description": "Article reviewing F\u00e9lix's artworks and style.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://frieze-magazin.de/archiv/kritik/felix-gonzalez-torres/?lang=en"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Twenty one works of Gonz\u00e1lez-Torres", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gonzalez-Torres,+Felix"} +{"d:Title": "Guggenheim: F\u00e9lix Gonz\u00e1lez-Torres", "d:Description": "Information about several pieces in the museum's collection.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/793/Felix%20GonzalezTorres"} +{"d:Title": "MoMA Collection: F\u00e9lix Gonz\u00e1lez-Torres", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/G/Gonz\u00e1lez-Torres,_F\u00e9lix", "url": "http://www.moma.org/collection/artists/2233"} +{"d:Title": "Goodall Family of Artists", "d:Description": "A 200 year history of the Goodall family of artists in England and North America. Biographies of the artists, images of their works and photographs.", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://www.goodallartists.ca/"} +{"d:Title": "Frederick Goodall RA (1822-1904)", "d:Description": "History of the British artist's life", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://myweb.tiscali.co.uk/speel/paint/goodall.htm"} +{"d:Title": "Art Renewal Center - Frederick Goodall", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://www.artrenewal.org/pages/artist.php?artistid=234"} +{"d:Title": "Find A Grave - Frederick Goodall (1822 - 1904)", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=10559"} +{"d:Title": "Victorian Web - Frederick Goodall", "d:Description": "Brief biography including images of his paintings with background information.", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://www.victorianweb.org/painting/goodall/index.html"} +{"d:Title": "BBC - Your Paintings: Frederick Goodall", "d:Description": "Artist's slideshow gallery.", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/frederick-goodall"} +{"d:Title": "NGA: Frederick Goodall", "d:Description": "Features a wide list of photographs taken by the British artist.", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.16033.html"} +{"d:Title": "Collection Tate: Frederick Goodall", "d:Description": "Presents a gallery with some of his works.", "topic": "Top/Arts/Art_History/Artists/G/Goodall,_Frederick", "url": "http://www.tate.org.uk/art/artists/frederick-goodall-214"} +{"d:Title": "Artcyclopedia: Arshile Gorky", "d:Description": "Links to media and other resources.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.artcyclopedia.com/artists/gorky_arshile.html"} +{"d:Title": "Arshile Gorky (1904-1948)", "d:Description": "Biography of the artist from the Peggy Guggenheim Collection.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.guggenheim-venice.it/inglese/collections/artisti/biografia.php?id_art=67"} +{"d:Title": "Whitney Museum of American Art - Arshile Gorky", "d:Description": "Provides a brief gallery showing the artist's work.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://whitney.org/Collection/ArshileGorky"} +{"d:Title": "Wikipedia - Arshile Gorky", "d:Description": "Encyclopedia article covering his early life, career and legacy.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://en.wikipedia.org/wiki/Arshile_Gorky"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Proper analysis on of his paintings 'Water of the Flowery Mill'.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.metmuseum.org/toah/works-of-art/56.205.1"} +{"d:Title": "Find A Grave - Arshile Gorky", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=43499684"} +{"d:Title": "The Art Story: Arshile Gorky", "d:Description": "Detailed biography covering his childhood, early training, mature period and an insight on his legacy.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.theartstory.org/artist-gorky-arshile.htm"} +{"d:Title": "National Gallery of Canada", "d:Description": "Gorky's biography including background information on one of his paintings 'Charred Beloved II'.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=2105"} +{"d:Title": "Haber's Art Reviews - Arshile Gorky", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.haberarts.com/gorkybio.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing Gorky's work.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/239"} +{"d:Title": "The Arshile Gorky Foundation", "d:Description": "Official website providing information regarding the foundation including galleries, research and catalogs.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://arshilegorkyfoundation.org/"} +{"d:Title": "Artist Profile: Arshile Gorky", "d:Description": "Biography centered on artist's style and influences on his career.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.ndoylefineart.com/gorky.html"} +{"d:Title": "Arshile Gorky: A Retrospective", "d:Description": "Artist's exhibition details at the Philadelphia Museum of Art.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.philamuseum.org/exhibitions/337.html"} +{"d:Title": "From Mimic to Master of Invention", "d:Description": "Article reviewing the exhibition 'Arsheele Gorky: A retrospective'.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.nytimes.com/2009/10/23/arts/design/23gorky.html"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Gorky's gallery including size, medium and date. Examples include The Plough and the Song, Study for Agony, Carnival, and Self-Portrait.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gorky,+Arshile"} +{"d:Title": "Guggenheim: Arshile Gorky", "d:Description": "Proper analysis on a Gorky's untitled drawing of 1944, includes a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/600/Arshile%20Gorky"} +{"d:Title": "The Artist and His Mother", "d:Description": "Guardian article by Jonathan Jones, Saturday March 30, 2002.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.theguardian.com/culture/2002/mar/30/art"} +{"d:Title": "Homage to Armenia", "d:Description": "Review of Atom Egoyan's film of Arshile Gorky and Nouritza Matossian's biography which inspired it. The Guardian Friday April 11, 2003.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.theguardian.com/culture/2003/apr/11/artsfeatures"} +{"d:Title": "Collection Tate: Arshile Gorky", "d:Description": "Presents details towards the exhibition \"Arshile Gorky - A Retrospective\".", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.tate.org.uk/node/237443/default.shtm"} +{"d:Title": "NGA: Arshile Gorky", "d:Description": "Provides a list of his paintings, drawings and prints along with a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1351.html"} +{"d:Title": "MoMA Collection: Arshile Gorky", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/G/Gorky,_Arshile", "url": "http://www.moma.org/collection/artists/2252"} +{"d:Title": "Wikipedia: Thomas Cooper Gotch", "d:Description": "Biography with a list of paintings and some further reading.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://en.wikipedia.org/wiki/Thomas_Cooper_Gotch"} +{"d:Title": "Artcyclopedia: Thomas Cooper Gotch", "d:Description": "A curated page of links to media and other web resources relevant to this artist.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://www.artcyclopedia.com/artists/gotch_thomas_cooper.html"} +{"d:Title": "Art Renewal Center - Thomas Cooper Gotch", "d:Description": "Thorough biography including images of his works on high detail.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://www.artrenewal.org/pages/artist.php?artistid=238"} +{"d:Title": "Victorian Web - Thomas Cooper Gotch", "d:Description": "Provides proper interpretation on some of his paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://www.victorianweb.org/painting/gotch/paintings/index.html"} +{"d:Title": "BBC - Your Paintings: Thomas Cooper Gotch", "d:Description": "Slideshow containing over 20 artworks.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/thomas-cooper-gotch"} +{"d:Title": "Penlee House Gallery and Museum", "d:Description": "Artist's biography including an image of one of his paintings 'Girl in a Cornish Garden, 1887'.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://www.penleehouse.org.uk/artists/thomas-cooper-gotch.html"} +{"d:Title": "Project Kettering - Thomas Cooper Gotch", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://www.projectkettering.org.uk/page_id__81_path__0p3p20p.aspx"} +{"d:Title": "Collection Tate: Thomas Cooper Gotch", "d:Description": "Background information on two of his artworks 'Alleluia' and 'Confields above Lamorna'. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/G/Gotch,_Thomas_Cooper", "url": "http://www.tate.org.uk/art/artists/thomas-cooper-gotch-217"} +{"d:Title": "Adolph and Esther Gottlieb Foundation, Inc.", "d:Description": "Includes an archive of the life and work of the artist and details of upcoming exhibitions.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.gottliebfoundation.org/"} +{"d:Title": "Adolph Gottlieb", "d:Description": "Illustrated essay by Terry Fenton, April 1999.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.sharecom.ca/fenton/gottlieb.html"} +{"d:Title": "Artcyclopedia: Adolph Gottlieb", "d:Description": "Links to media and other resources about this artist.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.artcyclopedia.com/artists/gottlieb_adolph.html"} +{"d:Title": "Wikipedia: Adolph Gottlieb", "d:Description": "Biography of the artist.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://en.wikipedia.org/wiki/Adolph_Gottlieb"} +{"d:Title": "The Grave of Adolph Gottlieb", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=27635979"} +{"d:Title": "The Art Story: Adolph Gottlieb", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.theartstory.org/artist-gottlieb-adolph.htm"} +{"d:Title": "Archives of American Art", "d:Description": "Provides a transcription of an oral history interview with Adolph Gottlieb.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.aaa.si.edu/collections/interviews/oral-history-interview-adolph-gottlieb-12369"} +{"d:Title": "Allen Memorial Art Museum", "d:Description": "Article based on Gottlieb's style and his influence on surrealism.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.oberlin.edu/amam/Gottlieb_Persephone.htm"} +{"d:Title": "The Phillips Collection", "d:Description": "Gottlieb's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.phillipscollection.org/research/american_art/bios/gottlieb-bio.htm"} +{"d:Title": "Peggy Guggenheim Collection - Adolph Gottlieb", "d:Description": "Biography of the artist including an image on one of his works 'Floating', 1945.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.guggenheim-venice.it/inglese/collections/artisti/biografia.php?id_art=202"} +{"d:Title": "Adolph Gottlieb - A Retrospective", "d:Description": "Details regarding the first retrospective exhibition about the American Abstract Expressionist painter.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.e-flux.com/announcements/adolph-gottlieb/"} +{"d:Title": "Guggenheim: Adolph Gottlieb", "d:Description": "Artist's works from museum collection including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/533/Adolph%20Gottlieb"} +{"d:Title": "Tate Collection: Adolph Gottlieb", "d:Description": "List of works along with a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.tate.org.uk/art/artists/adolph-gottlieb-1195"} +{"d:Title": "Adolph Gottlieb: A Survey Exhibition", "d:Description": "Review of an exhibition at the Jewish Museum, NY, 2002-3 by Eric Gelber.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.artcritical.com/2003/02/01/adolph-gottlieb-a-survey-exhibition/"} +{"d:Title": "MoMA Collection: Adolph Gottlieb", "d:Description": "Provides a biography based on his life and work; includes a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/G/Gottlieb,_Adolph", "url": "http://www.moma.org/collection/artists/2268"} +{"d:Title": "InfoGoya", "d:Description": "Virtual exhibition of artist' works, with a biography, catalog of his paintings to commemorate the 250th anniversary of the master's birth. Avalaible in English as in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://goya.unizar.es/"} +{"d:Title": "Francisco de Goya y Lucientes - Olga's Gallery", "d:Description": "Comprehensive collection of works of the Spanish artist with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.abcgallery.com/G/goya/goya.html"} +{"d:Title": "Cyber Spain - Goya", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.cyberspain.com/passion/goya.htm"} +{"d:Title": "Francisco Goya (1746 - 1828)", "d:Description": "Artist's biography illustrated by his works.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.imageone.com/goya/"} +{"d:Title": "Goya at the Prado Museum", "d:Description": "The most famous artist's paintings from the museum with historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.spanisharts.com/prado/goya.htm"} +{"d:Title": "Mark Harden's Artchive: Goya -The Black Paintings", "d:Description": "Story about the late artist's works.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.artchive.com/goya.html"} +{"d:Title": "WebMuseum: Goya (y Lucientes), Francisco (Jos\u00e9) de", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.ibiblio.org/wm/paint/auth/goya/"} +{"d:Title": "Francisco de Goya y Lucientes", "d:Description": "Artist's biography, images of his works, bibliography, related links.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://eeweems.com/goya/"} +{"d:Title": "Tabula Rasa: Francesco Goya", "d:Description": "An article on artist's life and works by Kyla Ward.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.tabula-rasa.info/DarkAges/FrancescoGoya.html"} +{"d:Title": "Wikipedia - Francisco de Goya", "d:Description": "Article focused on the Spanish Romantic painter's life and works including Caprichos and Tapestry cartoons.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://en.wikipedia.org/wiki/Francisco_Goya"} +{"d:Title": "Art Renewal Center - Francisco de Goya", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.artrenewal.org/pages/artist.php?artistid=239"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life, times, and works of art of the Spanish Romantic painter through his biography and a slideshow presenting his works of art.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.metmuseum.org/toah/hd/goya/hd_goya.htm"} +{"d:Title": "Web Gallery of Art - Goya Y Lucientes, Francisco de", "d:Description": "Hungary-based image archive with a variety of works by Goya.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.wga.hu/html/g/goya/index.html"} +{"d:Title": "The Grave of Francisco Goya (1746 - 1828)", "d:Description": "Location and pictures of his grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1651"} +{"d:Title": "Mark Harden's Artchive: Francisco de Goya", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.artchive.com/artchive/G/goya.html"} +{"d:Title": "The National Gallery - Francisco de Goya", "d:Description": "Brief biography regarding the Spanish Romantic artist born in Fuendetodos, Arag\u00f3n. Additional historical background information on each painting featured.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.nationalgallery.org.uk/artists/francisco-de-goya"} +{"d:Title": "NNDB: Francisco de Goya", "d:Description": "Comprehensive biography.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.nndb.com/people/710/000084458/"} +{"d:Title": "National Gallery of Canada", "d:Description": "Goya'sbiography including historical comments on his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=2127"} +{"d:Title": "Goya in the Metropolitan Museum", "d:Description": "Review by John Haber on Goya's career.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.haberarts.com/goya.htm"} +{"d:Title": "BBC - Your Paintings: Francisco de Goya", "d:Description": "Artist's slideshow.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/francisco-de-goya"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing Goya's work. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/241"} +{"d:Title": "Davidson Galleries", "d:Description": "Goya's gallery.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.davidsongalleries.com/artists/goya/goya.php"} +{"d:Title": "Uffizi Gallery Florence - Francisco Goya y Lucientes", "d:Description": "Biography regarding the influential Spanish master.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.virtualuffizi.com/biography/Francisco-Goya-y-Lucientes.htm"} +{"d:Title": "Goya - The Secret of Shadows", "d:Description": "Official film website about Goya, presenting details, notes and a gallery.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.milagrosproducciones.com/goya/"} +{"d:Title": "Francisco de Goya (1746 - 1828)", "d:Description": "Scanned images categorized from Caprices to Tauromachia.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://djelibeibi.unex.es/libros/Goya/"} +{"d:Title": "National Library of Medicine", "d:Description": "Proper interpretation of some of Goya's prints.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.nlm.nih.gov/hmd/goya/index.html"} +{"d:Title": "The Athenaeum - Francisco de Goya Y Lucientes", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=499"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays artworks done by the Spanish Romantic artist, including The Drunkards, The Bulls of Bordeaux, and Los Caprichos.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.artic.edu/aic/collections/artwork/artist/Goya+y+Lucientes,+Francisco+Jose+de"} +{"d:Title": "Francisco Goya Prints", "d:Description": "Brief introduction to each print series such as \"Los Caprichos\", \"Los Desastres de la Guerra\" and \"Los Disparates\" at the Claremont Colleges library.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://ccdl.libraries.claremont.edu/cdm/landingpage/collection/fgp"} +{"d:Title": "NGA: Francisco de Goya", "d:Description": "Also known as Francisco Jose de Goya y Lucientes, provides a list of the Spanish Romantic artist's work along with a biography.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1353.html"} +{"d:Title": "Smithsonian Magazine: Goya and His Women", "d:Description": "An exhibition at Washington's National Gallery of Art takes a fresh look at one of Spain's most celebrated artists and the women he painted", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.smithsonianmag.com/arts-culture/goya-and-his-women-60204078/"} +{"d:Title": "Goya's Black Paintings", "d:Description": "Paintings created between 1819-1823 by the artist.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.henkvankampen.com/goyas-black-paintings/"} +{"d:Title": "Davison Art Center", "d:Description": "Presents Images of all 80 Goya's Caprichos.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://dac-collection.wesleyan.edu/prt28"} +{"d:Title": "Getty Museum: Francisco de Goya Y Lucientes", "d:Description": "Brief biography regarding the Spanish Romantic artist including background information on one of his works.", "topic": "Top/Arts/Art_History/Artists/G/Goya_y_Lucientes,_Francisco_de", "url": "http://www.getty.edu/art/collection/artists/924/francisco-jos-de-goya-y-lucientes-francisco-de-goya-spanish-1746-1828/"} +{"d:Title": "The Annunciation", "d:Description": "Painting by the artist on Wikimedia commons.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://commons.wikimedia.org/wiki/Category:Francesco_Granacci"} +{"d:Title": "Artcyclopedia: Francesco Granacci", "d:Description": "Links to media and other resources relating to this artist.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://www.artcyclopedia.com/artists/granacci_francesco.html"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of Granacci's masterpieces 'Triptych with the Crucifixion'.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://www.metmuseum.org/toah/works-of-art/2006.409"} +{"d:Title": "Web Gallery of Art - Francesco Granacci", "d:Description": "Granacci's collection of images and biography.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://www.wga.hu/html/g/granacci/index.html"} +{"d:Title": "The National Gallery - Francesco Granacci", "d:Description": "Brief biography including an introduction to one of his paintings 'Portrait of a Man in Armour'.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://www.nationalgallery.org.uk/artists/francesco-granacci"} +{"d:Title": "Francesco Granacci (c.1469-1543)", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://members.efn.org/~acd/vite/VasariGranacci.html"} +{"d:Title": "Christie's - Francesco Granacci", "d:Description": "Proper analysis about his impressive altarpiece panel 'Villamagna'.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://www.christies.com/LotFinder/lot_details.aspx?intObjectID=5287495"} +{"d:Title": "Portrait of a Man in Armour (c.1510)", "d:Description": "Guardian review, Jonathan Jones Saturday April 21, 2001.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://www.theguardian.com/culture/2001/apr/21/art"} +{"d:Title": "Uffizi Gallery Florence - Francesco Granacci", "d:Description": "Biography towards the Renaissance painter who studied alongside Michelangelo.", "topic": "Top/Arts/Art_History/Artists/G/Granacci,_Francesco", "url": "http://www.virtualuffizi.com/francesco-granacci.html"} +{"d:Title": "WebMuseum: Greco, El", "d:Description": "Artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.ibiblio.org/wm/paint/auth/greco/"} +{"d:Title": "Artchive: El Greco", "d:Description": "Collection of images and bibliography.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.artchive.com/artchive/E/el_greco.html"} +{"d:Title": "Olga's Gallery: El Greco", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.abcgallery.com/E/elgreco/elgreco.html"} +{"d:Title": "Catholic Encyclopedia: El Greco (Domenico Theotocopuli)", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.newadvent.org/cathen/14628a.htm"} +{"d:Title": "The Prado Museum - El Greco", "d:Description": "Artist's works including a short biography.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.spanisharts.com/prado/greco.htm"} +{"d:Title": "Tigertail Virtual Museum: El Greco", "d:Description": "Collection of images of artist's paintings.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.tigtail.org/TIG/TVM/X1/c.Mannerism/el-greco/el_greco.html"} +{"d:Title": "What Malformation Did El Greco Paint?", "d:Description": "Questions and illustrates the consistent dysmorphology found in extracts from various of his paintings.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.jdawiseman.com/papers/el-greco/el-greco-dysmorphology.html"} +{"d:Title": "Web Gallery of Art: Greco, El", "d:Description": "Collection of images of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.wga.hu/html/g/greco_el/"} +{"d:Title": "Wikipedia - El Greco", "d:Description": "Encyclopedia article covering his artistic contribution, life and legacy.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://en.wikipedia.org/wiki/El_Greco"} +{"d:Title": "The Grave of El Greco", "d:Description": "Location of his grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6986333"} +{"d:Title": "National Gallery of Art - El Greco", "d:Description": "Presents a tour throughout his famous paintings.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.nga.gov/collection/gallery/gg29/gg29-main1.html"} +{"d:Title": "The National Gallery - El Greco", "d:Description": "Provides a list of the Cretan-born artist's work including proper background information per each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.nationalgallery.org.uk/artists/el-greco"} +{"d:Title": "Biblical art by El Greco", "d:Description": "Artist's gallery inspired by stories in the bible.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.artbible.info/art/biography/el-greco"} +{"d:Title": "Artble: El Greco", "d:Description": "Extensive biography including artist context, style, technique and critical reception. Also provides a list with images of his famous portraits works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.artble.com/artists/el_greco"} +{"d:Title": "NNDB: El Greco", "d:Description": "Comprehensive biography regarding the Cretan-born artist.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.nndb.com/people/687/000084435/"} +{"d:Title": "El Greco: Themes and Variations", "d:Description": "Reviews by John Haber of New York City art galleries and museums.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.haberarts.com/greco.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing El Greco's work. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/244"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life, times, and works of art of the artist born in Crete and a slideshow presenting his works of art.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.metmuseum.org/toah/hd/grec/hd_grec.htm"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays artworks done by the Spanish Renaissance painter: The Assumption of the Virgin, Saint Francis Kneeling in Meditation, The Feast in the House of Simon, and Saint Martin and the Beggar.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.artic.edu/aic/collections/artwork/artist/Theotokopoulos,+Domenico,+called+El+Greco"} +{"d:Title": "Domenikos Theotokopulos called El Greco", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Greco,_El", "url": "http://www.virtualuffizi.com/domenikos-theotokopulos-called-el-greco.html"} +{"d:Title": "Artcyclopedia: Jean-Baptiste Greuze", "d:Description": "Links to media and other resources relevant to this artist.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.artcyclopedia.com/artists/greuze_jean-baptiste.html"} +{"d:Title": "Web Gallery of Art: Greuze, Jean-Baptiste", "d:Description": "A gallery of paintings by the artist with descriptions and comments.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.wga.hu/frames-e.html?/html/g/greuze/"} +{"d:Title": "Greuze the Draftsman", "d:Description": "Exhibition press release May 8, 2002 by The J. Paul Getty Museum, Los Angeles.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.getty.edu/news/press/exhibit/greuzedraft.html"} +{"d:Title": "Greuze the Painter", "d:Description": "Exhibition press release August 15, 2002 by The J. Paul Getty Museum, Los Angeles.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.getty.edu/news/press/exhibit/gtp_fin.html"} +{"d:Title": "Wikipedia: Jean-Baptiste Greuze", "d:Description": "Biography of the artist.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://en.wikipedia.org/wiki/Jean-Baptiste_Greuze"} +{"d:Title": "ARC: Jean Baptiste Greuze", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.artrenewal.org/pages/artist.php?artistid=250"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Proper analysis on one of his paintings 'Broken Eggs'.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.metmuseum.org/toah/works-of-art/20.155.8"} +{"d:Title": "The Grave of Jean Baptiste Greuze", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6808"} +{"d:Title": "The National Gallery - Jean Baptiste Greuze", "d:Description": "Brief biography regarding the French artist born in Tournus. Additional historical background information on each painting featured.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.nationalgallery.org.uk/artists/jean-baptiste-greuze"} +{"d:Title": "WebMuseum: Greuze, Jean-Baptiste", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.ibiblio.org/wm/paint/auth/greuze/"} +{"d:Title": "NNDB - Jean Baptiste Greuze", "d:Description": "Thorough biography regarding the French painter's career.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.nndb.com/people/941/000103632/"} +{"d:Title": "National Gallery of Canada", "d:Description": "Greuze's brief biography and images of his work.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.gallery.ca/en/see/collections/artist.php?iartistid=2176"} +{"d:Title": "National Gallery of Australia", "d:Description": "Greuze's introduction including audio and an image on one of his paintings 'Epiphany'.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://nga.gov.au/Exhibition/FrenchPainting/Detail.cfm?IRN=126562"} +{"d:Title": "BBC - Your Paintings: Jean-Baptiste Greuze", "d:Description": "Slideshow containing 21 artworks.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/jean-baptiste-greuze"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Brief Greuze's biography including background information on his painting featured.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.getty.edu/art/gettyguide/artMakerDetails?maker=587"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Greuze\u2019s gallery from 1760 to 1778: Study of a Groom, The Notary: A Study for \"The Marriage Contract\", Seated Gentleman, The Paternal Blessing, or the Departure of Basile, and Portrait of the Artist's Mother.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.artic.edu/aic/collections/artwork/artist/Greuze,+Jean+Baptiste"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Shows Greuze's paintings 'A Girl with a Dead Canary' and 'A Boy with a Lesson-book' including proper interpretation and a biography.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.nationalgalleries.org/collection/artists-a-z/G/4971/artist_name/Jean-Baptiste%20Greuze/"} +{"d:Title": "NGA: Jean Baptiste Greuze", "d:Description": "Artist's biography along with a list of his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1358.html"} +{"d:Title": "Emma Barker, Greuze and the Painting of Sentiment", "d:Description": "Book review by Michael Wolfe, Pennsylvania State University, Altoona.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.h-france.net/vol6reviews/Vol6no21wolfe.pdf"} +{"d:Title": "The Morgan Library&Museum", "d:Description": "Details regarding Greuze's painting 'Anacreon in His Old Age Crowned by Love'.", "topic": "Top/Arts/Art_History/Artists/G/Greuze,_Jean_Baptiste", "url": "http://www.themorgan.org/collection/drawings/109824?id=430"} +{"d:Title": "Wikipedia - John Atkinson Grimshaw", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Victorian-era artist.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://en.wikipedia.org/wiki/John_Atkinson_Grimshaw"} +{"d:Title": "ARC: John Atkinson Grimshaw", "d:Description": "Artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.artrenewal.org/pages/artist.php?artistid=256"} +{"d:Title": "Victorian Web - Atkinson Grimshaw", "d:Description": "Overview with an introduction to his life and a list paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.victorianweb.org/painting/grimshaw/index.html"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Grimshaw's biography and works.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/247"} +{"d:Title": "Artcyclopedia: Atkinson Grimshaw", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.artcyclopedia.com/artists/grimshaw_atkinson.html"} +{"d:Title": "Atkinson Grimshaw - Hard times:", "d:Description": "Article from the Daily Mail regarding the Victorian artist who mastered the night but was overshadowed by debt.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.dailymail.co.uk/news/article-1378068/John-Atkinson-Grimshaw-The-Victorian-artist-mastered-night-shadowed-debt.html"} +{"d:Title": "Sphinx Fine Art - John Atkinson Grimshaw", "d:Description": "Biography focused on his career including a detailed description on his painting 'Greenock Harbour at Night'.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.sphinxfineart.com/DesktopDefault.aspx?tabid=45&tabindex=44&artistid=25144"} +{"d:Title": "Christie's - John Atkinson Grimshaw", "d:Description": "Detailed description on one of his masterpieces 'November'.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.christies.com/LotFinder/lot_details.aspx?intObjectID=5287532"} +{"d:Title": "The Athenaeum: John Atkinson Grimshaw", "d:Description": "Gallery containing a total of 24 paintings.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=238"} +{"d:Title": "Collection Tate: John Atkinson Grimshaw", "d:Description": "List of works and biography.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://www.tate.org.uk/art/artists/atkinson-grimshaw-227"} +{"d:Title": "John Atkinson Grimshaw", "d:Description": "Brief biography of the Leeds born Victorian artist. From Spartacus Educational.", "topic": "Top/Arts/Art_History/Artists/G/Grimshaw,_John_Atkinson", "url": "http://spartacus-educational.com/Jgrimshaw.htm"} +{"d:Title": "Artcyclopedia: Juan Gris", "d:Description": "Links to media and other resources relevant to this artist.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.artcyclopedia.com/artists/gris_juan.html"} +{"d:Title": "Wikipedia: Juan Gris", "d:Description": "Biography with selected works.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://en.wikipedia.org/wiki/Juan_Gris"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Gris' masterpiece 'Violin and Playing Cards'.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.metmuseum.org/toah/works-of-art/1996.403.14"} +{"d:Title": "Find A Grave - Juan Gris", "d:Description": "Location of his grave including a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7536675"} +{"d:Title": "Mark Harden's Artchive: Juan Gris", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.artchive.com/artchive/G/gris.html"} +{"d:Title": "WebMuseum: Gris, Juan", "d:Description": "Artist's brief biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.ibiblio.org/wm/paint/auth/gris/"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing Gris' work. Content available in English as in Spanish.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/248"} +{"d:Title": "National Gallery of Australia", "d:Description": "Analysis on his painting 'Checkerboard and playing cards' along with a biography.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://nga.gov.au/International/Catalogue/Detail.cfm?IRN=91632"} +{"d:Title": "Peggy Guggenheim Collection - Adolph Gottlieb", "d:Description": "Biography of the artist including an image on one of his works 'Bottle of Rum and Newspaper', 1914.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.guggenheim-venice.it/inglese/collections/artisti/biografia.php?id_art=68"} +{"d:Title": "The Athenaeum: Juan Gris", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=364"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Gris' gallery including Portrait of Pablo Picasso, Table at a Caf\u00e9, Head of a Man with Cigar, Guitar and Compote, and The Glass of Beer.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.artic.edu/aic/collections/artwork/artist/Gris,+Juan"} +{"d:Title": "Guggenheim Collection - Juan Gris", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/bios/1076"} +{"d:Title": "National Gallery of Art - Juan Gris", "d:Description": "Provides a list of paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1359.html"} +{"d:Title": "Tate Collection - Juan Gris", "d:Description": "Works by the artist including background information on most paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.tate.org.uk/art/artists/juan-gris-1221"} +{"d:Title": "Guggenheim: Juan Gris", "d:Description": "Proper analysis on most paintings featured.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/1076"} +{"d:Title": "MoMA Collection: Juan Gris", "d:Description": "Offers a slideshow gallery along with an extensive biography centered on his training and cubism phases.", "topic": "Top/Arts/Art_History/Artists/G/Gris,_Juan", "url": "http://www.moma.org/collection/artists/2349"} +{"d:Title": "Artcyclopedia: George Grosz", "d:Description": "Links to media and other resources relevant to this artist.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.artcyclopedia.com/artists/grosz_george.html"} +{"d:Title": "Pillars of Society (1926)", "d:Description": "Review of the painting by Mark Vallen.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.art-for-a-change.com/Express/ex10.htm"} +{"d:Title": "Wikipedia: George Grosz", "d:Description": "Biography with quotes and references.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://en.wikipedia.org/wiki/George_Grosz"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Grosz's masterpiece 'Berlin Street' explaining his connection to Dadaism.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.metmuseum.org/toah/works-of-art/63.220"} +{"d:Title": "The Grave of George Grosz (1893 - 1959)", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9562221"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Grosz's biography in English as in Spanish along with a gallery.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/249"} +{"d:Title": "Berlin: The City as a Body", "d:Description": "Biography towards the German Dadaist caricaturist and painter.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.stanford.edu/dept/german/berlin_class/people/grosz.html"} +{"d:Title": "George Grosz: Art and Politics in the Weimar Republic", "d:Description": "Extensive biography from a political point of view. Reviewed by Maggie Jaffe.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www2.iath.virginia.edu/sixties/HTML_docs/Texts/Reviews/Jaffe_Grosz.html"} +{"d:Title": "The Drawing of George Grosz", "d:Description": "Review and interpretation on some of his drawings.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.austinkleon.com/2007/12/09/the-drawings-of-george-grosz/"} +{"d:Title": "George Grosz at The Heckscher Museum of Art", "d:Description": "Brief biography along with a collection of his artworks.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.heckscher.org/pages.php?which_page=collection_george_grosz"} +{"d:Title": "Peggy Guggenheim Collection - George Grosz", "d:Description": "Biography of the artist including an image on one of his works 'Toilette', 1927.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.guggenheim-venice.it/inglese/collections/artisti/biografia.php?id_art=72"} +{"d:Title": "The Engineer Heartfield, George Grosz (1920)", "d:Description": "Review of the painting by Jonathan Jones, The Guardian, Saturday April 27, 2002.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.theguardian.com/culture/2002/apr/27/art"} +{"d:Title": "Tate ETC: George Grosz", "d:Description": "Extensive biography regarding the German artist written by Mario Vargas Llosa.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.tate.org.uk/context-comment/articles/you-nourish-yourself-everything-you-hate"} +{"d:Title": "David Nolan New York", "d:Description": "Artist's gallery and biography.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.davidnolangallery.com/artists/george-grosz/works/"} +{"d:Title": "The Weimar Republic: The Fragility of Democracy", "d:Description": "A collection of documents and excerpts relating to the artist and his stance on the Weimar Republic.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "https://www.facinghistory.org/weimar-republic-fragility-democracy/personalities/george-grosz-1893-1959-personalities"} +{"d:Title": "National Gallery of Art - Juan Gris", "d:Description": "List of drawings and prints.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.2544.html"} +{"d:Title": "Tate Collection: George Grosz", "d:Description": "Background information on three of his artworks such as 'Suicide' and 'A Married Couple'.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.tate.org.uk/art/artists/george-grosz-1223"} +{"d:Title": "MoMA Collection: George Grosz", "d:Description": "Offers a slideshow gallery including a comprehensive biography.", "topic": "Top/Arts/Art_History/Artists/G/Grosz,_George", "url": "http://www.moma.org/collection/artists/2374"} +{"d:Title": "Web Gallery of Art: Gr\u00fcnewald, Matthias", "d:Description": "Gallery with detailed images of the paintings, descriptions, comments and biography.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.wga.hu/frames-e.html?/html/g/grunewal/index.html"} +{"d:Title": "Huysmans on Gr\u00fcnewald", "d:Description": "Two essays by Joris-Karl Huysmans (1848-1907). Translations by Keene Wallace and Robert Baldick.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.ibiblio.org/eldritch/jkh/grunewald.html"} +{"d:Title": "Olga's Gallery: Matthias Grunewald", "d:Description": "Gallery of the artist's works with comments and biographical data.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.abcgallery.com/G/grunewald/grunewald.html"} +{"d:Title": "Wikipedia: Matthias Gr\u00fcnewald", "d:Description": "Brief biography with some examples of paintings.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://en.wikipedia.org/wiki/Matthias_Grunewald"} +{"d:Title": "Art Renewal Center - Matthias Gr\u00fcnewald", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.artrenewal.org/pages/artist.php?artistid=265"} +{"d:Title": "Mark Harden's Artchive: Matthias Gr\u00fcnewald", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.artchive.com/artchive/G/grunewald.html"} +{"d:Title": "Biblical art by Matthias Gr\u00fcnewald", "d:Description": "Gallery based on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.artbible.info/art/work/matthias-grunewald"} +{"d:Title": "NNDB: Matthias Gr\u00fcnewald", "d:Description": "Biography regarding the German Renaissance painter born in W\u00fcrzburg.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.nndb.com/people/813/000084561/"} +{"d:Title": "NGA: Matthias Gr\u00fcnewald", "d:Description": "Presents a comprehensive biography including analysis on his painting 'The Small Crucifixion'.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1362.html"} +{"d:Title": "Who was Matthias Gr\u00fcnewald?", "d:Description": "Article providing a biography at The Guardian.", "topic": "Top/Arts/Art_History/Artists/G/Gr\u00fcnewald,_Matthias", "url": "http://www.theguardian.com/artanddesign/2007/dec/12/art2"} +{"d:Title": "Hall, Harry (1814-1882)", "d:Description": "This exhibition features images and a biography on the British Sporting artist working during the mid 19th century.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.rehsgalleries.com/harry_hall_virtex.htm"} +{"d:Title": "Hofhuizen, Willem 1915-1986", "d:Description": "Presents the life and work of the Dutch expressionist, including paintings, gouaches, sculptures, grafittos, and frescos.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.hofhuizen.com/"} +{"d:Title": "Hyde, Frank (1849 - 1937)", "d:Description": "Biographical information on the British painter. Also included are images of the artist's work.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.angelfire.com/art2/frankhyde/gallery/"} +{"d:Title": "Hofmann, Hans (1880-1966)", "d:Description": "Information on exhibitions, catalogue raisonne and a detailed biography.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.hanshofmann.org/"} +{"d:Title": "Harvey, Harold (1874-1941)", "d:Description": "Biography and gallery towards the English artist.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.haroldharvey.info/"} +{"d:Title": "Herring, Jr., John F. (1820-1907)", "d:Description": "Informative biography and a selection of high-resolution images of Sporting paintings by the 19th century British artist.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.rehsgalleries.com/john_frederick_herring_jr_virtex.htm"} +{"d:Title": "Hartley, Ben (1933-1996)", "d:Description": "Biography of a British artist who painted in gouache on brown paper and was inspired by his deep love of the countryside.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.ben-hartley.co.uk/"} +{"d:Title": "Hitchcock, Harold (1914-2009)", "d:Description": "Official website of the English visionary landscape artist including galleries of paintings&drawings, biography&comprehensive references.", "topic": "Top/Arts/Art_History/Artists/H", "url": "http://www.haroldhitchcock.co.uk/"} +{"d:Title": "Artcyclopedia: Arthur Hacker", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.artcyclopedia.com/artists/hacker_arthur.html"} +{"d:Title": "Art Renewal Center - Arthur Hacker", "d:Description": "Provides images of the English Pre-Raphaelite artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.artrenewal.org/pages/artist.php?artistid=793"} +{"d:Title": "Find A Grave - Arthur Hacker (1858 - 1919)", "d:Description": "Location and pictures of the English artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=37340212"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits regarding the English Pre-Raphaelite painter.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp01961"} +{"d:Title": "BBC Your Paintings - Arthur Hacker", "d:Description": "Slideshow containing 17 artworks.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/arthur-hacker"} +{"d:Title": "Christie's - Arthur Hacker", "d:Description": "Features background information towards one of his paintings 'Mrs. RE Hoare'.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.christies.com/LotFinder/lot_details.aspx?intObjectID=5089428"} +{"d:Title": "Classic Art Paintings", "d:Description": "Hacker's gallery.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.classicartpaintings.com/Painters-A/Arthur+Hacker+_1858-1919_/"} +{"d:Title": "Haynes Fine Art of Broadway", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Hacker,_Arthur", "url": "http://www.haynesfineart.com/artists/arthur-hacker-uk"} +{"d:Title": "NGA: Frans Hals", "d:Description": "Virtual tour dedicated to the famous Dutch artist. Timeline and a collection of images of his works from the museum.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.nga.gov/collection/gallery/gg46/gg46-main1.html"} +{"d:Title": "Artchive: Frans Hals", "d:Description": "Excerpt from \"The Story of Art\" by E.H. Gombrich and image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.artchive.com/artchive/H/hals.html"} +{"d:Title": "Artcyclopedia: Frans Hals", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.artcyclopedia.com/artists/hals_frans.html"} +{"d:Title": "CGFA: Frans Hals", "d:Description": "Image gallery and artist's biography from MS Encarta' 97.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://sunsite.icm.edu.pl/cjackson/hals/"} +{"d:Title": "Frans Hals - Olga's Gallery", "d:Description": "Collection of works of the Dutch artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.abcgallery.com/H/hals/hals.html"} +{"d:Title": "WebMuseum: Hals, Frans", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.ibiblio.org/wm/paint/auth/hals/"} +{"d:Title": "Tigertail Virtual Museum: Frans Hals", "d:Description": "Image gallery of artist's paintings.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.tigtail.org/TIG/TVM/X1/f.Baroque/a.low/hals/hals.html"} +{"d:Title": "Web Gallery of Art: Hals, Frans", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.wga.hu/html/h/hals/frans/"} +{"d:Title": "Artble: Frans Hals", "d:Description": "Presents a comprehensive biography, context, style and artworks regarding the Flemish Baroque artist. Size, medium and current location of his paintings are also available.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.artble.com/artists/frans_hals"} +{"d:Title": "Wikipedia: Frans Hals", "d:Description": "Encyclopedia article covering his artistic contribution and historical perspectives.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://en.wikipedia.org/wiki/Frans_Hals"} +{"d:Title": "The Grave of Frans Hals", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9789652"} +{"d:Title": "The National Gallery - Frans Hals", "d:Description": "Presents a biography and related paintings with historical notes.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.nationalgallery.org.uk/artists/frans-hals"} +{"d:Title": "Biblical art by Frans Hals", "d:Description": "Gallery focused on artist' work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.artbible.info/art/work/frans-hals"} +{"d:Title": "NNDB - Frans Hals", "d:Description": "Comprehensive biography regarding the Flemish Baroque painter born in Antwerp.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.nndb.com/people/355/000040235/"} +{"d:Title": "ARC Image Archives: Frans Hals", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.artrenewal.org/pages/artist.php?artistid=797"} +{"d:Title": "BBC - Your Paintings - Frans Hals", "d:Description": "Artist's slideshow presenting 15 examples of his work.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/frans-hals"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Hals' biography and analysis on 'Family Group in a Landscape'..", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/256"} +{"d:Title": "The Dutch in Black and White", "d:Description": "Review by John Haber focused on the painter's career.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.haberarts.com/fhals.htm"} +{"d:Title": "Rijkmuseum - Frans Hals", "d:Description": "Brief introduction including analysis on his known masterpieces.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00017060?lang=en"} +{"d:Title": "The truth about Frans Hals", "d:Description": "Information regarding the Flemish exhibition in Metropolitan Museum.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.nj.com/entertainment/arts/index.ssf/2011/08/the_truth_about_frans_hals.html"} +{"d:Title": "The Athenaeum: Frans Hals", "d:Description": "Provides 132 artworks done by the Flemish artist born in Antwerp.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3549"} +{"d:Title": "Frans Hals Museum", "d:Description": "Official website offering historical exhibition, collections and publications.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.franshalsmuseum.nl/en/"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Hals' artworks dated from 1627 to 1645.", "topic": "Top/Arts/Art_History/Artists/H/Hals,_Frans", "url": "http://www.nationalgalleries.org/collection/artists-a-z/H/3509/artist_name/Frans%20Hals/"} +{"d:Title": "Al Hansen, Artist, American 1927-1995", "d:Description": "Includes biography, text, and curriculum vitae.", "topic": "Top/Arts/Art_History/Artists/H/Hansen,_Al", "url": "http://www.alhansen.net/"} +{"d:Title": "Wikipedia: Al Hansen", "d:Description": "Brief biography regarding the American artist involved with the Fluxus art movement.", "topic": "Top/Arts/Art_History/Artists/H/Hansen,_Al", "url": "http://en.wikipedia.org/wiki/Al_Hansen"} +{"d:Title": "Archives of American Art", "d:Description": "Oral history interview with Al Hansen with transcription included.", "topic": "Top/Arts/Art_History/Artists/H/Hansen,_Al", "url": "http://www.aaa.si.edu/collections/interviews/oral-history-interview-al-hansen-12668"} +{"d:Title": "Wikipedia - Keith Haring", "d:Description": "Encyclopedia articles about the Pennsylvania born pop/graffiti artist.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://en.wikipedia.org/wiki/Keith_Haring"} +{"d:Title": "The Keith Haring Foundation", "d:Description": "Devoted to the legacy of 1980s New York based graffiti and visual artist.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://www.haring.com/"} +{"d:Title": "Haring Kids", "d:Description": "Official Keith Haring website for children providing interactive activities to inspire a love of learning and art.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://www.haringkids.com/"} +{"d:Title": "Spike Magazine: Artist Or Radiant Baby?", "d:Description": "Article. Nick Clapson looks at the man behind the spray can with the publication of Keith Haring's journals.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://www.spikemagazine.com/1196haring.php"} +{"d:Title": "Keith Haring: Labyrinths of Life and Death", "d:Description": "Article by Germano Celant and image list from The Artchive.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://www.artchive.com/artchive/H/haring.html"} +{"d:Title": "Find A Grave - Keith Haring (1958 - 1990)", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3479"} +{"d:Title": "Hamilton-Selway Fine Art", "d:Description": "Brief introduction including edition prints, posters and original works.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://hamiltonselway.com/artists/keith-haring/"} +{"d:Title": "Biography.com - Keith Haring", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://www.biography.com/people/keith-haring-246006"} +{"d:Title": "The New York Times - Keith Haring", "d:Description": "Commentary and archival information about Keith Haring from The New York Times.", "topic": "Top/Arts/Art_History/Artists/H/Haring,_Keith", "url": "http://www.nytimes.com/topic/person/keith-haring"} +{"d:Title": "Artcyclopedia: Marsden Hartley", "d:Description": "Links to works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.artcyclopedia.com/artists/hartley_marsden.html"} +{"d:Title": "Joslyn Art Museum: Marsden Hartley", "d:Description": "Includes biography and images.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.tfaoi.com/aa/2aa/2aa10.htm"} +{"d:Title": "AskArt: Marsden Hartley", "d:Description": "Includes biography, bibliography, and image gallery.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.askart.com/askart/h/marsden_hartley/marsden_hartley.aspx"} +{"d:Title": "Wikipedia - Marsden Hartley", "d:Description": "Article focused on the American painter's life, works and writing.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://en.wikipedia.org/wiki/Marsden_Hartley"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on one of his paintings 'Portrait of a German Officer'.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.metmuseum.org/toah/works-of-art/49.70.42"} +{"d:Title": "Find A Grave - Marsden Edward Hartley (1877 - 1943)", "d:Description": "Location of his grave including a biography.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11198179"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Hartley's biography along with an example of his work 'Musical Theme No. 2'.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/261"} +{"d:Title": "The University of Iowa Libraries", "d:Description": "Scanned images on his book Adventures in the arts, 1921.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://sdrc.lib.uiowa.edu/dada/adventures%20in%20the%20arts/index.htm"} +{"d:Title": "The Marsden Hartley Memorial Collection", "d:Description": "Provides information towards the artist and his collection divided in categories.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://abacus.bates.edu/acad/museum/hartley/home.html"} +{"d:Title": "National Gallery of Art - Marsden Hartley", "d:Description": "Presents a comprehensive biography including a list of his paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=14150&imageset=1"} +{"d:Title": "Memphis Brooks Museum of Art", "d:Description": "Review on one of his exhibitions 'Marsden Hartley: American Modern'.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.tfaoi.com/newsm1/n1m121.htm"} +{"d:Title": "Marsden Hartley and Nova Scotia", "d:Description": "Analysis on his masterpiece.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://nscad.ca/en/home/shopsandservices/nscadpress/publicationsprints/marsden-hartley.aspx"} +{"d:Title": "Artchive - Marsden Hartley", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.artchive.com/artchive/H/hartley.html"} +{"d:Title": "Seeking The Spiritual", "d:Description": "Essay focused on Hartley's style and reputation written by Carter B. Horsley.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.thecityreview.com/hartley.html"} +{"d:Title": "Barewalls Interactive - Marsden Hartley", "d:Description": "Features a biography towards the American artist born in Lewiston.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.dropbears.com/a/art/biography/Marsden_Hartley.html"} +{"d:Title": "University of Museum of Art", "d:Description": "Proper analysis on his masterpiece 'E' showing the influence of World War I on his work.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://uima.uiowa.edu/marsden-hartley/"} +{"d:Title": "Sullivan Goss - Mardsen Hartley", "d:Description": "Presents a biography, analysis, collections and exhibitions written by Danielle Peltakian.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.sullivangoss.com/marsden_Hartley/"} +{"d:Title": "American Art - The Phillips Collection", "d:Description": "Hartley's biography.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.phillipscollection.org/research/american_art/bios/hartley-bio.htm"} +{"d:Title": "The Athenaeum: Marsden Hartley", "d:Description": "Provides 17 artworks done by the American painter born in Lewiston, Maine.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1829"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Hartley's gallery.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.artic.edu/aic/collections/artwork/artist/Hartley,+Marsden"} +{"d:Title": "Maine State Library - Hartley, Marsden (1877 - 1943)", "d:Description": "Biography together with selected biography and resources.", "topic": "Top/Arts/Art_History/Artists/H/Hartley,_Marsden", "url": "http://www.maine.gov/msl/maine/writdisplay.shtml?id=94762"} +{"d:Title": "CGFA: Childe Hassam", "d:Description": "Selection of artist's works and short biography.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://sunsite.icm.edu.pl/cjackson/hassam/"} +{"d:Title": "Haber's Art Reviews: Childe Hassam", "d:Description": "Review by John Haber of 'Childe Hassam: American Impressionist'.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.haberarts.com/hassam.htm"} +{"d:Title": "Marblehead Magazine: Childe Hassam", "d:Description": "Article by Alec MacDonald presents the story of the Marblehead Messenger sketch drawn by the artist more than a century ago.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.legendinc.com/Pages/MarbleheadNet/MM/Articles/ChildeHassam.html"} +{"d:Title": "Childe Hassam - Metropolitan Museum of Art", "d:Description": "Review of the artist and his work by Carter B. Horsley based on the June 10 to September 12, 2004 New York exhibition. From The City Review.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.thecityreview.com/hassam.html"} +{"d:Title": "Wikipedia - Childe Hassam", "d:Description": "Encyclopedia article provides artist's biography, list external links and references. Includes photograph.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://en.wikipedia.org/wiki/Childe_Hassam"} +{"d:Title": "Childe Hassam, American Impressionist", "d:Description": "Article referencing the June 10 through September 12, 2004 exhibit at The Metropolitan Museum of Art.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.tfaoi.com/aa/4aa/4aa209.htm"} +{"d:Title": "American Impressions: Prints and Paintings by Childe Hassam", "d:Description": "Provides information on the Asheville Art Museum exhibition (June 17 \u00ad- September 25, 2005) and a short biography of the artist.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.tfaoi.com/aa/6aa/6aa51.htm"} +{"d:Title": "Childe Hassam: American Impressionist", "d:Description": "Provides timeline, biography and educational activities from the Metropolitan Museum of Art.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.metmuseum.org/toah/hd/hass/hd_hass.htm"} +{"d:Title": "Art Renewal Center - Childe Hassam", "d:Description": "Thorough biography including images of his works on high detail.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.artrenewal.org/pages/artist.php?artistid=864"} +{"d:Title": "Find A Grave - Childe Hassam", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=457"} +{"d:Title": "National Gallery of Art - Childe Hassam", "d:Description": "Presents a comprehensive biography including a list of his paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1379"} +{"d:Title": "Mark Harden's Artchive: Childe Hassam", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.artchive.com/artchive/H/hassam.html"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing Hassam's work. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/262"} +{"d:Title": "Artcyclopedia: Childe Hassam", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.artcyclopedia.com/artists/hassam_childe.html"} +{"d:Title": "Sullivan Goss - Childe Hassam", "d:Description": "Presents a biography, analysis, collections and exhibitions written by Frank Goss.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.sullivangoss.com/childe_hassam/"} +{"d:Title": "Dorchester Atheneum - Childe Hassam", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.dorchesteratheneum.org/page.php?id=32"} +{"d:Title": "The Fox Chase - Childe Hassam", "d:Description": "Comprehensive biography together with images of his masterpieces including a letter.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.flogris.org/learning/foxchase/html/childe_hassam.php"} +{"d:Title": "Smithsonian American Art Museum", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://americanart.si.edu/collections/search/artwork/results/?id=2112"} +{"d:Title": "National Park Service", "d:Description": "Biography along with pictures of the artist.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.nps.gov/wefa/historyculture/childehassam.htm"} +{"d:Title": "The Athenaeum - Childe Hassam", "d:Description": "Features over 500 paintings belong to the American Impressionist painter in high detail.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1310"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Hassam's gallery including size, medium and date.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.artic.edu/aic/collections/artwork/artist/Hassam,+Childe"} +{"d:Title": "Adelson Galleries: Childe Hassam", "d:Description": "Provides a biography, selected press and images of his work.", "topic": "Top/Arts/Art_History/Artists/H/Hassam,_Childe", "url": "http://www.adelsongalleries.com/artists/hassam-childe/"} +{"d:Title": "The Artchive: Martin Johnson Heade", "d:Description": "Biography and gallery of works by the Hudson River School artist.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.artchive.com/artchive/H/heade.html"} +{"d:Title": "Artcyclopedia: Martin Johnson Heade", "d:Description": "Links to works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.artcyclopedia.com/artists/heade_martin_johnson.html"} +{"d:Title": "National Gallery of Art - Martin Johnson Heade", "d:Description": "Information on the National Gallery's exhibition including samples, works in the permanent collections, and biographical information.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.nga.gov/exhibitions/headeinfo.htm"} +{"d:Title": "Wikipedia - Martin Johnson Heade", "d:Description": "Biography and gallery regarding the American landscape painter.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://en.wikipedia.org/wiki/Martin_Johnson_Heade"} +{"d:Title": "ARC: Martin Johnson Heade", "d:Description": "Provides images of the American artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.artrenewal.org/pages/artist.php?artistid=873"} +{"d:Title": "Web Gallery of Art: Martin Johnson Heade", "d:Description": "Presents a set of images regarding Heade's work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.wga.hu/html/h/heade/index.html"} +{"d:Title": "Find A Grave - Martin Johnson Heade (1819 - 1904)", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7869376"} +{"d:Title": "The Law and Martin Johnson Heade", "d:Description": "Article focused on the artist's style and technique by analyzing some of his work.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.lawforart.com/heade.html"} +{"d:Title": "Archives of American Art", "d:Description": "Biographical information together with some scanned Martin Johnson Heade papers.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.aaa.si.edu/collections/martin-johnson-heade-papers-7699/more"} +{"d:Title": "Florida Museum of Natural History", "d:Description": "Comprehensive content covering Heade's historical and biographical background including his visual interests and influences.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.flmnh.ufl.edu/naturalists/heade01.htm"} +{"d:Title": "Museum of Fine Arts, Boston", "d:Description": "Presents a biography, exhibition overview and the catalogue Raisonn\u00e9.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.tfaoi.com/newsm1/n1m630.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Heade's biography along with images of his work.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/263"} +{"d:Title": "The Athenaeum - Martin Johnson Heade", "d:Description": "Features over 300 paintings of the American artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=447"} +{"d:Title": "Hunter Museum", "d:Description": "Essay focused on the influence of Brazil's environment on Heade's style.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www2.huntermuseum.org/learn/connect/essays/21/"} +{"d:Title": "Museum of Fine Arts", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://educators.mfa.org/artwork?f[0]=im_field_artists:3019"} +{"d:Title": "The Warhol - Martin Johnson Heade", "d:Description": "Proper analysis on one of his landscape paintings 'Thunderstorm at the Shore'.", "topic": "Top/Arts/Art_History/Artists/H/Heade,_Martin_Johnson", "url": "http://www.warhol.org/education/resourceslessons/Martin-Johnson-Heade/"} +{"d:Title": "Artchive: Barbara Hepworth", "d:Description": "Images of her sculptures.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.artchive.com/artchive/H/hepworth.html"} +{"d:Title": "Artcyclopedia: Barbara Hepworth", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.artcyclopedia.com/artists/hepworth_barbara.html"} +{"d:Title": "Wikipedia: Barbara Hepworth", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Modernist painter. Collection of her artworks is also available dated from 1953 to 1963.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://en.wikipedia.org/wiki/Barbara_Hepworth"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits regarding the English sculptor.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp05400"} +{"d:Title": "BBC Your Paintings - Barbara Hepworth", "d:Description": "Slideshow containing 8 paintings.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/barbara-hepworth"} +{"d:Title": "Balancing Act: Barbara Hepworth on Motherhood", "d:Description": "Article showing background information on her sculptures written by Lucia Simek.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://glasstire.com/2011/05/08/balancing-act-barbara-hepworth-on-motherhood/"} +{"d:Title": "The Hepworth Wakefield", "d:Description": "Provides a comprehensive biography.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.hepworthwakefield.org/about/our-journey/"} +{"d:Title": "Barbara Hepworth", "d:Description": "Provides information on all aspects of the life and works of the sculptor.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.barbarahepworth.org.uk/"} +{"d:Title": "Tate Collection: Barbara Hepworth", "d:Description": "Presents an introduction including events, works on display and artist's collection.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.tate.org.uk/visit/tate-st-ives/barbara-hepworth-museum"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Hepworth's painting background information along with a short biography.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.nationalgalleries.org/collection/artists-a-z/H/3584/artist_name/Dame%20Barbara%20Hepworth/"} +{"d:Title": "Find A Grave: Barbara Hepworth", "d:Description": "Location and pictures of her grave including a biography.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=22320203"} +{"d:Title": "NMWA: Barbara Hepworth", "d:Description": "Profile of the abstract sculptor.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.nmwa.org/explore/artist-profiles/barbara-hepworth"} +{"d:Title": "Tate Collections: Barbara Hepworth", "d:Description": "Images and information about the works.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.tate.org.uk/art/artists/dame-barbara-hepworth-1274"} +{"d:Title": "Te Papa Press - Hepworth, Barbara", "d:Description": "Provides analysis on one of her drawings 'Blue and Green'.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://collections.tepapa.govt.nz/object/35963"} +{"d:Title": "Getty Museum: Barbara Hepworth", "d:Description": "Brief biography regarding the English artist including background information on one of her masterpieces 'Figure for Landscape '.", "topic": "Top/Arts/Art_History/Artists/H/Hepworth,_Barbara", "url": "http://www.getty.edu/art/collection/artists/21396/barbara-hepworth-british-1903-1975/"} +{"d:Title": "Artcyclopedia: Sir Hubert von Herkomer", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Herkomer,_Hubert_von", "url": "http://www.artcyclopedia.com/artists/von_herkomer_sir_hubert.html"} +{"d:Title": "ARC: Sir Hubert von Herkomer", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/H/Herkomer,_Hubert_von", "url": "http://www.artrenewal.org/pages/artist.php?artistid=882"} +{"d:Title": "Wikipedia - Hubert Von Herkomer", "d:Description": "Encyclopedia article covering his life and works.", "topic": "Top/Arts/Art_History/Artists/H/Herkomer,_Hubert_von", "url": "http://en.wikipedia.org/wiki/Hubert_von_herkomer"} +{"d:Title": "Find A Grave - Sir Hubert von Herkomer (1849 - 1914)", "d:Description": "Location and pictures of the British artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/H/Herkomer,_Hubert_von", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=35813011"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows a wide number of portraits done by Herkomer.", "topic": "Top/Arts/Art_History/Artists/H/Herkomer,_Hubert_von", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp02158&role=art"} +{"d:Title": "BBC - Your Paintings - Hubert von Herkomer", "d:Description": "Slideshow containing over 120 paintings.", "topic": "Top/Arts/Art_History/Artists/H/Herkomer,_Hubert_von", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/hubert-von-herkomer"} +{"d:Title": "Artcyclopedia", "d:Description": "List of artist's worldwide museum holdings links to many referenced images.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.artcyclopedia.com/artists/hesse_eva.html"} +{"d:Title": "Artnet: Still Searching for Eva Hesse", "d:Description": "Jeanne Siegel considers the retrospective at Museum Wiesbaden and places the artist in context with her contemporary Minimalist painters and sculptors.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.artnet.com/magazine/features/siegel/siegel7-17-02.asp"} +{"d:Title": "Wikipedia - Eva Hesse", "d:Description": "Article showing a biography regarding the German-born American sculptor.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://en.wikipedia.org/wiki/Eva_Hesse"} +{"d:Title": "Mark Harden's Artchive: Eva Hesse", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.artchive.com/artchive/H/hesse.html"} +{"d:Title": "Haber's Art Reviews - Eva Hesse", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.haberarts.com/hesse.htm"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.artic.edu/aic/collections/artwork/artist/Hesse,+Eva"} +{"d:Title": "Guggenheim: Eva Hesse", "d:Description": "Background information on her sculpture 'Expanded Expansion', 1969. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artwork/1648"} +{"d:Title": "Guardian Unlimited: Strings Attached", "d:Description": "Adrian Searle reviews the Tate Modern retrospective and considers the challenges her work presents to art critics and historians.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.theguardian.com/artanddesign/2002/nov/26/art.artsfeatures"} +{"d:Title": "National Museum of Women in the Arts", "d:Description": "Biography and images with descriptions of the works in relevant context presented from the permanent collection.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.nmwa.org/explore/artist-profiles/eva-hesse"} +{"d:Title": "Tate Collection: Eva Hesse", "d:Description": "Works by the artist including historical notes.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.tate.org.uk/art/artists/eva-hesse-1280"} +{"d:Title": "MoMA Collection: Eva Hesse", "d:Description": "Collection of the German artist since 1960, includes a biography and bibliography.", "topic": "Top/Arts/Art_History/Artists/H/Hesse,_Eva", "url": "http://www.moma.org/collection/artists/2623"} +{"d:Title": "Artelino - Hiroshige Ando", "d:Description": "Biography of the famous 19th century Japanese woodblock artist.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.artelino.com/articles/hiroshige.asp"} +{"d:Title": "The Woodblock prints of Ando Hiroshige", "d:Description": "Collection of prints organized by location or subject matter, including a biography and links to Japanese art influences.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.hiroshige.org.uk/hiroshige/main/main.htm"} +{"d:Title": "WebMuseum: Ando Hiroshige", "d:Description": "Article on the artist and collection of paintings.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.ibiblio.org/wm/paint/auth/hiroshige/"} +{"d:Title": "Wikipedia: Ando Hiroshige", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Japanese ukiyo-e artist.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://en.wikipedia.org/wiki/Ando_Hiroshige"} +{"d:Title": "Find A Grave - Ando Hiroshige", "d:Description": "Location of his grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9251725"} +{"d:Title": "Artchive - Ando Hiroshige", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.artchive.com/artchive/H/hiroshige.html"} +{"d:Title": "Brooklyn Museum", "d:Description": "Complete collection of Hiroshige's prints categorized by keyword or season. Includes publication and conservation information.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.brooklynmuseum.org/opencollection/research/edo/"} +{"d:Title": "Artcyclopedia: Ando Hiroshige", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.artcyclopedia.com/artists/hiroshige_ando.html"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Proper analysis on one of his landscape woodblock prints 'Evening Snow at Kanbara'.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.metmuseum.org/toah/works-of-art/JP2492"} +{"d:Title": "Norton Simon Museum", "d:Description": "Artist's collection.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.nortonsimon.org/collections/browse_artist.php?name=Hiroshige,+Ando+Utagawa"} +{"d:Title": "The Guardian - Chronicler of a floating world", "d:Description": "Article reviewing Hiroshige's prints and style.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.theguardian.com/artanddesign/2007/dec/19/art1"} +{"d:Title": "Ohmi Gallery - Ando Hiroshige", "d:Description": "Woodblock prints gallery.", "topic": "Top/Arts/Art_History/Artists/H/Hiroshige,_Ando", "url": "http://www.ohmigallery.com/DB/Artists/Sales/Hiroshige_1.asp"} +{"d:Title": "William Hogarth - Olga's Gallery", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/H/Hogarth,_William", "url": "http://www.abcgallery.com/H/hogarth/hogarth.html"} +{"d:Title": "Artble: William Hogarth", "d:Description": "Presents a comprehensive biography, context, style and artworks regarding the English Rococo artist. Size, medium and current location of his paintings are also available.", "topic": "Top/Arts/Art_History/Artists/H/Hogarth,_William", "url": "http://www.artble.com/artists/william_hogarth"} +{"d:Title": "The Site for Research on William Hogarth", "d:Description": "Extensive annotated bibliography on the English artist. Includes book reviews, online essays, links to image galleries and other relevant sites.", "topic": "Top/Arts/Art_History/Artists/H/Hogarth,_William", "url": "http://www.william-hogarth.de/"} +{"d:Title": "Artchive: Hans Holbein", "d:Description": "Excerpts from \"The Story of Art\" by E.H. Gombrich and a collection of images.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.artchive.com/artchive/H/holbein.html"} +{"d:Title": "The Hans Holbein's Alphabet of Death", "d:Description": "Supernatural and fantastic imagery of the Middle Ages. Illustrations of Devils, Demons, Witches, and Monsters from the Middle Ages. With the Hans Holbein's Death Alphabet and illustrations from the 1490 Dance of Death by Guyot Marchand.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.godecookery.com/macabre/dalpha/dalpha.htm"} +{"d:Title": "Olga's Gallery: Hans Holbein", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.abcgallery.com/H/holbein/holbein.html"} +{"d:Title": "WebMuseum: Holbein, Hans the Younger", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.ibiblio.org/wm/paint/auth/holbein/"} +{"d:Title": "Artcyclopedia: Hans Holbein the Younger", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.artcyclopedia.com/artists/holbein_the_younger_hans.html"} +{"d:Title": "Tigertail Virtual Museum: Hans Holbein the Younger", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://tigtail.org/TIG/TVM/X1/e.Northern/holbein/holbein.html"} +{"d:Title": "Web Gallery of Art: Holbein, Hans the Younger", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.wga.hu/html/h/holbein/hans_y/"} +{"d:Title": "National Gallery of Art - Hans Holbein The Younger", "d:Description": "Featuring a biography of this German artist as well as over sixty of his paintings.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1400.html"} +{"d:Title": "Hans Holbein the Younger", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/H/Holbein_the_Younger,_Hans", "url": "http://www.infoplease.com/encyclopedia/people/holbein-hans.html"} +{"d:Title": "Henry Holiday (1839-1927)", "d:Description": "Notes on the Victorian painter and designer Henry Holiday from Bob Speel.", "topic": "Top/Arts/Art_History/Artists/H/Holiday,_Henry", "url": "http://myweb.tiscali.co.uk/speel/paint/holiday.htm"} +{"d:Title": "The Hunting of the Snark", "d:Description": "An Agony in Eight Fits by Lewis Carroll, with illustrations by Henry Holiday. Text and illustrations of this children's book.", "topic": "Top/Arts/Art_History/Artists/H/Holiday,_Henry", "url": "http://ebooks.adelaide.edu.au/c/carroll/lewis/snark/index.html"} +{"d:Title": "Holy Trinity Church, Casterton", "d:Description": "Victorian church in Cumbria with wall paintings and stained glass windows by Henry Holiday. Detailed descriptions of the pictures.", "topic": "Top/Arts/Art_History/Artists/H/Holiday,_Henry", "url": "http://www.visitcumbria.com/yd/casterton-holy-trinity-church/"} +{"d:Title": "About Betty Fold", "d:Description": "Brief biography of Henry Holiday, including information about his involvement with the suffragette movement. From Betty Fold Guest House, once Holiday's home in the Lake District.", "topic": "Top/Arts/Art_History/Artists/H/Holiday,_Henry", "url": "http://www.bettyfold.co.uk/?Self_Catering_Apartment:Betty_Fold_History"} +{"d:Title": "National Museums Liverpool - Dante and Beatrice", "d:Description": "Presents the oil on canvas painting and provides a brief description.", "topic": "Top/Arts/Art_History/Artists/H/Holiday,_Henry", "url": "http://www.liverpoolmuseums.org.uk/picture-of-month/displaypicture.aspx?id=152"} +{"d:Title": "Holiday, Henry: rose window in Drew University Library", "d:Description": "Historical record of the loss, rediscovery and restoration of a rose window in the Rose Memorial Library.", "topic": "Top/Arts/Art_History/Artists/H/Holiday,_Henry/Stained_Glass", "url": "http://depts.drew.edu/lib/archives/rosewin.php"} +{"d:Title": "Holiday, Henry (1839-1927)", "d:Description": "A brief biography of Henry Holiday, a Pre-Raphaelite stained glass designer, who was a friend of Edward Burne-Jones, and who has a similar style. Examples of his work in Cumbria are given. From Visit Cumbria.", "topic": "Top/Arts/Art_History/Artists/H/Holiday,_Henry/Stained_Glass", "url": "http://www.visitcumbria.com/henry-holiday/"} +{"d:Title": "Artcyclopedia - Winslow Homer", "d:Description": "A guide to art museums and image sites where his paintings can be viewed online.", "topic": "Top/Arts/Art_History/Artists/H/Homer,_Winslow", "url": "http://www.artcyclopedia.com/artists/homer_winslow.html"} +{"d:Title": "Artchive - Winslow Homer", "d:Description": "Artist's biography including images of his works ordered by date.", "topic": "Top/Arts/Art_History/Artists/H/Homer,_Winslow", "url": "http://www.artchive.com/artchive/ftptoc/homer_ext.html"} +{"d:Title": "Winslow Homer: Facing Nature", "d:Description": "Images and commentary on 1998 Homer exhibition at the Portland Museum of Art.", "topic": "Top/Arts/Art_History/Artists/H/Homer,_Winslow", "url": "http://www.tfaoi.com/newsmu/nmus12g.htm"} +{"d:Title": "The Obtuse Bard", "d:Description": "Scholarly look at Winslow Homer's paintings.", "topic": "Top/Arts/Art_History/Artists/H/Homer,_Winslow", "url": "http://www.obtusebard.org/homer/"} +{"d:Title": "Winslow Homer in the National Gallery of Art", "d:Description": "National Gallery's collection of artist's oils, watercolors, and graphics.", "topic": "Top/Arts/Art_History/Artists/H/Homer,_Winslow", "url": "http://www.nga.gov/feature/homer/"} +{"d:Title": "AskART.com - Winslow Homer", "d:Description": "Auction results, biographies, images and books pertaining to this American artist.", "topic": "Top/Arts/Art_History/Artists/H/Homer,_Winslow", "url": "http://www.askart.com/askart/h/winslow_homer/winslow_homer.aspx"} +{"d:Title": "Winslow Homer - American Scenes", "d:Description": "Details towards an exhibition at the Museums of Fine Arts, Boston.", "topic": "Top/Arts/Art_History/Artists/H/Homer,_Winslow", "url": "http://www.mfa.org/exhibitions/winslow-homer"} +{"d:Title": "Artcyclopedia: Edward Hopper", "d:Description": "Guide to over 40 art museum sites and image archives where his paintings can be viewed online.", "topic": "Top/Arts/Art_History/Artists/H/Hopper,_Edward", "url": "http://artcyclopedia.com/artists/hopper_edward.html"} +{"d:Title": "Artchive - Edward Hopper", "d:Description": "Includes a short biography plus a collection over 30 images.", "topic": "Top/Arts/Art_History/Artists/H/Hopper,_Edward", "url": "http://www.artchive.com/artchive/H/hopper.html"} +{"d:Title": "Sheldon Memorial Art Gallery - Edward Hopper", "d:Description": "Artist's biography and Images of his works.", "topic": "Top/Arts/Art_History/Artists/H/Hopper,_Edward", "url": "http://www.sheldonartgallery.org/collection/index.html?topic=artistdetail&clct_artist_full_name=Edward+Hopper&clct_id=6125"} +{"d:Title": "AskART.com - Edward Hopper", "d:Description": "Auction results, biographies, images and books pertaining to this artist known for town-landscape, genre, figure art.", "topic": "Top/Arts/Art_History/Artists/H/Hopper,_Edward", "url": "http://www.askart.com/askart/h/edward_hopper/edward_hopper.aspx"} +{"d:Title": "NMAA - An Edward Hopper Scrapbook", "d:Description": "The Smithsonian's National Museum of American Art presents An Edward Hopper Scrapbook.", "topic": "Top/Arts/Art_History/Artists/H/Hopper,_Edward", "url": "http://americanart.si.edu/exhibitions/online/hopper/"} +{"d:Title": "The Lighthouse at Two Lights", "d:Description": "Photograph and notes on the famous painting from the Metropolitan Museum of Art.", "topic": "Top/Arts/Art_History/Artists/H/Hopper,_Edward", "url": "http://www.metmuseum.org/toah/works-of-art/62.95"} +{"d:Title": "Arthur Hughes (1832-1915)", "d:Description": "Notes on the Pre-Raphaelite artist. From Bob Speel.", "topic": "Top/Arts/Art_History/Artists/H/Hughes,_Arthur", "url": "http://myweb.tiscali.co.uk/speel/paint/hughes.htm"} +{"d:Title": "Arthur Hughes - Pre-Raphaelite Painter&Book Illustrator", "d:Description": "Features a profile of the pre-Raphaelite artist and his works.", "topic": "Top/Arts/Art_History/Artists/H/Hughes,_Arthur", "url": "http://www.arthurhughes.org/"} +{"d:Title": "Artcyclopedia: Edward Robert Hughes", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/H/Hughes,_Edward_Robert", "url": "http://www.artcyclopedia.com/artists/hughes_edward_robert.html"} +{"d:Title": "Olga's Gallery - William Holman Hunt", "d:Description": "Comprehensive collection of the images of Hunt's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/H/Hunt,_William_Holman", "url": "http://www.abcgallery.com/H/huntwh/huntwh.html"} +{"d:Title": "William Holman Hunt: An Overview", "d:Description": "Extensive set of biographical and related materials from the Victorian Web site.", "topic": "Top/Arts/Art_History/Artists/H/Hunt,_William_Holman", "url": "http://www.victorianweb.org/painting/whh/index.html"} +{"d:Title": "National Museums Liverpool - The Scapegoat", "d:Description": "Presents one of William Holman Hunt's paintings.", "topic": "Top/Arts/Art_History/Artists/H/Hunt,_William_Holman", "url": "http://www.liverpoolmuseums.org.uk/online/pre-raphaelites/scapegoat/"} +{"d:Title": "Folk Art Life - Clementine Hunter", "d:Description": "Contains a biographical article about the Louisiana folk artist. Written by Jantje Blokhuis-Mulder.", "topic": "Top/Arts/Art_History/Artists/H/Hunter,_Clementine", "url": "http://www.folkartlife.com/articles/clementinehunter.shtml"} +{"d:Title": "African American Registry: Clementine Hunter", "d:Description": "Offers a brief biography about the folk artist. Includes a photograph.", "topic": "Top/Arts/Art_History/Artists/H/Hunter,_Clementine", "url": "http://www.aaregistry.org/historic_events/view/clementine-hunter-gifted-folk-artist"} +{"d:Title": "Raw Vision: Clementine Hunter", "d:Description": "Robert F. Ryan MD shares reminiscences of the folk artist.", "topic": "Top/Arts/Art_History/Artists/H/Hunter,_Clementine", "url": "http://www.rawvision.com/articles/clementine-hunter"} +{"d:Title": "Art21 - Pierre Huyghe", "d:Description": "Biography of the artist at PBS, documenting contemporary visual art in the United States and the artists who make it.", "topic": "Top/Arts/Art_History/Artists/H/Huyghe,_Pierre", "url": "http://www.pbs.org/art21/artists/huyghe/"} +{"d:Title": "UVA Art Museum - Pierre Huyghe Exhibition", "d:Description": "University of Virginia featuring Third Memory, an exhibition by the artist October 21\u2013 November 30, 2003.", "topic": "Top/Arts/Art_History/Artists/H/Huyghe,_Pierre", "url": "http://www.virginia.edu/artmuseum/PressReleases/pierrehuyghe.html"} +{"d:Title": "Solomon R. Guggenheim Museum - Pierre Huyghe", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/H/Huyghe,_Pierre", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/bios/3564"} +{"d:Title": "Yale University - Signals Launch of New Art Lecture Series", "d:Description": "Yale School of Art starting a lecture series with a presentation by French installation- and video-artist Pierre Huyghe.", "topic": "Top/Arts/Art_History/Artists/H/Huyghe,_Pierre", "url": "http://news.yale.edu/2009/03/16/video-artist-pierre-huyghe-signals-launch-new-art-lecture-series-yale"} +{"d:Title": "John William Inchbold (1830-1888)", "d:Description": "Brief biography of the Pre-Raphaelite artist John William Inchbold. From Bob Speel.", "topic": "Top/Arts/Art_History/Artists/I/Inchbold,_John_William", "url": "http://myweb.tiscali.co.uk/speel/paint/inchbold.htm"} +{"d:Title": "Wikipedia: John William Inchbold", "d:Description": "Article showing artist's biography and a list of his works.", "topic": "Top/Arts/Art_History/Artists/I/Inchbold,_John_William", "url": "http://en.wikipedia.org/wiki/John_William_Inchbold"} +{"d:Title": "Artcyclopedia: John William Inchbold", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/I/Inchbold,_John_William", "url": "http://www.artcyclopedia.com/artists/inchbold_john_william.html"} +{"d:Title": "Tate Collection: John William Inchbold", "d:Description": "Provides a total of 41 Inchbold's artworks, including historical background information on most of them.", "topic": "Top/Arts/Art_History/Artists/I/Inchbold,_John_William", "url": "http://www.tate.org.uk/art/artists/john-william-inchbold-293"} +{"d:Title": "WebMuseum: Jean-Auguste-Dominique Ingres", "d:Description": "Collection of images and a detailed biographical sketch.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.ibiblio.org/wm/paint/auth/ingres/"} +{"d:Title": "Olga's Gallery: Jean-Auguste-Dominique Ingres", "d:Description": "Comprehensive collection of images of his works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.abcgallery.com/I/ingres/ingres.html"} +{"d:Title": "National Gallery of Art", "d:Description": "Another online version of the Images of an Epoch exhibition.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.nga.gov/exhibitions/ingresinfo.htm"} +{"d:Title": "Wikipedia: Jean-Auguste-Dominique Ingres", "d:Description": "Article focused on the French painter's life, works and legacy. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://en.wikipedia.org/wiki/Jean_Auguste_Dominique_Ingres"} +{"d:Title": "Artble: Jean Auguste Dominique Ingres", "d:Description": "Artist's compendium presenting a biography, style, technique, followers and artworks.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.artble.com/artists/jean_auguste_dominique_ingres"} +{"d:Title": "ARC: Jean-Auguste-Dominique Ingres", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.artrenewal.org/pages/artist.php?artistid=31"} +{"d:Title": "Web Gallery of Arts", "d:Description": "Presents a set of images regarding Ingres' work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.wga.hu/html/i/ingres/index.html"} +{"d:Title": "Find A Grave - Jean-Auguste-Dominique Ingres", "d:Description": "Location and pictures of the French artist's grave.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6155"} +{"d:Title": "Mark Harden's Artchive", "d:Description": "Thorough biography regarding the French Neoclassical artist.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.artchive.com/artchive/I/ingres.html"} +{"d:Title": "The State Hermitage Museum, St. Petersburg, Russia", "d:Description": "\"Portrait of Count N.D.Guriev\", from one of the world's great museums.", "topic": "Top/Arts/Art_History/Artists/I/Ingres,_Jean-Auguste-Dominique", "url": "http://www.hermitagemuseum.org/wps/portal/hermitage/what-s-on/temp_exh/1999_2013/hm4_1_203/?lng=en"} +{"d:Title": "Mark Harden's Artchive: George Inness", "d:Description": "Thorough biography regarding the American Hudson River School artist.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://www.artchive.com/artchive/I/inness.html"} +{"d:Title": "The National Gallery - George Inness", "d:Description": "Brief background information towards one of his paintings 'The Delaware Water Gap'.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://www.nationalgallery.org.uk/paintings/george-inness-the-delaware-water-gap"} +{"d:Title": "Artcyclopedia: George Inness", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://www.artcyclopedia.com/artists/inness_george.html"} +{"d:Title": "NNDB: George Inness", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://www.nndb.com/people/175/000104860/"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing Inness' work. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/292"} +{"d:Title": "Wikipedia: George Inness (1825 - 1894)", "d:Description": "Article showing a complete biography regarding the American landscape painter, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://en.wikipedia.org/wiki/George_Inness"} +{"d:Title": "The Athenaeum - George Inness", "d:Description": "Providing over 200 artworks done by the American artist born in Newburgh, New York.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=371"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a list of artworks done by the American artist.", "topic": "Top/Arts/Art_History/Artists/I/Inness,_George", "url": "http://www.artic.edu/aic/collections/artwork/artist/Inness,+George"} +{"d:Title": "Jensen, Alfred (1903-1981)", "d:Description": "Biographical information and selection of images from this New York School artist.", "topic": "Top/Arts/Art_History/Artists/J", "url": "http://www.alfredjensen.com/"} +{"d:Title": "Jacoulet, Paul", "d:Description": "Biography of French-Japanese artist Paul Jacoulet (1902-1960).", "topic": "Top/Arts/Art_History/Artists/J", "url": "http://www.artelino.com/articles/paul_jacoulet.asp"} +{"d:Title": "Antonio Jacobsen - The Later Years", "d:Description": "Exhibition featuring a short biography and high-resolution images of 15 works painted between 1902 and 1917.", "topic": "Top/Arts/Art_History/Artists/J/Jacobsen,_Antonio", "url": "http://www.rehsgalleries.com/antonio_jacobsen_virtex.htm"} +{"d:Title": "Antonio Jacobsen (1851-1921) - The Early Years", "d:Description": "Antonio Jacobsen deserves to be remembered for what he painted as much as for how he painted. This virtual exhibition features a number of important early works by the artist and is accompanied by an essay written by the late Harold Sniffen.", "topic": "Top/Arts/Art_History/Artists/J/Jacobsen,_Antonio", "url": "http://www.rehsgalleries.com/antonio_jacobsen_early_years.htm"} +{"d:Title": "Wikipedia: Antonio Jacobsen", "d:Description": "Encyclopedia article covering his artistic contribution and historical perspectives.", "topic": "Top/Arts/Art_History/Artists/J/Jacobsen,_Antonio", "url": "http://en.wikipedia.org/wiki/Antonio_Jacobsen"} +{"d:Title": "BBC - Your Paintings - Antonio Jacobsen", "d:Description": "Slideshow containing over 30 paintings.", "topic": "Top/Arts/Art_History/Artists/J/Jacobsen,_Antonio", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/antonio-jacobsen"} +{"d:Title": "Artcyclopedia: Antonio Jacobsen", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/J/Jacobsen,_Antonio", "url": "http://www.artcyclopedia.com/artists/jacobsen_antonio.html"} +{"d:Title": "J. Russell Jinishian Gallery", "d:Description": "Jacobsen's biography including images of his known works.", "topic": "Top/Arts/Art_History/Artists/J/Jacobsen,_Antonio", "url": "http://www.jrusselljinishiangallery.com/pages/jacobsen-pages/jacobsen-thumbs.htm"} +{"d:Title": "The Athenaeum - Antonio Jacobsen", "d:Description": "Features 18 paintings belong to the Danish-born American maritime artist in high detail.", "topic": "Top/Arts/Art_History/Artists/J/Jacobsen,_Antonio", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=669"} +{"d:Title": "Artchive: Jasper Johns", "d:Description": "Biographical article and links to images.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.artchive.com/artchive/J/johnsbio.html"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life, times, and works of art of the American artist through his biography and a slideshow presenting his works of art.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.metmuseum.org/toah/hd/john/hd_john.htm"} +{"d:Title": "Jasper Johns: A Retrospective", "d:Description": "Exhibition at the MoMA, chronology, selected works, and bibliography.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.moma.org/interactives/exhibitions/1996/johns/"} +{"d:Title": "NGA: Jasper Johns", "d:Description": "Provides a list of the American artist's prints and drawings including a biography.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=2136"} +{"d:Title": "The Art Story: Jasper Johns", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.theartstory.org/artist-johns-jasper.htm"} +{"d:Title": "Your Paintings - Jasper Johns", "d:Description": "Provides a slideshow presenting Johns' artwork.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/jasper-johns"} +{"d:Title": "Wikipedia: Jasper Johns", "d:Description": "Biography, artworks and collection regarding the American artist.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://en.wikipedia.org/wiki/Jasper_Johns"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Johns' gallery including size, medium and date.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.artic.edu/aic/collections/artwork/artist/Johns,+Jasper"} +{"d:Title": "Collection Tate: Jasper Johns", "d:Description": "Analysis on some Johns' masterpieces.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.tate.org.uk/art/artists/jasper-johns-1365"} +{"d:Title": "MoMA Collection: Jasper Johns", "d:Description": "Gallery slideshow.", "topic": "Top/Arts/Art_History/Artists/J/Johns,_Jasper", "url": "http://www.moma.org/collection/artists/2923"} +{"d:Title": "Artcyclopedia: Jacob Jordaens on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.artcyclopedia.com/artists/jordaens_jacob.html"} +{"d:Title": "CGFA: Jacob Jordaens", "d:Description": "Image gallery with the artist's biography from MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://sunsite.icm.edu.pl/cjackson/jordaens/"} +{"d:Title": "Olga's Gallery: Jacob Jordaens", "d:Description": "Comprehensive collection of works of the Flemish artist with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.abcgallery.com/J/jordaens/jordaens.html"} +{"d:Title": "Web Gallery of Art: Jordaens, Jacob", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.wga.hu/html/j/jordaens/"} +{"d:Title": "Wikipedia: Jacob Jordaens", "d:Description": "Biography, artworks and the influence of Rubens on the Flemish artist.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://en.wikipedia.org/wiki/Jacob_Jordaens"} +{"d:Title": "Art Renewal Center - Jacob Jordaens", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.artrenewal.org/pages/artist.php?artistid=912"} +{"d:Title": "Artchive - Jacob Jordaens", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.artchive.com/artchive/J/jordaens.html"} +{"d:Title": "The National Gallery", "d:Description": "Provides a list of the Flemish artist's work including proper background information on each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.nationalgallery.org.uk/artists/jacob-jordaens"} +{"d:Title": "NNDB - Jacob Jordaens", "d:Description": "Comprehensive biography regarding the Flemish Baroque painter born in Antwerp.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.nndb.com/people/221/000104906/"} +{"d:Title": "Your Paintings - Jacob Jordaens", "d:Description": "Slideshow containing 12 artworks.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/jacob-jordaens"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with background information on one of his paintings 'The Holy Family with an Angel'. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/299"} +{"d:Title": "National Gallery of Canada", "d:Description": "Artist's gallery.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.gallery.ca/en/see/collections/artist_work.php?iartistid=2783"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays brief amount of artworks done by the Flemish artist.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.artic.edu/aic/collections/artwork/artist/Jordaens,+Jacob"} +{"d:Title": "NGA: Jacob Jordaens", "d:Description": "Provides a list of the Flemish artist's paintings and drawings along with a biography.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1426.html?artistId=1426&pageNumber=1"} +{"d:Title": "Jacob Jordaens at J. Paul Getty Museum", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/J/Jordaens,_Jacob", "url": "http://www.getty.edu/art/collection/artists/355/jacob-jordaens-flemish-1593-1678/"} +{"d:Title": "Artfacts.net: Asger Jorn", "d:Description": "Biography, works, exhibitions, and galleries.", "topic": "Top/Arts/Art_History/Artists/J/Jorn,_Asger", "url": "http://artfacts.net/index.php/pageType/artistInfo/artist/691"} +{"d:Title": "Wikipedia: Asger Jorn", "d:Description": "Biography, writings and the influence of World War II on the Danish painter.", "topic": "Top/Arts/Art_History/Artists/J/Jorn,_Asger", "url": "http://en.wikipedia.org/wiki/Asger_Jorn"} +{"d:Title": "The Grave of Asger Jorn", "d:Description": "Location of his grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/J/Jorn,_Asger", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=17332459"} +{"d:Title": "Contemporary Art: Asger Jorn", "d:Description": "Content focused on the artist's career showing images of his famous \"Defigurations\" paintings.", "topic": "Top/Arts/Art_History/Artists/J/Jorn,_Asger", "url": "http://c4gallery.com/artist/database/asger-jorn/asger-jorn.html"} +{"d:Title": "Guggenheim: Jorn, Asger", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/J/Jorn,_Asger", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/601/Asger%20Jorn"} +{"d:Title": "Tate Collection", "d:Description": "Provides a total of 5 Jorn's artworks, including historical background information on most artworks.", "topic": "Top/Arts/Art_History/Artists/J/Jorn,_Asger", "url": "http://www.tate.org.uk/art/artists/asger-jorn-1375"} +{"d:Title": "MoMA Collection", "d:Description": "Gallery slideshow including a comprehensive biography.", "topic": "Top/Arts/Art_History/Artists/J/Jorn,_Asger", "url": "http://www.moma.org/collection/artists/2943"} +{"d:Title": "Toyohara, Kunichika", "d:Description": "Biography of Japanese artist (1835-1900) including images of his work.", "topic": "Top/Arts/Art_History/Artists/K", "url": "http://www.artelino.com/articles/kunichika.asp"} +{"d:Title": "Kotlarevsky, Paul (1883-1950)", "d:Description": "Collection of works and a biography of the Russian artist exiled in Paris during WWI.", "topic": "Top/Arts/Art_History/Artists/K", "url": "http://www.kotlarevsky.com/"} +{"d:Title": "Kotin, Albert", "d:Description": "American Abstract Expressionist artist. Image gallery of his works and biography.", "topic": "Top/Arts/Art_History/Artists/K", "url": "http://www.albertkotin.com/"} +{"d:Title": "Knowles, George Sheridan (1863-1931)", "d:Description": "Biographical information and images of the works by the British Victorian artist. His sentimental genre paintings often capture his subjects in a relaxed, or playful, moment and are reminiscent of the works of Arthur John Elsley and Frederick Morgan (two of his contemporaries).", "topic": "Top/Arts/Art_History/Artists/K", "url": "http://www.rehsgalleries.com/george_sheridan_knowles_virtex.htm"} +{"d:Title": "Konkle, Lori", "d:Description": "A longterm survivor of MS who created over five hundred paintings in her lifetime holding the brush in her mouth.", "topic": "Top/Arts/Art_History/Artists/K", "url": "http://lorikonkle.com/"} +{"d:Title": "NCAW - Khnopff, Fernand", "d:Description": "Detailed review by Sura Levine on the artist's exhibition organized by the Mus\u00e9es Royaux des Beaux-Arts de Belgique, Brussels. Images of the exhibition are included.", "topic": "Top/Arts/Art_History/Artists/K", "url": "http://www.19thc-artworldwide.org/index.php/component/content/article/65-spring05review/233-fernand-khnopff"} +{"d:Title": "Kiefer, Anselm", "d:Description": "This feature explores the works on paper of the contemporary German artist. Included is a closer look at fourteen of those works and the themes and techniques used.", "topic": "Top/Arts/Art_History/Artists/K", "url": "http://www.metmuseum.org/toah/hd/kief/hd_kief.htm"} +{"d:Title": "Frida Kahlo and Contemporary Thoughts", "d:Description": "Critical essays based on a postmodern approach, news about contemporary arts inspired by Frida (cinema, ballet, theatre), temporary and permanent exhibitions, large bibliography, short biography, a Flash movie \"Frida e-motion\".", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.fridakahlo.it/"} +{"d:Title": "Amybrown - An Amazing Woman", "d:Description": "Collection of images of Frida Kahlo, featuring a biography.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.amybrown.net/women/frida.html"} +{"d:Title": "Artcyclopedia: Frida Kahlo", "d:Description": "Guide to art museum sites and image archives worldwide where her works can be viewed online.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.artcyclopedia.com/artists/kahlo_frida.html"} +{"d:Title": "Frida Kahlo", "d:Description": "Official web site of the Mexican artist. Biography, gallery of works, photo gallery, news.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.fkahlo.com/"} +{"d:Title": "Olga's Gallery: Frida Kahlo", "d:Description": "Collection of works of a Mexican artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.abcgallery.com/K/kahlo/kahlo.html"} +{"d:Title": "Wikipedia: Frida Kahlo", "d:Description": "Article showing a complete biography regarding the Mexican painter, born in Coyoacan.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://en.wikipedia.org/wiki/Frida_Kahlo"} +{"d:Title": "The Grave of Frida Kahlo", "d:Description": "Brief biography and location of her grave.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=18873"} +{"d:Title": "Mark Harden's Artchive: Frida Kahlo", "d:Description": "Biography, images and resources.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.artchive.com/artchive/K/kahlo.html"} +{"d:Title": "MoMA Collection: Frida Kahlo", "d:Description": "Provides a biography including background information on three of her paintings.", "topic": "Top/Arts/Art_History/Artists/K/Kahlo,_Frida", "url": "http://www.moma.org/collection/artists/2963"} +{"d:Title": "WebMuseum: Wassily Kandinsky", "d:Description": "Short biography and about 20 of his works.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.ibiblio.org/wm/paint/auth/kandinsky/"} +{"d:Title": "Wassily Kandinsky - Olga's Gallery", "d:Description": "Collection of works of the Russian Expressionist artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.abcgallery.com/K/kandinsky/kandinsky.html"} +{"d:Title": "Wassily Kandinsky", "d:Description": "Biography and a gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.wassilykandinsky.net/"} +{"d:Title": "Kandinsky, Wassily at Norton Simon Museum", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.nortonsimon.org/collections/browse_artist.php?name=Kandinsky,+Vasily"} +{"d:Title": "Wikipedia - Wassily Kandinsky", "d:Description": "Biography, artworks and conception of art regarding the Russian artist born in Moscow.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://en.wikipedia.org/wiki/Wassily_Kandinsky"} +{"d:Title": "Virtual Kandinsky", "d:Description": "Site dedicated to one of the 20th century's greatest artists. Includes paintings, biography, and posters.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.virtualkandinsky.com/"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features one of his known pieces of art 'The Garden of Love'.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.metmuseum.org/toah/works-of-art/49.70.1"} +{"d:Title": "Find A Grave - Wassily Kandinsky", "d:Description": "Location of his grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7719714"} +{"d:Title": "Artcyclopedia: Wassily Kandinsky", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.artcyclopedia.com/artists/kandinsky_wassily.html"} +{"d:Title": "Humanities Web", "d:Description": "Artist's biography including a gallery, quotations and further resources.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=118"} +{"d:Title": "The Art Story: Wassily Kandinsky", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.theartstory.org/artist-kandinsky-wassily.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Kandinsky's biography in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/302"} +{"d:Title": "Haber's Art Reviews: Wassily Kandinsky", "d:Description": "Reviews by John Haber of New York City art galleries and museums.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.haberarts.com/kandinsk.htm"} +{"d:Title": "The Athenaeum - Wassily Kandinsky", "d:Description": "Features a total of 20 paintings belong to the Expressionist artist in high detail.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=11"} +{"d:Title": "National Gallery of Art", "d:Description": "Provides background information on his painting 'Improvisation 31'.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.56670.html"} +{"d:Title": "Guggenheim: Wassily Kandinsky", "d:Description": "Features a wide arrange of his artworks including their proper analysis.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/1515"} +{"d:Title": "MoMA Collection", "d:Description": "Collection of the Russian artist since 1901.", "topic": "Top/Arts/Art_History/Artists/K/Kandinsky,_Wassily", "url": "http://www.moma.org/collection/artists/2981"} +{"d:Title": "Olga's Gallery - Angelica Kauffman", "d:Description": "Collection of the artist's works, with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://www.abcgallery.com/K/kaufman/kaufman.html"} +{"d:Title": "Catholic Encyclopedia: Angelica Kauffman", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://www.newadvent.org/cathen/08609b.htm"} +{"d:Title": "Artcyclopedia: Angelica Kauffmann", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://www.artcyclopedia.com/artists/kauffmann_angelica.html"} +{"d:Title": "Wikipedia: Angelica Kauffmann", "d:Description": "Encyclopedia article covering her biography, legacy and gallery.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://en.wikipedia.org/wiki/Angelica_Kauffman"} +{"d:Title": "ARC: Angelica Kauffmann", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2368"} +{"d:Title": "Web Gallery of Art - Angelica Kauffmann", "d:Description": "Presents a set of images regarding Kauffman's work. Includes a brief biography.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://www.wga.hu/html/k/kauffman/index.html"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with one of her paintings 'Portrait of a Woman as a Vestal Virgin'.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/303"} +{"d:Title": "Tate Collection: Angelica Kauffmann", "d:Description": "Analysis on two of her paintings 'Portrait of a Lady' and 'Hector Taking Leave of Andromache'.", "topic": "Top/Arts/Art_History/Artists/K/Kauffmann,_Angelica", "url": "http://www.tate.org.uk/art/artists/angelica-kauffman-303"} +{"d:Title": "Wikipedia - Charles Eamer Kempe", "d:Description": "Artist's brief biography including references and external resources.", "topic": "Top/Arts/Art_History/Artists/K/Kempe,_Charles_Eamer", "url": "http://en.wikipedia.org/wiki/Charles_Eamer_Kempe"} +{"d:Title": "Visit Cumbria - Charles E Kempe (1837-1907)", "d:Description": "A brief biography of the Victorian stained glass window designer, with particular reference to his work in Cumbria (The Lake District).", "topic": "Top/Arts/Art_History/Artists/K/Kempe,_Charles_Eamer/Stained_Glass", "url": "http://www.visitcumbria.com/charles-e-kempe/"} +{"d:Title": "White Mtn. Art: John Frederick Kensett", "d:Description": "A brief biography of the nineteenth century artist.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://whitemountainart.com/Biographies/bio_jfk.htm"} +{"d:Title": "ArtCyclopedia: John Frederick Kensett", "d:Description": "Links to the artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.artcyclopedia.com/artists/kensett_john_frederick.html"} +{"d:Title": "The Shock of the View : John Frederick Kensett", "d:Description": "A critique of Kensett's painting Mount Washington from the Valley of Conway.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.walkerart.org/archive/2/8253912052F8A1BD6165.htm"} +{"d:Title": "Wikipedia - John Kensett", "d:Description": "Article focused on the American painter's life and a gallery presenting his works.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://en.wikipedia.org/wiki/John_Frederick_Kensett"} +{"d:Title": "ARC: John Kensett", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.artrenewal.org/pages/artist.php?artistid=945"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Biography and collection of Kensett's paintings with notes on each work.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.metmuseum.org/toah/hd/kens/hd_kens.htm"} +{"d:Title": "Find A Grave - John Kensett", "d:Description": "Brief biography including pictures and location of his grave.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=25779963"} +{"d:Title": "The Athenaeum - John Kensett", "d:Description": "Features over 150 paintings belong to the American painter-engraver in high detail.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.the-athenaeum.org/people/detail.php?ID=473"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Kensett's biography including analysis on two of his works 'Trout Fisherman' and 'Lake George'.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/304"} +{"d:Title": "Artchive - John Kensett", "d:Description": "Biography, images and further reading towards the American artist.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.artchive.com/artchive/K/kensett.html"} +{"d:Title": "National Gallery of Art - John Kensett", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/K/Kensett,_John", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1434.html?artobj_artistId=1434"} +{"d:Title": "Henry John Yeend King - A British Realist", "d:Description": "Virtual exhibition featuring biographical information and a selection of paintings by this 19th century British Victorian artist.", "topic": "Top/Arts/Art_History/Artists/K/King,_Henry_John_Yeend", "url": "http://www.rehsgalleries.com/henry_john_yeend_king.htm"} +{"d:Title": "Art Renewal Center - Henry John Yeend King", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/K/King,_Henry_John_Yeend", "url": "http://www.artrenewal.org/pages/artist.php?artistid=940"} +{"d:Title": "BBC - Your Paintings", "d:Description": "Provides a slideshow presenting King's artwork.", "topic": "Top/Arts/Art_History/Artists/K/King,_Henry_John_Yeend", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/henry-john-yeend-king"} +{"d:Title": "Tate Collection: King, Henry John Yeend", "d:Description": "Image of his work entitled \"Milking Time\" that is in the collection of the Tate Gallery, London.", "topic": "Top/Arts/Art_History/Artists/K/King,_Henry_John_Yeend", "url": "http://www.tate.org.uk/art/artists/john-henry-yeend-king-309"} +{"d:Title": "Mark Harden's Artchive: Paul Klee", "d:Description": "Introduction to the artist and links to images.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.artchive.com/artchive/K/klee.html"} +{"d:Title": "WebMuseum: Klee, Paul", "d:Description": "Biographical essay and paintings.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.ibiblio.org/wm/paint/auth/klee/"} +{"d:Title": "Olga's Gallery - Paul Klee", "d:Description": "Collection of works of the German artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.abcgallery.com/K/klee/klee.html"} +{"d:Title": "Wikipedia - Paul Klee", "d:Description": "Article showing a complete biography regarding the German-Swiss Expressionist painter, including certain images of his works.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_Klee"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Biography and collection of Klee's paintings with notes on each work.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.metmuseum.org/toah/hd/klee/hd_klee.htm"} +{"d:Title": "The Grave of Paul Klee", "d:Description": "Location and pictures of the German artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20801"} +{"d:Title": "National Gallery of Art - Paul Klee", "d:Description": "Presents a list of his paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=2594"} +{"d:Title": "Humanities Web: Paul Klee", "d:Description": "Biographical chronology, selected works, recommended readings, and quotations.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=117"} +{"d:Title": "The Art Story: Paul Klee", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.theartstory.org/artist-klee-paul.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with a gallery showing Klee's work. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/311"} +{"d:Title": "The Athenaeum - Paul Klee", "d:Description": "Features over 150 paintings towards the German/Swiss artist in high detail.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=901"} +{"d:Title": "MoMA Collection: Paul Klee", "d:Description": "Offers a slide show gallery along with his working methods, life, works and technique.", "topic": "Top/Arts/Art_History/Artists/K/Klee,_Paul", "url": "http://www.moma.org/collection/artists/3130"} +{"d:Title": "Yves Klein Archives", "d:Description": "Information about the artist.", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://www.yveskleinarchives.org/"} +{"d:Title": "Wikipedia - Yves Klein", "d:Description": "Article focused on the French painter's life, works and legacy.", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://en.wikipedia.org/wiki/Yves_Klein"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Notes on one of his artworks 'Leap in to the Void', 1960.", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://www.metmuseum.org/toah/works-of-art/1992.5112"} +{"d:Title": "Find A Grave - Yves Klein", "d:Description": "Location of his grave. Brief biography is also available.", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=21266728"} +{"d:Title": "The Art Story: Yves Klein", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://www.theartstory.org/artist-klein-yves.htm"} +{"d:Title": "Smithsonian Hirshhorn Museum", "d:Description": "Brief summary towards the painting Untitled Anthropometry (ANT 100) in the teacher resources.", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://www.hirshhorn.si.edu/search-results/?edan_search_value=Yves+Klein#detail=http://www.hirshhorn.si.edu/search-results/search-result-details/?edan_search_value=hmsg_98.23"} +{"d:Title": "Collection Tate", "d:Description": "Brief biography towards Yves Klein (1928-1962).", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://www.tate.org.uk/art/artists/yves-klein-1418"} +{"d:Title": "MoMA Collection: Yves Klein", "d:Description": "Slideshow collection of the French artist since 1957. Includes notes on his early career and later work.", "topic": "Top/Arts/Art_History/Artists/K/Klein,_Yves", "url": "http://www.moma.org/collection/artists/3137"} +{"d:Title": "Gustav Klimt", "d:Description": "Information on the Klimt's studio and its future, artist's work in the studio, and the Klimt Memorial Society.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.klimt.at/"} +{"d:Title": "LAKS Watch Klimt Museum", "d:Description": "Dozens of works by Klimt, presented by LAKS Watches. Text is mostly in German.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.laks.com/deutsch/klimtmuseum.html"} +{"d:Title": "Gustav Klimt", "d:Description": "RDA presents a site dedicated to the life and works of Austrian painter Gustav Klimt.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.iklimt.com/"} +{"d:Title": "WebMuseum: Klimt, Gustav", "d:Description": "Biographical information and artist images.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.ibiblio.org/wm/paint/auth/klimt/"} +{"d:Title": "Mark Harden's Artchive - Gustav Klimt", "d:Description": "Biographical information and chronological image list.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.artchive.com/artchive/ftptoc/klimt_ext.html"} +{"d:Title": "Artcyclopedia: Gustav Klimt", "d:Description": "Guide to art museum sites and image archives where his works can be viewed online.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.artcyclopedia.com/artists/klimt_gustav.html"} +{"d:Title": "Olga's Gallery: Gustav Klimt", "d:Description": "Collection of works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.abcgallery.com/K/klimt/klimt.html"} +{"d:Title": "Wikipedia - Gustav Klimt", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Symbolist painter. Collection of his artworks is also available dated from 1899 to 1917.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://en.wikipedia.org/wiki/Gustav_Klimt"} +{"d:Title": "Art Renewal Center - Gustav Klimt", "d:Description": "Images of his works on high detail.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.artrenewal.org/pages/artist.php?artistid=939"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on his painting 'M\u00e4da Primavesi'.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.metmuseum.org/toah/works-of-art/64.148"} +{"d:Title": "The Grave of Gustav Klimt", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1293"} +{"d:Title": "The National Gallery - Gustav Klimt", "d:Description": "Brief biography along with proper analysis on his painting 'Portrait of Hermine Gallia\".", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.nationalgallery.org.uk/artists/gustav-klimt"} +{"d:Title": "Humanities Web: Gustav Klimt", "d:Description": "Presents a biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=101"} +{"d:Title": "The Art Story: Gustav Klimt", "d:Description": "Detailed biography covering his childhood, early training, late periods, legacy and additional quotes.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.theartstory.org/artist-klimt-gustav.htm"} +{"d:Title": "The Athenaeum: Gustav Klimt", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=172"} +{"d:Title": "Getty Museum: Gustav Klimt", "d:Description": "Artist's biography including notes on two artworks.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.getty.edu/art/collection/artists/15795/gustav-klimt-austrian-1862-1918/"} +{"d:Title": "MoMA Collection: Gustav Klimt", "d:Description": "Offers a slide show gallery along with his working methods, life, works and technique.", "topic": "Top/Arts/Art_History/Artists/K/Klimt,_Gustav", "url": "http://www.moma.org/collection/artists/3147"} +{"d:Title": "Rehs Galleries", "d:Description": "Information concerning the life and work of the American expatriate artist Daniel Ridgway Knight (often misspelled Ridgeway). Information concerning the current catalogue raisonne project and a link to an online exhibition of Knight's work.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Daniel_Ridgway", "url": "http://www.rehsgalleries.com/knight.htm"} +{"d:Title": "Art Renewal Center - Daniel Ridgway Knight", "d:Description": "Comprehensive biography along with a collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Daniel_Ridgway", "url": "http://www.artrenewal.org/pages/artist.php?artistid=138"} +{"d:Title": "Find A Grave - Daniel Ridgway Knight", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Daniel_Ridgway", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11463141"} +{"d:Title": "Artcyclopedia: Daniel Ridgway Knight", "d:Description": "Guide to pictures of his works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Daniel_Ridgway", "url": "http://www.artcyclopedia.com/artists/knight_daniel_ridgway.html"} +{"d:Title": "The Athenaeum: Daniel Ridgway Knight", "d:Description": "Provides over 100 artworks done by the American painter born in Pennsylvania.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Daniel_Ridgway", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=213"} +{"d:Title": "Knight, Louis Aston - A Study of Light and Water", "d:Description": "Exhibition of landscapes by the French/American artist. Featured are a number of cottage garden views that were painted in Beaumont-le-Roger along with a biography.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Louis_Aston", "url": "http://www.louisastonknight.com/"} +{"d:Title": "Artcyclopedia: Knight, Louis Aston", "d:Description": "Guide to pictures of works by Louis Aston Knight in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Louis_Aston", "url": "http://www.artcyclopedia.com/artists/knight_louis_aston.html"} +{"d:Title": "ARC: Knight, Louis Aston", "d:Description": "Features a biography and a selection of images.", "topic": "Top/Arts/Art_History/Artists/K/Knight,_Louis_Aston", "url": "http://www.artrenewal.org/pages/artist.php?artistid=142"} +{"d:Title": "Artchive: Willem De Kooning", "d:Description": "Text from Brian O'Doherty, and list of images on the web.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.artchive.com/artchive/D/de_kooning.html"} +{"d:Title": "Artcyclopedia: Willem de Kooning", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.artcyclopedia.com/artists/de_kooning_willem.html"} +{"d:Title": "Wikipedia: Willem De Kooning", "d:Description": "Encyclopedia article covering his artistic contribution and biography.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://en.wikipedia.org/wiki/Willem_De_Kooning"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Presents a biography along with 2 paintings 'Abstraction' and 'Red Man with Moustache'. Content available in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/316"} +{"d:Title": "Willem de Kooning: A Retrospective", "d:Description": "Reviews by John Haber of New York City art galleries and museums.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.haberarts.com/kooning3.htm"} +{"d:Title": "Find A Grave - Willem De Kooning", "d:Description": "Location of his grave.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3810"} +{"d:Title": "Willem de Kooning Reproductions", "d:Description": "Provides a chronological biography along with lists of reproductions of his art.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.zappa-analysis.com/kooning/index.html"} +{"d:Title": "National Gallery of Art - Willem De Kooning", "d:Description": "Presents a biography including a list of his paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1220.html"} +{"d:Title": "MoMA Collection: Willem De Kooning", "d:Description": "Offers a slide show gallery.", "topic": "Top/Arts/Art_History/Artists/K/Kooning,_Willem_de", "url": "http://www.moma.org/collection/artists/3213"} +{"d:Title": "Journal of Contemporary Art: Jeff Koons", "d:Description": "Klaus Ottmann's interview with the artist.", "topic": "Top/Arts/Art_History/Artists/K/Koons,_Jeff", "url": "http://www.jca-online.com/koons.html"} +{"d:Title": "Jeff Koons and the Paradox of a Superstar's Phenomenon", "d:Description": "D.S. Baker's critical essay on the artist.", "topic": "Top/Arts/Art_History/Artists/K/Koons,_Jeff", "url": "http://bad.eserver.org/issues/1993/04/baker.html"} +{"d:Title": "Wikipedia: Jeff Koons", "d:Description": "Article focused on the American artist's life, art, exhibitions and influences. Provides images of his work.", "topic": "Top/Arts/Art_History/Artists/K/Koons,_Jeff", "url": "http://en.wikipedia.org/wiki/Jeff_Koons"} +{"d:Title": "MoMA Collection: Jeff Koons", "d:Description": "Brief biography including a slideshow gallery. (Image not available in most artworks).", "topic": "Top/Arts/Art_History/Artists/K/Koons,_Jeff", "url": "http://www.moma.org/collection/artists/6622"} +{"d:Title": "Artcyclopedia: Konstantin Korovin on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Korovin,_Konstantin", "url": "http://www.artcyclopedia.com/artists/korovin_konstantin.html"} +{"d:Title": "Olga's Gallery: Constantin Korovin", "d:Description": "Comprehensive collection of the works of the famous Russian Impressionist with the biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/K/Korovin,_Konstantin", "url": "http://www.abcgallery.com/K/korovin/korovin.html"} +{"d:Title": "Korovin, Konstantin Alexeevich", "d:Description": "Short artist's biography.", "topic": "Top/Arts/Art_History/Artists/K/Korovin,_Konstantin", "url": "http://www.msi-mall.com/art/avantgarde/artists/korovin.html"} +{"d:Title": "Konstantin Alexeyevich Korovin", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/K/Korovin,_Konstantin", "url": "http://artroots.com/ra/bio/korovin/konstantinkorovinbio.htm"} +{"d:Title": "Wikipedia: Konstantin Korovin", "d:Description": "Article showing a biography regarding the Russian Impressionist painter, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/K/Korovin,_Konstantin", "url": "http://en.wikipedia.org/wiki/Konstantin_Korovin"} +{"d:Title": "The Athenaeum - Konstantin Korovin", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/K/Korovin,_Konstantin", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=2434"} +{"d:Title": "Ivan Kramskoy - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/K/Kramskoy,_Ivan", "url": "http://www.abcgallery.com/K/kramskoy/kramskoy.html"} +{"d:Title": "Wikipedia - Ivan Kramskoy", "d:Description": "Article focused on the Russian painter's life and works.", "topic": "Top/Arts/Art_History/Artists/K/Kramskoy,_Ivan", "url": "http://en.wikipedia.org/wiki/Ivan_Kramskoy"} +{"d:Title": "Art Renewal Center - Ivan Kramskoy", "d:Description": "Provides images of the Russian artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/K/Kramskoy,_Ivan", "url": "http://www.artrenewal.org/pages/artist.php?artistid=998"} +{"d:Title": "Krehbiel Art", "d:Description": "A biographical and pictorial representation of the life and work of this artist.", "topic": "Top/Arts/Art_History/Artists/K/Krehbiel,_Albert_Henry", "url": "http://www.krehbielart.com/"} +{"d:Title": "Krehbiel, Albert Henry (1873-1945)", "d:Description": "Resource Library Magazine's biographical article on this American Impressionist, muralist, and art educator.", "topic": "Top/Arts/Art_History/Artists/K/Krehbiel,_Albert_Henry", "url": "http://www.tfaoi.com/aa/3aa/3aa278.htm"} +{"d:Title": "Smithsonian Archives of American Art - Albert Henry Krehbiel", "d:Description": "Provides a brief biography of the American impressionist and muralist as well as a description of the artist's personal papers available from the archive on microfilm.", "topic": "Top/Arts/Art_History/Artists/K/Krehbiel,_Albert_Henry", "url": "http://siris-archives.si.edu/ipac20/ipac.jsp?session=1046126U1394H.199&menu=search&aspect=Keyword&npp=10&ipp=20&profile=all&ri=&index=.TW&term=krehbiel+albert+henry&aspect=Keyword&x=8&y=11#focusfocusfocus"} +{"d:Title": "Wikipedia: Albert Henry Krehbiel", "d:Description": "Provides a biography, museum collections, list of historic exhibitions and external links regarding this American Impressionist painter and muralist.", "topic": "Top/Arts/Art_History/Artists/K/Krehbiel,_Albert_Henry", "url": "http://en.wikipedia.org/wiki/Albert_Henry_Krehbiel"} +{"d:Title": "Richard Norton Gallery", "d:Description": "Provides a gallery towards the American artist born in Denmark, Iowa.", "topic": "Top/Arts/Art_History/Artists/K/Krehbiel,_Albert_Henry", "url": "http://www.richardnortongallery.com/?loc=artists&id=588"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Albert Krehbiel works held in the museum's permanent collection.", "topic": "Top/Arts/Art_History/Artists/K/Krehbiel,_Albert_Henry", "url": "http://www.artic.edu/aic/collections/artwork/artist/Krehbiel,+Albert"} +{"d:Title": "Meet a fine artist: Albert H. Krehbiel", "d:Description": "Article centered on his exhibition details and certain notes towards his life and influences.", "topic": "Top/Arts/Art_History/Artists/K/Krehbiel,_Albert_Henry", "url": "http://www.thekansan.com/article/20110908/NEWS/309089946"} +{"d:Title": "Artcyclopedia: Alfred Kubin", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Kubin,_Alfred", "url": "http://www.artcyclopedia.com/artists/kubin_alfred.html"} +{"d:Title": "Wikipedia - Alfred Kubin", "d:Description": "Article showing a complete biography regarding the Austrian printmaker.", "topic": "Top/Arts/Art_History/Artists/K/Kubin,_Alfred", "url": "http://en.wikipedia.org/wiki/Alfred_Kubin"} +{"d:Title": "Find A Grave - Alfred Kubin", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/K/Kubin,_Alfred", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=76119318"} +{"d:Title": "Alfred Kubin (1877-1959) - The Art Tribune", "d:Description": "Artist's biography along with images of his works such as 'Fright', 'The Guardian' and 'Adoration'.", "topic": "Top/Arts/Art_History/Artists/K/Kubin,_Alfred", "url": "http://www.thearttribune.com/Alfred-Kubin-1877-1959.html"} +{"d:Title": "MoMA Collection: Alfred Kubin", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/K/Kubin,_Alfred", "url": "http://www.moma.org/collection/artists/3276"} +{"d:Title": "Artcyclopedia: Frantisek Kupka", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/K/Kupka,_Frantisek", "url": "http://www.artcyclopedia.com/artists/kupka_frantisek.html"} +{"d:Title": "Wikipedia - Frantisek Kupka", "d:Description": "Article focused on the Czech painter's education, career and work.", "topic": "Top/Arts/Art_History/Artists/K/Kupka,_Frantisek", "url": "http://en.wikipedia.org/wiki/Frantisek_Kupka"} +{"d:Title": "Art Renewal Center - Frantisek Kupka", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/K/Kupka,_Frantisek", "url": "http://www.artrenewal.org/pages/artist.php?artistid=4685"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Kupka's masterpiece 'Vertical and Diagonal Planes'.", "topic": "Top/Arts/Art_History/Artists/K/Kupka,_Frantisek", "url": "http://www.metmuseum.org/toah/works-of-art/1971.111"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Kupka's biography along with proper analysis on his known artworks.", "topic": "Top/Arts/Art_History/Artists/K/Kupka,_Frantisek", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/320"} +{"d:Title": "Following Traces of Frantisek Kupka", "d:Description": "Interactive content towards the Czech artist such as biography, news, and places that had a certain influence on his art.", "topic": "Top/Arts/Art_History/Artists/K/Kupka,_Frantisek", "url": "http://kupka.kralovehradeckyregion.cz/en/index.shtml"} +{"d:Title": "Guggenheim Collection: Frantisek Kupka", "d:Description": "Works in the collection, biography, and suggested reading.", "topic": "Top/Arts/Art_History/Artists/K/Kupka,_Frantisek", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/810"} +{"d:Title": "Larsson, Carl (1853 - 1919)", "d:Description": "Information about the Swedish artist's life and work, a gallery with a few of his paintings, and about his home in Sundborn, Dalarna, which today is a museum.", "topic": "Top/Arts/Art_History/Artists/L", "url": "http://www.carllarsson.se/"} +{"d:Title": "Lavezzari, Vittorio", "d:Description": "Life and works, Symbolism and Liberty, Staglieno cemetery, style's evolution, angels.", "topic": "Top/Arts/Art_History/Artists/L", "url": "http://digilander.libero.it/vitlav/indicein.htm"} +{"d:Title": "Lebrun, Charles", "d:Description": "The first painter to King Louis XIV of France. Illustrations of paintings, drawings and decorations from the Old Master.", "topic": "Top/Arts/Art_History/Artists/L", "url": "http://www.charleslebrun.com/"} +{"d:Title": "Lewis, John Frederick", "d:Description": "Bob Speel's biographical notes about the artist and his paintings.", "topic": "Top/Arts/Art_History/Artists/L", "url": "http://myweb.tiscali.co.uk/speel/paint/lewis.htm"} +{"d:Title": "Lesur, Henry Victor", "d:Description": "Biographical information and images of paintings by French born highlife and genre painter who flourished from 1887-1900. A complete listing of works exhibited at the Paris Salon is included.", "topic": "Top/Arts/Art_History/Artists/L", "url": "http://www.rehsgalleries.com/henry_victor_lesur_virtex.htm"} +{"d:Title": "Lucas, Edwin (1911-1990)", "d:Description": "Featuring the surreal, figurative, abstract and landscape paintings in oil or watercolor by this Scottish artist. Includes biography and exhibition schedule.", "topic": "Top/Arts/Art_History/Artists/L", "url": "http://www.edwinglucas.com/"} +{"d:Title": "La Farge, John", "d:Description": "Provides information on one of his masterpiece \"Wild Roses and Irises\".", "topic": "Top/Arts/Art_History/Artists/L", "url": "http://www.metmuseum.org/toah/works-of-art/50.113.3"} +{"d:Title": "Artcyclopedia: Sir Edwin Henry Landseer on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.artcyclopedia.com/artists/landseer_sir_edwin_henry.html"} +{"d:Title": "Olga's Gallery: Sir Edwin Landseer", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.abcgallery.com/L/landseer/landseer.html"} +{"d:Title": "Grave of Edwin Landseer", "d:Description": "Pictures of artist's grave.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11801"} +{"d:Title": "Sir Edwin Henry Landseer RA RI", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://myweb.tiscali.co.uk/speel/paint/landseer.htm"} +{"d:Title": "Wikipedia - Sir Edwin Landseer", "d:Description": "Features a brief biography and a gallery showing some of the English painter works.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://en.wikipedia.org/wiki/Edwin_Henry_Landseer"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Proper analysis on one of his artworks 'Lachrymae (Mary Lloyd)'.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.metmuseum.org/toah/works-of-art/96.28"} +{"d:Title": "The Athenaeum: Sir Edwin Landseer", "d:Description": "Gallery focus mostly on paintings of animals.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=22"} +{"d:Title": "National Portrait Gallery", "d:Description": "Several portraits done by Landseer, includes medium.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp02614&role=art"} +{"d:Title": "BBC - Sir Edwin Landseer", "d:Description": "Slideshow containing over 70 paintings.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/edwin-henry-landseer"} +{"d:Title": "Flood in the Highlands by Edwin Landseer", "d:Description": "Story about one of the artist's paintings.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.aagm.co.uk/thecollections/objects/object/Flood-in-the-Highlands"} +{"d:Title": "The Border Collie Museum", "d:Description": "Collection of artist's works.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.bordercolliemuseum.org/Landseer/Landseer.html"} +{"d:Title": "Tate Collection: Sir Edwin Landseer", "d:Description": "Features an extensive gallery including background information on most of them.", "topic": "Top/Arts/Art_History/Artists/L/Landseer,_Sir_Edwin", "url": "http://www.tate.org.uk/art/artists/sir-edwin-henry-landseer-323"} +{"d:Title": "Laugee, Georges (1853 - c.1928)", "d:Description": "Informative biography and small exhibition of the works of the French Realist artist, who was born in Montivilliers on December 19, 1853 and made his debut at the Paris Salon in 1877.", "topic": "Top/Arts/Art_History/Artists/L/Laugee,_Georges", "url": "http://www.rehsgalleries.com/georges_laugee_virtex.htm"} +{"d:Title": "In the Springtime of Life", "d:Description": "Image of George Laugee's work that was exhibited at the World's Columbian Exposition, 1893.", "topic": "Top/Arts/Art_History/Artists/L/Laugee,_Georges", "url": "http://columbus.gl.iit.edu/artarch/00174021.html"} +{"d:Title": "Schiller&Bodo", "d:Description": "Brief biography including an image on one of his paintings 'Harvesting the Fields'.", "topic": "Top/Arts/Art_History/Artists/L/Laugee,_Georges", "url": "http://www.schillerandbodo.com/artists/laugee/artworks/harvesting-the-fields"} +{"d:Title": "The Surrender of Cornwallis at Yorktown", "d:Description": "Background information towards Laurens' mural paintings at Baltimore city.", "topic": "Top/Arts/Art_History/Artists/L/Laurens,_Jean-Paul", "url": "http://msa.maryland.gov/msa/speccol/sc5500/sc5590/html/laurens.html"} +{"d:Title": "Artcyclopedia: Georges de La Tour on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.artcyclopedia.com/artists/la_tour_georges_de.html"} +{"d:Title": "Christus Rex: Georges de La Tour", "d:Description": "A virtual gallery of the artist's most famous paintings.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.christusrex.org/www2/art/Latour.htm"} +{"d:Title": "Olga's Gallery: Georges de La Tour", "d:Description": "Comprehensive collection of the artist's work with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.abcgallery.com/L/latour/latour.html"} +{"d:Title": "Tigertail Virtual Museum: Georges de La Tour", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://tvm.tigtail.org/TIG/TVM/X1/f.Baroque/c.french/la-tour/la-tour.html"} +{"d:Title": "Wikipedia: Georges de La Tour", "d:Description": "Encyclopedia article covering his personal life, works and galleries.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://en.wikipedia.org/wiki/Georges_de_La_Tour"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Brief description on one of his masterpieces 'The Fortune Teller'.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.metmuseum.org/toah/works-of-art/60.30"} +{"d:Title": "Web Gallery of Art - Georges de La Tour", "d:Description": "Collection of artist's works with a biography and comments on most paintings.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.wga.hu/html/l/la_tour/georges/1/index.html"} +{"d:Title": "Biblical art by Georges de La Tour", "d:Description": "Artist's gallery inspired by stories in the bible.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.artbible.info/art/work/georges-de-la-tour"} +{"d:Title": "Getty Museum: Georges de La Tour", "d:Description": "Short biography together with proper analysis on his artwork 'Musician's Brawl'.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.getty.edu/art/collection/artists/495/georges-de-la-tour-french-1593-1652/"} +{"d:Title": "Georges de La Tour", "d:Description": "Essay by Jacques-Edouard Berger about the famous French artist.", "topic": "Top/Arts/Art_History/Artists/L/La_Tour,_Georges_de", "url": "http://www.jebfoundation.ch/LaTour/english/"} +{"d:Title": "Frederic Leighton: Works Viewable Online", "d:Description": "Guide to art museum sites and image archives where his paintings can be seen online.", "topic": "Top/Arts/Art_History/Artists/L/Leighton,_Frederick", "url": "http://www.artcyclopedia.com/artists/leighton_lord_frederic.html"} +{"d:Title": "Wikipedia - Frederick Leighton", "d:Description": "Encyclopedia article featuring a biography, timeline and selected artworks towards the English painter and sculptor. Gallery is also available dated from 1858 to 1892.", "topic": "Top/Arts/Art_History/Artists/L/Leighton,_Frederick", "url": "http://en.wikipedia.org/wiki/Frederick_Leighton"} +{"d:Title": "The National Gallery - Frederick Leighton", "d:Description": "Features a brief introduction and a gallery showing some of his works including background information.", "topic": "Top/Arts/Art_History/Artists/L/Leighton,_Frederick", "url": "http://www.nationalgallery.org.uk/artists/frederic-lord-leighton"} +{"d:Title": "NNDB: Frederick Leighton", "d:Description": "Extensive biography towards the English artists born in Yorkshire.", "topic": "Top/Arts/Art_History/Artists/L/Leighton,_Frederick", "url": "http://www.nndb.com/people/369/000086111/"} +{"d:Title": "Lord Frederick Leighton", "d:Description": "The Art Renewal Center's information on Lord Frederick Leighton. Features biography and a large collection of high-resolution images, including Music Lesson, Flaming June, Lachrymae, Perseus on Pegasus Hastening to the Rescue of Andromeda, The Maid with the Yellow Hair, and Spirit of the Summit.", "topic": "Top/Arts/Art_History/Artists/L/Leighton,_Frederick", "url": "http://www.artrenewal.org/pages/artist.php?artistid=14"} +{"d:Title": "Tate Collection: Frederick Leighton", "d:Description": "Artist's biography along with over 20 artworks including sculptures, paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/L/Leighton,_Frederick", "url": "http://www.tate.org.uk/art/artists/frederic-lord-leighton-342"} +{"d:Title": "Grave of Isaak Levitan", "d:Description": "Pictures of artist's grave in Moscow.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20400"} +{"d:Title": "Artcyclopedia: Isaak Il'ich Levitan on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://www.artcyclopedia.com/artists/levitan_isaak_ilich.html"} +{"d:Title": "Olga's Gallery: Isaac Levitan", "d:Description": "Collection of artist's works, with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://www.abcgallery.com/L/levitan/levitan.html"} +{"d:Title": "Levitan's Autumn Day, Sokolniki Park (1879)", "d:Description": "One of the artist's paintings.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://max.mmlc.northwestern.edu/~mdenner/Drama/visualarts/Realism/autumnday4.html"} +{"d:Title": "Levitan, Isaak", "d:Description": "The works of the Russian landscape painter.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://www.russianartgallery.org/levitan/index.htm"} +{"d:Title": "Issak Levitan at Bert Christensen's Homepage", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://www.bertc.com/subone/g21/index.htm"} +{"d:Title": "Wikipedia - Isaac Levitan", "d:Description": "Article focused on the Russian painter's life, works and legacy. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://en.wikipedia.org/wiki/Isaac_Levitan"} +{"d:Title": "The Athenaeum - Isaac Levitan", "d:Description": "Gallery containing a total of 123 paintings.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=2410"} +{"d:Title": "Isaac Levitan - WikiArt", "d:Description": "Contains the works of the artist, organized in several ways, including chronologically, alphabetically and by technique.", "topic": "Top/Arts/Art_History/Artists/L/Levitan,_Isaac", "url": "http://www.wikiart.org/en/isaac-levitan"} +{"d:Title": "Wikipedia: Lucien L\u00e9vy-Dhurmer", "d:Description": "Encyclopedia article covering his artistic contribution and historical perspectives.", "topic": "Top/Arts/Art_History/Artists/L/L\u00e9vy-Dhurmer,_Lucien", "url": "http://en.wikipedia.org/wiki/Lucien_L%C3%A9vy-Dhurmer"} +{"d:Title": "Artcyclopedia: Lucien L\u00e9vy-Dhurmer", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/L\u00e9vy-Dhurmer,_Lucien", "url": "http://www.artcyclopedia.com/artists/levy-dhurmer_lucien.html"} +{"d:Title": "Christie's - Lucien L\u00e9vy-Dhurmer", "d:Description": "Background information on one of his paintings 'La bourrasque'.", "topic": "Top/Arts/Art_History/Artists/L/L\u00e9vy-Dhurmer,_Lucien", "url": "http://www.christies.com/LotFinder/lot_details.aspx?intObjectID=4601185"} +{"d:Title": "Roy Lichtenstein Foundation", "d:Description": "The estate of the artist with information about exhibitions of his work.", "priority": "1", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.lichtensteinfoundation.org/"} +{"d:Title": "PopArtists: Roy Lichtenstein", "d:Description": "Features some images by the artist.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.popartists.com/new/lichtenstein/lichtenstein1.html"} +{"d:Title": "Deconstructing Roy Lichtenstein", "d:Description": "The original comic book source images of the artist.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://davidbarsalou.homestead.com/LICHTENSTEINPROJECT.html"} +{"d:Title": "Gallery Lichtenstein", "d:Description": "Wide collection of the American artist including edition information as well as printer, publisher and sizes. The database is also organized by time line.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.gallerylichtenstein.com/"} +{"d:Title": "Artchive Information", "d:Description": "Artist biography with links to further reading and image examples of art works.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.artchive.com/artchive/L/lichtenstein.html"} +{"d:Title": "Wikipedia: Roy Lichtenstein", "d:Description": "Encyclopedia article covering his early years, rise to fame and awards.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://en.wikipedia.org/wiki/Roy_Lichtenstein"} +{"d:Title": "Humanities Web: Roy Lichtenstein", "d:Description": "Biographical chronology, selected works and suggested readings.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.humanitiesweb.org/spa/gcb/ID/143"} +{"d:Title": "The Art Story: Roy Lichtenstein", "d:Description": "Detailed biography covering his childhood, early training, late periods, legacy and additional quotes.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.theartstory.org/artist-lichtenstein-roy.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Lichtenstein's biography in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/339"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Lichtenstein\u2019s gallery.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.artic.edu/aic/collections/artwork/artist/Lichtenstein,+Roy"} +{"d:Title": "Collection Tate: Roy Lichtenstein", "d:Description": "Artist's biography along with over 30 artworks including background information on each one.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.tate.org.uk/art/artists/roy-lichtenstein-1508"} +{"d:Title": "MoMA Collection: Roy Lichtenstein", "d:Description": "Gallery slideshow together with an extensive biography.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.moma.org/collection/artists/3542"} +{"d:Title": "Photoshop Tutorial", "d:Description": "A tutorial explaining how to replicate Lichtenstein's unique style using Adobe's Photoshop program.", "topic": "Top/Arts/Art_History/Artists/L/Lichtenstein,_Roy", "url": "http://www.melissaevans.com/tutorials/pop-art-inspired-by-lichtenstein"} +{"d:Title": "Filippino Lippi - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.abcgallery.com/L/lippi/lippi.html"} +{"d:Title": "Artcyclopedia: Filippino Lippi on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.artcyclopedia.com/artists/lippi_filippino.html"} +{"d:Title": "Catholic Encyclopedia: Filippino Lippi", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.newadvent.org/cathen/09277a.htm"} +{"d:Title": "Web Gallery of Art: Lippi, Filippino", "d:Description": "Image gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.wga.hu/html/l/lippi/flippino/"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Lippi's masterpieces featured on the slideshow together with a biography.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.metmuseum.org/toah/hd/lipp/hd_lipp.htm"} +{"d:Title": "Web Gallery of Art: Filippino Lippi", "d:Description": "Extensive gallery of Lippi's artwork starting from 1480 till 1486.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.wga.hu/html/l/lippi/flippino/1/index.html"} +{"d:Title": "The Athenaeum - Filippino Lippi", "d:Description": "Features over 80 paintings belong to the High Renaissance painter in high detail.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=3544"} +{"d:Title": "The National Gallery - Filippino Lippi", "d:Description": "Features a biography and analysis in some of his paintings.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.nationalgallery.org.uk/artists/filippino-lippi"} +{"d:Title": "Biblical art by Filippino Lippi", "d:Description": "Artist's gallery inspired by stories in the bible.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.artbible.info/art/biography/filippino-lippi"} +{"d:Title": "National Gallery of Art - Filippino Lippi", "d:Description": "Provides a biography, and a list of paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Filippino", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1488.html"} +{"d:Title": "The Life of Fra Filippo Lippi", "d:Description": "Excerpts from the biography of the artist by famous Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/lippi/lippi.htm"} +{"d:Title": "Artcyclopedia: Fra Filippo Lippi on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.artcyclopedia.com/artists/lippi_fra_filippo.html"} +{"d:Title": "Catholic Encyclopedia: Filippo Lippi", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.newadvent.org/cathen/09278a.htm"} +{"d:Title": "Fra Filippo Lippi - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.abcgallery.com/L/lippi/flippi.html"} +{"d:Title": "Life of an Artist: Fra Filippo Lippi", "d:Description": "Biography of the Florentine painter as written by Giorgio Vasari. Includes an image gallery.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.artist-biography.info/artist/fra_filippo_lippi/"} +{"d:Title": "Tigertail Virtual Museum: Fra Flippo Lippi", "d:Description": "Collection of images of artist's works and a short biography.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://tigtail.org/TIG/TVM/X1/a.Early%20Italian/lippi-fra/lippi-fra.html"} +{"d:Title": "Web Gallery of Art: Lippi, Fra Filippo", "d:Description": "Image gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.wga.hu/html/l/lippi/filippo/"} +{"d:Title": "Wikipedia - Fra Filippo Lippi", "d:Description": "Biography, artworks and notes regarding the Italian painter.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://en.wikipedia.org/wiki/Fra_Filippo_Lippi"} +{"d:Title": "Web Gallery of Art: Fra Filippo Lippi", "d:Description": "Biography and comments on most paintings featured.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.wga.hu/bio/l/lippi/filippo/biograph.html"} +{"d:Title": "The Grave of Fra Filippo Lippi", "d:Description": "Location of his grave along with a biography.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=72697113"} +{"d:Title": "National Gallery of Art - Fra Filippo Lippi", "d:Description": "Artist's biography and a list of his paintings. Provides background information on 2 of his works 'The Adoration of the Magi' and 'Madonna and Child'.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1489"} +{"d:Title": "The Athenaeum - Fra Filippo Lippi", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=3542"} +{"d:Title": "The National Gallery", "d:Description": "Features a biography and analysis on each Lippi's artworks listed.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.nationalgallery.org.uk/artists/fra-filippo-lippi"} +{"d:Title": "Humanities Web: Fra Filippo Lippi", "d:Description": "Features a biography as well as a list of his selected works and chronological events.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.humanitiesweb.org/spa/gcb/ID/178"} +{"d:Title": "BBC - Fra Filippo Lippi", "d:Description": "Slideshow containing over 10 paintings.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/filippo-lippi"} +{"d:Title": "Lippi: Fra Filippo Lippi", "d:Description": "Short artist's biography from infoplease.com.", "topic": "Top/Arts/Art_History/Artists/L/Lippi,_Fra_Filippo", "url": "http://www.infoplease.com/encyclopedia/people/lippi.html"} +{"d:Title": "Suprematicheskii Skaz (About 2 Squares)", "d:Description": "Online version of the artist's book \"About 2 Squares\".", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://www.eldritchpress.org/el/pro.html"} +{"d:Title": "Wikipedia - El Lissitzky", "d:Description": "Encyclopedia article featuring his early years and Avant garde including exhibitions back in 1920s.", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://en.wikipedia.org/wiki/El_Lissitzky"} +{"d:Title": "\"Monuments of the Future\": Designs by El Lissitzky", "d:Description": "The Getty Research Institute has mounted a Web site devoted to the life and works of Russian artist El Lissitzky (1890-1941), one of the great avant-garde figures of the 20th century.", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://www.getty.edu/research/tools/guides_bibliographies/lissitzky/index2.html"} +{"d:Title": "Find A Grave - El Lissitzky", "d:Description": "Location and pictures of the Russian artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=62703848"} +{"d:Title": "The Art Story: El Lissitzky", "d:Description": "Detailed biography covering his childhood, early training, late periods, legacy and additional quotes.", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://www.theartstory.org/artist-lissitzky-el.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "El Lissitzky's biography in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/344"} +{"d:Title": "Collection Tate: El Lissitzky", "d:Description": "Artist's brief biography together with a gallery of his famous artworks.", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://www.tate.org.uk/art/artists/el-lissitzky-1519"} +{"d:Title": "MoMA Collection: El Lissitzky", "d:Description": "Comprehensive biography focused on his life and work; includes a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/L/Lissitzky,_El", "url": "http://www.moma.org/collection/artists/3569"} +{"d:Title": "Artcyclopedia: Claude Lorrain", "d:Description": "Links to pictures of artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.artcyclopedia.com/artists/claude_lorrain.html"} +{"d:Title": "Claude Lorrain", "d:Description": "Artist's biography with bibliography and several images of his works.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://xroads.virginia.edu/~HYPER/DETOC/hudson/claude.html"} +{"d:Title": "Tigertail Virtual Museum: Claude Lorrain", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.tigtail.org/TIG/TVM/X1/f.Baroque/c.french/lorrain/lorrain.html"} +{"d:Title": "Catholic Encyclopedia: Claude de Lorrain", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.newadvent.org/cathen/09361a.htm"} +{"d:Title": "Web Gallery of Art: Claude Lorrain", "d:Description": "Image gallery of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.wga.hu/html/c/claude/"} +{"d:Title": "Wikipedia: Claude Lorrain", "d:Description": "Article focused on the French painter's early life, critical assessment and legacy. Provides a list of selected artwork.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://en.wikipedia.org/wiki/Claude_Lorrain"} +{"d:Title": "Find A Grave - Claude Lorrain", "d:Description": "Location and pictures of his grave.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=74525795"} +{"d:Title": "NGA: Claude Lorrain", "d:Description": "Provides a biography, and a list of paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1145"} +{"d:Title": "Artble: Claude Lorrain", "d:Description": "Covers the French artist's biography, style, technique and critical reception. Images of his artworks are also available.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.artble.com/artists/claude_lorrain"} +{"d:Title": "BBC - Claude Lorrain", "d:Description": "Slideshow containing over 30 artworks.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/claude-lorrain"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Lorrain\u2019s gallery presenting his landscape paintings.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.artic.edu/aic/collections/artwork/artist/Lorrain,+Claude"} +{"d:Title": "ARC: Claude Lorrain", "d:Description": "Collection of artist's works and a short biography.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.artrenewal.org/pages/artist.php?artistid=820"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Short biography regarding Lorrain together with background information and analysis on five of his works.", "topic": "Top/Arts/Art_History/Artists/L/Lorrain,_Claude", "url": "http://www.getty.edu/art/collection/artists/668/claude-lorrain-claude-gelle-french-1604-or-1605-1682/"} +{"d:Title": "Artcyclopedia: Lorenzo Lotto on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.artcyclopedia.com/artists/lotto_lorenzo.html"} +{"d:Title": "Catholic Encyclopedia: Lotto, Lorenzo", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.newadvent.org/cathen/09367a.htm"} +{"d:Title": "CGFA: Lorenzo Lotto", "d:Description": "Image gallery and artist's biography from MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://sunsite.icm.edu.pl/cjackson/lotto/"} +{"d:Title": "Haber's Art Reviews: Fillipino Lippi, Lorenzo Lotto, and Style", "d:Description": "Art as style: review by John Haber of Fillipino Lippi's and Lorenzo Lotto's drawings.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.haberarts.com/lippi.htm"} +{"d:Title": "Lorenzo Lotto - Re-discovery of a Renaissance Master", "d:Description": "Review of the Lorenzo Lotto exhibition in Paris in 1999 by Culturekiosque.com.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.culturekiosque.com/art/exhibiti/rhelotto.htm"} +{"d:Title": "Lorenzo Lotto: Virtual Tour", "d:Description": "Artist's works from National Gallery of Art presented as a virtual tour.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.nga.gov/exhibitions/lotwel.htm"} +{"d:Title": "MyStudios: Lorenzo Lotto", "d:Description": "Short biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.mystudios.com/art/italian/lotto/lotto.html"} +{"d:Title": "Olga's Gallery: Lorenzo Lotto", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.abcgallery.com/L/lotto/lotto.html"} +{"d:Title": "Tigertail Virtual Museum: Lorenzo Lotto", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://tvm.tigtail.org/TIG/TVM/X1/b.High%20Italian/lotto/lotto.html"} +{"d:Title": "Web Gallery of Art: Lotto, Lorenzo", "d:Description": "Gallery of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.wga.hu/html/l/lotto/"} +{"d:Title": "Wikipedia: Lorenzo Lotto", "d:Description": "Biography and selected artworks regarding the Italian artist.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://en.wikipedia.org/wiki/Lorenzo_Lotto"} +{"d:Title": "Web Gallery of Art: Lorenzo Lotto", "d:Description": "Collection of artist's works with a biography and comments on most paintings.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.wga.hu/bio/l/lotto/biograph.html"} +{"d:Title": "NGA: Lorenzo Lotto", "d:Description": "Provides a list of the Italian artist's paintings including additional information on two of them.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1495"} +{"d:Title": "The National Gallery", "d:Description": "Brief biography together with four of his famous paintings with notes on each one of them.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.nationalgallery.org.uk/artists/lorenzo-lotto"} +{"d:Title": "ARC Image Archives: Lorenzo Lotto", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.artrenewal.org/pages/artist.php?artistid=819"} +{"d:Title": "Lorenzo Lotto at J. Paul Getty Museum", "d:Description": "Artist's biography with links to images of his works.", "topic": "Top/Arts/Art_History/Artists/L/Lotto,_Lorenzo", "url": "http://www.getty.edu/art/collection/artists/600/lorenzo-lotto-italian-venetian-about-1480-1556/"} +{"d:Title": "The Lowry", "d:Description": "Large art gallery in Salford, Manchester, built for the millennium, containing some 300 works by the artist LS Lowry. Guide to current and future events and facilities, and information for visitors, schools and corporate users.", "topic": "Top/Arts/Art_History/Artists/L/Lowry,_Laurence_Stephen", "url": "http://www.thelowry.com/"} +{"d:Title": "Wikipedia - Laurence Stephen Lowry", "d:Description": "Biography, artworks and awards regarding the English artist.", "topic": "Top/Arts/Art_History/Artists/L/Lowry,_Laurence_Stephen", "url": "http://en.wikipedia.org/wiki/L._S._Lowry"} +{"d:Title": "BBC - Laurence Stephen Lowry", "d:Description": "Slideshow containing 70 artworks.", "topic": "Top/Arts/Art_History/Artists/L/Lowry,_Laurence_Stephen", "url": "http://www.bbc.co.uk/arts/yourpaintings/artists/laurence-stephen-lowry"} +{"d:Title": "Visit Cumbria", "d:Description": "Presents an introduction to the artists and comments on each artwork featured.", "topic": "Top/Arts/Art_History/Artists/L/Lowry,_Laurence_Stephen", "url": "http://www.visitcumbria.com/l-s-lowry/"} +{"d:Title": "Milde, Carl Julius (1803-1875)", "d:Description": "Short biography with focus on the artist's work with L\u00fcbeck's death dance.", "topic": "Top/Arts/Art_History/Artists/M", "url": "http://www.dodedans.com/Emilde.htm"} +{"d:Title": "Meinecke, Tristan", "d:Description": "A Chicago based artist (1916 - 2004) known for layers within layers of abstract expressionism, surrealism, cubism, found art, and his well-established form called split-level painting. Works and information on the artist.", "topic": "Top/Arts/Art_History/Artists/M", "url": "http://tmeinecke.com/"} +{"d:Title": "Minio, Letizia (1932-2005)", "d:Description": "Biography, critique and portfolio of an Italian contemporary artist known for her figurative, landscape and underwater paintings. [English and Italian]", "topic": "Top/Arts/Art_History/Artists/M", "url": "http://www.letiziaminio.it/"} +{"d:Title": "Magritte Foundation", "d:Description": "Provides copyright and rights information about the artist's work. Based in Brussels.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.magritte.be/"} +{"d:Title": "Artchive - Rene Magritte", "d:Description": "Excerpt from the artist's biography by Abraham Marie Hammacher and a collection of images.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.artchive.com/artchive/M/magritte.html"} +{"d:Title": "Bert Christensen - Rene Magritte", "d:Description": "Thumbnail gallery of the Belgian artist.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.bertc.com/magritte_menu.htm#x"} +{"d:Title": "Olga's Gallery - Ren\u00e9 Magritte", "d:Description": "Collection of works of the Belgian Surrealist artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.abcgallery.com/M/magritte/magritte.html"} +{"d:Title": "Ren\u00e9 Magritte - Gallery of Art", "d:Description": "Thumbnail gallery of the Belgian Surrealist painter.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.mcs.csueastbay.edu/~malek/Magrit.html"} +{"d:Title": "Wikipedia: Ren\u00e9 Magritte", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Surrealist painter. Collection of his artworks is also available dated from 1898 to 1967.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://en.wikipedia.org/wiki/Ren%C3%A9_Magritte"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Analysis on Magritte's masterpiece 'The Eternally Obvious'.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.metmuseum.org/toah/works-of-art/2002.456.12a-f"} +{"d:Title": "The Grave of Ren\u00e9 Magritte (1898 - 1967)", "d:Description": "Photos from Brussels-Capital Region, Belgium.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4496"} +{"d:Title": "Tate Collection: Ren\u00e9 Magritte", "d:Description": "Brief biography including analysis on some of his artworks.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.tate.org.uk/art/artists/rene-magritte-1553"} +{"d:Title": "The Art Story: Ren\u00e9 Magritte", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.theartstory.org/artist-magritte-rene.htm"} +{"d:Title": "Museo Thyssen-Bornemisza", "d:Description": "Magritte's biography in English and in Spanish.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.museothyssen.org/en/thyssen/ficha_artista/381"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Magritte's gallery including size, medium and date.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.artic.edu/aic/collections/artwork/artist/Magritte,+Rene"} +{"d:Title": "National Gallery of Art - Ren\u00e9 Magritte", "d:Description": "Provides one of Belgian artist's paintings 'La condition humaine' with a brief introduction.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.70170.html"} +{"d:Title": "San Francisco Museum of Modern Art - Rene Magritte", "d:Description": "Presents \"Les valeurs personellesjoins\" - a pencil-on-paper drawing by Magritte.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "https://www.sfmoma.org/artist/Ren%C3%A9_Magritte"} +{"d:Title": "MoMA Collection: Ren\u00e9 Magritte", "d:Description": "Provides a comprehensive biography including a slideshow showing images of his work.", "topic": "Top/Arts/Art_History/Artists/M/Magritte,_Ren\u00e9", "url": "http://www.moma.org/artists/3692"} +{"d:Title": "Kasimir Malevich: The Commissar of Space", "d:Description": "In this series of images, John Goto makes a reading of the mysterious final years of the Russian assist, setting his life and art against the upheavals of the early Stalinist period and its aftermath in contemporary Russia.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.johngoto.org.uk/malevich.htm"} +{"d:Title": "Artchive - Kasimir Malevich", "d:Description": "20 some works to explore.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.artchive.com/artchive/M/malevich.html"} +{"d:Title": "WebMuseum: Malevich, Kasimir", "d:Description": "Biography, links to images and further information.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.ibiblio.org/wm/paint/auth/malevich/"} +{"d:Title": "Olga's Gallery - Kazimir Malevich", "d:Description": "Collection of works of the Russian artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.abcgallery.com/M/malevich/malevich.html"} +{"d:Title": "Wikipedia - Kasimir Malevich", "d:Description": "Biography, work and gallery regarding the Russian artist.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://en.wikipedia.org/wiki/Kazimir_Malevich"} +{"d:Title": "The Grave of Kasimir Malevich", "d:Description": "Location of the Russian artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=13688391"} +{"d:Title": "The Athenaeum: Kasimir Malevich", "d:Description": "Gallery containing a total of 25 paintings.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=415"} +{"d:Title": "The Art Story: Kasimir Malevich", "d:Description": "Detailed biography covering his childhood, early training, late periods, legacy and additional quotes.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.theartstory.org/artist-malevich-kasimir.htm"} +{"d:Title": "MoMA Collection: Kasimir Malevich", "d:Description": "Collection of the Russian artist since 1911.", "topic": "Top/Arts/Art_History/Artists/M/Malevich,_Kasimir", "url": "http://www.moma.org/artists/3710"} +{"d:Title": "National Gallery of Art: Manet's The Railway", "d:Description": "In-depth study, it discusses the creator, whose depictions of modern life greatly influenced other artists and writers of his time, and examines the context of the painting in relation to the rapidly changing city of Paris of the late-nineteenth century.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.nga.gov/collection/railwel.htm"} +{"d:Title": "Olga's Gallery: Edouard Manet", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://abcgallery.com/M/manet/manet.html"} +{"d:Title": "Edouard Manet's Studio", "d:Description": "Image archive, notes about his life, letters, and friends.", "priority": "1", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.mystudios.com/manet/manet.html"} +{"d:Title": "Artchive: Edouard Manet", "d:Description": "Contains a biography of the artist plus images.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.artchive.com/artchive/M/manet.html"} +{"d:Title": "WebMuseum: Manet, Edouard", "d:Description": "Biographical notes and paintings.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.ibiblio.org/wm/paint/auth/manet/"} +{"d:Title": "The Frick Collection - The Bullfight (1864)", "d:Description": "Brief commentary on the Manet painting.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://collections.frick.org/view/objects/asitem/items$0040:115"} +{"d:Title": "NCAW - Manet, Edouard", "d:Description": "Phylis A. Floyd's scholarly article The Puzzle of Olympia.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.19thc-artworldwide.org/index.php/component/content/article/70-spring04article/285-the-puzzle-of-olympia"} +{"d:Title": "Wikipedia: \u00c9douard Manet", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Impressionist painter. Collection of his artworks is also available dated from 1860 to 1883.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://en.wikipedia.org/wiki/%C3%89douard_Manet"} +{"d:Title": "Artble: \u00c9douard Manet", "d:Description": "Comprehensive biography including artist context, style, technique and critical reception.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.artble.com/artists/edouard_manet"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features the life and works of art of the French Impressionist through his biography and a slideshow.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.metmuseum.org/toah/hd/mane/hd_mane.htm"} +{"d:Title": "The National Gallery - \u00c9douard Manet", "d:Description": "Brief biography regarding the French artist born in Paris. Additional historical background information on each painting featured.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.nationalgallery.org.uk/artists/edouard-manet"} +{"d:Title": "Find A Grave - \u00c9douard Manet", "d:Description": "Location and pictures of the French artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2245"} +{"d:Title": "The Athenaeum - \u00c9douard Manet", "d:Description": "Features a total of 174 paintings of the French artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=400"} +{"d:Title": "The Art Story: \u00c9douard Manet", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.theartstory.org/artist-manet-edouard.htm"} +{"d:Title": "NNDB: \u00c9douard Manet", "d:Description": "Artist's biography including a factual summary.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.nndb.com/people/684/000084432/"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Manet\u2019s gallery from 1860 to 1882.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.artic.edu/aic/collections/artwork/artist/Manet,+Edouard"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Shows Manet's biography and style by analyzing some of his work including 'Portrait of Madame Brunet' and 'Bullfight'.", "topic": "Top/Arts/Art_History/Artists/M/Manet,_\u00c9douard", "url": "http://www.getty.edu/art/collection/artists/2911/douard-manet-french-1832-1883/"} +{"d:Title": "WebMuseum: Mantegna, Andrea", "d:Description": "Artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://www.ibiblio.org/wm/paint/auth/mantegna/"} +{"d:Title": "Mantegna's Prints in Tarot History", "d:Description": "Article about the set of engravings for the tarot cards attributed to the artist by Rafal T. Prinke.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://www.levity.com/alchemy/prink-ma.html"} +{"d:Title": "Catholic Encyclopedia: Andrea Mantegna", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://www.newadvent.org/cathen/09610a.htm"} +{"d:Title": "Life of Mantegna", "d:Description": "Collection of images of artist's works with the excerpts from biography by Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/mantegna/manteg.htm"} +{"d:Title": "Artcyclopedia: Andrea Mantegna", "d:Description": "Links to works by the Italian painter in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://www.artcyclopedia.com/artists/mantegna_andrea.html"} +{"d:Title": "Olga's Gallery", "d:Description": "Collection of works of the Italian Renaissance artist with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://www.abcgallery.com/M/mantegna/mantegna.html"} +{"d:Title": "Web Gallery of Art: Mantegna, Andrea", "d:Description": "Collection of images of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://www.wga.hu/html/m/mantegna/"} +{"d:Title": "Art Renewal Center: Andrea Mantegna", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/M/Mantegna,_Andrea", "url": "http://www.artrenewal.org/pages/artist.php?artistid=785"} +{"d:Title": "WebMuseum: Marc, Franz", "d:Description": "Artist's biography and gallery of his works.", "topic": "Top/Arts/Art_History/Artists/M/Marc,_Franz", "url": "http://www.ibiblio.org/wm/paint/auth/marc/"} +{"d:Title": "Wikipedia: Franz Marc", "d:Description": "Artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/M/Marc,_Franz", "url": "http://en.wikipedia.org/wiki/Franz_Marc"} +{"d:Title": "CopiArte: Franz Marc", "d:Description": "Image gallery of artist's works, features 124 paintings.", "topic": "Top/Arts/Art_History/Artists/M/Marc,_Franz", "url": "http://www.reproarte.com/painter/Franz_Marc/index.html"} +{"d:Title": "National Gallery of Art - Franz Marc", "d:Description": "Presents a brief list of paintings and prints", "topic": "Top/Arts/Art_History/Artists/M/Marc,_Franz", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=4808"} +{"d:Title": "The Grave of Franz Marc", "d:Description": "Location of his grave along with a biography.", "topic": "Top/Arts/Art_History/Artists/M/Marc,_Franz", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20800"} +{"d:Title": "Mark Harden's Artchive: Franz Marc", "d:Description": "Biography and around 10 examples of his art.", "topic": "Top/Arts/Art_History/Artists/M/Marc,_Franz", "url": "http://www.artchive.com/artchive/M/marc.html"} +{"d:Title": "Radio National, Other Worlds - Marclay Interview and Playlist", "d:Description": "Presents the 'grand-daddy of turntablism', performing at the Museum of Contemporary Art in Sydney.", "topic": "Top/Arts/Art_History/Artists/M/Marclay,_Christian", "url": "http://www.abc.net.au/rn/music/otherw/stories/s264020.htm"} +{"d:Title": "National Gallery of Art - John Martin", "d:Description": "View the images of two paintings: \"View on the River Wye, Looking towards Chepstow\" and \"Belshazzar's Feast\".", "topic": "Top/Arts/Art_History/Artists/M/Martin,_John", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=225410&imageset=1"} +{"d:Title": "Fine Arts Museums of San Francisco - John Martin", "d:Description": "Browse details on the collection of works by the artist.", "topic": "Top/Arts/Art_History/Artists/M/Martin,_John", "url": "http://art.famsf.org/john-martin"} +{"d:Title": "Catholic Encyclopedia - Simone Martini", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/M/Martini,_Simone", "url": "http://www.newadvent.org/cathen/09730d.htm"} +{"d:Title": "ChristusRex - Simone Martini", "d:Description": "Artist's biography and the most famous of his works.", "topic": "Top/Arts/Art_History/Artists/M/Martini,_Simone", "url": "http://www.christusrex.org/www2/art/Simone_Martini.htm"} +{"d:Title": "Olga's Gallery: Simone Martini", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Martini,_Simone", "url": "http://www.abcgallery.com/M/martini/martini.html"} +{"d:Title": "Simone Martini at Arthistory.cc", "d:Description": "Short biography and a collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/M/Martini,_Simone", "url": "http://www.arthistory.cc/auth/martini/"} +{"d:Title": "WebMuseum: Martini, Simone", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/M/Martini,_Simone", "url": "http://www.ibiblio.org/wm/paint/auth/martini/"} +{"d:Title": "Web Gallery of Art: Simone Martini", "d:Description": "Large image gallery of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/M/Martini,_Simone", "url": "http://www.wga.hu/html/s/simone/"} +{"d:Title": "Art Gallery: Masaccio", "d:Description": "An Online Gallery Featuring many Hi Res images of the artist, featuring the Brancacci Chapel frescos and the tribute money.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://www.christusrex.org/www2/art/masaccio.htm"} +{"d:Title": "Olga's Gallery: Masaccio", "d:Description": "Collection of the artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://www.abcgallery.com/M/masaccio/masaccio.html"} +{"d:Title": "Artchive: Masaccio", "d:Description": "Images (details) of the paintings.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://www.artchive.com/artchive/M/masaccio.html"} +{"d:Title": "Life of an Artist: Masaccio di S. Giovanni", "d:Description": "Biography of the painter as recorded by Giorgio Vasari. Includes an image gallery.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://www.artist-biography.info/artist/masaccio_giovanni/"} +{"d:Title": "Wikipedia - Masaccio", "d:Description": "Article focused on the Italian painter's life, works and legacy. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://en.wikipedia.org/wiki/Masaccio"} +{"d:Title": "Artble: Masaccio", "d:Description": "Comprehensive biography including artist context, style, technique and critical reception.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://www.artble.com/artists/tommaso_cassai_masaccio"} +{"d:Title": "Biblical art by Masaccio", "d:Description": "Gallery based on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://www.artbible.info/art/biography/masaccio"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Shows Masaccio's style by analyzing one of his work 'Saint Andrew'.", "topic": "Top/Arts/Art_History/Artists/M/Masaccio", "url": "http://www.getty.edu/art/collection/artists/846/masaccio-tommaso-di-giovanni-guidi-italian-florentine-1401-1428/"} +{"d:Title": "Masson, Andre", "d:Description": "French Surrealist artist. Includes chronology, bibliography, images of works and links.", "topic": "Top/Arts/Art_History/Artists/M/Masson,_Andr\u00e9", "url": "http://www.connectotel.com/masson/"} +{"d:Title": "McMullen Museum, Boston College - Andre Masson", "d:Description": "Read about the artist and his works and view some images from the Gotlieb collection.", "topic": "Top/Arts/Art_History/Artists/M/Masson,_Andr\u00e9", "url": "http://www.bc.edu/bc_org/avp/cas/artmuseum/exhibitions/archive/masson/masson.html"} +{"d:Title": "Peggy Guggenheim Collection - Andr\u00e9 Masson", "d:Description": "Presents a biography together with images of his work including paintings like \"Armor\" and \"Two Children\".", "topic": "Top/Arts/Art_History/Artists/M/Masson,_Andr\u00e9", "url": "http://www.guggenheim-venice.it/inglese/collections/artisti/biografia.php?id_art=109"} +{"d:Title": "Artchive: Henri Matisse", "d:Description": "Introduction to the artist with a collection of images.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.artchive.com/artchive/ftptoc/matisse_ext.html"} +{"d:Title": "Olga's Gallery: Henri Matisse", "d:Description": "Collection of works of the French artist with biography.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.abcgallery.com/M/matisse/matisse.html"} +{"d:Title": "WebMuseum: Henri Matisse", "d:Description": "Artist's biography and collection of images.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.ibiblio.org/wm/paint/auth/matisse/"} +{"d:Title": "Matisse, Henri and Pierre", "d:Description": "Biography of the painter and his son.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.cosmopolis.ch/english/cosmo2/matisse.htm"} +{"d:Title": "National Gallery of Art - Henri Matisse and the Fauves", "d:Description": "Detailed description of the exhibition \"Henri Matisse and the Fauves\", the artist and painting style.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.nga.gov/feature/artnation/fauve/index.htm"} +{"d:Title": "Henri Matisse: Works Viewable on the Internet", "d:Description": "Guide to art museum sites and image archives where paintings by the French artist can be viewed online.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.artcyclopedia.com/artists/matisse_henri.html"} +{"d:Title": "All About Henry Matisse", "d:Description": "Features images of his paintings along with biographical information on the artist.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.henry-matisse.com/"} +{"d:Title": "E.G. Buehrle Collection - Henri Matisse", "d:Description": "The E.G. B\u00fchrle Collection features \"The Pont Saint-Michel, Paris\" from 1903.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.buehrle.ch/works_detail.php?lang=en&id_pic=109"} +{"d:Title": "Henri Matisse Paintings", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.art-prints-gallery.com/gallery/henri_matisse/"} +{"d:Title": "Matisse: Life and Painting", "d:Description": "Comprehensive study of the life and art of the French artist with many hi-resolution images of paintings, drawings, cut-outs and sculpture. Features in-depth biography, photographs and illustrated books.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.henri-matisse.net/"} +{"d:Title": "The Metropolitan Museum of Art - Matisse", "d:Description": "A 2005 exhibition shows the impact of Henri Matisse's lifelong interest in textiles and its profound impact on his art.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.metmuseum.org/en/exhibitions/listings/2005/matisse-textiles"} +{"d:Title": "Art Institute of Chicago - Henri Matisse", "d:Description": "Features \"Bathers by a River\", a work completed in several stages between 1909 and 1916.", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.artic.edu/aic/collections/exhibitions/Modern/Bathers"} +{"d:Title": "The State Hermitage Museum - Henri Matisse", "d:Description": "The collection of the State Hermitage Museum in Russia features several works of the artist, including \"The Conversation,\" \"Bouquet of Flowers on a Veranda\" and \"Music and Vase Bottle and Fruit.\"", "topic": "Top/Arts/Art_History/Artists/M/Matisse,_Henri", "url": "http://www.hermitagemuseum.org/wps/portal/hermitage/explore/collections/col-search/?lng=en&p1=&p2=&p3=&p4=Matisse,+Henri"} +{"d:Title": "Roberto Matta: An Art Gallery", "d:Description": "Gallery and biography of 20th century Chilean surrealist painter.", "topic": "Top/Arts/Art_History/Artists/M/Matta,_Roberto", "url": "http://www.matta-art.com/"} +{"d:Title": "WebMuseum: Hans Memling", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/M/Memling,_Hans", "url": "http://www.ibiblio.org/wm/paint/auth/memling/"} +{"d:Title": "Olga's Gallery: Hans Memling", "d:Description": "Collection of images of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Memling,_Hans", "url": "http://www.abcgallery.com/M/memling/memling.html"} +{"d:Title": "Catholic Encyclopedia: Hans Memling", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/M/Memling,_Hans", "url": "http://www.newadvent.org/cathen/10172c.htm"} +{"d:Title": "Artcyclopedia: Hans Memling", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/M/Memling,_Hans", "url": "http://www.artcyclopedia.com/artists/memling_hans.html"} +{"d:Title": "Tigertail Virtual Museum: Hans Memling", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/M/Memling,_Hans", "url": "http://tigtail.org/TIG/TVM/X1/d.Late%20Gothic/memling/memling.html"} +{"d:Title": "Web Gallery of Art: Hans Memling", "d:Description": "Presents a set of images regarding Memling's work regarding his early works, middle period and mature years. Includes a biography.", "topic": "Top/Arts/Art_History/Artists/M/Memling,_Hans", "url": "http://www.wga.hu/frames-e.html?/html/m/memling/1early1/index.html"} +{"d:Title": "Anna Massey Lea Merritt", "d:Description": "Artist's profile and portfolio provided by the National Museum of Women in the Arts.", "topic": "Top/Arts/Art_History/Artists/M/Merritt,_Anna_Lea", "url": "http://www.nmwa.org/explore/artist-profiles/anna-lea-merritt"} +{"d:Title": "Treasures to Go - Willard L. Metcalf", "d:Description": "Brief biography and sample paintings.", "topic": "Top/Arts/Art_History/Artists/M/Metcalf,_Willard_L.", "url": "http://americanart.si.edu/treasures/bios/03295.html"} +{"d:Title": "May Night - Willard Metcalf at Old Lyme", "d:Description": "The Florence Griswold Museum provides an overview of the May 1 - September 11, 2005 exhibition.", "topic": "Top/Arts/Art_History/Artists/M/Metcalf,_Willard_L.", "url": "http://www.tfaoi.com/aa/5aa/5aa171.htm"} +{"d:Title": "The Athenaeum - Willard Leroy Metcalf", "d:Description": "Display of works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/M/Metcalf,_Willard_L.", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=tu&aid=432"} +{"d:Title": "Sidney Harold Meteyard (1868-1947)", "d:Description": "Brief biography of the Pre-Raphaelite artist Sidney Harold Meteyard. Includes scan of his picture 'Paradise Lost'. From Bob Speel.", "topic": "Top/Arts/Art_History/Artists/M/Meteyard,_Sidney", "url": "http://myweb.tiscali.co.uk/speel/paint/meteyard.htm"} +{"d:Title": "Sir John Everett Millais - Olga's Gallery", "d:Description": "Comprehensive collection of the images of Millais's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Millais,_Sir_John_Everett", "url": "http://www.abcgallery.com/M/millais/millais.html"} +{"d:Title": "Sir John Everett Millais, RA", "d:Description": "Good introduction to his life and the themes of his work from the Victorian Web.", "topic": "Top/Arts/Art_History/Artists/M/Millais,_Sir_John_Everett", "url": "http://www.victorianweb.org/painting/millais/"} +{"d:Title": "Millais' Ophelia", "d:Description": "Investigation into the picture: examination of the artist and the model, Elizabeth Siddall, overview of the Pre Raphaelite Brotherhood and understanding of the painting itself.", "topic": "Top/Arts/Art_History/Artists/M/Millais,_Sir_John_Everett", "url": "http://www.cazbo.co.uk/"} +{"d:Title": "National Museums Liverpool - John Everett Millais", "d:Description": "Artist's biography and works from museums' collections.", "topic": "Top/Arts/Art_History/Artists/M/Millais,_Sir_John_Everett", "url": "http://www.liverpoolmuseums.org.uk/online/featuredartists/millais/"} +{"d:Title": "Jean-Francois Millet", "d:Description": "Paintings and background information.", "topic": "Top/Arts/Art_History/Artists/M/Millet,_Jean_Fran\u00e7ois", "url": "http://www.scaruffi.com/art/millet.html"} +{"d:Title": "WebMuseum: Millet, Jean-Fran\u00e7ois", "d:Description": "Biography and two of his better known paintings.", "topic": "Top/Arts/Art_History/Artists/M/Millet,_Jean_Fran\u00e7ois", "url": "http://www.ibiblio.org/wm/paint/auth/millet/"} +{"d:Title": "NCAW - Millet, Jean-Francois", "d:Description": "Maura Coughlin's scholarly analysis of Jean-Francois Millet's Milkmaids.", "topic": "Top/Arts/Art_History/Artists/M/Millet,_Jean_Fran\u00e7ois", "url": "http://www.19thc-artworldwide.org/index.php?option=com_content&view=article&id=247"} +{"d:Title": "Olga's Gallery - Joan Mir\u00f3", "d:Description": "Collection of works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Mir\u00f3,_Joan", "url": "http://www.abcgallery.com/M/miro/miro.html"} +{"d:Title": "Hirshhorn Collection - Joan Miro", "d:Description": "Provides a gallery over 30 artworks towards the Catalan Spanish painter.", "topic": "Top/Arts/Art_History/Artists/M/Mir\u00f3,_Joan", "url": "http://www.hirshhorn.si.edu/search-results/?edan_search_value=Joan+Mir%C3%B3"} +{"d:Title": "Centaur Galleries - Joan Mir\u00f3", "d:Description": "Artist's biography together with a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/M/Mir\u00f3,_Joan", "url": "http://www.centaurgalleries.com/artist/miro/"} +{"d:Title": "Mark Harden's Artchive - Amedeo Modigliani", "d:Description": "Biography and images.", "topic": "Top/Arts/Art_History/Artists/M/Modigliani,_Amedeo", "url": "http://www.artchive.com/artchive/ftptoc/modigliani_ext.html"} +{"d:Title": "MyStudios.com - Modigliani - The Legend Lives On", "d:Description": "Virtual gallery with his paintings and biography.", "topic": "Top/Arts/Art_History/Artists/M/Modigliani,_Amedeo", "url": "http://www.mystudios.com/gallery/modigliani/index.html"} +{"d:Title": "WebMuseum - Amedeo Modigliani", "d:Description": "Brief biography and examples of the artist's work.", "topic": "Top/Arts/Art_History/Artists/M/Modigliani,_Amedeo", "url": "http://www.ibiblio.org/wm/paint/auth/modigliani/"} +{"d:Title": "Olga's Gallery - Amedeo Modigliani", "d:Description": "Collection of works of the artist, with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Modigliani,_Amedeo", "url": "http://www.abcgallery.com/M/modigliani/modigliani.html"} +{"d:Title": "National Gallery of Victoria, Australia - Amedeo Modigliani", "d:Description": "Read a short biography and see the oil painting \"Paul Guillaume, Novo Pilota\" (1915).", "topic": "Top/Arts/Art_History/Artists/M/Modigliani,_Amedeo", "url": "http://www.ngv.vic.gov.au/orangerie/modiglianiimage.html"} +{"d:Title": "National Gallery of Art - Amedeo Modigliani", "d:Description": "Exhibition history, bibliography, and images, full screen and details.", "topic": "Top/Arts/Art_History/Artists/M/Modigliani,_Amedeo", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1722.html"} +{"d:Title": "Artcyclopedia: L\u00e1szl\u00f3 Moholy-Nagy", "d:Description": "Links to works by the artist in art museum sites and image archives.", "topic": "Top/Arts/Art_History/Artists/M/Moholy-Nagy,_L\u00e1szl\u00f3", "url": "http://www.artcyclopedia.com/artists/moholy-nagy_laszlo.html"} +{"d:Title": "WebMuseum - Mondrian, Piet", "d:Description": "Includes a few paintings, and an article about pure abstraction.", "topic": "Top/Arts/Art_History/Artists/M/Mondriaan,_Piet", "url": "http://www.ibiblio.org/wm/paint/auth/mondrian/"} +{"d:Title": "Mondriaan.net", "d:Description": "Collection of images of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/M/Mondriaan,_Piet", "url": "http://www.mondriaan.net/"} +{"d:Title": "Stephen Linhart - Mondrimat", "d:Description": "A simple system that experiments with space, color and visual rhythm in accordance with the theories of Piet Mondrian.", "topic": "Top/Arts/Art_History/Artists/M/Mondriaan,_Piet", "url": "http://www.stephen.com/mondrimat/"} +{"d:Title": "Olga's Gallery: Piet Mondrian", "d:Description": "Collection of works of a Dutch artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Mondriaan,_Piet", "url": "http://www.abcgallery.com/M/mondrian/mondrian.html"} +{"d:Title": "The ArtFile - Piet Mondriaan", "d:Description": "His biography, a gallery, and links to related styles.", "topic": "Top/Arts/Art_History/Artists/M/Mondriaan,_Piet", "url": "http://www.the-artfile.com/ArtFile/artists/mondriaan/mondriaan.shtml"} +{"d:Title": "Museum of Modern Art - Piet Mondrian", "d:Description": "View the painting \"Broadway Boogie Woogie,\".", "topic": "Top/Arts/Art_History/Artists/M/Mondriaan,_Piet", "url": "http://www.moma.org/collection/works/78682"} +{"d:Title": "Claude Monet", "d:Description": "Features the artist's life, his house and gardens at Giverny, related posters, prints and books. Also provides a list of current and past exhibitions as well as museums worldwide.", "priority": "1", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.intermonet.com/"} +{"d:Title": "Claude Monet", "d:Description": "Small site with images of Monet's work.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://w-wagen.tripod.com/Monet/index.html"} +{"d:Title": "Olga's Gallery", "d:Description": "Large collection of the images of the artist's works, with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.abcgallery.com/M/monet/monet.html"} +{"d:Title": "Post 1914 Claude Monet", "d:Description": "Account of French Impressionist artist's life and work after 1914.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://homepage.eircom.net/~1914claudemonet/"} +{"d:Title": "WebMuseum: Monet, Claude", "d:Description": "An archive of Monet's paintings divided up into 10 different sections.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.ibiblio.org/wm/paint/auth/monet/"} +{"d:Title": "Welcome Monet", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.monets.plus.com/"} +{"d:Title": "Humanities Web - Monet", "d:Description": "Biography, representative works and suggested further resources for Impressionist painter Claude Monet.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=i&ID=35"} +{"d:Title": "Monetalia", "d:Description": "Online gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.monetalia.com/"} +{"d:Title": "Still Waters - Monet's Late Works Run Surprisingly Deep", "d:Description": "Christopher Benfey examines the many styles Monet experimented with during his latter years.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://slate.com/default.aspx?id=2929"} +{"d:Title": "National Gallery of Art - Claude Monet", "d:Description": "Featuring several paintings and drawings of the French artist Claude Oscar Monet, 1840 - 1926.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=22150"} +{"d:Title": "Monet's Long, Luminous Journey to the Edge of Abstraction", "d:Description": "Article examines the changes in Monet's style following the turn of the 20th century. From the International Herald Tribune.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.nytimes.com/1999/07/17/style/17iht-monet.t.html"} +{"d:Title": "Mus\u00e9e Marmottan Monet", "d:Description": "Paris museum that is home to world's largest collection of Monet paintings.Provides exhibit information, short author profile, and sample works with short descriptions. In English and French.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.marmottan.fr/uk/claude_monet_-musee-2517"} +{"d:Title": "Getty Museum - Claude Monet", "d:Description": "Learn about Claude Monet from the J. Paul Getty Museum's collections, with links to works of art and images.", "topic": "Top/Arts/Art_History/Artists/M/Monet,_Claude", "url": "http://www.getty.edu/art/collection/artists/257/claude-monet-french-1840-1926/"} +{"d:Title": "Artcyclopedia: Albert Joseph Moore", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/M/Moore,_Albert", "url": "http://www.artcyclopedia.com/artists/moore_albert_joseph.html"} +{"d:Title": "Artcyclopedia: Henry Moore", "d:Description": "Links to works by the sculptor in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/M/Moore,_Henry", "url": "http://www.artcyclopedia.com/artists/moore_henry.html"} +{"d:Title": "Artchive: Henry Moore", "d:Description": "Images by the artist.", "topic": "Top/Arts/Art_History/Artists/M/Moore,_Henry", "url": "http://www.artchive.com/artchive/M/moore.html"} +{"d:Title": "The Henry Moore Foundation", "d:Description": "Biography, his works, and general information.", "topic": "Top/Arts/Art_History/Artists/M/Moore,_Henry", "url": "http://www.henry-moore.org/"} +{"d:Title": "Jo Mora", "d:Description": "Includes biographical information and scans of 3 of Mora's pictorial maps: the Seventeen Mile Drive, Grand Canyon, and Yosemite.", "topic": "Top/Arts/Art_History/Artists/M/Mora,_Jo", "url": "http://www.panorama-map.com/Mora/Jomora.html"} +{"d:Title": "Historical and Recreational Map of Los Angeles", "d:Description": "Mora's affectionate tribute to Los Angeles, published in 1942.", "topic": "Top/Arts/Art_History/Artists/M/Mora,_Jo", "url": "http://www.garbell.com/creas/JoMora-LA42.jpg"} +{"d:Title": "Jo Mora, Artist and Writer: Pat Hathaway Collection", "d:Description": "Photo by Lewis Josselyn showing Mora in his studio, working on one of his maps. Includes short biography.", "topic": "Top/Arts/Art_History/Artists/M/Mora,_Jo", "url": "http://www.caviews.com/jomora.htm"} +{"d:Title": "Jo Mora: Artist and Writer", "d:Description": "A profile of the artist prepared for a retrospective exhibition held at the Monterey Museum of Art in 1998.", "topic": "Top/Arts/Art_History/Artists/M/Mora,_Jo", "url": "http://www.tfaoi.com/newsmu/nmus46e.htm"} +{"d:Title": "Jo Mora Hopi Indian Photograph Collection", "d:Description": "List of materials in the collection at the University of Tulsa.", "topic": "Top/Arts/Art_History/Artists/M/Mora,_Jo", "url": "http://www.lib.utulsa.edu/speccoll/collections/morahopiindian/"} +{"d:Title": "Mora Chapel", "d:Description": "Chapel in Mission San Carlos Borromeo de Carmelo, in Carmel, California, houses Jo Mora's cenotaph honoring Junipero Serra, founder of the mission.", "topic": "Top/Arts/Art_History/Artists/M/Mora,_Jo", "url": "http://www.carmelmission.org/museum/exhibitions/mora.php"} +{"d:Title": "Thomas Moran", "d:Description": "Site features a comprehensive overview of Moran's long and successful career.", "topic": "Top/Arts/Art_History/Artists/M/Moran,_Thomas", "url": "http://www.nga.gov/feature/moran/index.html"} +{"d:Title": "AskART.com: Thomas Sidney Moran", "d:Description": "Auction results, biographies, images and books pertaining to this landscape artist.", "topic": "Top/Arts/Art_History/Artists/M/Moran,_Thomas", "url": "http://www.askart.com/askart/m/thomas_sidney_moran/thomas_sidney_moran.aspx"} +{"d:Title": "Virtual Tour of the Moreau Museum", "d:Description": "Includes slides of all of Moreau's masterworks. Detail images are given for several paintings.", "topic": "Top/Arts/Art_History/Artists/M/Moreau,_Gustave", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/art/moreau.html"} +{"d:Title": "Gustave Moreau - Between Epic and Dream", "d:Description": "A critical review of the Metropolitam Museum of Art's Moreau exhibition with an emphasis on the artist's influence on his literary and artistic contemporaries.", "topic": "Top/Arts/Art_History/Artists/M/Moreau,_Gustave", "url": "http://www.wsws.org/en/articles/1999/07/mor2-j14.html"} +{"d:Title": "Evelyn de Morgan", "d:Description": "Artist's biography and gallery of works.", "topic": "Top/Arts/Art_History/Artists/M/Morgan,_Evelyn_de", "url": "http://www.victorianweb.org/painting/demorgan/index.html"} +{"d:Title": "ARC: Evelyn de Morgan", "d:Description": "Gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/M/Morgan,_Evelyn_de", "url": "http://www.artrenewal.org/pages/artist.php?artistid=434"} +{"d:Title": "Berthe Morisot", "d:Description": "Olga's Gallery - comprehensive collection of the images of Morisot's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Morisot,_Berthe", "url": "http://www.abcgallery.com/M/morisot/morisot.html"} +{"d:Title": "The Berthe Morisot Gallery", "d:Description": "Life and work of the French Impressionist painter.", "topic": "Top/Arts/Art_History/Artists/M/Morisot,_Berthe", "url": "http://jpohara.50megs.com/"} +{"d:Title": "Berthe Morisot", "d:Description": "WebMuseum: short article on the French impressionist painter (1841-1895) and some paintings.", "topic": "Top/Arts/Art_History/Artists/M/Morisot,_Berthe", "url": "http://www.ibiblio.org/wm/paint/auth/morisot/"} +{"d:Title": "National Gallery of Victoria - The Farmyard", "d:Description": "Presents the painting from George Morland.", "topic": "Top/Arts/Art_History/Artists/M/Morland,_George", "url": "http://www.ngv.vic.gov.au/col/work/4196"} +{"d:Title": "Fine Arts Museums of San Francisco - George Morland Gallery", "d:Description": "View several sketches, engravings and illustrations from the artist.", "topic": "Top/Arts/Art_History/Artists/M/Morland,_George", "url": "http://art.famsf.org/george-morland"} +{"d:Title": "National Gallery of Art - George Morland", "d:Description": "Read a brief biography and view an image of his 1794 work \"The Death of the Fox\".", "topic": "Top/Arts/Art_History/Artists/M/Morland,_George", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1735.html"} +{"d:Title": "Founders Of The Arts and Crafts Movement", "d:Description": "Anthology of writings on interior design by William Morris and his contemporaries; information about the early years of the movement in England and America. From JR Burrows - historical design merchants.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://www.burrows.com/found.html"} +{"d:Title": "William Morris Home Page", "d:Description": "About the 19th century British craftsman, designer, writer, typographer, and Socialist. Events and publications, the William Morris Society, links and other materials.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://www.morrissociety.org/"} +{"d:Title": "The William Morris Internet Archive", "d:Description": "Contains William Morris' political writings as well as artist's biography and a collection of photographs.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://www.marxists.org/archive/morris/"} +{"d:Title": "Friends of Red House", "d:Description": "The Red House in Bexley, England. Designed by Philip Webb for William Morris. Includes photographs, bibliography, visitor information with online booking, and membership information.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://friends-red-house.co.uk/"} +{"d:Title": "Morris and Co.", "d:Description": "Company founded as a direct result of the design, decoration, and furnishing of the Morris's new home, Red House (situated in what is now a South London suburb) by a group including Morris himself and a number of his friends and associates. From The Victorian Web.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://www.victorianweb.org/authors/morris/morisco.html"} +{"d:Title": "Religion in Willam Morris's Work", "d:Description": "A short piece from the Victorian Web by George P. Landow, Professor of English and Art History, Brown University.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://www.victorianweb.org/authors/morris/wmrelig.html"} +{"d:Title": "William Morris: A Brief Biography", "d:Description": "Biography from The Victorian Web by David Cody, associate professor of English, Hartwick College.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://www.victorianweb.org/authors/morris/wmbio.html"} +{"d:Title": "William Morris (1834-96)", "d:Description": "Notes on William Morris, especially relating to his activities as an artist and illustrator and his relations with the arts and crafts and with the Pre-Raphaelites. From Bob Speel.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://myweb.tiscali.co.uk/speel/illus/morris.htm"} +{"d:Title": "The William Morris Pages", "d:Description": "Article on Morris by Laurence Arnold. Includes Morris texts and graphics, a comprehensive list of Morris books (from Amazon) and a William Morris discussion group.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://www.larry-arnold.net/literature/index.htm"} +{"d:Title": "The Roots of Arts and Crafts", "d:Description": "Article from the University of Toledo about the birth of the arts and crafts movement, whose primary proponents were William Morris and Jogn Ruskin.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "https://www.utoledo.edu/library/canaday/exhibits/artsandcrafts/roots.html"} +{"d:Title": "Spartacus Educational: William Morris", "d:Description": "Biography from Spartacus Educational about the life and work of William Morris. Covers his Arts and Crafts work, and his Socialist reforms ideas.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William", "url": "http://spartacus-educational.com/Jmorris.htm"} +{"d:Title": "Morris, William: stained-glass designs", "d:Description": "Links to (mostly) individual images of Morris stained-glass designs.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Stained_Glass", "url": "http://www.morrissociety.org/designs.htm#stained glass"} +{"d:Title": "William Morris Gallery", "d:Description": "Official site for The William Morris Gallery in London, the only public museum devoted to England's best known and most versatile designer. The Morris Company made stained glass designed by Morris, Burne-Jones and others. Some examples are shown.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Stained_Glass", "url": "http://www.wmgallery.org.uk/"} +{"d:Title": "How I Became a Socialist by William Morris", "d:Description": "First published in Justice, June 16th, 1894. Online edition is a transcription from the Marxists Internet Archive.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Works", "url": "http://www.marxists.org/archive/morris/works/1894/hibs/"} +{"d:Title": "How We Live and How We Might Live", "d:Description": "Socialist tract by William Morris from the Marxists Internet Archive.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Works", "url": "http://www.marxists.org/archive/morris/works/1884/hwl/index.htm"} +{"d:Title": "Art and Socialism", "d:Description": "Lecture delivered by Morris in 1884, provided by the Marxists Internet Archive.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Works", "url": "http://www.marxists.org/archive/morris/works/1884/as/index.htm"} +{"d:Title": "William Morris 1834-1896", "d:Description": "Digital version of the manuscript collection of the International Institute of Social History, Amsterdam.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Works", "url": "http://www.iisg.nl/archives/morris/"} +{"d:Title": "Socialism and Anarchism by William Morris", "d:Description": "First printed as a letter in Commonweal, May 5th, 1889; provided online by the Marxists Internet Archive.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Works", "url": "http://www.marxists.org/archive/morris/works/1889/sa/index.htm"} +{"d:Title": "Signs of Change", "d:Description": "Project Gutenberg text in text or zip format from various servers.", "topic": "Top/Arts/Art_History/Artists/M/Morris,_William/Works", "url": "http://www.digital.library.upenn.edu/webbin/gutbook/lookup?num=3053"} +{"d:Title": "Tom Mostyn - His Life and Work", "d:Description": "Virtual exhibit of this unique and diverse British Victorian artist's work. Features a biography and high resolution images.", "topic": "Top/Arts/Art_History/Artists/M/Mostyn,_Tom", "url": "http://www.rehsgalleries.com/tom_mostyn_virtex.htm"} +{"d:Title": "Edvard Munch", "d:Description": "Artist's biography, paintings and forum.", "topic": "Top/Arts/Art_History/Artists/M/Munch,_Edvard", "url": "http://www.edvardmunch.info/"} +{"d:Title": "Webmuseum - Edvard Munch", "d:Description": "Read a brief biography of this Norwegian symbolist painter and view some of his images.", "topic": "Top/Arts/Art_History/Artists/M/Munch,_Edvard", "url": "http://www.ibiblio.org/wm/paint/auth/munch/"} +{"d:Title": "Olga's Gallery: Edvard Munch", "d:Description": "Collection of works of a Norvegian artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Munch,_Edvard", "url": "http://www.abcgallery.com/M/munch/munch.html"} +{"d:Title": "The Gilbert Munger Site", "d:Description": "A very informative site about the life and work of the American landscape painter Gilbert Davis Munger [1837 - 1903]. Images with detailed provenance.", "topic": "Top/Arts/Art_History/Artists/M/Munger,_Gilbert", "url": "http://www.d.umn.edu/tma/MungerSite/"} +{"d:Title": "Gilbert Davis Munger", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this landscape artist.", "topic": "Top/Arts/Art_History/Artists/M/Munger,_Gilbert", "url": "http://www.askart.com/askart/m/gilbert_davis_munger/gilbert_davis_munger.aspx"} +{"d:Title": "Gilbert Munger's Paintings of Lake Marian", "d:Description": "Article written by Michael D. Shroeder that originally appeared in the Fall 1994 issue of the \"Saint Louis County Historical Society Newsletter.\" Biographical sketch and images with critiques of several paintings.", "topic": "Top/Arts/Art_History/Artists/M/Munger,_Gilbert", "url": "http://www.d.umn.edu/tma/MungerSite/LkMarian/Article.html"} +{"d:Title": "Munier, Emile (1840 - 1895)", "d:Description": "A virtual catalogue raisonne of Emile Munier's work. Features a catalogue of works, biography, exhibition history, timeline, historical documentation, historical images, input sheet and bibliography.", "topic": "Top/Arts/Art_History/Artists/M/Munier,_Emile", "url": "http://www.emilemunier.org/"} +{"d:Title": "Munier, Emile (1840-1895)", "d:Description": "Exhibition of the artist's work, featuring a detailed biography and an image of the artist.", "topic": "Top/Arts/Art_History/Artists/M/Munier,_Emile", "url": "http://www.rehsgalleries.com/emile_munier_virtex.htm"} +{"d:Title": "Catholic Encyclopedia: Bartolome Esteban Murillo", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://www.newadvent.org/cathen/10644a.htm"} +{"d:Title": "CGFA: Bartolom\u00e9 Esteban Murillo", "d:Description": "Image gallery and artist's biography from MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://sunsite.icm.edu.pl/cjackson/murillo/"} +{"d:Title": "Murillo at the Prado Museum", "d:Description": "Image gallery of the artist's works from the famous museum.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://www.spanisharts.com/prado/murillo.htm"} +{"d:Title": "Olga's Gallery: Bartolom\u00e9 Esteban Murillo", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://www.abcgallery.com/M/murillo/murillo.html"} +{"d:Title": "WebMuseum: Murillo, Bartolom\u00e9 Esteban", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://www.ibiblio.org/wm/paint/auth/murillo/"} +{"d:Title": "Web Gallery of Art: Murillo, Bartolom\u00e9 Esteban", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://www.wga.hu/html/m/murillo/index.html"} +{"d:Title": "Wikipedia - Bartolom\u00e9 Esteban Murillo", "d:Description": "Encyclopedia article covering his artistic contribution and historical perspectives.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://en.wikipedia.org/wiki/Bartolom%C3%A9_Esteban_Murillo"} +{"d:Title": "Artble: Bartolom\u00e9 Esteban Murillo", "d:Description": "Features a biography, style and technique regarding the Baroque artist. Includes a list of his famous works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://www.artble.com/artists/bartolome_esteban_murillo"} +{"d:Title": "Find A Grave - Bartolom\u00e9 Esteban Murillo", "d:Description": "Location and pictures of his grave. Brief biography is also available.", "topic": "Top/Arts/Art_History/Artists/M/Murillo,_Bartolom\u00e9_Esteban", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7339005"} +{"d:Title": "Artcyclopedia: Charles Fairfax Murray", "d:Description": "Links to works by the Pre-Raphaelite painter in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/M/Murray,_Charles_Fairfax", "url": "http://www.artcyclopedia.com/artists/murray_charles_fairfax.html"} +{"d:Title": "Nelson, Leonard", "d:Description": "American Abstract Expressionist artist. Gallery of works, biography, bibliography.", "topic": "Top/Arts/Art_History/Artists/N", "url": "http://www.leonardnelson.com/"} +{"d:Title": "Women in American History: Nevelson, Louise", "d:Description": "Features biography of the sculptor.", "topic": "Top/Arts/Art_History/Artists/N/Nevelson,_Louise", "url": "http://search.eb.com/women/articles/Nevelson_Louise.html"} +{"d:Title": "Washington Post: Louise Nevelson, Finding Her Way", "d:Description": "Article about the life and work of the sculptor.", "topic": "Top/Arts/Art_History/Artists/N/Nevelson,_Louise", "url": "http://www.washingtonpost.com/wp-dyn/articles/A13448-2004Oct6.html"} +{"d:Title": "Pace Wildenstein: Louise Nevelson", "d:Description": "Article and pictures about the artists sculptures of the 50's and 60's.", "topic": "Top/Arts/Art_History/Artists/N/Nevelson,_Louise", "url": "http://www.pacegallery.com/newyork/exhibitions/11951/louise-nevelson-sculpture-of-the-50s-and-60s"} +{"d:Title": "In Focus: Jewish Artist: Louise Nevelson (1899-1988)", "d:Description": "Provides a biography of the artist and images of the stamps depicting her art.", "topic": "Top/Arts/Art_History/Artists/N/Nevelson,_Louise", "url": "http://jwa.org/people/nevelson-louise"} +{"d:Title": "Ohara, Koson", "d:Description": "Biography of Japanese artist Koson Ohara (1877-1945).", "topic": "Top/Arts/Art_History/Artists/O", "url": "http://www.artelino.com/articles/koson_ohara.asp"} +{"d:Title": "Artcyclopedia: Georgia O'Keeffe", "d:Description": "Guide to 40 art museum sites and image archives where the works of O'Keeffe can be viewed online.", "topic": "Top/Arts/Art_History/Artists/O/O'Keeffe,_Georgia", "url": "http://artcyclopedia.com/artists/okeeffe_georgia.html"} +{"d:Title": "Georgia O'Keeffe", "d:Description": "Discusses the life of the artist, her biography, thoughts and motivations, and her painting style.", "topic": "Top/Arts/Art_History/Artists/O/O'Keeffe,_Georgia", "url": "http://www.georgia-okeeffe.com/"} +{"d:Title": "Georgia Totto O'Keeffe", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this artist known for her still lifes and landscapes.", "topic": "Top/Arts/Art_History/Artists/O/O'Keeffe,_Georgia", "url": "http://www.askart.com/askart/o/georgia_totto_okeeffe/georgia_totto_okeeffe.aspx"} +{"d:Title": "Georgia O'Keeffe Museum", "d:Description": "Contains biography, overviews of her art and the houses in which she lived, and information on the museum\u2019s hours, collections, and research. [Sante Fe, New Mexico]", "topic": "Top/Arts/Art_History/Artists/O/O'Keeffe,_Georgia", "url": "http://okeeffemuseum.org/"} +{"d:Title": "Jackie Hudson, Fan of Georgia O'Keeffe", "d:Description": "Links to many O'Keeffe images and sources.", "topic": "Top/Arts/Art_History/Artists/O/O'Keeffe,_Georgia", "url": "http://www.csuchico.edu/~jhudson/citizen/g-o.html"} +{"d:Title": "Violet Oakley Murals", "d:Description": "Biographical and religious information on the artist.", "topic": "Top/Arts/Art_History/Artists/O/Oakley,_Violet", "url": "http://www.fpcgermantown.org/about/our-building/violet-oakley-murals/"} +{"d:Title": "Claes Thure Oldenburg", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this sculptor.", "topic": "Top/Arts/Art_History/Artists/O/Oldenburg,_Claes_Thure", "url": "http://www.askart.com/askart/o/claes_thure_oldenburg/claes_thure_oldenburg.aspx"} +{"d:Title": "Claes Oldenburg: An Anthology", "d:Description": "Frontispiece - Biography, exhibition index by the National Gallery of Art, Washington.", "topic": "Top/Arts/Art_History/Artists/O/Oldenburg,_Claes_Thure", "url": "http://artnetweb.com/oldenburg/index.html"} +{"d:Title": "The Artchive: Jose Clemente Orozco", "d:Description": "Large images from three works.", "topic": "Top/Arts/Art_History/Artists/O/Orozco,_Jos\u00e9_Clemente", "url": "http://www.artchive.com/artchive/O/orozco.html"} +{"d:Title": "Dartmouth College - The Epic of American Civilization", "d:Description": "Photos of six murals from the Hood Museum of Art.", "topic": "Top/Arts/Art_History/Artists/O/Orozco,_Jos\u00e9_Clemente", "url": "http://hoodmuseum.dartmouth.edu/collections/overview/americas/central/murals/"} +{"d:Title": "Orozco at Dartmouth", "d:Description": "Interpretation and small photos of all 24 panels of Orozco's Epic of American Civilization at Dartmouth.", "topic": "Top/Arts/Art_History/Artists/O/Orozco,_Jos\u00e9_Clemente", "url": "http://hoodmuseum.dartmouth.edu/collections/overview/americas/mesoamerica/murals/"} +{"d:Title": "Ponzi, Ettore (1908-1992)", "d:Description": "Biography and portfolio of an Italian landscape and portrait painter. [English and Italian]", "topic": "Top/Arts/Art_History/Artists/P", "url": "http://www.ponziettore.it/"} +{"d:Title": "Parker, Henry H. (1858-1930)", "d:Description": "Exhibition featuring the works of the British Victorian landscape artist Henry H. Parker. Includes a biography on Parker and a number of high-resolution images.", "topic": "Top/Arts/Art_History/Artists/P", "url": "http://www.rehsgalleries.com/henry_h_parker_virtex.htm"} +{"d:Title": "Artseensoho - Cornelia Parker", "d:Description": "Art gallery in New York featuring several works of the artist.", "topic": "Top/Arts/Art_History/Artists/P/Parker,_Cornelia", "url": "http://www.artseensoho.com/Art/DEITCH/parker98/parker1.html"} +{"d:Title": "Frith Street Gallery - Cornelia Parker", "d:Description": "Art gallery in London discussing the artist and offering biography, exhibitions and awards.", "topic": "Top/Arts/Art_History/Artists/P/Parker,_Cornelia", "url": "http://www.frithstreetgallery.com/artists/bio/cornelia_parker/"} +{"d:Title": "Tate Britain - Cold Dark Matter", "d:Description": "Interactive multimedia exploration of Cornelia Parker's Cold, Dark Matter: An Exploded View (1991).", "topic": "Top/Arts/Art_History/Artists/P/Parker,_Cornelia", "url": "http://www2.tate.org.uk/colddarkmatter/"} +{"d:Title": "Rehs Galleries: Charles Edward Perugini", "d:Description": "Biography on the British Neo-Classical artist and a high-resolution image of the painting he exhibited at the Royal Academy in 1902 entitled The Green Lizard.", "topic": "Top/Arts/Art_History/Artists/P/Perugini,_Charles_Edward", "url": "http://www.rehsgalleries.com/charles_edward_perugini.htm"} +{"d:Title": "Artcyclopedia: Pietro Perugino on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.artcyclopedia.com/artists/perugino_pietro.html"} +{"d:Title": "Catholic Encyclopedia: Perugino", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.newadvent.org/cathen/11737b.htm"} +{"d:Title": "Olga's Gallery: Pietro Perugino", "d:Description": "Comprehensive collection of artist's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.abcgallery.com/P/perugino/perugino.html"} +{"d:Title": "Pietro Vannucci Known as Perugino", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.mega.it/eng/egui/pers/perug.htm"} +{"d:Title": "Perugino: The Man Who Painted Umbria", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.initaly.com/regions/umbria/perugino.htm"} +{"d:Title": "Life of an Artist: Pietro Perugino", "d:Description": "Biography of the painter as written by Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.artist-biography.info/artist/pietro_perugino/"} +{"d:Title": "Tigertail Virtual Museum: Masaccio and Perugino", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://tvm.tigtail.org/TIG/TVM/X1/a.Early%20Italian/perugino/perugino.html#Perugino"} +{"d:Title": "Perugino (Pietro Vannucci)", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.scholarsresource.com/browse/artist/1023"} +{"d:Title": "Web Gallery of Art: Perugino, Pietro", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.wga.hu/html/p/perugino/"} +{"d:Title": "Encyclopedia.com: Perugino", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.encyclopedia.com/topic/Perugino.aspx#1E1-Perugino"} +{"d:Title": "The Crucifixion of Perugino", "d:Description": "Story about one of the artist's masterpieces.", "topic": "Top/Arts/Art_History/Artists/P/Perugino,_Pietro", "url": "http://www.yourwaytoflorence.com/db/musei/perugino.htm"} +{"d:Title": "Picasso Administration", "d:Description": "Official site of Picasso Administration. Includes information of his heirs, rights to his works, biography, selected works and bibliography. In English and French.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://pablopicasso.fr/"} +{"d:Title": "Dominant Star - Astrocartography of Pablo Picasso", "d:Description": "Biography of the artist, with the focus on how the planetary metaphor of the Sun was reflected in his life and work, by astrocartographer Rob Couteau.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.dominantstar.com/b_pic.htm"} +{"d:Title": "Aesthetic Realism - Power and Tenderness by Chaim Koppelman", "d:Description": "In the philosophy of Aesthetic Realism, Eli Siegel defined beauty for the first time and showed: \"All beauty is a making one of opposites, and the making one of opposites is what we are going after in ourselves.\" Power and tenderness are two of those opposites.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.aestheticrealism.org/News-ck.htm"} +{"d:Title": "Artchive - Pablo Picasso", "d:Description": "Extensive image collection.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.artchive.com/artchive/ftptoc/picasso_ext.html"} +{"d:Title": "Web.org.uk - The Picasso Conspiracy", "d:Description": "Analysis of a 1934 drawing, which some have attributed to the artist.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://web.org.uk/picasso/"} +{"d:Title": "Cosmopolis - Pablo Picasso Sculptures", "d:Description": "Exhibition and catalogue at the Centre Georges Pompidou in Paris.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.cosmopolis.ch/english/cosmo9/pablopicasso.htm"} +{"d:Title": "Olga's Gallery - Pablo Picasso", "d:Description": "Collection of the images of his works with the artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.abcgallery.com/P/picasso/picasso.html"} +{"d:Title": "Digital Imaging Project - Chicago Picasso", "d:Description": "Images of the sculpture \"Chicago Picasso\" - the landmark of downtown Chicago.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.bluffton.edu/~sullivanm/picasso/picasso.html"} +{"d:Title": "Aesthetic Realism and Picasso's Guernica: for Life", "d:Description": "Dorothy Koppelman article about one of artist's most famous works.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.aestheticrealism.org/GUERNICA_dk.htm"} +{"d:Title": "Pablo Picasso Paintings", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.art-prints-gallery.com/gallery/pablo_picasso/"} +{"d:Title": "Atlantic Monthly - Portraits of Picasso", "d:Description": "Articles on the artist from the archives of the Atlantic Monthly magazine.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.theatlantic.com/past/docs/unbound/flashbks/picasso/picasso.htm"} +{"d:Title": "Mr. Picasso Head", "d:Description": "Create your own image using Picasso elements and forward it to friends.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.picassohead.com/"} +{"d:Title": "Picasso Foundation", "d:Description": "Official web site of the museum. News, exhibitions, publications, information about collection.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://fundacionpicasso.malaga.eu/"} +{"d:Title": "National Gallery of Art - Pablo Picasso", "d:Description": "View the comprehensive collection of the catalogued images and works.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1783.html"} +{"d:Title": "CentaurGalleries - Pablo Picasso", "d:Description": "Artist's biography and artworks including the date created and medium.", "topic": "Top/Arts/Art_History/Artists/P/Picasso,_Pablo", "url": "http://www.centaurgalleries.com/artist/picasso/"} +{"d:Title": "WebMuseum: Camille Pissarro", "d:Description": "Brief overview on the life and work of the French Impressionist.", "topic": "Top/Arts/Art_History/Artists/P/Pissarro,_Camille", "url": "http://www.ibiblio.org/wm/paint/auth/pissarro/"} +{"d:Title": "Olga's Gallery: Camille Pissarro", "d:Description": "Comprehensive collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/P/Pissarro,_Camille", "url": "http://www.abcgallery.com/P/pissaro/pissaro.html"} +{"d:Title": "Artcyclopedia: Camille Pissarro", "d:Description": "Guide to art museum sites and image archives where his impressionist paintings can be viewed online.", "topic": "Top/Arts/Art_History/Artists/P/Pissarro,_Camille", "url": "http://artcyclopedia.com/artists/pissarro_camille.html"} +{"d:Title": "National Gallery of Art: Jackson Pollock", "d:Description": "In-depth study of the life and work of the artist with particular emphasis on Number 1, 1950 (Lavender Mist).", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.nga.gov/feature/pollock/"} +{"d:Title": "The Complete Jackson Pollock", "d:Description": "Fan site includes artwork, museum listings, and a message board.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.kaliweb.com/jacksonpollock/"} +{"d:Title": "The Pollock-Krasner Foundation, Inc.", "d:Description": "Financial assistance to individual working artists of established ability through the generosity of the late Lee Krasner, one of the leading abstract expressionist painters and the widow of Jackson Pollock.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.pkf.org/"} +{"d:Title": "Terrain Gallery: True&False Ambition: The Urgent Difference", "d:Description": "Artist and aesthetic realism consultant Dorothy Koppelman explains Jackson Pollock's torment and why his work is beautiful.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.terraingallery.org/Jackson-Pollock-Ambition-DK.html"} +{"d:Title": "Mark Harden's Artchive: Jackson Pollock", "d:Description": "Biography, images, related books, and links.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.artchive.com/artchive/ftptoc/pollock_ext.html"} +{"d:Title": "Artcyclopedia: Jackson Pollock", "d:Description": "Links to works by the artist in art museum sites and image archives.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.artcyclopedia.com/artists/pollock_jackson.html"} +{"d:Title": "WebMuseum: Pollock, Jackson", "d:Description": "Brief biography and some works by the artist.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.ibiblio.org/wm/paint/auth/pollock/"} +{"d:Title": "Jackson Pollock Unauthorized", "d:Description": "Discusses the life and death of the American artist and presents a gallery of his paintings, facts, and quotes.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://www.jackson-pollock.com/"} +{"d:Title": "Pollock-Krasner House&Study Center", "d:Description": "Former home and studio of the artists Jackson Pollock and Lee Krasner, location, and biographies.", "topic": "Top/Arts/Art_History/Artists/P/Pollock,_Jackson", "url": "http://sb.cc.stonybrook.edu/pkhouse/"} +{"d:Title": "Artchive: Nicolas Poussin", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.artchive.com/artchive/P/poussin.html"} +{"d:Title": "Catholic Encyclopedia: Nicolas Poussin", "d:Description": "An article about the artist with bibliography.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.newadvent.org/cathen/12322c.htm"} +{"d:Title": "CGFA: Nicolas Poussin", "d:Description": "Image gallery of artist's works and a biography from the MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://sunsite.icm.edu.pl/cjackson/poussin/"} +{"d:Title": "Et in Arcadia by Nicolas Poussin", "d:Description": "Article about one of the artist's paintings.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.parnasse.com/etpnt.htm"} +{"d:Title": "Artcyclopedia: Nicolas Poussin on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.artcyclopedia.com/artists/poussin_nicolas.html"} +{"d:Title": "Olga's Gallery: Nicolas Poussin", "d:Description": "Comprehensive collection of more than 100 images of Poussin's works with artist's biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.abcgallery.com/P/poussin/poussin.html"} +{"d:Title": "The Glory of Byzantium at the Met", "d:Description": "Article about the artist by Karen Wilkin.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.newcriterion.com/articles.cfm/byzantium-wilkin-3335"} +{"d:Title": "Web Gallery of Art: Nicolas Poussin", "d:Description": "Gallery of images of the Poussin's works with the artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.wga.hu/frames-e.html?/html/p/poussin/2a/"} +{"d:Title": "Nicolas Poussin at Art Renewal Center", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.artrenewal.org/pages/artist.php?artistid=364"} +{"d:Title": "Poussin in Rome:Foundations of French Classicism", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.etchings.com/poussin-in-rome-foundations-of-french-classicism.html"} +{"d:Title": "Nicolas Poussin at the Walker", "d:Description": "Page about the artist's landscape painting 'Landscape with the ashes of Phocion'.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.liverpoolmuseums.org.uk/picture-of-month/displaypicture.aspx?id=370"} +{"d:Title": "Nicolas Poussin at J. Paul Getty Museum", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/P/Poussin,_Nicolas", "url": "http://www.getty.edu/art/collection/artists/359/nicolas-poussin-french-1594-1665/"} +{"d:Title": "Rodrigo, Vicente Pascual (1955-2008)", "d:Description": "Biography, critique and portfolio of a contemporary Spanish painter. [English and Spanish]", "topic": "Top/Arts/Art_History/Artists/R", "url": "http://www.vicentepascual.org/"} +{"d:Title": "Richter, Gerhard", "d:Description": "Biography and review of the artist's retrospective at the Museum of Modern Art in New York City", "topic": "Top/Arts/Art_History/Artists/R", "url": "http://www.cosmopolis.ch/english/cosmo26/gerhard_richter.htm"} +{"d:Title": "The William Roberts Society", "d:Description": "Biographical and bibliographical information on the British artist with reproductions of some works and a list of his works in public collections.", "topic": "Top/Arts/Art_History/Artists/R", "url": "http://www.users.waitrose.com/~wrs/"} +{"d:Title": "Rousseau, Theodore", "d:Description": "Theodore Rousseau [French Barbizon School Painter, 1812-1867] Guide to pictures of works by Theodore Rousseau in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/R", "url": "http://www.artcyclopedia.com/artists/rousseau_theodore.html"} +{"d:Title": "Rousseau, Theodore", "d:Description": "Biography on one of the founders of the Barbizon Movement. Also included is a picture of the artist.", "topic": "Top/Arts/Art_History/Artists/R", "url": "http://www.rehsgalleries.com/Theodore_Rousseau_Bio.html"} +{"d:Title": "Henry Raeburn - Olga's Gallery", "d:Description": "Comprehensive collection of artist's works, biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.abcgallery.com/R/raeburn/raeburn.html"} +{"d:Title": "Artcyclopedia: Sir Henry Raeburn", "d:Description": "Links to works by the Scottish portrait painter in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.artcyclopedia.com/artists/raeburn_sir_henry.html"} +{"d:Title": "Overview of Sir Henry Raeburn", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.scottish-places.info/people/famousfirst283.html"} +{"d:Title": "Sir Henry Raeburn - The Archers", "d:Description": "History of one of his famous works.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.nationalgallery.org.uk/paintings/sir-henry-raeburn-the-archers"} +{"d:Title": "NGA - Henry Raeburn", "d:Description": "Provides a list of the Scottish artist's paintings including a biography and bibliography.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=25750&imageset=1"} +{"d:Title": "Wikipedia: Henry Raeburn (1756 - 1823)", "d:Description": "Encyclopedia article featuring a biography, notes, bibliography and external links. List of people painted by Raeburn is also available.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://en.wikipedia.org/wiki/Sir_Henry_Raeburn"} +{"d:Title": "Web Gallery of Art", "d:Description": "Collection of Raeburn's works with a biography.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.wga.hu/frames-e.html?/html/r/raeburn/index.html"} +{"d:Title": "The Grave of Sir Henry Raeburn", "d:Description": "Location and pictures of the Scottish artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=63192222"} +{"d:Title": "National Portrait Gallery", "d:Description": "Wide list of portraits done by Sir Henry Raeburn.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp06451&role=art"} +{"d:Title": "ARC - Sir Henry Raeburn", "d:Description": "Provides images of the Scottish artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2936"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Raeburn's portrait paintings including a description on each one of them.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.nationalgalleries.org/collection/artists-a-z/R/4399/artistName/Sir%20Henry%20Raeburn"} +{"d:Title": "Collection Tate: Sir Henry Raeburn", "d:Description": "Provides a total of 9 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Raeburn,_Sir_Henry", "url": "http://www.tate.org.uk/art/artists/sir-henry-raeburn-437"} +{"d:Title": "Wikipedia: Robert Rauschenberg (1925 - 2008)", "d:Description": "Encyclopedia article covering his artistic contribution and historical perspectives.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://en.wikipedia.org/wiki/Robert_Rauschenberg"} +{"d:Title": "National Gallery of Art: Robert Rauschenberg", "d:Description": "Article focused on the different genre of artworks done by the American artist. Works, images and biography is also available.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.nga.gov/collection/artists/rauschenberg.shtm"} +{"d:Title": "The Grave of Robert Rauschenberg", "d:Description": "Location and pictures of the American artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=26821431"} +{"d:Title": "American Masters: Robert Rauschenberg", "d:Description": "Biography focused on how the American artist changed his style from being an abstract expressionist to a pop artist.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.pbs.org/wnet/americanmasters/episodes/robert-rauschenberg/about-the-artist/49/"} +{"d:Title": "Bob Rauschenberg Gallery", "d:Description": "Provides updated exhibition schedules, archives and biography regarding the Pop American artist.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.bobrauschenberggallery.com/"} +{"d:Title": "The Artchive: Robert Rauschenberg", "d:Description": "Thorough biography regarding the American Pop artist.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.artchive.com/artchive/R/rauschenbergbio.html"} +{"d:Title": "Oral history interview with Robert Rauschenberg", "d:Description": "Interview conducted by Dorothy Seckler, audio is included.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.aaa.si.edu/collections/interviews/oral-history-interview-robert-rauschenberg-12870"} +{"d:Title": "National Gallery of Australia", "d:Description": "Article focused on Rauschenberg career, including selected works and exhibition events.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.nga.gov.au/Rauschenberg/"} +{"d:Title": "The Art Story: Robert Rauschenberg", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.theartstory.org/artist-rauschenberg-robert.htm"} +{"d:Title": "Rauschenberg\u2019s Epic Vision", "d:Description": "Article reviewing Robert's style, inspiration and constant focus.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.vanityfair.com/magazine/archive/1997/09/rauschenberg199709"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a list of artworks done by the American artist.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.artic.edu/aic/collections/artwork/artist/Rauschenberg,+Robert"} +{"d:Title": "Obituary: Robert Rauschenberg", "d:Description": "Biography regarding the Pop art pioneer.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.theguardian.com/culture/2008/may/13/art"} +{"d:Title": "Robert Rauschenberg Foundation", "d:Description": "Provides biography, updated exhibitions and mission statements.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.rauschenbergfoundation.org/"} +{"d:Title": "Collection Tate", "d:Description": "Provides a total of 20 Rauschenberg's artworks, including historical background information on most artworks.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.tate.org.uk/art/artists/robert-rauschenberg-1815"} +{"d:Title": "MoMA The Collection: Rauschenberg", "d:Description": "Collection of the American artist since 1952.", "topic": "Top/Arts/Art_History/Artists/R/Rauschenberg,_Robert", "url": "http://www.moma.org/collection/artists/4823"} +{"d:Title": "Artchive: Odilon Redon", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.artchive.com/artchive/R/redon.html"} +{"d:Title": "Nineteenth-Century Art Worldwide", "d:Description": "Barbara Larson's scholarly article Evolution and Degeneration in the early work of Odilon Redon.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.19thc-artworldwide.org/index.php/spring03/220-excavating-greece-classicism-between-empire-and-nation-in-nineteenth-century-europe"} +{"d:Title": "Wikipedia: Odilon Redon (1840 - 1916)", "d:Description": "Encyclopedia article covering his life, analysis of his work and further reading.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://en.wikipedia.org/wiki/Odilon_Redon"} +{"d:Title": "National Gallery of Art: Odilon Redon", "d:Description": "Provides a list of the French artist's paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1820"} +{"d:Title": "WebMuseum: Redon, Odilon", "d:Description": "French artist's biography along with some of his masterpieces.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.ibiblio.org/wm/paint/auth/redon/"} +{"d:Title": "Odilon Redon's Works of Art", "d:Description": "French artist's gallery.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.museumsyndicate.com/artist.php?artist=152"} +{"d:Title": "Beyond the Visible: The art of Odilon Redon", "d:Description": "Interactive exhibition of Redon's artwork, including analysis and historical background. Requires macromedia flash.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.moma.org/interactives/exhibitions/2005/redon/redon.html"} +{"d:Title": "National Gallery of Australia", "d:Description": "Shows Redon's sense of heightened observation by analyzing one of his masterpieces 'The sleep of Caliban'. Audio is also available.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.nga.gov.au/exhibition/masterpiecesfromparis/Default.cfm?IRN=191220&BioArtistIRN=21506&mystartrow=85&realstartrow=85&MNUID=3&ViewID=2"} +{"d:Title": "The Athenaeum - Odilon Redon", "d:Description": "Features a total of 328 paintings of the French Symbolist painter in high detail.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=328"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Redon\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.artic.edu/aic/collections/artwork/artist/Redon,+Odilon"} +{"d:Title": "Baronne de Domecy", "d:Description": "Redon's masterpiece analysis. Elaborated by the J. Paul Getty Museum.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.getty.edu/art/collection/objects/224761/odilon-redon-baronne-de-domecy-french-about-1900/"} +{"d:Title": "MoMA The Collection: Odilon Redon", "d:Description": "Provides a thorough biography featuring his artworks.", "topic": "Top/Arts/Art_History/Artists/R/Redon,_Odilon", "url": "http://www.moma.org/collection/artists/4840"} +{"d:Title": "Treasures to Go - Robert Reid (1862\u20131929)", "d:Description": "Brief biography and sample paintings of the youngest member of the \"Ten American Painters\".", "topic": "Top/Arts/Art_History/Artists/R/Reid,_Robert", "url": "http://americanart.si.edu/treasures/bios/03989.html"} +{"d:Title": "ARC - Robert Reid (1862-1929)", "d:Description": "Collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/R/Reid,_Robert", "url": "http://www.artrenewal.org/pages/artist.php?artistid=399"} +{"d:Title": "Portrait of Mrs. Robert Reid", "d:Description": "Portrait done by Reid including a short biography focused on his relationship.", "topic": "Top/Arts/Art_History/Artists/R/Reid,_Robert", "url": "http://www.imamuseum.org/art/collections/artwork/portrait-mrs-robert-reid-reid-robert"} +{"d:Title": "Smithsonian American Art Museum", "d:Description": "Robert Reid gallery.", "topic": "Top/Arts/Art_History/Artists/R/Reid,_Robert", "url": "http://americanart.si.edu/collections/search/artwork/results/?id=3989"} +{"d:Title": "Artcyclopedia: Robert Reid", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/R/Reid,_Robert", "url": "http://www.artcyclopedia.com/artists/reid_robert.html"} +{"d:Title": "The Athenaeum - Robert Lewis Reid", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/R/Reid,_Robert", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1109"} +{"d:Title": "Rembrandt House Museum", "d:Description": "Provides a virtual tour of Rembrandt's house, which has been refurbished and turned into a Museum.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.rembrandthuis.nl/"} +{"d:Title": "Art Gallery of Rembrandt's Paintings", "d:Description": "A selection of Rembrandt's most famous prints and paintings.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.christusrex.org/www2/art/rembrandt.htm"} +{"d:Title": "Artcyclopedia: Rembrandt van Rijn", "d:Description": "Guide to 50 art museum sites and image archives with images of Rembrandt's work.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://artcyclopedia.com/artists/rembrandt_van_rijn.html"} +{"d:Title": "WebMuseum: Rembrandt", "d:Description": "Basic introduction to Rembrandt's work divided into chronological periods each illustrated with his works.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.ibiblio.org/wm/paint/auth/rembrandt/"} +{"d:Title": "Olga's Gallery of Rembrandt", "d:Description": "Collection with almost 200 images of Rembrandt's works, basic historical notes and a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.abcgallery.com/R/rembrandt/rembrandt.html"} +{"d:Title": "CFGA: Rembrandt", "d:Description": "Image archive with over 30 of Rembrandt's paintings and prints with a short biography.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://sunsite.icm.edu.pl/cjackson/rembrand/index.html"} +{"d:Title": "Rembrandt", "d:Description": "Comprehensive overview of the life and art Rembrandt with more than 500 high resolution images of his paintings, etchings, drawings and self portraits. Includes a complete catalogue of etchings and paintings plus critical assessments of the artist's production.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.rembrandtpainting.net/"} +{"d:Title": "Abraham Entertaining the Angels", "d:Description": "A multi-page study of one of Rembrandt's finest etchings, \"Abraham Entertaining the Angels.\" Includes iconographical background, analysis of Rembrandt's etching technique and the work's history.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.nga.gov/feature/artnation/rembrandt/thestory_1.shtm"} +{"d:Title": "Rembrandt's Late Religious Portraits", "d:Description": "An elegant special-feature about Rembrandt's late religious portraits by the National Gallery of Art. Brief but informative text and 17 images which can be zoomed to inspect each canvas in great detail. Includes biography.[Requires Flash]", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.nga.gov/exhibitions/2005/rembrandt/flash/index.shtm"} +{"d:Title": "Web Gallery of Art: Rembrandt", "d:Description": "Image gallery of artist's paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.wga.hu/frames-e.html?/html/r/rembran/"} +{"d:Title": "Rembrandt Myself", "d:Description": "An exhibit of Rembrandt's self portraits which traces the development of his style from his early Leiden days to his last in Amsterdam.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.mystudios.com/rembrandt/rembrandt-index.html"} +{"d:Title": "Wikipedia: Rembrandt (1606 - 1669)", "d:Description": "Article focused on the Dutch painter's life, works and optical theory. Provides a gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://en.wikipedia.org/wiki/rembrandt"} +{"d:Title": "The National Gallery: Rembrandt", "d:Description": "Provides a list of the Dutch artist's work including proper background per each painting. Thorough biography is also available.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.nationalgallery.org.uk/artists/rembrandt"} +{"d:Title": "The Grave of Rembrandt Van Rijn", "d:Description": "Location and pictures of the Dutch artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6238810"} +{"d:Title": "Biblical art by Rembrandt", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.artbible.info/art/work/rembrandt-harmensz-van-rijn"} +{"d:Title": "Rijks Museum - Rembrandt Harmensz. van Rijn", "d:Description": "Biography including a slideshow of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00016943?lang=en"} +{"d:Title": "ARC - Rembrandt", "d:Description": "Provides images of the Dutch artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.artrenewal.org/pages/artist.php?artistid=92"} +{"d:Title": "Artchive: Rembrandt", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.artchive.com/artchive/R/rembrandt.html"} +{"d:Title": "NNDB - Rembrandt Harmenszoon van Rijn", "d:Description": "Comprehensive biography regarding the Baroque artist born in Leiden.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.nndb.com/people/224/000044092/"} +{"d:Title": "The Athenaeum - Rembrandt", "d:Description": "Works, sorted by title, in alphabetical order.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3325"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Rembrandt's paintings including a description on each one of them.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.nationalgalleries.org/collection/artists-a-z/R/4413/artistName/Rembrandt%20(Rembrandt%20van%20Rijn)"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Rembrandt\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.artic.edu/aic/collections/artwork/artist/Rijn,+Rembrandt+Harmenszoon+van"} +{"d:Title": "Getty Museum: Rembrandt Harmensz. van Rijn", "d:Description": "Provides a biography regarding the Baroque artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Rembrandt", "url": "http://www.getty.edu/art/collection/artists/469/rembrandt-harmensz-van-rijn-dutch-1606-1669/"} +{"d:Title": "Olga's Gallery - Pierre-Auguste Renoir", "d:Description": "Collection of images with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.abcgallery.com/R/renoir/renoir.html"} +{"d:Title": "WebMuseum: Pierre-Auguste Renoir", "d:Description": "Article on the artist and collection of paintings.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.ibiblio.org/wm/paint/auth/renoir/"} +{"d:Title": "Artchive: Pierre Auguste Renoir", "d:Description": "Short biography of the artist and an image gallery containing some of his works.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.artchive.com/artchive/R/renoir.html"} +{"d:Title": "Artcyclopedia: Pierre-Auguste Renoir", "d:Description": "Guide to art museum sites and image archives where his works can be viewed online.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://artcyclopedia.com/artists/renoir_pierre-auguste.html"} +{"d:Title": "A Certain Slant of Light", "d:Description": "Article from Slate Magazine about Renoir's use of light and color.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://slate.com/default.aspx?id=2918"} +{"d:Title": "National Gallery of Art", "d:Description": "The complete Renoir collection with notes on all 48 works.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=26300&imageset=1"} +{"d:Title": "Auguste Renoir Gallery", "d:Description": "Offering photos of his paintings, as well as a biography and articles.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.renoirgallery.com/"} +{"d:Title": "Artble: Pierre-Auguste Renoir", "d:Description": "Features a biography, style and technique regarding the Impressionist artist. Includes a list of his famous works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.artble.com/artists/pierre-auguste_renoir"} +{"d:Title": "The Renoir Paintings", "d:Description": "Featuring over 1,000 of Renoir's artwork.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.renoirpaintings.org/"} +{"d:Title": "Wikipedia: Pierre-Auguste Renoir (1841 - 1919)", "d:Description": "Article providing a biography, artworks and a gallery including self-portraits and nudes.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://en.wikipedia.org/wiki/Pierre_Auguste_Renoir"} +{"d:Title": "The National Gallery: Pierre-Auguste Renoir", "d:Description": "Provides a list of the French artist's work including proper background per each painting. Brief biography is also available.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.nationalgallery.org.uk/artists/pierre-auguste-renoir"} +{"d:Title": "The Grave of Auguste Renoir", "d:Description": "Location and pictures of the French artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8087"} +{"d:Title": "Young Girl Bathing", "d:Description": "Analysis on Renoir's masterpiece explaining the influence of nudity on Renoir's work.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.metmuseum.org/toah/works-of-art/1975.1.199"} +{"d:Title": "ARC - Pierre Auguste Renoir", "d:Description": "Provides images of the French painter and sculptor's work with high resolution.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.artrenewal.org/pages/artist.php?artistid=405"} +{"d:Title": "The Athenaeum - Pierre Auguste Renoir", "d:Description": "Features a total of 1206 works of the French painter in alphabetic order.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=24"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features a gallery containing Renoir's artwork, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.artic.edu/aic/collections/artwork/artist/Renoir,+Pierre+Auguste"} +{"d:Title": "Renoir as a landscape painter", "d:Description": "Article focused on the reason why Renoir became a figure painter instead of a landscape painter.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.theguardian.com/books/2007/feb/24/art.art1"} +{"d:Title": "The Luncheon of the Boating Party", "d:Description": "The story behind the masterpiece, from the Phillips Collection.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.phillipscollection.org/collection/boating-party"} +{"d:Title": "Collection Tate: Auguste Renoir", "d:Description": "Provides a total of 7 artworks, including historical background information in some painting. Brief biography can also be found.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.tate.org.uk/art/artists/auguste-renoir-1828"} +{"d:Title": "Getty Museum: Pierre-Auguste Renoir", "d:Description": "Provides a short biography regarding the Impressionist artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Renoir,_Pierre_Auguste", "url": "http://www.getty.edu/art/collection/artists/612/pierre-auguste-renoir-french-1841-1919/"} +{"d:Title": "Artcyclopedia: Ilya Repin", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.artcyclopedia.com/artists/repin_iliya.html"} +{"d:Title": "Elias Repin -- Master Painter From Ukraine", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.infoukes.com/culture/paintings/repin/"} +{"d:Title": "Olga's Gallery: Ilya Repin", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.abcgallery.com/R/repin/repin.html"} +{"d:Title": "Repin's Cossaks", "d:Description": "Story about one of the artist's masterpieces.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://econc10.bu.edu/economic_systems/NatIdentity/FSU/Ukraine/repin.htm"} +{"d:Title": "ARC Articles - Repin, Shiskin and Kramskoi", "d:Description": "Article about the famous Russian painters of the XIX century with the images of their works.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.artrenewal.org/articles/2000/Repin_Shishkin_Kramskoi/russians1.php"} +{"d:Title": "Wikipedia: Ilya Repin (1844 - 1930)", "d:Description": "Article providing a biography, gallery and foot notes.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://en.wikipedia.org/wiki/Ilya_Repin"} +{"d:Title": "The National Gallery: Ilya Repin", "d:Description": "Short biography regarding the Russian Realist artist. Analysis of one of the Ilya's masterpiece is also available.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.nationalgallery.org.uk/artists/ilya-repin"} +{"d:Title": "The Grave of Auguste Renoir", "d:Description": "Location and pictures of the French artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2989"} +{"d:Title": "Biblical art by Ilya Repin", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.artbible.info/art/work/ilya-repin"} +{"d:Title": "Metropolitan Museum of Art", "d:Description": "Analysis towards Vsevolod Garshin portrait done by Ilya Repin.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.metmuseum.org/toah/works-of-art/1972.145.2"} +{"d:Title": "Tate Gallery's Collection", "d:Description": "Provides a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Repin,_Ilya", "url": "http://www.tate.org.uk/art/artists/ilya-repin-1829"} +{"d:Title": "Artcyclopedia: Sir Joshua Reynolds", "d:Description": "Guide to pictures of works by Sir Joshua Reynolds in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.artcyclopedia.com/artists/reynolds_sir_joshua.html"} +{"d:Title": "Olga's Gallery - Sir Joshua Reynolds", "d:Description": "Collection of works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.abcgallery.com/R/reynolds/reynolds.html"} +{"d:Title": "Web Gallery of Art: Sir Joshua Reynolds", "d:Description": "Image gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.wga.hu/html/r/reynolds/index.html"} +{"d:Title": "ARC: Joshua Reynolds", "d:Description": "Collection of images and short biography.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.artrenewal.org/pages/artist.php?artistid=406"} +{"d:Title": "Humanities Web: Sir Joshua Reynolds", "d:Description": "Thorough biography, collection of images and bibliography.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=b&ID=41"} +{"d:Title": "National Gallery of Art: Sir Joshua Reynolds", "d:Description": "Provides a list of the English artist's paintings, drawings and prints includes after works. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1825"} +{"d:Title": "The National Gallery: Joshua Reynolds", "d:Description": "Brief biography regarding the English artist born in Plympton. Additional historical background information on each painting is available.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.nationalgallery.org.uk/artists/sir-joshua-reynolds"} +{"d:Title": "The Grave of Joshua Reynolds", "d:Description": "Location and pictures of the English artist's grave including a biography.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6202"} +{"d:Title": "National Portrait Gallery", "d:Description": "Features a total of 136 portraits done by Reynolds along with a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp03755&role=art"} +{"d:Title": "Metropolitan Museum of Art", "d:Description": "Provides analysis to one of Reynolds' paintings explaining his will to focus on portraits.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.metmuseum.org/toah/works-of-art/87.16"} +{"d:Title": "Artble: Joshua Reynolds", "d:Description": "Comprehensive biography including artist context, style, technique and critical reception. Also provides a list with images of his famous portraits works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.artble.com/artists/joshua_reynolds"} +{"d:Title": "NNDB: Joshua Reynolds", "d:Description": "Biography covering how Reynolds became the first president of the Royal Academy.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.nndb.com/people/898/000084646/"} +{"d:Title": "Government Art Collection", "d:Description": "Collection of Reynolds including a total of 25 images of his work.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.gac.culture.gov.uk/artist.aspx?id=112498"} +{"d:Title": "The Athenaeum - Sir Joshua Reynolds", "d:Description": "Gallery containing a total of 56 paintings.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=559"} +{"d:Title": "Tate Collection: Joshua Reynolds", "d:Description": "Brief biography including display caption on each painting featured.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.tate.org.uk/art/artists/sir-joshua-reynolds-444"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Display Reynolds' portrait paintings including a description on each one of them.", "topic": "Top/Arts/Art_History/Artists/R/Reynolds,_Joshua", "url": "http://www.nationalgalleries.org/collection/artists-a-z/R/4423/artistName/Sir%20Joshua%20Reynolds"} +{"d:Title": "Artcyclopedia: Jusepe de Ribera", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.artcyclopedia.com/artists/ribera_jusepe_de.html"} +{"d:Title": "Jusepe de Ribera - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.abcgallery.com/R/ribera/ribera.html"} +{"d:Title": "Catholic Encyclopedia: Jusepe de Ribera", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.newadvent.org/cathen/13031b.htm"} +{"d:Title": "Jusepe de Ribera", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.veafotoaqui.com/JoseDeRibera.html"} +{"d:Title": "Jusepe de Ribera", "d:Description": "Artist's biography and description of some of his works from Prado.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.spanisharts.com/prado/ribera/in_ribera.htm"} +{"d:Title": "Web Gallery of Art: Ribera, Jusepe de", "d:Description": "Image gallery of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.wga.hu/frames-e.html?/html/r/ribera/index.html"} +{"d:Title": "Wikipedia: Jusepe de Ribera (1591 - 1652)", "d:Description": "Article focused on the Spanish Tenebrist painter's early life, artwork and further reading.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://en.wikipedia.org/wiki/Jusepe_de_Ribera"} +{"d:Title": "National Gallery of Art", "d:Description": "Provides a list of the Spanish artist's paintings including a biography and bibliography.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=5343"} +{"d:Title": "The National Gallery: Jusepe de Ribera", "d:Description": "Brief biography regarding the Spanish artist born in J\u00e1tiva. Additional historical background information on each painting is available.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.nationalgallery.org.uk/artists/jusepe-de-ribera"} +{"d:Title": "The Grave of Jusepe de Ribera", "d:Description": "Location of the Spanish artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7528827"} +{"d:Title": "ARC: Jusepe de Ribera", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2161"} +{"d:Title": "Artble: Jusepe de Ribera", "d:Description": "Features a biography, style and technique regarding the Baroque artist. Includes a list of his famous works with proper date, size and medium.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.artble.com/artists/jusepe_de_ribera"} +{"d:Title": "NNDB: Jos\u00e9 de Ribera", "d:Description": "Thorough biography regarding the Spaniard artist's career.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.nndb.com/people/477/000096189/"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features a gallery containing Ribera's artwork among paintings and drawings.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.artic.edu/aic/collections/artwork/artist/Ribera,+Jusepe+de"} +{"d:Title": "Getty Museum: Ribera, Jusepe de", "d:Description": "Provides a brief biography regarding the Baroque artist. Including a small gallery with notes, medium and size on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Ribera,_Jusepe_de", "url": "http://www.getty.edu/art/collection/artists/2989/jusepe-de-ribera-spanish-italian-1591-1652/"} +{"d:Title": "Gerrit Rietveld - Great Buildings Online", "d:Description": "Dutch architect of the Schroder House, biography, and resources.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://www.greatbuildings.com/architects/Gerrit_Rietveld.html"} +{"d:Title": "Wikipedia: Gerrit Rietveld (1988 - 1964)", "d:Description": "Article focused on the Dutch furniture designer's biography, gallery and further reading.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://en.wikipedia.org/wiki/Gerrit_Rietveld"} +{"d:Title": "Rietveld Schroder House", "d:Description": "Details and analysis regarding a house design by Rietveld back in 1924.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://www.galinsky.com/buildings/schroder/index.htm"} +{"d:Title": "Gerrit Rietveld's Red And Blue Chair", "d:Description": "Analysis on one of Rietveld's work by Anthony C. Romeo.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://www.terraingallery.org/Anthony-Romeo-Chair.html"} +{"d:Title": "National Gallery of Australia", "d:Description": "Article providing Rietveld's design notes through the analysis on one of his chair design known as Berlijnse stoel.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://artsearch.nga.gov.au/Detail.cfm?IRN=67077"} +{"d:Title": "Ketterer Kunst: Gerrit Thomas Rietveld", "d:Description": "Features an extensive biography regarding the Dutch Architect born in Utrecht.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://www.kettererkunst.com/bio/gerrit-thomas-rietveld-1888.shtml"} +{"d:Title": "Gerrit Rietveld, the lost designer", "d:Description": "Single article showing how the Dutch architect born in Utrecht stood out from others talented designers.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://www.sundance.tv/blog/2011/01/gerrit-rietveld-the-lost-designer"} +{"d:Title": "MoMA Collection: Gerrit Rietveld", "d:Description": "Thorough biography including a slide show gallery of his work.", "topic": "Top/Arts/Art_History/Artists/R/Rietveld,_Gerrit", "url": "http://www.moma.org/collection/artists/4922"} +{"d:Title": "Diego Rivera Prints", "d:Description": "Presents biography, artistic styles, paintings, and controversies surrounding the artist.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.diego-rivera.org/"} +{"d:Title": "Olga's Gallery: Diego Rivera", "d:Description": "Collection of works of a Mexican artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.abcgallery.com/R/rivera/rivera.html"} +{"d:Title": "Fondation Pierre Gianadda - Diego Rivera - Frida Kahlo", "d:Description": "Exhibition focusing on the two artists featuring several works.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.gianadda.ch/wq_pages/en/expositions/ancienne-rivera-kahlo.php"} +{"d:Title": "Diego Rivera Paintings", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.art-prints-gallery.com/gallery/diego_rivera/"} +{"d:Title": "Wikipedia: Diego Rivera (1886 - 1957)", "d:Description": "Encyclopedia article focused on the prominent Mexican painter's early life, career and gallery of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://en.wikipedia.org/wiki/Diego_Rivera"} +{"d:Title": "The Grave of Diego Rivera", "d:Description": "Location of the Mexican artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=18404"} +{"d:Title": "Metropolitan Museum of Art", "d:Description": "Provides analysis to one of Rivera's paintings explaining his developed Cubist idiom.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.metmuseum.org/toah/works-of-art/49.70.51"} +{"d:Title": "Artchive: Diego Rivera", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.artchive.com/artchive/R/rivera.html"} +{"d:Title": "American Masters: Diego Rivera", "d:Description": "Thorough biography regarding the Mexican artist born in Guanajuato.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.pbs.org/wnet/americanmasters/episodes/diego-rivera/about-the-artist/64/"} +{"d:Title": "Diego Rivera Biography", "d:Description": "Biography covering the Mexico's most famous painters early steps, marriage, controversy, murals and frescoes.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.notablebiographies.com/Pu-Ro/Rivera-Diego.html"} +{"d:Title": "Visual Biography of Diego Rivera", "d:Description": "Biographical article containing Rivera's style, religion, murals and marriages.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www2.kenyon.edu/Depts/Mll/Spanish/Projects/Trejo-Zacarias/english.htm"} +{"d:Title": "Diego Rivera: A Man and His Murals", "d:Description": "Extended biography based on Rivera's traveling to Europe and America elaborated by Susan Norwood.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.yale.edu/ynhti/curriculum/units/1999/2/99.02.06.x.html"} +{"d:Title": "The Cubist Paintings of Diego Rivera", "d:Description": "Exhibition including a gallery, and information regarding Rivera's involvement on the Mexican Revolution.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.nga.gov/exhibitions/2004/rivera/image-list.shtm"} +{"d:Title": "Frida Kahlo and Diego Rivera", "d:Description": "Details about the marriage of the Mexican artists. Includes images of their works.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.robinurton.com/history/frida-y-diego.htm"} +{"d:Title": "The Diego Rivera Mural Project", "d:Description": "Features a biography, artworks and analysis on Rivera's murals. Exhibition schedules are also available.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.ccsf.edu/NEW/en/about-city-college/diego-rivera-mural.html"} +{"d:Title": "San Francisco Art Institute", "d:Description": "Article covering a proper analysis on Rivera's Mural, includes preliminary studies.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.sfai.edu/about-sfai/diego-rivera-mural"} +{"d:Title": "Tate Collections - Diego Rivera", "d:Description": "Offering a brief biography and two works (Images not available, due to copyright restrictions).", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.tate.org.uk/art/artists/diego-rivera-1849"} +{"d:Title": "MoMA Collection: Diego Rivera", "d:Description": "Brief biography including a slide show gallery of his work.", "topic": "Top/Arts/Art_History/Artists/R/Rivera,_Diego", "url": "http://www.moma.org/collection/artists/4942"} +{"d:Title": "Museum Rodin", "d:Description": "The life and works of Auguste Rodin.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.musee-rodin.fr/"} +{"d:Title": "Rodin Museum", "d:Description": "Works of Auguste Rodin, the great French sculptor, administered by the Philadelphia Museum of Art. Site has details, visitor information, and virtual reality tours.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.rodinmuseum.org/"} +{"d:Title": "Rodin, Auguste", "d:Description": "Brief biography of the sculptor with pictures of some of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.xs4all.nl/~androom/biography/p000460.htm"} +{"d:Title": "WebMuseum: Rodin, Auguste", "d:Description": "Brief biography of the sculptor with pictures of several works.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.ibiblio.org/wm/paint/auth/rodin/"} +{"d:Title": "AskRodin.com", "d:Description": "Sculptor biography, collection of images of his works, links to museum site with Rodin's sculptures.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://askrodin.com/"} +{"d:Title": "Artchive.com: Auguste Rodin", "d:Description": "Information and discussion on his work with links to other reading.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://artchive.com/artchive/R/rodin.html"} +{"d:Title": "The Sculpture of Auguste Rodin", "d:Description": "A series of images of Rodin sculpture by Professor Jeffery Howe", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/rodin/rodin.html"} +{"d:Title": "Talaria Enterprises: The Kiss", "d:Description": "Museum store with Rodin sculpture reproductions.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.talariaenterprises.com/products_lg/kiss_bronze.html"} +{"d:Title": "Rodin-Web", "d:Description": "Information about the artist, his works, collections, which contain them.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.rodin-web.org/"} +{"d:Title": "Rodin at NotSorry.com", "d:Description": "Personal web page with multiple photographs and comments. Views from a wide range of Rodin collections.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.notsorry.com/rodin.asp"} +{"d:Title": "Encyclopedia.com: Rodin, Auguste", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.encyclopedia.com/topic/Auguste_Rodin.aspx#1O142-RodinAuguste"} +{"d:Title": "Wikipedia: Auguste Rodin (1840 - 1917)", "d:Description": "Encyclopedia article featuring a biography, artworks, legacy and later years regarding the French sculptor.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://en.wikipedia.org/wiki/Auguste_Rodin"} +{"d:Title": "The Grave of Auguste Rodin", "d:Description": "Location and pictures of the French Sculptor's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7748981"} +{"d:Title": "National Portrait Gallery", "d:Description": "Shows Rodin's portrait done by multiple artists.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp06544"} +{"d:Title": "ARC: Auguste Rodin", "d:Description": "Collection of images including a biography.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.artrenewal.org/pages/artist.php?artistid=143"} +{"d:Title": "The Art Story: Auguste Rodin", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.theartstory.org/artist-rodin-auguste.htm"} +{"d:Title": "Cantor Arts Center: Rodin Collection", "d:Description": "Exhibition based on Rodin's bronze, wax, plaster, and terra cotta works. Images available.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://museum.stanford.edu/view/rodin.html"} +{"d:Title": "The gates of Hell: The story of a damned artwork", "d:Description": "Video describing Rodin's monumental masterpiece, including explanation of why there are two different versions of the same artwork.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.canal-educatif.fr/en/videos/art/2/rodin/gates-of-hell.html"} +{"d:Title": "National Gallery of Australia", "d:Description": "Article providing Rodin's working methods through the analysis on one of his masterpieces 'Eustache de Saint Pierre'.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://artsearch.nga.gov.au/Detail.cfm?IRN=101780"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Rodin\u2019s gallery, including prints and drawings.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.artic.edu/aic/collections/artwork/artist/Rodin,+Auguste"} +{"d:Title": "Auguste Rodin at the National Gallery of Art", "d:Description": "Provides a thorough biography including notes and an Image gallery of the artist's works from the collection.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.2251.html"} +{"d:Title": "Rodin at the V&A", "d:Description": "Comprehensive overview of Rodin's life, working methods and a mention of the 18 sculptures Rodin gave to the V&A (Presented in 4 parts).", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.vam.ac.uk/blog/tag/the-rodin-gift-to-the-va"} +{"d:Title": "Collection Tate: Auguste Rodin", "d:Description": "Provides a total of 7 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.tate.org.uk/art/artists/auguste-rodin-1860"} +{"d:Title": "Getty Museum: Rodin, Auguste", "d:Description": "Shows Rodin's style by analyzing one of his work 'Sphinx'.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.getty.edu/art/collection/objects/244946/auguste-rodin-sphinx-french-about-1898-1900/"} +{"d:Title": "MoMA Collection: Auguste Rodin", "d:Description": "Brief biography including a slide show gallery of his work.", "topic": "Top/Arts/Art_History/Artists/R/Rodin,_Auguste", "url": "http://www.moma.org/collection/artists/4978"} +{"d:Title": "New York Magazine Art Review - Great Power", "d:Description": "Jerry Saltz reviewing the exhibition focusing on the work and life of Martha Rosler.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://nymag.com/arts/art/reviews/50974/"} +{"d:Title": "Society of Contemporary Art Historians - Martha Rosler", "d:Description": "Brief biography of the artist.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://scahweb.org/?p=774"} +{"d:Title": "Wikipedia: Martha Rosler", "d:Description": "Encyclopedia article containing a biography, published works and awards regarding the American artist.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://en.wikipedia.org/wiki/Martha_Rosler"} +{"d:Title": "Felicia Herrschaft - Interview with Martha Rosler", "d:Description": "Interview conducted by Felicia Herrschaft.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://www.fehe.org/index.php?id=571"} +{"d:Title": "Cut and Paste: Martha Rosler", "d:Description": "Rosler is describing her own works through a video.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://www.nytimes.com/interactive/2008/09/05/arts/rosler-audioss/index.html"} +{"d:Title": "Metropolitan Museum of Art", "d:Description": "Shows Rosler's style by analyzing one of her works 'Red Stripe Kitchen'.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://www.metmuseum.org/toah/works-of-art/2002.393"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Rosler\u2019s gallery.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://www.artic.edu/aic/collections/artwork/artist/Rosler,+Martha"} +{"d:Title": "Guggenheim Museum - Martha Rosler", "d:Description": "Brief biography by Ted Mann introducing the work of Martha Rosler. Solomon R. Guggenheim Museum, New York.", "topic": "Top/Arts/Art_History/Artists/R/Rosler,_Martha", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/bios/5496/Martha%20Rosler"} +{"d:Title": "Olga's Gallery (Dante Gabriel Rossetti)", "d:Description": "Offers a sizeable collection of the images of Rossetti's works, with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.abcgallery.com/R/rossetti/rossetti.html"} +{"d:Title": "WebMuseum (Dante Gabriel Rossetti)", "d:Description": "Provides an introduction to Rossetti's visual art, along with a number of viewable images of the paintings.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.ibiblio.org/wm/paint/auth/rossetti/"} +{"d:Title": "The Role of the Least-Aspected Planet in Astrocartography", "d:Description": "Approaches Rossetti's biography and work by means of an astrocartographical reading.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.dominantstar.com/b_ross.htm"} +{"d:Title": "The National Portrait Gallery, London (Rossetti Portraits)", "d:Description": "Includes self-portraits by Rossetti and portraits of the Rossetti family and PRB members.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.npg.org.uk/live/search/person.asp?search=sa&LinkID=mp03877&role=art"} +{"d:Title": "National Museums Liverpool - Dante Gabriel Rossetti", "d:Description": "Presents a retrospective featuring over 170 works.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.liverpoolmuseums.org.uk/walker/exhibitions/rossetti/"} +{"d:Title": "Rossetti as an Illustrator", "d:Description": "Features notes on Rossetti's book-illustrations, along with a number of useful links to PRB and London art.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://myweb.tiscali.co.uk/speel/illus/rossilus.htm"} +{"d:Title": "Rossetti Archive", "d:Description": "Offers a constellation of Rossetti's poetry, prose, and visual art. Maintained at the University of Virginia under the editorship of Jerome McGann.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.rossettiarchive.org/"} +{"d:Title": "The Art Renewal Center (Dante Gabriel Rossetti)", "d:Description": "Features a biography of Rossetti, along with a number of high-resolution images of his works. Also includes a transcription of Rossetti's 1882 obituary from The Times.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.artrenewal.org/pages/artist.php?artistid=76"} +{"d:Title": "The Victorian Web (Dante Gabriel Rossetti)", "d:Description": "Resources for placing Rossetti's life and works into their artistic, social, and political contexts.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.victorianweb.org/authors/dgr"} +{"d:Title": "Wikipedia: Dante Gabriel Rossetti (1886 - 1957)", "d:Description": "Encyclopedia article focused on the English painter's early life, career and gallery of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://en.wikipedia.org/wiki/Dante_Gabriel_Rossetti"} +{"d:Title": "Web Gallery of Art", "d:Description": "Rossetti's collection of images and biography.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.wga.hu/frames-e.html?/html/r/rossetti/index.html"} +{"d:Title": "The Grave of Dante Gabriel Rossetti", "d:Description": "Location and pictures of the English artist and poet's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4051"} +{"d:Title": "National Portrait Gallery", "d:Description": "Wide list of Rossetti's portraits including author, medium and date.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp03877"} +{"d:Title": "The Artchive: Dante Gabriel Rossetti", "d:Description": "Biography of the artist and an image gallery containing some of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.artchive.com/artchive/R/rossetti.html"} +{"d:Title": "Neurotic Poets: Dante Gabriel Rossetti", "d:Description": "Article focused on the influence of poetry on his life.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.neuroticpoets.com/rossetti/"} +{"d:Title": "NNDB - Dante Gabriel Rossetti", "d:Description": "Comprehensive biography regarding the English artist born in London.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.nndb.com/people/604/000040484/"} +{"d:Title": "Pre-Raphaelite Online Resources", "d:Description": "Biography including 4 Rossetti's masterpieces with there proper analysis and historical background.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.preraphaelites.org/the-collection/artist-biography/dante-gabriel-rossetti/"} +{"d:Title": "Birmingham Museums and Art Gallery", "d:Description": "Rossetti's biography along with images of his works including background information on each artwork.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.bmagic.org.uk/objects/1904P482"} +{"d:Title": "The Athenaeum - Dante Gabriel Rossetti", "d:Description": "Features a total of 169 paintings belong to the founder of the Pre-Raphaelite Brotherhood in high detail.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=3"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a small list of artworks done by the English artist.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.artic.edu/aic/collections/artwork/artist/Rossetti,+Dante+Gabriel"} +{"d:Title": "The Tate Britain", "d:Description": "Offers a searchable database of the Tate's Rossetti paintings, many of which are viewable online.", "topic": "Top/Arts/Art_History/Artists/R/Rossetti,_Dante_Gabriel", "url": "http://www.tate.org.uk/art/artists/dante-gabriel-rossetti-461"} +{"d:Title": "National Gallery of Art: Mark Rothko", "d:Description": "Overview of the artist's life and works produced as a companion to an exhibit in 1998 and 1999.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.nga.gov/feature/rothko/rothkosplash.html"} +{"d:Title": "Artchive: Mark Rothko", "d:Description": "Review of a Rothko exhibit and selected works.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.artchive.com/artchive/R/rothko.html"} +{"d:Title": "Mark (Rothkowitz) Rothko", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this abstract expressionist.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.askart.com/askart/r/mark_rothko/mark_rothko.aspx"} +{"d:Title": "Wikipedia: Mark Rothko (1903 - 1970)", "d:Description": "Encyclopedia article focused on the Latvian-born American painter's childhood, early career, suicide and aftermath.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://en.wikipedia.org/wiki/Mark_Rothko"} +{"d:Title": "Rothko Chapel", "d:Description": "Inspired by the mural canvases of Russian born American painter Mark Rothko, provides public programs, galleries and news.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.rothkochapel.org/"} +{"d:Title": "The Grave of Mark Rothko", "d:Description": "Location of the Latvian artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=907"} +{"d:Title": "Metropolitan Museum of Art", "d:Description": "Shows Rothko's signature composition by analyzing one of his works 'No. 13 (White, Red, on Yellow)'.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.metmuseum.org/toah/works-of-art/1985.63.5"} +{"d:Title": "The Art Story: Mark Rothko", "d:Description": "Comprehensive biography covering his early training, mature and late periods, legacy and artistic influences.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.theartstory.org/artist-rothko-mark.htm"} +{"d:Title": "Abstract Expressionism: Rothko", "d:Description": "Chronological article including Rothko's suicide and funeral.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.warholstars.org/abstractexpressionism/timeline/mark_rothko.html"} +{"d:Title": "Mark Rothko at Tate Modern", "d:Description": "Brief biography featuring some of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.tate.org.uk/art/artists/mark-rothko-1875"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a small list of artworks done by the Latvian-born American painter.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.artic.edu/aic/collections/artwork/artist/Rothko,+Mark"} +{"d:Title": "Feeding fury: Mark Rothko", "d:Description": "Article investigation towards Rothko's involvement with the Tate Modern.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.theguardian.com/culture/2002/dec/07/artsfeatures"} +{"d:Title": "MoMA Collection: Mark Rothko", "d:Description": "Offers a slide show gallery.", "topic": "Top/Arts/Art_History/Artists/R/Rothko,_Mark", "url": "http://www.moma.org/collection/artists/5047"} +{"d:Title": "Henri Rousseau", "d:Description": "Biography, examples of his work and an article on the Art of the Fantastic.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.ibiblio.org/wm/paint/auth/rousseau/"} +{"d:Title": "Olga's Gallery: Henri Rousseau", "d:Description": "Collection of works of a French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.abcgallery.com/R/rousseau/rousseau.html"} +{"d:Title": "Wikipedia: Henri Rousseau (1844 - 1910)", "d:Description": "Article covering the French Post-Impressionist's background, criticism, legacy and gallery showing some of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://en.wikipedia.org/wiki/Henri_Rousseau"} +{"d:Title": "The National Gallery", "d:Description": "Brief biography including a proper analysis on one of Rousseau masterpieces 'Surprised!'.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.nationalgallery.org.uk/artists/henri-rousseau"} +{"d:Title": "The Grave of Henri Rousseau", "d:Description": "Location of the French artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9772155"} +{"d:Title": "The Artchive: Henri Rousseau", "d:Description": "Biography of the artist and including a list of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.artchive.com/artchive/R/rousseau.html"} +{"d:Title": "Articles on Art: Henri Rousseau", "d:Description": "Article focused on the difficulties the self-taught artist went through to be recognized as a great painter of his era.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.artelino.com/articles/henri_rousseau.asp"} +{"d:Title": "Le Douanier Rousseau: Jungles n Paris", "d:Description": "Presents a review about the exhibition presenting 50 important works by the French painter. Elaborated by Patricia Boccadoro.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.culturekiosque.com/art/exhibiti/henri_rousseau.html"} +{"d:Title": "NGA: Henri Rousseau", "d:Description": "Provides an introduction, images of his famous works including a proper analysis and background information.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.nga.gov/exhibitions/2006/rousseau/index.shtm"} +{"d:Title": "National Gallery of Australia", "d:Description": "Shows Rousseau's style by analyzing one of his works 'War [La guerre]'. Audio is also available.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.nga.gov.au/Exhibition/MASTERPIECESfromPARIS/Default.cfm?IRN=191236&BioArtistIRN=16854&MnuID=3&GalID=6&ViewID=2"} +{"d:Title": "The Athenaeum - Henri Rousseau", "d:Description": "Features a total of 101 paintings of the French Post-Impressionist painter in high detail.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=366"} +{"d:Title": "Henri Rousseau - Jungle in Paris", "d:Description": "Includes a room guide, chronological biography notes, artistic circle, sources and inspiration regarding Rousseau's exhibition.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.tate.org.uk/whats-on/tate-modern/exhibition/henri-rousseau/henri-rousseau-jungles-paris-room-guide"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays a small list of Rousseau's artworks.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.artic.edu/aic/collections/artwork/artist/Rousseau,+Henri"} +{"d:Title": "The Dream of Henri Rousseau", "d:Description": "Images of artist's works and a biography.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://le_douanier_rousseau.pagesperso-orange.fr/dream.htm"} +{"d:Title": "Getty Museum: Henri Rousseau", "d:Description": "Presents Rousseau's style by analyzing one of his works 'A Centennial of Independence'.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.getty.edu/art/collection/objects/816/henri-rousseau-a-centennial-of-independence-french-1892/"} +{"d:Title": "MoMA Collection: Henri Rousseau", "d:Description": "Offers a slide show gallery.", "topic": "Top/Arts/Art_History/Artists/R/Rousseau,_Henri", "url": "http://www.moma.org/collection/artists/5056"} +{"d:Title": "Olga's Gallery: Peter Paul Rubens", "d:Description": "Comprehensive collection of the images of the artist's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.abcgallery.com/R/rubens/rubens.html"} +{"d:Title": "Artchive: Peter Paul Rubens", "d:Description": "Excerpt from the Colin Eisler's article about the artist and collection of images.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.artchive.com/artchive/R/rubens.html"} +{"d:Title": "Artcyclopedia: Peter Paul Rubens", "d:Description": "Links to works by artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.artcyclopedia.com/artists/rubens_peter_paul.html"} +{"d:Title": "WebMuseum: Rubens, Peter Paul", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.ibiblio.org/wm/paint/auth/rubens/"} +{"d:Title": "Web Gallery of Art: Rubens, Pieter Pauwel", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.wga.hu/frames-e.html?/html/r/rubens/index.html"} +{"d:Title": "Rubens at Addio Gallery", "d:Description": "Collection of images and short biography", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.mcs.csueastbay.edu/~malek/Rubens.html"} +{"d:Title": "Wikipedia: Peter Paul Rubens (1577 - 1640)", "d:Description": "Article showing a complete biography regarding the Flemish Baroque painter, including a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://en.wikipedia.org/wiki/Peter_Paul_Rubens"} +{"d:Title": "NGA Tour: Sir Peter Paul Rubens", "d:Description": "Overview of the Flemish artist artworks including historical notes on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.nga.gov/collection/gallery/gg45/gg45-main1.html"} +{"d:Title": "The National Gallery", "d:Description": "Presents a thorough biography and related paintings with historical notes.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.nationalgallery.org.uk/artists/peter-paul-rubens"} +{"d:Title": "The Grave of Peter Paul Rubens", "d:Description": "Location and pictures of the French artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5387"} +{"d:Title": "Biblical art by Rubens", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.artbible.info/art/work/peter-paul-rubens"} +{"d:Title": "National Portrait Gallery", "d:Description": "Features over 30 portraits done by Rubens along with a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp07501&role=art"} +{"d:Title": "ARC - Peter Paul Rubens", "d:Description": "Provides images of the Flemish Baroque artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.artrenewal.org/pages/artist.php?artistid=85"} +{"d:Title": "NNDB - Peter Paul Rubens", "d:Description": "Comprehensive biography regarding the Flemish baroque painter born in Siegen.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.nndb.com/people/895/000031802/"} +{"d:Title": "Humanities Web: Peter Paul Rubens", "d:Description": "Presents a biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=156"} +{"d:Title": "The Art of Diplomacy", "d:Description": "Shows Rubens as a crafty negotiator of peace among warring European kings.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://online.wsj.com/article/SB10001424052748703298004574459753201012282.html"} +{"d:Title": "The Athenaeum - Peter Paul Rubens", "d:Description": "Features a total of 402 paintings of the Belgian painter in high detail.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=495"} +{"d:Title": "Rubens: The Apotheosis of James", "d:Description": "Analysis on one of Rubens' masterpieces including short biographical notes. Video is also available at the Tate Collection.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.tate.org.uk/art/artworks/rubens-the-apotheosis-of-james-i-and-other-studies-multiple-sketch-for-the-banqueting-t12919"} +{"d:Title": "Rubens: A pacifist fascinated by violence", "d:Description": "Article focused on the main reasons why Rubens was so fascinated by bloody scenes of horrifying violence.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.theguardian.com/artanddesign/2003/feb/27/artsfeatures"} +{"d:Title": "Getty Museum: Peter Paul Rubens", "d:Description": "Provides a biography regarding the Flemish artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Rubens,_Peter_Paul", "url": "http://www.getty.edu/art/collection/artists/3458/peter-paul-rubens-flemish-1577-1640/"} +{"d:Title": "Contemporary Art Daily - Sterling Ruby and Robert Mapplethorpe", "d:Description": "Press release and images of the exhibition at Xavier Hufkens. December 24, 2009.", "topic": "Top/Arts/Art_History/Artists/R/Ruby,_Sterling", "url": "http://www.contemporaryartdaily.com/2009/12/sterling-ruby-and-robert-mapplethorpe-at-xavier-hufkens/"} +{"d:Title": "New York Times - Sterling Ruby\u2019s Caged Heat", "d:Description": "Linda Yablonsky writing about 2 Traps, two monumental sculptures at Pace Wildenstein in New York. February 11, 2010", "topic": "Top/Arts/Art_History/Artists/R/Ruby,_Sterling", "url": "http://tmagazine.blogs.nytimes.com/2010/02/11/best-in-show-sterling-rubys-caged-heat/"} +{"d:Title": "New York Times - Sterling Ruby and Lucio Fontana", "d:Description": "Ken Johnson writing about an exhibition at Andrea Rosen, New York. Published: September 22, 2011", "topic": "Top/Arts/Art_History/Artists/R/Ruby,_Sterling", "url": "http://www.nytimes.com/2011/09/23/arts/design/sterling-ruby-and-lucio-fontana.html"} +{"d:Title": "Art in America - The Survivalist", "d:Description": "Brienne Walsh interviewing Sterling Ruby, discussing the \"Paintings\" exhibition, Xavier Hufkens in Brussels. March 18, 2011", "topic": "Top/Arts/Art_History/Artists/R/Ruby,_Sterling", "url": "http://www.artinamericamagazine.com/news-features/previews/sterling-ruby-xavier-hufkens-paintings/"} +{"d:Title": "The National Gallery", "d:Description": "Brief biography including a wide list of Ruisdael's landscape work. In addition, historical background on each painting is also available.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://www.nationalgallery.org.uk/artists/jacob-van-ruisdael"} +{"d:Title": "Wikipedia: Jacob Isaakszoon van Ruisdael", "d:Description": "Article focused on the Dutch landscape painter's life, works and progression of style.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://en.wikipedia.org/wiki/Jacob_Van_Ruisdael"} +{"d:Title": "Web Gallery of Art", "d:Description": "Collection of Ruisdael's works with a biography.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://www.wga.hu/frames-e.html?/html/r/ruysdael/jacob/1/index.html"} +{"d:Title": "Rijks Museum - Jacob van Ruisdael", "d:Description": "A multi-page study including a biography, study trips and a slide show gallery of his masterpieces.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00016937?lang=en"} +{"d:Title": "ARC - Jacob van Ruisdael", "d:Description": "Provides images of the Netherlands artist's work with high detail.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://www.artrenewal.org/pages/artist.php?artistid=1509"} +{"d:Title": "Artchive: Jacob Ruisdael", "d:Description": "Biography and list of images.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://www.artchive.com/artchive/R/ruisdael.html"} +{"d:Title": "Artble: Jacob van Ruisdael", "d:Description": "Presents a comprehensive biography, context, style and artworks regarding the Netherlands landscape artist. Size, medium and current location of his paintings is also available.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://www.artble.com/artists/jacob_van_ruisdael"} +{"d:Title": "Getty Museum: Jacob Van Ruisdael", "d:Description": "Provides a biography regarding the Dutch landscape painter. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/R/Ruisdael,_Jacob_van", "url": "http://www.getty.edu/art/collection/artists/512/jacob-van-ruisdael-dutch-16281629-1682/"} +{"d:Title": "BBC Radio 4: Roses, Convolvulus, Poppies, and Other Flowers in an Urn by Rachel Ruysch", "d:Description": "Twenty eight minute audio, with link to a high resolution copy of the original oil, describing the component flowers and insects, in this still life oil painting, and related history of the painter.", "topic": "Top/Arts/Art_History/Artists/R/Ruysch,_Rachel", "url": "http://www.bbc.co.uk/programmes/b07wpgjm"} +{"d:Title": "Google Arts&Culture: Rachel Ruysch", "d:Description": "Collection of digitized copies of her still life flower oils, painted over the turn of the seventeenth century, in high resolution, with provenance, dimensions of originals held in from museums worldwide.", "topic": "Top/Arts/Art_History/Artists/R/Ruysch,_Rachel", "url": "https://www.google.com/culturalinstitute/beta/entity/m05mcs5"} +{"d:Title": "Wikipedia: Rachel Ruysch", "d:Description": "Article updated by the public with bibliography, photographs, development of her style, success, references and links to related articles.", "topic": "Top/Arts/Art_History/Artists/R/Ruysch,_Rachel", "url": "https://en.wikipedia.org/wiki/Rachel_Ruysch"} +{"d:Title": "Web Gallery of Art: Rachel Ruysch", "d:Description": "Photo gallery of still life oil paintings combining flowers and insects, in their searchable database of European fine arts and architecture from 8th to 19th centuries.", "topic": "Top/Arts/Art_History/Artists/R/Ruysch,_Rachel", "url": "http://www.wga.hu/html_m/r/ruysch/"} +{"d:Title": "Khan Academy: Rachel Ruysch, Fruit and Insects", "d:Description": "Video link for her \"Fruit and Insects\" painted in oil on wood in 1711, with transcript as an educational resource with links to social; media.", "topic": "Top/Arts/Art_History/Artists/R/Ruysch,_Rachel", "url": "https://www.khanacademy.org/humanities/monarchy-enlightenment/baroque-art1/holland/v/ruysch-flowers-insects"} +{"d:Title": "Albert Pinkham Ryder", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this landscape artist.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.askart.com/askart/r/albert_pinkham_ryder/albert_pinkham_ryder.aspx"} +{"d:Title": "Wikipedia: Albert Pinkham Ryder (1847 - 1917)", "d:Description": "Article covering the American painter's training, artistic maturity and a selection of his famous works.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://en.wikipedia.org/wiki/Albert_Pinkham_Ryder"} +{"d:Title": "The Grave of Albert Pinkham Ryder", "d:Description": "Location and pictures of the American's artist's grave including a brief biography.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3015"} +{"d:Title": "The Artchive: Albert Pinkham Ryder", "d:Description": "Biography of the artist and including a list of his works.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.artchive.com/artchive/R/ryder.html"} +{"d:Title": "The Ryder Unit", "d:Description": "Features the connection between Ryder and the Phillips group. Links to images of Ryder's artwork is also available.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.phillipscollection.org/research/american_art/miscellaneous/ryder-unit.htm"} +{"d:Title": "Humanities Web: Albert Pinkham Ryder", "d:Description": "Presents a biography, images of his selected works and additional suggested reading.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=b&ID=326"} +{"d:Title": "The Genius of Albert Pinkham Ryder", "d:Description": "Article reviewing his famous landscape works.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.rickieleejones.com/gallery/albert.htm"} +{"d:Title": "The Athenaeum - Albert Pinkham Ryder", "d:Description": "Features a total of 12 paintings of the American painter in high detail.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=974"} +{"d:Title": "Simply Art: Albert Pinkham Ryder", "d:Description": "Offers a biography including images of his works.", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.michaelarnoldart.com/Albert_Pinkham_Ryder.htm"} +{"d:Title": "National Gallery of Art", "d:Description": "Presents a comprehensive biography including two of his masterpieces, \"Mending the Harness\" and \"Siegfried and the Rhine Maidens\".", "topic": "Top/Arts/Art_History/Artists/R/Ryder,_Albert_Pinkham", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.1851.html"} +{"d:Title": "Spacal, Lojze", "d:Description": "Artist's biography, gallery of works, news.", "topic": "Top/Arts/Art_History/Artists/S", "url": "http://www.spacal.net/"} +{"d:Title": "Smith, Tony (1941-2008)", "d:Description": "Portfolio and biography of a London born artist who focused on landscapes and human nature.", "topic": "Top/Arts/Art_History/Artists/S", "url": "http://www.tonysmith-paintings.co.uk/"} +{"d:Title": "Stubbs, Kenneth (1907-1967)", "d:Description": "Selected paintings and drawings ranging from abstract impressionism to classical drawing and Japanese brushwork by this American artist.", "topic": "Top/Arts/Art_History/Artists/S", "url": "http://kennethstubbsart.com/"} +{"d:Title": "Schmidt, Peter (1931-1980)", "d:Description": "Dedicated to the life and legacy of the German born multimedia artist who emigrated to the UK in 1938. Includes biography and portfolio.", "topic": "Top/Arts/Art_History/Artists/S", "url": "http://www.peterschmidtweb.com/"} +{"d:Title": "Hassel Smith - Official Site", "d:Description": "This site is dedicated to the life and work of the artist Hassel Smith.", "topic": "Top/Arts/Art_History/Artists/S", "url": "http://www.hasselsmith.com/"} +{"d:Title": "Brian Yoder's Art Gallery and Critic's Corner", "d:Description": "Raphael's The School of Athens, with a brief discussion. A few other works are presented as well.", "topic": "Top/Arts/Art_History/Artists/S/Sanzio,_Raphael", "url": "http://www.goodart.org/artofetc.htm#sanzio"} +{"d:Title": "Art Gallery: Raphael", "d:Description": "A virtual gallery of the artists paintings in a beautiful format. Featuring the so famous paintings with the two Angels.", "topic": "Top/Arts/Art_History/Artists/S/Sanzio,_Raphael", "url": "http://www.christusrex.org/www2/art/Raphael.htm"} +{"d:Title": "Olga's Gallery: Raphael", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Sanzio,_Raphael", "url": "http://www.abcgallery.com/R/raphael/raphael.html"} +{"d:Title": "Life of an Artist: Raphael", "d:Description": "Biography of the painter as written by Giorgio Vasari. Includes an image gallery.", "topic": "Top/Arts/Art_History/Artists/S/Sanzio,_Raphael", "url": "http://www.artist-biography.info/artist/raphael/"} +{"d:Title": "The Worldwide Art Gallery: Raphael", "d:Description": "Biography and image collection (including The School of Athens) of this Italian Renaissance master.", "topic": "Top/Arts/Art_History/Artists/S/Sanzio,_Raphael", "url": "http://www.theartgallery.com.au/ArtEducation/greatartists/Raphael/about/"} +{"d:Title": "Web Gallery of Art: Raphael", "d:Description": "Hungary-based image archive with a variety of Raphael's works available online.", "topic": "Top/Arts/Art_History/Artists/S/Sanzio,_Raphael", "url": "http://www.wga.hu/frames-e.html?/html/r/raphael/index.html"} +{"d:Title": "John Singer Sargent - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.abcgallery.com/S/sargent/sargent.html"} +{"d:Title": "John Singer Sargent", "d:Description": "American Painter (1856-1925). Artist Monography with essays and links to over 200 paintings.", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.jssgallery.org/"} +{"d:Title": "Sargent's \"Madame X\"; or, Assertion and Retreat in Woman", "d:Description": "Lynette Abel article about one of the artist's painting, showing how art answers the questions of our life as described by the education Aesthetic Realism, founded by Eli Siegel.", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.lynetteabel.org/Art.html"} +{"d:Title": "WebMuseum : Sargent", "d:Description": "Brief article on the artist with 5 images.", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.ibiblio.org/wm/paint/auth/sargent/"} +{"d:Title": "John Singer Sargent", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this artist known for portraits, figures and landscapes", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.askart.com/askart/s/john_singer_sargent/john_singer_sargent.aspx"} +{"d:Title": "John Singer Sargent", "d:Description": "American artist John Singer Sargent (1856-1925) : site with biography, artwork, links, and information.", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.eeweems.com/johnsingersargent/"} +{"d:Title": "John Singer Sargent - Beyond the Portrait Studio", "d:Description": "Exhibit at The Metropolitan Museum of Art includes paintings, drawings, and watercolors selected from the Museum's holdings.", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.metmuseum.org/exhibitions/listings/2000/john-singer-sargent"} +{"d:Title": "Adelson Galleries - John Singer Sargent", "d:Description": "Provides images of his artworks together with a biography, selected exhibitions and publications.", "topic": "Top/Arts/Art_History/Artists/S/Sargent,_John_Singer", "url": "http://www.adelsongalleries.com/artists/sargent-john-singer/"} +{"d:Title": "Frederick Ferdinand Schafer Painting Catalog", "d:Description": "This site is an illustrated inventory of the paintings of this American nineteenth-century landscape artist.", "topic": "Top/Arts/Art_History/Artists/S/Schafer,_Frederick_Ferdinand", "url": "http://ffscat.lcs.mit.edu/ffshtml/"} +{"d:Title": "Frederick Ferdinand Schafer", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this landscape artist known for his paintings of Indian life and wildlife.", "topic": "Top/Arts/Art_History/Artists/S/Schafer,_Frederick_Ferdinand", "url": "http://www.askart.com/askart/s/frederick_ferdinand_schafer/frederick_ferdinand_schafer.aspx"} +{"d:Title": "Artchive - Egon Schiele", "d:Description": "Essay from Edward Lucie-Smith and images.", "topic": "Top/Arts/Art_History/Artists/S/Schiele,_Egon", "url": "http://www.artchive.com/artchive/S/schiele.html"} +{"d:Title": "WebMuseum - Egon Schiele", "d:Description": "Includes bibliography of the Austrian expressionist artist as well as some of his works.", "topic": "Top/Arts/Art_History/Artists/S/Schiele,_Egon", "url": "http://www.ibiblio.org/wm/paint/auth/schiele/"} +{"d:Title": "Atlantic Monthly Magazine - The Importance of Being Jaded", "d:Description": "Article \"Posing for Egon Schiele\" by Lee Siegel", "topic": "Top/Arts/Art_History/Artists/S/Schiele,_Egon", "url": "http://www.theatlantic.com/unbound/criticaleye/980219cv.htm"} +{"d:Title": "Egon Schiele Museum", "d:Description": "Extensive picture collection of the artist.", "topic": "Top/Arts/Art_History/Artists/S/Schiele,_Egon", "url": "http://www.geocities.co.jp/Milano/1417/museum.html"} +{"d:Title": "National Gallery of Art - Egon Schiele", "d:Description": "Exhibition history, bibliography, and images.", "topic": "Top/Arts/Art_History/Artists/S/Schiele,_Egon", "url": "http://www.nga.gov/content/ngaweb/Collection/artist-info.2734.html"} +{"d:Title": "Minneapolis Institute of Arts - Portrait of Paris von Gutersloh", "d:Description": "Information about the oil in canvas by the Austrian Expressionist artist.", "topic": "Top/Arts/Art_History/Artists/S/Schiele,_Egon", "url": "https://collections.artsmia.org/index.php?page=detail&id=10219"} +{"d:Title": "Mark Harden's Artchive: Kurt Schwitters", "d:Description": "Biography and selected images.", "topic": "Top/Arts/Art_History/Artists/S/Schwitters,_Kurt", "url": "http://www.artchive.com/artchive/S/schwitters.html"} +{"d:Title": "Sprengel Museum - Kurt Schwitters Archives", "d:Description": "Presents the Schwitters Archives in the Stadtbibliothek which contains numerous personal documents, his correspondence, and writing.", "topic": "Top/Arts/Art_History/Artists/S/Schwitters,_Kurt", "url": "http://www.sprengel-museum.de/v1/englisch/12schwarchiv/12schwitters-intro.html"} +{"d:Title": "Olga's Gallery: Valentin Serov", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Serov,_Valentin", "url": "http://www.abcgallery.com/S/serov/serov.html"} +{"d:Title": "Valentin Alexandrovich Serov", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/S/Serov,_Valentin", "url": "http://myweb.rollins.edu/aboguslawski/Ruspaint/serov.html"} +{"d:Title": "Artchive: Georges Seurat", "d:Description": "Images and discussion of his influence and style.", "topic": "Top/Arts/Art_History/Artists/S/Seurat,_Georges", "url": "http://www.artchive.com/artchive/S/seurat.html"} +{"d:Title": "Georges Seurat - Olga's Gallery", "d:Description": "Collection of works of the French artist with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Seurat,_Georges", "url": "http://www.abcgallery.com/S/seurat/seurat.html"} +{"d:Title": "Wetcanvas - Seurat, Georges", "d:Description": "Featuring a short biography and some paintings.", "topic": "Top/Arts/Art_History/Artists/S/Seurat,_Georges", "url": "http://www.wetcanvas.com/Museum/Artists/s/Georges_Seurat/"} +{"d:Title": "Georges Seurat", "d:Description": "Includes a brief biography, slideshow of his works, and links to related sites.", "topic": "Top/Arts/Art_History/Artists/S/Seurat,_Georges", "url": "http://www.georgesseurat.org/"} +{"d:Title": "Artcyclopedia: John Byam Shaw", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/S/Shaw,_John_Liston_Byam", "url": "http://www.artcyclopedia.com/artists/shaw_john_byam.html"} +{"d:Title": "Artcyclopedia: Elizabeth Eleanor Siddal", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/S/Siddal,_Elizabeth_Eleanor", "url": "http://www.artcyclopedia.com/artists/siddal_elizabeth_eleanor.html"} +{"d:Title": "Elizabeth Siddal: Pre-Raphaelite Muse", "d:Description": "Biography, image gallery, book reviews, and links to other resources.", "topic": "Top/Arts/Art_History/Artists/S/Siddal,_Elizabeth_Eleanor", "url": "http://www.lizziesiddal.com/"} +{"d:Title": "WebMuseum: Signac, Paul", "d:Description": "Artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/S/Signac,_Paul", "url": "http://www.ibiblio.org/wm/paint/auth/signac/"} +{"d:Title": "Artchive: Paul Signac", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/S/Signac,_Paul", "url": "http://www.artchive.com/artchive/S/signac.html"} +{"d:Title": "WetCanvas: Paul Signac", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/S/Signac,_Paul", "url": "http://www.wetcanvas.com/Museum/Artists/s/Paul_Signac/"} +{"d:Title": "Paul Signac - Olga's Gallery", "d:Description": "Collection of works of the French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Signac,_Paul", "url": "http://www.abcgallery.com/S/signac/signac.html"} +{"d:Title": "Haber's Art Reviews: Paul Signac and Helen Frankenthaler", "d:Description": "Review by John Haber of 'Paul Signac: Master Neo-Impressionist' at New York's Metropolitan Museum of Art and of Helen Frankenthaler's Lighthouse Series", "topic": "Top/Arts/Art_History/Artists/S/Signac,_Paul", "url": "http://www.haberarts.com/signac.htm"} +{"d:Title": "Olga's Gallery: David Alfaro Siqueiros", "d:Description": "Collection of works of a Mexican artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Siqueiros,_David_Alfaro", "url": "http://www.abcgallery.com/S/siqueiros/siqueiros.html"} +{"d:Title": "Fine Arts Museum of San Francisco - Siqueiros, David Alfaro", "d:Description": "Features a couple of his paintings with dimension, media and exhibition history.", "topic": "Top/Arts/Art_History/Artists/S/Siqueiros,_David_Alfaro", "url": "http://art.famsf.org/david-alfaro-siqueiros"} +{"d:Title": "Olga's Gallery - Alfred Sisley", "d:Description": "Collection of the images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Sisley,_Alfred", "url": "http://www.abcgallery.com/S/sisley/sisley.html"} +{"d:Title": "Artchive - Alfred Sisley", "d:Description": "Selection of images of his work.", "topic": "Top/Arts/Art_History/Artists/S/Sisley,_Alfred", "url": "http://www.artchive.com/artchive/S/sisley.html"} +{"d:Title": "WebMuseum - Alfred Sisley", "d:Description": "Biographical information and paintings by the French impressionist.", "topic": "Top/Arts/Art_History/Artists/S/Sisley,_Alfred", "url": "http://www.ibiblio.org/wm/paint/auth/sisley/"} +{"d:Title": "Humanities Web - Alfred Sisley", "d:Description": "Suggested reading, timeline, and selected works by the artist.", "topic": "Top/Arts/Art_History/Artists/S/Sisley,_Alfred", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=i&ID=46"} +{"d:Title": "Stanley Spencer", "d:Description": "A resource for students and those interested in one of Btitains greatest 20th century artists. It includes a chronology, a bibliography, including short reviews and news about Spencer", "topic": "Top/Arts/Art_History/Artists/S/Spencer,_Stanley", "url": "http://www.angelfire.com/ar/stanleyspencer/"} +{"d:Title": "Stanley Spencer", "d:Description": "A tribute to one of Britain's most renowned 20th century painters.", "topic": "Top/Arts/Art_History/Artists/S/Spencer,_Stanley", "url": "http://www.stanleyspencer.org.uk/"} +{"d:Title": "Artcyclopedia: John Roddam Spencer Stanhope", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/S/Spencer-Stanhope,_John_Roddam", "url": "http://www.artcyclopedia.com/artists/stanhope_john_roddam_spencer.html"} +{"d:Title": "Artcyclopedia: Marie Spartali Stillman", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/S/Stillman,_Marie_Spartali", "url": "http://www.artcyclopedia.com/artists/stillman_marie_spartali.html"} +{"d:Title": "Artcyclopedia: John Melhuish Strudwick", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/S/Strudwick,_John_Melhuish", "url": "http://www.artcyclopedia.com/artists/strudwick_john_melhuish.html"} +{"d:Title": "George Stubb's Anatomy", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/S/Stubbs,_George", "url": "http://www.uh.edu/engines/epi906.htm"} +{"d:Title": "George Stubbs", "d:Description": "Includes a collection of images of the artist's works.", "topic": "Top/Arts/Art_History/Artists/S/Stubbs,_George", "url": "http://www.psurg.com/GEORGE.htm"} +{"d:Title": "Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/S/Stubbs,_George", "url": "http://www.abcgallery.com/S/stubbs/stubbs.html"} +{"d:Title": "Grave of George Stubbs", "d:Description": "Pictures of artist's grave.", "topic": "Top/Arts/Art_History/Artists/S/Stubbs,_George", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=12487"} +{"d:Title": "George Stubbs", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/S/Stubbs,_George", "url": "http://jmacneill.tripod.com/horseinart2.html"} +{"d:Title": "Franz von Stuck - German Symbolist", "d:Description": "Paintings and photos of the villa von Stuck in Munich.", "topic": "Top/Arts/Art_History/Artists/S/Stuck,_Franz_von", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/art/stuck.html"} +{"d:Title": "Franz Von Stuck", "d:Description": "Featuring several paintings.", "topic": "Top/Arts/Art_History/Artists/S/Stuck,_Franz_von", "url": "http://franz_von_stuck.tripod.com/"} +{"d:Title": "Artcyclopedia: Annie Louise Swynnerton", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/S/Swynnerton,_Annie", "url": "http://www.artcyclopedia.com/artists/swynnerton_annie_louise.html"} +{"d:Title": "Committee Mark Tobey", "d:Description": "Detailed information on the American abstract expressionist painter and the committee of experts supporting his art.", "topic": "Top/Arts/Art_History/Artists/T", "url": "http://www.cmt-marktobey.net/"} +{"d:Title": "Tobey, Alton S", "d:Description": "Featuring the life, paintings and murals of an American realist. (1914-2005)", "topic": "Top/Arts/Art_History/Artists/T", "url": "http://www.altontobey.com/"} +{"d:Title": "Thompson, JB", "d:Description": "A brief biographical sketch and representations of the artist's works.", "topic": "Top/Arts/Art_History/Artists/T", "url": "http://www.jbthompsonart.net/"} +{"d:Title": "Theisen-Helm, Mary (1923-2003)", "d:Description": "Biography and portfolio of a Wisconsin painter who worked with a variety of styles and media.", "topic": "Top/Arts/Art_History/Artists/T", "url": "http://www.wisconsinart.org/archives/artist/mary-s-theisen-helm/profile-3239.aspx"} +{"d:Title": "Twombly, Cy", "d:Description": "Comprehensive collection of more than 150 images of artist's works with biography, articles, bibliography and exhibition information.", "topic": "Top/Arts/Art_History/Artists/T", "url": "http://www.cytwombly.info/"} +{"d:Title": "WebMuseum: Yves Tanguy", "d:Description": "Short biography and a picture of 'Indefinite Divisibility' (1942).", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.ibiblio.org/wm/paint/auth/tanguy/"} +{"d:Title": "Cosmopolis: Tanguy, Yves", "d:Description": "Article on the exhibition at the Staatsgalerie Stuttgart, later at the Menil Collection in Houston.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.cosmopolis.ch/english/cosmo14/yvestanguy.htm"} +{"d:Title": "Olga's Gallery - Yves Tanguy", "d:Description": "Collection of works of the French Surrealist artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.abcgallery.com/T/tanguy/tanguy.html"} +{"d:Title": "AskART: Yves Tanguy", "d:Description": "Auction results, biography, images, and periodicals.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.askart.com/askart/t/yves_tanguey/yves_tanguey.aspx"} +{"d:Title": "Wikipedia - Yves Tanguy", "d:Description": "Article providing biography, gallery, and a full list of paintings. Recommended books are also listed.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://en.wikipedia.org/wiki/Yves_Tanguy"} +{"d:Title": "Artcyclopedia: Yves Tanguy", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.artcyclopedia.com/artists/tanguy_yves.html"} +{"d:Title": "ARC - Yves Tanguy (1900 - 1955)", "d:Description": "Provides images of the artist's work with information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.artrenewal.org/pages/artist.php?artistid=4688"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Yves Tanguy\u2019s gallery, including sketches and untitled artworks.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.artic.edu/aic/collections/artwork/artist/Tanguy,+Yves"} +{"d:Title": "MoMA The Collection: Yves Tanguy", "d:Description": "Full collection of the French-born American painter from 1926 to 1947. Biography is also available focused on factors that influenced the work of the surrealist artist.", "topic": "Top/Arts/Art_History/Artists/T/Tanguy,_Yves", "url": "http://www.moma.org/collection/artists/5804"} +{"d:Title": "Wikipedia - Edmund Charles Tarbell", "d:Description": "Encyclopedia article provides artist's biography, list of paintings and references. Includes photographs.", "topic": "Top/Arts/Art_History/Artists/T/Tarbell,_Edmund_Charles", "url": "http://en.wikipedia.org/wiki/Edmund_Charles_Tarbell"} +{"d:Title": "Impressionism Transformed - The Paintings of Edmund C. Tarbell", "d:Description": "Provides summary and images from the Currier Gallery of Art exhibition October 13, 2001 - January 13, 2002.", "topic": "Top/Arts/Art_History/Artists/T/Tarbell,_Edmund_Charles", "url": "http://www.tfaoi.com/aa/3aa/3aa31.htm"} +{"d:Title": "ARC - Edmund Charles Tarbell", "d:Description": "Art works featured on include Josephine Knitting and Portrait of Elanor Hyde Phillips. Provides date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/T/Tarbell,_Edmund_Charles", "url": "http://www.artrenewal.org/pages/artist.php?artistid=559"} +{"d:Title": "Artcyclopedia: Edmund Charles Tarbell", "d:Description": "Guide to art museum sites and image archives where Tarbell's paintings can be viewed online.", "topic": "Top/Arts/Art_History/Artists/T/Tarbell,_Edmund_Charles", "url": "http://www.artcyclopedia.com/artists/tarbell_edmund_charles.html"} +{"d:Title": "The Athenaeum - Edmund Tarbell", "d:Description": "Features a total of 78 works of the American Impressionist painter in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/T/Tarbell,_Edmund_Charles", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1717"} +{"d:Title": "Mother and Mary, 1922", "d:Description": "Narrative, bibliography, exhibition history and detail images from the National Gallery of Art, Washington, DC.", "topic": "Top/Arts/Art_History/Artists/T/Tarbell,_Edmund_Charles", "url": "http://www.nga.gov/content/ngaweb/Collection/art-object-page.50713.html"} +{"d:Title": "David Teniers the Younger - Olga's Gallery", "d:Description": "Collection of works of the Flemish artist with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://www.abcgallery.com/T/teniers/teniers.html"} +{"d:Title": "Catholic Encyclopedia: Teniers, David", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://www.newadvent.org/cathen/14507b.htm"} +{"d:Title": "David Teniers the Younger on the Internet", "d:Description": "Links to works by David Teniers the Younger in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://www.artcyclopedia.com/artists/teniers_the_younger_david.html"} +{"d:Title": "Teniers: Cabinet of Archduke Leopold William", "d:Description": "Interactive story of one of the most famous artist's paintings.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://courses.washington.edu/englhtml/engl569/berger/teniers/teniersmap.html"} +{"d:Title": "Web Gallery of Art: Teniers, David the Younger", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://www.wga.hu/bio/t/teniers/jan2/biograph.html"} +{"d:Title": "The National Gallery: David Teniers the Younger", "d:Description": "Provides a list of the Flemish artist's work including proper background per each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://www.nationalgallery.org.uk/artists/david-teniers-the-younger"} +{"d:Title": "Wikipedia - David Teniers the Younger", "d:Description": "Encyclopedia Article provides artist's biography, legacy and the different influences on the Flemish painter's work.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://en.wikipedia.org/wiki/David_teniers_the_younger"} +{"d:Title": "The Art Institute of Chicago: Teniers the Younger", "d:Description": "Provides a total of 5 painting from the baroque artist with high detail and analysis.", "topic": "Top/Arts/Art_History/Artists/T/Teniers_the_Younger,_David", "url": "http://www.artic.edu/aic/collections/artwork/artist/Teniers,+David,+the+younger"} +{"d:Title": "Teraoka, Masami", "d:Description": "Biography and paintings from the AIDS series commented by the artist.", "topic": "Top/Arts/Art_History/Artists/T/Teraoka,_Masami", "url": "http://www.artelino.com/articles/masami_teraoka.asp"} +{"d:Title": "Masami Teraoka - Official Website", "d:Description": "Provides a complete collection of the contemporary artist's work along with his point of view. News and autobiography are also available.", "topic": "Top/Arts/Art_History/Artists/T/Teraoka,_Masami", "url": "http://www.masamiteraoka.com/"} +{"d:Title": "Wikipedia - Masami Teraoka", "d:Description": "Encyclopedia article featuring the Japanese-American contemporary early life and artwork.", "topic": "Top/Arts/Art_History/Artists/T/Teraoka,_Masami", "url": "http://en.wikipedia.org/wiki/Masami_Teraoka"} +{"d:Title": "National Gallery of Art- Teraoka, Masami", "d:Description": "Chronology providing an overview of selected biographical information regarding the artist's own lifetime.", "topic": "Top/Arts/Art_History/Artists/T/Teraoka,_Masami", "url": "http://www.nga.gov.au/InternationalPrints/Tyler/DEFAULT.cfm?MnuID=2&ArtistIRN=20458&List=True"} +{"d:Title": "Catharine Clark Gallery: Masami Teraoka", "d:Description": "Biography and paintings by the artist.", "topic": "Top/Arts/Art_History/Artists/T/Teraoka,_Masami", "url": "http://cclarkgallery.com/artists/series/masami-teraoka"} +{"d:Title": "Masami Teraoka", "d:Description": "Works by the artist in the Tate Collection.", "topic": "Top/Arts/Art_History/Artists/T/Teraoka,_Masami", "url": "http://www.tate.org.uk/art/artists/masami-teraoka-6728"} +{"d:Title": "Artcyclopedia: Gerard Terborch", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/T/Terborch,_Gerard", "url": "http://www.artcyclopedia.com/artists/terborch_gerard.html"} +{"d:Title": "Gerard Terborch - Olga's Gallery", "d:Description": "Collection of works of the Dutch artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Terborch,_Gerard", "url": "http://www.abcgallery.com/T/terborch/terborch.html"} +{"d:Title": "Web Gallery of Art: Terborch, Gerard", "d:Description": "Collection of images of artist's works.", "topic": "Top/Arts/Art_History/Artists/T/Terborch,_Gerard", "url": "http://www.wga.hu/frames-e.html?/html/t/terborch/index.html"} +{"d:Title": "ARC: Gerard Ter Borch", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/T/Terborch,_Gerard", "url": "http://www.artrenewal.org/pages/artist.php?artistid=562"} +{"d:Title": "Rijks Museum - Gerard Ter Borch II", "d:Description": "Provides a short biography and analysis in each of the 6 artist's masterpieces available.", "topic": "Top/Arts/Art_History/Artists/T/Terborch,_Gerard", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00017094?lang=en"} +{"d:Title": "Wikipedia - Gerard Ter Borch", "d:Description": "Encyclopedia article featuring biography, artworks and further reading regarding the baroque artist.", "topic": "Top/Arts/Art_History/Artists/T/Terborch,_Gerard", "url": "http://en.wikipedia.org/wiki/Gerard_ter_Borch"} +{"d:Title": "Ter Borch and Music", "d:Description": "Shows the influence of music on Ter Borch's Paintings.", "topic": "Top/Arts/Art_History/Artists/T/Terborch,_Gerard", "url": "http://www.nga.gov/exhibitions/2004/terborch/audio.shtm"} +{"d:Title": "Los Angeles Times - Diana Thater: Between Science and Magic", "d:Description": "David Pagel reviewing the exhibition at the Santa Monica Museum of Art.", "topic": "Top/Arts/Art_History/Artists/T/Thater,_Diana", "url": "http://latimesblogs.latimes.com/culturemonster/2010/01/art-review-diana-thater-between-science-and-magic-at-the-santa-monica-museum-of-art.html"} +{"d:Title": "Interview Magazine - Last Chance: The Magic of Diana Thater", "d:Description": "Kevin McGarry reviewing the exhibition of the artist at David Zwirner, New York.", "topic": "Top/Arts/Art_History/Artists/T/Thater,_Diana", "url": "http://www.interviewmagazine.com/blogs/art/2010-03-12/diana-thater-between-science-and-magic/"} +{"d:Title": "Solomon R. Guggenheim Museum - Diana Thater", "d:Description": "Biographical profile at the Solomon R. Guggenheim Museum in New York.", "topic": "Top/Arts/Art_History/Artists/T/Thater,_Diana", "url": "http://www.guggenheim.org/new-york/collections/collection-online/artists/bios/3813"} +{"d:Title": "Abbott Handerson Thayer", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this portrait and landscape artist.", "topic": "Top/Arts/Art_History/Artists/T/Thayer,_Abbott_H.", "url": "http://www.askart.com/askart/t/abbott_handerson_thayer/abbott_handerson_thayer.aspx"} +{"d:Title": "Wikipedia - Abbott Handerson Thayer (1849 -1921)", "d:Description": "Encyclopedia article featuring an extended biography, artistic achievements, and camouflage contributions regarding the American painter.", "topic": "Top/Arts/Art_History/Artists/T/Thayer,_Abbott_H.", "url": "http://en.wikipedia.org/wiki/Abbott_H._Thayer"} +{"d:Title": "Abbott Thayer's Camouflage Demonstrations", "d:Description": "Essay showing how Thayer discovered counter shading, and how the famous painter implemented his first camouflage experiments.", "topic": "Top/Arts/Art_History/Artists/T/Thayer,_Abbott_H.", "url": "http://www.bobolinkbooks.com/Camoupedia/DazzleThayer.html"} +{"d:Title": "WebMuseum: Tiepolo, Giambattista", "d:Description": "Collection of images.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.ibiblio.org/wm/paint/auth/tiepolo/"} +{"d:Title": "Giambattista Tiepolo", "d:Description": "Collection of images and artist's biography from the Metropolitan Museum of Art.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.metmuseum.org/toah/hd/tiep/hd_tiep.htm"} +{"d:Title": "Web Gallery of Art: Tiepolo, Giovanni Battista", "d:Description": "Artist's biography and image gallery of his works.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.wga.hu/frames-e.html?/bio/t/tiepolo/gianbatt/biograph.html"} +{"d:Title": "ARC: Giovanni Battista Tiepolo", "d:Description": "Collection of images of artist's paintings and a biography.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2643"} +{"d:Title": "Wikipedia: Giovanni Battista Tiepolo", "d:Description": "Artist's biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://en.wikipedia.org/wiki/Giovanni_Battista_Tiepolo"} +{"d:Title": "The National Gallery: Giovanni Battista Tiepolo", "d:Description": "Provides a list of the rococo artist's work including proper analysis per each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.nationalgallery.org.uk/artists/giovanni-battista-tiepolo"} +{"d:Title": "Kress Foundation: Teopolo", "d:Description": "High definition gallery, panorama pictures are also available.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.kressfoundation.org/collection/ViewCollection.aspx?id=72&artistID=19584"} +{"d:Title": "Biblical art by Giovanni Battista Tiepolo", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.artbible.info/art/work/giovan-battista-tiepolo.html"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Tiepolo\u2019s gallery, including drwaing and sketches.", "topic": "Top/Arts/Art_History/Artists/T/Tiepolo,_Giambattista", "url": "http://www.artic.edu/aic/collections/artwork/artist/Tiepolo,+Giovanni+Battista"} +{"d:Title": "Artcyclopedia: Louis Comfort Tiffany", "d:Description": "Links to the artist's works in art museum sites and image archives worldwide. From Artcyclopedia.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis", "url": "http://www.artcyclopedia.com/artists/tiffany_louis_comfort.html"} +{"d:Title": "The Grave of Louis Comfort Tiffany", "d:Description": "All that talent comes to this, as it does for everyone, of course. A map shows its approximate location in New York.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2957"} +{"d:Title": "AskArt: Louis Comfort Tiffany", "d:Description": "Auction results, biographies, images and books pertaining to this exotic landscape and still life artist.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis", "url": "http://www.askart.com/askart/t/louis_comfort_tiffany/louis_comfort_tiffany.aspx"} +{"d:Title": "Wikipedia: Louis Comfort Tiffany (1848 - 1933)", "d:Description": "Extended biography of the American artist best-known for his stained glass works. Gallery and collections are also available.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis", "url": "http://en.wikipedia.org/wiki/Louis_Tiffany"} +{"d:Title": "The Athenaeum - Louis Tiffany", "d:Description": "Features a total of 8 paintings of the American artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1282"} +{"d:Title": "Louis Comfort Tiffany - The Metropolitan Museum of Art", "d:Description": "Includes a comprehensive biography along with a slideshow presenting his famous stained-glass windows, paintings, interiors, mosaics, among others.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis", "url": "http://www.metmuseum.org/toah/hd/tiff/hd_tiff.htm"} +{"d:Title": "MoMA The Collection: Louis Tiffany", "d:Description": "Collection of the American artist from 1898 to 1921. Biography is also available focused on factors that influenced the work of the art nouveau artist.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis", "url": "http://www.moma.org/collection/artists/5876"} +{"d:Title": "Tiffany, Louis Comfort: The Rivalry Between Him and John La Farge", "d:Description": "Long illustrated article on the competition between La Farge and Tiffany, both of whom claimed to have invented American opalescent stained glass, both of whom trademarked it, their legal battle and its outcome.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis/Stained_Glass", "url": "http://www.jlsloan.com/lct1.htm"} +{"d:Title": "Tiffany, Louis Comfort: Morse Museum Collection of Glass", "d:Description": "Article featuring the transition of the American artist from being a painter into a glass-making artist. International reception section is also available.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis/Stained_Glass", "url": "http://www.morsemuseum.org/collection/louis_comfort_tiffany.html"} +{"d:Title": "About Louis Comfort Tiffany and Favrile Glass", "d:Description": "Article showing how Louis started doing stained glass art.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis/Stained_Glass", "url": "http://www.cmog.org/dynamic.aspx?id=10641"} +{"d:Title": "Tiffany Exhibition Virtual Tour", "d:Description": "Provides a panoramic tour of the artist's works in Montreal on high detail.", "topic": "Top/Arts/Art_History/Artists/T/Tiffany,_Louis/Stained_Glass", "url": "http://photo.photojpl.com/tour/tiffany/tiffany-glass.html"} +{"d:Title": "ARC: Tintoretto", "d:Description": "Provides images of the renaissance artist's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.artrenewal.org/pages/artist.php?artistid=573"} +{"d:Title": "Biblical art by Tintoretto", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.artbible.info/art/work/il-tintoretto.html"} +{"d:Title": "The National Gallery: Tintoretto", "d:Description": "Provides a list of the Venetian artist's work including proper historical notes per each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.nationalgallery.org.uk/artists/jacopo-tintoretto"} +{"d:Title": "WebMuseum: Tintoretto", "d:Description": "Biography of the famous renaissance artist.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.ibiblio.org/wm/paint/auth/tintoretto/"} +{"d:Title": "Web Gallery of Art: Tintoretto", "d:Description": "Artist's biography and image gallery of his works.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.wga.hu/frames-e.html?/html/t/tintoret/index.html"} +{"d:Title": "National Gallery of Art - Tintoretto", "d:Description": "Also known as Jacopo Robusti, provides a list of the Italian artist's work along with their exhibition history.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1929"} +{"d:Title": "Esther before Ahasuerus", "d:Description": "Provides thorough information towards Tintoretto's masterpiece oil on canvas.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.royalcollection.org.uk/eGallery/maker.asp?maker=12615"} +{"d:Title": "Victoria&Albert Museum - Jacopo Tintoretto", "d:Description": "Provides a wide list of the artist's work, including a summary with historical notes on each image.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://collections.vam.ac.uk/name/tintoretto-jacopo-robusti/3208/"} +{"d:Title": "Wikipedia: Tintoretto (1518 - 1594)", "d:Description": "Encyclopedia article featuring an extended biography, style and external links regarding the Italian renaissance artist.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://en.wikipedia.org/wiki/Tintoretto"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Tintoretto\u2019s gallery, including size and medium information.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.artic.edu/aic/collections/artwork/artist/Tintoretto"} +{"d:Title": "Jacopo Tintoretto", "d:Description": "Biography provided by the J. Paul Getty Museum. Includes also artist's studies regarding the Atlas statuette.", "topic": "Top/Arts/Art_History/Artists/T/Tintoretto", "url": "http://www.getty.edu/art/collection/artists/733/jacopo-tintoretto-jacopo-robusti-italian-15181519-1594/"} +{"d:Title": "WebMuseum: Tissot, James", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.ibiblio.org/wm/paint/auth/tissot/"} +{"d:Title": "Jacques Joseph Tissot (James Tissot) - Olga's Gallery", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.abcgallery.com/T/tissot/tissot.html"} +{"d:Title": "Who is James Tissot?", "d:Description": "Article about the artist by Hall Groat II.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.passion4art.com/articles/jamestissot.htm"} +{"d:Title": "James Tissot on the Internet", "d:Description": "Links to works of the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.artcyclopedia.com/artists/tissot_james.html"} +{"d:Title": "The Victorian Web: James Tissot, 1836-1902", "d:Description": "Collection of artist's paintings, book illustrations and drawings.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.victorianweb.org/painting/tissot/index.html"} +{"d:Title": "Wikipedia: James Tissot (1836 - 1902)", "d:Description": "Encyclopedia article featuring the artist's biography, career, gallery and style.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://en.wikipedia.org/wiki/James_Tissot"} +{"d:Title": "James Tissot: \u201cThe Life of Christ\u201d", "d:Description": "Provides a list of the French painter's work related to Christ with their proper analysis. Virtual sketchbook is also available.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.brooklynmuseum.org/exhibitions/james_tissot/"} +{"d:Title": "Biblical art by James Tissot", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.artbible.info/art/work/james-tissot.html"} +{"d:Title": "The Athenaeum - James Tissot", "d:Description": "Features a total of 119 paintings of the French artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=143"} +{"d:Title": "James Tissot", "d:Description": "Works by the artist in the Tate Collection.", "topic": "Top/Arts/Art_History/Artists/T/Tissot,_James", "url": "http://www.tate.org.uk/art/artists/james-tissot-2048"} +{"d:Title": "Olga's Gallery: Titian", "d:Description": "Comprehensive collection of more than 100 images of artist's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.abcgallery.com/T/titian/titian.html"} +{"d:Title": "The Life of Titian by Vasari", "d:Description": "Excerpts from the biography of the artist by famous Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/titian/titian.htm"} +{"d:Title": "Artchive: Titian", "d:Description": "An article by Antonio Paolucci called 'The Portraits of Titian', bibliography and a list of images.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.artchive.com/artchive/T/titian.html"} +{"d:Title": "Titian at Prado", "d:Description": "Artist's paintings from the Prado with historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.spanisharts.com/prado/titian.htm#lienzo4"} +{"d:Title": "Catholic Encyclopedia: Titian", "d:Description": "Biography of the artist with the bibliography.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.newadvent.org/cathen/14742a.htm"} +{"d:Title": "Artcyclopedia: Titian on the Internet", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.artcyclopedia.com/artists/titian.html"} +{"d:Title": "Titian [Tiziano Vecellio]", "d:Description": "Biographical sketch of the Renaissance artist generally regarded as the leading painter of the Venetian school", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.boglewood.com/cornaro/xtitian.html"} +{"d:Title": "WebMuseum: Titian", "d:Description": "Biography of the famous artist.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.ibiblio.org/wm/paint/auth/titian/"} +{"d:Title": "Web Gallery of Art: Tiziano Vecellio", "d:Description": "Gallery of images of the artist's works with a biography.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.wga.hu/frames-e.html?/html/t/tiziano/index.html"} +{"d:Title": "Wikipedia: Titian", "d:Description": "Encyclopedia article featuring a thorough biography, including printmaking and a list of the renaissance artist's work", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://en.wikipedia.org/wiki/Titian"} +{"d:Title": "The National Gallery: Titian", "d:Description": "Provides a list of the renaissance artist's work including proper background per each painting. Complete biography is also available.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.nationalgallery.org.uk/artists/titian"} +{"d:Title": "Art Renewal Center (ARC): Titian", "d:Description": "Provides images of the renaissance painter's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.artrenewal.org/pages/artist.php?artistid=125"} +{"d:Title": "National Gallery of Art - Titian", "d:Description": "Also known as Tiziano Vecellio, provides a list of the Italian artist's work along with their proper background.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1932"} +{"d:Title": "Kress Foundation - Titian", "d:Description": "High definition gallery, also panorama pictures available.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.kressfoundation.org/collection/ViewCollection.aspx?id=72&artistID=20082"} +{"d:Title": "Biblical art by Titian", "d:Description": "Gallery focused on artist's work related to the bible scriptures.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.artbible.info/art/work/titian.html"} +{"d:Title": "The Athenaeum - Titian", "d:Description": "Features a total of 119 paintings of the French artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/T/Titian", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1341"} +{"d:Title": "Artcyclopedia: Jan Toorop", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/T/Toorop,_Jan", "url": "http://www.artcyclopedia.com/artists/toorop_jan.html"} +{"d:Title": "Wikipedia: Jan Toorop (1858 - 1928)", "d:Description": "Provides a short biography and a gallery of the artist's paintings.", "topic": "Top/Arts/Art_History/Artists/T/Toorop,_Jan", "url": "http://en.wikipedia.org/wiki/Jan_Toorop"} +{"d:Title": "Rijks Museum - Jan Toorop", "d:Description": "Provides a short biography, his relationship with art nouveau and background information in each of the 3 artist's masterpieces available.", "topic": "Top/Arts/Art_History/Artists/T/Toorop,_Jan", "url": "http://www.rijksmuseum.nl/aria/aria_artists/00016941?lang=en"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Displays drawings done by the Dutch artist, also known as Jean Theodoor Toorop.", "topic": "Top/Arts/Art_History/Artists/T/Toorop,_Jan", "url": "http://www.artic.edu/aic/collections/artwork/artist/Toorop,+Jan"} +{"d:Title": "MoMA The Collection: Jan Toorop", "d:Description": "Collection of a couple of Dutch painter's work created between 1895 and 1896.", "topic": "Top/Arts/Art_History/Artists/T/Toorop,_Jan", "url": "http://www.moma.org/collection/artists/5901"} +{"d:Title": "WebMuseum: Toulouse-Lautrec, Henri de", "d:Description": "Short biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.ibiblio.org/wm/paint/auth/toulouse-lautrec/"} +{"d:Title": "Mark Harden's Artchive: Toulouse-Lautrec", "d:Description": "Biographical notes, 13 images.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.artchive.com/artchive/T/toulouse-lautrec.html"} +{"d:Title": "WetCanvas, Virtual Museum, Henri de Toulouse-Lautrec", "d:Description": "Detailed biography, one poster.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.wetcanvas.com/Museum/Artists/t/Henri_de_Toulouse-Lautrec/"} +{"d:Title": "MoMA Exhibition: Paris - The 1890s", "d:Description": "Article focused on Toulouse-Lautrec exhibition including selected works.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.moma.org/exhibitions/1997/paris1890s/"} +{"d:Title": "Olga's Gallery: Henri de Toulouse-Lautrec", "d:Description": "Collection of works of a French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.abcgallery.com/T/toulouse-lautrec/toulouse-lautrec.html"} +{"d:Title": "Toulouse-Lautrec and Montmartre", "d:Description": "Provides information regarding the influence Montmartre had on Lautrec\u2019s work. Elaborated by the National Gallery of Art.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.nga.gov/exhibitions/2005/toulouse/index.shtm"} +{"d:Title": "The National Gallery: Toulouse-Lautrec", "d:Description": "Provides a list of the post-impressionist artist's work including proper background per each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.nationalgallery.org.uk/artists/henri-de-toulouse-lautrec"} +{"d:Title": "Wikipedia: Henri de Toulouse-Lautrec (1864 - 1901)", "d:Description": "Encyclopedia article featuring a thorough biography and a list of the French artist's work", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://en.wikipedia.org/wiki/Henri_de_Toulouse-Lautrec"} +{"d:Title": "Toulouse-Lautrec and Paris Exhibition", "d:Description": "Provides a gallery of the French artist's paintings, drawings and lithographs. Audio tour is also available.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.clarkart.edu/exhibitions/toulouse-lautrec/content/exhibition.cfm"} +{"d:Title": "Rijks Museum - Title print for 'Elles'", "d:Description": "Shows how Japanese art inspired Lautrec's drawing style.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.rijksmuseum.nl/aria/aria_assets/RP-P-1949-611?lang=en&context_space=&context_id="} +{"d:Title": "The Athenaeum - Henri de Toulouse-Lautrec", "d:Description": "Features a total of 254 paintings of the French artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=382"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Toulouse-Lautrec\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.artic.edu/aic/collections/artwork/artist/Toulouse-Lautrec,+Henri+de"} +{"d:Title": "MoMA The Collection: Henri de Toulouse-Lautrec", "d:Description": "Collection of the French artist from 1891 to 1900. Biography is also available focused on his working methods and technique.", "topic": "Top/Arts/Art_History/Artists/T/Toulouse-Lautrec,_Henri_de", "url": "http://www.moma.org/collection/artists/5910"} +{"d:Title": "John Lucas Tupper", "d:Description": "Biographical sketch for the artist/writer who was an early member of the Pre-Raphaelite circle.", "topic": "Top/Arts/Art_History/Artists/T/Tupper,_John_Lucas", "url": "http://www.victorianweb.org/sculpture/tupper/"} +{"d:Title": "A Sketch from Nature", "d:Description": "A poem written by John Lucas Tupper on 1849.", "topic": "Top/Arts/Art_History/Artists/T/Tupper,_John_Lucas", "url": "http://www.rossettiarchive.org/docs/jtupper002.raw.html"} +{"d:Title": "Joseph Mallord William Turner - Olga's Gallery", "d:Description": "Comprehensive collection of the images of Turner's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.abcgallery.com/T/turner/turner.html"} +{"d:Title": "Artcyclopedia: Joseph Mallord William Turner", "d:Description": "Guide to art museum sites and image archives where his moody landscapes and seascapes can be viewed online.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://artcyclopedia.com/artists/turner_joseph_mallord_william.html"} +{"d:Title": "The Official J.M.W.Turner Society Website", "d:Description": "Dedicated to promoting the life and work of J.M.W.Turner. Includes a biography, society activities, details of permanent and temporary exhibitions and events world-wide.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://turnersociety.org.uk/"} +{"d:Title": "J. M. W. Turner", "d:Description": "Overview on his life and works from the Victorian Web.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.victorianweb.org/painting/turner/index.html"} +{"d:Title": "National Gallery of Art: J.M.W. Turner", "d:Description": "Exhibition tour dedicated to the famous English artist. The exhibition is focused on nine of Turner's work in high detail.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.nga.gov/exhibitions/2007/turner/index.shtm"} +{"d:Title": "The National Gallery: Joseph Mallord William Turner", "d:Description": "Provides a list of the romantic artist's work including proper background on each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.nationalgallery.org.uk/artists/joseph-mallord-william-turner"} +{"d:Title": "Wikipedia: J.M.W. Turner (1775 - 1851)", "d:Description": "Encyclopedia article featuring an extended biography, style, legacy and a list of the British artist's work", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://en.wikipedia.org/wiki/J._M._W._Turner"} +{"d:Title": "Modern Landscapes", "d:Description": "Article exploring Turner's inspiration towards Venice city.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.oberlin.edu/amam/Turner.htm"} +{"d:Title": "Artble: Joseph Mallord William Turner", "d:Description": "Features a biography, style, technique and critical reception regarding the romantic landscape artist. Date, size and medium on each artwork is also available.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.artble.com/artists/joseph_mallord_william_turner"} +{"d:Title": "The Athenaeum - J.M.W. Turner", "d:Description": "Features a total of 108 paintings of the English artist in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1315"} +{"d:Title": "Turner Collection", "d:Description": "Displays different ways to explore the English artist's works, from mediums to traveling locations. Provided by the Tate Collection.", "topic": "Top/Arts/Art_History/Artists/T/Turner,_J._M._W.", "url": "http://www.tate.org.uk/art/artists/joseph-mallord-william-turner-558"} +{"d:Title": "Wikipedia - John Henry Twachtman", "d:Description": "Brief biography of the American painter best-known for his impressionist landscapes.", "topic": "Top/Arts/Art_History/Artists/T/Twachtman,_John_H.", "url": "http://en.wikipedia.org/wiki/John_Henry_Twachtman"} +{"d:Title": "The Grave of John Henry Twachtman", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/T/Twachtman,_John_H.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11532686"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "John Henry Twachtman collection.", "topic": "Top/Arts/Art_History/Artists/T/Twachtman,_John_H.", "url": "http://www.artic.edu/aic/collections/artwork/artist/Twachtman,+John+Henry"} +{"d:Title": "Paolo Uccello's Polyhedra", "d:Description": "Article describes artist's usage of the polyhedral forms in artwork.", "topic": "Top/Arts/Art_History/Artists/U/Uccello,_Paolo", "url": "http://www.georgehart.com/virtual-polyhedra/uccello.html"} +{"d:Title": "Catholic Encyclopedia: Uccello", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/U/Uccello,_Paolo", "url": "http://www.newadvent.org/cathen/15117c.htm"} +{"d:Title": "Paolo di Dono aka Paolo Uccello", "d:Description": "Artist's biography and images of some of his works.", "topic": "Top/Arts/Art_History/Artists/U/Uccello,_Paolo", "url": "http://www.mega.it/eng/egui/pers/pucc.htm"} +{"d:Title": "Life of Uccello by Giorgio Vasari", "d:Description": "Excerpts from the biography of the artist by famous Giorgio Vasari.", "topic": "Top/Arts/Art_History/Artists/U/Uccello,_Paolo", "url": "http://easyweb.easynet.co.uk/giorgio.vasari/uccello/uccello.htm"} +{"d:Title": "Olga's Gallery: Paolo Uccello", "d:Description": "Artist's biography and gallery of his works.", "topic": "Top/Arts/Art_History/Artists/U/Uccello,_Paolo", "url": "http://www.abcgallery.com/U/uccello/uccello.html"} +{"d:Title": "Web Gallery of Art: Uccello, Paolo", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/U/Uccello,_Paolo", "url": "http://www.wga.hu/html/u/uccello/"} +{"d:Title": "Vernet, Claude Joseph", "d:Description": "Biographical information and paintings by the French master.", "topic": "Top/Arts/Art_History/Artists/V", "url": "http://www.gegoux.com/JosephVernet/"} +{"d:Title": "Von Wicht, John", "d:Description": "Biographical information and images on the American abstract artist John von Wicht.", "topic": "Top/Arts/Art_History/Artists/V", "url": "http://www.caldwellgallery.com/bios/vonwichtbio.html"} +{"d:Title": "NCAW - Vollon, Antoine", "d:Description": "Carol Forman Tabler's article Antoine Vollon and His Smashing Pumpkin: On Media Hype and the Meanings of Still Life.", "topic": "Top/Arts/Art_History/Artists/V", "url": "http://www.19thc-artworldwide.org/autumn02/82-autumn02/autumn02article/255-antoine-vollon-and-his-smashing-pumpkin-on-media-hype-and-the-meanings-of-still-life"} +{"d:Title": "L. Valtat", "d:Description": "Catalogue of the paintings, biography, and information about the association 'The Friends of Louis Valtat'.", "topic": "Top/Arts/Art_History/Artists/V/Valtat,_Louis", "url": "http://www.valtat.com/"} +{"d:Title": "Artcyclopedia: Louis Valtat", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/V/Valtat,_Louis", "url": "http://www.artcyclopedia.com/artists/valtat_louis.html"} +{"d:Title": "Remedios Varo", "d:Description": "Collection of articles, biographies, images, bibliographies and exhibition related to the artist.", "topic": "Top/Arts/Art_History/Artists/V/Varo,_Remedios", "url": "http://www.hungryflower.com/leorem/varo.html"} +{"d:Title": "Remedios Varo", "d:Description": "Short biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/V/Varo,_Remedios", "url": "http://www.madsci.org/~lynn/juju/surr/images/varo/varo.html"} +{"d:Title": "Elihu Vedder", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this artist, known for his allegory, landscape, figure and fantasy art.", "topic": "Top/Arts/Art_History/Artists/V/Vedder,_Elihu", "url": "http://www.askart.com/askart/v/elihu_vedder/elihu_vedder.aspx"} +{"d:Title": "Artchive: Diego Velazquez", "d:Description": "Except from the book 'Embodied Meanings' by Arthur Danto and a collection of images.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.artchive.com/artchive/V/velazquez.html"} +{"d:Title": "Artcyclopedia: Diego Velazquez on the Internet", "d:Description": "Links to works of the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.artcyclopedia.com/artists/velazquez_diego.html"} +{"d:Title": "Catholic Encyclopedia: Diego Rodriguez de Silva y Velazquez", "d:Description": "Artist's biography by Louis Gillet.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.newadvent.org/cathen/15323a.htm"} +{"d:Title": "CGFA: Diego Vel\u00e1zquez", "d:Description": "Image gallery and artist's biography from MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://sunsite.icm.edu.pl/cjackson/velazque/"} +{"d:Title": "Las Meninas by Diego Velazquez", "d:Description": "Virtual reality journey into the enigmatic world of the great Spanish painter through his painting, Las Meninas.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.evl.uic.edu/chris/meninas/"} +{"d:Title": "Velazquez in Cyberspain", "d:Description": "Artist's biography and his works.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.cyberspain.com/passion/velazq.htm"} +{"d:Title": "WebMuseum: Vel\u00e1zquez (or Vel\u00e1squez), Diego", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.ibiblio.org/wm/paint/auth/velazquez/"} +{"d:Title": "Tigertail Virtual Museum: Diego Velazquez", "d:Description": "Gallery of images.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.tigtail.org/TIG/TVM/X1/f.Baroque/b.italian/velazquez/velazquez.html#Valazquez"} +{"d:Title": "Olga's Gallery: Diego Velazquez", "d:Description": "Collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.abcgallery.com/V/velazquez/velazquez.html"} +{"d:Title": "Web Gallery of Art: Velazquez, Diego Rodriguez de Silva y", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/V/Vel\u00e1zquez,_Diego", "url": "http://www.wga.hu/frames-e.html?/html/v/velazque/"} +{"d:Title": "Vermeer's Complete Work", "d:Description": "A brief biography of Vermeer and information about all his paintings.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://mystudios.com/vermeer/vermeer-core.html"} +{"d:Title": "Johannes Vermeer's Woman Holding a Balance", "d:Description": "In-depth study of this work and the artist from the National Gallery of Art, Washington, DC.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.nga.gov/feature/vermeer/index.html"} +{"d:Title": "Vermeer's Camera", "d:Description": "Years of speculation and controversy surround claims that the great Dutch artist, Johannes Vermeer, used the camera obscura to create some of the most famous images in Western art.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.vermeerscamera.co.uk/"} +{"d:Title": "The Vermeer Art Gallery", "d:Description": "Collection of Vermeer's six most famous paintings.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.gbt.org/gallery.html"} +{"d:Title": "Haber's Art: Jan Vermeer's Delicate Balance", "d:Description": "John Haber's review on Vermeer's delicate balance.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.haberarts.com/vermeer2.htm"} +{"d:Title": "View of Delft", "d:Description": "A guided art history tour through this painting of Vermeer, by Kees Kaldenbach, art historian.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.xs4all.nl/~kalden/verm/view/Vermeer_main.html"} +{"d:Title": "Glazing in the Art of Johannes Vermeer", "d:Description": "The old masters painting technique used by Johannes Vermeer.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://glazing.fws1.com/index.htm"} +{"d:Title": "Jan Vermeer", "d:Description": "Short biography but good illustrated guide to his paintings.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.ibiblio.org/wm/paint/auth/vermeer/"} +{"d:Title": "BBC News- Crowds expected for Vermeers", "d:Description": "Article about the Vermeer exhibition at London's National Gallery", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1397496.stm"} +{"d:Title": "Essential Vermeer", "d:Description": "Links and information on books about the life and work of the painter.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.essentialvermeer.com/"} +{"d:Title": "Girl with a Pearl Earring", "d:Description": "In-depth analysis of Johannes Vermeer's masterpiece, Girl with a Pearl Earring, with extensive resources.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.girl-with-a-pearl-earring.info/"} +{"d:Title": "The Guardian - Johannes Vermeer", "d:Description": "Collected news and comment on the artist and his works.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.theguardian.com/artanddesign/vermeer"} +{"d:Title": "Artble: Johannes Vermeer", "d:Description": "Presents the dutch artist's biography, style and technique along with the critical reception of his art. Provides a gallery of his works.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.artble.com/artists/johannes_vermeer"} +{"d:Title": "World Art Treasures: Vermeer", "d:Description": "Biography, paintings and online puzzles of his work.", "topic": "Top/Arts/Art_History/Artists/V/Vermeer,_Johannes", "url": "http://www.jebfoundation.ch/Vermeer/english/"} +{"d:Title": "Vibert, Jehan-Georges", "d:Description": "Detailed biography and photograph of the artist.", "topic": "Top/Arts/Art_History/Artists/V/Vibert,_Jehan-Georges", "url": "http://www.rehsgalleries.com/Jean-Georges_Vibert_Bio.html"} +{"d:Title": "Joslyn Art Museum", "d:Description": "An image of Vibert's painting entitled \"The King of Rome\"", "topic": "Top/Arts/Art_History/Artists/V/Vibert,_Jehan-Georges", "url": "https://www.joslyn.org/collections-and-exhibitions/permanent-collections/european/jean-georges-vibert-the-king-of-rome/"} +{"d:Title": "Elisabeth Louise Vigee Le Brun", "d:Description": "Comprehensive collection of artist's works, links to other sites, biography and articles.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://www.batguano.com/vigee.html"} +{"d:Title": "Artcyclopedia: Marie Louise Elisabeth Vigee-Lebrun on the Internet", "d:Description": "Links to works by Marie Louise Elisabeth Vigee-Lebrun in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://www.artcyclopedia.com/artists/vigee-lebrun_marie_louise_elisabeth.html"} +{"d:Title": "Women in Art: Elisabeth Louise Vigee-Le Brun", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://www.mystudios.com/women/klmno/lebrun.html"} +{"d:Title": "Elisabeth Vigee-Lebrun", "d:Description": "Collection of images of artist's paintings.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://myexhibcards.narod.ru/engvigeee.html"} +{"d:Title": "Vincent Art Gallery: About Elisabeth Louise Vig\u00e9e", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://www.vincent.nl/?/gallery/about/vigee.htm"} +{"d:Title": "Louise-Elisabeth Vigee-Lebrun - Olga's Gallery", "d:Description": "Collection of works of the French artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://www.abcgallery.com/V/vigeelebrun/vigeelebrun.html"} +{"d:Title": "Vigee-Lebrun, \u00c9lisabeth", "d:Description": "Collection of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://www.wga.hu/html/v/vigee/index.html"} +{"d:Title": "CGFA: Elisabeth Louise Vigee-Lebrun", "d:Description": "Images of artist's works.", "topic": "Top/Arts/Art_History/Artists/V/Vig\u00e9e-Lebrun,_Louise-Elisabeth", "url": "http://cgfa.acropolisinc.com/v/v-2.htm#vigee"} +{"d:Title": "Wood, William Thomas (1877-1958)", "d:Description": "Biography and a selection of images regarding the British landscape and flower painter.", "topic": "Top/Arts/Art_History/Artists/W", "url": "http://www.rehsgalleries.com/william_thomas_wood_virtex.htm"} +{"d:Title": "West, Michael Corinne (1908-1991)", "d:Description": "Features the life and work of the abstract expressionist female painter.", "topic": "Top/Arts/Art_History/Artists/W", "url": "http://www.nyschoolartgallery.com/"} +{"d:Title": "Wassmann, Johann Dieter (1841-1898)", "d:Description": "The Wassmann Foundation presents the life and work of the German artist.", "topic": "Top/Arts/Art_History/Artists/W", "url": "http://www.wassmannfoundation.com/"} +{"d:Title": "Walters, Brian (1935-1999)", "d:Description": "Gallery of watercolours by the landscape painter and artist, artist's biography and obituary.", "topic": "Top/Arts/Art_History/Artists/W", "url": "http://www.brianwalterswatercolours.co.uk/"} +{"d:Title": "Deutsche Guggenheim - Jeff Wall: Exposure", "d:Description": "Special exhibition commissioned by the Deutsche Guggenheim. November 3, 2007 - January 20, 2008", "topic": "Top/Arts/Art_History/Artists/W/Wall,_Jeff", "url": "http://www.deutsche-guggenheim-berlin.de/e/ausstellungen-jeffwall01.php"} +{"d:Title": "New York Magazine - Artist Jeff Wall at MoMA", "d:Description": "Review of the artist by Mark Stevens, published February 25, 2007", "topic": "Top/Arts/Art_History/Artists/W/Wall,_Jeff", "url": "http://nymag.com/arts/art/reviews/28478/"} +{"d:Title": "Canadian Art - Jeff Wall: The Visible Man", "d:Description": "Murray Whyte discussing the life and work of photographer Jeff Wall.", "topic": "Top/Arts/Art_History/Artists/W/Wall,_Jeff", "url": "http://www.canadianart.ca/features/2005/09/01/jeff-wall-2/"} +{"d:Title": "Artcyclopedia: Henry Wallis", "d:Description": "Links to works by the artist in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/W/Wallis,_Henry", "url": "http://www.artcyclopedia.com/artists/wallis_henry.html"} +{"d:Title": "BMAGiC: Henry Wallis", "d:Description": "Provides a short biography along with a total of 16 pieces of art.", "topic": "Top/Arts/Art_History/Artists/W/Wallis,_Henry", "url": "http://www.bmagic.org.uk/people/Henry+Wallis"} +{"d:Title": "Henry Wallis and Mary Ellen Meredith", "d:Description": "Shows the connection between the English artist and Mary Meredith.", "topic": "Top/Arts/Art_History/Artists/W/Wallis,_Henry", "url": "http://www.artfund.org/artwork/8944/portrait-of-mary-ellen-meredith"} +{"d:Title": "ARC: Henry Wallis", "d:Description": "Provides images of the English Pre-Raphaelite painter's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/W/Wallis,_Henry", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2080"} +{"d:Title": "Wikipedia: Henry Wallis (1830 - 1916)", "d:Description": "Encyclopedia article featuring a biography and further reading regarding the English artist.", "topic": "Top/Arts/Art_History/Artists/W/Wallis,_Henry", "url": "http://en.wikipedia.org/wiki/Henry_Wallis"} +{"d:Title": "Pre-Raphaelite Online Resource: Henry Wallis", "d:Description": "Gallery showing several works from the English artists born in London. Artworks are dated from 1850 to 1900", "topic": "Top/Arts/Art_History/Artists/W/Wallis,_Henry", "url": "http://www.preraphaelites.org/the-collection/view-the-collection/?Artist=Henry+Wallis"} +{"d:Title": "Tate Collections: Henry Wallis", "d:Description": "Images of his works including historical notes and analysis. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Wallis,_Henry", "url": "http://www.tate.org.uk/art/artists/henry-wallis-578"} +{"d:Title": "Andy Warhol Museum", "d:Description": "Located near downtown Pittsburgh, Pennsylvania, USA, where he was born and grew up. The Museum features extensive permanent collections of art and archives, special exhibitions including his work.", "priority": "1", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.warhol.org/"} +{"d:Title": "Andy Warhol - About Face", "d:Description": "Review of the portraits and self-portraits by the American artist in a Miami exhibition.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.cosmopolis.ch/english/cosmo5/warhol.htm"} +{"d:Title": "The Andy Warhol Foundation for the Visual Arts", "d:Description": "Established in 1987 in accordance with Warhol's will. Mission is advancement of the visual arts through grants to cultural institutions.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.warholfoundation.org/"} +{"d:Title": "Andy Warhol: Series and Singles", "d:Description": "Biography and review of the exhibition at the Fondation Beyeler in Basle.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.cosmopolis.ch/english/cosmo12/warhol.htm"} +{"d:Title": "Andy Warhol's possession obsession", "d:Description": "Article about the famous artist's collection of oddities. Provided by the Christian Science Monitor.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://csmonitor.com/2002/0329/p13s02-alar.html"} +{"d:Title": "Warholstars", "d:Description": "Includes a comprehensive timeline, photos, a filmography, and biographies of many of Warhol's associates.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.warholstars.org/"} +{"d:Title": "The Andy Warhol Family Album", "d:Description": "Biography and family history complete with photos, early artwork and current events.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.warhola.com/"} +{"d:Title": "Andy Warhol Biography: From The Velvet Underground To Basquiat", "d:Description": "A biography focusing on his collaborations through Max's Kansas City and his influence on the New York art scene.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.maxskansascity.com/warhol/"} +{"d:Title": "Gallery Warhol", "d:Description": "Wide collection of the American artist including edition information as well as printer, publisher and sizes. The database is also organized by time line.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.gallerywarhol.com/"} +{"d:Title": "National Gallery of Art - Andy Warhol", "d:Description": "Also known as Andrew Warhola, provides a list of the American artist's work along with a biography.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1966"} +{"d:Title": "The Grave of Andy Warhol", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1459"} +{"d:Title": "Wikipedia: Andy Warhol (1928 - 1987)", "d:Description": "Encyclopedia article featuring an extended biography, artworks and further reading sources regarding the American pop-artist.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://en.wikipedia.org/wiki/Andy_Warhol"} +{"d:Title": "IMDb: Andy Warhol", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.imdb.com/name/nm0912238/"} +{"d:Title": "Andy Warhol exhibition in Paris", "d:Description": "Provides pictures regarding the exhibition that took place on March 2009 in France.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.thefirstpost.co.uk/46784,in-pictures,news-in-pictures,in-pictures-andy-warhol-exhibition-in-paris"} +{"d:Title": "Warhol City", "d:Description": "Andy Warhol Museum of Modern Art in Slovakia, the 2nd largest collection worldwide.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.warholcity.com/"} +{"d:Title": "Decamping with Andy Warhol", "d:Description": "Bright Lights Film Journal discusses several Warhol rarities: dizzy tributes to horses, insanity, and Hedy Lamarr with a five o'clock shadow.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.brightlightsfilm.com/25/25warhol.php"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Warhol's artworks dated from 1948 to 1987.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.nationalgalleries.org/collection/artists-a-z/W/5932/artistName/Andy%20Warhol"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Andy Warhol\u2019s gallery, including sketches and drawings.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.artic.edu/aic/collections/artwork/artist/Warhol,+Andy"} +{"d:Title": "Art Directors Club", "d:Description": "Biography showing how Warhol became a contemporary icon before his death.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://adcglobal.org/awards/hall-of-fame/andy-warhol/"} +{"d:Title": "Andy Warhol", "d:Description": "Works by the artist in the Tate Collection including essays and drawings.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.tate.org.uk/art/artists/andy-warhol-2121"} +{"d:Title": "Getty Museum: Andy Warhol", "d:Description": "Provides a brief biography including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.getty.edu/art/collection/artists/1590/andy-warhol-american-1928-1987/"} +{"d:Title": "MoMA The Collection: Andy Warhol", "d:Description": "Collection of the American artist since 1946. Biography is also available focused on his inspiration on creating earliest examples of Pop Art.", "topic": "Top/Arts/Art_History/Artists/W/Warhol,_Andy", "url": "http://www.moma.org/collection/artists/6246"} +{"d:Title": "John William Waterhouse (1849-1917)", "d:Description": "Image gallery and biography.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.jwwaterhouse.net/"} +{"d:Title": "Jwwaterhouse.com", "d:Description": "A painting archive with descriptions, location of paintings, and interesting facts. This site is based in the US.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.jwwaterhouse.com/"} +{"d:Title": "Waterhouse", "d:Description": "Biography (including a photograph) and good selection of his paintings divided into periods, and notes on his model, and sale of his pictures.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.johnwilliamwaterhouse.com/"} +{"d:Title": "ARC: John William Waterhouse", "d:Description": "Provides images of artist's work with additional information such as date, medium and painting size. Thorough biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.artrenewal.org/pages/artist.php?artistid=79"} +{"d:Title": "Humanities Web: John William Waterhouse", "d:Description": "Biography, representative works and suggested further resources for 19th century classical painter.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://humanitiesweb.org/human.php?s=g&p=c&a=b&ID=51"} +{"d:Title": "The Grave of John William Waterhouse", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8041010"} +{"d:Title": "Wikipedia: John William Waterhouse (1849 - 1917)", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Pre-Raphaelite painter. Collection of his artworks is also available dated from 1872 to 1916.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://en.wikipedia.org/wiki/John_William_Waterhouse"} +{"d:Title": "Artble: John William Waterhouse", "d:Description": "Features a biography, artist context, style and critical reception regarding the Romantic artist. A list of his famous works is also available including information such as date, size and medium.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.artble.com/artists/john_william_waterhouse"} +{"d:Title": "The Athenaeum - John William Waterhouse", "d:Description": "Features a total of 86 paintings of the English Pre-Raphaelite painter in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=82"} +{"d:Title": "John William Waterhouse", "d:Description": "Works by the artist in the Tate Collection including historical notes.", "topic": "Top/Arts/Art_History/Artists/W/Waterhouse,_John_William", "url": "http://www.tate.org.uk/art/artists/john-william-waterhouse-583"} +{"d:Title": "Artchive: Jean-Antoine Watteau", "d:Description": "Artist's biography and a collection of images.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.artchive.com/artchive/W/watteau.html"} +{"d:Title": "Catholic Encyclopedia: Jean Antoine Watteau", "d:Description": "Artist's biography with bibliography.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.newadvent.org/cathen/15568a.htm"} +{"d:Title": "Artcyclopedia: Jean-Antoine Watteau", "d:Description": "Links to the artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.artcyclopedia.com/artists/watteau_jean-antoine.html"} +{"d:Title": "CGFA: Antoine Watteau", "d:Description": "Collection of images and artist's biography from MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://sunsite.icm.edu.pl/cjackson/watteau/"} +{"d:Title": "Olga's Gallery: Jean-Antoine Watteau", "d:Description": "Comprehensive collection of the artist's works with artist biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.abcgallery.com/W/watteau/watteau.html"} +{"d:Title": "WebMuseum: Watteau, Jean-Antoine", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.ibiblio.org/wm/paint/auth/watteau/"} +{"d:Title": "Web Gallery of Art: Watteau, Jean-Antoine", "d:Description": "Gallery of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.wga.hu/frames-e.html?/html/w/watteau/antoine/index.html"} +{"d:Title": "NGA - Jean-Antoine Watteau", "d:Description": "Provides a list of the French artist's paintings and drawings including after works along with a biography.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1967"} +{"d:Title": "Art Renewal Center: Jean-Antoine Watteau", "d:Description": "Provides images of artist's work with additional information such as date, medium and painting size. Thorough biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.artrenewal.org/pages/artist.php?artistid=628"} +{"d:Title": "Wikipedia: Antoine Watteau (1684 - 1721)", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the Rococo painter. Collection of his artworks is also available dated from 1872 to 1916.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://en.wikipedia.org/wiki/Jean-Antoine_Watteau"} +{"d:Title": "Artble: Jean-Antoine Watteau", "d:Description": "Features a biography, style, technique and critical reception regarding the Rococo artist. A list of his famous works is also available including date, size and medium.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.artble.com/artists/antoine_watteau"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Biography focused on Watteau's early career and training including a slideshow of his works.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.metmuseum.org/toah/hd/watt/hd_watt.htm"} +{"d:Title": "The Art Institute of Chicago: Antoine Watteau", "d:Description": "Displays a list of paintings and drawings done by the Rococo French artist.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.artic.edu/aic/collections/artwork/artist/Watteau,+Jean+Antoine"} +{"d:Title": "Getty Museum: Jean-Antoine Watteau", "d:Description": "Provides a brief biography including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/W/Watteau,_Jean-Antoine", "url": "http://www.getty.edu/art/collection/artists/361/jean-antoine-watteau-french-1684-1721/"} +{"d:Title": "Watts Gallery", "d:Description": "Gallery devoted to the art of George Frederic providing his collection, future events and exhibitions. Includes a complete biography as well.", "topic": "Top/Arts/Art_History/Artists/W/Watts,_George_Frederick", "url": "http://www.wattsgallery.org.uk/"} +{"d:Title": "The Grave of George Frederic Watts", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Watts,_George_Frederick", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=14941293"} +{"d:Title": "ARC: George Frederick Watts", "d:Description": "Provides images of artist's work with additional information such as date, medium and painting size. Thorough biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Watts,_George_Frederick", "url": "http://www.artrenewal.org/pages/artist.php?artistid=632"} +{"d:Title": "Wikipedia: George Frederic Watts (1817 - 1904)", "d:Description": "Encyclopedia article featuring a biography and further reading sources regarding the English Victorian painter. Collection of his artworks is also available.", "topic": "Top/Arts/Art_History/Artists/W/Watts,_George_Frederick", "url": "http://en.wikipedia.org/wiki/George_Frederick_Watts"} +{"d:Title": "National Portrait Gallery", "d:Description": "Wide list of portraits done by George Frederic Watts.", "topic": "Top/Arts/Art_History/Artists/W/Watts,_George_Frederick", "url": "http://www.npg.org.uk/collections/search/person/mp04730/george-frederic-watts?role=art"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features George Frederick Watts' small gallery.", "topic": "Top/Arts/Art_History/Artists/W/Watts,_George_Frederick", "url": "http://www.artic.edu/aic/collections/artwork/artist/Watts,+George+Frederick"} +{"d:Title": "Collection Tate: George Frederic Watts", "d:Description": "Provides a total of 41 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/W/Watts,_George_Frederick", "url": "http://www.tate.org.uk/art/artists/george-frederic-watts-586"} +{"d:Title": "National Park Service - Weir Farm", "d:Description": "Information on the historic site owned by the artist for 37 years. Includes visiting artist, residency and lecture programs offered by the Weir Trust.", "topic": "Top/Arts/Art_History/Artists/W/Weir,_J._Alden", "url": "https://www.nps.gov/wefa/index.htm"} +{"d:Title": "Wikipedia - J. Alden Weir", "d:Description": "Brief biography of the American impressionist painter and member of the Cos Cob School.", "topic": "Top/Arts/Art_History/Artists/W/Weir,_J._Alden", "url": "http://en.wikipedia.org/wiki/J._Alden_Weir"} +{"d:Title": "A Connecticut Place: Weir Farm, An American Painter's Rural Retreat", "d:Description": "Overview of the exhibition featuring works by the American Impressionist done at or near Connecticut.", "topic": "Top/Arts/Art_History/Artists/W/Weir,_J._Alden", "url": "http://www.tfaoi.com/aa/1aa/1aa569.htm"} +{"d:Title": "ARC - Julian Alden Weir", "d:Description": "Art works featured include Midsummer Landscape, Little Lizie Lynch, The Letter and The Building of the Dam. Includes date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/W/Weir,_J._Alden", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2010"} +{"d:Title": "NGA: Julian Alden Weir", "d:Description": "National Gallery of Art provides a biography of the artist as well as detail images of various art works.", "topic": "Top/Arts/Art_History/Artists/W/Weir,_J._Alden", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1969"} +{"d:Title": "Smithsonian American Art Museum: J. Alden Weir", "d:Description": "Provides artist's biography and a large catalogue of paintings and etchings. Includes images and art work details.", "topic": "Top/Arts/Art_History/Artists/W/Weir,_J._Alden", "url": "http://americanart.si.edu/collections/search/artwork/results/?id=5302"} +{"d:Title": "The Art Institute of Chicago", "d:Description": "Features Alden Weir\u2019s gallery, including medium and size attributes.", "topic": "Top/Arts/Art_History/Artists/W/Weir,_J._Alden", "url": "http://www.artic.edu/aic/collections/artwork/artist/Weir,+Julian+Alden"} +{"d:Title": "Royal Academy of Arts Collections - Benjamin West", "d:Description": "Comprehensive list of videos regarding the Anglo-American painter.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.racollection.org.uk/ixbin/indexplus?record=ART309&_IXFILE_=templates/pages/kiosk/video3.html"} +{"d:Title": "Wikipedia: Benjamin West (1738-1820)", "d:Description": "Encyclopedia article focused on his early life and career.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://en.wikipedia.org/wiki/Benjamin_West"} +{"d:Title": "WebMuseum: West, Benjamin", "d:Description": "Brief biography and his two most famous paintings.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.ibiblio.org/wm/paint/auth/west/"} +{"d:Title": "Web Gallery of Art: West, Benjamin", "d:Description": "Gallery of images and artist's biography.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.wga.hu/frames-e.html?/bio/w/west/biograph.html"} +{"d:Title": "Students of Benjamin West", "d:Description": "Provides a list of the different students Benjamin had at the Royal Academy.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.metmuseum.org/toah/hd/bwst/hd_bwst.htm"} +{"d:Title": "NGA - Benjamin West", "d:Description": "Provides a list of the American artist's paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=32850"} +{"d:Title": "The Grave of Benjamin West", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=10905463"} +{"d:Title": "The Athenaeum - Benjamin West", "d:Description": "Features a total of 22 paintings of the Anglo-American painter in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=515"} +{"d:Title": "Tate Collections: Benjamin West", "d:Description": "Images of his works including historical notes and analysis.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.tate.org.uk/art/artists/benjamin-west-594"} +{"d:Title": "The J. Paul Getty Museum", "d:Description": "Short biography regarding Benjamin West, the first American artist to achieve an international reputation.", "topic": "Top/Arts/Art_History/Artists/W/West,_Benjamin", "url": "http://www.getty.edu/art/collection/artists/679/benjamin-west-american-1738-1820-active-england/"} +{"d:Title": "Olga's Gallery: Rogier van der Weyden", "d:Description": "Comprehensive collection of artist's works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.abcgallery.com/W/weyden/weyden.html"} +{"d:Title": "Catholic Encyclopedia: Rogier van Der Weyden", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.newadvent.org/cathen/15269c.htm"} +{"d:Title": "Artcyclopedia: Rogier van der Weyden", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.artcyclopedia.com/artists/weyden_rogier_van_der.html"} +{"d:Title": "CGFA: Rogier van der Weyden", "d:Description": "Image gallery and artist's biography from MS Encarta '97.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://sunsite.icm.edu.pl/cjackson/weyden/"} +{"d:Title": "WebMuseum: Rogier van der Weyden", "d:Description": "Some paintings by the Dutch Renaissance master (1399/1400 - 1464).", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.ibiblio.org/wm/paint/auth/weyden/"} +{"d:Title": "Web Gallery of Art: Weyden, Rogier van der", "d:Description": "Collection of artist's works with a biography.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.wga.hu/frames-e.html?/html/w/weyden/rogier/index.html"} +{"d:Title": "The National Gallery: Rogier van der Weyden", "d:Description": "Provides a list of the Early Netherlandish artist's work including proper historical notes per each painting. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.nationalgallery.org.uk/artists/rogier-van-der-weyden"} +{"d:Title": "The Grave of Roger van der Weyden", "d:Description": "Location and pictures of the early Flemish master's grave. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=19229"} +{"d:Title": "ARC: Rogier van der Weyden", "d:Description": "Provides images of the Flemish artist's work with additional information such as date, medium and painting size.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.artrenewal.org/pages/artist.php?artistid=642"} +{"d:Title": "Wikipedia: George Frederic Watts (1817 - 1904)", "d:Description": "Encyclopedia article featuring a biography, influences and exhibitions regarding the Early Netherlandish painter. Collection of his artworks is also available dated from 1440 to 1460.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://en.wikipedia.org/wiki/Rogier_Van_Der_Weyden"} +{"d:Title": "Artble: Rogier van der Weyden", "d:Description": "Provides a comprehensive content including a biography, style, technique and critical reception. Gallery showing his artworks is also available.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.artble.com/artists/rogier_van_der_weyden"} +{"d:Title": "Rogier van der Weyden at J. Paul Getty Museum", "d:Description": "Artist's biography with links to works of art and images.", "topic": "Top/Arts/Art_History/Artists/W/Weyden,_Rogier_van_der", "url": "http://www.getty.edu/art/collection/artists/738/rogier-van-der-weyden-netherlandish-13991400-1464/"} +{"d:Title": "Wikipedia: Charles Wheeler", "d:Description": "Short biography regarding the English sculpture including some of his work.", "topic": "Top/Arts/Art_History/Artists/W/Wheeler,_Charles", "url": "http://en.wikipedia.org/wiki/Charles_Wheeler_(sculptor)"} +{"d:Title": "Lions Head Mask", "d:Description": "Sculpture done by Sir Charles Thomas Wheeler, President of The Royal Academy.", "topic": "Top/Arts/Art_History/Artists/W/Wheeler,_Charles", "url": "http://www.westlandlondon.com/stock/decorativeitems/sculpturecarving/item/10776/summary.htm"} +{"d:Title": "The Wheeler Medallion", "d:Description": "Biography focused on Wheeler's work.", "topic": "Top/Arts/Art_History/Artists/W/Wheeler,_Charles", "url": "http://blackcountryhistory.org/collections/getrecord/WAGMU_S75/"} +{"d:Title": "National Portrait Gallery", "d:Description": "Sculpture of Sir Charles Wheeler done by her wife Muriel, Lady Wheeler.", "topic": "Top/Arts/Art_History/Artists/W/Wheeler,_Charles", "url": "http://www.npg.org.uk/collections/search/portrait/mw06733/Sir-Charles-Thomas-Wheeler"} +{"d:Title": "Tate Collections: Charles Wheeler", "d:Description": "Biography and images of his works.", "topic": "Top/Arts/Art_History/Artists/W/Wheeler,_Charles", "url": "http://www.tate.org.uk/art/artists/sir-charles-wheeler-2138"} +{"d:Title": "Olga's Gallery: James Abbott McNeill Whistler", "d:Description": "Collection of images of his works with biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.abcgallery.com/W/whistler/whistler.html"} +{"d:Title": "WebMuseum: Whistler, James Abbott McNeill", "d:Description": "Brief biography and his two most famous paintings.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.ibiblio.org/wm/paint/auth/whistler/"} +{"d:Title": "Centre for Whistler Studies", "d:Description": "Searchable archive of about 10,000 items of Whistler's correspondence and information about related scholarly activities. From the University of Glasgow.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.whistler.arts.gla.ac.uk/"} +{"d:Title": "James Abbott McNeill Whistler", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this artist known for his landscapes and portraits.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.askart.com/askart/w/james_abbott_mcneill_whistler/james_abbott_mcneill_whistler.aspx"} +{"d:Title": "The Grave of James McNeill Whistler", "d:Description": "Location and pictures of the American-born artist's grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1404"} +{"d:Title": "ARC: James Abbott McNeill Whistler", "d:Description": "Biography, portraits and artworks regarding the American painter, printmaker&etcher.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.artrenewal.org/pages/artist.php?artistid=652"} +{"d:Title": "Wikipedia: James Abbott McNeill Whistler (1834 - 1903)", "d:Description": "Encyclopedia article featuring a biography, legacy and honors regarding the American-born, British-based artist.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://en.wikipedia.org/wiki/James_Abbott_Mcneill_Whistler"} +{"d:Title": "James Abbott McNeill Whistler (1834-1903)", "d:Description": "Portraits of James Abbott McNeill Whistler by other artists in the National Portrait Gallery, London.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.npg.org.uk/collections/search/person/mp04794/james-abbott-mcneill-whistler?role=art"} +{"d:Title": "Smithsonian Institution: James McNeill Whistler", "d:Description": "Biography and collection of artworks including paintings and drawings. Includes size, medium and analysis notes on each piece of art.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.asia.si.edu/explore/american/Whistler.asp"} +{"d:Title": "The Artchive: James Abbott McNeill Whistler", "d:Description": "Thorough biography regarding the American artist. Provides images towards his works dated from 1858 to 1895.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.artchive.com/artchive/W/whistler.html"} +{"d:Title": "Whistler and His Influence", "d:Description": "Virtual book focused on the influence he had on other contemporary artists, also contains a wide number of his artworks with their proper analysis. Chronology biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.swanngalleries.com/3dcat/2227-1of3/"} +{"d:Title": "NGA - James McNeill Whistler", "d:Description": "Provides a list of the American artist's paintings and drawings including a biography.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1974"} +{"d:Title": "Colby Museum of Art: James McNeill Whistler", "d:Description": "A wide collection of 257 artworks.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.colby.edu/academics_cs/museum/search/Prt127"} +{"d:Title": "The Metropolitan Museum of Art", "d:Description": "Features a thorough biography including a slideshow of his works.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.metmuseum.org/toah/hd/whis/hd_whis.htm"} +{"d:Title": "The Athenaeum - James Abbott McNeill Whistler", "d:Description": "Features a total of 190 works of the American born British-based painter in high detail. Artworks date and medium are also available.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=428"} +{"d:Title": "Collection Tate: James Abbott McNeill Whistler", "d:Description": "Provides a total of 41 artworks, including historical background information on each painting.", "topic": "Top/Arts/Art_History/Artists/W/Whistler,_James_Abbott_McNeill", "url": "http://www.tate.org.uk/art/artists/james-abbott-mcneill-whistler-598"} +{"d:Title": "Artcyclopedia: Sir David Wilkie", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.artcyclopedia.com/artists/wilkie_sir_david.html"} +{"d:Title": "Olga's Gallery: Sir David Wilkie", "d:Description": "Collection of images with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.abcgallery.com/W/wilkie/wilkie.html"} +{"d:Title": "Sir David Wilkie", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.scottish-places.info/people/famousfirst51.html"} +{"d:Title": "Web Gallery of Art: Sir David Wilkie", "d:Description": "Artist's biography and image gallery of his works.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.wga.hu/frames-e.html?/html/w/wilkie/index.html"} +{"d:Title": "Birmingham Museums and Art Gallery", "d:Description": "Brief biography for Sir David Wilkie.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.bmagic.org.uk/people/Sir+David+Wilkie"} +{"d:Title": "Wikipedia: David Wilkie (1785 - 1841)", "d:Description": "Encyclopedia article featuring a biography, legacy and achievements regarding the Scottish artist.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://en.wikipedia.org/wiki/David_Wilkie_(artist)"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Features Wilkie's artworks dated from 1804 to 1839.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.nationalgalleries.org/collection/artists-a-z/W/6004/artistName/Sir%20David%20Wilkie"} +{"d:Title": "Collection Tate: Sir David Wilkie", "d:Description": "Provides a total of 60 artworks, including attributed pieces of art and historical background information on some paintings.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.tate.org.uk/art/artists/sir-david-wilkie-600"} +{"d:Title": "Sir David Wilkie at J. Paul Getty Museum", "d:Description": "Artist's biography with the links to his works from the museum.", "topic": "Top/Arts/Art_History/Artists/W/Wilkie,_Sir_David", "url": "http://www.getty.edu/art/collection/artists/3340/sir-david-wilkie-ra-scottish-1785-1841/"} +{"d:Title": "Richard Wilson - English Landscape Painter", "d:Description": "Biography covering his early life, career and education.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.buzzle.com/articles/richard-wilson-english-landscape-painter.html"} +{"d:Title": "Richard Wilson RA (1713-1782)", "d:Description": "Brief biography regarding the founder of the British School of landscape painting.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://myweb.tiscali.co.uk/speel/paint/rwilson.htm"} +{"d:Title": "Richard Wilson, R.A. North Wales artist", "d:Description": "Biography including a complete catalogue of paintings and where to see them.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.glennmorris.org.uk/wilsonnew.htm"} +{"d:Title": "The Mystery of the British Landscape Master", "d:Description": "Article covering Wilson's stimulation to change from being a portraitist into a landscape master.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.nytimes.com/2010/06/05/arts/05iht-melik5.html?_r=1&ref=arts"} +{"d:Title": "Richard Wilson", "d:Description": "Biography showing his reputation as an artist and legacy.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.visual-arts-cork.com/famous-artists/richard-wilson.htm"} +{"d:Title": "Wikipedia: Richard Wilson", "d:Description": "Encyclopedia article focused on his early life and artworks.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Wilson_(painter)"} +{"d:Title": "Encyclop\u00e6dia Britannica: Richard Wilson", "d:Description": "Short biography regarding one of the founding member of the Royal Academy of Arts.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.britannica.com/EBchecked/topic/644738/Richard-Wilson"} +{"d:Title": "Web Gallery of Art: Richard Wilson", "d:Description": "Small gallery and biography regarding the Welsh landscape painter.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.wga.hu/frames-e.html?/html/w/wilson/index.html"} +{"d:Title": "The National Gallery", "d:Description": "Wilson's biography including related paintings.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://nationalgallery.org.uk/artists/richard-wilson"} +{"d:Title": "RA Collections: Richard Wilson", "d:Description": "Gallery showing a wide list of his paintings including after works.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.racollection.org.uk/ixbin/indexplus?_IXACTION_=file&_IXFILE_=templates/full/person.html&person=5983"} +{"d:Title": "National Galleries of Scotland", "d:Description": "Small gallery showing Wilson's technique while doing portraits and landscapes.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.nationalgalleries.org/collection/artists-a-z/W/5860/artistName/Richard%20Wilson"} +{"d:Title": "Wilson: 'Snowdon from Llyn Nantlle'", "d:Description": "Article focused on one of his masterpieces to explain his expertise on landscape painting.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.liverpoolmuseums.org.uk/picture-of-month/displaypicture.aspx?id=149"} +{"d:Title": "The techniques of Richard Wilson", "d:Description": "Article featuring his technique on portraits and landscapes. Includes Wilson's palette colours.", "topic": "Top/Arts/Art_History/Artists/W/Wilson,_Richard", "url": "http://www.museumwales.ac.uk/rhagor/article/1905"} +{"d:Title": "William Windus", "d:Description": "Brief notes on William Windus, with the text by Shakespeare that inspired his picture 'The Flight of Henry VI from Towton', which is shown.", "topic": "Top/Arts/Art_History/Artists/W/Windus,_William_Lindsay", "url": "http://www.english.emory.edu/classes/Shakespeare_Illustrated/Windus.html"} +{"d:Title": "William Lindsay Windus (1822-1907)", "d:Description": "Notes on the Pre-Raphaelite artist William Lindsay Windus. From Bob Speel.", "topic": "Top/Arts/Art_History/Artists/W/Windus,_William_Lindsay", "url": "http://myweb.tiscali.co.uk/speel/paint/windus.htm"} +{"d:Title": "The Athenaeum - William Lindsay Windus", "d:Description": "Features a small gallery regarding the English artist.", "topic": "Top/Arts/Art_History/Artists/W/Windus,_William_Lindsay", "url": "http://www.artrenewal.org/pages/artist.php?artistid=679"} +{"d:Title": "Collection Tate: William Lindsay Windus", "d:Description": "Provides a total of 5 artworks, dated from 1858 to 1880.", "topic": "Top/Arts/Art_History/Artists/W/Windus,_William_Lindsay", "url": "http://www.tate.org.uk/art/artists/william-lindsay-windus-607"} +{"d:Title": "Thomas Woolner RA (1825-1892)", "d:Description": "Notes on the life of the Pre-Raphaelite sculptor and links to related information. From Bob Speel.", "topic": "Top/Arts/Art_History/Artists/W/Woolner,_Thomas", "url": "http://myweb.tiscali.co.uk/speel/sculpt/woolner.htm"} +{"d:Title": "The Grave of Thomas Woolner", "d:Description": "Location and pictures of the Pre-Raphaelite sculptor's grave. Short biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Woolner,_Thomas", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=12231969"} +{"d:Title": "Wikipedia: Thomas Woolner (1825 - 1892)", "d:Description": "Article focused on the English sculptor's art career and his connection to poetry. Provides a small gallery showing his artwork.", "topic": "Top/Arts/Art_History/Artists/W/Woolner,_Thomas", "url": "http://en.wikipedia.org/wiki/Thomas_Woolner"} +{"d:Title": "Thomas Woolner in Cumbria", "d:Description": "A brief biography focused on how he became popular as a sculptor of intellectuals.", "topic": "Top/Arts/Art_History/Artists/W/Woolner,_Thomas", "url": "http://www.visitcumbria.com/thomas-woolner/"} +{"d:Title": "Thomas Woolner", "d:Description": "Works by the sculptor in the Tate Collection including historical notes.", "topic": "Top/Arts/Art_History/Artists/W/Woolner,_Thomas", "url": "http://www.tate.org.uk/art/artists/thomas-woolner-2232"} +{"d:Title": "Web Gallery of Art: Joseph Wright", "d:Description": "Artist's biography and image gallery of his works.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.wga.hu/frames-e.html?/html/w/wright/index.html"} +{"d:Title": "Humanities Web: Joseph Wright of Derby", "d:Description": "Collection of works, brief biography, resources.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.humanitiesweb.org/human.php?s=g&p=c&a=s&ID=75"} +{"d:Title": "Wikipedia: Joseph Wright of Derby", "d:Description": "Encyclopedia article featuring biography, memorials, artworks and external links for further reading.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://en.wikipedia.org/wiki/Joseph_Wright_of_Derby"} +{"d:Title": "Chiaroscuro II: Joseph Wright of Derby", "d:Description": "Article analyzing some of the most famous artist's paintings.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.johncoulthart.com/feuilleton/2007/07/06/chiaroscuro-ii-joseph-wright-of-derby-1734-1797/"} +{"d:Title": "Olga's Gallery: Joseph Wright of Derby", "d:Description": "Collection of works of the English artist with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.abcgallery.com/W/wright/wright.html"} +{"d:Title": "ARC: Joseph Wright of Derby", "d:Description": "Image gallery of artist's works.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.artrenewal.org/pages/artist.php?artistid=2104"} +{"d:Title": "NGA - Joseph Wright", "d:Description": "List of the American artist's paintings and drawings including a biography.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.nga.gov/cgi-bin/tsearch?artistid=1983"} +{"d:Title": "National Portrait Gallery", "d:Description": "Wide list of portraits done by Joseph Wright.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.npg.org.uk/collections/search/person/mp04938/joseph-wright?role=art"} +{"d:Title": "Joseph Wright of Derby (1734 \u2013 1797)", "d:Description": "Biography focused on how he played an important part in Liverpool\u2018s artistic history.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.liverpoolmuseums.org.uk/online/featuredartists/josephwrightderby/index.aspx"} +{"d:Title": "Joseph Wright of Derby", "d:Description": "Shows a total of 14 artworks, including attributed pieces of art and historical background information on some paintings. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.tate.org.uk/art/artists/joseph-wright-of-derby-615"} +{"d:Title": "Getty Museum: Joseph Wright of Derby", "d:Description": "Provides a brief biography regarding the British artist. Including a small gallery with notes, medium, size and analysis on each painting.", "topic": "Top/Arts/Art_History/Artists/W/Wright_of_Derby,_Joseph", "url": "http://www.getty.edu/art/collection/artists/687/joseph-wright-of-derby-english-1734-1797/"} +{"d:Title": "Wikipedia: James Wyatt", "d:Description": "Article showing the English architect's early classical career, his involvement to Gothic architecture and a list of his architectural works.", "topic": "Top/Arts/Art_History/Artists/W/Wyatt,_James", "url": "http://en.wikipedia.org/wiki/James_Wyatt"} +{"d:Title": "James Wyatt biography", "d:Description": "Thorough biography regarding the English architect who became the president of the Royal Academy.", "topic": "Top/Arts/Art_History/Artists/W/Wyatt,_James", "url": "http://beckford.c18.net/wbwyattbio.html"} +{"d:Title": "National Portrait Gallery", "d:Description": "Portraits regarding the English architect.", "topic": "Top/Arts/Art_History/Artists/W/Wyatt,_James", "url": "http://www.npg.org.uk/collections/search/person/mp04944/james-wyatt"} +{"d:Title": "The Grave of James Wyatt", "d:Description": "Location and pictures of his grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Wyatt,_James", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=13834777"} +{"d:Title": "Master builder: James Wyatt (1746-1813)", "d:Description": "Article featuring a biography focused on the many buildings he designed or altered.", "topic": "Top/Arts/Art_History/Artists/W/Wyatt,_James", "url": "http://www.telegraph.co.uk/finance/property/3292588/Master-builder-James-Wyatt-1746-1813.html"} +{"d:Title": "Artcyclopedia: Andrew Wyeth", "d:Description": "Guide to 20 art museum sites and image archives.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://artcyclopedia.com/artists/wyeth_andrew.html"} +{"d:Title": "The Wyeth Archive", "d:Description": "Images from the personal collection of Andrew and Betsy James Wyeth, collected for nearly fifty years.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.wyetharchive.com/"} +{"d:Title": "Three Generations of Wyeth Art", "d:Description": "Image gallery of the art of the Wyeth Family: Andrew, Jamie, and NC.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.birdsnest.com/wyeth.htm"} +{"d:Title": "Andrew Wyeth Prints", "d:Description": "Presents a biography, prints, and the Helga pictures.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.andrew-wyeth-prints.com/"} +{"d:Title": "Andrew Newell Wyeth", "d:Description": "AskART.com's auction results, biographies, images and books pertaining to this figure, portrait and landscape artist.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.askart.com/askart/w/andrew_newell_wyeth/andrew_newell_wyeth.aspx"} +{"d:Title": "NGA - Andrew Wyeth", "d:Description": "Provides a list of the American artist's paintings, drawings and prints.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.nga.gov/cgi-bin/tsearch?oldartistid=33550"} +{"d:Title": "The Grave of Andrew Wyeth", "d:Description": "Location and pictures of the watercolor painter's grave. Biography is also available.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=32956704"} +{"d:Title": "Wikipedia: Andrew Wyeth (1917- 2009)", "d:Description": "Encyclopedia article featuring a biography, critical reception, honors and awards regarding the Realist artist.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://en.wikipedia.org/wiki/Andrew_Wyeth"} +{"d:Title": "The Athenaeum - Andrew Wyeth", "d:Description": "Features a gallery regarding the American painter including size and medium on each painting.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.the-athenaeum.org/art/list.php?m=a&s=du&aid=1018"} +{"d:Title": "Adelson Galleries: Helga on Paper", "d:Description": "Information towards one of his exhibitions.", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.adelsongalleries.com/publications/books/andrewwyethhelgaonpaper/"} +{"d:Title": "MoMA The Collection: Andrew Wyeth", "d:Description": "Provides a thorough biography featuring one Wyeth's master piece \"Christina's World\" .", "topic": "Top/Arts/Art_History/Artists/W/Wyeth,_Andrew", "url": "http://www.moma.org/collection/artists/6464"} +{"d:Title": "Yoshida, Hiroshi", "d:Description": "Biography of Japanese woodblock print artist.", "topic": "Top/Arts/Art_History/Artists/Y", "url": "http://www.artelino.com/articles/hiroshi_yoshida.asp"} +{"d:Title": "Zorach, William and Marguerite", "d:Description": "Biographies and collection of images and resources of American painter and sculptor.", "topic": "Top/Arts/Art_History/Artists/Z", "url": "http://www.exitfive.com/zorach/"} +{"d:Title": "Zalce, Alfredo", "d:Description": "Zalce's art has been exhibited throughout the world in his 92 years, and is part of the permanent collection of many worldwide museums including the Vatican. He is a versatile as a muralist, sculptor, and painter.", "topic": "Top/Arts/Art_History/Artists/Z", "url": "http://www.zalce.com/"} +{"d:Title": "Artcyclopedia: Francisco de Zurbaran on the Internet", "d:Description": "Links to artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Art_History/Artists/Z/Zurbar\u00e1n,_Francisco_de", "url": "http://www.artcyclopedia.com/artists/zurbaran_francisco_de.html"} +{"d:Title": "The Beckoning: Francisco de Zurbaran", "d:Description": "Information about the Spanish artist as well as images of some of Zurbaran's paintings.", "topic": "Top/Arts/Art_History/Artists/Z/Zurbar\u00e1n,_Francisco_de", "url": "http://www.thebeckoning.com/art/zurbaran/zurbaran.html"} +{"d:Title": "Catholic Encyclopedia: Francisco Zurbaran", "d:Description": "Artist's biography.", "topic": "Top/Arts/Art_History/Artists/Z/Zurbar\u00e1n,_Francisco_de", "url": "http://www.newadvent.org/cathen/15770a.htm"} +{"d:Title": "Zurbaran", "d:Description": "Artist's paintings from the Prado Museum with historical comments.", "topic": "Top/Arts/Art_History/Artists/Z/Zurbar\u00e1n,_Francisco_de", "url": "http://www.spanisharts.com/prado/zurbaran.htm"} +{"d:Title": "Olga's Gallery: Francisco de Zurbar\u00e1n", "d:Description": "Comprehensive collection of artist's works with a biography and historical comments.", "topic": "Top/Arts/Art_History/Artists/Z/Zurbar\u00e1n,_Francisco_de", "url": "http://www.abcgallery.com/Z/zurbaran/zurbaran.html"} +{"d:Title": "Web Gallery of Art: Zurbar\u00e1n, Francisco de", "d:Description": "Image gallery and artist's biography.", "topic": "Top/Arts/Art_History/Artists/Z/Zurbar\u00e1n,_Francisco_de", "url": "http://www.wga.hu/html/z/zurbaran/index.html"} +{"d:Title": "Pateman, Trevor", "d:Description": "Collection of academic works in art history, philosophy, aesthetics, psychoanalysis, political theory.", "topic": "Top/Arts/Art_History/Art_Historians", "url": "http://www.selectedworks.co.uk/"} +{"d:Title": "Lawner, Lynne", "d:Description": "Articles, biography, publications and lectures by an Italian poet and art historian.", "topic": "Top/Arts/Art_History/Art_Historians", "url": "http://www.lawner.com/"} +{"d:Title": "Weisberg, Gabriel P.", "d:Description": "Art Historian Gabriel P. Weisberg's work focuses on themes affecting French art from the middle of the nineteenth century until World War I.", "topic": "Top/Arts/Art_History/Art_Historians", "url": "http://www.gpweisberg.com/"} +{"d:Title": "de Boer, Waldemar", "d:Description": "Art history expert in Florence, Italy. Art history courses and research.", "topic": "Top/Arts/Art_History/Art_Historians", "url": "http://www.arthistoryflorence.com/"} +{"d:Title": "Le Goff, Raichel", "d:Description": "Features articles, lectures, and seminars.", "topic": "Top/Arts/Art_History/Art_Historians", "url": "http://www.raichel.org/"} +{"d:Title": "Lopez, Jonathan", "d:Description": "Articles, publications, and biography of the American art historian Jonathan Lopez.", "topic": "Top/Arts/Art_History/Art_Historians", "url": "http://www.jonathanlopez.net/"} +{"d:Title": "Roosa, Wayne", "d:Description": "Essays, books, and catalogs and galleries of his own art.", "topic": "Top/Arts/Art_History/Art_Historians", "url": "http://wayneroosa.com/"} +{"d:Title": "H-ArtHist: Art History", "d:Description": "H-Net discussion group for contributions on all artistic genres, media, and period. Features subject overview, mission, archives, instructions, search, and subscription details. [English, German, French, and Italian.]", "topic": "Top/Arts/Art_History/Chats_and_Forums", "url": "http://arthist.net/"} +{"d:Title": "Artcyclopedia", "d:Description": "Guide to museum-quality art on the Internet. Search hundreds of art museum sites for exhibits and artists.", "topic": "Top/Arts/Art_History/Directories", "url": "http://www.artcyclopedia.com/"} +{"d:Title": "Robert A. Baron", "d:Description": "Essays and publications in intellectual property with respect to art history, museology, image resources and computerization. Papers on Mona Lisa and Monalisiana.", "topic": "Top/Arts/Art_History/Directories", "url": "http://www.studiolo.org/index.htm"} +{"d:Title": "Voice of the Shuttle: Art and Art History Page", "d:Description": "Chronology with artist, biography, and gallery links, art theory, and scholarly papers.", "topic": "Top/Arts/Art_History/Directories", "url": "http://vos.ucsb.edu/browse.asp?id=2707#id1012"} +{"d:Title": "LLEK Bookmarks: Art and Art History", "d:Description": "Scientific resources and media worldwide but especially in German and English: Journals, search engines, and additional starting points and sites of special interest.", "topic": "Top/Arts/Art_History/Directories", "url": "http://llek.de/english/thematic/humanities/kunstund_e.htm"} +{"d:Title": "History Link 10: Art History", "d:Description": "Lessons and links organized by culture.", "topic": "Top/Arts/Art_History/Directories", "url": "http://www.historylink101.com/history_of_art.htm"} +{"d:Title": "Digital Library for the Decorative Arts and Material Culture", "d:Description": "Collects electronic resources for study and research, with a focus on Early America.", "topic": "Top/Arts/Art_History/Directories", "url": "http://decorativearts.library.wisc.edu/"} +{"d:Title": "Dictionary of Art Historians", "d:Description": "Online biographical dictionary of major art historians in the world. Includes bibliography on works by the historian as well as works about his/her life.", "topic": "Top/Arts/Art_History/Directories", "url": "http://www.dictionaryofarthistorians.org/"} +{"d:Title": "Art Millennium", "d:Description": "This art encyclopedia contains pictures and biographies many artists, style descriptions, and explorations.", "topic": "Top/Arts/Art_History/Directories", "url": "http://www.all-art.org/"} +{"d:Title": "Michelli's Art History Browser", "d:Description": "Directory of resources with helpful commentaries.", "topic": "Top/Arts/Art_History/Directories", "url": "http://www.plinia.net/"} +{"d:Title": "Mother of All Art History Links Pages", "d:Description": "A group of internet art links based at the University of Michigan.", "topic": "Top/Arts/Art_History/Directories", "url": "http://www.umich.edu/~motherha/"} +{"d:Title": "Art History Resources on the Web", "d:Description": "In-depth hyperlinked lists divided by period and maintained by Chris Witcombe, Professor of Art History at Sweet Briar College, Virginia.", "topic": "Top/Arts/Art_History/Directories", "url": "http://arthistoryresources.net/ARTHLinks.html"} +{"d:Title": "Notebook", "d:Description": "A compendium of visual arts and art history references and resources.", "topic": "Top/Arts/Art_History/Journals", "url": "http://www.noteaccess.com/"} +{"d:Title": "College Art Association", "d:Description": "Publishes two journals in art and art history as well as an electronic reviews journal, a listing of employment for artists, art historians, and other arts professionals.", "topic": "Top/Arts/Art_History/Journals", "url": "http://www.collegeart.org/publications/"} +{"d:Title": "Smithsonian American Art", "d:Description": "Dedicated to exploring all aspects of the nation's visual heritage from colonial to contemporary times.", "topic": "Top/Arts/Art_History/Journals", "url": "http://press.uchicago.edu/ucp/journals/journal/amart.html"} +{"d:Title": "Winterthur Portfolio", "d:Description": "Publishes articles on the arts in America and the historical context within which they developed.", "topic": "Top/Arts/Art_History/Journals", "url": "http://www.journals.uchicago.edu/toc/wp/current"} +{"d:Title": "Global Arts", "d:Description": "Classes, books, exhibits, images, links, vitae created by Dr. Maude S. Wahlman of the University of Missouri, Kansas City. Entry to class websites requires password.", "topic": "Top/Arts/Art_History/Online_Courses", "url": "http://cas.umkc.edu/art/faculty/wahlman/"} +{"d:Title": "Art History Webmasters Association", "d:Description": "Canadian non-profit organization to finance activities and special projects to employ art historians (students and free lancers). Provides links, application form, FAQ, and resources.", "topic": "Top/Arts/Art_History/Organizations", "url": "http://www.unites.uqam.ca/AHWA/"} +{"d:Title": "College Art Association", "d:Description": "Membership organization that promotes excellence in scholarship and teaching in the history and criticism of the visual arts and in creativity and technical skill in the teaching and practices of art.", "topic": "Top/Arts/Art_History/Organizations", "url": "http://www.collegeart.org/"} +{"d:Title": "The Pre-Raphaelite Society", "d:Description": "International society for the study of the lives and art of the Pre-Raphaelite Brotherhood. News, information about the Society and membership.", "topic": "Top/Arts/Art_History/Organizations", "url": "http://www.pre-raphaelitesociety.org/"} +{"d:Title": "Art Historians of Southern California", "d:Description": "Organization promoting the arts in Southern California.", "topic": "Top/Arts/Art_History/Organizations", "url": "http://www.arthistsocal.org/"} +{"d:Title": "Association of Art Historians", "d:Description": "The AAH represents the interests of art and design historians in all aspects of the discipline, including art, design, architecture, photography, film and other media, cultural studies, conservation and museum studies.", "topic": "Top/Arts/Art_History/Organizations", "url": "http://www.aah.org.uk/"} +{"d:Title": "Association of Historians of Nineteenth-Century Art (AHNCA)", "d:Description": "Their goal is to foster dialogue and communication among those who have a special interest in the field of nineteenth-century art and culture.", "topic": "Top/Arts/Art_History/Organizations", "url": "http://www.ahnca.org/"} +{"d:Title": "ARC International - The Art Renewal Center", "d:Description": "Offering biographical information and high-resolution images of many paintings from the great masters of the 19th century.", "topic": "Top/Arts/Art_History/Periods_and_Movements", "url": "http://www.artrenewal.org/"} +{"d:Title": "Timeline of Art History", "d:Description": "Displays objects from the Metropolitan Museum of Art's collections in geographical and chronological context.", "topic": "Top/Arts/Art_History/Periods_and_Movements", "url": "http://www.metmuseum.org/toah/"} +{"d:Title": "Vision", "d:Description": "Vision of the Art of the 19th and 20th Century.", "topic": "Top/Arts/Art_History/Periods_and_Movements", "url": "http://vision.info.bg/"} +{"d:Title": "Modern Art Periods", "d:Description": "Short description of the art movements of the 19th and 20th century.", "topic": "Top/Arts/Art_History/Periods_and_Movements", "url": "http://www.artelino.com/articles/modern_art_periods.asp"} +{"d:Title": "Art Movements Directory", "d:Description": "Concise reference guide to the major art movements and periods, view by name or date.", "topic": "Top/Arts/Art_History/Periods_and_Movements", "url": "http://www.artmovements.co.uk/home.htm"} +{"d:Title": "Centurions", "d:Description": "BBC Radio 3 Centurions will feature 100 of the humanities hit makers of the twentieth century.", "topic": "Top/Arts/Art_History/Periods_and_Movements", "url": "http://www.bbc.co.uk/history/historic_figures/"} +{"d:Title": "Abstract Expressionism", "d:Description": "Concise description of the art movement with links to its major artists presented by Biddington's Pedigree and Provenance.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Abstract_Expressionism", "url": "http://www.biddingtons.com/content/pedigreeabstract.html"} +{"d:Title": "WebMuseum: Abstract Expressionism", "d:Description": "Short introduction.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Abstract_Expressionism", "url": "http://www.ibiblio.org/wm/paint/tl/20th/abs-expr.html"} +{"d:Title": "Colorfield Painting", "d:Description": "A concise definition and description of the 20th century art movement based on non-gestural abstraction at Biddington's Pedigree and Provenance.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Abstract_Expressionism", "url": "http://www.biddingtons.com/content/pedigreecolorfield.html"} +{"d:Title": "The Catacombs of Rome", "d:Description": "Ars Mar Film describes the art of the Christian catacombs of Rome, which include frescoes, sculptures and inscriptions.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art", "url": "http://www.arsmar.com/ce_art.htm"} +{"d:Title": "Himmapan:", "d:Description": "About mythical creatures that exist in Asian legends.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art", "url": "http://www.himmapan.com/"} +{"d:Title": "Egyptology.com", "d:Description": "Dedicated to examining the art, archaeology, religion and history of Egypt.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt", "url": "http://www.egyptology.com/"} +{"d:Title": "The History of the Egyptian Obelisks", "d:Description": "A brief history and description of ancient Egyptian obelisks.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt", "url": "http://www.egipto.com/obeliscos/obeliskindex.html"} +{"d:Title": "Aldokkan - Ancient Egyptian Art", "d:Description": "Read about the Egyptian architecture, papyrus, pottery, literature, and hieroglyphics.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt", "url": "http://www.aldokkan.com/art/art.htm"} +{"d:Title": "Splendors of Ancient Egypt", "d:Description": "Presents artifacts from ancient Egypt including jewelry and cartonnage portraits from the Greco-Roman period. Read accompanying texts.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt", "url": "http://www2.sptimes.com/Egypt/Egypt.6.html"} +{"d:Title": "Egyptological Homepage of Michel Malfliet", "d:Description": "Featuring photos and images of ancient Egyptian sites and links to other related websites.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt", "url": "http://users.skynet.be/egypt"} +{"d:Title": "Egyptian Galleries", "d:Description": "Paintings and photographs by Richard Deurer. Information on Egyptian art, culture, and language.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt", "url": "http://www.egyptartsite.com/"} +{"d:Title": "Art of Pharaoh Akhenaten's Reign", "d:Description": "Provides an illustrated text on the development of art during the reign of this Egyptian king. Lists sources and links to pages on the pharaoh.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt/Amarna", "url": "http://www.heptune.com/art.html"} +{"d:Title": "History of Nubia", "d:Description": "Read about the arts and culture of Nubia in the Neolithic, Kerma, and New Kingdom periods.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt/Nubia", "url": "http://www.anth.ucsb.edu/faculty/stsmith/research/nubia_history.html"} +{"d:Title": "Learning Sites -- Gebel Barkal", "d:Description": "Re-creation of the temple of Mut, interactive computer model for archaeological research and museum display.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt/Nubia", "url": "http://www.learningsites.com/GebelBarkal-2/GB-index.htm"} +{"d:Title": "University of Pennsylvania - Museum of Archaeology and Anthropology", "d:Description": "Take an online tour at the Ancient Nubia Gallery. The collection includes decorated pottery, funerary material, and statuary.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt/Nubia", "url": "http://www.penn.museum/sites/egypt/nubiagallery.shtml"} +{"d:Title": "University of Chicago - The Nubian Salvage Project", "d:Description": "Read and view photos of the expedition aimed to save ancient Nubian monuments. View the exhibition brochures, conducted by the Oriental Institute.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Egypt/Nubia", "url": "http://oi.uchicago.edu/research/projects/nub/"} +{"d:Title": "Etruscan Gallery - The Vatican 1", "d:Description": "The Etruscan Museum was founded in 1837, during the pontificate of Gregorio XVI. It comprises nine rooms (sale) and presents artifacts excavated from Etruria (1828-1837) and Lazio", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Etruscan", "url": "http://198.62.75.1/www1/vaticano/ET1-Etrusco.html"} +{"d:Title": "Etruscan Gallery - The Vatican 2", "d:Description": "The Etruscan Museum was founded in 1837, during the pontificate of Gregorio XVI. It comprises nine rooms (sale) and presents artifacts excavated from Etruria (1828-1837) and Lazio.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Etruscan", "url": "http://198.62.75.1/www1/vaticano/ET2-Etrusco.html"} +{"d:Title": "GreekLandscapes.com: Greek Art", "d:Description": "Pictures and descriptions of major Greek Art movements.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Greek", "url": "http://www.greeklandscapes.com/greece_art.html"} +{"d:Title": "Ancient-Greece.org: Greek Art", "d:Description": "Pictures from museum collections, and essays on major movements and specific Greek art.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Greek", "url": "http://www.ancient-greece.org/art.html"} +{"d:Title": "Wikipedia: Greek Art", "d:Description": "Article with sections on styles, pottery, sculpture, architecture and coin design.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Greek", "url": "http://en.wikipedia.org/wiki/Greek_art"} +{"d:Title": "Perseus Art&Archaeology", "d:Description": "This database includes information and pictures on architecture, sculpture, coins, pottery, and special topics.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Greek", "url": "http://www.perseus.tufts.edu/hopper/help/startpoints"} +{"d:Title": "Devi: the Great Goddess", "d:Description": "Records an exhibition at the Smithsonian of devotional sculptures and paintings primarily from India spanning a period of 2,000 years.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/India", "url": "http://www.asia.si.edu/devi/"} +{"d:Title": "Jain Art from India", "d:Description": "Text only introduction to Jain culture and art by John Guy of the Victoria and Albert Museum.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/India", "url": "http://www.iias.nl/iiasn/iiasn6/ascul/jain.html"} +{"d:Title": "Merle Greene Robertson's Rubbings of Mayan Sculpture", "d:Description": "Information and images from Mesoweb on Dr Robertson and the rubbings of Mayan reliefs she made in 1962.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Mesoamerican", "url": "http://www.mesoweb.com/rubbings/"} +{"d:Title": "Mayan Rubbings and Batik Serigraphs", "d:Description": "Mayan stelae reproductions by James A. McBride from his original Mayan rubbings 1970-1971.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Mesoamerican", "url": "http://www.mayancollection.com/rubbings/"} +{"d:Title": "Late Minoan Painting and Other Representational Art", "d:Description": "Lesson 14: Late Minoan Painting and Other Representational Art: Pottery, Frescoes, Steatite Vases, Ivories, and Bronzes", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Minoan_and_Mycenean", "url": "http://www.dartmouth.edu/~prehistory/aegean/?page_id=715"} +{"d:Title": "Persian Art through the Centuries", "d:Description": "A brief history of Persian Art with photographs", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Persian", "url": "http://www.art-arena.com/hpart.html"} +{"d:Title": "Iransaga - A History of Persian Art Through The Centuries", "d:Description": "A brief history of Persian Art with photographs", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Persian", "url": "http://www.artarena.force9.co.uk/hpart.html"} +{"d:Title": "Riley Collection of Roman Portrait Sculptures", "d:Description": "Online catalog of the Roman portrait sculptures at the Cedar Rapids Museum of Art. Images from all angles and commentary on their historical and cultural context, with sources.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Ancient_Art/Roman", "url": "http://vroma.org/~riley/"} +{"d:Title": "Founders Of The Arts&Crafts Movement", "d:Description": "Anthology of writings on interior design by William Morris and his contemporaries; information about the early years of the movement in England and America.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.burrows.com/found.html"} +{"d:Title": "Arts&Crafts Society", "d:Description": "Archives and photos, books, classifieds, and a discussion forum. Arts and Crafts links.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.arts-crafts.com/"} +{"d:Title": "Artsncrafts.com", "d:Description": "Listings of auctions and in-store items as well as modern craftspeople specializing in this style.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.artsncrafts.com/"} +{"d:Title": "The Roycrofters", "d:Description": "The Roycroft handicraft community of East Aurora, NY, and its founder, Elbert Hubbard. Events, forums, and desktop themes.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.roycrofter.com/"} +{"d:Title": "The Gamble House", "d:Description": "Example of American Arts and Crafts architecture, designed by Charles and Henry Greene in 1908. History, biographies of the architects, virtual tour and visitor information.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.gamblehouse.org/"} +{"d:Title": "Craftsman Farms", "d:Description": "The Gustav Stickley Museum and center for the study of the American Arts and Crafts movement in Parsippany, New Jersey.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.stickleymuseum.org/"} +{"d:Title": "Craftsman Style", "d:Description": "Online version of Gustav Stickley's \"Craftsman Homes\".", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.craftsman-style.info/"} +{"d:Title": "American Bungalow Magazine", "d:Description": "Bungalow and other Craftsman-style exterior and interior design publication.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.americanbungalow.com/"} +{"d:Title": "The Arts and Crafts Movement in Great Britain", "d:Description": "History of the movement, founders, branches, information for collectors.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Arts_and_Crafts", "url": "http://www.artscrafts.org.uk/"} +{"d:Title": "Decopix", "d:Description": "Randy Juster provides dozens of spectacular photographs of the world's major Art Deco buildings, along with a discussion of the style and examples of art and design of the period.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Deco", "url": "http://www.decopix.com/"} +{"d:Title": "Fair Park Mural Conservation Project", "d:Description": "Aims to conserve the murals of Carlo Ciampaglia at Fair Park, Dallas, a product of the 1936 Texas Centennial Celebration. Illustrated history of Fair Park, project overview and progress.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Deco", "url": "http://www.fairparkmurals.com/"} +{"d:Title": "The Art Deco Society of New York", "d:Description": "A non-profit organization for the study, preservation and celebration of all forms of Art Deco. Membership, events, newsletter, links.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Deco", "url": "http://www.artdeco.org/"} +{"d:Title": "Art Deco Society Inc.", "d:Description": "A non-profit organisation promoting the preservation Art Deco in Victoria and Tasmania. News, events, publications, membership.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Deco", "url": "http://www.artdeco.org.au/"} +{"d:Title": "Art Deco Society Auckland New Zealand", "d:Description": "Promotes interest in and preservation of the Art Deco style in all its manifestations. Joining, events, preservation issues. Early 20th-century New Zealand artists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Deco", "url": "http://www.artdeco.org.nz/"} +{"d:Title": "Deco Nut", "d:Description": "A Deco fan provides photographs of Art Deco architecture, especially in Omaha, art and design. Feature on unusual period radios. Personal pages.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Deco", "url": "http://deconut.tripod.com/"} +{"d:Title": "Anatomy of an Exhibition - Art Nouveau, 1890-1914", "d:Description": "Information about the development of the National Gallery's well known exhibit.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Nouveau", "url": "http://www.nga.gov/feature/nouveau/nouveau.htm"} +{"d:Title": "Art Nouveau at Artchive", "d:Description": "Description of Art Nouveau movement with links to information about Gustav Klimt, Aubrey Beardsley, and Alphonse Mucha.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Nouveau", "url": "http://www.artchive.com/artchive/art_nouveau.html"} +{"d:Title": "Artcyclopedia: Art Nouveau", "d:Description": "Provides brief overview of the movement along with a chronological listing of Art Nouveau artists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Nouveau", "url": "http://www.artcyclopedia.com/history/art-nouveau.html"} +{"d:Title": "Art Nouveau Section", "d:Description": "A personal site that presents details about glassware and home furnishings in the style.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Nouveau", "url": "http://www.jimbobs.mistral.co.uk/culture/nouveau.htm"} +{"d:Title": "Quia Quiz: Art Nouveau Furniture", "d:Description": "A series of multiple choice questions testing knowledge of the movement with a photo example with each question.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Nouveau", "url": "http://www.quia.com/quiz/101951.html"} +{"d:Title": "Art Nouveau World Wide Server", "d:Description": "Text information about the Art Nouveau/Jugendstil period, including definitions, derivations, artists, some photographs, and a FAQ.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Art_Nouveau", "url": "http://www.art-nouveau-around-the-world.org/index.htm"} +{"d:Title": "Art History Resources on the Web: Baroque Art", "d:Description": "Overview, artists, and links to paintings.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Baroque", "url": "http://arthistoryresources.net/ARTHbaroque.html"} +{"d:Title": "Infoplease: Baroque", "d:Description": "Overview, and bibliography with an introduction to the different periods and their characteristics.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Baroque", "url": "http://www.infoplease.com/encyclopedia/entertainment/baroque-art-architecture.html"} +{"d:Title": "Bauhaus Archive: Museum of Design", "d:Description": "Museum and research facility located in Berlin and dedicated to the Bauhaus. Online shop available only in German.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Bauhaus", "url": "http://www.bauhaus.de/"} +{"d:Title": "The Bauhaus Dessau Foundation", "d:Description": "Working to pass on the cultural heritage of the historical Bauhaus as well as exploring creative solutions in urban space through a newly formed college.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Bauhaus", "url": "http://www.bauhaus-dessau.de/"} +{"d:Title": "Bauhaus: The Guggenheim's Collection", "d:Description": "Images of artworks created by Bauhaus instructors.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Bauhaus", "url": "http://www.guggenheim.org/new-york/collections/collection-online/movements/195207"} +{"d:Title": "Interview with Wilfred Franks", "d:Description": "Article and interview with one of the few surviving students from the Bauhaus, dated 1999.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Bauhaus", "url": "http://www.wsws.org/en/articles/1999/11/bau-n23.html"} +{"d:Title": "Wikipedia - Bloomsbury Group", "d:Description": "History, impact and themes of the English group of artists and scholars that existed from around 1905 until around World War II.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Bloomsbury_Group", "url": "http://en.wikipedia.org/wiki/Bloomsbury_group"} +{"d:Title": "WebMuseum: Classicism", "d:Description": "Short introduction.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Classicism", "url": "http://www.ibiblio.org/wm/paint/glo/classicism/"} +{"d:Title": "Constructivism", "d:Description": "History of the movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Constructivism", "url": "http://www.grahampotter.com/constructivism.html"} +{"d:Title": "The Russian Avant-Garde Books (1910-1934)", "d:Description": "Russian avant-garde books interactive exhibition presented in the Museum of Modern Art (MoMA) in 2002.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Constructivism", "url": "http://www.moma.org/interactives/exhibitions/2002/russian/"} +{"d:Title": "MoMA.org", "d:Description": "Stenberg Brothers exhibition : Constructors of a Revolution", "topic": "Top/Arts/Art_History/Periods_and_Movements/Constructivism", "url": "http://www.moma.org/interactives/exhibitions/1997/sternbergbrothers/"} +{"d:Title": "Dada And Dadaism", "d:Description": "Features the artists, writers, poets and includes a bibliography.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Dada", "url": "http://www.dadart.com/"} +{"d:Title": "International Dada Archive", "d:Description": "The gateway to the International Online Bibliography of Dada. A research site about the Dada movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Dada", "url": "http://www.lib.uiowa.edu/dada/"} +{"d:Title": "The DADA Server", "d:Description": "A humorous site that marries DADA and the Web by putting text, electrons, elephants and your name into a blender.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Dada", "url": "http://www.smalltime.com/dada.html"} +{"d:Title": "Art Institute of Chicago - Documents Of Dada and Surrealism", "d:Description": "An overview of Dada in Zurich, Berlin, Paris and New York by Irene Hofman.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Dada", "url": "http://www.artic.edu/reynolds/essays/hofmann.php"} +{"d:Title": "Dada and Visual Arts - Olga's Gallery", "d:Description": "History of the movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Dada", "url": "http://www.abcgallery.com/list/2003mar06.html"} +{"d:Title": "WebMuseum: Dada", "d:Description": "Short piece on the definition! and description of the Dada nihilistic movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Dada", "url": "http://www.ibiblio.org/wm/paint/glo/dada/"} +{"d:Title": "Dadaism", "d:Description": "1918-1922 essay by Tristan Tzara.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Dada", "url": "http://www.english.upenn.edu/~jenglish/English104/tzara.html"} +{"d:Title": "ArchINFORM", "d:Description": "International architectural database with projects and images of the movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/De_Stijl", "url": "http://eng.archinform.net/stich/219.htm"} +{"d:Title": "Robert Smithson", "d:Description": "Official web site for the Estate of Robert Smithson, respected earthworks artist.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Earthworks", "url": "http://www.robertsmithson.com/"} +{"d:Title": "WebMuseum: Expressionism", "d:Description": "Comprehensive and well organized site that offers rich images and concise historical context for artists and art movements.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Expressionism", "url": "http://www.ibiblio.org/wm/paint/glo/expressionism/"} +{"d:Title": "WebMuseum: Fauvism", "d:Description": "Introduction and links to the artists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fauvism", "url": "http://www.ibiblio.org/wm/paint/tl/20th/fauvism.html"} +{"d:Title": "The Fauves Page", "d:Description": "Dedicated to the 'wild beasts' of early 20th century art, artists, paintings, the development and evolution.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fauvism", "url": "http://www.sanderhome.com/Fauves/"} +{"d:Title": "Fluxus Heidelberg Center", "d:Description": "Publications, poetry, and performances of Fluxus Heidelberg.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://www.fluxusheidelberg.org/index2.html"} +{"d:Title": "Fluxcase", "d:Description": "Exhibitions related in some way to Fluxus and fluxus-type activity.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://www.fluxcase.com/"} +{"d:Title": "Allen Bukoff", "d:Description": "Collection of Fluxus related art, objects, articles, and correspondence.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://www.allenbukoff.com/"} +{"d:Title": "The Fluxus Portal", "d:Description": "Fluxus history and portal site for internet resources about Fluxus.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://www.fluxus.org/"} +{"d:Title": "Fluxlist Blog", "d:Description": "Images, multimedia, and writing by members of the fluxus fluxlist community.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://fluxlist.blogspot.com/"} +{"d:Title": "Fluxlist", "d:Description": "Discussion list for all things Fluxus.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://groups.yahoo.com/group/fluxlist/"} +{"d:Title": "Haven't-Garde Art", "d:Description": "Digital collage Fluxus Blog by Reid Wood", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://havent-gardeart.blogspot.com/"} +{"d:Title": "textimagepoem", "d:Description": "Visual poetry Blog of Jim Leftwich", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://jimleftwichtextimagepoem.blogspot.com/"} +{"d:Title": "Fluxus East", "d:Description": "Fluxus networks in central and eastern Europe.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://www.fluxus-east.eu/index.php?lang=en"} +{"d:Title": "Fluxlist Europe", "d:Description": "European branch of the Fluxlist Blog.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Fluxus", "url": "http://fluxlisteurope.blogspot.com/"} +{"d:Title": "The Academic Tradition (Rehs Galleries, Inc.)", "d:Description": "Online exhibition of French Academic paintings by Bouguereau, Guillou, Munier, Perrault and others.", "topic": "Top/Arts/Art_History/Periods_and_Movements/French_Academic", "url": "http://www.rehsgalleries.com/virtexat.htm"} +{"d:Title": "Futurism - Manifestos and Other Resources", "d:Description": "The Futurist art movement of the early 20th Century, featuring most of the original manifestos of the members, and a list of related links.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Futurism", "url": "http://www.unknown.nu/futurism/"} +{"d:Title": "Italian Futurism", "d:Description": "\"Italian Futurism was initially a literary movement created by Filippo Tommaso Marinetti in 1909 with the manifesto Le Futurisme. The intentions of this manifesto was a wake-up call to Marinetti's countrymen to make them aware that they had been 'wearing second-hand clothes for too long.' \"", "topic": "Top/Arts/Art_History/Periods_and_Movements/Futurism", "url": "http://www.wendtroot.com/spoetry/folder6/ng63.html"} +{"d:Title": "A Hike to History, With Nature as a Guide", "d:Description": "New York Times article from the series \"In Art's Footsteps\" about an annual class trip to the Catskill Mtn. House, where F. E. Church and other members of the Hudson River School often visited. Fee required.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://www.nytimes.com/2001/08/03/nyregion/03HUDS.html"} +{"d:Title": "IHAS Movements: The Hudson River School", "d:Description": "A brief summary of the School's place in American philosophy by Thomas Hampson, narrator of the PBS series \"I Hear America Singing.\" Includes a video clip review of Durand's Kindred Spirits.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://www.pbs.org/wnet/ihas/icon/hudson.html"} +{"d:Title": "Tocqueville and the Hudson River School", "d:Description": "A review of the Hudson River School and its history, philosophical underpinnings, iconography, gallery, and contrast to de Tocqueville.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://xroads.virginia.edu/~HYPER/DETOC/hudson/intro.html"} +{"d:Title": "Art and Nature: The Hudson River School, Paintings from the AIHA", "d:Description": "A brief summary of an exhibition by the Orlando Museum of Art.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://www.tfaoi.com/newsm1/n1m432.htm"} +{"d:Title": "White Mountain Art and Artists", "d:Description": "A compilation of art from New Hampshire's White Mountains with an emphasis on those artists who painted during the heyday of the Hudson River School.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://whitemountainart.com/"} +{"d:Title": "The Artchive: Hudson River School", "d:Description": "Long review of the Hudson River School with links to the Artchive's galleries of paintings by the more noted artists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://www.artchive.com/artchive/hudsonriver.html"} +{"d:Title": "Art and Nature: The Hudson River School", "d:Description": "Summary of an exhibit at the Morris Museum of Art in Augusta, Georgia.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://www.tfaoi.com/aa/1aa/1aa371.htm"} +{"d:Title": "This Tranquil Land: Hudson River Paintings from the Hersen Collection", "d:Description": "Information on an exhibition at Seattle's Frye Museum.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://www.tfaoi.com/aa/1aa/1aa205.htm"} +{"d:Title": "Artcyclopedia: The Hudson River School", "d:Description": "A list of major and minor artists of the Hudson River School, with links to online collections and exhibits.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Hudson_River_School", "url": "http://www.artcyclopedia.com/history/hudson-river-school.html"} +{"d:Title": "The Impressionist Movement and Its Greatest Painters", "d:Description": "Provides a history of the movement and biographies of its masters. In English and French.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism", "url": "http://www.impressionniste.net/"} +{"d:Title": "Olga's Gallery: Unknown Impressionist Masterpieces", "d:Description": "Impressionist masterpieces which were presumed lost during WWII were revealed to the public.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism", "url": "http://www.abcgallery.com/list/2001june01.html"} +{"d:Title": "Impressionist Still Life", "d:Description": "Catalogue and exhibition of paintings by Manet, Monet, Degas, van Gogh, Gauguin, C\u00e9zanne at the Phillips Collection, later at the Museum of Fine Arts, Boston.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism", "url": "http://www.cosmopolis.ch/english/cosmo21/still_life.htm"} +{"d:Title": "WebMuseum: Impressionism (1860-1900)", "d:Description": "More from Web Museum: The artists and their work", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism", "url": "http://www.ibiblio.org/wm/paint/theme/impressionnisme.html"} +{"d:Title": "Artcyclopedia: Impressionism", "d:Description": "A list of major and minor Impressionist artists with links to online collections and museums.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism", "url": "http://www.artcyclopedia.com/history/impressionism.html"} +{"d:Title": "American Impressionism", "d:Description": "National Gallery of Art. Over the past forty years, Margaret and Raymond Horowitz have assembled a superb collection of American art from the late nineteenth and early twentieth centuries. Excerpts from the exhibition catalogue including comments from the collector Raymond Horowitz, illustrated essays on 12 works from the exhibition, and 8 artist biographies", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.nga.gov/exhibitions/horo_intro.htm"} +{"d:Title": "An American Tradition: The Pennsylvania Impressionists", "d:Description": "Westmoreland Museum of American Art, Greensburg, Pennsylvania.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.tfaoi.com/newsmu/nmus16a.htm"} +{"d:Title": "American Impressionism", "d:Description": "The article dedicated to the exhibition at The Minneapolis Institute of Arts with brief history of American Impressionism, links to the pages of major American Impressionists artists and to the several other articles on American Impressionism", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.tfaoi.com/aa/2aa/2aa69.htm"} +{"d:Title": "Haber's Art Reviews: American Realism and Impressionism", "d:Description": "An article about the exhibition at the Metropolitan Museum of Art in 1994.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.haberarts.com/amerimp.htm"} +{"d:Title": "American Impressionism", "d:Description": "Short history of the movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.lymeart.com/AmericanImpressionism/index.html"} +{"d:Title": "American Impressionism Goes West", "d:Description": "Essay by Charles C. Eldredge, PhD, reprinted with permission of the Georgia Museum of Art. Includes notes and author information.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.tfaoi.com/aa/3aa/3aa20.htm"} +{"d:Title": "Impressionists on the Connecticut Shore", "d:Description": "Full text article by Carter B. Horsley provides an overview American Impressionist painters, who flourished in the Cos Cob section of Greenwich, Connecticut.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.thecityreview.com/coscob.html"} +{"d:Title": "American Impressionism - Paintings of Promise", "d:Description": "Full text essay with notes by David R. Brigham reprinted on May 16, 2002 in Resource Library Magazine.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.tfaoi.com/aa/3aa/3aa266.htm"} +{"d:Title": "American Impressionism: Paintings of Promise", "d:Description": "Comprehensive essay covering the importance of impressionism, working methods and an analysis of subject matter. Written by David R. Brigham, provided by the Worcester Art Museum in Massachusetts.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.tfaoi.com/aa/3aa/3aa266a.htm"} +{"d:Title": "American Impressionism - Treasures from the Smithsonian American Art Museum", "d:Description": "Overview of the June 21 through October 21, 200l exhibition at the Portland Museum of Art. Portland Maine.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.tfaoi.com/aa/2aa/2aa524.htm"} +{"d:Title": "American Impressionism to Modernism: A Brief History", "d:Description": "Article excerpted from the book titled \"Richard Earl Thompson, American Impressionist, A Prophetic Odyssey in Paint\", authored by Patricia Jobe Pierce and edited by John Douglas Ingraham.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/American_Impressionism", "url": "http://www.tfaoi.com/newsm1/n1m548.htm"} +{"d:Title": "First Impressionist Exhibition, 1874", "d:Description": "Virtual recreation of the revolutionary show, with images, catalog facsimiles and contemporary criticism. Included works by Renoir, Monet, Pissarro, Morisot, Degas, Sisley, Boudin and Cezanne.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/French_Impressionism", "url": "http://www.artchive.com/74nadar.htm"} +{"d:Title": "The Impressionists at Argenteuil", "d:Description": "National Gallery of Art - Impressionist paintings by Boudin, Caillebotte, Manet, Monet, Renoir, and Sisley exploring the fascination with the many progressive paintings created in Argenteuil, France.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/French_Impressionism", "url": "http://www.nga.gov/exhibitions/impr_intro.htm"} +{"d:Title": "French Impressionism in New Orleans, Louisiana", "d:Description": "Article about Degas' work in New Orleans.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/French_Impressionism", "url": "http://www.passion4art.com/articles/french_impress.htm"} +{"d:Title": "Antiques and Fine Art: The Ten American Painters", "d:Description": "Article by Erik Brockett.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Impressionism/Ten_American_Painters", "url": "http://www.antiquesandfineart.com/articles/article.cfm?request=844"} +{"d:Title": "Art of the Mamluks", "d:Description": "Illustrated outline of this book by Dr. Esin Atil on the arts on the Mamluk empire of Egypt, Syria, and Palestine from 1250 AD, hosted by Islamicity. Illuminated manuscripts and metalwork.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Islamic", "url": "http://islamicity.com/Culture/atm/atm.htm"} +{"d:Title": "Islamic Art", "d:Description": "Linda Komaroff, Los Angeles County Museum of Art, describes the development of Islamic art and the historical and cultural traditions from which it emerged. Includes history, periods, images, dynasties, glossary and maps.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Islamic", "url": "http://www.lacma.org/islamic_art/intro.htm"} +{"d:Title": "The Reading Bayeux Tapestry", "d:Description": "The entire Bayeux Tapestry and the tale it tells in quick loading, bite sized chunks, from the Museum of Reading. Also the story behind the Victorian copy on display at Reading.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://www.bayeuxtapestry.org.uk/"} +{"d:Title": "International Center of Medieval Art", "d:Description": "In New York seeks to promote the study of the visual arts of the Middle Ages in Europe. Membership, newsletter, events, conferences, publications, dissertations listed.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://www.medievalart.org/"} +{"d:Title": "Netserf: Medieval Art", "d:Description": "Comprehensive directory of links, including manuscript illumination, murals and frescos, paintings, sculpture tapestries, artists and brasses.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://www.netserf.org/Art/"} +{"d:Title": "Post-Byzantine Icon Art", "d:Description": "An illustrated introduction to the art of Greek Orthodox iconography from the fall of Constantinople (1453) to the 17th century, with related links and bibliography.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://home.yebo.co.za/~xenitis/untitled2.html"} +{"d:Title": "Middle Ages Art and Architecture Lesson", "d:Description": "Covers history of art and architecture from Byzantine to Renaissance. Includes follow up question.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://www.historylink101.com/lessons/art_history_lessons/ma/index.htm"} +{"d:Title": "Medieval Art Museums and Galleries", "d:Description": "Portal to dozens of online medieval and Gothic art collections.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://www.newyorkcarver.com/museum.htm"} +{"d:Title": "Art in Medieval Hungary", "d:Description": "Overview of medieval art and architecture of Hungary, with resource guide, bibliography and regularly updated news.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://home.hu.inter.net/~jekely/"} +{"d:Title": "A Visual Tour through Late Antiquity", "d:Description": "With an emphasis on Gaul and the time of Gregory of Tours compiled by Steve Muhlberger with references and illustrations of medieval art.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art", "url": "http://faculty.nipissingu.ca/muhlberger/4505/SHOW.HTM"} +{"d:Title": "Web Gallery of Art - Gothic", "d:Description": "An A-Z collection of Gothic and early Renaissance artists. Flemish, German, Italian, French and other masterworks with thumbnails enlarging to full-size. Includes artist's biographies and commentary. Also see architectural sculptors and miniaturists of the period in The Gothic Section.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art/Gothic_Art", "url": "http://gallery.euroweb.hu/artist.html#Gothic"} +{"d:Title": "WebMuseum: Gothic Painting (1280-1515)", "d:Description": "A brief history of Gothic painting leads the viewer deeper into the International, Northern, and Late Gothic painting periods. With commentary and full-color examples of Les Tr\u00e8s Riches Heures, paintings of Jan Van Eyck, Hieronymus Bosch, and other Gothic masters.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Medieval_Art/Gothic_Art", "url": "http://www.ibiblio.org/wm/paint/tl/gothic/"} +{"d:Title": "Modernist Painting", "d:Description": "Clement Greenberg's first essay on this subject focusing mainly on pictorial art. First published 1960.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Modernism", "url": "http://www.sharecom.ca/greenberg/modernism.html"} +{"d:Title": "Wikipedia: Modernism", "d:Description": "Article covering the history, goals and critical controversy of this movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Modernism", "url": "http://en.wikipedia.org/wiki/Modernism"} +{"d:Title": "Armory Show 1913", "d:Description": "Linda Larson's thesis on the Armory Show of 1913 which introduced Americans to a new \"modern art\" challenging and changing the idea of what makes art \"art.\" Biographies on many of the artists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Modernism", "url": "http://www.members.tripod.com/linda_larson/"} +{"d:Title": "Modernism", "d:Description": "An illustrated study in five chapters by Christopher L. C. E. Witcombe.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Modernism", "url": "http://arthistoryresources.net/modernism/"} +{"d:Title": "Modernism: Special Report", "d:Description": "A collection of articles, reviews and letters from the archives of Guardian Unlimited.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Modernism", "url": "http://www.theguardian.com/artanddesign/modernism"} +{"d:Title": "NGA - 18th- and 19th-Century France -- Neoclassicism", "d:Description": "Introduction from the National Gallery of Art", "topic": "Top/Arts/Art_History/Periods_and_Movements/Neoclassicism", "url": "http://www.nga.gov/collection/gallery/gg56/gg56-over1.html"} +{"d:Title": "Alexandre Roubtzoff", "d:Description": "Presentation of the life and work of the Russian-French Painter Alexandre Roubtzoff. (Mostly in French.)", "topic": "Top/Arts/Art_History/Periods_and_Movements/Orientalism", "url": "http://www.roubtzoff.org/"} +{"d:Title": "Orientalists and Ghadir Khumm", "d:Description": "discussing Orientalists' understanding of the Sunni and Shia traditions in Islam.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Orientalism", "url": "http://www.al-islam.org/short/ghadir.htm"} +{"d:Title": "Orientalist Art of the Nineteenth Century", "d:Description": "A century of European painters recording and interpreting the Near and Middle East.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Orientalism", "url": "http://www.orientalistart.net/"} +{"d:Title": "The Dahesh Museum of Art", "d:Description": "The only museum in America dedicated to collecting and exhibiting 19th- and early 20th-century European academic art. The basis of the Museum is an art collection acquired by the Lebanese writer and philosopher Dr. Dahesh (1909-1984.)", "topic": "Top/Arts/Art_History/Periods_and_Movements/Orientalism", "url": "http://www.daheshmuseum.org/"} +{"d:Title": "William Holman Hunt's Oriental Mania and his Uffizi self portrait", "d:Description": "George P. Landow's essay, originally from The Art Bulletin, 1982.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Orientalism", "url": "http://www.victorianweb.org/painting/whh/selfportrait.html"} +{"d:Title": "Orientalists and the Middle East", "d:Description": "Bob Speel's page discussing Orientalist painting", "topic": "Top/Arts/Art_History/Periods_and_Movements/Orientalism", "url": "http://myweb.tiscali.co.uk/speel/group/orient.htm"} +{"d:Title": "Pointillism: Artists and their Works at Artcyclopedia.com", "d:Description": "Short description of the movement and a list of artists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pointillism", "url": "http://www.artcyclopedia.com/history/pointillism.html"} +{"d:Title": "Wikipedia: Pointillism", "d:Description": "Article about the movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pointillism", "url": "http://en.wikipedia.org/wiki/Pointillism"} +{"d:Title": "Pop Artists", "d:Description": "New York City gallery featuring paintings, prints and objects by artists including Haring, Lichtenstein, Oldenberg, and Warhol.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pop_Art", "url": "http://www.popartists.com/"} +{"d:Title": "Pop Art", "d:Description": "Concise description of the movement with links to its major artists. (From Biddington's Pedigree and Provenance Words for Art and Antique Collectors, a regularly-updated collection of definitions and examples.)", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pop_Art", "url": "http://www.biddingtons.com/content/pedigreepop.html"} +{"d:Title": "WebMuseum: Pop Art", "d:Description": "Brief definition and discussion.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pop_Art", "url": "http://www.ibiblio.org/wm/paint/tl/20th/pop-art.html"} +{"d:Title": "Pop Art", "d:Description": "Review of the reference book by Marco Livingstone.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pop_Art", "url": "http://www.cosmopolis.ch/english/cosmo12/popart.htm"} +{"d:Title": "The Barnes Foundation", "d:Description": "Features examples of Post-Impressionist paintings by Cezanne, Matisse and others.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Post-Impressionism", "url": "http://www.barnesfoundation.org/"} +{"d:Title": "Cosmic Basball Association : 1997 Pre-Raphaelite Baseball Club Roster", "d:Description": "Wacky idea but it works! Hard to explain but very easy to understand once you are in the site itself.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://www.cosmicbaseball.com/97prbr.html"} +{"d:Title": "The Pre-Raphaelite Brotherhood Annotated Catalogue", "d:Description": "Arizona State University's catalogue of Pre-Raphaelite rare books and manuscripts in the special collections department.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://www.asu.edu/lib/speccoll/prb/index.html"} +{"d:Title": "Links to Pre-Raphaelite Web-Sites", "d:Description": "Brief information about many Pre-Raphaelite and other Victorian artists, with many links to other Pre-Raphaelite websites. From Risto Hurmalainen.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://www.dlc.fi/~hurmari/preraph.htm"} +{"d:Title": "The Art-Journal, 1850-1880: Antiquarians, the Medieval Revival, and The Reception of Pre-Raphaelitism", "d:Description": "Major article on this important theme by George P. Landow, Professor of English and Art History, Brown University", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://www.victorianweb.org/art/design/medievaj.html"} +{"d:Title": "Pre-Raphaelites and Illustration", "d:Description": "Illustrations by Rossetti, Millais, Leighton and by other artists of the 19th Century, in books and magazines.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://myweb.tiscali.co.uk/speel/illus/prbillus.htm"} +{"d:Title": "Pre-Raphaelite Brotherhood", "d:Description": "Describes the Pre-Raphaelite Brotherhood, and links to detailed information on Pre-Raphaelite and other Victorian artists", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://myweb.tiscali.co.uk/speel/group/prb.htm"} +{"d:Title": "Darkamber - The Pre-Raphaelites", "d:Description": "Introduction to their work and gallery of favourite pictures", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://www.darkamber.net/dragonsden/index2.html"} +{"d:Title": "National Museums Liverpool - The Pre-Raphaelite Collection", "d:Description": "Collections of Pre-Raphaelite paintings that can be seen at the National Museums and Galleries on Merseyside.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://www.liverpoolmuseums.org.uk/online/pre-raphaelites"} +{"d:Title": "Pre-Raphaelite Overview", "d:Description": "More a directory than an actual site but some very useful links here from the Victorian Web", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites", "url": "http://www.victorianweb.org/painting/prb/"} +{"d:Title": "Evelyn De Morgan - Portrait of Jane Morris", "d:Description": "A 1904 portrait of the Pre-Raphaelite model Jane Morris, by Evelyn De Morgan. Chalks on brown paper laid on canvas.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites/Morris,_Jane", "url": "http://www.mystudios.com/women/abcde/demorgan_jane.html"} +{"d:Title": "Some Victorian Art in London", "d:Description": "Describes museums and galleries in London where there is Victorian and Pre-Raphaelite art, and links to pages on the artists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites/Museums", "url": "http://myweb.tiscali.co.uk/speel/london/londart.htm"} +{"d:Title": "Pre-Raphaelites", "d:Description": "This is a page dedicated to the Pre-Raphaelites. Here you can find a few JPEGs", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites/Personal_Pages", "url": "http://persephone.cps.unizar.es/General/Gente/SPD/Pre-Raphaelites/Pre-Raphaelites.html"} +{"d:Title": "Bob Speel", "d:Description": "Pre-Raphaelite art, illustrations, other Victorian and 19th century painters, art in London and in Britain, sculpture in London, architecture", "topic": "Top/Arts/Art_History/Periods_and_Movements/Pre-Raphaelites/Personal_Pages", "url": "http://myweb.tiscali.co.uk/speel/"} +{"d:Title": "Rock Art Net", "d:Description": "Links: petroglyphs, pictographs, rock art, engraved boulders.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art", "url": "http://www.rupestre.net/rockart/"} +{"d:Title": "Macedonian Rock Art Research Centre", "d:Description": "The Centre has discovered more than 460,000 rock drawings. Aims, history, activities, publications and personnel of the Centre. Illustrated outline of rock art in Macedonia. World rock art congress in 2002.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art", "url": "http://www.unet.com.mk/rockart/"} +{"d:Title": "EuroPreArt", "d:Description": "Online database of European prehistoric art and petroglyphs. Features image galleries, links to related resources, maps, and links to related resources.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art", "url": "http://europreart.net/"} +{"d:Title": "Saharan Rock Art", "d:Description": "Photographic essay about prehistoric rock art.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art", "url": "http://www.the153club.org/rockart.html"} +{"d:Title": "Art History Resources on the Web: Prehistory", "d:Description": "A directory of Prehistoric art divided by time period.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art", "url": "http://arthistoryresources.net/ARTHprehistoric.html"} +{"d:Title": "Signs of Consciousness : Speculations on the Psychology of Paleolithic Graphics", "d:Description": "by J. A. Cheyne : from Paleo-Psychology", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://watarts.uwaterloo.ca/~acheyne/signcon.html"} +{"d:Title": "Chauvet Through the Eyes of a Sculptor", "d:Description": "Sculptor John Robinson was invited to give an artist's view of the prehistoric art of the Cave of Chauvet Pont d'Arcby. The Bradshaw Foundation hosts his illustrated commentary.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://www.bradshawfoundation.com/chauvet/"} +{"d:Title": "First Human Creations", "d:Description": "A gallery of rock art from the caves at Lascaux in France.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://users.hol.gr/~dilos/prehis/prerm4.htm"} +{"d:Title": "The Cave of Chauvet Pont-D'Arc", "d:Description": "Decorated Paleolithic cave in the Ard\u00e8che region of France. The Ministry of Culture describes its discovery, authentication and preservation. The context and research. Virtual tour.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://www.culture.gouv.fr/culture/arcnat/chauvet/en/"} +{"d:Title": "The Dawn of Prehistoric Rock Art", "d:Description": "An article by James Q. Jacobs summarizing the earliest known rock art, with a focus on recently discovered painted caves in Paleolithic Europe, Grotto Cosquer and Grotto Chauvet.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://www.jqjacobs.net/rock_art/dawn.html"} +{"d:Title": "Cosquer Cave", "d:Description": "The French Ministry of Culture describes a Paleolithic art gallery in a cave that can be accessed only through a 175-metre tunnel beneath sea level. Photographs of the animal drawings and hand stencils that decorate it.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://www.culture.gouv.fr/culture/archeosm/en/fr-cosqu1.htm"} +{"d:Title": "Paleolithic Art Magazine", "d:Description": "Promotes acknowledgment and interpretation of paleolithic art everywhere in the world, and of the origins of Man. In English and Italian.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://www.paleolithicartmagazine.org/"} +{"d:Title": "Cave of Lascaux", "d:Description": "Information and a virtual tour of the cave from the French Ministry of Culture.", "priority": "1", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://www.lascaux.culture.fr/#/en/00.xml/"} +{"d:Title": "Paleolithic Art of the Pyrenees", "d:Description": "A gallery of artwork from the Magdalenian cultural horizon from the French Ministry of Culture.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://www.culture.gouv.fr/culture/app/eng/artprepy.htm"} +{"d:Title": "Women in Prehistory: The Venus of Willendorf", "d:Description": "Illustrated essay by Christopher Witcombe of Sweet Briar College on this famous figure and the history of its discovery, with bibliography.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Prehistoric_Art/Palaeolithic", "url": "http://arthistoryresources.net/willendorf/willendorfdiscovery.html"} +{"d:Title": "WebMuseum: Realism", "d:Description": "Comprehensive and well organized site. A good source of reference covering artists and art movements ranging from Gothic and Renaissance to Impressionism and Pop.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Realism", "url": "http://www.ibiblio.org/wm/paint/glo/realism/"} +{"d:Title": "Realism. Art Terms at Biddington's", "d:Description": "A brief discussion and illustration of realism in contemporary art.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Realism", "url": "http://www.biddingtons.com/content/pedigreerealism.html"} +{"d:Title": "WebMuseum: La Renaissance", "d:Description": "The Renaissance in Europe, covering Italy, Netherlands, Germany and France.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Renaissance", "url": "http://www.ibiblio.org/wm/paint/glo/renaissance/"} +{"d:Title": "Christus Rex Art Gallery: Renaissance", "d:Description": "A virtual gallery of some of the most famous Italian Renaissance painters, featuring Masaccio, Masolino, Caravaggio, Raphael, and Leonardo.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Renaissance", "url": "http://www.christusrex.org/www2/art/gallery.htm"} +{"d:Title": "Art History Resources on the Web: Renaissance Art in Italy", "d:Description": "Directory of Renaissance in Italy links.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Renaissance", "url": "http://arthistoryresources.net/ARTHrenaissanceitaly.html"} +{"d:Title": "Enchanted Gardens of the Renaissance", "d:Description": "A visual tour of three Renaissance Gardens and their relationship to the art of the period.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Renaissance", "url": "http://www.jebfoundation.ch/Jardin/index_english.html"} +{"d:Title": "18th-Century France: The Rococo and Watteau", "d:Description": "Introduction from the National Gallery of Art", "topic": "Top/Arts/Art_History/Periods_and_Movements/Rococo", "url": "http://www.nga.gov/collection/gallery/gg54/gg54-over1.html"} +{"d:Title": "Catholic Encyclopedia: Rococo Style", "d:Description": "Very good introduction to this style.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Rococo", "url": "http://www.newadvent.org/cathen/13106a.htm"} +{"d:Title": "WebMuseum: Romanticism", "d:Description": "Short introduction.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Romanticism", "url": "http://www.ibiblio.org/wm/paint/glo/romanticism/"} +{"d:Title": "The Art History Archive: Romanticism", "d:Description": "Romanticism art history archive detailing the art movement and artists like William Blake, Henry Fuseli, Francisco de Goya, Friedrich Overbeck, Eug\u00e8ne Delacroix, William Turner and John Constable.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Romanticism", "url": "http://www.arthistoryarchive.com/arthistory/romanticism/arthistory_romanticism.html"} +{"d:Title": "Wikipedia - Stuckism", "d:Description": "Provides origins and activities of the international art movement founded in 1999.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://en.wikipedia.org/wiki/Stuckism"} +{"d:Title": "Stuckism and Remodernism", "d:Description": "Discussion group focusing on the new and controversial art movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://groups.yahoo.com/group/stuckism/"} +{"d:Title": "Stuckism Wales and The Wrexham Stuckists", "d:Description": "Stuckist art group by John Bourne established in November, 2001 to promote painting (as opposed to conceptual art) in Wales.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://stuckismwales.co.uk/"} +{"d:Title": "NPR's All Things Considered - Sticking It to British Art", "d:Description": "Article and multimedia slideshow about the stuckism art movement.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://www.npr.org/programs/atc/features/2001/jul/stuckism/010716.stuckism.html"} +{"d:Title": "Stuckism", "d:Description": "Provides information on the theory and practice of the movement. Includes artists, sample works and related links.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://www.stuckism.com/"} +{"d:Title": "Stuckism - The Re-Modernist Art Revolution", "d:Description": "Essay by Mark Vallen - January, 2003.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://www.art-for-a-change.com/News/stuckist.htm"} +{"d:Title": "Humanities Web - Stuckism", "d:Description": "Provides definition, history and commentary.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://www.humanitiesweb.org/human.php?s=g&p=a&a=i&ID=1072"} +{"d:Title": "Naive John - Liverpool Stuckists", "d:Description": "The online home for Stuckism in Liverpool and the North West as founded by Naive John in 2004.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://www.naivejohn.com/"} +{"d:Title": "The Munich Stuckists", "d:Description": "Original paintings, drawings and collages made the Stuckist way in Bavaria.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://franklinblog.blogspot.com/"} +{"d:Title": "Stuckism in Germany", "d:Description": "National website of German Stuckists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://www.stuckismus.de/"} +{"d:Title": "The Slovak Stuckists", "d:Description": "Artworks by Slovak Stuckists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://stuckism.webnode.sk/"} +{"d:Title": "Stuckism Photography", "d:Description": "News, information, exhibitions, sample works and listing of artists involved in stuckism photography.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Stuckism", "url": "http://www.stuckismphotography.com/?fp=Lx/RkuE2LaowtWcEMDDJjcwudn3uCA3Gs7PK0O1WoK9ORFbHAmdzPzRTRNh2uCAqOZxkkTzfl/PpWZRrmIyH/Q%3D%3D&prvtof=hrIi4CEWBh4MJkJdTmRdae7pvhEO%2BtlIe9fZPWZr2ro%3D&poru=ZWdS4zczEqNQ2nakqcA8pLuzAh98wW3DNnhACd1igQE%2BMso9wJ342lO%2B6TBqanSRjjATVzrserLuh66nuinLcCmZ0a9Z3JEs3OBsGyktLSE%3D"} +{"d:Title": "Surrealist.com", "d:Description": "Surrealism, surrealist, surreal, art, literature, music, dada, dadaism, and everything else.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://www.surrealist.com/"} +{"d:Title": "Hungryflower", "d:Description": "Surrealist painters' links and biographies, including Leonora Carrington, Remedios Varo, Ana Mendieta, and Francesca Woodman.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://www.hungryflower.com/leonreme.html"} +{"d:Title": "Andre Breton-What is Surrealism?", "d:Description": "A lecture given in Brussels on 1st June 1934 at a public meeting organised by the Belgian Surrealists, and issued as a pamphlet immediately afterwards", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://pers-www.wlv.ac.uk/~fa1871/whatsurr.html"} +{"d:Title": "Biddington's: Surrealism.", "d:Description": "A concise description of the 20th century visual arts and literary movement Surrealism at Biddington's Pedigree and Provenance.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://biddingtons.com/content/pedigreesurreal.html"} +{"d:Title": "Dada and Surrealism: Texts and Extracts", "d:Description": "By Gerry Carlin and Mair Evans.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://pers-www.wlv.ac.uk/~fa1871/surrext.html"} +{"d:Title": "Research Centre for Studies of Surrealism", "d:Description": "Centre promotes research excellence in studies of dada and surrealism and their impact on modern thought and culture.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://www.surrealismcentre.ac.uk/"} +{"d:Title": "Bibliography of Surrealism", "d:Description": "Extensive collection of books about Surrealism.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://www.madsci.org/~lynn/juju/surr/bio/surrealist-bio.html"} +{"d:Title": "History of Surrealism", "d:Description": "Good introduction to Surrealism maintained by Monica Sanchez.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://www.gosurreal.com/history.htm"} +{"d:Title": "Surrealism.org", "d:Description": "Brief article and biographies of well known surrealists.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://www.surrealism.org/"} +{"d:Title": "The Surrealists Website", "d:Description": "Information about the movement of the early 20th century. Artist biographies, surrealist manifesto, history and galleries.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://www.surrealists.co.uk/"} +{"d:Title": "Wikipedia: Surrealism", "d:Description": "Encyclopedia article about the movement. Includes the founding, history and social/political impact.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Surrealism", "url": "http://en.wikipedia.org/wiki/Surrealism"} +{"d:Title": "Victorian Art in London", "d:Description": "Describes museums and galleries in London where there is Victorian and Pre-Raphaelite art, and links to pages on the artists", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://myweb.tiscali.co.uk/speel/london/londart.htm"} +{"d:Title": "Victorian Painting", "d:Description": "Comprehensive list of links to every aspect of Victorian paiinting (including artists) from the Victorian Web.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://www.victorianweb.org/painting/paintingov.html"} +{"d:Title": "Great Exhibition of 1851", "d:Description": "The Great Exhibition of arts and manufactures at Crystal Palace, 1851, and some of the beautiful objects there", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://myweb.tiscali.co.uk/speel/otherart/grtexhib.htm"} +{"d:Title": "Victorian Art in Britain", "d:Description": "Pre-Raphaelite and other Victorian paintings in museums around England.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://myweb.tiscali.co.uk/speel/place/britart.htm"} +{"d:Title": "Subjects of Victorian Painting", "d:Description": "Series of articles (and links) covering the major themes of Victorian painting.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://www.victorianweb.org/painting/subjects/index.html"} +{"d:Title": "The Idyllists", "d:Description": "Features group of Victorian painters and illustrators including John William North, Fred Walker, George Pinwell, Robert Walker Macbeth, Hubert Herkomer and the writer Richard Jefferies.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://www.southwilts.com/site/the-idyllists/"} +{"d:Title": "Clare Family", "d:Description": "British Victorian artists who specialized in, and became famous for, their highly finished and precisely detailed fruit and flower paintings. The family consisted of George (1835 - 1900) and his sons Oliver (1853 - 1927) and Vincent (1855 - 1930).", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://rehsgalleries.com/clare_family_virtex.htm"} +{"d:Title": "Victorian Landscape Painting", "d:Description": "Exhibition of the English countryside during the 19th Century. Featured artists include: Boddington, de Breanski, Glendening, Goodwin, Gosling, Jutsum, Leader, Parker, Percy and Williams.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres", "url": "http://www.rehsgalleries.com/victorian_landscape_art_virtex.htm"} +{"d:Title": "Illustration on Bob Speel's website", "d:Description": "19th century illustration by Millais, Leighton, William Morris, Kate Greenaway, and other British artists mainly from the Victorian period", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres/Illustrations_for_Children", "url": "http://myweb.tiscali.co.uk/speel/illus/illus.htm"} +{"d:Title": "Children's Literature - Island 5", "d:Description": "Late Victorian Illustrators, Peter Rabbit, and The Wizard of Oz.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres/Illustrations_for_Children", "url": "http://library.sc.edu/spcoll/kidlit/kidlit/kidlit5.html"} +{"d:Title": "Early Illustrators", "d:Description": "Early Illustrators of Children's Books from the 19th and 20th Centuries", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres/Illustrations_for_Children", "url": "http://comminfo.rutgers.edu/professional-development/childlit/HistoryofChildLit/illus.html"} +{"d:Title": "Development of Victorian Fairy Painting", "d:Description": "An introduction to this genre by Richard A. Schindler.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres/Victorian_Fairy_Painting", "url": "http://www.victorianweb.org/painting/fairy/ras1.html"} +{"d:Title": "Tamara's Illustration Site", "d:Description": "Some beautiful Victorian fairy illustrations on a lovely site.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres/Victorian_Fairy_Painting", "url": "http://users.rcn.com/mreff/index/fairy.html"} +{"d:Title": "Fairy Painting after 1850", "d:Description": "by Richard A. Schindler.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres/Victorian_Fairy_Painting", "url": "http://www.victorianweb.org/painting/fairy/ras6.html"} +{"d:Title": "The Heyday of Fairy Painting", "d:Description": "Victorian fairy painting experienced its heyday during the 1840s. Article on its significance and main exponents by Richard A. Schindler.", "topic": "Top/Arts/Art_History/Periods_and_Movements/Victorian_Genres/Victorian_Fairy_Painting", "url": "http://www.victorianweb.org/painting/fairy/ras3.html"} +{"d:Title": "The Princess Grace Awards", "d:Description": "Identifies and assists young talent in theater, dance and film through grants in the form of scholarships, apprenticeships and fellowships.", "topic": "Top/Arts/Awards", "url": "http://www.pgfusa.com/"} +{"d:Title": "Young Designers Award", "d:Description": "Promoting young talent in New Zealand in the field of design. Objectives and purposes as well as information on categories, criteria, and entry.", "topic": "Top/Arts/Awards", "url": "http://www.yda.org.nz/"} +{"d:Title": "British Academy of Film and Television Arts", "d:Description": "BAFTA promotes and honors excellence in film, television, and Internet and multimedia technologies. Information, eligibility, events, winners, members, and archival information.", "topic": "Top/Arts/Awards", "url": "http://bafta.org/"} +{"d:Title": "The Envelope", "d:Description": "Experts and amateurs meet to predict the winners of the Academy Awards, Grammy, Emmy, Tony, and Golden Globes. News, information, commentary and interviews from the Los Angeles Times.", "topic": "Top/Arts/Awards", "url": "http://www.latimes.com/entertainment/envelope/"} +{"d:Title": "Kennedy Center Honors", "d:Description": "Celebrates the lifetime achievements of American performing artists, writers, and composers.", "topic": "Top/Arts/Awards", "url": "http://www.kennedy-center.org/pages/SpecialEvents/honors"} +{"d:Title": "Digital Hit Entertainment's Golden Globes Coverage", "d:Description": "Annual coverage of nominees, winners, photos and discussions.", "topic": "Top/Arts/Awards/Golden_Globe_Awards", "url": "http://www.digitalhit.com/globes/"} +{"d:Title": "Rotten Tomatoes: Golden Globes", "d:Description": "Winners and nominees by year and category.", "topic": "Top/Arts/Awards/Golden_Globe_Awards", "url": "http://www.rottentomatoes.com/top/golden_globes.php"} +{"d:Title": "Wikipedia: Golden Globes", "d:Description": "Article on the award's history and origins, as well as links to lists of winners.", "topic": "Top/Arts/Awards/Golden_Globe_Awards", "url": "http://en.wikipedia.org/wiki/Golden_Globe_Award"} +{"d:Title": "Moviefone: Golden Globes Coverage", "d:Description": "Find news, video, and event photos surrounding the awards.", "topic": "Top/Arts/Awards/Golden_Globe_Awards", "url": "http://www.moviefone.com/golden-globes"} +{"d:Title": "The SAG Awards", "d:Description": "A look at the Screen Actors Guild Awards show, with an emphasis on how the public can watch the stars arrive.", "topic": "Top/Arts/Awards/Screen_Actors_Guild_Awards", "url": "http://www.seeing-stars.com/Awards/SAGawards.shtml"} +{"d:Title": "Screen Actors Guild Awards", "d:Description": "Official site with news, history, rules, past and current nominees and recipients, photos, tickets and other details.", "priority": "1", "topic": "Top/Arts/Awards/Screen_Actors_Guild_Awards", "url": "http://www.sagawards.org/"} +{"d:Title": "The Louis Comfort Tiffany Foundation", "d:Description": "Offering biennial monetary awards in painting, sculpture, printmaking, photography, video, and craft-media. Information includes foundation's history and previous winners.", "topic": "Top/Arts/Awards/Visual_Arts", "url": "http://louiscomforttiffanyfoundation.org/"} +{"d:Title": "Australian Art Gallery - Painting Prizes", "d:Description": "A list of all current Australian Painting prizes.", "topic": "Top/Arts/Awards/Visual_Arts", "url": "http://www.australian-art-gallery.com/cgi-bin/v_prizes.pl"} +{"d:Title": "London International Creative Competition", "d:Description": "Awards and online competition for visual artists worldwide.", "topic": "Top/Arts/Awards/Visual_Arts", "url": "http://www.licc.us/"} +{"d:Title": "Association of Photographers Awards", "d:Description": "Honors talent within the professional, advertising, and editorial fields of photography. Information, history, and past and present winners.", "topic": "Top/Arts/Awards/Visual_Arts", "url": "http://awards.the-aop.org/"} +{"d:Title": "Nail Art Gallery", "d:Description": "Thumbnail gallery featuring artwork from Irene Ellis, Vicki Irvine, Best Foot Forward and various others. Also features links to the artists home pages.", "topic": "Top/Arts/Bodyart", "url": "http://www.nailartgallery.com/"} +{"d:Title": "International Beauty Brokerage, Inc.", "d:Description": "Insurance company offering services to tattoo and piercing artists and studios. Available in California, Arizona, and Nevada. Contains contact and company information.", "topic": "Top/Arts/Bodyart", "url": "http://www.beauty2000.org/"} +{"d:Title": "Professional Program Insurance Brokerage", "d:Description": "Available to tattooing and piercing studios. Newsletter, updates, and contact information.", "topic": "Top/Arts/Bodyart", "url": "http://www.tattoo-ins.com/"} +{"d:Title": "Blacklight Kabuki", "d:Description": "Forum for the promotion of ultra violet artwork. Contains image galleries, upcoming event details, and submission instructions.", "topic": "Top/Arts/Bodyart", "url": "http://blacklightkabuki1.tripod.com/"} +{"d:Title": "Bellaonline: Body Art", "d:Description": "Includes articles, photo galleries, message boards and a newsletter.", "topic": "Top/Arts/Bodyart", "url": "http://bodyart.bellaonline.com/"} +{"d:Title": "Fakir.org", "d:Description": "Includes biography of Fakir Musafar and information about piercing and branding courses offered, as well as BodyPlay magazine previews, sales, and article index.", "topic": "Top/Arts/Bodyart", "url": "http://www.fakir.org/"} +{"d:Title": "Bodyart", "d:Description": "Usenet FAQs; including netiquette and multipart body modification.", "topic": "Top/Arts/Bodyart", "url": "http://www.faqs.org/faqs/bodyart/"} +{"d:Title": "Jinny, Make-Up Artiste, Inc.", "d:Description": "A face and body painter. Her site features an extensive gallery showcasing her work, information on the Paradise Makeup AQ product line she developed with Mehron, and training/class schedules. [Quebec, Canada]", "topic": "Top/Arts/Bodyart", "url": "http://www.jinnymakeup.com/"} +{"d:Title": "Bodies of Cultures", "d:Description": "From the University of Pennsylvania Museum. Image gallery of body modification through history. Information on piercing, tattoos, and mehndi.", "topic": "Top/Arts/Bodyart", "url": "http://penn.museum/sites/body_modification/bodmodintro.shtml"} +{"d:Title": "About.com: Tattoos and Bodypiercing", "d:Description": "Collection of links, resources, articles, and information on tattoos and bodypiercing. Piercing aftercare, tattoo safety, flash gallery.", "topic": "Top/Arts/Bodyart/Articles", "url": "http://tattoo.about.com/"} +{"d:Title": "World Body Painting Association", "d:Description": "Information on festivals, workshops, and special events, as well as membership information, links to member pages, and basic information on the association. Available in English and German.", "topic": "Top/Arts/Bodyart/Bodypainting", "url": "http://wbpa.info/"} +{"d:Title": "Cambridge UV BodyArt", "d:Description": "Fluorescent bodypaints applied under ultraviolet light for rave, stage, and studio work. [Cambridge, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.iancgbell.clara.net/bodyart/index.htm"} +{"d:Title": "People Painters", "d:Description": "Airbrush work, henna, and temporary tattoos. Available for theme parks and special events. Includes pictures and how-to information for a variety of applications. [Santa Rosa, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.peoplepainters.com/"} +{"d:Title": "Bodypainting by Mark Greenawalt", "d:Description": "Painted models by this artist/photographer. Features image galleries, information on technique, and rate information. Also features a monthly newsletter. [Phoenix, Arizona]", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.futureclassx.com/bodypaint/bodypaint.htm"} +{"d:Title": "Gair, Joanne", "d:Description": "Makeup artist and body painter. Biography and a portfolio of her work.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.joannegair.com/"} +{"d:Title": "Star Shields", "d:Description": "Airbrush art with merchandise information, image galleries of faces and bodies, event listings, information and materials for startups, and contact details, as well as information pertaining to classes and workshops available in the California area.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://starman.server101.com/"} +{"d:Title": "Fredi's Bodypainting", "d:Description": "Swiss artist displays many examples of his work. Multimedia section, image gallery, and links.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.bodypaint.ch/"} +{"d:Title": "Artemix Entertainment", "d:Description": "Selection of services including: temporary tattoos, body painting, airbrushing, and makeup artistry available for various functions. Contains detailed descriptions, reference letters, and contact information.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.artemix.com/"} +{"d:Title": "LivingBrush", "d:Description": "North Carolina based. Also offering fine art and workshops. Includes pricing and world championship information.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.livingbrush.com/"} +{"d:Title": "BodyPainting.es", "d:Description": "Spanish based. Paints for events, businesses or individuals. Photographs of his work.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.bodypainting.es/"} +{"d:Title": "Art and Body Studios", "d:Description": "Based in southwest Michigan, available for private and commercial events. Offers photographs of their work and their profiles.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.artandbodystudios.com/"} +{"d:Title": "Rainbow Peacock", "d:Description": "New Orleans based, also offering face painting and specializing in festivals such as Mardi Gras. Offers ultra violet painting. Includes photographs of her different styles, booking information and a profile.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://rainbowpeacock.com/"} +{"d:Title": "OneStep2Far", "d:Description": "Los Angeles, California based. Also offering make-up artistry, available for TV, magazines and events. Offers a photographic portfolio including press work, and videoes of her work.", "topic": "Top/Arts/Bodyart/Bodypainting/Artists", "url": "http://www.onestep2far.com/"} +{"d:Title": "Face and Body Art International Convention", "d:Description": "Home of the annual FABAIC convention, held in Orlando, Florida, USA. Each year face and body artists from around the world join together in Orlando to support each other and learn from the some of the greatest face and body painters worldwide.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting", "url": "http://www.fabaic.com/"} +{"d:Title": "Face Paint Forum", "d:Description": "Message forum for professional and aspiring face painters to share tips, tricks and secrets to success.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting", "url": "http://www.facepaintforum.com/"} +{"d:Title": "FACE - The Face Painting Association", "d:Description": "Official site about standards and practice of face painting as observed by FACE.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting", "url": "http://www.facepaintingassociation.com/"} +{"d:Title": "Chicago Face Painting", "d:Description": "Selection of image galleries featuring full faces, themes, henna, airbrush, ultraviolet work, temporary tattoos, party faces, belly buttons, cheeks, and special characters. Contact information, artist background information, and links.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.facepainting.net/"} +{"d:Title": "Party Faces", "d:Description": "Airbrush face and body work available for any occasion. Design themes include fantasy, glamour, and Halloween horror. Contact and hiring information. [Santa Monica, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.partyfaces.com/"} +{"d:Title": "Art From The Heart", "d:Description": "Cheek art, hand and face painter. Background information, portrait and mural services, and galleries of previous works. [New Orleans, Louisiana]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.artfromtheheartevents.com/"} +{"d:Title": "Unique Cheek", "d:Description": "Samples of previous works, references, pricing, and information on booking services for various events such as; birthday parties, family gatherings, company picnics, fairs and festivals. [Ohio]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://uniquecheek.tripod.com/"} +{"d:Title": "Fizogg", "d:Description": "Provider of professional face painters. [Gloucestershire, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.fizogg.co.uk/"} +{"d:Title": "Party Art by Joan", "d:Description": "Features face painting - full faces a speciality but cheek art is also available - for children and adults. [Phoenix, Arizona]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.facepainteraz.com/"} +{"d:Title": "Happy Faces", "d:Description": "Available for various types of events including private parties, special occasions, and festivals. Image gallery, pricing, and contact information. [Orlando, Florida]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://4happyfaces.com/"} +{"d:Title": "Cool Faces", "d:Description": "Lorna Strachan's professional face painting and body art. Galleries of examples of work including ultraviolet, temporary tattoos, and special effects. [Scotland, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.cool-faces.co.uk/"} +{"d:Title": "Faceination", "d:Description": "Artists have worked in North America and Europe since 1995. Pictures of their work, as well as a description of their training programme. [Montreal, Canada]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.faceination.com/"} +{"d:Title": "Capel Faces 2 Paint", "d:Description": "Face painter based in Suffolk. Gallery, information, workshops offered. [United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://pages.123-reg.co.uk/capelfaces2paint-221840/"} +{"d:Title": "Painted Personalities", "d:Description": "Offers face painting, body art, gestational art, temporary tattoos, glitter tattoos, and henna for events. [Birmingham, Alabama]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.paintedpersonalities.com/"} +{"d:Title": "Faces by Derrick", "d:Description": "Features a gallery of work by face painter Derrick Little. [New York]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.facesbyderrick.com/"} +{"d:Title": "Janet Allen Face Painting", "d:Description": "Includes gallery of pictures from events attended. [Cumbria, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.makingchildrensmile.co.uk/"} +{"d:Title": "Fab Faces", "d:Description": "Provides face painting and party services in. Site contains galleries of work. [Norfolk, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.fabfaces.net/"} +{"d:Title": "Agostino Arts", "d:Description": "Home page of artists Lorraine Zeller and Christopher Agostino. The site features galleries of their \"transformation face painting.\" [New York]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.agostinoarts.com/"} +{"d:Title": "Second Look", "d:Description": "Award winning face painting artist with original, theme park style designs for family entertainment at parties and corporate events. [Central New Jersey]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.secondlook.info/"} +{"d:Title": "FairyDust Faces", "d:Description": "Face painting, body art, henna, glitter and temporary tattoos. Catering to corporate events and children's parties. [Sonoma County and Marin County, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.fairydustfaces.com/"} +{"d:Title": "Masquerade Face and Body Art", "d:Description": "Provides fantasy face painting and body art, henna, temporary tattoos, and specialty makeup for events in the greater Baltimore metropolitan area, Southern Pennsylvania, and Washington DC. Portfolio and references available.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.masqueradefaceandbodyart.com/"} +{"d:Title": "Annie's Funny Faces", "d:Description": "Professional face painting for all occasions including parties, corporate events. [Plymouth, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.anniesfunnyfaces.co.uk/"} +{"d:Title": "Airbrush By Amy", "d:Description": "An airbrush face and body painter doing kids/children's parties, adult fantasy parties, photo shoots. [Austin, Texas]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.airbrushbyamy.com/"} +{"d:Title": "Facetastic", "d:Description": "Fun face and body painting for children of all ages. Face painters are available for both private and corporate events. [Leicestershire, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.facetasticfacepainting.co.uk/"} +{"d:Title": "Fun Face Painting", "d:Description": "Artist Lilly Walters offers face painting and crazy hair art. Additionally, she has published an e-book as well as several pages of information and design galleries to help new painters. [Claremont, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.funfacepainting.com/"} +{"d:Title": "Face Painter", "d:Description": "Professional face painting, temporary tattoos and funky hair for parties and events. [Requires Flash] [Sunbury on Thames, United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://aboutfaces.co.uk/"} +{"d:Title": "Faces For Fun", "d:Description": "Face painting by Fran for birthday parties, weddings, christenings, corporate events, fun days and fundraising events. She also offers balloon art, glitter tattoos, nail art, and mini makeovers. [United Kingdom]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://facesforfun.com/"} +{"d:Title": "WOW Artistry", "d:Description": "Home of professional face painter Liz Alaniz, WOW provides face painting, temporary tattoos, and airbrush tanning. Has an extensive gallery of high resolution photos demonstrating her art. [San Antonio, TX]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://wowartistry.com/"} +{"d:Title": "Joyful Face Painting", "d:Description": "Home page of Val Martens, a professional face painter serving parties and events. [Edmonton, Alberta]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://joyfulfacepainting.com/"} +{"d:Title": "Lost In Face", "d:Description": "Mother and daughter team, contact details and small picture gallery. [Denver, Colorado]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.lostinface.com/"} +{"d:Title": "Alys", "d:Description": "Professional face painter [London, UK]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.alysfacebodyart.com/"} +{"d:Title": "Pigment Pie", "d:Description": "Kristi Darby offers face painting, body painting, glitter tattoos, henna, and crazy hair. [Kansas City, MO]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.pigmentpie.com/"} +{"d:Title": "Face Painting Fairy", "d:Description": "Kristie Murphy is serving events for all occasions. [Adelaide, South Australia]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://facepaintingfairy.com.au/"} +{"d:Title": "Faces by Nicola", "d:Description": "Face painting services for parties, corporate and community events. [Perth, Australia]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.facesbynicola.com/"} +{"d:Title": "Rock Your Body Art", "d:Description": "Allison provides professional face and body painting, henna tattoos, airbrush tattoos for private parties and corporate events. [Chicago, Illinois]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.rockyourbodyart.com/"} +{"d:Title": "Gin C Productions", "d:Description": "Professional hair and make-up artist/trainer, supplying airbrushed and traditional face paint designs for themed events and training workshops. Picture gallery and contact information. [Massachusetts]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://thebostonfacepainters.com/"} +{"d:Title": "Extreme Face Art", "d:Description": "Home of artist Loretta Kava. She specializes in face and body painting, airbrush and glitter tattoos, and henna art. [Sacramento, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://extremefaceart.wix.com/highjinks"} +{"d:Title": "Jazzy J", "d:Description": "Based in New Jersey. Also offering bodypainting for parties or commercial events. Shows photographs of her work.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.jazzyjfaceandbodyart.com/"} +{"d:Title": "By Karina", "d:Description": "Also offers theatrical makeup, body painting and horse decoration. Profile, galleries of her work and client list. Based in Los Angeles, California.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.bykarina.com/"} +{"d:Title": "Face Art by Pnina", "d:Description": "Based in Brooklyn, New York. Specializes in childrens' parties. Offers a gallery of her work and testimonials.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.myfaceart.com/"} +{"d:Title": "Funtastic Faces by Diane", "d:Description": "Serves the metro Detroit, Michigan area. Also offering body painting and wall art. Includes a gallery of pictures and testimonials.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://dianesfuntasticfaces.com/"} +{"d:Title": "Elite Face Painting", "d:Description": "Scotland, UK based. Provides a gallery of pictures.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.elitefacepainting.com/"} +{"d:Title": "Face Creations by April", "d:Description": "Based in Utah, USA. Also offers body painting, glitter tattoos and henna. Offers a gallery and testimonials.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.facepainter4u.com/"} +{"d:Title": "The Tattooed Lady Face Painting", "d:Description": "Located in Calgary, Alberta, Canada. Also offers glitter tattoos and hair feathers.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.thetattooedlady.ca/"} +{"d:Title": "Peg FX", "d:Description": "Serving south-east Michigan. Galleries of her designs and FAQs.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.pegfx.com/"} +{"d:Title": "Alicia's Face Painting", "d:Description": "Based in New York City. Workshops also offered. Displays photographs of her work and shares a bit about the painter.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.aliciasfacepainting.com/"} +{"d:Title": "Mia's Face and Body Painting", "d:Description": "Based in Alberta, Canada, working internationally. Also offers workshops. Includes her media appearances and articles about her.", "topic": "Top/Arts/Bodyart/Bodypainting/Facepainting/Artists", "url": "http://www.facepainter.ca/"} +{"d:Title": "Bodypainting.at", "d:Description": "Bodypainting portfolio displaying the work of European Vice Champion 2001 Mike Shane.", "topic": "Top/Arts/Bodyart/Bodypainting/Image_Galleries", "url": "http://www.bodypainting.at/"} +{"d:Title": "DVNC Arts", "d:Description": "A portfolio of fantasy work, by Robert Johnson, covering the human form. Also contains links, and writings pertaining to this artform.", "topic": "Top/Arts/Bodyart/Bodypainting/Image_Galleries", "url": "http://www.dvnc-arts.com/"} +{"d:Title": "Bindi", "d:Description": "Information about tattoos, henna paste ingredients, aftercare, and how henna works on the skin. Includes a brief history and merchandise.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.bindi4u.co.uk/"} +{"d:Title": "Henna Mehndi", "d:Description": "Features a discussion on the body decoration practices in India and Arabic cultures. Open to questions and the sharing of knowledge. Membership information.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://groups.yahoo.com/group/HennaMehndi/"} +{"d:Title": "Mehndi", "d:Description": "Information regarding how long the stain will last after application and a description of what it is like to have henna body art done.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.puja.com/mehndi/"} +{"d:Title": "Hindustanlink - Mehandi", "d:Description": "Designs for the hands and feet. Artwork submissions accepted.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.hindustanlink.com/mehanditxt/hands.htm"} +{"d:Title": "Henna Caravan", "d:Description": "FAQ section, application techniques, image gallery, and black henna information.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.hennacaravan.com/"} +{"d:Title": "Mehndi Man", "d:Description": "Image gallery and henna application information.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.mehndiman.org/"} +{"d:Title": "Henna Expressions", "d:Description": "Supplies, information, designs, background details, and body care items.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.angelfire.com/indie/hennaworld/index.html"} +{"d:Title": "The Henna Page", "d:Description": "A page devoted to the practicalities of using henna for body decoration. Application instructions, links, message forum for henna artists and those just learning the art of mehndi.", "priority": "1", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.hennapage.com/henna/"} +{"d:Title": "Henna Tattooing", "d:Description": "Background and historical information on this ancient art. Recipes and methods of application, tips to getting a good stain, and an artist directory.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.bdancer.com/med-guide/suppl/henna.html"} +{"d:Title": "International Certification For Natural Henna Artists", "d:Description": "Volunteer peer assessment for Henna Artists. Tests and certifies a henna artist\u2019s understanding of the fundamental principles of henna, skin, hygiene, safety, culture and traditions.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.icnha.org/"} +{"d:Title": "Mehndi Designs", "d:Description": "Images available for download on various mehndi designs.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi", "url": "http://www.kamranweb.com/mehndidesigns/"} +{"d:Title": "The Art of Mehndi - Making Your Own Cones", "d:Description": "A guide to making your own cones at home. [About.com]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://tattoo.about.com/library/weekly/aa062400a.htm"} +{"d:Title": "Black Henna - PPD Information", "d:Description": "Warning page about the dangers of black henna containing P-Phenylenediamine (PPD).", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://www.hennapage.com/henna/ppd/printout.html"} +{"d:Title": "Mehendi: Dye for Marriage", "d:Description": "A look at how this beautiful reddish dye has become synonymous with Hindu weddings, and has made waves in the West. [About.com]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://hinduism.about.com/library/weekly/aa113000a.htm"} +{"d:Title": "The Exotic Hip", "d:Description": "Discusses the westernization of mehndi and how the media helped to turn a ceremonial ritual into a fad. By Bhumika Muchhala. [Zine 375]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://zine375.eserver.org/issue2/zine11.html"} +{"d:Title": "The Art of Mehndi - Creating Patterns", "d:Description": "A simple guide with some suggestions on getting you started in making your own designs. [About.com]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://tattoo.about.com/cs/hennadesign/l/aa071400a.htm"} +{"d:Title": "The Art of Mehndi - Henna Paste Recipe", "d:Description": "Instructions on making your own paste and a simple recipe you can follow. [About.com]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://tattoo.about.com/cs/hennamenu/a/henna_recipe.htm"} +{"d:Title": "The Art of Mehndi - Introduction and History of Henna", "d:Description": "A short history about this ancient body art. [About.com]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://tattoo.about.com/cs/hennamenu/a/henna_intro.htm"} +{"d:Title": "Mehndi - The Vital Ingredient in an Indian Wedding", "d:Description": "Describes the importance of mehndi in the Indian wedding ceremony. Also contains step-by-step instructions to making your own paste.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Articles", "url": "http://www.mehendiworld.com/mehndi-article-wedding.htm"} +{"d:Title": "Crescent Moon Designs", "d:Description": "Henna art for bodies, drums and clothing. Private appointments, henna for events, parties and weddings,henna training workshops, henna art supplies and gifts. Photo gallery including examples of bridal designs. [San Diego, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.cmoondesigns.com/"} +{"d:Title": "Jenna Henna", "d:Description": "Home page only, with no image gallery. [Kansas City, Missouri]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.angelfire.com/mo2/jennahenna/welcome.html"} +{"d:Title": "Henna Artisans - The Mehndi Art", "d:Description": "Variety of traditional and intricate Henna Designs. Information about henna tattoos, paste ingredients, aftercare, and history. Includes nice gallery with some very elaborate bridal designs. [North Finchley, London]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://web.onetel.net.uk/~smehta/index.htm"} +{"d:Title": "Gingers House of Mehndi", "d:Description": "Instructions on how to apply body art, small image gallery, contact and appointment information. [Denver, Colorado]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.users.qwest.net/~jwdavis/"} +{"d:Title": "Shilp Mehndi", "d:Description": "Indian henna services, a small design gallery, contact details, and brief history on the art. [Sunnyvale, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.shilpmehndi.com/"} +{"d:Title": "Mehendi World", "d:Description": "Historical and application information, drawings of designs by Rashmi Jain, and contact details for those interested in learning the art form. [Nar Narayan Ashram, India]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.mehendiworld.com/"} +{"d:Title": "lumanessence", "d:Description": "Artist Luma Briec shows her gallery of work ranging from traditional to modern. Free designs, contact information. In French and English. Montreal.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.lumanessence.com/"} +{"d:Title": "Barbee Cain", "d:Description": "Gallery of Barbee's henna and body painting designs. Contact information and product details. [Orlando, Florida]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.barbeecain.com/"} +{"d:Title": "Enrapturing Revisions", "d:Description": "Services for private parties including henna, body painting and costumes. Site contains some artistic nudity. [New Orleans, Louisiana]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.rosemaryi.com/"} +{"d:Title": "The Henna Girl from Miami", "d:Description": "Available for parties, fairs and festivals, corporate events, grand openings, bridal showers, and other special events. Minimal pictures of henna art, site mainly focuses on body paint. [Miami/Ft. Lauderdale, Florida]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.thehennagirl.com/"} +{"d:Title": "Hasina Mehndi and Body Art", "d:Description": "Certified artist specializing in Arabic, Indian, pregnant belly and modern contemporary mehndi and henna application. Available for events or private appointments. Extensive image gallery including many paste-off pictures.[Winnipeg, Canada]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.winnipeghenna.com/"} +{"d:Title": "Henna/Mehndi Art in Boston", "d:Description": "Mehndi photo gallery by Deepal specializing in Bridal designs (Indian, Arabic and Morrocan styles). Available for private appointments, fundraisers, parties and any other events. [Boston]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://hennabydeepal.tripod.com/"} +{"d:Title": "HennaChick", "d:Description": "Henna artist in Tulsa. Gallery, info about henna for hair, and contact information. Gallery has mostly simple designs and one example of a bridal design. Tulsa, Oklahoma", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennachick.com/"} +{"d:Title": "Henna Sooq", "d:Description": "Henna artist for your special occasion. Henna supplies, gallery of work, FAQs, and contact info. Canada.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennasooq.com/"} +{"d:Title": "Henna Body Art", "d:Description": "Temporary tattoo, body painting, Arabic designs and necklace tattoo.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennabodyart.com.au/"} +{"d:Title": "Henna by Holly", "d:Description": "Internationally-certified natural henna artist, available for appointments, parties and events, teaching workshops and selling supplies. Based in Toronto, Ontario Canada", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennabyholly.com/"} +{"d:Title": "Saf Henna", "d:Description": "A freelance henna artist based in Ilford, East London, specialize in traditional designs, Bridal designs, Arabic designs and customize designs. Gallery of Arabic style henna photos.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.safhenna.com/"} +{"d:Title": "HennaVancouver", "d:Description": "Provides henna body art services, supplies and workshops to the Vancouver Lower Mainland and suburbs. Provides photo gallery and workshop details.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennavancouver.com/"} +{"d:Title": "DesignerHenna", "d:Description": "Specializing in original bridal henna, glitter and tattoo motifs. Rates and pictures.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.designerhenna.co.uk/"} +{"d:Title": "Hummingbird Henna Design", "d:Description": "Hummingbird Henna, specializing in safe, natural Henna Body Art. Serving the Denver Metro area and beyond. Nice photo gallery.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hummingbirdhenna.com/"} +{"d:Title": "Hennastudio, New York", "d:Description": "Hennastudio.com exhibits the New York Artist's bodyart work, articles, History about henna, Tips and instruction and contact information. Some nice gallery photographs.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennastudio.com/"} +{"d:Title": "Dragonfly Designs Henna", "d:Description": "Mehndi application for weddings, parties, corporate and charitable events, mother blessings and private appointments. Online shopping for henna supplies (powders, applicators, kits). Galleries, FAQs and contact information. [Fredericton, New Brunswick, Canada]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.dragon-fly-designs.ca/"} +{"d:Title": "Riffat", "d:Description": "Specialising in Indian bridal mehndi using traditional as well as contemporary designs. Bridal gallery, history and henna class information.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.riffat.co.uk/"} +{"d:Title": "Timid Tattoo", "d:Description": "Services, client list, Contact information, photos, and history of henna.[Tarzana, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.timidtattoos.com/"} +{"d:Title": "Mehndi by Marcy", "d:Description": "Custom design details, portfolio, rates, and general information. [Texas]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.mehndibymarcy.com/"} +{"d:Title": "Tejal's Henna", "d:Description": "Artist background information, product history, gallery, and contact details. [Orlando, Florida]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.tejalhenna.com/"} +{"d:Title": "Nisha Mehndi Art", "d:Description": "Traditional Indian, Arabic, Zardosi and modern Mehndi patterns. Gallery of work, contact and artist information. [London]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.nishamehndi.co.uk/"} +{"d:Title": "The Original Henna Company", "d:Description": "Information on event availability such as Bat/Bar Mitzvahs, corporate events, showers, as well as individuals. [Houston, Texas]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennacompany.com/"} +{"d:Title": "Mehndi Time by Anju Garg", "d:Description": "Bridal Mehndi gallery. FAQs, contact information. Based in Houston, Texas.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://mehnditime.com/"} +{"d:Title": "Henna Designer", "d:Description": "Natural henna designs in traditional henna and modern mehndi designs on body and crafts. Several photo galleries of henna art by the artist. Boston MA", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.hennadesigner.com/"} +{"d:Title": "Henna by Kenzi", "d:Description": "Body art for party, wedding, shower, opening, photo shoot, fashion show or film. Traditinoal to modern styles and custom work. Gallery, henna supplies, calendar or events, and contact info. New York.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.kenzi.com/"} +{"d:Title": "Henna by Elizebeth", "d:Description": "Henna art in the Savannah Georgia area. Includes rates, contact information and several photo galleries.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://www.ancientthreads.com/"} +{"d:Title": "Stroke of Luck Henna Designs", "d:Description": "Providing mehndi services, an image gallery appointment information. [Northern Virginia, Greater Washington, D.C. and Baltimore, MD]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://strokeofluckhenna.wordpress.com/"} +{"d:Title": "Henna Creations Inc.", "d:Description": "Private appointments for henna and bindi application. There are a couple of images of henna art, none of the bindis. [Tulsa, Oklahoma]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://hennacreations.tripod.com/"} +{"d:Title": "Mehndi Art by April", "d:Description": "History of henna, recipes, and various links to suppliers. A couple of photos of henna in the tattoo style. [Portland, Oregon]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Artists", "url": "http://star-grazer.tripod.com/mehndi.html"} +{"d:Title": "Laurel's Mehndi", "d:Description": "Comprehensive information on the history of henna in Indian, North Africa, and Southeast Asia. Photo gallery and helpful hints section.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Personal_Pages", "url": "http://www.angelfire.com/la/laurelmehndi/"} +{"d:Title": "The Art of Henna", "d:Description": "Provides background knowledge and various ways to use henna as a body paint. African and Indian traditions are described.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Personal_Pages", "url": "http://henna.baryah.com/"} +{"d:Title": "Mehandi.com", "d:Description": "History and methodology of mehandi. Includes photographs, drawings and downloadable designs for people interested in trying out this form of body decoration.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Personal_Pages", "url": "http://www.mehandi.com/"} +{"d:Title": "Mehndi Mecca", "d:Description": "Offers information about the art, online courses, photo gallery, chatroom, message board, free mehndi mail, and a sketch book where you can create your own designs.", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Personal_Pages", "url": "http://www.angelfire.com/ak/anakee/mehndi.html"} +{"d:Title": "Mehendi by Usha Shah and Ekta Shah", "d:Description": "Experienced artist with many achievements, including body art done on Hollywood stars. They apply up to 1000 henna designs in a day. Includes a large design page that highlights traditional and modern artwork. [Mumbai, India]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.mehendiart.com/index.htm"} +{"d:Title": "Fe's Emporium", "d:Description": "Product catalog, events section, image gallery, appointment and contact information. [Houston, Texas]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://fesemporium.tripod.com/"} +{"d:Title": "Helwa Henna", "d:Description": "California artists that offers traditional as well as modern designs. Art books for design ideas and to view their work, tips on henna mixing and application. [Stockton, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.angelfire.com/biz/HelwaHenna/index.html"} +{"d:Title": "Henna Art by Lernie and Pla", "d:Description": "Specialize in henna tattoos, bindi body dots and body/face painting. Many of their designs are included in an image gallery. [Orange County, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.lernie.com/henna.html"} +{"d:Title": "Henna Garden", "d:Description": "A variety of artists specializing in different design styles. Contains information on the history and uses of henna to heal, condition, cleanse and decorate the skin. [Berkeley, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.hennagarden.com/"} +{"d:Title": "Henna Artisans", "d:Description": "London based artists, specializing in intricate bridal, ethnic, Arabic and contemporary designs. Gallery of past works, appointment information, an 'about' area detailing ingredients and historical information. [Finchley, London]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.hennaweb.com/"} +{"d:Title": "Phoenix and Arabeth", "d:Description": "Image gallery, appointment information, tattooing, flash, henna, design books, bodypainting, and instruction books. [Ukiah, California]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.tattooheaven.com/tatHev.html"} +{"d:Title": "Hennaboy", "d:Description": "Appointment information, black henna updates, and a products area. [Brighton, East Sussex, UK]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.henna-boy.co.uk/"} +{"d:Title": "Henna Healing", "d:Description": "Services available on or off site. Gallery, intentional henna explanations, and contact details. [Vashon]", "topic": "Top/Arts/Bodyart/Bodypainting/Mehndi/Studios", "url": "http://www.motherhenna.com/"} +{"d:Title": "The Body Modification Forums", "d:Description": "Message board for those interested in discussing piercings, tattoos, mehndi, modifications, and implants.", "topic": "Top/Arts/Bodyart/Chats_and_Forums", "url": "http://bodypiercingtattooingandbodymodification.yuku.com/"} +{"d:Title": "ASC Tattoo Directory", "d:Description": "Alphabetical listing of artists and studios as well as listings of piercing facilities, henna artists, permanent cosmetics, organizations, schools, museums, suppliers, vendors, and a calendar of related events.", "topic": "Top/Arts/Bodyart/Directories", "url": "http://www.tattoodirectory.com/"} +{"d:Title": "Tattoo-Guide", "d:Description": "Provides search of studios sorted by country.", "topic": "Top/Arts/Bodyart/Directories", "url": "http://tattoo-guide.de/"} +{"d:Title": "National Geographic: Tattos, Piercings, and Body Markings", "d:Description": "Features photographs by Chris Rainier showing tattoos, piercings, and scarification in cultures around the world.", "topic": "Top/Arts/Bodyart/Image_Galleries", "url": "http://www.nationalgeographic.com/tattoos/index.html"} +{"d:Title": "Artmag", "d:Description": "Pictures of tribal, color, and oriental tattoos. Also body piercing, Asian calligraphy, and links.", "topic": "Top/Arts/Bodyart/Image_Galleries", "url": "http://users.skynet.be/artmag/English/index.html"} +{"d:Title": "The Body as Canvas", "d:Description": "Indians for centuries have used the human body as a medium of art and religious expression. A pictorial exhibition of henna, tattoos, and other adornments.", "topic": "Top/Arts/Bodyart/Image_Galleries", "url": "http://www.kamat.com/indica/culture/bodyart/index.htm"} +{"d:Title": "Roy Dale Hall's Art", "d:Description": "Original, body adornment style artwork in a thumbprint format.", "topic": "Top/Arts/Bodyart/Image_Galleries", "url": "http://sunflower1989.tripod.com/roy1.html"} +{"d:Title": "Maryland", "d:Description": "Senate Bill regarding tattoo artists and body piercing artists. (Dec. 1997)", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://mlis.state.md.us/1997rs/billfile/sb0284.htm"} +{"d:Title": "Utah", "d:Description": "House Bill regarding parental consent laws relating to body piercing and tattooing. [HB 390]", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://www.le.state.ut.us/~1998/htmdoc/hbillhtm/HB0390.htm"} +{"d:Title": "Arizona", "d:Description": "Fact sheet regarding tattooing, body piercing, and minors. [H.B. 2124]", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://www.azleg.state.az.us/legtext/43leg/1r/summary/s.2124.fs.htm"} +{"d:Title": "Arizona", "d:Description": "House Bill, revised, regarding tattoo parlors and minors. [HB2513 - 431R - I Ver]", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://www.azleg.state.az.us/legtext/43leg/1r/bills/hb2513p.htm"} +{"d:Title": "Maryland", "d:Description": "House Bill regarding tattoo artists and body piercing artists. [HB 0386, December 1997]", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://mlis.state.md.us/1997rs/billfile/hb0386.htm"} +{"d:Title": "South Dakota", "d:Description": "Laws and guidelines set forth regarding sanitation requirements for tattooing and body piercing establishments. [Section 44:12]", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://legis.state.sd.us/rules/DisplayRule.aspx?Rule=44:12"} +{"d:Title": "Texas", "d:Description": "Legislature regarding the licensing, health, and safety of tattooists and piercers. [76(R) SB 61]", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://www.legis.state.tx.us/tlodocs/76R/billtext/html/SB00061F.htm"} +{"d:Title": "Texas Department of Health Services - Tattoo and Body Piercing Studios", "d:Description": "Provides general information, rules and regulations, and studio requirements.", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://www.dshs.state.tx.us/dmd/tattoo.shtm"} +{"d:Title": "Maine: Body Piercing Statutes", "d:Description": "Professions and Occupations section regarding body piercing. Includes; definitions, safety standards, piercing of minors, license requirements, rules, penalties, and compliance and restriction information.", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://www.mainelegislature.org/legis/statutes/32/title32ch64sec0.html"} +{"d:Title": "Tattoodesign.com - Tattoo Laws", "d:Description": "Links relating to laws for the tattoo industry in a variety of countries.", "topic": "Top/Arts/Bodyart/Licensing_and_Regulations", "url": "http://www.tattoodesign.com/tattoo_articles/tattoo_laws/"} +{"d:Title": "Ink Brothers", "d:Description": "Articles, artist of the month, piercing galleries, tattoos, scarification and branding, as well as a message board and submission instructions.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://inkbrothers.com/"} +{"d:Title": "Body Play Magazine", "d:Description": "Non-fiction writings and photographs pertaining to body modification as a lifestyle, fetish, ritual, performance art and spiritual practice.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.bodyplay.com/bodyplay/index.htm"} +{"d:Title": "Tattoos.com E-zine", "d:Description": "Historical information from the tribal art of Borneo to the wood block prints of Japan. Alphabetical listing of studios around the world, events, links and an artist wanted section.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://tattoos.com/"} +{"d:Title": "My Tattoo Ink", "d:Description": "Image galleries, chat forum, FAQ section, web rings, convention listings and links.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.mytattooink.com/"} +{"d:Title": "Tattoo Life", "d:Description": "Features previews of actual articles relating to the trends and traditions of this art form and the influence that skin art has had on other art forms. Contact information and photographs.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.tattoolife.com/"} +{"d:Title": "Modified Mind", "d:Description": "Newsletter subscription, link directory for jewelry suppliers, forums, artists and studio listings. Also features reviews and a forum.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.modifiedmind.com/"} +{"d:Title": "Machinegun Magazine", "d:Description": "A forum for the exchange and discussion of ideas about tattooing and the equipment used. Previous issue section, contests, basic information and highlights.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.machinegunmagazine.com/"} +{"d:Title": "Tattoos Down Under", "d:Description": "Australian based magazine with photographs, convention information, artist and studio reviews as well as directory listings.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.tattoosdownunder.com.au/"} +{"d:Title": "Skin Deep and Skin Shots Tattoo Magazines", "d:Description": "Articles on the tattoo artists, conventions and ink from around the world. Includes forum, events diary, and information on subscriptions, latest publications, back issues and merchandise.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.skindeep.co.uk/"} +{"d:Title": "Prick Magazine", "d:Description": "Contains feature articles and archives. Piercing, tattoo and suspension galleries, links, and contact information.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.prickmag.net/"} +{"d:Title": "Every Tattoo Magazine", "d:Description": "E-zine that showcases categorized pictures of tattoos, an after care guide, convention list and forum.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.everytattoo.com/"} +{"d:Title": "Tattoo Information", "d:Description": "Information and articles on tattoos, piercing and extreme modification. Convention data, tattoo history and after care procedures.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.tattooinfo.net/"} +{"d:Title": "Tattooz.net", "d:Description": "Articles, interviews, image galleries and free flash. Also contains studio listings, a featured \"shop of the month\" section, various contests, advertising information, a chat forum and a help wanted section.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.tattooz.net/"} +{"d:Title": "Tattoo Artist Magazine", "d:Description": "A quarterly trade journal for the professional tattooer.", "topic": "Top/Arts/Bodyart/Magazines_and_E-zines", "url": "http://www.tattooartistmagazine.com/"} +{"d:Title": "Yahoo Groups: Art Soul Tattoo", "d:Description": "Addresses inquiries about tattooing and body piercing. Established by professionals who are contributors and columnists for various tattoo magazines. Membership information and message archive.", "topic": "Top/Arts/Bodyart/Mailing_Lists", "url": "http://groups.yahoo.com/group/Art-Soul-Tattoo"} +{"d:Title": "Yahoo Groups: Tattoo", "d:Description": "For those people who have, provide, design, and are interested in tattoos and body piercings. Membership information.", "topic": "Top/Arts/Bodyart/Mailing_Lists", "url": "http://groups.yahoo.com/group/tattoo"} +{"d:Title": "My Experience in Body Art", "d:Description": "Amanda's personal story of the tattoos and piercings she has collected over the years.", "topic": "Top/Arts/Bodyart/Personal_Pages", "url": "http://www.angelfire.com/tx3/XTa2GrlX/"} +{"d:Title": "Welcome To The Moon", "d:Description": "Julie Moon's experience with tattooing and piercing.", "topic": "Top/Arts/Bodyart/Personal_Pages", "url": "http://www.angelfire.com/ca2/tastyone/"} +{"d:Title": "Bent Tattoo", "d:Description": "Image gallery of previous works, flash sheets and body jewelry.", "topic": "Top/Arts/Bodyart/Personal_Pages", "url": "http://benttattoo.itgo.com/"} +{"d:Title": "Amy's Bodyart Page", "d:Description": "Photographs of personal adornments and links to other body modification pages.", "topic": "Top/Arts/Bodyart/Personal_Pages", "url": "http://members.tripod.com/~Mya_/index.html"} +{"d:Title": "Xiaan", "d:Description": "About his piercings; original tribal tattoo designs; collection of rants; long list of snappy answers to stupid bodyart-related questions.", "topic": "Top/Arts/Bodyart/Personal_Pages", "url": "http://bodyart.xiaan.com/"} +{"d:Title": "The Association of Professional Piercers", "d:Description": "A non-profit organization that offers FAQs and information.", "topic": "Top/Arts/Bodyart/Piercing", "url": "http://safepiercing.org/"} +{"d:Title": "Tribalectic", "d:Description": "Includes a discussion forum, user-submitted image gallery, and personal experience stories, as well as healing and aftercare information, a FAQ section, and a large products area.", "priority": "1", "topic": "Top/Arts/Bodyart/Piercing", "url": "http://www.tribalectic.com/"} +{"d:Title": "Blomdahl Medical AB", "d:Description": "Develops, manufactures, and markets ear piercing systems. Their corporate mission is to make ear piercing safer and easier for the consumer as well as for the practitioner.", "topic": "Top/Arts/Bodyart/Piercing", "url": "http://www.blomdahl.se/"} +{"d:Title": "How Jewish is Body Piercing", "d:Description": "By Rabbi Chaim Steinmetz. [Jewish Law Commentary]", "topic": "Top/Arts/Bodyart/Piercing/Articles", "url": "http://www.jlaw.com/Commentary/piercing.html"} +{"d:Title": "Piercing Our Past - Bod Mod in the Ancient World", "d:Description": "Body modification article by Janet Thompson. [Sexscrolls]", "topic": "Top/Arts/Bodyart/Piercing/Articles", "url": "http://www.sexscrolls.net/bodmod.html"} +{"d:Title": "Allergy Risk of Body Piercing", "d:Description": "Discusses European safety rules regarding the nickel content of jewelry and how it may account for a sharp increase in allergies to the metal. [BBC News Health section]", "topic": "Top/Arts/Bodyart/Piercing/Articles", "url": "http://news.bbc.co.uk/1/hi/health/263770.stm"} +{"d:Title": "Tongue Piercing Can Be Fatal", "d:Description": "Talks about the serious health problems related to body modification. [BBC News, Health section]", "topic": "Top/Arts/Bodyart/Piercing/Articles", "url": "http://news.bbc.co.uk/1/hi/health/399218.stm"} +{"d:Title": "Call for Skin Piercing Controls", "d:Description": "The Scottish Consumer Council is calling for tough new mandates. [BBC News, Scotland]", "topic": "Top/Arts/Bodyart/Piercing/Articles", "url": "http://news.bbc.co.uk/1/hi/uk/scotland/1356521.stm"} +{"d:Title": "So You Wanna Get an Unusual Piercing", "d:Description": "Step-by-step information about the process. Topics include finding a safe parlor, aftercare, changing jewelry, and removal.", "topic": "Top/Arts/Bodyart/Piercing/Articles", "url": "http://www.ehow.com/how-to_4845355_unusual-piercing.html"} +{"d:Title": "Body Piercing : British Medical Journal", "d:Description": "Article by Henry Ferguson, editor of the Body Art magazine.", "topic": "Top/Arts/Bodyart/Piercing/Articles", "url": "http://student.bmj.com/student/view-article.html?id=sbmj00011627"} +{"d:Title": "Sharp Images", "d:Description": "Information on procedure, aftercare, and jewelry selection. Also includes a question submission area and webring information.", "topic": "Top/Arts/Bodyart/Piercing/Personal_Pages", "url": "http://www.angelfire.com/mn2/sharpimages/"} +{"d:Title": "Jenn's Web Page", "d:Description": "Listing of links to related sites, image galleries, and articles. Also contains personal photos and commentary.", "topic": "Top/Arts/Bodyart/Piercing/Personal_Pages", "url": "http://ladyred72.tripod.com/index.html"} +{"d:Title": "Westport Body Piercing Studio", "d:Description": "Wide Range of piercings and jewelry. Contact and pricing information, aftercare and FAQ section. [Dundee, Scotland]", "topic": "Top/Arts/Bodyart/Piercing/Studios/Europe", "url": "http://www.bodypiercingstudio.co.uk/"} +{"d:Title": "Profound Piercing", "d:Description": "Information on above the waist piercing and piercers training courses. Includes aftercare, jewelry, press releases, links and contact details.", "topic": "Top/Arts/Bodyart/Piercing/Studios/Europe", "url": "http://www.profound-piercing.co.uk/"} +{"d:Title": "Pacific Body Jewellery", "d:Description": "Offers information on jewellery; metals and types. Covers safety, aftercare, piercing problems, and emergency information. View the artists' galleries, meet the piercers' and find out about their training seminars. [Vancouver, British Columbia]", "topic": "Top/Arts/Bodyart/Piercing/Studios/North_America/Canada", "url": "http://www.pacificbodyjewellery.com/"} +{"d:Title": "Infinite Body Piercing", "d:Description": "Image galleries, meet the staff section, books, links, and aftercare information. [Philadelphia, Pennsylvania]", "topic": "Top/Arts/Bodyart/Piercing/Studios/North_America/United_States", "url": "http://www.infinitebody.com/"} +{"d:Title": "Metal FX", "d:Description": "A selection of jewelry in stock. Female piercer available, shop pictures, directions, jewelry samples, and contact information. [Cambridge, Ohio]", "topic": "Top/Arts/Bodyart/Piercing/Studios/North_America/United_States", "url": "http://ink69.tripod.com/metalfx.htm"} +{"d:Title": "Warrior", "d:Description": "Includes a jewelry section, FAQ area, care sheets, location information, a chat forum, and photographs. [Philadelphia, Pennsylvania]", "topic": "Top/Arts/Bodyart/Piercing/Studios/North_America/United_States", "url": "http://www.warriorpiercing.com/"} +{"d:Title": "Inner Flesh Body Piercing", "d:Description": "Galleries of previous works, general information, and aftercare section, and contact details. [Denver, Colorado]", "topic": "Top/Arts/Bodyart/Piercing/Studios/North_America/United_States", "url": "http://banshee1.tripod.com/IF.html"} +{"d:Title": "Absolution Body Piercing", "d:Description": "Pricing, jewelry, piercing history, glossary, FAQs, links and contact information. [Christchurch, NZ]", "topic": "Top/Arts/Bodyart/Piercing/Studios/Oceania", "url": "http://www.absolution.co.nz/"} +{"d:Title": "Flesh Impressions Body Piercing", "d:Description": "Gold Coast studio offering a range of body piercing services and body jewellery. Contains images, piercing information, pricing and links.", "topic": "Top/Arts/Bodyart/Piercing/Studios/Oceania", "url": "http://www.fleshimpressions.com.au/"} +{"d:Title": "Health Educators, Inc.", "d:Description": "Classes, links, products, studio listings, and information for piercers, tattooists and health professionals dealing with body modification.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.hlthedu.com/"} +{"d:Title": "World's Only Tattoo School", "d:Description": "Prepares the students to convert their tattoo, permanent makeup, and piercing skills to art. Includes details pertaining to the school curriculum, schedule, and a synopsis.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.tattoo-school.com/"} +{"d:Title": "Airbrush Makeup", "d:Description": "Information on airbrush makeup techniques workshops involving hands-on training in central London.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.airbrush-makeup.com/"} +{"d:Title": "Tattoo School", "d:Description": "Information about on-line training, seminars, consulting, and one-on-one training.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.tattooschool.com/"} +{"d:Title": "Primal Instinct", "d:Description": "Intensive academic and hands on learning experience for piercers. Information on financing, terms, and conditions, as well as details on course objectives.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.learntoopierce.com/"} +{"d:Title": "Tattoos By Lisa Tattoo School", "d:Description": "Instructions offered in the art of tattooing.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.tattoosbylisa.net/"} +{"d:Title": "Body Painting Masterclass", "d:Description": "Workshops and classes offered in London by Carolyn Cowan. Features background information, class details, and image galleries.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.bodypaintingart.co.uk/"} +{"d:Title": "Academy Of Tattoo", "d:Description": "Provides video lessons in the art of tattooing.", "topic": "Top/Arts/Bodyart/Schools_and_Instruction", "url": "http://www.academyoftattoo.net/"} +{"d:Title": "Wildfire Tattoo and Body Piercing Salon", "d:Description": "Tattoo image gallery, contact information, and a visiting artist section. Piercing services are also available. [Cape Town, South Africa]", "topic": "Top/Arts/Bodyart/Studios/Africa/South_Africa", "url": "http://www.tattoo.co.za/"} +{"d:Title": "Basel Tattoo and Bodyart Studio", "d:Description": "Listing of services available, image gallery, flash, games, and message boards. Switzerland.", "topic": "Top/Arts/Bodyart/Studios/Europe", "url": "http://www.baseltattoo.com/"} +{"d:Title": "House of Pain Tattoo Center", "d:Description": "Image gallery, studio images, piercing information and links. Studios located all over Europe.", "topic": "Top/Arts/Bodyart/Studios/Europe", "url": "http://www.houseofpain-tattoo.com/"} +{"d:Title": "Blut and Eisen Tattoo and Piercing Studio", "d:Description": "Image galleries for each artist, contact and appointment information. [Berlin, Germany]", "topic": "Top/Arts/Bodyart/Studios/Europe/Germany", "url": "http://www.blut-und-eisen.de/"} +{"d:Title": "New Tribe Tattooing and Piercing", "d:Description": "Shop location and history, artist profiles and image galleries of previous works. Aftercare and frequently asked question sections on tattooing and piercing as well as information on custom services. Toronto, Ontario.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://www.newtribe.ca/"} +{"d:Title": "Rocky's Tattoo Parlor", "d:Description": "Galleries of tattoo and piercing work and contact information. Kamloops, British Columbia.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://rockystattoo.com/"} +{"d:Title": "Metal 'n' Ink", "d:Description": "Portfolio, pricing, aftercare information, and frequently asked questions. Hamilton, Ontario.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://www.metal-n-ink.ca/"} +{"d:Title": "Freedom Body Piercing and Tattoo", "d:Description": "Piercing gallery, aftercare instructions, studio rules and regulations, and contact information. Vernon, British Columbia.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://freedombodyart.com/"} +{"d:Title": "Lower East Side Studio", "d:Description": "Portfolio of artist Chris Moniz, frequently asked questions, and information on services provided. Toronto, Ontario.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://www.eastsidetattoo.com/"} +{"d:Title": "Abstract Arts", "d:Description": "Specializes in Tabori (Japanese hand painting). Also offers piercing services. Portfolios, aftercare tips, and frequently asked questions. Toronto, Ontario.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://www.abstractarts.com/"} +{"d:Title": "Yonge St. Tattoos", "d:Description": "Offering tattoos and piercings. Portfolios of each of the artists, instructions on aftercare, and information on their services. Toronto, Ontario.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://www.yongesttattoos.com/"} +{"d:Title": "Instinct Adornment", "d:Description": "Body piercing and henna studio. Portfolio, aftercare tips, and their philosophy. Kamloops, British Columbia.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://www.instinctadornment.com/"} +{"d:Title": "The Ink Spot", "d:Description": "Tattoo and piercing studio. Pricing information, gallery, events listings, and contact details. [Ottawa, Ontario]", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://www.theinkspot.ca/"} +{"d:Title": "Tat-A-Rama", "d:Description": "Custom work, image galleries, shop information, and links. They also offer modification, branding and piercing services. Toronto, Ontario.", "topic": "Top/Arts/Bodyart/Studios/North_America/Canada", "url": "http://tatarama.wordpress.com/"} +{"d:Title": "CM HURT", "d:Description": "Full service shop offering: body piercing, tattooing, branding, beading, pearling, implants, scarification, mehndi, temporary and permanent modifications, and body play. Orange County.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/California", "url": "http://www.cmhurt.com/"} +{"d:Title": "Energy Tattoo and Piercing", "d:Description": "Artist portfolios and background information, aftercare details, and location. Santa Barbara.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/California", "url": "http://www.energytattoo.com/"} +{"d:Title": "Studio City Tattoo and Body Piercing", "d:Description": "Portfolios and artists' profiles. Contact, location, and pricing information. Studio City.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/California", "url": "http://www.studiocitytattoo.com/"} +{"d:Title": "Zebra", "d:Description": "Piercing and tattoo services available. Image galleries, jewelry pictures, and custom work. Some adult content. Berkeley.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/California", "url": "http://www.mrzebra.com/"} +{"d:Title": "Primal Decor", "d:Description": "Shop images, portfolios, policies, and aftercare information. Eureka.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/California", "url": "http://www.primaldecor.com/"} +{"d:Title": "Transcend Tattoo Gallery", "d:Description": "Portfolio of tattoos and piercings, message board, and frequently asked questions. Branford.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Connecticut", "url": "http://www.transcendtattoo.com/"} +{"d:Title": "Explosive Tattoo", "d:Description": "Piercers profiles, tattoo artist portfolios, aftercare information, minor information, and appointment details. New Castle, Delaware.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Delaware", "url": "http://www.explosivetattoo.com/"} +{"d:Title": "Custom Body Art", "d:Description": "Tattoo and piercing. Image galleries and shop information. [Starke]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Florida", "url": "http://www.angelfire.com/art2/custombodyart/index.html"} +{"d:Title": "Beyond Taboo Tattoo Studio and Body Piercing", "d:Description": "Image gallery, contact information, piercing section, and links area. [Macon]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Georgia", "url": "http://www.sstattoo.com/"} +{"d:Title": "Timeless Tattoo", "d:Description": "Aftercare information on tattoos, piercing section and convention listings. Also features image galleries, a meet the staff area, hours of operation, and contact information.[Athens and Atlanta]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Georgia", "url": "http://www.timeless-tattoo.com/"} +{"d:Title": "Liberty Tattoos and Body Piercing", "d:Description": "Includes artists' photo galleries, aftercare advice, and directions. [Libertyville and Antioch]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Illinois", "url": "http://www.libertytattoos.com/"} +{"d:Title": "Wolf's Fine Line", "d:Description": "Award winning studio specializing in tattoos, body piercing, body modification, and henna body art. [Joliet]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Illinois", "url": "http://www.wolfsfineline.com/"} +{"d:Title": "Tatu Tattoo", "d:Description": "Tattoo and piercing studio including artist details, location and contact information. Located in Chicago.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Illinois", "url": "http://www.tatutattoo.com/"} +{"d:Title": "Tattoo Factory", "d:Description": "Sample gallery sorted both by artist and by style. Basic aftercare and safety information, piercing section, FAQs, customer testimonials, and flash. [Chicago]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Illinois", "url": "http://www.tattoofactory.com/"} +{"d:Title": "Proton Tattoo", "d:Description": "Information on branding, scarification, tattooing, and piercings, as well as a thumbnail gallery for each. Also features aftercare information, directions, and appointment details. [Rockford]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Illinois", "url": "http://www.protontattoo.com/"} +{"d:Title": "Bug a Boo and Lancelot", "d:Description": "Shop hours and appointment information, piercing and tattoo galleries, artist information, and a sterilization section.[Hammond]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Indiana", "url": "http://bugabootattoo.com/"} +{"d:Title": "Sanctuary Tattoo", "d:Description": "Appointment information, images of previous works, aftercare section, and shop photographs. Also features custom artwork by Chris Dingwell and Jennifer Moore. [Portland]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Maine", "url": "http://www.sanctuarytattoo.com/"} +{"d:Title": "Body FX Tattoo Studio", "d:Description": "FAQ, image gallery, and a \"meet the staff\" section. They also offer piercing services. [Baltimore]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Maryland", "url": "http://www.bodyfxtattoos.com/"} +{"d:Title": "Magnum Tattooing", "d:Description": "Shop background information and pictures. Artists' portfolios, links, location and contact information, and convention listings. Also features their sister shops; \"All in The Family Tattooing\" and \"The Amulet\" piercing studio. [Grand Rapids]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Michigan", "url": "http://www.magnumtattoo.com/"} +{"d:Title": "Lucky Monkey Tattoo Parlour", "d:Description": "Traditional, tribal and custom tattooing and piercing. Includes artists, events, products, links and contact details. [Ann Arbor]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Michigan", "url": "http://www.luckymonkeytattoo.com/"} +{"d:Title": "Liquid Swordz", "d:Description": "Piercing galleries, tattoo information, shop pictures, and location details, as well as artist profiles and personal photographs. [Ypsilanti]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Michigan", "url": "http://www.liquidswordz.biz/"} +{"d:Title": "Cactus Tattoo and Piercing", "d:Description": "Convention date listings, shop information, and image galleries. [Mankato]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Minnesota", "url": "http://www.cactustattoo.com/"} +{"d:Title": "Captive Elements", "d:Description": "Blaine. Artist background information, a large piercing gallery navigational by body part, and a convention archive and future event listings area. Contact information, piercing jewelry, and FAQ section are also available.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Minnesota", "url": "http://www.captive-elements.com/"} +{"d:Title": "Jeff's Tattoo", "d:Description": "St. Cloud. Three tattoo artists show off some of their work. Owner also experienced in ear piercing and body piercing. Hours, location, online special, price list for piercing.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Minnesota", "url": "http://jeffstattoo.com/"} +{"d:Title": "Scorpion Tattooing", "d:Description": "Contact information and hours of operation. Piercing and jewelry also available. All work and colors guaranteed. [Derry]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/New_Hampshire", "url": "http://scorpiontattooing.com/"} +{"d:Title": "Body Art Studios", "d:Description": "Tattoo and piercing shop located in Brooklyn. Includes artist biography, image galleries and information about the studio.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/New_York", "url": "http://www.bodyartstudios.com/"} +{"d:Title": "Body Designs", "d:Description": "General tattoo and piercing information, contact details, show listings, and a FAQ section as well as information on instructional piercing workshops. [Long Island]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/New_York", "url": "http://www.bodydesigns.com/"} +{"d:Title": "Blue Flame Tattoo", "d:Description": "Tattoo and piercing galleries, FAQs, aftercare section, links, and directions to shop. [Raleigh]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/North_Carolina", "url": "http://www.blueflametattoo.com/"} +{"d:Title": "Man's Ruin Tattoo", "d:Description": "All female staff offering images of previous works, a FAQ section, and information on tattoo and piercing services. [Asheville]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/North_Carolina", "url": "http://www.mansruintattoos.com/"} +{"d:Title": "Blue Byrd Tattoo Studio", "d:Description": "Flash, tattoo machines, piercing supplies, artist background information, and image galleries. [Dayton]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Ohio", "url": "http://www.bluebyrd.com/"} +{"d:Title": "Stained Skin Tattoo and Body Piercing Studio", "d:Description": "Meet the artist section, image galleries, links, aftercare instructions, contact and appointment information. [Columbus]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Ohio", "url": "http://www.stainedskin.com/"} +{"d:Title": "Inkhouse", "d:Description": "Features international artists; their portfolios and background information. Sections on body piercing, tattooing, allergies, and aftercare. Also includes an on-line store. Appointment and contact information. [Newcomerstown]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Ohio", "url": "http://ink69.tripod.com/inkhouse.htm"} +{"d:Title": "Crazyhorse Tattoo and Body Piercing Studio", "d:Description": "Featuring freehand artist, Crazyhorse and British piercing artist, Shazo. Also contains flash, Java chat area, and contact information. [Sardina]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Ohio", "url": "http://www.crazyhorsetattoo.com/"} +{"d:Title": "Mickys Tattoo", "d:Description": "Shop pictures, meet the staff area, image galleries, and contact information. Piercing services also available.[Butler]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Pennsylvania", "url": "http://members.tripod.com/mickys_tattoo/"} +{"d:Title": "Billy Jack's Tattoos and Piercings", "d:Description": "Site showcases much of this artists' work. Image gallery, appointment and contact information. [Bristol]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Tennessee", "url": "http://www.billyjackstattoos.com/"} +{"d:Title": "Scorpions Studios", "d:Description": "Artist information and image galleries, piercing section, and FAQ. [Houston]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Texas", "url": "http://www.scorpionstudiostattoo.com/"} +{"d:Title": "Texas Body Art", "d:Description": "Artists' image galleries, appointment information, and hours of operation. [West Houston]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Texas", "url": "http://www.texasbodyart.com/"} +{"d:Title": "Abstract Art Tattoo", "d:Description": "Featuring professional body art and piercing services. Artist portfolios and contact information. [Gloucester]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Virginia", "url": "http://www.abstractarttattoo.com/"} +{"d:Title": "Slave To The Needle", "d:Description": "Image galleries listed by artist, shop photographs, and background information. Also contains flash sets for purchase, a tour schedule, appointment information and pricing for services. [Seattle]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Washington", "url": "http://www.slavetotheneedle.com/"} +{"d:Title": "Jinx Proof Tattoo", "d:Description": "Features artist profiles, FAQ and directions. Removal services are also available.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Washington,_DC", "url": "http://www.jinxprooftattoos.com/"} +{"d:Title": "Fatty's Custom Tattooz and Body Piercing", "d:Description": "Features artist profiles, gallery, events, FAQ and aftercare information. [Dupont Circle]", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/Washington,_DC", "url": "http://fattyscustomtattooz.wordpress.com/"} +{"d:Title": "Mystic Tattoo", "d:Description": "Tattoos and piercing. Includes image gallery. Princeton.", "topic": "Top/Arts/Bodyart/Studios/North_America/United_States/West_Virginia", "url": "http://www.mystictattoo.net/"} +{"d:Title": "Primitive Body Piercing", "d:Description": "Sections on piercing, scarification, branding, and henna, as well as various image galleries, contact details, and a jewelry gallery, and an antique tattoo area. Perth, Western Australia.", "topic": "Top/Arts/Bodyart/Studios/Oceania/Australia", "url": "http://www.primitivebodypiercing.com/"} +{"d:Title": "Japan Tattoo Art", "d:Description": "Images, artwork, equipment information, artist and studio listing and links. [Japanese and English]", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.tattoo.ne.jp/"} +{"d:Title": "Vanishing Tattoo, The", "d:Description": "Offers image galleries, meanings of popular tattoo designs and symbols, lists of celebrity tattoos, historical information and contests. Explores tribal tattooing in different cultures around the world.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.vanishingtattoo.com/"} +{"d:Title": "Triangle Tattoo and Museum", "d:Description": "Located in Fort Bragg, California, and dedicated to the display of tattoo artifacts.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.triangletattoo.com/"} +{"d:Title": "Tattoo Design.com", "d:Description": "Studio directory, convention listings, FAQ, general piercing information, supplier list, book reviews, and an image gallery.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.tattoodesign.com/"} +{"d:Title": "The Tattoo Convention Calendar", "d:Description": "Features a 'Top 50' list of events, detailed information on various events with photographs, reviews, and jury details. Available in English and German.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.tattoo-convention.de/"} +{"d:Title": "The Tattoo Baby Doll Project", "d:Description": "An exhibition of work by Sherri Wood in collaboration with various artists. Includes an image gallery of adorned dolls, background information on the project and a description of its goals.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.daintytime.com/tattoo/"} +{"d:Title": "Tattoodles", "d:Description": "Custom artwork from various artists. Free tour, membership information, and a chat forum.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.tattoodles.com/"} +{"d:Title": "Ink Brothers", "d:Description": "Information on the progress of this site in the making. Future plans are included as well as contact details.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://inkbrothers.com/"} +{"d:Title": "Celebrity Tattoos", "d:Description": "Descriptions and photos of tattoos worn by historical figures and modern day celebrities.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.vanishingtattoo.com/celebrity_tattoos.htm"} +{"d:Title": "Artelino: The Magic of Art", "d:Description": "Introduction and background on Japanese tattoo art with reference to tattoo images in Japanese prints.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.artelino.com/articles/japanese_tattoo_art.asp"} +{"d:Title": "Everything about all tattoos", "d:Description": "Galleries of tattoos which are explained and commented. All kind of tattoos are represented. A Web ring exists, and the meanings of all common tattoos are explained.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://vilatattoo.free.fr/"} +{"d:Title": "Yahoo Groups: My Tattoo Shop", "d:Description": "For all interested in permanent body art. Membership information and description of group.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://groups.yahoo.com/group/MYTATTOOSHOP"} +{"d:Title": "Skin Artists", "d:Description": "Tattoo culture, care, design, portfolios of artists who work with airbrush, graffiti, skateboard design, digital art, illustration, graphic design, make up, body modification, body painting and body piercing.", "topic": "Top/Arts/Bodyart/Tattoo", "url": "http://www.skin-artists.com/"} +{"d:Title": "Icons of Flesh", "d:Description": "Outlines the history and relationship to sociopathy, specifically the \"Love/Hate\" knuckles. By James F. Hooper. [Psychiatry Online]", "topic": "Top/Arts/Bodyart/Tattoo/Articles", "url": "http://www.priory.com/tattoo.htm"} +{"d:Title": "The China Experience: Tattoo in Chinese Minorities", "d:Description": "Highlights the Drung and Dai traditions and history. [Travel China Weekly]", "topic": "Top/Arts/Bodyart/Tattoo/Articles", "url": "http://www.chinavista.com/experience/tattoo/tattoo.html"} +{"d:Title": "Soyouwanna Get a Tattoo", "d:Description": "Outlines safety, choosing a design, finding an artist, aftercare, and removal.", "topic": "Top/Arts/Bodyart/Tattoo/Articles", "url": "http://www.ehow.com/how-to_4845390_get-tattoos.html"} +{"d:Title": "Tattoos", "d:Description": "Brief selections regarding various aspects of permanent skin modifications including: health and safety, removal, and related links. [How Stuff Works]", "topic": "Top/Arts/Bodyart/Tattoo/Articles", "url": "http://health.howstuffworks.com/skin-care/beauty/skin-and-lifestyle/tattoo.htm"} +{"d:Title": "Tattoos Leave Mark", "d:Description": "By Barbara M. Dragza. Outlines the changes in tattoo clientele. [The Denver Business Journal]", "topic": "Top/Arts/Bodyart/Tattoo/Articles", "url": "http://www.bizjournals.com/denver/stories/1998/11/02/smallb2.html/"} +{"d:Title": "Tat2 Net", "d:Description": "Directory with a search engine, information about conventions, forum, photo galleries, and shop.", "topic": "Top/Arts/Bodyart/Tattoo/Directories", "url": "http://www.tat2.net/"} +{"d:Title": "AAA Tattoo Shop Directory", "d:Description": "Index of worldwide tattoo shops listed in a searchable database format. Shop-talk area for questions and chat.", "topic": "Top/Arts/Bodyart/Tattoo/Directories", "url": "http://www.aaatattoodirectory.com/"} +{"d:Title": "Tattoo Picture Designs", "d:Description": "View current tattoo news, tattoo events, and read about upcoming tattoo conferences. Also includes information on aftercare, and image galleries.", "topic": "Top/Arts/Bodyart/Tattoo/Directories", "url": "http://www.tattoo-picture-designs.com/"} +{"d:Title": "TattooFlash.info", "d:Description": "Links, message board, newsletter and chat forum.", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://tattooflash.info/"} +{"d:Title": "Chris Katt", "d:Description": "Dragons, fantasy creatures, skeletons, wizards and other themes as single designs and sheets.", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://www.angelfire.com/in4/chrisflash/"} +{"d:Title": "Runic Tattoos", "d:Description": "Illustrations and examples of runes as used in tattooing.", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://www.sunnyway.com/runes/tattoos.html"} +{"d:Title": "Tattoo Tribes", "d:Description": "Collection of high-resolution tattoos for bodies and cars.", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://www.tattootribes.com/"} +{"d:Title": "Flash and Furious", "d:Description": "Tattoo flash designs in several themed categories. Site in French.", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://www.flashandfurious.com/"} +{"d:Title": "White Buffalo Arts", "d:Description": "Tattoo flash designs in several categories.", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://white-buffalo.us/Site/FreeFlash/index.htm"} +{"d:Title": "Tattoos-101", "d:Description": "Numerous free tattoo designs in articles throughout the site.", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://tattoos-101.tattoofinder.com/"} +{"d:Title": "FontSpace - Free tattoo fonts", "d:Description": "Download 8 free fonts in the tattoo flash category for Windows and Mac", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://www.fontspace.com/category/tattoo%20flash"} +{"d:Title": "Free Flash by Melanie Paquin", "d:Description": "Several free tattoo designs by French Canadian artist Melanie Paquin", "topic": "Top/Arts/Bodyart/Tattoo/Flash", "url": "http://www.melpaquin.com/freeflash"} +{"d:Title": "Tattoos.ch", "d:Description": "Swiss tattoos site with gallery of tattoos from convention visits and visitor submissions.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tattoos.ch/"} +{"d:Title": "HyperspaceStudios", "d:Description": "The powerful artwork of Guy Aitchison and Michele Wortman. Tattoos, paintings, and photographs.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.hyperspacestudios.com/"} +{"d:Title": "Tattoo Pictures Museum", "d:Description": "John E. Holland's categorized tattoo flash galleries.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tattoo-pics-museum.com/"} +{"d:Title": "Strike The Box", "d:Description": "A collection of fire fighter design ideas. Also includes a World Trade Center tribute page and submissions instructions.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.strikethebox.com/"} +{"d:Title": "Tattoos By Shad", "d:Description": "Selection of previous works and background information, as well as links and contact details for this traveling artist. Available in French and English.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tattoobyshad.com/"} +{"d:Title": "Tattooz", "d:Description": "Thumbnail images of completed works and various flash designs.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://tattooz.0catch.com/"} +{"d:Title": "TattooNow.com", "d:Description": "View galleries of custom tattoos, designs, and original artwork.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tattoonow.com/"} +{"d:Title": "Dragonfly Ink Custom Tattoo", "d:Description": "Specializes in botanical and natural images. Located in San Francisco", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://dragonflyink.com/"} +{"d:Title": "Tattoo Designs Gallery", "d:Description": "Gallery of tattoo images categorised with free viewable thumbnails.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tattoos-by-design.co.uk/library/"} +{"d:Title": "Needles and Sins Tattoo Blog", "d:Description": "A weblog focusing on tattoos, tattoo culture, music, art, books.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.needlesandsins.com/"} +{"d:Title": "Tattoosday", "d:Description": "Meeting and appreciating body art in the New York City area through candid interviews with wearers as the author travels the city.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://tattoosday.blogspot.com/"} +{"d:Title": "Tattoos In Flight", "d:Description": "A gallery of aviation tattoos from around the world by tattoo artists displaying flying, space&aerospace images on skin.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tattoosinflight.com/"} +{"d:Title": "Art City Tattoo", "d:Description": "Selection of freehand and custom work as well as contact information.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.artcitytattoo.net/"} +{"d:Title": "Tattoo Artists", "d:Description": "Tattoo art photo gallery and community. Tattoo artists can post photos of their tattoos and chat with other artists.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tattooartists.org/"} +{"d:Title": "Contrariwise.org", "d:Description": "This is a website about literary tattoos. That is, tattoos based on books, poems, lyrics, and many other literary sources.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.contrariwise.org/"} +{"d:Title": "Tas Tattooing", "d:Description": "Thumbprint gallery of tribal style works, black and white artwork section, and an artist profile.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://josephdiaz.stormpages.com/tas.html"} +{"d:Title": "Siddhamrastu", "d:Description": "Gallery with many examples of blackwork tattoos.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://www.tomastomas108.com/"} +{"d:Title": "Jesse Britten Tattoo", "d:Description": "Features portfolio of Florida tattoo artist.", "topic": "Top/Arts/Bodyart/Tattoo/Image_Galleries", "url": "http://jessebrittentattoo.com/"} +{"d:Title": "Tattoo Club of Great Britain", "d:Description": "Includes a list of upcoming events, classified ads, list of tattooed celebrities, and information about the British Tattoo History Museum.", "topic": "Top/Arts/Bodyart/Tattoo/Organizations", "url": "http://www.tattoo.co.uk/"} +{"d:Title": "Alliance of Professional Tattooists", "d:Description": "APT is a national organization that promotes safety issues for the tattoo artist and consumer.", "priority": "1", "topic": "Top/Arts/Bodyart/Tattoo/Organizations", "url": "http://www.safe-tattoos.com/"} +{"d:Title": "Rae's Tattoo Gallery", "d:Description": "Photos and discussions about the meaning of her adornments.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.relache.com/tattoo/"} +{"d:Title": "Grubby's House of Body Art", "d:Description": "Tattoo convention information, galleries, flash, and tattoo Bible verse.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.angelfire.com/al3/tattoo/"} +{"d:Title": "Sal Franco", "d:Description": "Photos of work and information on a tattoo artist in the Southeastern New Mexico area.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.salfranco.50megs.com/"} +{"d:Title": "Eddie's Tattoo Page", "d:Description": "Features an image gallery; submissions accepted, as well as removal information, a FAQ, and links.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://tattoopage.tripod.com/index2.html"} +{"d:Title": "Tattooing and Anarchy by Cherry Cola", "d:Description": "An artist explores her world of eleven years of tattooing in the Hood and the Hills.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://cherrycola13.tripod.com/TattooingbyCherrycola13.html/"} +{"d:Title": "Andrew Moore Art", "d:Description": "Custom designs, a paintings and drawing section, artist profile, and contact information.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.andrewmooreart.com/"} +{"d:Title": "Blue Starr", "d:Description": "Tattoos and flash as well as other art.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.blue-starr.com/"} +{"d:Title": "Tattoo Artist Russell Parish", "d:Description": "Gallery featuring the work of Russell Parish, as well as background and contact details.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://russellparishtattoo.freeservers.com/index.html"} +{"d:Title": "Talon Studio Tattoo", "d:Description": "Featuring a portfolio of previous works by Raya, as well as tips, art work, merchandise, a convention and events list, and a flash section.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.talonstudiotattoo.com/"} +{"d:Title": "Yatush Art&Tattoo Site", "d:Description": "A portfolio of bodyart, paintings, photography, sculptures, drawings and flash.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.yatush.com/"} +{"d:Title": "Oktober's Tattoos", "d:Description": "Stories and pictures of tattoos collected since 1993, features stages of a full-body tribal tattoo.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.latebarradio.com/tattoos.html"} +{"d:Title": "Permanent Dedications", "d:Description": "Features tattoos inspired by music, bands and lyrics.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.angelfire.com/band/tattoos/"} +{"d:Title": "Dan Hazelton Tattooing", "d:Description": "Bio, flash, photos, information about conventions.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.danhazelton.com/"} +{"d:Title": "Cecelia Tattoo", "d:Description": "Portfolio of work, contact information and bio.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.ceceliatattoo.com/"} +{"d:Title": "Wendy Vigo - Cyberfolio", "d:Description": "Collection of artwork and tribal tattoo designs.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.knology.net/~wvigo"} +{"d:Title": "Tatoos", "d:Description": "Humorous look at tattoos.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://tatoos-tattos.com/"} +{"d:Title": "Scamp Tattoo", "d:Description": "Image gallery and narrative of Susan's tattoo travels. Artist: Trevor Marshall.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.scamptattoo.com/mytattoos.aspx"} +{"d:Title": "Dimon Taturin", "d:Description": "Celtic tattoo art by Estonian tattooist.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.taturin.com/"} +{"d:Title": "Ryan Dearringer", "d:Description": "Portfolio of custom tattoos and artwork.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.ryandearringer.com/"} +{"d:Title": "Tattoos By Stacy", "d:Description": "Galleries include large in-progress tattoos, paintings, flash, and people photos.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.tattoosbystacy.com/"} +{"d:Title": "PinoyTattoos", "d:Description": "Filipino tattoos, Baybayin and Alibata art.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.pinoytattoos.com/"} +{"d:Title": "Remis Tattoo", "d:Description": "Work by tattoo artist from Dublin.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.remistattoo.com/"} +{"d:Title": "Olaf Lobe Tattoos", "d:Description": "Gallery of tattoos.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://olaflobetattoos.com/"} +{"d:Title": "Lexy Monster", "d:Description": "Tattoos and artwork.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://lexymonster.com/"} +{"d:Title": "Mathias Riot", "d:Description": "Portfolio of custom tattoos by tattoo artist in Wilmington, Delaware.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.mathiasriot.com/"} +{"d:Title": "Ko e Tatatau", "d:Description": "Contains information about the Tongan tattoo traditions, its history and revival.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://tongan_tattoo.tripod.com/TonganTattoo/"} +{"d:Title": "RoryTattoo.com", "d:Description": "Custom designed contemporary tribal artwork portfolio, news section pertaining to recent competitions and events, as well as an aftercare information sheet.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://stormcrowtattoo.com/"} +{"d:Title": "Traditional Tattoo", "d:Description": "Gallery, appointment information, artist biography, and contact information.", "topic": "Top/Arts/Bodyart/Tattoo/Personal_Pages", "url": "http://www.traditionaltattoo.org/"} +{"d:Title": "CleanSlate, Inc.", "d:Description": "Gang recovery and removal program that helps to erase the visible signs of gang involvement. Information on various programs, counseling centers, and the staff.", "topic": "Top/Arts/Bodyart/Tattoo/Removal", "url": "http://www.cleanslatela.org/"} +{"d:Title": "TR Laser Clinic", "d:Description": "San Diego, California clinic that uses lasers in the removal process. Contact information, pricing, and a FAQ.", "topic": "Top/Arts/Bodyart/Tattoo/Removal", "url": "http://www.trlaser.com/"} +{"d:Title": "X Tattoo", "d:Description": "Program implemented by a Scottsdale, Arizona plastic surgeon and the City of Phoenix. Details and contact information.", "topic": "Top/Arts/Bodyart/Tattoo/Removal", "url": "http://www.plastic.org/xtattoo.html"} +{"d:Title": "Tattoo FAQ", "d:Description": "Discusses methods of removal, and programs for juveniles. [rec.arts.bodyart]", "topic": "Top/Arts/Bodyart/Tattoo/Removal", "url": "http://www.1134.org/tattoofaq/"} +{"d:Title": "Dr. Tattoff", "d:Description": "Description of laser services, client testimonials, locations, staff information,and pricing.", "topic": "Top/Arts/Bodyart/Tattoo/Removal", "url": "http://www.drtattoff.com/"} +{"d:Title": "How Tattoo Removal Works", "d:Description": "Discusses the process and provides information on the laser technology used. [How Stuff Works]", "topic": "Top/Arts/Bodyart/Tattoo/Removal", "url": "http://health.howstuffworks.com/skin-care/beauty/skin-and-lifestyle/tattoo-removal.htm"} +{"d:Title": "Buena Vista Tattoo Club", "d:Description": "Contains images of completed works. [W\u00fcrzburg, Germany]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe", "url": "http://www.buenavistatattooclub.de/"} +{"d:Title": "Flyer Tattoo", "d:Description": "Flash section, convention information, artist information, studio pictures, and a live webcam. [Austria]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe", "url": "http://www.flyertattoo.at/"} +{"d:Title": "Art on the Body", "d:Description": "Specializing in custom, ethnic, and historic design. Site available in Danish and English. [Copenhagen, Denmark]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe", "url": "http://www.tattoo.dk/"} +{"d:Title": "House of Tattoos", "d:Description": "Photograph gallery, frequently asked questions, care section, links, and directions to the studio. [Amsterdam, Netherlands]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe", "url": "http://www.houseoftattoos.nl/"} +{"d:Title": "Ninelives Tattooing", "d:Description": "Galleries of custom tattoos and paintings by Osti. Bottrop, Germany.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe", "url": "http://www.sodaspace.de/fuyl/"} +{"d:Title": "The Tattoo Studio", "d:Description": "Portfolio of previous work, flash for sale, and appointment information. Other types of artwork is shown in various galleries. [County Cork, Ireland]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe", "url": "http://ommtatt.tripod.com/tattoo.html/"} +{"d:Title": "Vida Loca Tattoo", "d:Description": "Large image galleries, links, contact information, hours of operation, and shop pics. [Helsinki, Finland]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe", "url": "http://www.vidaloca.fi/"} +{"d:Title": "Black Magic Tattoo", "d:Description": "Gallery of traditional, updated tribal, and blackwork. Contact information and shop locations. [Karlshamn, Ljungby]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe/Sweden", "url": "http://www.blackmagictattoo.com/"} +{"d:Title": "Viking Tattoo Studio", "d:Description": "View the shop pictures, browse through the artists' portfolios, or take a look at the coming events area. Also includes a FAQ section, aftercare sheet, and advice area. [Link\u00f6ping]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe/Sweden", "url": "http://www.vikingtattoostudio.se/"} +{"d:Title": "Red Fish Tattoo", "d:Description": "Artist biography, free flash, photos, links, and studio information. [Blekinge]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Europe/Sweden", "url": "http://user.tninet.se/~kid403l/"} +{"d:Title": "Tora Tattoo Studio", "d:Description": "Profile, aftercare, portfolio, contact information, and links. [Waterloo, Ontario]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://toratattoo.com/"} +{"d:Title": "New Moon Tattoo", "d:Description": "Brief tattooing and piercing FAQs, artist profiles, samples of various styles of artwork, including haida, tribal, Celtic, and bio-mechanical. [Ottawa, Ontario]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.newmoontattoo.com/"} +{"d:Title": "Bushido", "d:Description": "Artists' introductions and image galleries. [Calgary, Alberta]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.bushidotattoo.com/"} +{"d:Title": "Mike Austin's Custom Tattoos", "d:Description": "Image galleries, artists' information and a FAQ section. [London, Ontario]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.thidemann.com/"} +{"d:Title": "Joey the Inkslinger", "d:Description": "Live webcam featuring tattooing and piercing. [East Windsor, Ontario]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.joeytheinkslinger.20m.com/"} +{"d:Title": "Thomas Lockhart's West Coast Tattoo", "d:Description": "Owner and artist biography, contact information, image gallery, and related links. Also contains a museum section featuring antique flash, hand poking tools, rare photos, and early tattoo machines.[Vancouver, British Columbia]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.westcoasttattoo.com/"} +{"d:Title": "Raptor Tattoo", "d:Description": "Artist portfolios, contact information, and shop location details. [Edmonton, Alberta]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.raptortattoo.com/"} +{"d:Title": "Eternal Image Tattoo", "d:Description": "Profession services by Scott Veldhoen. Features background information, image galleries of previous works, and a flash section. [Calgary, Alberta]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.eternalimage.ca/"} +{"d:Title": "Minds Eye Tattoo and Body Piercing", "d:Description": "Image galleries, studio photos, aftercare information, artists' section, and appointment and location information. [Windsor, Ontario][Requires Flash]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://www.mindseyetattoo.com/"} +{"d:Title": "Pinski's Palace of Pain and Beauty", "d:Description": "Custom tattoos by Alik Pinski. Studio photos, aftercare tips, image galleries, and appointment and location information. Halifax, Nova Scotia.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/Canada", "url": "http://pinskis.com/"} +{"d:Title": "Black Wave Tattoo", "d:Description": "Artists' biographies and portfolios, contact and location information. [Los Angeles]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.blackwavetattoo.com/"} +{"d:Title": "Sunset Strip Tattoo", "d:Description": "Listing of artists with a selection of previous works, FAQ section, and links. [Hollywood]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.sunsetstriptattoo.com/"} +{"d:Title": "Malu Tattoo", "d:Description": "Fine art design services by April Love. Features a portfolio, background information, description of process involved, links, directions, and appointment information. [Hollywood]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.malutattoo.com/"} +{"d:Title": "Master Tattoo Studio", "d:Description": "Extensive gallery, customer spotlight, and \"Anatomy of a Tattoo\". [San Diego]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.mastertattoo.com/"} +{"d:Title": "Sacred Saint Tattoo and Art in Los Angeles", "d:Description": "The art of Brandon Notch. Contains multimedia image galleries, virtual walk-throughs, appointment and price information. Also features tattoo flash, biography and insight into tattoo culture. [Pasadena]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.sacredsaint.com/"} +{"d:Title": "Purple Panther Tattoos", "d:Description": "FAQ section, artist portfolios and background information, flash selection, and shop information including some photographs. [Hollywood]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.purplepanthertattoos.com/"} +{"d:Title": "Tattoo City", "d:Description": "History of studio, artist profiles, Ed Hardy's books and contact information. [San Francisco]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.tattoocitysf.com/"} +{"d:Title": "The World of Ozz", "d:Description": "Multiple galleries of dramatic work, photos from conventions, flash sets and related merchandise for sale. [Sunset Beach]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.worldofozz.com/"} +{"d:Title": "Zulu Tattoo", "d:Description": "Photographs of artists and image galleries of previous work. Also contains contact information and a link to the shop store. [Los Angeles]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.zulutattoo.com/"} +{"d:Title": "Guru Tattoo", "d:Description": "Custom adornments by Aaron Della Vedova. Artist profile, gallery of previous works, shop hours, and flash sets. [San Diego]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.gurutattoo.com/"} +{"d:Title": "Sinner's Ink", "d:Description": "Image gallery of work done by artist, Mr. White and information about his shop. [Gilroy]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.angelfire.com/ca7/sinnersink/"} +{"d:Title": "Incognito Tattoo", "d:Description": "Artist profiles and portfolios, contact information, and links. [Pasadena]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.incognitotattoo.com/"} +{"d:Title": "Pat Fish's Tattoo Santa Barbara", "d:Description": "A tattoo artist who specializes in Celtic designs. Appointment information, bibliography, image gallery, and flash. [Santa Barbara]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.luckyfish.com/"} +{"d:Title": "Idle Hand Tattoo Studio", "d:Description": "Artists' portfolios and an events calendar. [San Francisco].", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.idlehandsf.com/"} +{"d:Title": "Art and Soul Tattoo Co., Inc", "d:Description": "Custom work done by internationally published and award winning artists. Image gallery, artist list, and location details. [Los Angeles]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.artandsoultattoos.com/"} +{"d:Title": "Staircase Tattoo and Piercing", "d:Description": "Sections on tattoo and piercing services, artist portfolios listed by name and type, and contact information. [Santa Cruz]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.staircasetattoo.com/"} +{"d:Title": "Lucky 7 Tattoo", "d:Description": "Features a portfolio of various works from artist Corey Boobar. Includes a flash section, background information, and galleries. [Lake Tahoe]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.lucky7tattoo.net/"} +{"d:Title": "Analog Tattoo Arts Kolectiv", "d:Description": "Image galleries listed by artist. Also contains book information and merchandise. [San Jose]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://analogtattoo.com/"} +{"d:Title": "Laguna Tattoo", "d:Description": "Artists listed by name as well as a portfolio and background information on each. Also contains hours of operation and contact information. [Laguna Beach]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.lagunatattoo.com/"} +{"d:Title": "Nightwitch Body Art", "d:Description": "Tattoo and piercing salon presents photographs and artist biographies. [Fresno]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.nightwitchbodyart.com/"} +{"d:Title": "The Art of Tattooing by Tiffany Garcia", "d:Description": "A look at the artist's stylings. Includes photographs and profile. [Long Beach]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://tiffany-garcia.com/"} +{"d:Title": "805 Ink", "d:Description": "Tattoo and piercing shop presents artist biographies and portfolios. [Santa Barbara]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://805ink.com/"} +{"d:Title": "Above All Tattoo", "d:Description": "Presents photographs of work the artists have completed along with client comments. [San Diego]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://www.abovealltattoo.com/"} +{"d:Title": "Sacred Saint Tattoo", "d:Description": "Presents photographs of work-in-progress and finished designs, information on tattoo care and background. [East Pasadena]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://latattooartist.com/"} +{"d:Title": "Dusk 2 Dawn", "d:Description": "Work by award winning artist, Vance. Custom work; tribal, comics, and female designs. [Huntington Beach]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/California", "url": "http://d2dvance.com/"} +{"d:Title": "Hope Gallery Tattoo", "d:Description": "News, calendar of events, and artists' portfolios. New Haven.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Connecticut", "url": "http://www.hopegallerytattoo.com/"} +{"d:Title": "Shamrock Tattoo Company", "d:Description": "Samples of work provided. Located in West Hartford.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Connecticut", "url": "http://shamrocktattooco.com/"} +{"d:Title": "Tattoos by Lou", "d:Description": "General information, artists' galleries, and aftercare advice. [Miami]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Florida", "url": "http://www.tattoosbylou.com/"} +{"d:Title": "Good Clean Fun Tattoo Studio", "d:Description": "Flash in a wide variety of styles, artist portfolios and galleries. [Lawrenceville]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Georgia", "url": "http://www.goodcleanfun.net/"} +{"d:Title": "TNT Tattoos and Body Piercing", "d:Description": "Specialize in Hawaiian, tribal, and custom tattoos, as well as cover-ups, color, black and grey, and all styles of body piercing. Galleries available showcasing the various styles. [Aiea Oahu]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Hawaii", "url": "http://www.tnttattoo.com/"} +{"d:Title": "Deluxe Tattoo", "d:Description": "Artist listing with portfolios. Also contains contact and appointment information. [Chicago]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Illinois", "url": "http://www.deluxetattoo.com/"} +{"d:Title": "The Tattoo Lady", "d:Description": "General information, artist portfolios, and location detail s.[Hammond]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Indiana", "url": "http://www.thetattoolady.com/"} +{"d:Title": "Tattoo Charlie's of Kentucky", "d:Description": "Offers six studios in Louisville and Lexington. Also details of Charlie's Tattoo Museum, which showcases his collection tattoo memorabilia.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Kentucky", "url": "http://www.tattoocharlies.com/"} +{"d:Title": "Electric Art Tattoo and Piercing", "d:Description": "Artist portfolios, shop pictures and contact information. [Lexington]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Kentucky", "url": "http://www.electricarttattoo.com/"} +{"d:Title": "Absolute Pride Tattoo", "d:Description": "Specialty custom work as well as old school and new style. Thumbnail gallery of previous works, appointment information, and shop directions. [East Orland]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Maine", "url": "http://absolutepridetattoo.0catch.com/"} +{"d:Title": "Dragon Moon Tattoo", "d:Description": "Artists work spans traditional, fine-line, portrait, new Age, fantasy, tribal, and oriental. Slide show of work, FAQs, and guidelines for health and safety. [Glen Burnie]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Maryland", "url": "http://www.dragon-moon.com/"} +{"d:Title": "Black Anchor Tattoo", "d:Description": "Artists, links, awards and tattoo aftercare information. [Denton]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Maryland", "url": "http://www.blackanchortattoo.com/"} +{"d:Title": "Stinky Monkey Tattoos", "d:Description": "Gallery of work by each artist, convention schedule, and hours of operation. Kingston.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Massachusetts", "url": "http://www.stinkymonkeytattoos.com/"} +{"d:Title": "Ink Jam Tattoo Studio", "d:Description": "Artwork, gallery and aftercare instructions. Located in Arlington.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Massachusetts", "url": "http://www.inkjamtattoo.com/"} +{"d:Title": "Zaza Ink", "d:Description": "Tattoo and body piercing studio. Provides photographs and aftercare instructions. West Boylston.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Massachusetts", "url": "http://zazaink.com/"} +{"d:Title": "Miraculous Creations", "d:Description": "Professional body arts and jewelry sales, specializing in tattooing. Worcester.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Massachusetts", "url": "http://www.miraculouscreations.com/"} +{"d:Title": "Visions Tattoo, Piercing&Art Gallery", "d:Description": "Offers tattooing, body piercing and art gallery. Includes driving directions, aftercare, pricing and photographs. [Medway]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Massachusetts", "url": "http://www.visionstattoogallery.com/"} +{"d:Title": "Juli Moon Studio", "d:Description": "Decorative and cosmetic work available. Artist profiles and image galleries. [Lynn]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Massachusetts", "url": "http://julimoonstudio.com/"} +{"d:Title": "Ambrosia Tattoo Gallery", "d:Description": "Background information on owner/artist, David Herman. Image galleries and slide presentations, as well as location details, and contact information. [Oak Park]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Michigan", "url": "http://www.tatguy.com/"} +{"d:Title": "Art with a Point", "d:Description": "Featuring the specialized work of Ann Briem. Includes artist background information, an image gallery, appointment information, and words from previous clients. [Minneapolis]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Minnesota", "url": "http://www.artwithapoint.com/"} +{"d:Title": "Tiger Lily Tattoo", "d:Description": "Meet the artists' area, image gallery, links, driving directions, and contact information. [Stockton]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Minnesota", "url": "http://www.tigerlilytattoo.com/"} +{"d:Title": "Ozark Ink Tattoo", "d:Description": "Examples of previous works, a FAQ section, aftercare information, artist background details, and merchandise. [Ava]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Missouri", "url": "http://www.ozarkinktattoo.com/"} +{"d:Title": "Iron Brush Tattoo Studio", "d:Description": "Offering custom design work and original flash with samples displayed in thumbnail galleries. Also contains appointment and contact information. [Lincoln]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Nebraska", "url": "http://www.ironbrush.com/"} +{"d:Title": "Brew's Tattoo", "d:Description": "Images and information about the shop. [Plaistow]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_Hampshire", "url": "http://www.angelfire.com/nh/BrewsTattoo/"} +{"d:Title": "Kelly's Tattoo", "d:Description": "Image gallery, artist background, aftercare section, and contact information. [Lincoln]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_Hampshire", "url": "http://www.kellystattoo.com/"} +{"d:Title": "Tom Yak: Tattooer, Painter, Illustrator", "d:Description": "An artist dedicated to the tattoo business for over a decade. Image gallery, flash section, and contact information. [Point Pleasant]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_Jersey", "url": "http://www.tomyak.com/"} +{"d:Title": "Shotsie's Tattoo", "d:Description": "Artist information and portfolios, a merchandise area, event listings, and detailed directions to each of the two locations. [Wayne and Milford]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_Jersey", "url": "http://www.shotsiestattoo.com/"} +{"d:Title": "Inkstop Tattoo", "d:Description": "Artist portfolios and bios, press information regarding the shop, appointment information, and links. [New York City]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://www.inkstoptattoonyc.com/"} +{"d:Title": "Rising Dragon Tattoos", "d:Description": "Appointment and contact information, convention listings, and a meet the artist section with images of previous works listed by theme. [New York City]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://www.risingdragon.com/"} +{"d:Title": "Dozer's Dungeon", "d:Description": "Selection of previously done work as well as a FAQ section and links. [Elmira]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://www.dozta2.com/"} +{"d:Title": "Fun City Tattoo", "d:Description": "Contains artist portfolios, including tattoos, artwork and press. An image gallery with celebrity clientele, shop events, FAQ, history, and links. [New York City]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://www.funcitytattoo.com/"} +{"d:Title": "Inkline Studios", "d:Description": "Artwork by Anil Gupta. Portfolio of previous works as well as testimonials. [New York City]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://www.anilgupta.com/"} +{"d:Title": "White Tiger Tattoo", "d:Description": "Appointment information, hours of operation and contact details. Also contains artist portfolios, convention listings, and related links. [Rochester]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://www.whitetigertattoo.com/"} +{"d:Title": "Love Hate Tattoo", "d:Description": "Contains artist background information and portfolios, links, a news and information section, as well as a merchandise area. [Rochester]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://lovehatetattoo.com/"} +{"d:Title": "Paul Booth's Last Rites", "d:Description": "Image galleries and online catalog. Flash, jewelry, and appointment information. [New York City]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://darkimages.com/"} +{"d:Title": "Custom Horror Tattoos by Dank Henk", "d:Description": "Biography, portfolio, events calendar, and press clippings.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://www.danhenk.com/"} +{"d:Title": "Scratch Tattoos", "d:Description": "Shop policy and artists background information. [Queens]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/New_York", "url": "http://tattoos.tripod.com/"} +{"d:Title": "Ultimate Inks Tattoos And Body Piercings", "d:Description": "Lenoir's first professional and licensed tattoo and body piercing studio.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/North_Carolina", "url": "http://www.angelfire.com/nc3/ultimate/"} +{"d:Title": "Liquid Dragon Tattoo Art Studio", "d:Description": "Located in Western North Carolina, explore a variety of tattoos, piercings, and flash art by Robert Ashburn and David Bolt.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/North_Carolina", "url": "http://www.liquiddragontattoo.com/"} +{"d:Title": "Earth's Edge, Kingpin Studios and Earth's Edge Too", "d:Description": "Located in Winston Salem, Greensboro, and Kernersville, North Carolina. Explore Live TattooCam, Gallery, Forum, and Live Chat!", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/North_Carolina", "url": "http://www.earthsedgetattoo.com/"} +{"d:Title": "Immortal Images Tattoo", "d:Description": "Tattoos, piercings, tattoo removal, and henna. [Charlotte]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/North_Carolina", "url": "http://immortalimagestattoo.com/"} +{"d:Title": "Inkhouse", "d:Description": "Features international artists, seen on TV and published in magazines. Sections on body piercing, tattooing, galleries, aftercare. Also includes an on-line store. [Newcomerstown]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Ohio", "url": "http://ink69.tripod.com/introduction.htm"} +{"d:Title": "Forbidden Tattoo", "d:Description": "Artist information and portfolios, hours of operation, location information, and contact details. [Toledo]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Ohio", "url": "http://www.forbiddentattoo.freeservers.com/"} +{"d:Title": "Infinity Tattoo", "d:Description": "Location information, artist area, and image gallery.[Portland]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Oregon", "url": "http://www.infinitytattoo.com/"} +{"d:Title": "No Hope No Fear Tattoo Art Studio", "d:Description": "Artist biographies, image galleries, links, directions to shop, and contact information. [Portland]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Oregon", "url": "http://www.nohopenofeartattoo.com/"} +{"d:Title": "Pinnacle Tattoo", "d:Description": "Features information on tattooing, permanent cosmetics, and tebori. Also includes listings of conventions and tours, as well as legislation information regarding body adornment in Pennsylvania. [Carnegie]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Pennsylvania", "url": "http://www.tattoopgh.com/"} +{"d:Title": "Marc's Tattooing", "d:Description": "Convention information, artist gallery, and shop information. [Scranton, Wilkes-Barre, Dickson City, and Stroudsburg]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Pennsylvania", "url": "http://marcstattooinges.5u.com/"} +{"d:Title": "Captain Brett's Tattoo Shop", "d:Description": "Specializing in tribal and Celtic artwork. Image gallery, contact information and historical information. [Newport]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Rhode_Island", "url": "http://www.tribal-celtic-tattoo.com/"} +{"d:Title": "Image Pro Tattoo", "d:Description": "Image gallery, location and contact information. [Tiverton]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Rhode_Island", "url": "http://www.imageprotattoo.com/"} +{"d:Title": "Renaissance Tattoo", "d:Description": "Features a listing of current artists, portfolios, shop hours, directions, care of permanent adornments, shop pictures, and links. Also contains flash and t-shirts for sale. [Woonsocket]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Rhode_Island", "url": "http://www.renaissancetattoo.com/"} +{"d:Title": "Harpoon Barry's and Chealsa's Tattoos", "d:Description": "Historical information, FAQs, appointment and contact information. Also carry merchandise. [South Padre Island]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Texas", "url": "http://www.tattooyou.freeservers.com/"} +{"d:Title": "Tattoos by Wil", "d:Description": "Portfolio of color and black work, other artists and links. [Fort Worth]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Texas", "url": "http://www.wiltattoo.com/"} +{"d:Title": "Tattoos by Andy Martinez", "d:Description": "Artist profiles and portfolios, shop information, and links. [Fort Worth]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Texas", "url": "http://www.andytattoo.com/"} +{"d:Title": "Lost Art Tattoo Studio", "d:Description": "Portfolios, image gallery, contact and aftercare information. [Salt Lake City, and Ogden]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Utah", "url": "http://www.lostarttattoo.com/"} +{"d:Title": "Dark Horse Tattoos&Body Piercing", "d:Description": "Custom tattoo photographs, piercing gallery, video clips, artwork and studio photographs, answers to common questions, artist profiles, after care instructions, driving directions, company mission and contact information. Stafford.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Virginia", "url": "http://www.darkhorsetattoos.com/"} +{"d:Title": "Hot Rod Tattoo", "d:Description": "Artist profiles, company profile, contact information, driving directions and photographs of tattoos. Blacksburg.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Virginia", "url": "http://hotrodtat2.com/"} +{"d:Title": "Black Diamond Tattoo Studio", "d:Description": "Tattoo photographs, store policies, company profile and contact information. Radford.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Virginia", "url": "http://www.thetatcat.com/"} +{"d:Title": "Extreme Expressions", "d:Description": "Studio with tattooing and body piercing services. Website lists piercing prices, gallery, hours and aftercare. Waynesboro.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Virginia", "url": "http://www.extremeexpressions.net/"} +{"d:Title": "River City Tattoo", "d:Description": "Tattoo studio that offers body piercing and artist portfolio gallery. Richmond.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Virginia", "url": "http://www.rctattoo.com/"} +{"d:Title": "Ancient Art Tattoo and Body Piercing Studio", "d:Description": "Tattoo studio with gallery, aftercare, hours, links, contact info. Locations: Roanoke, Blacksburg.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Virginia", "url": "http://www.dannysancientart.com/"} +{"d:Title": "Ocean Mystique's Norfolk Ink Gallery", "d:Description": "Contact info, online store featuring body jewelry, tobacco accessories, fantasy collectibles, motorcycle apparel. Virginia Beach.", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Virginia", "url": "http://www.norfolkinkgallery.com/"} +{"d:Title": "Primeval Ink", "d:Description": "Artists' image galleries, studio pictures, and location information. [Seattle]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Washington", "url": "http://www.primevalink.com/"} +{"d:Title": "Lure Tattoo", "d:Description": "Photo gallery, artist information, and a message board. [Parkersburg]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/West_Virginia", "url": "http://www.luretattoo.com/"} +{"d:Title": "Lake Geneva Tattoo", "d:Description": "Artists' portfolios, links, and contact information. [Lake Geneva]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Wisconsin", "url": "http://www.lakegenevatattoo.com/"} +{"d:Title": "Tattoos by Rick", "d:Description": "Licensed and inspected shop, custom and original work as well as restorations and cover-ups. View the image galleries and get location information. Flash also available. [Green Bay]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/North_America/United_States/Wisconsin", "url": "http://www.tattoosbyrick.com/"} +{"d:Title": "Creative Tattoo Art", "d:Description": "Shop background information, artist portfolios and image galleries as well as original works by Pete Davidson.[Australia]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Oceania", "url": "http://www.creativetattooart.com/"} +{"d:Title": "The Illustrated Man", "d:Description": "Artist directory, frequently asked questions, and image gallery. [Sydney, Australia]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Oceania", "url": "http://www.theillustratedman.com.au/"} +{"d:Title": "Inner Vision Tattoo", "d:Description": "Artist photographs, profiles, and galleries of previous works, frequently asked questions, and health concern information. [Sydney, Australia]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Oceania", "url": "http://www.innervisiontattoo.com.au/"} +{"d:Title": "Tattoo Sacred", "d:Description": "Features work by artists Dean Parkin and Daniel Andersen. Also includes a contact and booking section, aftercare information, and image galleries. [Auckland, New Zealand]", "topic": "Top/Arts/Bodyart/Tattoo/Studios/Oceania", "url": "http://sacredtattooshop.com/"} +{"d:Title": "We The Inked", "d:Description": "All tattoo related sites are invited to join. Submission guidelines and HTML code.", "topic": "Top/Arts/Bodyart/Tattoo/Web_Rings", "url": "http://www.angelfire.com/al3/wetheinked/"} +{"d:Title": "Tattoo Ring", "d:Description": "List of member sites, membership information and instructions.", "topic": "Top/Arts/Bodyart/Tattoo/Web_Rings", "url": "http://www.webring.org/hub?ring=tattoos"} +{"d:Title": "Tatdude", "d:Description": "Listings pertaining to all types tattoo shops, artists, and artwork.", "topic": "Top/Arts/Bodyart/Tattoo/Web_Rings", "url": "http://www.webring.org/hub?ring=tatdudewebring"} +{"d:Title": "The Tattoo Ink Webring", "d:Description": "Site must be tattoo, piercing, or body art related. Guidelines and submission instructions.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.mytattooink.com/tattoorings/join.htm"} +{"d:Title": "The Memphis Tattoo Site Ring", "d:Description": "Designed for studios that offer tattoos, jewelry, piercings, scarficiation services, cosmetic tattooing, or brandings. Submission guidelines and contact information.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://pub27.bravenet.com/sitering/nav.php?usernum=2285472509&action=join&siteid=36274"} +{"d:Title": "Cain's Mark", "d:Description": "Guidelines and HTML code. Open to any form of body modification.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.webring.org/hub?ring=cm1"} +{"d:Title": "The Henna Mehndi Circle", "d:Description": "Topics related to traditional or modern henna body art including; designs, experiences, salons, and artists. Membership information and instructions.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.webring.org/hub?ring=hennamehndi"} +{"d:Title": "Henna and Mehndi", "d:Description": "Includes membership information, site list, and instructions.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.webring.org/hub?ring=hennaandmehndiar"} +{"d:Title": "The Bead Ring", "d:Description": "Accepts all sites relating to body adornment and modification. Background information, application information, and image source.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.webring.org/hub?ring=bead"} +{"d:Title": "Blood and Ink", "d:Description": "Accepts those interested and active in the body adornment lifestyle. Member information and list.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.webring.org/hub?ring=bloodandinkwebri"} +{"d:Title": "Tattoo and Piercing Communities", "d:Description": "Member list, information on joining, and ringmaster contact details.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.webring.org/hub?ring=bod"} +{"d:Title": "Tattoos4ok", "d:Description": "A Ring for all types of body art: tattooing, piercing, scarification, branding, painting, mehndi, and all other forms. Submission instructions and site list.", "topic": "Top/Arts/Bodyart/Web_Rings", "url": "http://www.webring.org/hub?ring=tattoos4ok"} +{"d:Title": "Notebook In Hand", "d:Description": "A forum for creative people to share their work, get help, and discuss techniques. All creative hobbies welcome, including writing and art, costume making, craft, woodwork, music or dance.", "topic": "Top/Arts/Chats_and_Forums", "url": "http://www.notebookinhand.com/"} +{"d:Title": "The Glade", "d:Description": "A message board to talk about and showcase things like writing, music and photography.", "topic": "Top/Arts/Chats_and_Forums", "url": "http://dreamersglade.proboards.com/"} +{"d:Title": "Internet Resources for Classical Studies", "d:Description": "Book reviews, bibliography, and language, art, culture, and mythology links for students of antiquity. From Indiana University.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.indiana.edu/~classics/"} +{"d:Title": "Centre for the Study of Ancient Documents", "d:Description": "The Centre for the Study of Ancient Documents was established in 1995 under the auspices of Oxford University's Faculty of Literae Humaniores to provide a focus for the study of ancient documents in Oxford.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.csad.ox.ac.uk/index.html"} +{"d:Title": "Literary Resources", "d:Description": "A list of classical and Biblical-oriented websites maintained by a professor at Rutgers University.", "topic": "Top/Arts/Classical_Studies", "url": "http://andromeda.rutgers.edu/~jlynch/Lit/classic.html"} +{"d:Title": "Text Tools and Lexica", "d:Description": "From The Perseus Project. Includes an English/Greek Word Search, English/Latin Word Search, Liddell Scott-Jones Greek Lexicon, and Lewis and Short Latin Dictionary.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.perseus.tufts.edu/lexica.html"} +{"d:Title": "The Internet Classics Archive", "d:Description": "Provides 441 online works of classical literature with a powerful search facility. A booklist links directly to relevant pages in online bookshops. Classical trivia questions can be posted and answered.", "topic": "Top/Arts/Classical_Studies", "url": "http://classics.mit.edu/"} +{"d:Title": "Corinth Computer Project", "d:Description": "Extensive multimedia site incorporating historical, literary and archaeological information from Corinth, Greece during the Roman era. Suitable for scholars, students, and the public at large.", "topic": "Top/Arts/Classical_Studies", "url": "http://corinth.sas.upenn.edu/"} +{"d:Title": "Textkit", "d:Description": "Language learning site which provides free Greek and Latin grammars, reading material, classical e-books and other learning resources and downloads.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.textkit.com/"} +{"d:Title": "Torrey Philemon's Muses", "d:Description": "Articles on mythology and ancient Greek/Roman literature, and resources.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.windweaver.com/as/"} +{"d:Title": "Greek 'n' Stuff", "d:Description": "Learn biblical Greek or classical Latin. Bible nuggets. Homeschool links/classical links/family pages.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.greeknstuff.com/"} +{"d:Title": "Voice of the Shuttle: Classical Studies", "d:Description": "A large index of links to various classical history, art, archaeology, language and literature journals, texts, syllabi, forums, and other resources. From the University of California at Santa Barbara.", "topic": "Top/Arts/Classical_Studies", "url": "http://vos.ucsb.edu/browse.asp?id=2708"} +{"d:Title": "Ancient Scripts of the World", "d:Description": "Indexed by region, with articles on writing systems, sounds and phonetics, historical linguistics, and related study materials.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.ancientscripts.com/"} +{"d:Title": "Studies in the Classics", "d:Description": "Essays, texts, and studies on classical literature and language from Prof. William Harris of Middlebury College.", "topic": "Top/Arts/Classical_Studies", "url": "http://community.middlebury.edu/~harris/SubIndex/classics.html"} +{"d:Title": "Classical Literature/Language at About.com", "d:Description": "Collection of resources and links pertaining to the Greco-Roman authors and literature.", "topic": "Top/Arts/Classical_Studies", "url": "http://ancienthistory.about.com/cs/classicallit/"} +{"d:Title": "Classical Studies (humanities.classics) FAQ", "d:Description": "Frequently asked questions for the newsgroup humanities.classics. Includes bibliographies, glossaries and compendia of mythological characters. Maintenance ceased August 2000.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.faqs.org/faqs/classics-faq/"} +{"d:Title": "Library of Congress Resources for Greek and Latin Classics", "d:Description": "Resources for studying Classical and Medieval literature.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.loc.gov/rr/main/alcove9/classics.html"} +{"d:Title": "Stoa Consortium", "d:Description": "The Stoa Consortium provides a venue for the exploration of innovations in scholarly communication, with a focus on Classics and Classical Archaeology. It hosts numerous classical electronic projects, guides to best practices, fora, a CVS and a weblog.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.stoa.org/"} +{"d:Title": "Diotima", "d:Description": "An interdisciplinary resource for the study of patterns of gender around the ancient Mediterranean and as a forum for collaboration among instructors who teach courses about women and gender in the ancient world. Includes course materials, the beginnings of a systematic and searchable bibliography, and links to many on-line resources, including articles, book reviews, databases and images.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.stoa.org/diotima/"} +{"d:Title": "Classics Unveiled", "d:Description": "Portal to three reference and resource sites for ancient Roman history, culture, and daily life; Greek mythology; and Latin vocabulary words.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.classicsunveiled.com/"} +{"d:Title": "Orange St. Press Classics", "d:Description": "Free classic literature in Adobe Portable Document Format (PDF). View online, download, print.", "topic": "Top/Arts/Classical_Studies", "url": "http://sparks.eserver.org/classics.html"} +{"d:Title": "Summer Courses in the Classics", "d:Description": "A list of classics courses offered during the summer by colleges and universities throughout the world, sorted by discipline and subject of study.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.summer-classics.com/"} +{"d:Title": "Leuven Database of Ancient Books (LDAB)", "d:Description": "Searchable database aiming to catalog all ancient literary texts with information including a description of the text, language, medium, authors, current location, and plates. Sponsored by the Catholic University of Leuven.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.trismegistos.org/ldab/"} +{"d:Title": "Maecenas: Images of Ancient Greece and Rome", "d:Description": "Images of Ancient Greece and Rome, free for non-commercial download and use.", "topic": "Top/Arts/Classical_Studies", "url": "http://wings.buffalo.edu/AandL/Maecenas/"} +{"d:Title": "Ancient History Sourcebook", "d:Description": "Extensive selection of links, study guides, and articles pertaining to the ancient Near East and ancient Mediterranean worlds, as well as some public domain translations of classical texts. At Fordham.", "topic": "Top/Arts/Classical_Studies", "url": "http://www.fordham.edu/halsall/ancient/asbook.asp"} +{"d:Title": "Classics at Victoria University of Wellington", "d:Description": "New Zealand university site. Information on undergraduate modules and Ph.D. scholarships. Links to other Classical sites, especially in New Zealand. Pictures from the department's collection of Classical artifacts. Staff details including research activities and personal pages.", "topic": "Top/Arts/Classical_Studies/Academic_Departments", "url": "http://www.victoria.ac.nz/sacr/about/overview-intros/classics.aspx"} +{"d:Title": "Durham, University of - Department of Classics and Ancient History", "d:Description": "Undergraduate and postgraduate information. News, back issues of \"Histos\", faculty listing, events, and research activity.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.dur.ac.uk/Classics/"} +{"d:Title": "Leeds, University of - School of Classics", "d:Description": "Course outlines, events and publications, staff profiles, and information for applicants.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.leeds.ac.uk/classics/"} +{"d:Title": "Oxford, University of - Faculty of Classics", "d:Description": "Lecture list, handbooks, prospectus, resources, and faculty directory.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.classics.ox.ac.uk/"} +{"d:Title": "Cambridge, University of - Faculty of Classics", "d:Description": "Lists department information and announcements, related departments, and the classics faculty library.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.classics.cam.ac.uk/"} +{"d:Title": "American School of Classical Studies at Athens", "d:Description": "A resource for scholars of the language, literature, art, history, archaeology, and philosophy of Greece and the Greek world from pre-Hellenic times to the present.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.ascsa.edu.gr/"} +{"d:Title": "University College London - Department of Greek and Latin", "d:Description": "Forthcoming events, course information, Classical web links and Greek alphabet word processing.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.ucl.ac.uk/GrandLat/"} +{"d:Title": "Nottingham, University of - Department of Classics", "d:Description": "Quick links, news and events. Staff biographies, publications and photographs. Departmental and staff contact details. Research topics, projects and joint centres. Undergraduate and postgraduate course information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.nottingham.ac.uk/classics/"} +{"d:Title": "Galway, National University of Ireland, Department of Classics", "d:Description": "Undergraduate and postgraduate course outlines, staff, research profiles, current events and links to Classical resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.nuigalway.ie/classics"} +{"d:Title": "Kent at Canterbury, University of - Classical and Archaeological Studies Programme", "d:Description": "Profiles of academic staff, description of full-time and part-time degree and certificate programmes, and links to classical and archaeological resources online.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.kent.ac.uk/secl/classics/"} +{"d:Title": "St. Andrews, University of - School of Classics", "d:Description": "Overview of the degrees, postgraduate information, seminars, and alumni profiles.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.st-andrews.ac.uk/classics/"} +{"d:Title": "Bristol, University of - Department of Classics and Ancient History", "d:Description": "Courses and departmental history; staff research areas, upcoming seminars and student resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.bris.ac.uk/classics/"} +{"d:Title": "London, University of - Institute of Classical Studies", "d:Description": "Information about two sponsored classical societies as well as links to other Classics sites.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://icls.sas.ac.uk/"} +{"d:Title": "University of Edinburgh - School of History and Classics", "d:Description": "Undergraduate and graduate programs, faculty home pages, and information about the Classical Association of Scotland's Edinburgh and SE Centre.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.shc.ed.ac.uk/"} +{"d:Title": "Warwick, University of - Department of Classics and Ancient History", "d:Description": "Module outlines, staff profiles, and resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www2.warwick.ac.uk/fac/arts/classics/"} +{"d:Title": "Royal Holloway, University of London - Department of Classics", "d:Description": "Information for applicants, including programmes, facilities, facts, procedures, and faculty.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.rhul.ac.uk/Classics/"} +{"d:Title": "Exeter, University of - School of Classics, Ancient History and Theology", "d:Description": "Degree courses, staff research areas, maps and timelines for Ancient Greece, lectures on the Web, conference details and images from Exeter Museum.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://huss.exeter.ac.uk/classics/"} +{"d:Title": "University College Dublin - Department of Classics", "d:Description": "Student information, course outlines, staff listing, events, and research tools.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.ucd.ie/classics/"} +{"d:Title": "Glasgow, University of - Department of Classics", "d:Description": "Course documents, guidelines, prizes, research opportunities, and news.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.gla.ac.uk/departments/classics/"} +{"d:Title": "Reading, University of - Department of Classics", "d:Description": "Handbook, courses, resources, events, projects, and alumni information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.reading.ac.uk/Classics/"} +{"d:Title": "Cardiff University - Ancient History Section", "d:Description": "School of History and Archaeology unit offering undergraduate and MA/MSc, MPhil, and PhD programs. Faculty profiles, overview of academic programs and research, conference information, and course modules available online.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.cardiff.ac.uk/share/aboutus/ancienthistory/index.html"} +{"d:Title": "Open University, The - Department of Classical Studies", "d:Description": "OU offers distance learning degree-level courses in the UK. Details of courses, departmental staff, research activities and conferences, as well as an interactive introduction to learning ancient Greek.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.open.ac.uk/Arts/classical-studies/"} +{"d:Title": "Turku, University of - Department of Classical Languages and Culture", "d:Description": "Finnish university's programs for the Licentiate in and Doctor of Philosophy described; site also provides course information, research areas, publications, and faculty directory.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.hum.utu.fi/oppiaineet/klassiset/"} +{"d:Title": "King's College London - Department of Classics", "d:Description": "Course outlines, staff listing, guides, and projects.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.kcl.ac.uk/artshums/depts/classics/index.aspx"} +{"d:Title": "Wales Swansea, University of - Department of History and Classics", "d:Description": "Classical courses and information about the department.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "http://www.swansea.ac.uk/artsandhumanities/hc/"} +{"d:Title": "Liverpool, University of - School of Archaeology, Classics and Egyptology", "d:Description": "News, information about undergrad and postgraduate programmes, overview of facilities, and links to research areas.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/Europe", "url": "https://www.liverpool.ac.uk/archaeology-classics-and-egyptology/"} +{"d:Title": "Western Ontario, University of - Department of Classical Studies", "d:Description": "Offers BA and MA programs. Course descriptions, faculty directory, and information about degree programs.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/Canada", "url": "http://www.uwo.ca/classics/"} +{"d:Title": "British Columbia, University of - Department of Classical, Near Eastern and Religious Studies", "d:Description": "Programs, faculty, and web resources in related disciplines.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/Canada", "url": "http://www.cnrs.ubc.ca/"} +{"d:Title": "McMaster University - Department of Classics", "d:Description": "Offers B.A., M.A., and Ph.D. programs. Faculty profiles, conference and department news, and links to related sites.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/Canada", "url": "http://www.humanities.mcmaster.ca/~classics/"} +{"d:Title": "Queen's University, Kingston: Department of Classics", "d:Description": "Offers the B.A. and M.A. programmes; course listings, faculty and staff directory, recent M.A. abstracts, department news, and links to electronic journal articles and other classics sites. Located in Ontario.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/Canada", "url": "http://www.queensu.ca/classics/"} +{"d:Title": "Concordia University - Department of Classics, Modern Languages and Linguistics", "d:Description": "Information about programs, associations, faculty members, and department objectives.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/Canada", "url": "http://cmll.concordia.ca/"} +{"d:Title": "Akron, University of - Department of Classical Studies, Anthropology, and Archaeology", "d:Description": "Describes courses and department projects, lists faculty members, and provides information on archaeological projects in Turkey, Italy, and the U.S.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.uakron.edu/csaa/"} +{"d:Title": "Indiana University, Bloomington - Department of Classical Studies", "d:Description": "Offers courses for both undergraduate and graduate students with studies in ancient Greek and Roman. Also student, faculty and alumni information is available.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.indiana.edu/~classics/"} +{"d:Title": "Rutgers University, New Brunswick - Department of Classics", "d:Description": "Features courses for spring and fall, programs and events, faculty and graduate student information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.rutgers.edu/"} +{"d:Title": "Wesleyan University - Department of Classical Studies", "d:Description": "Information on studies abroad programs, description of majors, faculty and staff. Also request for the newsletter.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.wesleyan.edu/classics/"} +{"d:Title": "Massachusetts-Amherst, University of - Department of Classics", "d:Description": "Featuring course schedule and description, faculty publications and newsletter. Also offers a photo gallery.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.umass.edu/classics/"} +{"d:Title": "Columbia University - Department of Classics", "d:Description": "Information on and departments and programs, faculty and course directory. Also offers a newsletter.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.columbia.edu/cu/classics/"} +{"d:Title": "Yale University - Department of Classics", "d:Description": "Information on courses and programs, graduate students and faculty.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.yale.edu/classics/"} +{"d:Title": "Cincinnati, University of - Department of Classics", "d:Description": "Featuring graduate and undergraduate information, faculty and staff, calendar and resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.uc.edu/"} +{"d:Title": "Bryn Mawr College - Department of Greek, Latin and Ancient History", "d:Description": "Offering a classical review, resources, commentaries, past and present studies.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.brynmawr.edu/classics/"} +{"d:Title": "California State University Northridge - Classics Section", "d:Description": "Course information, members of staff teaching Classics, plus resources including software recommendations.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.csun.edu/~hcfll004/classics.html"} +{"d:Title": "Rice University - Hispanic and Classical Studies", "d:Description": "Offers online placement test and information, graduate and undergraduate study programs, Spanish and Portuguese courses available.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://hispanicstudies.rice.edu/"} +{"d:Title": "Dartmouth College - Department of Classics", "d:Description": "Featuring course information, foreign study and honors program, faculty and upcoming events.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.dartmouth.edu/~classics/"} +{"d:Title": "Macalester College - Classics", "d:Description": "St. Paul, Minnesota. Includes information on faculty, students, events, excavations, and classics in general.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.macalester.edu/classics"} +{"d:Title": "Carleton College - Department of Classics", "d:Description": "Course and major information, events, faculty profiles, and departmental history.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://apps.carleton.edu/curricular/clas/"} +{"d:Title": "Saint Thomas, University of - Department of Classical Languages", "d:Description": "Offers an undergraduate major (BA) and minor programs in Classical Languages, Latin, and Greek. Program information and course descriptions.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.stthomas.edu/mcl/"} +{"d:Title": "Buffalo State University of New York at - Department of Classics", "d:Description": "Featuring online graduate application, program information, courses and internet resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.classics.buffalo.edu/"} +{"d:Title": "Brooklyn College - Classics Department", "d:Description": "Featuring information on news and events, faculty, students and alumni, courses and core studies. Also offers FAQ.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://depthome.brooklyn.cuny.edu/classics"} +{"d:Title": "Texas at Austin, University of - Classics Department", "d:Description": "Information on courses, programs, students, faculty, staff and alumni. Also provides links for projects.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.utexas.edu/cola/depts/classics/"} +{"d:Title": "California, Berkeley University of - Department of Classics", "d:Description": "Offers undergraduate and graduate training, events and news, courses and summer session information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.berkeley.edu/"} +{"d:Title": "Emory University - Interdisciplinary Program in Classical Studies (IPCS)", "d:Description": "Offering upcoming events, courses, major requirements and faculty information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.classics.emory.edu/"} +{"d:Title": "Loyola University, Chicago - Department of Classical Studies", "d:Description": "Offering information on programs for graduate and undergraduate levels, course description and schedules, special events and current faculty.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.luc.edu/classicalstudies/"} +{"d:Title": "Penn State Department of Classics and Ancient Mediterranean Studies", "d:Description": "Programs, events, faculty, courses, vacancies and mission statement for the department.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.cams.psu.edu/"} +{"d:Title": "Kentucky, University of - Classics Department", "d:Description": "Features a detailed roster of faculty, degree programs, course offerings and links to online resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.uky.edu/AS/Classics/"} +{"d:Title": "Virginia, University of - Department of Classics", "d:Description": "Features an online application. Also includes information on students, faculty, events, programs and courses.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.virginia.edu/classics"} +{"d:Title": "Chicago, University of - Department of Classics", "d:Description": "Featuring programs and summer sessions, faculty and students, calendar and events. Also provides a newsletter.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.uchicago.edu/"} +{"d:Title": "Georgetown University - Classics Department", "d:Description": "Featuring studies of the cultures of ancient Greece and Rome. Program and course information, news and events, faculty and resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.georgetown.edu/"} +{"d:Title": "Duke University - Department of Classical Studies", "d:Description": "Graduate and undergraduate programs, courses, resources and faculty information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classicalstudies.duke.edu/"} +{"d:Title": "Florida State University - Department of Classics", "d:Description": "Graduate and undergraduate information, news and events, associated faculty members and links.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.fsu.edu/"} +{"d:Title": "Duquesne University - Department of Classics", "d:Description": "Overview of courses, requirements, and faculty.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.duq.edu/classics/"} +{"d:Title": "Boston College - Department of Classical Studies", "d:Description": "Information on programs, courses and announcements.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.bc.edu/schools/cas/classics/"} +{"d:Title": "Oklahoma, University of - Department of Classics and Letters", "d:Description": "Programs in and resources about classics, Latin, Greek, and ancient culture.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.ou.edu/cas/classics/"} +{"d:Title": "Michigan, University of - Department of Classical Studies", "d:Description": "Information on prospective and current students, department and people search section.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.lsa.umich.edu/classics/"} +{"d:Title": "Washington University (MO) - Department of Classics", "d:Description": "Information on graduate and undergraduate programs, courses offered, faculty and staff.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.artsci.wustl.edu/"} +{"d:Title": "Amherst College - Department of Classics", "d:Description": "Offering programs all year long, information on lectures and scholarship, faculty profile.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "https://www.amherst.edu/academiclife/departments/classics/"} +{"d:Title": "Trinity College (CT) - Classics Department", "d:Description": "Offers information on majors, courses, faculty, events and links.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.trincoll.edu/Academics/MajorsAndMinors/Classics/Pages/default.aspx"} +{"d:Title": "Cornell University Classics Department", "d:Description": "Information on graduate and undergraduate programs, course offerings, events and current project. Also featuring images.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.cornell.edu/"} +{"d:Title": "California, Los Angeles, University of (UCLA) - Department of Classics", "d:Description": "Provides a knowledge of Greek and Roman languages and culture. Information on faculty and resources, graduate program.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.classics.ucla.edu/"} +{"d:Title": "Hollins University - Classics Department", "d:Description": "Course offerings, major requirements, faculty listings, internship, and study abroad information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.hollins.edu/academics/classical"} +{"d:Title": "Mississippi, University of - Department of Classics", "d:Description": "Featuring departments history, graduate and undergraduate programs, newsletter and online links.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.olemiss.edu/"} +{"d:Title": "Ohio State University - Department of Classics", "d:Description": "Academic programs available for graduates and undergraduates. Offers information on classes, people and news.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "https://classics.osu.edu/"} +{"d:Title": "Princeton University - Classics Department", "d:Description": "Request for brochures on undergraduate and graduate studies. Information on courses, faculty and events.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.princeton.edu/classics/"} +{"d:Title": "Washington, University of - Department of Classics", "d:Description": "Offering the departments history and previous newsletters, graduate and undergraduate programs, scholarships and summer courses.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.washington.edu/"} +{"d:Title": "Harvard University - Department of the Classics", "d:Description": "Program information for graduate, undergraduate and reading lists. Summary of current, preliminary and summer courses. Also offers a calendar of events.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.fas.harvard.edu/"} +{"d:Title": "Illinois at Urbana-Champaign, University of - Department of the Classics", "d:Description": "Offers BA, MA, and Ph.D. programs. Information on the department, people, and courses offered.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.classics.illinois.edu/"} +{"d:Title": "Brown University - Classics Department", "d:Description": "Information on courses, events, faculty and links.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.brown.edu/academics/classics/"} +{"d:Title": "Oregon, University of - Classics Department", "d:Description": "Features information on graduate and undergraduate studies, schedule of courses and faculty descriptions.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.uoregon.edu/"} +{"d:Title": "Vanderbilt University - Department of Classical Studies", "d:Description": "Features course listings and traditions, major and minor requirements, graduate student and studies faculty information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://as.vanderbilt.edu/classics/"} +{"d:Title": "Ohio University Department of Classics", "d:Description": "Course descriptions, undergraduate program and degree requirements, faculty profiles, department news, and classics resource links.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.ohio.edu/cas/classics/"} +{"d:Title": "Bucknell University: Department of Classics", "d:Description": "Featuring course offerings, event resources, curriculum, faculty and staff. Located in Lewisburg, Pennsylvania.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.bucknell.edu/Classics.xml"} +{"d:Title": "Cornell College - Classical Studies Program", "d:Description": "Information and courses and student research, faculty and alumni. Also offers news and portraits.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.cornellcollege.edu/classical-studies/index.shtml"} +{"d:Title": "Wabash College - Department of Classics", "d:Description": "Featuring information on courses and resources, faculty and alumni.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.wabash.edu/academics/classics"} +{"d:Title": "Davidson College - Department of Classics", "d:Description": "Information on courses, faculty, department news, core requirements and scholarships.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.davidson.edu/academics/classics"} +{"d:Title": "Wright State University - Department of Classics", "d:Description": "Offers description of graduate and undergraduate courses, requirements for majors. Also information on faculty and staff, alumni web pages. Past and present newsletters.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "https://liberal-arts.wright.edu/religion-philosophy-and-classics"} +{"d:Title": "William and Mary, College of - Department of Classical Studies", "d:Description": "Offers concentrations (majors) in Greek, Latin and Classical Civilization and a minor in Classical Studies.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.wm.edu/as/classicalstudies/"} +{"d:Title": "Miami University, Ohio - Department of Classics", "d:Description": "Features faculty information and online links.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://miamioh.edu/cas/academics/departments/classics/"} +{"d:Title": "Johns Hopkins University - Department of Classics", "d:Description": "Offers BA, MA, and PhD programs. Departmental events and announcements, profiles of faculty and staff, program and course descriptions, and JHU archaeological and library resources provided.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.jhu.edu/"} +{"d:Title": "Case Western Reserve University - Department of Classics", "d:Description": "Offers course information and programs, news and the current faculty.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.case.edu/"} +{"d:Title": "Kenyon College - Department of Classics", "d:Description": "Information on courses and programs of study, links to online resources.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.kenyon.edu/academics/departments-programs/classics/"} +{"d:Title": "Pennsylvania, University of - Department of Classical Studies", "d:Description": "Featuring information on programs and courses, faculty and students web pages, lectures, workshops and events.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "https://www.classics.upenn.edu/"} +{"d:Title": "Missouri, Columbia, University of - Department of Classical Studies", "d:Description": "Featuring a catalogue of courses with term descriptions and studies web pages. Also faculty and staff information.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://classics.missouri.edu/"} +{"d:Title": "Holy Cross, College of the - Classics Department", "d:Description": "With fifty majors studying both Greek and Latin, the Classics Department at Holy Cross is the largest undergraduate Classics program in the United States, and offers a wide range of courses.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://www.holycross.edu/academics/programs/classics"} +{"d:Title": "Wisconsin, Madison, University of - Department of Classical and Ancient Near Eastern Studies", "d:Description": "Featuring degree programs and courses offered. Also information on students, faculty and alumni. Events and resources are also available.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "http://canes.wisc.edu/"} +{"d:Title": "Stanford University - Classics Department", "d:Description": "Features departments history with archive photos, courses available, online application and handbooks, information on students and faculty.", "topic": "Top/Arts/Classical_Studies/Academic_Departments/North_America/United_States", "url": "https://classics.stanford.edu/"} +{"d:Title": "Graduate Education in Classics", "d:Description": "An ongoing discussion in the shared sense that graduate education in Classics needs to be rethought.", "topic": "Top/Arts/Classical_Studies/Chats_and_Forums", "url": "http://ccat.sas.upenn.edu/~jfarrell/gradcurr.html"} +{"d:Title": "Archives of ANCIEN-L", "d:Description": "Threaded, searchable text archive of the University of Louisville-sponsored scholarly discussion list on the history of the ancient Mediterranean.", "topic": "Top/Arts/Classical_Studies/Chats_and_Forums", "url": "http://listserv.louisville.edu/wa?A0=ANCIEN-L"} +{"d:Title": "Suksi, Aara", "d:Description": "University of Western Ontario faculty member; dissertation abstract, courses taught, research projects, and annotated links to resources.", "topic": "Top/Arts/Classical_Studies/Classicists", "url": "http://publish.uwo.ca/~asuksi/"} +{"d:Title": "Ancient Atlas - About.com", "d:Description": "A collection of annotated links for online maps of the ancient world and from antiquity.", "topic": "Top/Arts/Classical_Studies/Geography", "url": "http://ancienthistory.about.com/library/bl/bl_maps_index.htm"} +{"d:Title": "Classical Atlas Project", "d:Description": "The Barrington Atlas is a reference work of the ancient Greeks and Romans, the lands they penetrated, and the peoples and cultures they encountered in Europe, North Africa and Western Asia.", "topic": "Top/Arts/Classical_Studies/Geography", "url": "http://www.unc.edu/depts/cl_atlas/"} +{"d:Title": "Historic Atlas Resource - Europe", "d:Description": "Historical and Cultural Atlas Resource", "topic": "Top/Arts/Classical_Studies/Geography", "url": "http://mappinghistory.uoregon.edu/english/EU/eu.html"} +{"d:Title": "The Roman Empire", "d:Description": "The Roman Empire at its Greatest Extent", "topic": "Top/Arts/Classical_Studies/Geography", "url": "http://people.ucalgary.ca/~vandersp/Courses/maps/basicmap.html"} +{"d:Title": "Perseus Atlas", "d:Description": "Map server gateway offering browsable maps of named places from the ancient world. Allows overlays of Roman provinces and modern boundaries, and comparisons against modern features and population centers.", "topic": "Top/Arts/Classical_Studies/Geography", "url": "http://www.perseus.tufts.edu/hopper/map"} +{"d:Title": "Berkeley's Online Medieval and Classics Library", "d:Description": "A few rare but classic works, edited and translated into modern English (apart from original Middle English texts). Includes works from all over ancient and medieval Europe.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://omacl.org/"} +{"d:Title": "The music of ancient Greeks-Early epic and lyrical poetry", "d:Description": "A reconstruction of the music of ancient Greeks by Ioannidis Nikolaos. Audio, original Greek texts and English translations.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://homoecumenicus.com/ioannidis_music_ancient_greeks.htm"} +{"d:Title": "Greek Mythology and Pre-History", "d:Description": "William Harris looks at the Greek myths as part of a tradition of history.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://community.middlebury.edu/~harris/SubIndex/greekmyth.html"} +{"d:Title": "Perseus Project: Classics Library", "d:Description": "English translations in hypertext.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://www.perseus.tufts.edu/Texts/chunk_TOC.html"} +{"d:Title": "Nonnus' Dionysiaca", "d:Description": "Summary and discussion of 12 articles published by R. F. Newbold from 1981-2001 on the 5th century Greek epic, The Dionysiaca, by Nonnus of Panopolis. At the Centre for European Studies and General Linguistics, University of Adelaide.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://www.nonnus.adelaide.edu.au/"} +{"d:Title": "The Suda On Line (SOL)", "d:Description": "Searchable translations and forum for Byzantine lexicography.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://www.stoa.org/sol/"} +{"d:Title": "Lives of the Ten Orators", "d:Description": "Biographies of Antiphon, Andocides, Lysias, Isocrates, Isaeus, Aeschines, Lycurgus, Demosthenes, Hyperides, and Dinarchus.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://classicpersuasion.org/pw/plu10or/"} +{"d:Title": "The Greek Word - Three Millennia of Greek Literature", "d:Description": "A bilingual (Greek / English) anthology of all periods of Greek literature, including downloadable versions and language learning tools.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://www.ellopos.net/elpenor/greek-texts/greek-word.asp"} +{"d:Title": "Ancient Greece", "d:Description": "Links and information on Ancient Greece: history, mythology, art and architecture, the Olympics, wars, people, geography and other resources.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://www.ancientgreece.com/"} +{"d:Title": "Languages and Dialects of Greece Timeline", "d:Description": "Dialects of Ancient Greek. Changes in the language from ancient to Koine to modern Greek.", "topic": "Top/Arts/Classical_Studies/Greek", "url": "http://ancienthistory.about.com/od/greeklanguagehistory/tp/bl_time_europe_greece_language.htm"} +{"d:Title": "Herodotus on the Pharaohs", "d:Description": "The stories of the pharaohs by Herodotus with annotations", "topic": "Top/Arts/Classical_Studies/Greek/Herodotus", "url": "http://www.reshafim.org.il/ad/egypt/herodotus/index.html"} +{"d:Title": "Who Were the Greek Historians - Herodotus [About.com]", "d:Description": "Brief introduction to Herodotus and his place in the creation of the genre of history.", "topic": "Top/Arts/Classical_Studies/Greek/Herodotus", "url": "http://ancienthistory.about.com/library/weekly/aa072297.htm"} +{"d:Title": "East Is East And West Is West - Or Are They? National Stereotypes In Herodotus", "d:Description": "Examination of the contrast between barbarians and Greeks in Herodotus.", "topic": "Top/Arts/Classical_Studies/Greek/Herodotus", "url": "http://www.dur.ac.uk/Classics/histos/1997/pelling.html"} +{"d:Title": "Internet Classics Archive: The History of Herodotus", "d:Description": "The complete text written 440 B.C.E. by Herodotus as translated by George Rawlinson.", "topic": "Top/Arts/Classical_Studies/Greek/Herodotus", "url": "http://classics.mit.edu/Herodotus/history.html"} +{"d:Title": "Herodotus, The Histories (ed. A. D. Godley)", "d:Description": "The Histories printed on the Internet, available in Greek or English.", "topic": "Top/Arts/Classical_Studies/Greek/Herodotus", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.01.0126"} +{"d:Title": "Homer Tonight", "d:Description": "A live performance from the Iliad, a one-man-show, in the manner of the ancient Greek rhapsodes (augmented by slides, music, props).", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://members.tripod.com/~RussR/homer.html"} +{"d:Title": "Discussion List about Homer", "d:Description": "Philosophic discussions about the homeric poems and the epics.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://groups.yahoo.com/group/homer/"} +{"d:Title": "Homer - The Academy of American Poets", "d:Description": "Biography, photograph, and selected fragments in translation.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://www.poets.org/homer"} +{"d:Title": "Homer's Iliad - Audio and Original Greek Text", "d:Description": "An MP3 file offering an approach to the original singing of Homeric epics by Ioannidis Nikolaos.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://homoecumenicus.com/homer_iliad_ioannidis.htm"} +{"d:Title": "Ideas and Tools about Homer", "d:Description": "Working group about Homer and homeric poems. Philosophical discussions and actual creation of tools for the knowledge of the epics.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://groups.yahoo.com/group/epapeironaponton/"} +{"d:Title": "Free Online Library: Hesiod, Homer and Homerica", "d:Description": "Unattributed hypertext of narrative translations of the Iliad and Odyssey, as well as Homerica and works by Hesiod.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://homer.thefreelibrary.com/"} +{"d:Title": "Read Print: Homer", "d:Description": "Biography and searchable Iliad and Odyssey; translation not specified.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://www.readprint.com/author-47/-Homer-books"} +{"d:Title": "Homeric Questions - The Great Homer Nodding", "d:Description": "Theories on when the Homeric epics were written and whether they were written by one person.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://ancienthistory.about.com/od/troyilium/a/061811-Homeric-Questions-Part-II.htm"} +{"d:Title": "Allreaders.com: Homer's Book Reviews", "d:Description": "Critical analysis of The Illiad and the Odyssey.", "topic": "Top/Arts/Classical_Studies/Greek/Homer", "url": "http://allreaders.com/books/homer-934"} +{"d:Title": "The Iliad by Homer", "d:Description": "Searchable HTML version indexed by book, without attribution.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Iliad,_The", "url": "http://www.online-literature.com/homer/iliad/"} +{"d:Title": "Welcome to the Iliad!", "d:Description": "The Iliad of Homer - an interactive online version", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Iliad,_The", "url": "http://www.users.globalnet.co.uk/~loxias/iliad/iliadstart3.htm"} +{"d:Title": "The Iliad", "d:Description": "Text-only version of Samuel Butler's translation.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Iliad,_The", "url": "http://classics.mit.edu/Homer/iliad.mb.txt"} +{"d:Title": "Homer's Iliad", "d:Description": "Translated by Samuel Butler", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Iliad,_The", "url": "http://classics.mit.edu/Homer/iliad.html"} +{"d:Title": "The Odyssey by Homer", "d:Description": "A searchable online version, with book summaries, biographical information on the poet, and a map of the Greece of his times. No translation attribution given.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.online-literature.com/homer/odyssey/"} +{"d:Title": "The Odysseys of Homer", "d:Description": "Bartleby edition of the 1857 English language translation by George Chapman.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.bartleby.com/111/"} +{"d:Title": "The Odyssey", "d:Description": "\"The Odyssey. The illustrated wanderings of the hero Odysseus after the Trojan War. Based on Homer's epic from Greek Mythology.\"", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.mythweb.com/odyssey/"} +{"d:Title": "Odyssey Game - Choose your character", "d:Description": "\"In this web game you can choose to be either Odysseus himself or his young son Telemachus or his beautiful wife, Penelope.\"", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.users.globalnet.co.uk/~loxias/odchoice.htm"} +{"d:Title": "Reviews of Recent Books on \"The Odyssey\"", "d:Description": "\"The Following links will take you to substantive reviews of recent books on the Odyssey from the Bryn Mawr Classical Review.\"", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.princeton.edu/~aford/307bmcr.html"} +{"d:Title": "Bartleby.com: The Odyssey", "d:Description": "Prose translation by folklorist Andrew Lang, with S. H. Butcher, tracing the adventures of the epic hero Odysseus. Divided by book with closing sonnet.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.bartleby.com/22/"} +{"d:Title": "The Odyssey", "d:Description": "Text-only version of Samuel Butler's translation, provided by the Internet Classics Archive at MIT.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://classics.mit.edu/Homer/odyssey.mb.txt"} +{"d:Title": "Odyssey, The", "d:Description": "Free HTML e-text of Butler's translation of The Odyssey, available page by page.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.pagebypagebooks.com/Homer_Butler_Tr/The_Odyssey/"} +{"d:Title": "The Odyssey", "d:Description": "The Greek Epic Poem translated by Samuel Butler.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://classics.mit.edu/Homer/odyssey.html"} +{"d:Title": "Odyssey Book XI: Nekuia", "d:Description": "Odysseus' information gathering trip to the Underworld.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://ancienthistory.about.com/library/weekly/aa081500a.htm"} +{"d:Title": "Homer Odyssey - Calypso and Ulysses", "d:Description": "A reconstruction of the music of parts of Homer's Odyssey, by composer Ioannidis Nikolaos. Audio, original Greek text and English translation.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://homoecumenicus.com/ioannidis_homer_odyssey.htm"} +{"d:Title": "Map of the Underworld", "d:Description": "Map showing the descents to the Underworld made by Odysseus and Aeneas.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://www.maicar.com/GML/Underworldmap.html"} +{"d:Title": "Odyssey II - Wily Penelope", "d:Description": "The second book of the Odyssey shows how Penelope is an equal to Odysseus in craftiness.", "topic": "Top/Arts/Classical_Studies/Greek/Homer/Odyssey,_The", "url": "http://ancienthistory.about.com/od/greekwomen/a/wilypenelope.htm"} +{"d:Title": "The Fall of Troy", "d:Description": "HTML e-text of the translation by A.S. Way, 1913 [DL SunSITE].", "topic": "Top/Arts/Classical_Studies/Greek/Quintus_of_Smyrna", "url": "http://omacl.org/Troy/"} +{"d:Title": "Tufts Hellenic Society: Sappho", "d:Description": "An introduction to the poets life, including a translation of fr.1LP, as part of an article about the Greek island Lesvos by Chris Kazazis (Tufts Hellenic Society).", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://www.stoa.org/diotima/anthology/wlgr/wlgr-voices1.shtml"} +{"d:Title": "Sappho and the world of Lesbian poetry", "d:Description": "A short study of several poems, with text in translation, by William Harris PhD, Prof.em. Middlebury College.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://www.middlebury.edu/~harris/Translations/Sappho.html"} +{"d:Title": "Sappho-Ode to Aphrodite", "d:Description": "A reconstruction of the music of Sappho's \"Ode to Aphrodite,\" by composer Ioannidis Nikolaos. Audio, original Greek text and English translation.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://homoecumenicus.com/ioannidis_sappho_aphrodite.htm"} +{"d:Title": "Sappho's Hymn to Aphrodite", "d:Description": "Translation, notes, and metrical explanation on fr.1LP by Elizabeth Vandiver.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://www.stoa.org/diotima/anthology/vandiver.shtml"} +{"d:Title": "Sappho [About.com]", "d:Description": "Books about the poet Sappho, her poetry, life, lesbianism, analyses of the relationship between her writing and that of other poets.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://ancienthistory.about.com/cs/toppicks/tp/aatpsappho.htm"} +{"d:Title": "The Poetry Archives eMule.com - Sappho", "d:Description": "A resource of translations mostly by Mary Bernard.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://www.emule.com/poetry/?page=overview&author=51"} +{"d:Title": "Sappho, the first modern poet", "d:Description": "Excerpt from: Sappho - The Story of a Poet, by Edith Mora (Flammarion, 1966).", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://travesti.geophys.mcgill.ca/~olivia/SAPPHO/"} +{"d:Title": "Sappho and Alcaeus - Lyric Poets From Lesbos", "d:Description": "Comparison of the two contemporary writers, Sappho and Alcaeus of Lesbos.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho", "url": "http://ancienthistory.about.com/od/classicaleroticpoets/a/SapphoAlcaeus.htm"} +{"d:Title": "Monadnock Review: Fragments of Sappho", "d:Description": "The major fragments translated by Peter Saint-Andr\u00e9.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho/Works", "url": "http://www.monadnock.net/translations/sappho.html"} +{"d:Title": "Poetry Archive: Poems by Sappho", "d:Description": "Six poems from various late 19th century translations.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho/Works", "url": "http://www.poetry-archive.com/s/sappho.html"} +{"d:Title": "Sappho: Poem I", "d:Description": "Translation and transliteration of Sappho's only surviving complete poem.", "topic": "Top/Arts/Classical_Studies/Greek/Sappho/Works", "url": "http://community.middlebury.edu/~harris/Texts/sappho.1.html"} +{"d:Title": "Women's Voices - Sappho, Selected Fragments", "d:Description": "6 major fragments presented at Women's Life in Greece and Rome, by Mary R. Lefkowitz and Maureen B. Fant", "topic": "Top/Arts/Classical_Studies/Greek/Sappho/Works", "url": "http://www.stoa.org/diotima/anthology/wlgr/wlgr-voices1.shtml"} +{"d:Title": "Thucydides as Science", "d:Description": "Essay by Russell McNeil concerning Thucydides' contribution to social science.", "topic": "Top/Arts/Classical_Studies/Greek/Thucydides", "url": "http://records.viu.ca/~mcneil/lec18b.htm"} +{"d:Title": "Peloponnesian War", "d:Description": "English translation by Richard Crawley [ICA].", "topic": "Top/Arts/Classical_Studies/Greek/Thucydides/History_of_the_Peloponnesian_War", "url": "http://classics.mit.edu/Thucydides/pelopwar.html"} +{"d:Title": "Peloponnesian War Timeline [About.com]", "d:Description": "Timeline of the treaties and fighting among the Greek city-states in the Peloponnesian War.", "topic": "Top/Arts/Classical_Studies/Greek/Thucydides/History_of_the_Peloponnesian_War", "url": "http://ancienthistory.about.com/cs/peloponnesianwar/a/timepelopwar.htm"} +{"d:Title": "After the Peloponnesian War - Thirty Tyrants Replaced Democracy with Oligarchic Rule in Athens", "d:Description": "The treaty between Athens and Sparta at the end of the Peloponnesian War and its aftermath. [About.com]", "topic": "Top/Arts/Classical_Studies/Greek/Thucydides/History_of_the_Peloponnesian_War", "url": "http://ancienthistory.about.com/od/peloponnesianwar/p/30tyrants.htm"} +{"d:Title": "Histories", "d:Description": "English translation of Thucydides' History of the Peloponnesian War [Perseus]. Three versions in Greek also available.", "topic": "Top/Arts/Classical_Studies/Greek/Thucydides/History_of_the_Peloponnesian_War", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:tlg,0003,001"} +{"d:Title": "Xenophon - Internet Encyclopedia of Philosophy", "d:Description": "Short biography and profile of his literary and historical contributions.", "topic": "Top/Arts/Classical_Studies/Greek/Xenophon", "url": "http://www.iep.utm.edu/xenophon/"} +{"d:Title": "The Polity of the Spartans", "d:Description": "Ancient History Sourcebook version of Xenophon's c. 375 BC text, modified by Prof. Arkenburg of California State University at Fullerton from Fred Fling's 1907 English translation.", "topic": "Top/Arts/Classical_Studies/Greek/Xenophon/Works", "url": "http://www.fordham.edu/halsall/ancient/xeno-sparta1.asp"} +{"d:Title": "Anabasis, or March Up Country", "d:Description": "Ancient History Sourcebook transcription from typed from Dakyns' series.", "topic": "Top/Arts/Classical_Studies/Greek/Xenophon/Works", "url": "http://sourcebooks.fordham.edu/halsall/ancient/xenophon-anabasis.asp"} +{"d:Title": "Histos", "d:Description": "Electronic journal of ancient historiography at the University of Durham.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.dur.ac.uk/Classics/histos/"} +{"d:Title": "Ancient Narrative", "d:Description": "Interdisciplinary peer-reviewed electronic journal publishing articles on Greek, Roman, and Jewish novels and narrative from the ancient and Byzantine periods. Free abstracts available; articles in PDF for subscribers. Also produces the Petronian Society Newsletter.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.ancientnarrative.com/"} +{"d:Title": "Studia Humaniora Tartuensia", "d:Description": "An open access, peer-reviewed on-line journal of the humanities, focusing on classical studies and ancient history. Site provides editorial board contacts, submission guidelines, and past issue indices.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.ut.ee/klassik/sht/"} +{"d:Title": "Arachnion", "d:Description": "Archives of an online journal of literature and ancient history distributed by the Universit\u00e0 degli Studi di Torino.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.cisi.unito.it/arachne/arachne.html"} +{"d:Title": "Arion", "d:Description": "A journal of humanities and the classics published by Boston University. Selected articles available in PDF format; subscription information and submission guidelines also provided.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.bu.edu/arion/"} +{"d:Title": "American Journal of Philology", "d:Description": "Publishes research in Greek and Roman literature, classical linguistics, and Greek and Roman history and culture. Tables of contents and sample issue available; full text in PDF available with subscription.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://muse.jhu.edu/journals/american_journal_of_philology/"} +{"d:Title": "Traditio", "d:Description": "Journal of ancient and medieval history, thought, and religion published by Fordham University. Contact information, introduction, and index of articles.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.georgetown.edu/faculty/jod/traditio/traditio.html"} +{"d:Title": "Pomoerium", "d:Description": "Resources for classicists, including an online edition of their journal and a list of classics links.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.pomoerium.eu/"} +{"d:Title": "Didaskalia: Ancient Theatre Today", "d:Description": "English-language publication about Greek and Roman drama, dance, and music as they are performed today. Hypertext of articles provided as well as discussion groups, performance listings, conference and event information, and research resources.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://www.didaskalia.net/"} +{"d:Title": "Bryn Mawr Classical Review", "d:Description": "Publishes timely reviews of current scholarly work in the field of classical studies. Site offers archives since 1990.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://bmcr.brynmawr.edu/"} +{"d:Title": "Phoenix", "d:Description": "Articles covering all areas of classical studies, published by the Classical Association of Canada. Info on subscribing and contributing, and indexes of selected issues.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://homes.chass.utoronto.ca/~phoenix/"} +{"d:Title": "Tables of Contents of Journals of Interest to Classicists (TOCS-IN)", "d:Description": "Tables of contents of a selection of classics, Near Eastern studies, and religion journals, available in text format and searchable in English and French. Some texts and abstracts also available.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://projects.chass.utoronto.ca/amphoras/tocs.html"} +{"d:Title": "Classical Antiquity", "d:Description": "Archives of the electronic edition of the University of California Press journal. Abstracts, tables of contents, and sample issues available for free; PDF of articles requires subscription.", "topic": "Top/Arts/Classical_Studies/Journals", "url": "http://ca.ucpress.edu/"} +{"d:Title": "American Classical League", "d:Description": "Founded in 1919 for the purpose of fostering the study of classical languages in the United States and Canada. Membership is open to anyone committed to the preservation and advancement of the heritage of Greece and Rome.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.aclclassics.org/"} +{"d:Title": "Texas Classical Association", "d:Description": "An organization for professors and teachers, and future professors and teachers, of the classics in Texas.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.txclassics.org/"} +{"d:Title": "Joint Association of Classical Teachers (JACT)", "d:Description": "Teaching resources, publications, related links, and event information from the UK-based educators' organization.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.jact.org/"} +{"d:Title": "Classical Association of the Middle West and South", "d:Description": "An organization of teachers and professors of Latin, Greek, and all other studies which focus on the world of classical antiquity, in the Midwestern and Southern US. Administration information, awards and scholarships, and meetings and announcement provided, as well as information about The Classical Journal.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.camws.org/"} +{"d:Title": "Classical Association of the Atlantic States", "d:Description": "Unites residents of New York, New Jersey, Pennsylvania, Delaware, Maryland and the District of Columbia who are students, teachers, and devotees of Greco-Roman languages, literature and culture.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.caas-cw.org/"} +{"d:Title": "Australian Society for Classical Studies", "d:Description": "Open to those engaged in teaching or research in the languages, literature, history, thought and archaeology of the ancient world.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.ascs.org.au/"} +{"d:Title": "Classical Association of the Empire State", "d:Description": "A not-for-profit professional association of teachers and professors of ancient Greek and Latin in New York.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.caesny.org/"} +{"d:Title": "Classical Association of Virginia", "d:Description": "Announcements, calendar and program, membership information, job placement service, and links.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.cavclassics.org/"} +{"d:Title": "Ontario Classical Association", "d:Description": "A society dedicated to the promotion of Classical Studies in the Canadian province of Ontario, founded in 1944.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://www.ontarioclassicalassociation.ca/"} +{"d:Title": "Council of University Classical Departments", "d:Description": "Professional forum for all teachers of classical Greek and Roman subjects in British universities.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "http://cucd.blogs.sas.ac.uk/"} +{"d:Title": "Society for Classical Studies", "d:Description": "Principal learned society in North America for the study of ancient Greek and Roman languages, literatures, and civilizations, founded in 1869. Awards, directory of members, lecture and conference information, classical studies education and professional resources, and links.", "topic": "Top/Arts/Classical_Studies/Organizations", "url": "https://classicalstudies.org/"} +{"d:Title": "Eta Sigma Phi - Indiana State University", "d:Description": "Gamma Alpha chapter.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://baby.indstate.edu/classics/"} +{"d:Title": "Eta Sigma Phi - Monmouth College", "d:Description": "Gamma Omicron chapter, founded in 1956. Officer list, current news, and initiation photos.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://department.monm.edu/classics/EtaSigmaPhi/"} +{"d:Title": "Eta Sigma Phi", "d:Description": "The national honorary collegiate society for students of Latin, Greek, and/or the classical studies.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://department.monm.edu/classics/esp/"} +{"d:Title": "Eta Sigma Phi - St. Olaf College", "d:Description": "Delta Chi chapter. Membership list, short history, Bacchanalia photos, and contest information.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://wp.stolaf.edu/classics/classics-honor-society/"} +{"d:Title": "Eta Sigma Phi - Mississippi, University of - Lambda Chapter", "d:Description": "Background, officers, and members.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://classics.olemiss.edu/eta-sigma-phi/"} +{"d:Title": "Eta Sigma Phi - Georgia, University of", "d:Description": "Zeta Iota chapter.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://www.classics.uga.edu/eta-sigma-phi"} +{"d:Title": "Eta Sigma Phi - Arkansas, University of", "d:Description": "Beta Pi chapter.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://www.uark.edu/rso/etasigma/"} +{"d:Title": "Eta Sigma Phi - San Diego State University (Umanisti)", "d:Description": "Zeta Gamma chapter, chartered at SDSU in 1989.", "topic": "Top/Arts/Classical_Studies/Organizations/Eta_Sigma_Phi", "url": "http://dus.sdsu.edu/dus/honorscouncil/eta_sigma_phi.aspx"} +{"d:Title": "National Junior Classical League (NJCL)", "d:Description": "An organization of junior and senior high school students interested in antiquity. Sponsored by the American Classical League.", "priority": "1", "topic": "Top/Arts/Classical_Studies/Organizations/Junior_Classical_League", "url": "http://www.njcl.org/"} +{"d:Title": "Indiana Junior Classical League", "d:Description": "How to start a chapter, news, calendar, and list of executive board members.", "topic": "Top/Arts/Classical_Studies/Organizations/Junior_Classical_League", "url": "http://www.ijcl.org/"} +{"d:Title": "Tennessee Junior Classical League", "d:Description": "Who's who, events, links, and purpose.", "topic": "Top/Arts/Classical_Studies/Organizations/Junior_Classical_League", "url": "http://www.tjcl.org/"} +{"d:Title": "Arizona Junior Classical League", "d:Description": "Lists officers and links to Latin and history games.", "topic": "Top/Arts/Classical_Studies/Organizations/Junior_Classical_League", "url": "http://www.quia.com/pages/jcl.html"} +{"d:Title": "Massachusetts Junior Classical League", "d:Description": "The official site of the Mass JCL, or Massachusetts Junior Classical League. This organization is an educational one for students in all levels of Latin or Greek, specifically middle or high school. Our purpose is the furtherment of the Classics and their languages to students of today.", "topic": "Top/Arts/Classical_Studies/Organizations/Junior_Classical_League", "url": "http://www.massjcl.org/"} +{"d:Title": "New Jersey Junior Classical League", "d:Description": "Membership and officers, programs, reference items, and event information provided.", "topic": "Top/Arts/Classical_Studies/Organizations/Junior_Classical_League", "url": "http://njjcl.webs.com/"} +{"d:Title": "Virginia Junior Classical League", "d:Description": "Events, proceedings, list of Certamina and dates, and JCL announcements.", "topic": "Top/Arts/Classical_Studies/Organizations/Junior_Classical_League", "url": "http://www.virginiajcl.org/"} +{"d:Title": "Texts in Perseus for Browsing: Latin", "d:Description": "Primary Text Index: Latin Texts", "topic": "Top/Arts/Classical_Studies/Roman", "url": "http://www.perseus.tufts.edu/Texts/latin_TOC.html"} +{"d:Title": "Latin Language at About.com", "d:Description": "Links to literature and learning links.", "topic": "Top/Arts/Classical_Studies/Roman", "url": "http://ancienthistory.about.com/cs/latin/"} +{"d:Title": "Forum Romanum", "d:Description": "Listing of online texts from Augustine to Vergil. Also, grammar, history, mythology, help for students of the Latin language.", "topic": "Top/Arts/Classical_Studies/Roman", "url": "http://www.forumromanum.org/"} +{"d:Title": "Cassiodorus", "d:Description": "Page on Cassiodorus, the 5th Century BC monk and statesman, by James J. O'Donnell. This links to the author's e-text biography of him, which is sorted by chapter and includes the author's preface, appendices, and notes.", "topic": "Top/Arts/Classical_Studies/Roman", "url": "http://www.georgetown.edu/faculty/jod/cassiodorus.html"} +{"d:Title": "Lacus Curtius", "d:Description": "Extensive ancient Rome resource. Includes numerous photographs of Roman monuments, several classical texts, secondary sources in the public domain, and catalogues of parts of the Roman Empire.", "topic": "Top/Arts/Classical_Studies/Roman", "url": "http://penelope.uchicago.edu/Thayer/E/"} +{"d:Title": "Tibullus and Sulpicia : The Poems", "d:Description": "A 2001 A.S. Kline English translation of the poems of Albius Tibullus, and those of and about Sulpicia, from the Messalla Collection. Provides index of first lines.", "topic": "Top/Arts/Classical_Studies/Roman", "url": "http://poetryintranslation.com/"} +{"d:Title": "Histos: Ammianus Marcellinus on the Geography of the Pontus Euxinus", "d:Description": "Paper by Jan Willem Drijvers of the University of Groningen considering Marcellinus' digression on the Black Sea in the Res Gestae as a literary rather than reference piece.", "topic": "Top/Arts/Classical_Studies/Roman/Ammianus_Marcellinus", "url": "http://www.dur.ac.uk/Classics/histos/1998/drijvers.html"} +{"d:Title": "Forum Romanum: Ammianus Marcellinus", "d:Description": "Latin text of Res Gestae a Fine Corneli Taciti, as well as a list of related sites.", "topic": "Top/Arts/Classical_Studies/Roman/Ammianus_Marcellinus", "url": "http://www.forumromanum.org/literature/ammianus_bio.html"} +{"d:Title": "Ancient History Sourcebook: The Luxury of the Rich in Rome", "d:Description": "An excerpt from the Roman History XIV.16, from the Davis translation, in which Ammianus criticizes Rome as shallow and culturally empty.", "topic": "Top/Arts/Classical_Studies/Roman/Ammianus_Marcellinus", "url": "http://www.fordham.edu/halsall/ancient/ammianus-history14.asp"} +{"d:Title": "The Battle of Adrianople", "d:Description": "Excerpt from the Yonge 1862 translation (History, XXXI.12-14), on the Roman defeat.", "topic": "Top/Arts/Classical_Studies/Roman/Ammianus_Marcellinus", "url": "http://www.fordham.edu/halsall/ancient/378adrianople.asp"} +{"d:Title": "Apuleius - The Defense [Internet Classics Archive]", "d:Description": "English translation of the Apologia, translated by H. E. Butler", "topic": "Top/Arts/Classical_Studies/Roman/Apuleius", "url": "http://classics.mit.edu/Apuleius/apol.html"} +{"d:Title": "Apologia", "d:Description": "A resource site by James J. O'Donnell on Apuleius' self-defense; provides e-texts of translations, background on related issues, and commentary on the author and his times. Also provides bibliographies and links to other sites.", "topic": "Top/Arts/Classical_Studies/Roman/Apuleius", "url": "http://www.georgetown.edu/faculty/jod/apuleius/"} +{"d:Title": "The Golden Ass", "d:Description": "An introduction to The Metamorphoses, with discussions on themes such as desire and labour. Includes numerous illustrations.", "topic": "Top/Arts/Classical_Studies/Roman/Apuleius", "url": "http://www.jnanam.net/golden-ass/"} +{"d:Title": "The Golden Asse by Lucius Apuleius", "d:Description": "Hypertext of Adlington's translation of 1566 in eleven books, with notes about the author and a glossary for some of the archaic English terms.", "topic": "Top/Arts/Classical_Studies/Roman/Apuleius", "url": "http://books.eserver.org/fiction/apuleius/"} +{"d:Title": "Autobiographical Information: CATO THE ELDER", "d:Description": "A concise account of Cato the Elder's life and works, with bibliography.", "topic": "Top/Arts/Classical_Studies/Roman/Cato_the_Elder", "url": "http://pawprints.kashalinka.com/anecdotes/cato_bio.shtml"} +{"d:Title": "Catullus XLII", "d:Description": "English translation of the text side-by-side with the original Latin.", "topic": "Top/Arts/Classical_Studies/Roman/Catullus", "url": "http://www.obscure.org/obscene-latin/catullus-42.html"} +{"d:Title": "Catullus' Page", "d:Description": "A Javascript-based interactive set of pages using texts in the original Latin.", "topic": "Top/Arts/Classical_Studies/Roman/Catullus", "url": "http://www.users.globalnet.co.uk/~loxias/catullus.htm"} +{"d:Title": "Poetry Archive: Caius Valerius Catullus", "d:Description": "Unannotated 19th century translations of six short poems.", "topic": "Top/Arts/Classical_Studies/Roman/Catullus", "url": "http://www.poetry-archive.com/c/catullus.html"} +{"d:Title": "Catullus: The Poems", "d:Description": "Complete collection of transated poems of Gaius Valerius Catullus in a single web page, with hyperlinks to glossary. By A.S. Kline.", "topic": "Top/Arts/Classical_Studies/Roman/Catullus", "url": "http://www.poetryintranslation.com/PITBR/Latin/Catullus.htm"} +{"d:Title": "Academy of American Poets: Gaius Valerius Catullus", "d:Description": "Short biography, selection of poems, and links.", "topic": "Top/Arts/Classical_Studies/Roman/Catullus", "url": "https://www.poets.org/poetsorg/poet/gaius-valerius-catullus"} +{"d:Title": "Bartleby.com: Cicero", "d:Description": "Short biography of the Roman orator, statesman, and philosopher (106 B.C.-43 B.C.). Harvard Classics editions of the Treatises on Friendship and on Old Age, and the Letters, as well as selected quotations from Bartlett.", "topic": "Top/Arts/Classical_Studies/Roman/Cicero", "url": "http://www.bartleby.com/people/Cicero-orat.html"} +{"d:Title": "Cicero (c. 106-43 B.C.)", "d:Description": "Overview of the life and works of Marcus Tullius Cicero, from the Internet Encyclopedia of Philosophy", "topic": "Top/Arts/Classical_Studies/Roman/Cicero", "url": "http://www.iep.utm.edu/cicero/"} +{"d:Title": "Cicero - To Laelius On Friendship [Ancient History Sourcebook]", "d:Description": "English translation of De Amicitia by W. Melmoth. Maintained by the Ancient History Sourcebook at Fordham University.", "topic": "Top/Arts/Classical_Studies/Roman/Cicero", "url": "http://www.fordham.edu/halsall/ancient/cicero-friendship.asp"} +{"d:Title": "Cicero - Against Catiline [Perseus Project]", "d:Description": "English translation by Yonge with link to Latin original text, notes and lexica.", "topic": "Top/Arts/Classical_Studies/Roman/Cicero", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:phi,0474,013"} +{"d:Title": "Cicero - On the Consular Provinces [Perseus]", "d:Description": "English translation by Yonge", "topic": "Top/Arts/Classical_Studies/Roman/Cicero", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:phi,0474,025"} +{"d:Title": "Cicero - For Marcus Tullius [Perseus]", "d:Description": "English translation by Yonge", "topic": "Top/Arts/Classical_Studies/Roman/Cicero", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:phi,0474,006"} +{"d:Title": "Cicero - On His House [Perseus]", "d:Description": "English translation by Yonge of De Domo Sua", "topic": "Top/Arts/Classical_Studies/Roman/Cicero", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:phi,0474,020"} +{"d:Title": "Theatre Database: Horace (65-8 B.C.)", "d:Description": "A brief biography of the Roman philosopher and dramatic critic Quintus Horatius Flaccus.", "topic": "Top/Arts/Classical_Studies/Roman/Horace", "url": "http://www.theatredatabase.com/ancient/horace_001.html"} +{"d:Title": "Poetry Archive: Poems by Horace", "d:Description": "Seven poems from various translations.", "topic": "Top/Arts/Classical_Studies/Roman/Horace", "url": "http://www.poetry-archive.com/h/horace.html"} +{"d:Title": "Horace - Odes [Perseus Proect]", "d:Description": "Conington translation of Horace's Odes.", "topic": "Top/Arts/Classical_Studies/Roman/Horace", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:phi,0893,001"} +{"d:Title": "The Testimonium Flavianum", "d:Description": "Examines the arguments for and against the authenticity of the two references to Jesus in the Antiquities of Flavius Josephus.", "topic": "Top/Arts/Classical_Studies/Roman/Josephus", "url": "http://www.earlychristianwritings.com/testimonium.html"} +{"d:Title": "Writings of Flavius Josephus", "d:Description": "English translations at Crosswalk.com.", "topic": "Top/Arts/Classical_Studies/Roman/Josephus", "url": "http://www.biblestudytools.com/history/flavius-josephus/"} +{"d:Title": "Juvenal - Satires [Ancient History Sourcebook]", "d:Description": "English 1918 translation for the Loeb Classical Library by G. G. Ramsay of several satires of Juvenal", "topic": "Top/Arts/Classical_Studies/Roman/Juvenal", "url": "http://www.fordham.edu/halsall/ancient/juv-sat1eng.asp"} +{"d:Title": "Satire VI, The Ways of Women", "d:Description": "Edited text of the Loeb English translation by G.G. Ramsay, with notes, provided by the Ancient History Sourcebook.", "topic": "Top/Arts/Classical_Studies/Roman/Juvenal", "url": "http://www.fordham.edu/halsall/ancient/juvenal-satvi.asp"} +{"d:Title": "The Odyssey", "d:Description": "English translation of the Odissia (in turn a Latin version of Homer's Odyssey) by David Camden.", "topic": "Top/Arts/Classical_Studies/Roman/Livius_Andronicus", "url": "http://www.forumromanum.org/literature/livius_andronicus/odissiae.html"} +{"d:Title": "Livy - The History of Rome", "d:Description": "Text of the Roberts English language translation of Livy's History of Rome by the Perseus Project at Tufts University.", "topic": "Top/Arts/Classical_Studies/Roman/Livy", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.02.0026"} +{"d:Title": "Lucan - Pharsalia (or Civil War) [Berkeley OMACL]", "d:Description": "English translation by Sir Edward Ridley, 1896.", "topic": "Top/Arts/Classical_Studies/Roman/Lucan", "url": "http://omacl.org/Pharsalia/"} +{"d:Title": "Ovid Project: Metamorphosing the Metamorphoses", "d:Description": "Annotated online reproductions of illustrated works of Ovid as published in book form, in the University of Vermont's collection.", "topic": "Top/Arts/Classical_Studies/Roman/Ovid", "url": "http://www.uvm.edu/~hag/ovid/"} +{"d:Title": "Ovid's Metamorphoses", "d:Description": "Introduction, commentary, and discussion of myths, background information, and influence on art and literature, with links to sources and illustrations", "topic": "Top/Arts/Classical_Studies/Roman/Ovid", "url": "http://larryavisbrown.homestead.com/"} +{"d:Title": "Metamorhoses by Ovid", "d:Description": "Unannotated English translation of the entire work in one indexed page hosted by Windsor Castle.", "topic": "Top/Arts/Classical_Studies/Roman/Ovid", "url": "http://oaks.nvg.org/eg6ra11.html"} +{"d:Title": "The Natural History", "d:Description": "Complete Latin text, occasionally commented; linked when possible to translations.", "topic": "Top/Arts/Classical_Studies/Roman/Pliny_the_Elder", "url": "http://penelope.uchicago.edu/Thayer/E/Roman/Texts/Pliny_the_Elder/"} +{"d:Title": "Bartleby.com: Pliny the Younger", "d:Description": "Short biography of the orator and statesman, as well as text of the 1909 Collier translation of his letters and a selection of quotations.", "topic": "Top/Arts/Classical_Studies/Roman/Pliny_the_Younger", "url": "http://www.bartleby.com/people/PlinyYng.html"} +{"d:Title": "Shakespeare's Sources in Plutarch's Parallel Lives", "d:Description": "A few biographies of ancient Roman and Greek heroes from J. W. Skeat's 19th century edition of Sir Thomas North's 1579 English edition. The North edition was a Renaissance best-seller in England, and Shakespeare borrowed heavily from it for his plays. Provided by the Perseus Project at Tufts University.", "topic": "Top/Arts/Classical_Studies/Roman/Plutarch", "url": "http://www.perseus.tufts.edu/JC/plutarch.north.html"} +{"d:Title": "Plutarch's Lives", "d:Description": "All of the classic biographies of heroes and villains from ancient Greece and Rome. The English text is awkward and antiquated, but it's the complete Dryden edition (1683), as revised by A.H. Clough (1864).", "topic": "Top/Arts/Classical_Studies/Roman/Plutarch", "url": "http://classics.mit.edu/Browse/index-Plutarch.html"} +{"d:Title": "Polybius - The Character of Hannibal [Ancient History Sourcebook]", "d:Description": "From the 1889 translation of Polybius' work by Evelyn S. Shuckburgh.", "topic": "Top/Arts/Classical_Studies/Roman/Polybius", "url": "http://www.fordham.edu/halsall/ancient/polybius-hannibal.asp"} +{"d:Title": "Polybius - Rome at the End of the Punic Wars [Ancient History Sourcebook]", "d:Description": "English translation of Book 6 of Polybius' History", "topic": "Top/Arts/Classical_Studies/Roman/Polybius", "url": "http://www.fordham.edu/halsall/ancient/polybius6.asp"} +{"d:Title": "Robert Graves and the Twelve Caesars", "d:Description": "Gore Vidal's famous essay on Robert Grave's version of Suetonius' writings.", "topic": "Top/Arts/Classical_Studies/Roman/Suetonius", "url": "http://www.rjgeib.com/thoughts/desolation/gore-vidal.html"} +{"d:Title": "Suetonius\u00a0- The Deified Julius, The Lives of the Caesars [Ancient History Sourcebook]", "d:Description": "English translation by Rolfe of Divus Iulius, part of De Vita Caesarum by Suetonius", "topic": "Top/Arts/Classical_Studies/Roman/Suetonius", "url": "http://www.fordham.edu/halsall/ancient/suetonius-julius.asp"} +{"d:Title": "Suetonius - The Divine Augustus [Ancient History Sourcebook]", "d:Description": "English translation by Worthington of Divus Augustus, part of De Vita Caesarum by Suetonius", "topic": "Top/Arts/Classical_Studies/Roman/Suetonius", "url": "http://sourcebooks.fordham.edu/halsall/ancient/suetonius-augustus.asp"} +{"d:Title": "Tacitus", "d:Description": "Encyclopedia-style article on the historian, with hyperlinks to related topics, and bibliography.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus", "url": "http://en.wikipedia.org/wiki/Tacitus"} +{"d:Title": "The Annals", "d:Description": "English translation by Alfred John Church and William Jackson Brodribb. In HTML, with each extant book in its own file. Part of the Internet Classics Archive.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://classics.mit.edu/Tacitus/annals.html"} +{"d:Title": "The Histories", "d:Description": "A history of the Roman Empire by Tacitus, written 109 AD and translated into English by Alfred John Church and William Jackson Brodribb. In HTML, with each book in its own file. Part of the Internet Classics Archive.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://classics.mit.edu/Tacitus/histories.html"} +{"d:Title": "The Works of Tacitus", "d:Description": "Church-Brodribb translation, with parallel text in Latin. HTML, with ten paragraphs per file. At Internet Sacred Text Archive.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://www.sacred-texts.com/cla/tac/index.htm"} +{"d:Title": "Roman History (14-70 A.D.)", "d:Description": "The Annals and The History. Church-Brodribb translation, using the paragraph numbering of the 1942 Modern Classics edition. HTML, one book per file.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://mcadams.posc.mu.edu/txt/ah/tacitus/"} +{"d:Title": "Germania", "d:Description": "English translation by Thomas Gordon. From an edition included in the Harvard Classics, 1910. In HTML, at the Medieval Sourcebook.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://www.fordham.edu/halsall/basis/tacitus-germanygord.asp"} +{"d:Title": "A Dialogue on Oratory", "d:Description": "Church-Brodribb translation. HTML, with one paragraph per file. At Perseus.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Tac.+Dial.+1"} +{"d:Title": "The Annals", "d:Description": "Church and Brodribb translation. HTML, with each paragraph in its own file. Ability to switch to the Latin. At Perseus.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Tac.+Ann.+toc"} +{"d:Title": "Germany and Its Tribes", "d:Description": "Church and Brodribb translation. HTML, with one paragraph per file. Ability to switch to the Latin. At Perseus.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Tac.+Ger.+1"} +{"d:Title": "The History", "d:Description": "Church-Brodribb translation. HTML, each paragraph in its own file. Ability to view the Latin. At Perseus.", "topic": "Top/Arts/Classical_Studies/Roman/Tacitus/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Tac.+Hist.+toc"} +{"d:Title": "Virgil's Page", "d:Description": "Includes an excerpt from the Georgics and from the Aeneid, the latter heavily annotated.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil", "url": "http://www.users.globalnet.co.uk/~loxias/latin.htm"} +{"d:Title": "Virgil.Org", "d:Description": "Online search of Vergil's Latin works. Links to translations, bibliography, and books.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil", "url": "http://virgil.org/texts/"} +{"d:Title": "Bartleby.com: Vergil", "d:Description": "Short biography of the Latin literary giant and text of the Aeneid from the 1909 Collier translation.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil", "url": "http://www.bartleby.com/people/Vergil.html"} +{"d:Title": "About.com: Vergil and the Classical Tradition", "d:Description": "Information on Vergil and his lasting influence on literature, with links to other Vergil essays and resources.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil", "url": "http://ancienthistory.about.com/library/weekly/aa021500a.htm"} +{"d:Title": "Vergil's Home Page", "d:Description": "Links to Vergil sources of all sorts from the Classics Department of the University of Pennsylvania.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil", "url": "http://vergil.classics.upenn.edu/"} +{"d:Title": "Literature Network: The Aeneid by Virgil", "d:Description": "Searchable HTML, indexed by chapter. No attribution given for the translation.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil/Aeneid", "url": "http://www.online-literature.com/virgil/aeneid/"} +{"d:Title": "The Internet Classics Archive: The Aeneid by Virgil", "d:Description": "Hypertext of Dryden's translation, sorted by book.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil/Aeneid", "url": "http://classics.mit.edu/Virgil/aeneid.html"} +{"d:Title": "Latin Poetry - Virgil", "d:Description": "The web site author writes, \"Looking at the poetry and philosophy of the author of the Aeneid and the Georgics. Now includes my complete translations of Aeneid Book 2 (The Fall of Troy) and Book 6 (The Underworld) with hypertext annotation.\"", "topic": "Top/Arts/Classical_Studies/Roman/Virgil/Aeneid", "url": "http://www.users.globalnet.co.uk/~loxias/latin.htm"} +{"d:Title": "The Aeneid", "d:Description": "Complete text of Dryden's translation of Virgil's epic, at Wiretap Online Library.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil/Aeneid", "url": "http://wiretap.area.com/Gopher/Library/Classic/aeneid.txt"} +{"d:Title": "Aeneas' Story", "d:Description": "Cumberland Valley High School notes on translation of the Aeneid from Latin to conventional American English.", "topic": "Top/Arts/Classical_Studies/Roman/Virgil/Aeneid", "url": "http://members.tripod.com/~Chaipo/"} +{"d:Title": "Aeneid Outline", "d:Description": "Outline summary of the Books of Vergil's Aeneid", "topic": "Top/Arts/Classical_Studies/Roman/Virgil/Aeneid/Study_Guides", "url": "http://www.unbsj.ca/arts/classics/courses/clas1502/aenout.html"} +{"d:Title": "0x2121.com", "d:Description": "Online web comic strip with a high geekdom factor about computer science, mathematics, physics and randomness.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/0", "url": "http://0x2121.com/"} +{"d:Title": "20 Kph", "d:Description": "About college roommates dealing with daily annoyances. By Mark Edwards.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/2", "url": "http://www.angelfire.com/comics/20kph/"} +{"d:Title": "2-D", "d:Description": "Galleries of characters and cartoons. Includes an archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/2", "url": "http://duecemcnally.tripod.com/2D/2dmain.html"} +{"d:Title": "24fps", "d:Description": "A film critic, his porn-obsessed roommate, and their friend the artist. By Chris Ward and Stephanie Burrows.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/2", "url": "http://filmbuff.comicgenesis.com/"} +{"d:Title": "3 Witches", "d:Description": "Features archive, with wallpaper downloads, news, articles about comics, and e-books.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/3", "url": "http://threewitches.comicgenesis.com/index.html"} +{"d:Title": "9 to 5", "d:Description": "Daily panel and story overview.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/9", "url": "http://www.gocomics.com/9to5/"} +{"d:Title": "Angry Little Girls", "d:Description": "Weekly strip about little girls who are angry about boys, kissing, chores, allowances, parents, school, and life in general, by Lela Lee. With character profiles, message board, and merchandise.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.angrylittlegirls.com/"} +{"d:Title": "Aford T. Turtle", "d:Description": "This strip by Aaron Riddle follows the adventures of a turtle and his forest pals. Archived comics, merchandise for sale.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.afordturtle.com/"} +{"d:Title": "Avalon", "d:Description": "A daily webcomic featuring the slightly off-the-wall students of a Canadian high school. By Josh Phillips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.avalonhigh.com/"} +{"d:Title": "Airtoons", "d:Description": "Cartoons based on actual airplane safety instruction pictures. By Taber. Regularly updated.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.airtoons.com/"} +{"d:Title": "The Adventures of Aaron Fan Page", "d:Description": "Fan page for Aaron Warner's daily strip; includes archived strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.angelfire.com/or/adventuresofaaron/enter.html"} +{"d:Title": "Absurd Notions", "d:Description": "College graduates with varying personalities facing reality. Archive, FAQ, merchandise, character profiles, and message board.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.absurdnotions.org/"} +{"d:Title": "Amazing Cows", "d:Description": "Stick figure computer generated comics, featuring the Moose Cow.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.angelfire.com/comics/themoosecow/"} +{"d:Title": "The Adventures of Elliot Wangley", "d:Description": "Weekly humor strip making fun of spy stories and whatever else comes to mind, by Mark R. Largent.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.endeavorcomics.com/wangley"} +{"d:Title": "Ashfield", "d:Description": "Humor strip by Aric McKeown, about a time-traveler's bizarre problems. Also features the single-panel humor cartoon \"?\".", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.ashfieldonline.com/"} +{"d:Title": "Achewood", "d:Description": "A cartoon of modern life as lived by a retarded otter, an alcoholic tiger, and two bears.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.achewood.com/"} +{"d:Title": "Ainox Comics", "d:Description": "Home to the adventures of the Nuclear Onion and the Atomic Pea. Original cartoons, requires Flash.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.ainoxcomics.com/"} +{"d:Title": "Anne Frank Conquers the Moon Nazis", "d:Description": "Comic in which Anne Frank is brought back to life as a superhero to battle Hitler in the future.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://excelsiorstudios.net/comic.html"} +{"d:Title": "Antihero for Hire", "d:Description": "Comedy-driven nocturnal superhero action. Features an archive, a forum and about.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.antiheroforhire.com/"} +{"d:Title": "Acelex", "d:Description": "A guy who has just graduated from university and is looking for a job, a life and a girlfriend. But since he's dopey, clumsy and cheeky, unexpected things happen on the way.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://acelex.comicgenesis.com/"} +{"d:Title": "Angry People", "d:Description": "A comic about angry people saying amusing things.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://angrypeople.comicgenesis.com/"} +{"d:Title": "Aurora Cab", "d:Description": "Furry comic about a taxi driver who travels between webcomics for fares.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://auroracab.comicgenesis.com/"} +{"d:Title": "Asian Mafia", "d:Description": "This fictional manga about guns, FBI, secret organization, covert operation, and crazy agents is based upon the Asian Mafia Story by Khaki Corp. Updated every Sunday.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://kyakiikoopu.comicgenesis.com/"} +{"d:Title": "The Adventures of Dan", "d:Description": "Web comic about Dan and his friends, who play a lot of videogames. By Michael Arsers.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://taodan.comicgen.com/"} +{"d:Title": "The Avatar", "d:Description": "Featuring a series about the mostly fictional lives of a bunch of students. Includes archives and character bios.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://theavatar.comicgenesis.com/"} +{"d:Title": "Academia Nuts", "d:Description": "Daily comic strip by Huw Williams, previously in the Purdue Exponent about life at University. Site features a daily strip with an archive, a set of character profiles and creator information.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://www.huwtopia.com/nuts/"} +{"d:Title": "Abstruse Goose", "d:Description": "Strip cartoon about math, science and geek culture.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/A", "url": "http://abstrusegoose.com/"} +{"d:Title": "Basic Instructions", "d:Description": "Webcomic by Scott Meyer. Each strip takes the form of a \"How To\" guide.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://basicinstructions.net/"} +{"d:Title": "Birdo's Adventures!", "d:Description": "Online comic by Mark Vallen about a bird, born in LA, who plots against humanity.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.black-kat.com/blackmoon/birdo.html"} +{"d:Title": "Bruno the Bandit", "d:Description": "This is a swords and sorcery (and satire) comic strip. Think of it as a cross between The Lord of the Rings and The Simpsons. Updated daily.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.brunothebandit.com/"} +{"d:Title": "Buster's Battery", "d:Description": "A humorous look at today's Army. Buster leads a group of Patriot Missile Misfits at Fort Bliss and in SWA. Includes cartoons and photos from the unit.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.angelfire.com/tx4/bustersbattery/"} +{"d:Title": "Bazoobee", "d:Description": "Bazoobee with his friends by Dave Sperry.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://bazoobee.com/"} +{"d:Title": "Baby Sue", "d:Description": "Comics that focus on social satire.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.babysue.com/"} +{"d:Title": "Bob the Angry Flower", "d:Description": "An irritable flower takes on aliens and wheelchair basketball. Includes archives and merchandise information", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.angryflower.com/"} +{"d:Title": "Bug and Robot", "d:Description": "Created by Mike Serra. Includes an archive and character information.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://bugandrobot.tripod.com/"} +{"d:Title": "BoxJam's Doodle", "d:Description": "An open-ended minimalist strip with muumuus.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.boxjamsdoodle.com/"} +{"d:Title": "Bill Caldwell's Cartoons", "d:Description": "British cartoonist, published in a number of newspapers. Includes cartoons from the Daily Star, Sunday Mail and Church Times.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.billcaldwell.com/"} +{"d:Title": "BoovieToons", "d:Description": "Cartoons and pictures based on humor puns and wordplays on movies and books. Includes movies such as Forrest Bump, The Umpire Strikes Back, and Dambo.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.boovietoons.com/"} +{"d:Title": "Boy on a Stick and Slither", "d:Description": "A comic strip about a boy on a stick and a snake called Slither. By Steven Cloud.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.boasas.com/"} +{"d:Title": "Booktalk Cartoons", "d:Description": "Daily cartoons about the book business. Humor about writers, publishers, editors, booksellers and readers.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://booktalk.freeservers.com/"} +{"d:Title": "Cartoons and Comics by Andy Broome", "d:Description": "Featuring the work of the cartoonist. Offers a daily cartoon and archives.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.andybroome.com/"} +{"d:Title": "Buddy and Hopkins", "d:Description": "A weekly comic strip by Jason Nocera based around two struggling blues musicians.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.buddyandhopkins.com/"} +{"d:Title": "Bull and Bunny", "d:Description": "Webcomic updated biweekly with a neurotic bull and a pushy bunny.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.kipster.org/bullandbunny/"} +{"d:Title": "Butternutsquash", "d:Description": "Story of three friends and their troubles with women. By Ramon Perez and Rob Coughler.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.butternutsquash.net/"} +{"d:Title": "Buckles", "d:Description": "Official site. Includes desktop theme, message board, and an archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.bucklescomic.com/"} +{"d:Title": "Big Fat Whale", "d:Description": "Features archives, a weblog, and an alternative point of view. By Brian McFadden.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.bigfatwhale.com/"} +{"d:Title": "Beau Peep", "d:Description": "Official fan site of the strip. By Roger Kettle and Andrew Christine. Published since 1978.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.beaupeep.com/"} +{"d:Title": "Bishop Bigelow", "d:Description": "Just an ordinary preacher with a whole lot of extraordinary circumstances that surround him. Features archives and animation.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.powellgospel.com/"} +{"d:Title": "Blue Neon", "d:Description": "A comic about random stuff, updated sporadically.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://blueneon.xidus.net/"} +{"d:Title": "Blueprint For Insanity", "d:Description": "Archive, artwork, articles, and daily commentary by the author.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.angelfire.com/comics/blueprintforinsanity/"} +{"d:Title": "Buffman", "d:Description": "The illustrated adventures of an unlikely superhero and the evil squirrels who hunt him. By Jeff Young.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.buffman.net/"} +{"d:Title": "Bohemiandrive.com", "d:Description": "Satirical weekly comic \"Nine Planets Without Intelligent Life\" about the travels of two unemployed robots in a post-human future.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.bohemiandrive.com/"} +{"d:Title": "Baldo&Pigface Adventures", "d:Description": "Weird and entertaining, a collection of bizarre art and notions.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://baldoandpigface.tripod.com/"} +{"d:Title": "The B-Movie Comic", "d:Description": "A group of unlikely heroes tackles monsters, mutants and aliens from Hollywood's past and present.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.bmoviecomic.com/"} +{"d:Title": "The Blurb!", "d:Description": "The day-to-day adventures of a comic book creator and his comic strip. By Jonas Diego.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.jonasdiego.blogspot.com/"} +{"d:Title": "Biblebot", "d:Description": "About religion and the high price of faith. A scientist creates a robot designed to save your soul.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://biblebot.comicgenesis.com/"} +{"d:Title": "Blue Canary", "d:Description": "Weekly comic by Kathryn White, about four college roommates and the Bluebird of Happiness.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://bluecanary.comicgenesis.com/"} +{"d:Title": "BokuNoManga", "d:Description": "A semi-weekly webcomic about a Mormon missionary. By Scott Harper.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://boku.comicgenesis.com/"} +{"d:Title": "Boys and Girls Club", "d:Description": "Life in point as a gay teenager - includes archives.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://boygirlclub.comicgenesis.com/"} +{"d:Title": "Borderline Sanity", "d:Description": "A webcomic about two friends who are just not completely sane.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://brdrline.comicgenesis.com/"} +{"d:Title": "Breakpoint City", "d:Description": "The comic adventures of Ben, an inventor, Dan, a talking dog, and Sofia, a female superhero, in the futuristic city of Breakpoint. By Brian Emling.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://breakpointcity.comicgenesis.com/"} +{"d:Title": "BirdBreath", "d:Description": "Life from a bird's point of view.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.birdbreath.com/"} +{"d:Title": "BidOpolis", "d:Description": "Features an imaginary eBay trading store where trading assistant Washington Locke and his boss, Alice Glass, deal with life as professional eBay sellers.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.bidopolis.com/"} +{"d:Title": "Benny and Boone Bear Cartoon", "d:Description": "Humorous web comic featuring Benny, a mischievous little grizzly bear, and his older brother Boone. Includes animated cartoons.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://bennyandboone.com/"} +{"d:Title": "Blobsie and Flipsie", "d:Description": "Panel dealing with pigs Blobsie and Flipsie and their adventures, created by Beth.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://blobsieflipsie.blogspot.com/"} +{"d:Title": "Bruno", "d:Description": "Daily comic strip by Christopher J. Baldwin about a dark observant character named and her juxtaposition to the world. Archives go back to 1996.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://brunostrip.com/"} +{"d:Title": "Business Casual", "d:Description": "Devoted to office humor. Features archives, news, cast, and a forum.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.businesscasualcomic.com/"} +{"d:Title": "B. C.", "d:Description": "A strip revolving around prehistoric times. In 1958, B.C. was born - and rejected by five syndicates before being accepted for newspaper syndication. Today, Johnny Hart's B.C. and its renowned cast of prehistoric merrymakers appear in more than 1,300 newspapers worldwide and is distributed by Creators Syndicate, Inc.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B", "url": "http://www.creators.com/read/bc"} +{"d:Title": "Baby Blues", "d:Description": "The official site for Baby Blues comics, cartoons, stuff and links -- featuring daily and Sunday comics, books, sketches, calendars and events year-round.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B/Baby_Blues", "url": "http://www.babyblues.com/"} +{"d:Title": "Boondocks", "d:Description": "Daily episodes of the comic about black kids from the inner city growing up in a white suburb.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/B/Boondocks", "url": "http://www.gocomics.com/boondocks/"} +{"d:Title": "CoolCatStudio", "d:Description": "Online comic strip about a graphic design studio, the people who work there and their crazy resident cat. By Gis\u00e8le Lagac\u00e9.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.coolcatstudio.com/"} +{"d:Title": "The City", "d:Description": "Weekly satirical strip by Derf.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.derfcity.com/"} +{"d:Title": "The Crew", "d:Description": "The story of a group of guys and girls and their adventures in High School is The Crew, a comic strip drawn by Andy Moore that runs in Wichita North High's school newspaper, the North Star.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.the-crew.8m.com/"} +{"d:Title": "Cornered", "d:Description": "Cornered is a daily color cartoon panel distributed by Universal Press Syndicate. Home page gives you some background, Cornered links, slideshows and feedback areas. By Mike Baldwin.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://webhome.idirect.com/~cornered/"} +{"d:Title": "Clan of the Cats", "d:Description": "A cross between the serial and gag-a-day comic strip, with a twist of the supernatural. The main story deals with Chelsea, a witch who is plagued by an ancient family curse.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://clanofthecats.com/"} +{"d:Title": "Curiosities", "d:Description": "This Online greeting card store also has a weekly cartoon by Chuck Donner and an archive of past cartoons.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.curiosities.com/"} +{"d:Title": "Corporate City", "d:Description": "Online strip by Shin C. Kao, parodying cosmic philosophy and pop culture.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.corpcity.com/"} +{"d:Title": "Chopping Block", "d:Description": "Dark comic focused on serial killers. Includes an archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://choppingblock.org/"} +{"d:Title": "Cat and Girl", "d:Description": "About a cat, a girl, and experimental metanarrative. By Dorothy Gambrell.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.catandgirl.com/"} +{"d:Title": "Crowpsey", "d:Description": "Features an archive of pages.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.crowpsey.com/"} +{"d:Title": "College Roomies from Hell", "d:Description": "Daily online strip by Maritza Campos about the sometimes mundane, sometimes surreal adventures of college roommates.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.crfh.net/"} +{"d:Title": "Caricatures Renee Levy", "d:Description": "Offers humorous cartoons and caricatures on a variety of topics, such as daily life, the office, politics, pets, women, and doctors. In English and French.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.reneelevy.com/"} +{"d:Title": "Coleman Cartoons", "d:Description": "Features a gallery of cartoons and links to sites featuring the work of cartoonist Ron Coleman.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.coleman-cartoons.com/"} +{"d:Title": "Crap", "d:Description": "Features the lives of L2GX and Jo; drunk ninjas in a world of octopus gods, psychotic eskimos and lots of booze. Using a distinctive graphic style, fueled by real life events.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://users.skynet.be/fa250604/sites/crap/"} +{"d:Title": "Ctrl+Alt+Del", "d:Description": "Story of a bunch of friends into computer games. Reviews, frequently asked questions, and biographies of the cast.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.ctrlaltdel-online.com/"} +{"d:Title": "Chase Villens-Boy Hero", "d:Description": "Weekly online strip by George Broderick, Jr., about a high-school boy hero and his friends.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.worldfamouscomics.com/chase/"} +{"d:Title": "Copper", "d:Description": "Adventures of a boy and his dog.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.boltcity.com/copper/"} +{"d:Title": "Confusion Squared", "d:Description": "Sprite comic based on characters from Final Fantasy.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://confusionsquared.tripod.com/index.html"} +{"d:Title": "The Cyantia Chronicles", "d:Description": "Collection of serial and gag comic strips done by the same artist in the genres of anime and anthromorphics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.cyantian.net/"} +{"d:Title": "Coffee Spill", "d:Description": "Galleries of cartoons including dreams for the Sci-Fi Channel. By Kevin Coffee.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.coffeespill.com/"} +{"d:Title": "Clamnuts.com", "d:Description": "The home for the sick mind of independent Irish artist Bob Byrne.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.clamnuts.com/"} +{"d:Title": "Cheese-N-Rice", "d:Description": "A couple of unlikely best friends dealing with life, love and the hassles of everyday life. Features archives and about the artist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.cheese-n-rice.com/"} +{"d:Title": "Capt'n Eli", "d:Description": "Follows the undersea adventures of a boy, and his dog and parrot. Offers an archive and daily feature.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.captneli.com/"} +{"d:Title": "Campbell, J Chris", "d:Description": "A collection of panels, portfolios of illustration, and other artwork.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.jchriscampbell.com/"} +{"d:Title": "Christopher Graphics", "d:Description": "Artist portfolio. Also features Suburbatory, a webcomic about the life of a frustrated cartoonist stuck in the suburban wastelands of Houston.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.christophergraphics.com/"} +{"d:Title": "Chicken Wings", "d:Description": "Watch the employees of the small airline Roost-Air struggle through the day.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.roost-air.com/"} +{"d:Title": "Chaos, Inc.", "d:Description": "Following the exploits of an honest, okay, not really, supervillain and his attempts to run a successful Evil Empire.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://chaosinc.comicgenesis.com/"} +{"d:Title": "Cross Street Blues", "d:Description": "A weekly cartoon featuring the exploits of a guy in classes and in life. By Jesse Rubenfeld.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://crossstreet.comicgenesis.com/"} +{"d:Title": "Crudely Drawn Adventures", "d:Description": "Being the nonartistic exploits of a small hairy can, a big balding man, and a hippie named Dan.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://kankong.comicgenesis.com/"} +{"d:Title": "Collegiate Damage", "d:Description": "Humor strip about life-long friends who attend the same college after graduating high school. By Jason Wood and Byron Chastain.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://www.freewebs.com/collegiatedamage/"} +{"d:Title": "Cectic", "d:Description": "A humorous look at superstition, skepticism, science and religion. Includes strip archives, store and forum.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://cectic.com/"} +{"d:Title": "The ComicBook Factory", "d:Description": "Comics, cartoons and animation by New Zealand cartoonist Karl Wills.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://comicbookfactory.blogspot.com/"} +{"d:Title": "ChannelATE", "d:Description": "Strips, single-frame cartoons, and animations, by Ryan Hudson.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C", "url": "http://channelate.com/"} +{"d:Title": "Calvin and Hobbes USA", "d:Description": "Features poems by Bill Waterson, message boards and comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.angelfire.com/mi3/calvinandhobbesusa/index.html"} +{"d:Title": "Calvin and Hobbes: Unplugged", "d:Description": "Tributes by other artists, collection of Watterson interviews, and bibliography.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.kerzap.com/calvin/"} +{"d:Title": "Calvin and Hobbes Magic On Paper", "d:Description": "Articles about the comic strip, rare artwork, and a list of all legal merchandise.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://ignatz.brinkster.net/calvin.html"} +{"d:Title": "Calvin&Hobbes Realm", "d:Description": "A tribute page containing character details, some comic strips, and book information.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.calvinandhobbes.worldbreak.com/"} +{"d:Title": "The Calvin&Hobbes Page at Craig's", "d:Description": "Includes sections on Watterson, list of books, Snow Art, and collection of Items.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://cabbresson.tripod.com/tocalvin.htm"} +{"d:Title": "The Calvin and Hobbes Wonderland", "d:Description": "Offers pictures, quotes, wallpaper and comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.lovine.com/hobbes/"} +{"d:Title": "Andrews McMeel Publishing: Calvin and Hobbes Home Page", "d:Description": "Official website of \"The Complete Calvin and Hobbes\" from publisher Andrews McMeel.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.andrewsmcmeel.com/calvinandhobbes"} +{"d:Title": "Jawbone Radio: In Search of Bill Watterson", "d:Description": "A podcast interview with Bill Watterson's mother.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://jawboneradio.blogspot.com/2005/11/jawbone-81-in-search-of-bill-watterson.html"} +{"d:Title": "Calvin and Hobbes Unofficial Website", "d:Description": "A tribute to Calvin and Hobbes. Information on the characters, the books, and links.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.calvinandhobbes.co.uk/"} +{"d:Title": "Calvin and Hobbes.com", "d:Description": "Official site featuring thirty day archive of cartoons, one re-released every day. Users can view the complete archive for an annual fee. Also, information on Bill Watterson, free e-postcards and sales of official prints.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.gocomics.com/calvinandhobbes/"} +{"d:Title": "Teaching with Calvin and Hobbes", "d:Description": "Information regarding one of the only licenced Calvin and Hobbes items other than the regular book collections.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://teachingwithcalvinandhobbes.blogspot.com/"} +{"d:Title": "The Calvin and Hobbes Jumpstation", "d:Description": "Offers resources including a 1995 radio show from CBC Canada where fans discuss the ending of the comic strip.", "priority": "1", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://www.theheartofgold.org/jumpstation/"} +{"d:Title": "Everything Calvin and Hobbes", "d:Description": "Discussion group at Yahoo!.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://groups.yahoo.com/group/everythingcalvinandhobbes/"} +{"d:Title": "Wikipedia: Calvin and Hobbes", "d:Description": "A compilation of information about the comic strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes", "url": "http://en.wikipedia.org/wiki/Calvin_and_Hobbes"} +{"d:Title": "The Best Calvin and Hobbes Ring Ever!", "d:Description": "More sites about the eight year old boy and his stuffed tiger.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes/Web_Rings", "url": "http://www.webring.org/hub?ring=thebestcalvinand"} +{"d:Title": "The Calvin and Hobbes Conglomerate", "d:Description": "Webring for Calvin and Hobbes fanatics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/C/Calvin_and_Hobbes/Web_Rings", "url": "http://www.webring.org/hub?ring=calhob"} +{"d:Title": "Did You Know?", "d:Description": "Online comic by Patrick M. Len, featuring Fray Ormandy and Waifer X.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.waiferx.com/"} +{"d:Title": "Dethboy'z Daily Debauchery", "d:Description": "The adventures of a gothic-punk and his mangy gang.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.dethboy.com/"} +{"d:Title": "Doctor Fun", "d:Description": "Off-beat online panel.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.ibiblio.org/Dave/"} +{"d:Title": "Diesel Sweeties", "d:Description": "Love and Pixels. Pixelated adventures starring a burnt-out, retired porn star and her robot boyfriend Clango.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.dieselsweeties.com/"} +{"d:Title": "Devoted Bee", "d:Description": "Drawings to bring us together. A collection of diversely themed comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.devotedbee.com/"} +{"d:Title": "Dandy and Company", "d:Description": "Dandy is a dog with an attitude, and he struts his stuff with a cast of characters that live in his universe. Or so he thinks.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.dandyandcompany.com/"} +{"d:Title": "Deathworld", "d:Description": "Join Matt Blaster in a strange and twisted sci-fi realm.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.fuddafudda.com/"} +{"d:Title": "Dog World", "d:Description": "Two dogs who chat and drink cocktails. By Mark Nugent.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://spinline.net/comics/dwlatest.html"} +{"d:Title": "Demonology 101", "d:Description": "Online comic about high school and other forces of evil, by Faith Erin Hicks.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://faith.rydia.net/"} +{"d:Title": "Domestic Abuse Daily Cartoons", "d:Description": "Once daily online cartoon full of depressed foodstuffs and kitchen utensils on the verge of horrible realizations. Includes several months of archives", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.abusecartoons.com/"} +{"d:Title": "Dark Lord Bill", "d:Description": "Badly drawn characters with elaborate heads have pointless and irritating adventures.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.angelfire.com/comics/darklordbill/"} +{"d:Title": "Day after the Day of the Tentacle", "d:Description": "Featuring art and characters from the game.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://dadott.reelfilm.com/"} +{"d:Title": "Dead Guy", "d:Description": "Crude, angry, scatological and socially irresponsible cartoons from I. M. Dedd. Published weekly on Monday.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.deadguylives.blogspot.com/"} +{"d:Title": "Dust Comics", "d:Description": "A collection by Dustin Thayer.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://sillyjap.tripod.com/"} +{"d:Title": "Danscartoons.com", "d:Description": "Catalog of cartoons, categorized by subject matter. By Dan Rosandich.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.danscartoons.com/"} +{"d:Title": "The Deep South", "d:Description": "Paintings are ripped out of context and then transported.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://deepsouthcomic.blogspot.com/"} +{"d:Title": "Dire Destiny", "d:Description": "Set in a dark world of swords and sorcery. By Robert Kendzie and Mikolaj Ostapiuk.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.diredestiny.com/"} +{"d:Title": "Death Gets A Website", "d:Description": "Details the mundane events that make up the daily routine of Grim Reaper.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.deathgetsawebsite.com/"} +{"d:Title": "Dangerously Psycho", "d:Description": "About a man, his shady brother, mallet-wielding girlfriend, secret-society roommate, gremlins, giant spider, supernatural mullet, and chibi-death. Strange.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.spiffyco.com/dpsycho/index.php"} +{"d:Title": "Dead Baby", "d:Description": "Follows the tasteless adventures of a rather unfortunate anti-hero.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://deadbaby.alanv.org/"} +{"d:Title": "Dominic Deegan: Oracle for Hire", "d:Description": "Seeing the past, the future, and idiots. He is a seer with a weird life.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.dominic-deegan.com/"} +{"d:Title": "Divine Comics", "d:Description": "Includes hand-drawn and colored comics as well as short stories.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.divinecomics.com/"} +{"d:Title": "Decorative Edison", "d:Description": "Strip using photographs of people and dogs.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://dece.comicgenesis.com/"} +{"d:Title": "Demonic Boppu", "d:Description": "Follow the humorous and dramatic lives of a group of demons growing up in hell.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://demonicboppu.comicgenesis.com/"} +{"d:Title": "Destination Unknown", "d:Description": "A view into the lives of a group of miscreants, more commonly known as teenagers.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://destinationunknown.comicgenesis.com/"} +{"d:Title": "Dex Lives", "d:Description": "The Life and Times of an overqualified post-graduate humanoid dragon.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://dexlives.comicgenesis.com/"} +{"d:Title": "Diabolica", "d:Description": "Herr Grossman, Errol, and Estoque, the last word in organized malevolence.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://diabolica.comicgenesis.com/"} +{"d:Title": "Dick", "d:Description": "A webcomic focusing on the trials and tribulations of high school without losing its sense of humor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://dick.comicgenesis.com/"} +{"d:Title": "Digital Vigilante", "d:Description": "A parody of our electronic universe.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://digitalvigilante.comicgenesis.com/"} +{"d:Title": "Dread Man", "d:Description": "A New York state trooper becomes an action hero. By Andre Brooks.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://dreadman.comicgenesis.com/"} +{"d:Title": "Dregs", "d:Description": "The sort of people your mother said \"Don't be like that when you grow up\" about.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://dregs.comicgenesis.com/"} +{"d:Title": "DBZ parody", "d:Description": "A gallery of cartoons by the Pepsiholics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://pepsiholic.comicgenesis.com/"} +{"d:Title": "The Devil's Panties", "d:Description": "A dark and stylistic view into the life of an underground princess. High boots and higher skirts mark this comic.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://thedevilspanties.comicgenesis.com/"} +{"d:Title": "Deer Me", "d:Description": "Follow three roommates and their quirky day-to-day lives. By Sheryl Schopfer.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.deerme.net/"} +{"d:Title": "Detox Comic", "d:Description": "Strip about life in the IT industry from the point of view of a small software company. Includes archive, character profiles as well as a car restoration diary, weblog, and a collection of Internet security articles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.detoxcomic.com/"} +{"d:Title": "Daisy Owl", "d:Description": "Surreal strip about a little girl being brought up by an owl and a bear, by Ben Driscoll.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D", "url": "http://www.daisyowl.com/"} +{"d:Title": "Dilbert Zone", "d:Description": "Official site of the Dilbert comic strip featuring Scott Adam's daily cartoon. Also includes Dogbert's anti-career zone, a one-month Dilbert archive, the Dilbert Newsletter, and merchandise.", "priority": "1", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D/Dilbert", "url": "http://www.dilbert.com/"} +{"d:Title": "Dilbert Hack Page Archives", "d:Description": "Historical information on a person's efforts to inline comic strip images from the United Media web site.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D/Dilbert", "url": "http://www.cs.rice.edu/~dwallach/dilbert/"} +{"d:Title": "Dilbert.Blog", "d:Description": "Scott Adams ponders various topics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D/Dilbert", "url": "http://dilbertblog.typepad.com/the_dilbert_blog/index.rdf"} +{"d:Title": "The Dilbert Strip Finder", "d:Description": "Text-based search engine offering keyword searching of the Dilbert archives. Results include date published and pertinent collection.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D/Dilbert", "url": "http://www.bfmartin.ca/finder/"} +{"d:Title": "Doonesbury Electronic Town Hall", "d:Description": "Official site, with current and archived strips, history and commentary.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/D/Doonesbury", "url": "http://www.doonesbury.com/"} +{"d:Title": "Cartoonguru.com", "d:Description": "Presents daily cartoons and comics as well as links to other strips and panels.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.cartoonguru.com/"} +{"d:Title": "Coconino-World", "d:Description": "French website with various comics and affiliated comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.coconino-world.com/"} +{"d:Title": "Keenspot - Internet Comics", "d:Description": "Network of webcomics hosted by Keenspot.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.keenspot.com/"} +{"d:Title": "Stu's Comic Strip Connection", "d:Description": "Pointers to online cartoons on the web, to resources on the cartoon industry and good literature.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.stus.com/"} +{"d:Title": "Salon Comics", "d:Description": "A selection of weekly comics, including the K-Chronicles and Tom The Dancing Bug.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.salon.com/comics/"} +{"d:Title": "AstroNerdBoy's Comic Strips", "d:Description": "A growing collection of both web based and syndicated comic strips on the web. Detailed descriptions of each site.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.astronerdboy.com/comic-strips/"} +{"d:Title": "Pro Toonerz", "d:Description": "Listing of professional cartoonists and illustrators. Various styles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.pacificwestcom.com/cartoonist"} +{"d:Title": "Not Boring: Daily Cartoons&Comics", "d:Description": "A couple of daily cartoons and comic strips, based on strips linked from other web sites.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.notboring.com/cartoons/"} +{"d:Title": "Drshnaps Productions", "d:Description": "Dedicated to helping amateur comic artists get their work noticed online. Serves as a hub for several different kinds of comics, mainly features sprite-based comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.drshnaps.com/"} +{"d:Title": "ToonTime", "d:Description": "Daily cartoons and comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.toontime.dk/"} +{"d:Title": "Comic Genesis", "d:Description": "Hosts over 6000 fresh, experimental webcomics. Run by comic authors for comic authors. Formerly Keenspace.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.comicgenesis.com/"} +{"d:Title": "Cartoonist Group", "d:Description": "Search work of leading cartoonists for e-cards, reprints, and merchandise.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.cartoonistgroup.com/"} +{"d:Title": "Drunk Duck", "d:Description": "Listings of comic strips and stories organized by categories. Includes a forum.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.drunkduck.com/"} +{"d:Title": "PollyPop", "d:Description": "Collective featuring work from Todd Ramsell's odd weekly Lollygag, John Freeman's horrific Uncle Sloppy's Pleasure Circus, Comix Orgy by various artists, and quirky Manga Gacha Gacha by Naritada.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.polypop.com/"} +{"d:Title": "Cartoon Resource", "d:Description": "Features cartoons on business, weird, relationships, pets, sports and computers by a collection of cartoonists.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.cartoonresource.com/"} +{"d:Title": "The Belfry: Furry Comics Online", "d:Description": "An index to dozens of online Furry comic strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.belfry.com/comics/"} +{"d:Title": "The Funny Cartoon Website", "d:Description": "Cartoons and comic strips displayed.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Directories", "url": "http://www.thefunnycartoon.com/"} +{"d:Title": "Elf Life", "d:Description": "Daily internet comic strip features myth, slapstick and adventure. By Carson Fire. With background information and archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.elflife.com/"} +{"d:Title": "Evil Love Comic", "d:Description": "A \"bong head\" and his beer drinking genius pal, Simon.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.angelfire.com/indie/weed/"} +{"d:Title": "Elsie Hooper", "d:Description": "Features a black and white serial that appears in the Umass Daily Collegian. Created by Robert D. Krzykowski.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.elsiehooper.com/"} +{"d:Title": "Elf Only Inn", "d:Description": "Cut and paste comic about role playing chatrooms - includes archive of past comics and character profiles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.elfonlyinn.net/"} +{"d:Title": "Everyday People Cartoons", "d:Description": "About women - includes reader kudos and information about the creator. By Cathy Thorne.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.everydaypeoplecartoons.com/"} +{"d:Title": "Experiments In College Ruled", "d:Description": "A webcomic detailing the woes of High School life. Updated Weekly.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://experimentsincollegeruled.comicgen.com/"} +{"d:Title": "Extra Life", "d:Description": "A cartoon about and for gamers. By Scott Johnson.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.myextralife.com/"} +{"d:Title": "El Goonish Shive", "d:Description": "A series about a group of teenagers and the bizarre, often supernatural, situations that they face.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.elgoonishshive.com/"} +{"d:Title": "Edible Dirt", "d:Description": "Dark, odd humor. Not for everyone. Not for kids. By Matt Rosemier.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://catmydog.comicgenesis.com/"} +{"d:Title": "Eternal Caffeine Junkie", "d:Description": "Funny color strip by Stephanie Burrows.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://etenalcaffeinejunkie.comicgenesis.com/"} +{"d:Title": "End Times", "d:Description": "Following four girls and their dates with destiny.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://wraiths.comicgenesis.com/"} +{"d:Title": "Eagle DNA", "d:Description": "Comics by Bob Scott.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://eagledna.comicgenesis.com/"} +{"d:Title": "Existence Unknown", "d:Description": "Science fiction and adventure webcomic with some humor, created by Martin Borgman.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.existence-unknown.com/"} +{"d:Title": "Explosm.net", "d:Description": "Home of the \"Cyanide and Happiness\" strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://www.explosm.net/comics/"} +{"d:Title": "Emergency Exit", "d:Description": "Features a cartoon about crazy roommates, giant hammers, hidden closets of doom, and evil chickens plotting world domination. Includes an archive and a list of characters.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/E", "url": "http://emergencyexit.comicgenesis.com/"} +{"d:Title": "Frank and Ernest", "d:Description": "The official site.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.frankandernest.com/"} +{"d:Title": "Fishy Comics", "d:Description": "Australian strip by Richard Jones, all about fishing.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.ausfish.com/fishy/"} +{"d:Title": "Frankenstudent", "d:Description": "A young Frankenstein comes to America - and enters the third grade. Online comic updated Mon-Thur. Site also contains unrelated single-panel comic updated Fridays.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://frankenstudent.com/"} +{"d:Title": "Falling Dream", "d:Description": "A weekly web comic strip in color, by Greg Tuft. Featuring ad parodies, internet and political humor, and social satire.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.fallingdream.com/"} +{"d:Title": "Fair Game", "d:Description": "Stephanie Piro's daily comic with a twist!", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.stephaniepiro.com/"} +{"d:Title": "Flail", "d:Description": "Comic about the semi-realistic lives of some people - includes past strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://flail.com/"} +{"d:Title": "Fishead Tackle", "d:Description": "Features daily cartoons, stories, tips and pictures about fishing.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.fisheadtackle.com/"} +{"d:Title": "Fan Page of Nurse Frisky", "d:Description": "Featuring a frightening, out-of-control nurse.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.angelfire.com/nf/ankhesen/frisky1.html"} +{"d:Title": "Functionbad.com", "d:Description": "A comic strip about real life events. Updated regularly. Includes archives and guest art.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.functionbad.com/"} +{"d:Title": "The Family Monster", "d:Description": "Features a gallery, the characters, and archives. By Josh Shalek.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.joshshalek.com/"} +{"d:Title": "FANS", "d:Description": "The story of a few science-fiction fans caught in real science-fiction adventures.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://faans.com/"} +{"d:Title": "Fat Jesus", "d:Description": "The adventures of a obese defective clone of Jesus.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.fat-jesus.com/"} +{"d:Title": "Freddy and Co", "d:Description": "A comedic webtoon featuring famous people and talking cats and trees. As styled by a teen.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.angelfire.com/freak2/freddy0/"} +{"d:Title": "Fred and Frank", "d:Description": "The crazy cartoon adventures of two soldiers stationed in Germany from 1979 until 1992. Written and illustrated by Charles Kaufman.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.fredandfrank.com/"} +{"d:Title": "Fergo and Enrique", "d:Description": "Two animal children learn about life; also, single-panel gag cartoons. By Robert M. Blake.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://fergoandenrique.comicgenesis.com/"} +{"d:Title": "Flem Comics", "d:Description": "Appealing to those with no soul left to destroy. By James L. Grant.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://flem.comicgenesis.com/"} +{"d:Title": "Framed", "d:Description": "An ongoing saga about some poor unfortunates who get trapped in a G-Rated comic strip. Includes archived strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://framed.comicgenesis.com/"} +{"d:Title": "From The Desk Of", "d:Description": "A cartoon site that sometimes has no actual drawings.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://fromthedeskof.comicgenesis.com/"} +{"d:Title": "The Fan", "d:Description": "Taking place in Iraq and featuring the adventures of two soldiers Dehn and Kensou X, this series offers a way for its creators to keep sane from the middle of a war.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://thefan.comicgenesis.com/"} +{"d:Title": "Flea Snobbery", "d:Description": "Webcomic covering topics including science, technology and religion. By Andr\u00e9s Diplotti.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://fleasnobbery.blogspot.com/"} +{"d:Title": "Field of Sheep", "d:Description": "Features a collection of sheep cartoons doodles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F", "url": "http://www.oakleigh.f2s.com/fieldofsheep/"} +{"d:Title": "Felix The Cat", "d:Description": "Includes a history of this famous cartoon character, video and sound footage, fan club information and an online shop.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/Felix_the_Cat", "url": "http://www.felixthecat.com/"} +{"d:Title": "Footrot Flats - The 'Unofficial' Site", "d:Description": "Fan site with information, character profiles, desktop theme and screensaver.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/Footrot_Flats", "url": "http://www.oneil.com.au/footrot/"} +{"d:Title": "Gay Segment in For Better or for Worse Comic Strip", "d:Description": "Commentary and analysis of the controversy surrounding the introduction of a gay character, Lawrence to the syndicated strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/For_Better_or_For_Worse", "url": "http://www.religioustolerance.org/hom_0052.htm"} +{"d:Title": "For Better or For Worse", "d:Description": "Official site: Archives, books, and latest installments.", "priority": "1", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/For_Better_or_For_Worse", "url": "http://www.fborfw.com/"} +{"d:Title": "The \"For Better or For Worse\" Forum", "d:Description": "Unofficial Yahoo Groups forum for fans of the strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/For_Better_or_For_Worse", "url": "http://groups.yahoo.com/group/fbofw/"} +{"d:Title": "Comic controversy", "d:Description": "Article by Tom Zucco, about the mother-in-law strips and the on going controversy surrounding the Lawrence character.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/For_Better_or_For_Worse", "url": "http://www.sptimes.com/News/090401/Floridian/Comic_controversy.shtml"} +{"d:Title": "TV.com: For Better or for Worse", "d:Description": "About the animated adaption of the strip. Includes episode guides, cast and character guides.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/For_Better_or_For_Worse", "url": "http://www.tv.com/shows/for-better-or-for-worse-2000/"} +{"d:Title": "The Unofficial FoxTrot Web Site", "d:Description": "A fan website with biographies on the characters and trivia.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://members.tripod.com/~fox_trot/fox.html"} +{"d:Title": "Grudge Match: The Weakest Link", "d:Description": "Jason Fox and seven other fictional characters and marginal celebrities battle each other.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://www.grudge-match.com/History/weakestlink.shtml"} +{"d:Title": "Foxtrot by Bill Amend", "d:Description": "Official website of the comic strip. Features today's comic and merchandise for sale.", "priority": "1", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://www.foxtrot.com/"} +{"d:Title": "Washington Post | Meet the Artist Bill Amend", "d:Description": "Transcript of an online chat session with Bill Amend.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://www.washingtonpost.com/wp-srv/liveonline/03/regular/style/comics/r_style_comics021403.htm"} +{"d:Title": "The Mac Observer - Interview With Bill Amend", "d:Description": "Interview with Bill Amend, the strip's creator.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://www.macobserver.com/article/2001/02/06.11.shtml"} +{"d:Title": "Society for the Preservation of Interesting FoxTrot Fanfics", "d:Description": "Dedicated to preserving the best FoxTrot and Calvin and Hobbes fanfictions.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://www.spiff.ca/"} +{"d:Title": "goComics: FoxTrot", "d:Description": "Syndicate website with comic strip archive and store.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://www.gocomics.com/foxtrot/"} +{"d:Title": "PCWorld.com - FoxTrot Keeps It Comical With Mac", "d:Description": "Talks about how Bill Amend uses computers to create his comic, and how he works tech jokes into the comic strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/F/FoxTrot", "url": "http://www.pcworld.com/article/113992/article.html"} +{"d:Title": "General Protection Fault", "d:Description": "General Protection Fault is an on-line comic strip full of geeky fun, bizarre characters, and a sentient slime mold or two. Join Nick, Ki, Fooker, Dwayne, Trudy and Fred the Slime Mold as they try to keep GPF Software afloat, while surviving odd parodies and wacky situations. The strip runs every Monday through Saturday. A strip archive, plus \"geeky games\".", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.gpf-comics.com/"} +{"d:Title": "Goats", "d:Description": "Daily geek strip about a programmer in search of beer and true love. Includes such fan favorites as demonic chickens and the Panties of Potency.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.goats.com/"} +{"d:Title": "Geeks", "d:Description": "Cartoons by Julie Sigwart. Weekly cartoon of Silicon Valley life, work, relationships, and sex or lack thereof.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.happychaos.com/geeks/"} +{"d:Title": "Greystone Inn", "d:Description": "A comic strip about a comic strip, featuring Argus the gargoyle.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.greystoneinn.net/"} +{"d:Title": "Galapagos", "d:Description": "Strip about a researcher and the animals he's researching. By David Gau.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.davegau.net/galapagos.html"} +{"d:Title": "Great Internet Comic Switch", "d:Description": "On April 1, 1999, 30 of the most popular cartoonists on the Internet switched cartoons for a day.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.gpf-comics.com/archive/aprilfools/"} +{"d:Title": "Gibbleguts.com", "d:Description": "Daily single-panel comics, e-cards, and the Farting Dogs. By Dan Gibson.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.gibbleguts.com/"} +{"d:Title": "Gub and Donut", "d:Description": "The exploits of a kid and a giant donut with legs.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.angelfire.com/comics/gubanddonut/"} +{"d:Title": "Godfrey", "d:Description": "A satirical look at God and his creations, by Matt Jones.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.cranialscratch.com/"} +{"d:Title": "Geekcomix.com", "d:Description": "Features the daily strips Marginal Humor, Extremely Blee, Strenua Inertia.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.geekcomix.com/"} +{"d:Title": "It's Geek 2 Me", "d:Description": "Daily comic strip about geeks, computers and the Internet. Features cast, archive and bio of cartoonist Francis Cleetus.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.geek-2-me.com/"} +{"d:Title": "God Stand", "d:Description": "Features the series Final Blasphemy. Includes Ask God, The Rules of Anime, and The Bible is Stupid.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.angelfire.com/empire/g_stand/"} +{"d:Title": "Go For It!", "d:Description": "With pop culture references at hand and a quick wit upstairs, the protagonist is the archetypal embittered sarcastic kid. By R.L. Peterson.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://goforit.comicgen.com/"} +{"d:Title": "The Guy Who Can't Draw Comics.", "d:Description": "A strange twist to standard comic strips. No art. Bizarre humor. Period.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.i-mockery.com/comic/"} +{"d:Title": "Grenouille", "d:Description": "Featuring the frog, Wally the wallaby, Andy the rooster, Rex Prickle, Wags the dog, and Katra, a goddess based on the cartoonist. By Liz Vardy.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.zilcorp.net/comic/"} +{"d:Title": "Geek's World", "d:Description": "About geeks, for geeks. Includes an RSS feed.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.geeksworld.org/"} +{"d:Title": "Genevieve Cartoons", "d:Description": "Laugh at talking corks, a 1951 frazer, bananas on crutches in this daily cartoon.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.genevievecartoons.com/"} +{"d:Title": "Glitch", "d:Description": "A troublesome computer wreaks havoc on a new user and his family. By Ed Wiens.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www3.telus.net/~wiens4/"} +{"d:Title": "Geebas on Parade", "d:Description": "Jennie Breeden on LARP at SOLAR.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://geebasonparade.comicgenesis.com/"} +{"d:Title": "Girl Street", "d:Description": "Web comic about high school kids, by Rissa.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://girlstreet.comicgenesis.com/"} +{"d:Title": "Good 'n' Evil", "d:Description": "Comic about little round angels, demons, and time-travelling giant robots, drawn with 3-D graphics. By Pete Smith.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://gne.comicgenesis.com/"} +{"d:Title": "Golden Rock", "d:Description": "A brave hero, a perilous adventure, a grand plot, and some girl with green hair. By Dan Lay.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://goldenrock.comicgenesis.com/"} +{"d:Title": "The Grimbles", "d:Description": "Twice-weekly strip revolving around the multitudinous Grimble family. By Adam Burke.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://grimbles.comicgenesis.com/"} +{"d:Title": "Grumps", "d:Description": "Comic about the unpleasant lives of a group of elderly misfits. By Chris Jones.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G", "url": "http://www.grumps.ca/"} +{"d:Title": "Garfield", "d:Description": "The official web site for Garfield and Friends. Jim Davis' feline sensation has an appropriately huge website, with an online catalog, fan club information, news, and a strip archive.", "priority": "1", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield", "url": "http://www.garfield.com/"} +{"d:Title": "Garfield's Bed", "d:Description": "Includes comic strip samples, character profiles, club, website award, graphics, backgrounds and music.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield", "url": "http://www.angelfire.com/oh3/garfieldsbed/index.html"} +{"d:Title": "Sara's Other Garfield Page", "d:Description": "Fan page with history, interactive features and games, pictures, and links.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield", "url": "http://www.ipdnet.com/garfield/"} +{"d:Title": "Clip Art Warehouse", "d:Description": "Free Garfield icons for use on your desktop.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield", "url": "http://www.clipart.co.uk/cgi-bin/icdisplay.pl?1,garf,1"} +{"d:Title": "Planet Odie", "d:Description": "Biography and image gallery of Garfield's nemesis.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield", "url": "http://planetodie.tvheaven.com/"} +{"d:Title": "Garfield Is Here", "d:Description": "Fan page with image gallery and character profiles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield", "url": "http://www.angelfire.com/art/GarfieldIsHere/"} +{"d:Title": "IRMA Online", "d:Description": "The Irma Recognition Modernistic Association, for fans of the waitress.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield", "url": "http://irma.s5.com/"} +{"d:Title": "The Great Garfield/Scooby-Doo Webring", "d:Description": "The Great Garfield/Scooby-Doo Webring", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield/Web_Rings", "url": "http://www.webring.org/hub?ring=garf"} +{"d:Title": "WebRing: Garfield", "d:Description": "Another Garfield web ring (unofficial).", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/G/Garfield/Web_Rings", "url": "http://www.webring.org/hub/garfield25"} +{"d:Title": "Hagen Cartoons", "d:Description": "Features the cartoon \"It's a Jungle Out There\". Includes archives.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.hagencartoons.com/"} +{"d:Title": "Hog&Mrs. P", "d:Description": "Comic panel about sex, relationships, and married life. \"Stop nagging me WOMAN!\"", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.hogwild.net/hogmrsp/hogandmrsp.htm"} +{"d:Title": "History Can Be Fun", "d:Description": "A quartet of aliens as they explore time travel and college life.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.angelfire.com/scifi/hcbfcomic/"} +{"d:Title": "Hackles", "d:Description": "Comic strip featuring animals working in a high tech office. Computer geek humor and cute animals. By Drake Emko and Jen Brodzik.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://hackles.org/"} +{"d:Title": "House On Fire", "d:Description": "Features a comic strip gallery and a collection of Flash animations.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.angelfire.com/oz/houseonfire/index.htm"} +{"d:Title": "Haylar the Hapless Goblin", "d:Description": "Features an amateur cartoon by Chess.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://chess.publication.org.uk/haylar/"} +{"d:Title": "Her! Girl vs Pig", "d:Description": "Girl and pig in violent situations. Includes past strips and cast of characters.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.chrisbishop.com/her/"} +{"d:Title": "Hypercombofinish", "d:Description": "Home of gaming enthusiast Chris Maguire. Also features reviews and satirical articles and how games relate to the world at large.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.hypercombofinish.com/"} +{"d:Title": "HorriblePain.com", "d:Description": "Features the absurd humour and veiled political criticism of GutterWidth, the fictional events of HP Sauce and other series. By Ryan Hill.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.horriblepain.com/"} +{"d:Title": "Herd Thinners Incorporated", "d:Description": "Features the series \"Kevin and Kell\", organized by daily, weekly and an index. Includes a weblog with the artist's comments.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.herdthinners.com/"} +{"d:Title": "Homies.tv", "d:Description": "Official site of the original. Features art, album, gallery, and figurines. Created by Dave Gonzales.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.homies.tv/"} +{"d:Title": "Haylar the Hapless Goblin", "d:Description": "A collection for the easily amused.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://pinkstuff.transformers.org.uk/~chess/haylar/"} +{"d:Title": "Hedgehog Games", "d:Description": "Features two web comics; one drawn, and one with photos of action figures. By Jeff Coney.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.hedgehoggames.com/"} +{"d:Title": "H. D. Alters Cartoon Art Gallery", "d:Description": "Features Hapless Harold, a tramp whom finds religion.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://hdalter.tripod.com/"} +{"d:Title": "Happyglyphs.com", "d:Description": "Features two series, Knight and Day and The Inquiring Minds, by John Steventon. Includes contact information and a message board.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://www.happyglyphs.com/"} +{"d:Title": "Hatbag", "d:Description": "About friendship, life, love and growing up.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://comics.hatbag.net/"} +{"d:Title": "Hans", "d:Description": "Follows the adventures of a dense boy. By John Moore.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://hans.comicgenesis.com/"} +{"d:Title": "Hell Sweet Hell", "d:Description": "Comic about Satan's life. Includes an archive and character profiles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://hellsweethell.comicgen.com/"} +{"d:Title": "H. T. Cow's Comics", "d:Description": "Adventure strip by Winter Arcana, Danny Donnovan, and Daniel C. White.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://htcowpresents.comicgenesis.com/"} +{"d:Title": "Hard Underbelly", "d:Description": "Tristan Davis' serialized story about vampires and other assorted creatures.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H", "url": "http://underbelly.comicgenesis.com/"} +{"d:Title": "H\u00e4gar the Horrible", "d:Description": "Introduces into characters, creators and translations of the strip and offers a bibliography as well as a collection of online strips and a mailing list.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/H/Hagar_the_Horrible", "url": "http://www.hagardunor.net/"} +{"d:Title": "Inktank.com", "d:Description": "Two strips: Angst Technology, about a game development company, and Weak-end Warriors, about paintball.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.inktank.com"} +{"d:Title": "The Imp", "d:Description": "A humor strip about hell, by Jose Arroyo and Robin Reed.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.barstowproductions.com"} +{"d:Title": "Ida Arts", "d:Description": "A gallery of cartoons about a misfortunate cat named Cas.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.angelfire.com/id2/iddproductions/"} +{"d:Title": "Is This Tomorrow?", "d:Description": "Features collections, a history, and archives of various strips. Created by Kelly Shane and Woody Compton.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.isthistomorrow.com/"} +{"d:Title": "Irregular Webcomic!", "d:Description": "Lego and gaming photo comics by David Morgan-Mar.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.irregularwebcomic.net/"} +{"d:Title": "Inepto.com", "d:Description": "Lampoons ineptitude at all levels of society, from customer service to CEOs and politicians.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.inepto.com/"} +{"d:Title": "The Invisible Life of Poet", "d:Description": "Social commentary through the eyes of a child. By Christopher Wilson.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.lifeofpoet.com/"} +{"d:Title": "Idiom Syndrome", "d:Description": "A photo-webcomic with random humour for the most part.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://idiomsyndrome.comicgenesis.com/"} +{"d:Title": "In Real Life", "d:Description": "Following the adventures of Mario, Mega Man, and Link when they are sucked out of the Super NES.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://saywhatpb.comicgenesis.com/"} +{"d:Title": "In the Bleachers", "d:Description": "Steve Moore's syndicated humor cartoon about sports.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://www.gocomics.com/inthebleachers/"} +{"d:Title": "If Life was as Simple as a Webcomic", "d:Description": "A gallery of cartoons. By Richard Lewis.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/I", "url": "http://iflifewasassimpleasawebcomic.comicgenesis.com/"} +{"d:Title": "The Joke's on You", "d:Description": "Daily cartoons and caption competitions by Phil Ryder and you.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.thefunnypages.com/"} +{"d:Title": "Jaded Chaos", "d:Description": "Humor strip by Kayzi and Dev, about Kayzi and Dev, two roommates whose lives revolve around computers and TV.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.jadedchaos.com/"} +{"d:Title": "The Jet Pack Pets", "d:Description": "Official page of the Disney Adventures Magazine strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.jetpackpets.com/"} +{"d:Title": "Japanese Beetle", "d:Description": "Episodes of superhero humor comic by Dave and Chris White.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.thejapanesebeetle.com/"} +{"d:Title": "Josh Comics", "d:Description": "A mix of slapstick comedy and strange humor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.joshcomics.com/"} +{"d:Title": "Just Kidding", "d:Description": "A weekly about the funny side of school life. Suitable for teachers, educators and everyone who loves kids. By Rod Maclean", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.justkiddingcartoons.com/"} +{"d:Title": "Jtrue.com", "d:Description": "A gallerie of cartoons and search by descriptions. By James True.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.jtrue.com/"} +{"d:Title": "Joey Future Rocket Scientist", "d:Description": "Single panels about Joey and some dim-witted antics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://jfrs.comicgenesis.com/"} +{"d:Title": "Jesus and Mo", "d:Description": "Humorous adventures of Jesus and Mo.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://www.jesusandmo.net/"} +{"d:Title": "Jump Start", "d:Description": "Robb Armstrong's strip about a married couple and their young kids.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://comics.com/jump_start/"} +{"d:Title": "Jane's World Comics", "d:Description": "Both humorous and slice-of-life comic strip By Paige Braddock.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://comics.com/janes_world/"} +{"d:Title": "Journal of a Mad I.T. Guy", "d:Description": "A log of the continuing adventures of a Computer tech that has been pushed too far. Computer generated comic strip by Mike McDade.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/J", "url": "http://journalofamaditguy.smackjeeves.com/"} +{"d:Title": "Ko Fight Club", "d:Description": "A variety of game related and other comics by the artist, collected under this title, includes archived strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.kofightclub.com/"} +{"d:Title": "Kim and Jason", "d:Description": "Features character profiles, artwork and archive from creator Jason Kotecki.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.kimandjason.com/kjhome.html"} +{"d:Title": "The K Chronicles", "d:Description": "Humorous comic, often with political overtones. By Keith Knight.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.kchronicles.com/"} +{"d:Title": "Klyde Morris", "d:Description": "A series featuring an aviation ant created by Wes Oleszewski poking fun at pilots, airlines, FAA, and aircraft manufacturers.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.klydemorris.com/"} +{"d:Title": "K. Rat", "d:Description": "Humorous strip about a domestic rat. By Andy Mosier.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://desert.net/tw/current/krat.htm"} +{"d:Title": "Kim&Jason", "d:Description": "Features the daily and weekend cartoon, the cast, an archive, a gallery, ecards and games.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://kimandjason.com/e-cards/index.php"} +{"d:Title": "Kenation Comics", "d:Description": "Offers a collection of cartoons and includes projects, a sketch gallery and contact information about the artists.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.kenation.com/"} +{"d:Title": "King, Jerry", "d:Description": "Features a gallery of cartoons and a portfolio.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.jerryking.com/"} +{"d:Title": "Kastaway", "d:Description": "Fun and games in a hidden spaceship near Earth.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://kastaway.8k.com/"} +{"d:Title": "Katao", "d:Description": "An anthology about an average boy. Translated into English.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.niji.or.jp/home/ashizuka/2nd.html"} +{"d:Title": "Kat-nap.com", "d:Description": "A humorous web comic about the adventures and misadventures of three wacky house cats and there friends. By Greg Collins.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://www.kat-nap.com/"} +{"d:Title": "Kari&Doug", "d:Description": "An archive of cartoons by Dave Mooney.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K", "url": "http://karindoug.comicgenesis.com/"} +{"d:Title": "Origins of American Animation, 1900-1921", "d:Description": "Chronological list of online animated cartoon exhibits from the Library of Congress, with three 1916 Krazy Kat cartoons in Real Video, QuickTime and MPEG format.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K/Krazy_Kat", "url": "http://memory.loc.gov/ammem/oahtml/oachron.html"} +{"d:Title": "Krazy Kat", "d:Description": "An introduction to Krazy Kat, decorated with panels and some Sunday pages.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K/Krazy_Kat", "url": "http://xroads.virginia.edu/~1930s/PRINT/comic/krazykat/krazykat.html"} +{"d:Title": "Ignatz Home Page (Herriman's baby)", "d:Description": "Site dedicated to the character Ignatz Mice. With bibliography, biography of Herriman and lots of scans of the strip. Also in French.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K/Krazy_Kat", "url": "http://www.ignatzmouse.net/"} +{"d:Title": "Some Say it With A Brick", "d:Description": "Uncredited essay about Krazy Kat and unrequited love. Includes a few strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/K/Krazy_Kat", "url": "http://www2.iath.virginia.edu/crocker/"} +{"d:Title": "Load World", "d:Description": "Features an archive of strips, character profiles and artist information.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.loadworldcomics.com/"} +{"d:Title": "Ludv\u00edk Lundi the Puffin from Lundav\u00edk", "d:Description": "Funny cartoons about puffins from the Faroe Islands. The text is in English and Faroese.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.framtak.com/cartoons/cartoons.html"} +{"d:Title": "London's Times Cartoons", "d:Description": "Features weekly updates, an archive, reviews and licensing information. Search by category includes animals, business, cats, computers, food, and famous people.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.londonstimes.us/"} +{"d:Title": "Little Gamers", "d:Description": "About a boy who is into computer gaming and his friends. Polls, forums, and archives.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.little-gamers.com/"} +{"d:Title": "Liquid Medication", "d:Description": "A comic that does what it wants. The star is Andy who is powered, of course, by liquid medication.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://liquidmedication.com/"} +{"d:Title": "The Lunar Antics", "d:Description": "Funny cartoons where the inhabitants of the moon hang out here on Earth. But don't let them steal the show because it's really about us Earthlings.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://lunarantics.com/"} +{"d:Title": "Lil Pengy", "d:Description": "Stars a penguin and his animal pals. Focuses on current events and popular culture.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.lilpengy.com/"} +{"d:Title": "Lost Pigeon Comics", "d:Description": "Comics for those with a slightly abnormal sense of humor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.angelfire.com/or2/crapcomics/"} +{"d:Title": "Llamantations", "d:Description": "A collection of Christian cartoons featuring characters from the bible. Created by Barry Sellers.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.lecsite.org.uk/cartoons/llamantations/"} +{"d:Title": "Lethargic Lad", "d:Description": "Crime fighter who uses all the advantages that lethargy has to offer. By Greg Hyland.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.lethargiclad.com/"} +{"d:Title": "The Loop", "d:Description": "Contains comics of a humorous nature with spoofs of videogames and commercials.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.angelfire.com/comics/theloop/index.html"} +{"d:Title": "John Leech Archive", "d:Description": "Contains more than 600 cartoon sketches from Punch 1841-1860.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.john-leech-archive.org.uk/"} +{"d:Title": "Lead Salad", "d:Description": "Featuring the attractive Monica Furious and a high consumption of bullets.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://www.leadsalad.com/"} +{"d:Title": "Legends of the Galaxy War", "d:Description": "Epic science fiction strip set in the far future, in a universe on the brink of change.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://galaxylegend.comicgenesis.com/"} +{"d:Title": "Legend Knights", "d:Description": "A fantasy epic comic drama about some boys ending up in another world for a great purpose - but nobody knows what it is.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://legendknights.comicgenesis.com/"} +{"d:Title": "The Lil World of Meekerz", "d:Description": "Tri-weekly anime-themed cartoon by Mika See.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/L", "url": "http://meekerz.comicgenesis.com/"} +{"d:Title": "Melonpool", "d:Description": "Daily comic strip by Steve Troop about two aliens, a telepathic dog and a five-foot 220-lb hamster. Including information on merchandise, and subscription services.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.melonpool.com/"} +{"d:Title": "Mother Goose&Grimm", "d:Description": "Mike Peters' humorous strip resides here, with a strip archive, merchandise catalog, Mike's political comics, and a bulletin board.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.grimmy.com/"} +{"d:Title": "Magic Inkwell", "d:Description": "Webcomic theatre updated every Friday.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.magicinkwell.com/"} +{"d:Title": "Madam&Eve", "d:Description": "Domestic life and politics in the New South Africa. By Stephen Francis and Rico Schacherl.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.madamandeve.co.za/"} +{"d:Title": "Mateo's Cartoons", "d:Description": "Cartoons, animations, cover art and original drawings by the Romanian newspaper cartoonist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.members.tripod.com/~geomateo/"} +{"d:Title": "Movie Punks", "d:Description": "Online comic about watching movies.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.moviepunks.com/"} +{"d:Title": "Mr. Drinkwater", "d:Description": "Commentary, rants, and stories about current events featuring the character.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.mrdrinkwater.com/"} +{"d:Title": "Milan1", "d:Description": "Features a gallery of multi-panel cartoons, a portfolio of linocuts, and a biography.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://angelfire.com/comics/milan1"} +{"d:Title": "Mr. Snodgers' Neighborhood", "d:Description": "A strange world populated by pirates, plants and peculiar people. By Jess Kautz.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.snodgers.com/"} +{"d:Title": "Men In Hats", "d:Description": "A cast of strange people (all male, and all wearing hats) wander about in the desert having very strange conversations.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.meninhats.com/"} +{"d:Title": "Migdal, Sylvan", "d:Description": "Cartoonist's website featuring the comics Spork, Rho, Where the Typos Og, Ascent, and Mnemesis.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.sylvanmigdal.com/"} +{"d:Title": "Mac Hall", "d:Description": "Youth, life, with an archive. Created by Matt Boyd and Ian McConville.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.machall.com/"} +{"d:Title": "Mosherpit", "d:Description": "Home of the \"In My Room\" webcomic, reviews, and rants.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.mosherpit.com/"} +{"d:Title": "Monkey House", "d:Description": "About a happy-go-lucky monkey and his cynical roommate.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.monkey-house.com/"} +{"d:Title": "Macrobo V: The Comic", "d:Description": "A parody of Mega Man and pop-culture. Includes author's notes.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.nz17.com/mrvc/"} +{"d:Title": "Motivational Plus", "d:Description": "Cartoons from speaker-cartoonist Mike Moore.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.motivationalplus.com/"} +{"d:Title": "Masters of the Art", "d:Description": "Features art, an archive of strips, and a journal. By Justin Pixler and Patrick Johnson.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.mastersoftheart.com/"} +{"d:Title": "The Mows", "d:Description": "Daily comics strips for cat owners. By Jay Dyke.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.themows.com/"} +{"d:Title": "McDuffies", "d:Description": "An adventure webcomic by Srdjan Achimovich.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://mcduffies.comicgenesis.com/"} +{"d:Title": "McVille", "d:Description": "About three friends, demons, and a tiny town. By Tom McLean. Offers the characters, an archive, a forum and a gallery of fan art.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://mcville.comicgenesis.com/"} +{"d:Title": "Mojave", "d:Description": "A webcomic by Derick Soto.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://mojave.comicgenesis.com/"} +{"d:Title": "Mutts", "d:Description": "The Official Mutts Website by Patrick McDonnell - Mooch, Earl and pals.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://muttscomics.com/"} +{"d:Title": "Murray The Nut", "d:Description": "Simply drawn strips with a downbeat theme.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/M", "url": "http://www.murraythenut.com/"} +{"d:Title": "Nukees", "d:Description": "A nuclear physics degree, seven bottles of tequila, and ten kilograms of plutonium--in other words, a perfect weekend.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.nukees.com/"} +{"d:Title": "Nodwick", "d:Description": "Gaming fun in comic strip form. Also has a print comic book.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.nodwick.com/"} +{"d:Title": "Not Quite", "d:Description": "Panel cartoons written and drawn by Lorie B. Kellogg and Joe K. Bevilacqua.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.comedyorama.com/funnies/quite/quite.htm"} +{"d:Title": "Nina's Comics", "d:Description": "Single panel business comics, comic images of cats, irregular comics by Nina Tryggvason.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.angelfire.com/nt/LavenderProductions/"} +{"d:Title": "Naked: People in Car Chases", "d:Description": "No naked people, but a humorous computer generated comic instead.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.lobsteraliens.com/"} +{"d:Title": "Not Exactly Rocket Science", "d:Description": "Single-panel humor cartoons by Brent Coulson. Books and merchandise available.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.smirkproductions.com/"} +{"d:Title": "Nakedfella", "d:Description": "Strips and flash movies about a character called Nakedfella. By Australian David Blumenstein. With a gallery and links to related stuff.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.nakedfella.com/"} +{"d:Title": "NelsonToons", "d:Description": "Cartoons by Scott Nelson. Off beat single panel gag, religious, and seasonal cartoons.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.nelsontoons.com/"} +{"d:Title": "n00bism.net", "d:Description": "About 2 guys who are highly addicted to the Internet. Features articles, polls and forums.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://n00bism.net/"} +{"d:Title": "Non Sequitur", "d:Description": "A complete archive of the strip, with a catalog, artist bio, and Homer the Reluctant Soul.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.non-sequitur.com/"} +{"d:Title": "Neko the Kitty", "d:Description": "A webcomic by Geraroid Molloy starring a cat who smokes, his mate, and their humans.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.nekothekitty.net/"} +{"d:Title": "Namir Dieter", "d:Description": "A webcomic by Isabel Marks starring a cast of heavily personified animals.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.namirdeiter.com/"} +{"d:Title": "Neo-Monster Island", "d:Description": "Home of Twisted Kaiju Theater: A Godzilla Toy story, where Mothra is just another tasty bug.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.neomonsterisland.com/"} +{"d:Title": "Not Exactly Rocket Science", "d:Description": "Brent Coulson's inside jokes for those of us on the outside.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.notexactlyrocketscience.com/"} +{"d:Title": "Nukeland Cinema", "d:Description": "A unique photo comic featuring Bionicle, Power Rangers, Transformers, Godzilla and more of your favorite action figures in crazy adventures.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.nukelandcinema.com/"} +{"d:Title": "N3wt", "d:Description": "An off-the wall humorous comic designed with poor artwork and a warped imagination.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.n3wt.nildram.co.uk/"} +{"d:Title": "Neobaka", "d:Description": "Manga style webcomic that features two students who return to their dorm room to discover a cute robot girl. By Dan Kent.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://baka.comicgenesis.com/"} +{"d:Title": "NeTrek", "d:Description": "Quirky comic stip about Sijei and Kara as they wander about the world-wide web.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://netrek.comicgen.com/"} +{"d:Title": "NonSense", "d:Description": "About something different every day and usually not coherent. By Andrew Bradley.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://nonsense.comicgenesis.com/"} +{"d:Title": "NeverNever", "d:Description": "The chronicle of the faeries at war with humanity - and of the humans who just don't notice.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/N", "url": "http://www.mopsy.com/"} +{"d:Title": "Over The Edge", "d:Description": "Daily Web panel cartoon by Dan Reynolds. With selected past cartoons archived, and originals, cards and t-shirts to buy.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://www.stus.com/ote.htm"} +{"d:Title": "Off the Mark", "d:Description": "Mark Parisi's daily comic panel is on the web with a daily cartoon, archives according to subject, some stuff to buy and syndication information.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://offthemark.com/"} +{"d:Title": "Ozy and Millie", "d:Description": "Two young foxes endure the trials and tribulations of childhood.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://www.ozyandmillie.org/"} +{"d:Title": "Order of the Stick", "d:Description": "Fantasy role playing oriented strip - includes cast of characters and some game design articles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://www.giantitp.com/"} +{"d:Title": "Overdue Media", "d:Description": "Home of \"Unshelved\". Set in a library by Bill Banes and Gene Ambaum.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://www.overduemedia.com/"} +{"d:Title": "Owlie Comics", "d:Description": "An archive featuring the grumpy owl fighting his battles with the universe.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://www.owliecomics.com/"} +{"d:Title": "Otaku Life", "d:Description": "An online comic strip about the secret life of college students and anime freaks. By Jeanette Brown.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://otakulife.comicgenesis.com/"} +{"d:Title": "Office Supplies", "d:Description": "Humorous strip about everyday items used in the office and their personal take on life. Created by Lola Akinmade.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://www.officesuppliescomic.com/"} +{"d:Title": "The Ordinary Adventures of Tomas", "d:Description": "Photo-comic about Tomas, an invisible friend who doesn't realize he is invisible, and his many ordinary adventures.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://ordinarytomas.blogspot.com/"} +{"d:Title": "Odd Jobs", "d:Description": "David DiAngelo's modern day strip Odd Jobs.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/O", "url": "http://www.timbroderick.net/"} +{"d:Title": "Pokey the Penguin", "d:Description": "Non-sequitur comic about Pokey and other penguins, with minimalist computer art.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.yellow5.com/pokey/"} +{"d:Title": "Pseudo Heroes", "d:Description": "Online strip about crime fighters with ordinary powers, such as Wonder Bra Woman and Alcoholic Man. By Bentley and Martens.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://members.tripod.com/pseudoheroes/home.htm"} +{"d:Title": "The Pinkey Suthers Show", "d:Description": "Pinkey and co-hosts explain various aspects of life in this weekly strip by Dylan Graham.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.pinkey.com/"} +{"d:Title": "Penmen", "d:Description": "Gary Blehm's strip asks the reader to find the matching pair in a lineup of stick figures. Archived strips, animations, and merchandise. [Requires Flash]", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.penmen.com/"} +{"d:Title": "Punmaster", "d:Description": "Comic panel by David Gross.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.punmaster.com/punmaster"} +{"d:Title": "A Perfect World", "d:Description": "Weekly panel cartoon by Linda Causey, with archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.aperfectworld.org/"} +{"d:Title": "Piled Higher and Deeper", "d:Description": "About graduate students, by university professor Jorge Cham. Strip archive, merchandise available.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.phdcomics.com/"} +{"d:Title": "Penny Arcade", "d:Description": "Videogaming-related online strip by Mike Krahulik and Jerry Holkins.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.penny-arcade.com/"} +{"d:Title": "PvP: Player vs. Player", "d:Description": "Online strip about videogamers, by Scott Kurtz.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.pvponline.com/"} +{"d:Title": "Pixel Paintings", "d:Description": "Parodies of manhood, confession, Muslims, the final judgement.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.pixelpaintings.net/comickode/kodeportada.htm"} +{"d:Title": "PoorlyDrawnComics.com", "d:Description": "An ironic look at life. Features an archive and the Spare Change cast.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.poorlydrawncomics.com/"} +{"d:Title": "The Pain - When Will It End", "d:Description": "Tim Kreider's satirical weekly strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.thepaincomics.com/"} +{"d:Title": "Pipingrad", "d:Description": "Moody black-and-white drawings about surreal mishaps. By Phillip M. Jackson.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.pipingrad.com/"} +{"d:Title": "Pixel Pals", "d:Description": "The end of 8-bit innocence.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.i-mockery.com/pixelpals"} +{"d:Title": "Pointless Display Comics", "d:Description": "Yet another amateur webcomic including funky art, and a lazy artist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.angelfire.com/comics/smallcarv/"} +{"d:Title": "Pengcognito", "d:Description": "Adventures of the fashion-challenged, herring obsessed inhabitants of an odd little penguin-dominated parallel universe.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.pengcognito.com/"} +{"d:Title": "PsyZoo", "d:Description": "The setup is like a movie stage where the producer, comic strip maker and assistant are trying to create normal comic strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.psyzoo.com/"} +{"d:Title": "Pathetisad the Comic", "d:Description": "About a group of friends who barely tolerate each other and hardly understand reality.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.pathetisad.com/"} +{"d:Title": "PartiallyClips", "d:Description": "This is a twice-weekly clip art comic strip for grownups, by Rob Balder.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.partiallyclips.com/"} +{"d:Title": "Pookie Comics", "d:Description": "The adventures of Teddy bear.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.freewebs.com/pookiecomics/index.htm"} +{"d:Title": "Pretty Heavy", "d:Description": "A pub in the weirdest town on earth. Surreal humor webcomic by Gareth Allen.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://heavy.comicgenesis.com/"} +{"d:Title": "P and P", "d:Description": "Online comic whose cast includes a bird, a worm, and two brothers and a band. By Richard Gwynn.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://pandpcomic.comicgenesis.com/"} +{"d:Title": "Paragon Fishing", "d:Description": "Features the exploits of three characters, two of which are roommates. Not always a happy ending.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://paragonfishing.comicgenesis.com/"} +{"d:Title": "Peno", "d:Description": "Features archives, cast and bio. By Jamal Slater.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://peno.comicgen.com/"} +{"d:Title": "Plotless Violence", "d:Description": "A humorous webcomic featuring Martial Arts fight scenes.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://plotlessviolence.comicgenesis.com/"} +{"d:Title": "Prince Valiant", "d:Description": "Prince Valiant, Prinz Eisenherz. Everything published with a picture and an estimated value.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://www.princevaliant.net/"} +{"d:Title": "The Perry Bible Fellowship", "d:Description": "Offbeat comic strip by Nicholas Gurewitch. Includes archives, information about the author, and purchases.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://pbfcomics.com/"} +{"d:Title": "Past Expiry", "d:Description": "A weird and offbeat single panel cartoon by Johnny Ancich.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P", "url": "http://pastexpiry.blogspot.com/"} +{"d:Title": "Peanuts Collector Club", "d:Description": "Annual event information, club news, articles, and pictures.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.peanutscollectorclub.com/"} +{"d:Title": "You're The Guest of Honor, Charlie Brown", "d:Description": "Information on Peanuts books, videos, and other collectibles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.angelfire.com/anime/peanutslibrary/beginning.html"} +{"d:Title": "Marcie's World", "d:Description": "Devoted to Peppermint Patty's friend, Marcie. Includes a profile and images.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://members.tripod.com/pnutsmarcie/index/"} +{"d:Title": "AAUGH.com Peanuts Book Guide", "d:Description": "A guide to the hundreds of books devoted to Charles M. Schulz and his characters.", "priority": "1", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.aaugh.com/guide/"} +{"d:Title": "Snoopy in Australia", "d:Description": "Personal collection and recollections of an Australian fan.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.snoopyaustralia.com/"} +{"d:Title": "Charles M. Schulz Museum", "d:Description": "Information on collections for researchers, a store featuring rare reprints of Peanuts work as well as other comics by Schulz, and a guide to exhibitions.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://schulzmuseum.org/"} +{"d:Title": "Snoopy&Peanuts Webring", "d:Description": "Many sites about Charles Schulz's creations.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.webring.org/hub?ring=snoopy2"} +{"d:Title": "Snoopy WebRing", "d:Description": "More sites about Charlie Brown's dog, and the rest of the Peanuts gang.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.webring.org/hub?ring=goodgrief"} +{"d:Title": "Universal Uclick", "d:Description": "News from Peanuts' syndicator Universal Media, with links to a shop to buy prints of individual strips.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.universaluclick.com/comics/peanuts"} +{"d:Title": "Peanuts by Schulz", "d:Description": "The official site for Peanuts, offering reprints of strips, movie news, and social media such as the Snoopy Spotter.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.peanuts.com/"} +{"d:Title": "Schulz Retires, by All of the Top Cartoonists", "d:Description": "Collection of tribute cartoons by various artists, after Schulz's death.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://www.cagle.com/news/peanuts/"} +{"d:Title": "Peanuts Gang Wiki", "d:Description": "A community site that anyone can contribute to with reproductions of strips, character profiles, embedded videos of Peanuts animation.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Peanuts", "url": "http://peanuts.wikia.com/"} +{"d:Title": "The Deep Woods", "d:Description": "Dedicated to the life-work of Lee Falk. Reference guide with story lists, comic details, creator biographies, new Phantom comics, and trivia.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Phantom", "url": "http://www.deepwoods.org/"} +{"d:Title": "The 21st Phantom's Deep Woods", "d:Description": "Fan site focusing on the Indian version of The Phantom. Provides news, character info and daily comic strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/P/Phantom", "url": "http://deepwoods.orgfree.com/"} +{"d:Title": "Qwantz Dinosaur Comics", "d:Description": "Dinosaurs discuss difficult issues of life. Each strip repeats the same six images. By Ryan North.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Q", "url": "http://www.qwantz.com/"} +{"d:Title": "Questionable Content", "d:Description": "Centers around an average frustrated 20-something music nerd, his PC and Faye. Includes archive, FAQ and overview.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Q", "url": "http://www.questionablecontent.net/"} +{"d:Title": "Quitting Time", "d:Description": "A comic about friendship, fatherhood and the joys of retail.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Q", "url": "http://www.quitting-time.com/"} +{"d:Title": "Ralf Ryder", "d:Description": "Weekly comic strip by L. Carlson and Bill Smith. Also featuring weekly installments of Pacific Rag and Husky Tales.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.angelfire.com/ca/ralfryder/index.html"} +{"d:Title": "Red Meat", "d:Description": "The self-proclaimed \"most tasteless and twisted comic strip in the world\". From the secret files of Max Cannon. Regularly updated, with a generous backlog.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.redmeat.com/"} +{"d:Title": "Rocketship Ginger", "d:Description": "Comic strip by Katherine Phelps about a small girl who's also a fearless astronaut.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.glasswings.com.au/GlassWings/jolly/ginger/"} +{"d:Title": "Rudy Park", "d:Description": "Full-color weekly strip about the search for meaning in the modern world, starring a naive 20-something busboy at a cybercafe. By Theron Heir and Darrin Bell.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.rudypark.com/"} +{"d:Title": "Room 825", "d:Description": "Odd coincidences, and the college students who live through them. By Traegorn Ravenhawk.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.trhonline.com/room825/"} +{"d:Title": "Real Life", "d:Description": "A daily online comic about the normal lives of some abnormal people. By Gregg Dean.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.reallifecomics.com/"} +{"d:Title": "Rob After the Nuclear War", "d:Description": "About Rob and his adventures in post-apocalyptic New England. Also includes a selection of editorial cartoons by the artist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.angelfire.com/art/thaumaturgy/"} +{"d:Title": "Rob's World", "d:Description": "Humorous sprite-based comic.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.angelfire.com/comics/robsworld/index.html"} +{"d:Title": "Recovery Cartoons", "d:Description": "Cartoons by BenBen the Fisherman depicting the lighter side of 12-step recovery.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.recoverycartoons.com/"} +{"d:Title": "Radioactive Panda", "d:Description": "About the misadventures of several roommates, and the giant panda they can't seem to get rid of.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.radioactivepanda.com/"} +{"d:Title": "Rat Creature", "d:Description": "Features a film noir style detective story, science fiction stories and autobiographical comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.ratcreature.net/"} +{"d:Title": "Random Pixels", "d:Description": "Black and white random funny thoughts by Brian Buckley.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://randompixels.bravepages.com/"} +{"d:Title": "Register One", "d:Description": "A full color comic occasionally about retail, usually random. By Mike Ross and Drew McGhie.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.registeronecomic.com/"} +{"d:Title": "Reckless Youth", "d:Description": "Comic about collection of London youth living rather strange lives.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://recklessyouth.comicgen.com/"} +{"d:Title": "Reinventing the Wheel", "d:Description": "Life-based webcomic about creating world change and not going insane in the process.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.dailywheel.com/"} +{"d:Title": "Reheated", "d:Description": "A webcomic about random college life. Includes archives, cast, and about.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://reheated.comicgenesis.com/"} +{"d:Title": "The Robman Show", "d:Description": "A cartoon about a fanboy's search for love, happiness and cheaper comics.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://therobmanshow.comicgenesis.com/"} +{"d:Title": "Ralph Snart", "d:Description": "Flash-animated strip about the chaotic adventures of a deranged accountant's loutish alter ego. By Marc Hansen.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.marchansenstuff.com/"} +{"d:Title": "Raccoon Toons", "d:Description": "Featuring the adventures of Matt and his roommate Michael Raccoon. By Matthew Taylor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://www.raccoontoons.com/"} +{"d:Title": "Reality Challenged", "d:Description": "About Lizzie, a gothpunk gamer and her friends.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/R", "url": "http://rc-comic.livejournal.com/"} +{"d:Title": "Small World", "d:Description": "Starring \"Tad\", a philosopher, consumer and victim of modern life. New strips every Friday. Free e-mail subscriptions.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.briscoe.org/"} +{"d:Title": "Soap On A Rope", "d:Description": "Daily comic strip by Bob Roberds with a complete archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.soaprope.com/"} +{"d:Title": "Superosity", "d:Description": "Daily Comic Strip in color by Chris Crosby.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.superosity.com/"} +{"d:Title": "SWAMI", "d:Description": "A new flavor of humor from India. By Ashok Dongre.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.addmark.com/swami/"} +{"d:Title": "Sheldon", "d:Description": "Cartoon following the adventures of a ten-year old genius, his talking duck, and their billion-dollar web company. Created by Dave Kellett.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.davekellett.com/"} +{"d:Title": "Salamander Bits", "d:Description": "Unique daily cartoon that mixes a new face with a different quote each day. Many of these ideas and portraits are developed during artist T. wEieR's frequent trips on public transportation.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.somedaze.com/salamander.html"} +{"d:Title": "Somedaze", "d:Description": "Cartoons of eccentric thought and weird scribblings updated daily. Somedaze has appeared in newspaper and magazines all over the place. Read it for a daily laugh in contemporary humor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.somedaze.com/somedaze.html"} +{"d:Title": "SpamFish", "d:Description": "A loony and toony cartoon that likes to use slapstick comedy and witty phrases. Starring SpamFish, an orange cat.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://spamfish.tripod.com/"} +{"d:Title": "Squinkers", "d:Description": "Comic strip about life at a country bed and breakfast.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.squinkers.com/"} +{"d:Title": "Snorty and Co.", "d:Description": "The cartoon adventures of an English mini-car.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.snorty.net/"} +{"d:Title": "Skinny Panda", "d:Description": "Comic strip about a Panda by Phil Cho.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.skinnypanda.com/"} +{"d:Title": "Stickman", "d:Description": "The adventures of a talking stick figure.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://stickman.itgo.com/"} +{"d:Title": "Sucktales", "d:Description": "Meet the superhero Silver Snaker, the aggressive skating professional Dark Rider or the little French boy Petit Jacques. Our Online-Comic is updated every Sunday. Special Feature: have a look at some scanned images from our schoolbooks.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.members.aon.at/sucktales"} +{"d:Title": "SinFest", "d:Description": "Strip dealing with contemporary issues and religion. Created by Tatsuya Ishida.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.sinfest.net/"} +{"d:Title": "Spungifeel Comics and Somedaze Cartoons", "d:Description": "The eccentric daily cartoons Somedaze and Salamander Bits.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.somedaze.com/"} +{"d:Title": "Sidewalk Bubblegum", "d:Description": "Political and social commentary from the pen of Clay Butler. Syndicated comic strip covers issues such as war, gender, capitalism, race and environment.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.sidewalkbubblegum.com/"} +{"d:Title": "Snapshots", "d:Description": "Free daily panel cartoon by Jason Love. With an archive and some extra goodies.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.jasonlove.com/"} +{"d:Title": "Scrubs", "d:Description": "Detailing the life and times of two best friends. Includes an archive and character profiles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.kipster.org/scrubs/"} +{"d:Title": "Schlock Mercenary", "d:Description": "Travel the galaxy. Meet new and fascinating life-forms.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.schlockmercenary.com/"} +{"d:Title": "The Scott Tirades", "d:Description": "A teenager's gripes about the unfairness of life. Includes past comics and information about the artist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.angelfire.com/rant/scottstirades/"} +{"d:Title": "Stripped Again", "d:Description": "A humorous comic strip about the lives of some college students and friends, struggling to survive, including with the opposite sex. By Morten B. Helland.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.strippedagain.com/"} +{"d:Title": "Snakecartoons.com", "d:Description": "The Official site of \"Snake Tales\" and \"Lennie the Loser\" comic strips, by Australian cartoonist, Sols.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.snakecartoons.com/"} +{"d:Title": "Spencer Green", "d:Description": "Full run of this college cartoon by Peter Zale.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.peterzale.com/green/"} +{"d:Title": "Sneaky and Creepy", "d:Description": "A cartoon about two roaches. Also offers a short introduction to an animation project, ecards and character sketches.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.sneakyncreepy.com/"} +{"d:Title": "Stick Dude", "d:Description": "Adventures and opinions of a stick figure and his friends.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.stickdude.freeservers.com/"} +{"d:Title": "The Shazniks", "d:Description": "Features comic strips, and information about the characters.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.shaznik.20m.com/"} +{"d:Title": "Star Jam", "d:Description": "Original comic strip about a bumbling star ship captain and his crew.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://angelfire.com/ab6/starjam"} +{"d:Title": "Superspud", "d:Description": "Original humorous comic strip featuring a flying superhero from the dark side of the moon. Includes archives since 1996.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.superspud.com/"} +{"d:Title": "Sparkling Generation Valkyrie Yuuki", "d:Description": "Follow the adventures of a magical girl as she fights the evil forces. Features an archive and a forum.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.sgvy.com/"} +{"d:Title": "The Shikwekwes", "d:Description": "A Kenyan cartoon that peeks into the lives of a wacky Kenyan family as they come to grips with the 21st century.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.theshikwekwes.com/"} +{"d:Title": "Sam and Fuzzy", "d:Description": "Serial about a cab driver and his bear-like friend by Sam Logan. Offers a reader's guide, forum, and frequently asked questions.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.samandfuzzy.com/"} +{"d:Title": "Shallow Grave Comics", "d:Description": "A collection of comic strips created by Sean Polyn, graduate student of Princeton University.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.polyn.com/"} +{"d:Title": "Scott's Mind", "d:Description": "Cartoons like a car crash. You know it's going to be twisted, but you stop and look, anyway. Plus evil clown generator and celrebrity defacer.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.scottsmind.com/"} +{"d:Title": "Scooter and Ferret", "d:Description": "Former pets and incompatible roommates star in a new strip every four days. Includes games, a forum, downloads and cartoon shorts.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.scooterandferret.com/"} +{"d:Title": "Scarygirl", "d:Description": "About a dastardly plan to steal the world's underpants. Offers screensavers, the series, icons, t-shirts, and a movie.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.scarygirl.com/"} +{"d:Title": "Shortpacked", "d:Description": "A webcomic about toys by David Willis.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.shortpacked.com/"} +{"d:Title": "Stripped Books", "d:Description": "A non-fiction strip about books and comic events. Also features Multiplex and other cartoons. By Gordon McAlpin.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.strippedbooks.com/"} +{"d:Title": "A Softer World.", "d:Description": "Weekly webcomic and a collection of subversive resumes.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.asofterworld.com/"} +{"d:Title": "Suburban Squalor", "d:Description": "Chronicles the hilarious adventures of a teenage depressive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://neocolin.20m.com/"} +{"d:Title": "Sauce", "d:Description": "Features an archive 2000-2003. By Eric Toffey.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://pudbat.tripod.com/"} +{"d:Title": "The Spade-Men", "d:Description": "A group of friends become unlikely superheroes when they are stuck by lightning while playing video games.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.spade-men.com/"} +{"d:Title": "Severed Toe", "d:Description": "A weird comic that has body parts as characters. By Phillip Oliver-Paull.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://severedtoe.headofphil.net/"} +{"d:Title": "Scribble Cartoon", "d:Description": "Straight from the warped imagination of underground cartoonist, Josh Hara.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.scribblecartoon.com/"} +{"d:Title": "Saturday Morning Breakfast Cereal", "d:Description": "A collection of bizarre humor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.smbc-comics.com/"} +{"d:Title": "Severe Boredom", "d:Description": "Based on an extra-curricular project of two High School friends.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.severeboredom.com/"} +{"d:Title": "School Spirit", "d:Description": "The comic misadventures of Casper, Cody and Co. Join them as they fumble through grade five.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://schoolspirit.comicgenesis.com/"} +{"d:Title": "Shit Happens", "d:Description": "The misadventures of two losers. Art, About, and Archives.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.raincannon.com/"} +{"d:Title": "Stivers, Mark", "d:Description": "The cartoonist features an archive and is a piano tuner too. Features original songs and a weblog.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.markstivers.com/"} +{"d:Title": "Savingjacksbrain.com", "d:Description": "Home of the college and political humor-themed comic strip. By Zach Martz.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.savingjacksbrain.com/"} +{"d:Title": "Savage Chickens", "d:Description": "Cartoons on sticky notes. By Doug Savage.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.savagechickens.com/"} +{"d:Title": "Surliness.com", "d:Description": "About I.T workers in college. Features a gallery and a forum.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.surliness.com/"} +{"d:Title": "Strip For Me", "d:Description": "Douglas Noble and his comic strips, including Strip For Me, borders, and the devil in eden.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.strip-for-me.com/"} +{"d:Title": "Sentient Thirty-Nine Weirdworks", "d:Description": "Illustrated selections from the Journals of Lado Perapek, who crossed two thirds of the known galaxy in sixty years.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://sentient39.comicgenesis.com/"} +{"d:Title": "Situation Nowhere", "d:Description": "The harrowing tale of a boy with no last name, lost in a suburban hell with only his attractive yet sexually non-threatening side kick to help him, in a loosely-reality-based comic strip, by Joe.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://situationnowhere.comicgenesis.com/"} +{"d:Title": "Smapdi", "d:Description": "An online comic about a bunch of friends trying their best not to kill each other.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://smapdi.comicgenesis.com/"} +{"d:Title": "Snowball In Hell", "d:Description": "An innocent six-year-old girl and the Demon of Undercooked Pasta embark on a quest through the nether realms. By Kyle Burles and Mike Rieger.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://snowball.comicgenesis.com/"} +{"d:Title": "Soda Venture", "d:Description": "A computer graphic comic by Jacob Gray - includes an archive and character profiles", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://sodaventure.comicgenesis.com/"} +{"d:Title": "Steverino", "d:Description": "Steverino and his friends go about their daily lives. Includes archive, artist information and character profiles.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://steverino.comicgenesis.com/"} +{"d:Title": "Stickman Limited", "d:Description": "Comics featuring Stickman Limited and Alabama Bones. Good humor. Bad art.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://stickmanltd.comicgenesis.com/"} +{"d:Title": "Syntax Comics", "d:Description": "Humorous strip by artist/author Owanno Megumi.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://syntaxcomics.comicgenesis.com/"} +{"d:Title": "Suburban Jungle", "d:Description": "The life, loves, and career of aspiring supermodel and ferocious predator, Tiffany Tiger. By John \"the Gneech\" Robey.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.suburbanjungle.com/"} +{"d:Title": "Slowpoke", "d:Description": "Weekly political cartoon by Jen Sorensen, appearing in alternative newspapers around the country.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.slowpokecomics.com/"} +{"d:Title": "Sun Fun Cartoons", "d:Description": "An archive from a British newspaper called The Sun, containing Hagar the Horrible, George and Lynne, Sun Fun and political cartoons.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://sunfun.net-freaks.com/sunfun/"} +{"d:Title": "Spoil Sports", "d:Description": "Sports cartoons by Dominic Nunziato. A sports column in every cartoon.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.spoil-sports.com/"} +{"d:Title": "Strange Candy", "d:Description": "Manga-style funny strip. By Okashina Okashi.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S", "url": "http://www.strangecandy.net/"} +{"d:Title": "Piecemeal's Sluggy Freelance Fansite", "d:Description": "Fan Site for the online comic strip Sluggy Freelance, with a set of character profiles, a list of events in the strip and a vote for favorite character.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://members.tripod.com/~piecemeal/"} +{"d:Title": "The Gwynn Fan Page", "d:Description": "This site is dedicated to Gwynn of Sluggy Freelance. Character biography and pictures.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://cuttie_gwynn.tripod.com/index.html"} +{"d:Title": "Sluggy Freelance", "d:Description": "Daily online comic by Pete Abrams about aliens, demons, dating, and bunnies with switchblades. Also color Sunday episodes, weekly overviews and animated gifs.", "priority": "1", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://www.sluggy.com/"} +{"d:Title": "The Sluggite Zone", "d:Description": "Home of the official Sluggy Community, and featuring statistics, forums, and fan contributions.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://www.sluggy.net/"} +{"d:Title": "AstroNerdBoy Presents: Pete Abrams, Creator of \"Sluggy Freelance\"", "d:Description": "Interview with the creator about the origins of Sluggy Freelance, his life, the characters and stories.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://www.astronerdboy.com/comic-strips/kiosks/advice/Pete_Abrams-p1.html"} +{"d:Title": "Sluggy Costumes", "d:Description": "Instructions on making Halloween costumes. Includes picture gallery.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://londonbats.stormpages.com/"} +{"d:Title": "SluggyMUX", "d:Description": "The homepage for the MUX based on Sluggy Freelance. Includes a beginner's guide for those new to MU*.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://sluggy.surly.org/"} +{"d:Title": "The Tech: Sluggy Freelance - There's more than porn on the 'net", "d:Description": "A review of the collection \"Sluggy Freelance: Is it not Nifty?\" from the MIT online newspaper.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/S/Sluggy_Freelance", "url": "http://tech.mit.edu/V119/N14/sluggy_freelanc.14a.html"} +{"d:Title": "Tom the Dancing Bug", "d:Description": "Alternative political comic strip by Ruben Bolling.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.tomthedancingbug.com/"} +{"d:Title": "Turtle Trax", "d:Description": "A comic strip that explores the relationship between sea turtles and their researchers. Sometimes it's tough to figure out who's studying who.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.turtles.org/toon.htm"} +{"d:Title": "That's Life Unus", "d:Description": "Unus Lepus is a romantically challenged rabbit with a sophisticated sense of humor. By Ronnie Desoto.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.angelfire.com/tn2/THATSLIFEUNUS/"} +{"d:Title": "Thanks for Nuthin", "d:Description": "Single panel cartoon with resources to comic strips, animation, and new media on the web. Archive of past panels. By Brad Fitzpatrick.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.thanksfornuthin.com/"} +{"d:Title": "Tiny Sepuku", "d:Description": "Love advice strip. News, online archives, games, contact information, and links.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.tinysepuku.com/"} +{"d:Title": "TTdesign.com", "d:Description": "Offbeat sports related cartoon \"The Novice\", a social commentary comic strip \"The Prophet\", fun in an advertising agency with \"The Adman\", and a graphic novel \"The Dragon Slayer\". Features illustration and multimedia services.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.ttdesign.com/"} +{"d:Title": "Turtlecomics.com", "d:Description": "Features comics by Wayne Chan including Fuz, Nearsighted, Camp-us and Doodlism.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.turtlecomics.com/"} +{"d:Title": "Tex Arcana", "d:Description": "An ongoing saga of horror and humor in the Old West. Aimed at an adult audience.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.texarcana.com/"} +{"d:Title": "Tech Magazine: The Comic Strip", "d:Description": "A comic about a technical magazine that starts up in Santa Cruz - Tech writers, software engineers, and a town that's stuck in the sixties. By David Gatwood.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.techmagazine.org/"} +{"d:Title": "The Third Rail", "d:Description": "Semi-surreal webcomic concerning time loops and the random yahoos forced to fix them.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://aod.comicgen.com/"} +{"d:Title": "Terminal Press", "d:Description": "Home of Crowpsey, Silent Assassin, and Toxic Teddies. Features galleries, info on ordering books, trailers, and downloading desktops.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.terminalpress.com/"} +{"d:Title": "A Triangle Morning", "d:Description": "Comics and animation featuring stick figures. Simply funny.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.atrianglemorning.com/DEARBLOG/"} +{"d:Title": "Triumphant Losers", "d:Description": "Archives, characters, and other stories. By Scott A. Skillings.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://triumphantlosers.comicgenesis.com/"} +{"d:Title": "Things from Nowhere", "d:Description": "Pop culture history dissected into bit-size pieces. By Richard Kolkman.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.thingsfromnowhere.com/"} +{"d:Title": "Ted's World", "d:Description": "About a group of Asian kids bumbling their way through life.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.tedsworldcomic.com/"} +{"d:Title": "The Tao of Geek", "d:Description": "Featuring a positive view of geeks and geeky interests. Offers an archive, about, and cast.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://tog.litazia.com/"} +{"d:Title": "Twisted", "d:Description": "A gallery of cartoons by Raymond Betancourt.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.twisted.dostweb.com/"} +{"d:Title": "TRU-Life Adventures", "d:Description": "The often humorous, occasionally dramatic tale of a major toy store and the folks who work there.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.tru-lifeadventures.com/"} +{"d:Title": "Tip O' The Ice Cube", "d:Description": "The comic adventures of montefin and his faithful malamute Lou aboard the nuclear submarine USS Ca Va.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.montefin.com/comic-strip.html"} +{"d:Title": "TrishTales.com", "d:Description": "A photo-comic that takes place in a world exactly like ours, except that action figures are alive and live with humans.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.trishtales.com/"} +{"d:Title": "Tiny Ghosts", "d:Description": "Weekly photo-comic filled with robots, rag dolls, ballerinas, and melancholy humor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.tinyghosts.com/"} +{"d:Title": "Test Monkey!", "d:Description": "Official site of the Justin Bastard Sane creation.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://testmonkey.comicgenesis.com/"} +{"d:Title": "Tree Sprocket", "d:Description": "Features the adventures and antics of Al, Frank, Jack, Kyrik and the Shouting Guy. By Al Nennig.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://treesprocket.comicgenesis.com/"} +{"d:Title": "TTP Comics", "d:Description": "A collection of cartoons and about the cast. By Gordon Tumilty.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://ttp.comicgenesis.com/old/"} +{"d:Title": "Twelve Dragons", "d:Description": "A story of elves, trolls, dwarves and other mystical beings.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://twelvedragons.comicgenesis.com/"} +{"d:Title": "Tank McNamara", "d:Description": "Daily comic strip with archive and information about the creators, Jeff Millard and Bill Hinds.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/T", "url": "http://www.gocomics.com/tankmcnamara/"} +{"d:Title": "User Friendly", "d:Description": "Comic strip about the Internet and hi-tech business in general. By Illiad. Includes wallpaper and startup screens to download, character profiles and an archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/U", "url": "http://www.userfriendly.org/"} +{"d:Title": "Urban Dragons", "d:Description": "Ever wonder what happened to dragons? They're still around; only they've adapted to living in a crowded world. By C. S. McDonald and Sandy Turner.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/U", "url": "http://www.urbandragons.com/"} +{"d:Title": "Underworld", "d:Description": "Weekly humor strip by Kaz, about sordid doings in a surreal city.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/U", "url": "http://www.kazunderworld.com/"} +{"d:Title": "Ubigod's Hurricane", "d:Description": "Tales and travels of an existential beat superhero and his ex-roommates.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/U", "url": "http://ubigod.comicgenesis.com/"} +{"d:Title": "The Underground", "d:Description": "Online comic strip by Jeff Russell and Matt Green, about the writers of an unofficial high-school newspaper.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/U", "url": "http://underground.comicgenesis.com/"} +{"d:Title": "Untitled Again", "d:Description": "The story of a college art student who discovers that whatever he draws, comes to life. By Paul Roustan.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/U", "url": "http://untitledagain.comicgenesis.com/"} +{"d:Title": "VisionMeld Comics", "d:Description": "Features the furry strip Furantics, the medieval humor strip Open Knightly, and other doodlings by Bryan Hoben.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://www.visionmeld.com/"} +{"d:Title": "Voices in My Hand", "d:Description": "Home of the comic panel by cartoonist Bill Charbonneau. Includes current and archived panels and news.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://www.voicesinmyhand.com/"} +{"d:Title": "The Venture Capitalist", "d:Description": "An inside view into the world of venture capital. Life at the conference table. By Robert von Goeben and Kathryn Siegler.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://www.thevc.com/"} +{"d:Title": "Versa Vice", "d:Description": "Single-panel humor cartoons, by Chad Mansfield.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://www.pcisys.net/~versavice/"} +{"d:Title": "Virgil 'n' Zen", "d:Description": "Adventures of a pirate and a pumpkin-headed werewolf. By Brian Villalobos.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://www.angelfire.com/comics/virgilnzen/"} +{"d:Title": "Vendetta", "d:Description": "Action story about a professional car thief who gets mixed up with a mysterious man with a sword and no memories drawn in anime style.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://www.vendettacomic.com/"} +{"d:Title": "VG Cats", "d:Description": "A webcomic about videogames. Features the cast, an archive, and a forum.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://www.vgcats.com/"} +{"d:Title": "Vagrant Vivian", "d:Description": "Vivian and her two roommates live \"ordinary\" lives, but the dreams she keeps having hints that she may be more important than she knows.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://vagrantvivian.comicgenesis.com/"} +{"d:Title": "Vegetarinat", "d:Description": "Days in the life of vegetables. By Jouni Ahola.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/V", "url": "http://vegetarinat.comicgenesis.com/"} +{"d:Title": "Waiting for Bob", "d:Description": "Sean, Jane, and Bernie cope with reality with nothing but television, sex, music, television, and money to help them. New comic every weekday. With archive and character bios.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.waitingforbob.com/"} +{"d:Title": "Widgetworld", "d:Description": "Cartoonist Jeff Myers' home page, and the home of his Cuckoo Sorority House strip.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://widgetworld.freeservers.com/index.html"} +{"d:Title": "Webheads", "d:Description": "A comic strip for webheads, with a daily dose of e-humor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.webheadsweb.com/"} +{"d:Title": "The Wandering Ones", "d:Description": "Science Fiction comic strip. In 2066 a.d. Tracker/Scouts wander a vastly depopulated Northwest, while trying to stop a military force bent on domination!", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.wanderingones.com/"} +{"d:Title": "Well it Could be Worse", "d:Description": "Cartoon site focussing on four characters, about philosophy, life and dating. By Jeff the cartoonist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.angelfire.com/scifi/thecartoonist/"} +{"d:Title": "Wrong Turn Web!", "d:Description": "A comic strip gallery drawn by a teenage cartoonist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://members.tripod.com/wtcomic/index.html"} +{"d:Title": "The World of Lily Wong", "d:Description": "Life, culture, and politics clash in modern-day Hong Kong", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.lilywong.net/"} +{"d:Title": "Where the Buffalo Roam", "d:Description": "Daily panel comic (\"The Internet's first comic strip\") by Hans Boordahl. Fairly topical. Features an archive.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.shadowculture.com/wtbr/"} +{"d:Title": "Wondermark", "d:Description": "Features a collection using old-fashioned black and white characters, Updated regularly.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.wondermark.com/"} +{"d:Title": "Wednesday Cooper", "d:Description": "Features an interactive fine art cartoon series with a social message. Offers contact information about the artist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.wednesdaycooper.com/"} +{"d:Title": "Ward o World", "d:Description": "A collection of humorous cartoon art, comics, and games with technology themes. Created by Ward Makielski.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.wardoworld.com/"} +{"d:Title": "Wapsi Square", "d:Description": "Monica, Amanda, their lives, their tribulations and friends. By Paul Taylor.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.wapsisquare.com/"} +{"d:Title": "Wombania", "d:Description": "A 3D cartoon about a family of genetically-engineered wombats and their struggle to adapt to a human world.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.wombania.com/"} +{"d:Title": "World of Why?", "d:Description": "Because tragedy is the new comedy.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://worldofwhy.blogspot.com/"} +{"d:Title": "Weasel Breath", "d:Description": "Random situations, crude drawings, funny ideas.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://www.weaselbreath.com/"} +{"d:Title": "The Wrong Band", "d:Description": "The story of a Seattle band trying to make it big.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://thewrongband.comicgen.com/"} +{"d:Title": "Willy Morgan Comics", "d:Description": "About high school students that have crazy adventures that are loosely based on reality.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://willymorgan.comicgenesis.com/"} +{"d:Title": "The Wings of Change", "d:Description": "A fantasy comic featuring a mini-dragon with a tough-guy complex, an elvain girl who hears voices, and the pixy orphans they have to take care of.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://wingsofchange.comicgen.com/"} +{"d:Title": "Winter Solstice", "d:Description": "An archives of cartoons, sketches, and commentary.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://wintersolstice.comicgenesis.com/"} +{"d:Title": "Wonder Wig", "d:Description": "A superhero wig, a grumpy beanstalk and an annoying llama. Features the ramplings of the cartoonist.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://wonderwig.comicgenesis.com/"} +{"d:Title": "Wheech McGee", "d:Description": "Cartoons and caricatures mainly of Glasgow and world political personalities.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://wheechmcgee.webs.com/"} +{"d:Title": "Wally Pike's Outdoor Life", "d:Description": "Comic strip cartoons for fishing, hunting, skiing, and boating entusiasts and everyone who enjoys the outdoors. A few strips online.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/W", "url": "http://members.tripod.com/~wallypike/"} +{"d:Title": "xkcd", "d:Description": "Stick-figure strip featuring humour about technology, science, mathematics and relationships, by Randall Munroe.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/X", "url": "http://xkcd.com/"} +{"d:Title": "x, why?", "d:Description": "A comic of math-related cartoons and images, math humor and general geekiness created by Chris Burke.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/X", "url": "http://xwhy.comicgenesis.com/"} +{"d:Title": "Xylia Tales", "d:Description": "The tale of a young British historian who discovers his connection with Azloe, the lost faerie realm, and Xylia, a beautiful faerie woman. Color comic strip created by B. L. Jacobs.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/X", "url": "http://www.xyliatales.com/"} +{"d:Title": "You Damn Kid", "d:Description": "\"The Wonder Years\" on crack. By Owen Dunne.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Y", "url": "http://www.youdamnkid.com/"} +{"d:Title": "The Yellow Kid On The Paper Stage", "d:Description": "A historical and interpretive examination of the Yellow Kid, a late nineteenth-century comic/cartoon that is credited as being the first newspaper comic strip in America.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Y", "url": "http://xroads.virginia.edu/~MA04/wood/ykid/intro.htm"} +{"d:Title": "Yin and Yang", "d:Description": "Witches and werewolves, gods and monsters, fantasy and real life come together in this off the wall comic about a couple whose life is anything but normal. By Jes Idres.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Y", "url": "http://www.yin-and-yang.com/"} +{"d:Title": "ZAK", "d:Description": "Strip starring the CAD/CAM supercomputer of the future. By Allen Stupica.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Z", "url": "http://www.zak.nu/"} +{"d:Title": "Zwol", "d:Description": "Daily color comic strip. By Greg Stephens.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Z", "url": "http://www.zwol.org/"} +{"d:Title": "Zombie and Mummy", "d:Description": "Drawn on a PDA and embedded in a colorful, eclectic web environment.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Z", "url": "http://www.zombie-and-mummy.org/"} +{"d:Title": "Zortic", "d:Description": "Science fiction comedic adventure comic strip. By Mark Mekkes.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Z", "url": "http://www.zortic.com/"} +{"d:Title": "Zoidland", "d:Description": "Web strip about television, scrapple, a vegetarian, a serial occupationalist, and a man who looks like a chicken. By Jeff Burkholder.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Z", "url": "http://www.zoidland.com/"} +{"d:Title": "Zamo the Destroyer", "d:Description": "The adventures and schemes of an iguana anthropologist hellbent on world domination and increased houseplant consumption. By Pyra Draculea.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Z", "url": "http://www.zamothedestroyer.com/"} +{"d:Title": "Zippy The Pinhead", "d:Description": "Official site, with daily strips, artist information, and merchandise.", "topic": "Top/Arts/Comics/Comic_Strips_and_Panels/Z/Zippy_the_Pinhead", "url": "http://www.zippythepinhead.com/"} +{"d:Title": "Planet Comicon", "d:Description": "Comic book and pop culture convention - Kansas City. Includes information on events, guests, exhibitors and tickets.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.planetcomicon.com/"} +{"d:Title": "Los Angeles Comic Book and Sci-Fi Convention", "d:Description": "Held at the Shrine Auditorium Expo Center in Los Angeles, California, USA. Includes program of events.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.comicbookscifi.com/"} +{"d:Title": "Pittsburgh Comicon", "d:Description": "Comic book convention with Japanamation, movie marathon, located at Pittsburgh Expomart, Monroeville, PA.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.pittsburghcomicon.com/"} +{"d:Title": "Comic Con International", "d:Description": "Runs San Diego Comic-Con, WonderCon and Alternative Press Expo in San Francisco. Includes information on events, exhibits, guests and archives of past events.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.comic-con.org/"} +{"d:Title": "Small Press Expo", "d:Description": "Information on the next SPX, showcase for the exhibition of independent comic books and the discovery of new creative talent.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.spxpo.com/"} +{"d:Title": "Phoenix Comicon", "d:Description": "Comic book, anime, media, and gaming convention. Located in Phoenix, Arizona, USA.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.phoenixcomicon.com/"} +{"d:Title": "SAC-CON", "d:Description": "One-day comic, toy, and Anime show. Sacramento, California.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.sac-con.com/"} +{"d:Title": "MCM Comic Con", "d:Description": "A series of comics conventions in the UK. Lists event schedule, ticket sales, and special guests.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.mcmcomiccon.com/"} +{"d:Title": "Sol-Con: The Brown + Black Comix Expo", "d:Description": "Overview and highlights of the Ohio State University festival of cartoon art.", "topic": "Top/Arts/Comics/Conventions", "url": "http://cartoons.osu.edu/events/sol-con-the-brown-black-comix-expo/"} +{"d:Title": "Convention Scene", "d:Description": "A site that maintains a comprehensive calendar of upcoming comic book conventions as well as other resources for fans and pros alike.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.conventionscene.com/"} +{"d:Title": "Minnesota Comic Book Association", "d:Description": "Fall ComiCon brings dealers, writers, artists, creators and comic fans together.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.mcbacomicons.com/"} +{"d:Title": "Heroes Convention", "d:Description": "Held yearly in Charlotte NC. Information on attending artists, how to get there and why they do it.", "topic": "Top/Arts/Comics/Conventions", "url": "http://www.heroesonline.com/heroescon/"} +{"d:Title": "Alanguilan, Gerry", "d:Description": "Homepage of Filipino comic book artist. Includes gallery, art tips and opinions.", "topic": "Top/Arts/Comics/Creators/A", "url": "http://alanguilan.com/sanpablo"} +{"d:Title": "Alvine, Ken", "d:Description": "Features samples of strips and offers publication and syndication information of work by the artist through Creative Comic Productions.", "topic": "Top/Arts/Comics/Creators/A", "url": "http://www.creativecomics.net/"} +{"d:Title": "Trevor Alixopulos", "d:Description": "Art and illustration portfolio. California, US.", "topic": "Top/Arts/Comics/Creators/A", "url": "http://www.alixopulos.com/"} +{"d:Title": "Andersson, Max", "d:Description": "Swedish cartoonist, creator of Pixy and Car-Boy.", "topic": "Top/Arts/Comics/Creators/A", "url": "http://www.maxandersson.com/"} +{"d:Title": "The University of Chicago Magazine: Nice Guys Finish First", "d:Description": "Six-page comics interview/profile of Sports Illustrated president Michael J. Klingensmith, written and illustrated by Abel.", "topic": "Top/Arts/Comics/Creators/A/Abel,_Jessica", "url": "http://magazine.uchicago.edu/0004/features/"} +{"d:Title": "The Stranger: Under the Volcano", "d:Description": "Emily Hall reviews La Perdida.", "topic": "Top/Arts/Comics/Creators/A/Abel,_Jessica", "url": "http://www.thestranger.com/seattle/under-the-volcano/Content?oid=12956"} +{"d:Title": "Jessica Abel", "d:Description": "Online comics and art samples, artist biography, bookshop, and a guide to creating comics. Based in Angoul\u00eame, Poitou-Charentes, France.", "topic": "Top/Arts/Comics/Creators/A/Abel,_Jessica", "url": "http://jessicaabel.com/"} +{"d:Title": "Yahoo Groups: ArthurAdams", "d:Description": "Discussion listserver for fans of the artist, with news and images.", "topic": "Top/Arts/Comics/Creators/A/Adams,_Arthur", "url": "http://groups.yahoo.com/group/ArthurAdams/"} +{"d:Title": "Yahoo Groups: artadamsgallery", "d:Description": "Discussion listserver with image gallery.", "topic": "Top/Arts/Comics/Creators/A/Adams,_Arthur", "url": "http://groups.yahoo.com/group/artadamsgallery/"} +{"d:Title": "Comic Book Artist Magazine: Art Adams Interview", "d:Description": "Interview by Jon B. Cooke, on Adams' early career and recent work.", "topic": "Top/Arts/Comics/Creators/A/Adams,_Arthur", "url": "http://www.twomorrows.com/comicbookartist/articles/17adams.html"} +{"d:Title": "Aaapop.com", "d:Description": "Official site with information on Allred's comics, music and film projects.", "topic": "Top/Arts/Comics/Creators/A/Allred,_Michael", "url": "http://www.aaapop.com/main.html"} +{"d:Title": "Sergio Aragones", "d:Description": "Official site, with biography, gallery, news, and questions from readers.", "topic": "Top/Arts/Comics/Creators/A/Aragones,_Sergio", "url": "http://www.sergioaragones.com/"} +{"d:Title": "Blum, Geoffrey", "d:Description": "Expert on the art of Scrooge McDuck creator Carl Barks, script writer in the Duck universe, and author. Also columns and articles on fiction and music.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://home.earthlink.net/~vathek/"} +{"d:Title": "Bod\u00e9, Mark", "d:Description": "Official site, with samples of comics, graffiti and tattoo art, and an essay on his father Vaughn Bod\u00e9's influence on graffiti.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.markbode.com/"} +{"d:Title": "Biggs, Brian", "d:Description": "Information on the artist and online comics.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.mrbiggs.com/"} +{"d:Title": "Brereton, Dan", "d:Description": "Information on book, Nocturnals, by this writer of Dark Horse's Buffy the Vampire Slayer.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.nocturnals.com/"} +{"d:Title": "Bertozzi, Nick", "d:Description": "Creator of Boswash and Rubber Necker. Site has illustration portfolio and Flash animations.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://nickbertozzi.com/"} +{"d:Title": "Burrows, Jacen", "d:Description": "Collection of penciled comic book work for London Night Studios.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.jacenburrows.com/"} +{"d:Title": "Blanchard, Jim", "d:Description": "Illustrator and long-time collaborator on Peter Bagge's Hate. Biography and art samples.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.users.qwest.net/~jimmb/"} +{"d:Title": "Beerends, Piet", "d:Description": "A.D. Productions. Sketchbook and online strips, including weekly panel Idiosyncs.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://pietums.tripod.com/ADProductionsSite/"} +{"d:Title": "Bihn, Julie", "d:Description": "Hundreds of pages of original online comics and manga, in many different writing genres.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.juliestudio.com/"} +{"d:Title": "Byrne, Adam", "d:Description": "Comic book artist and animator displays his wares - includes sample images and resume.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.adambyrne.com/"} +{"d:Title": "Baillie, David", "d:Description": "Comics and stories from Scottish small-press artist and writer working in London.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.davidbaillie.net/"} +{"d:Title": "Blair, Emily", "d:Description": "Example art, reviews, and ordering information.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.scenerychewer.com/"} +{"d:Title": "Beatty, Gary Scott", "d:Description": "Illustrator and comic book colorist offering printing, publishing, promotion and logo services, whose work includes The Wedding of Popeye and Olive, Adventures of Aaron Sunday strips and On the Shore magazine covers. Includes information on services offered, coloring, and image galleries.", "topic": "Top/Arts/Comics/Creators/B", "url": "http://www.garyscottbeatty.com/"} +{"d:Title": "Peter Bagge's Hate", "d:Description": "Official site, with online comics, complete bibliography, magazine interviews, books, toys, and Action Suits music.", "priority": "1", "topic": "Top/Arts/Comics/Creators/B/Bagge,_Peter", "url": "http://www.peterbagge.com/"} +{"d:Title": "Comic Book Resources: Spider-Man Has a Brand New Bagge", "d:Description": "Interview on Bagge's unusual version of Spider-Man for Marvel Comics.", "topic": "Top/Arts/Comics/Creators/B/Bagge,_Peter", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=817"} +{"d:Title": "Ink 19: Peter Bagge", "d:Description": "Interview on Hate as a \"period piece\" or \"slice of Americana,\" and on the meaning of a \"comics Renaissance.\"", "topic": "Top/Arts/Comics/Creators/B/Bagge,_Peter", "url": "http://www.ink19.com/issues_F/98_12/ink_spots/peter_bagge_nf.html"} +{"d:Title": "Fantagraphics Books", "d:Description": "Publisher's page with bio, image gallery, book and music ordering.", "topic": "Top/Arts/Comics/Creators/B/Bagge,_Peter", "url": "http://www.fantagraphics.com/index.php?option=com_virtuemart&page=shop.browse&category_id=213&Itemid=62"} +{"d:Title": "A Guidebook to the Carl Barks Universe", "d:Description": "The definite guide to life and work. By Dani\u00ebl van Eijmeren, includes a trivia, a gallery and a bibliography", "topic": "Top/Arts/Comics/Creators/B/Barks,_Carl", "url": "http://www.seriesam.com/barks/"} +{"d:Title": "The HTML BarksBase", "d:Description": "Indexes about characters, references, stories, paintings and cartoons.", "topic": "Top/Arts/Comics/Creators/B/Barks,_Carl", "url": "http://www.barksbase.de/english/"} +{"d:Title": "Carl Barks: His Life, His Works, His Legacy", "d:Description": "Descriptions and pictures of lithographs, serigraphs and sculptures. Also includes information on the Carl Barks Fan Club.", "topic": "Top/Arts/Comics/Creators/B/Barks,_Carl", "url": "http://www.carl-barks.net/"} +{"d:Title": "Sequential Tart: Love, Honor, Death and Tea", "d:Description": "Interview by Lee Atchison.", "topic": "Top/Arts/Comics/Creators/B/Barr,_Donna", "url": "http://www.sequentialtart.com/archive/feb99/barr.shtml"} +{"d:Title": "Comics Get Serious: Donna Barr", "d:Description": "D. Aviva Rothschild interviews Barr about the state of the comics industry and keeping track of plot twists. Also links to two online comic strips.", "topic": "Top/Arts/Comics/Creators/B/Barr,_Donna", "url": "http://www.rationalmagic.com/Comics/DonnaBarrInterview.html"} +{"d:Title": "Marlys Magazine", "d:Description": "A site dedicated to the work of Lynda Barry, creator of Ernie Pook's Comeek (starring Marlys). Now hosting Ernie Pook's Comeek updated every week, as well as a checklist of books and interviews.", "topic": "Top/Arts/Comics/Creators/B/Barry,_Lynda", "url": "http://www.marlysmagazine.com/"} +{"d:Title": "MetroActive Books: Freaks and Geeks", "d:Description": "Fiona Morgan reviews the illustrated novel Cruddy.", "topic": "Top/Arts/Comics/Creators/B/Barry,_Lynda", "url": "http://www.metroactive.com/papers/sfmetro/09.13.99/barry-9935.html"} +{"d:Title": "Talk of the Nation: Lynda Barry", "d:Description": "Radio interview by Lynn Neary, discussing One Hundred Demons. [Requires RealAudio]", "topic": "Top/Arts/Comics/Creators/B/Barry,_Lynda", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1150937"} +{"d:Title": "Metroactive Books: Alison Bechdel", "d:Description": "Appreciation of Bechdel's work by Harvey Pekar.", "topic": "Top/Arts/Comics/Creators/B/Bechdel,_Alison", "url": "http://www.metroactive.com/papers/metro/08.08.96/comics-9632.html"} +{"d:Title": "Dykes To Watch Out For", "d:Description": "Official site for Alison Bechdel's syndicated strip about lesbian and gay life, with online strips, background, books and original art for sale, and artist biography.", "topic": "Top/Arts/Comics/Creators/B/Bechdel,_Alison", "url": "http://dykestowatchoutfor.com/"} +{"d:Title": "CartooNet: Steve Bell", "d:Description": "Brief biography and art samples.", "topic": "Top/Arts/Comics/Creators/B/Bell,_Steve", "url": "http://www.illustration-agency.com/gallery/editorial/sbell.htm"} +{"d:Title": "3am Interview", "d:Description": "Interview with Bell and Martin Rowson on political cartooning, by Richard Marshall.", "topic": "Top/Arts/Comics/Creators/B/Bell,_Steve", "url": "http://www.3ammagazine.com/litarchives/nov2001/bell_and_rowson_interview.html"} +{"d:Title": "The Guardian: Steve Bell cartoons", "d:Description": "Archive of weekly political cartoons.", "topic": "Top/Arts/Comics/Creators/B/Bell,_Steve", "url": "http://www.theguardian.com/profile/stevebell"} +{"d:Title": "Comic Art&Graffix Gallery Artist Interviews: Simon Bisley", "d:Description": "Interview by Steve Ringgenberg, on Bisley's early career and his character Death Dealer.", "topic": "Top/Arts/Comics/Creators/B/Bisley,_Simon", "url": "http://www.comic-art.com/intervws/bisleyi1.htm"} +{"d:Title": "Simon Bisley", "d:Description": "Fan site with bibliography and image gallery. [In English and French]", "topic": "Top/Arts/Comics/Creators/B/Bisley,_Simon", "url": "http://simonbisley.chez.com/"} +{"d:Title": "Brian Bolland Image Gallery", "d:Description": "Characters from Batman, The Invisibles, Tank Girl, Wonder Woman.", "topic": "Top/Arts/Comics/Creators/B/Bolland,_Brian", "url": "http://www.jensantarelli.com/bollandgallery/"} +{"d:Title": "Quantum Mechanical History", "d:Description": "The strange parallel universe.", "topic": "Top/Arts/Comics/Creators/B/Brennan,_T._Casey", "url": "http://pweb.netcom.com/~mthorn/0brennan.htm"} +{"d:Title": "Worlds of Westfield: Bob Burden", "d:Description": "Interview by Roger Ash on Flaming Carrot.", "topic": "Top/Arts/Comics/Creators/B/Burden,_Bob", "url": "http://westfieldcomics.com/wow/low/low_int_016.html"} +{"d:Title": "Metroactive Books: Bob Burden", "d:Description": "Retrospective appreciation of Burden's work after the release of the Mystery Men film.", "topic": "Top/Arts/Comics/Creators/B/Burden,_Bob", "url": "http://www.metroactive.com/papers/metro/08.12.99/burden1-9932.html"} +{"d:Title": "Metroactive Books: The Strangler", "d:Description": "Review by Richard von Busack of the first issue of Mystery Men.", "topic": "Top/Arts/Comics/Creators/B/Burden,_Bob", "url": "http://www.metroactive.com/papers/metro/09.12.96/comics-9637.html"} +{"d:Title": "Cooper, Dave", "d:Description": "Creator of Suckle and Weasel. Online comics, animations, merchandise.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://www.davegraphics.com/"} +{"d:Title": "Campiti, David", "d:Description": "Glass House Graphics. Features overview of the books Campiti works on, with cover and alternate samples for each.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://glasshousegraphics.com/"} +{"d:Title": "Campbell, Kelly", "d:Description": "Keltoons. Illustrator and editorial cartoonist loves his dog Rooter so much he created a comic book about him.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://cartoonman.tripod.com/index.html"} +{"d:Title": "Clarity, Sean", "d:Description": "Comic book and graphic illustrations by Joe Kubert school student. Includes sketches, pencils, inks and color work. Also features sequential narrative pages.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://fnaok.com/"} +{"d:Title": "Carter, Jon", "d:Description": "The official web site of the cartoonist, featuring strips, animation and gift shop.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://www.cartertoons.com/"} +{"d:Title": "Cusimano, Mick", "d:Description": "Various comic strips and panels including cartoons about a rooster.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://mcusiman.tripod.com/chick.html"} +{"d:Title": "Corona, Roberto", "d:Description": "A portfolio drawing on seven years of comics and commercial illustration from packaging to corporate identity to web graphics. Includes four complete, original comic strips.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://homepages.ihug.co.nz/~wooboo/"} +{"d:Title": "Cline, Adam", "d:Description": "Samples of sketches, finished projects, and published work.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://www.adamcline.com/"} +{"d:Title": "Chick, Jack", "d:Description": "Writer and publisher of cartoon religious tracts. Online comics and ordering.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://www.chick.com/"} +{"d:Title": "Crane, Jordan", "d:Description": "Reddingk (formerly Red Ink). Catalog of mini-comics and other work from the editor of Non.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://www.reddingk.com/"} +{"d:Title": "Culpepper, Mike", "d:Description": "Several online comics including Icelandic saga adaptation The Witchfeud.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://community.netidea.com/ccbc/"} +{"d:Title": "Colon-Ortiz, Vanesa Littlecrow", "d:Description": "Official site of the creator of the Nine Lives of Catnose, Polska Sucka, Sammy and other comics creations. Includes samples and FAQ.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://www.vaslittlecrow.com/"} +{"d:Title": "Cooney, David", "d:Description": "Cartoons by professional cartoonist David Cooney. Cartoons about: medical, science, business, animal, religious, Christian.", "topic": "Top/Arts/Comics/Creators/C", "url": "http://home.sunlink.net/~dcooney3/website1/"} +{"d:Title": "Tabula Rasa: EC Comics", "d:Description": "Interview by David Carroll, on From Hell, Bacchus, Alec and Hellblazer.", "topic": "Top/Arts/Comics/Creators/C/Campbell,_Eddie", "url": "http://www.tabula-rasa.info/AusComics/EddieCampbell.html"} +{"d:Title": "Eddie Campbell - The Comicography", "d:Description": "Index to issues and editions of Bacchus, Alec and other stories.", "topic": "Top/Arts/Comics/Creators/C/Campbell,_Eddie", "url": "http://www.weisshahn.de/bacchus/"} +{"d:Title": "Comic Book Galaxy: Eddie Campbell Interview", "d:Description": "Artist talks to Rob Vollmar about Alec: How to Be an Artist and The Birth Caul.", "topic": "Top/Arts/Comics/Creators/C/Campbell,_Eddie", "url": "http://www.comicbookgalaxy.com/campbell.html"} +{"d:Title": "Fresh Air: Daniel Clowes", "d:Description": "Radio interview, also featuring Terry Zwigoff. [Requires RealAudio]", "topic": "Top/Arts/Comics/Creators/C/Clowes,_Daniel/Interviews", "url": "http://freshair.npr.org/day_fa.jhtml?displayValue=day&todayDate=02/15/2002"} +{"d:Title": "Salon: Daniel Clowes", "d:Description": "Interview by Carina Chocano, in which Clowes discusses writing stories, making movies and what it's like being him.", "topic": "Top/Arts/Comics/Creators/C/Clowes,_Daniel/Interviews", "url": "http://www.salon.com/2000/12/05/clowes/"} +{"d:Title": "Comic Book Artist Magazine: Gene Colan Interview", "d:Description": "Interview by Tom Field on Tomb of Dracula.", "topic": "Top/Arts/Comics/Creators/C/Colan,_Gene", "url": "http://www.twomorrows.com/comicbookartist/articles/13colan.html"} +{"d:Title": "Alter Ego: Gene Colan Interview", "d:Description": "Roy Thomas talks with the artist about his career (including collaborations with Thomas) and his graphic techniques.", "topic": "Top/Arts/Comics/Creators/C/Colan,_Gene", "url": "http://www.twomorrows.com/alterego/articles/06colan.html"} +{"d:Title": "Lambiek.net: Jack Cole", "d:Description": "A brief biography of the comic artist.", "topic": "Top/Arts/Comics/Creators/C/Cole,_Jack", "url": "http://lambiek.net/artists/c/cole.htm"} +{"d:Title": "Crumb Products", "d:Description": "The Crumb family presents an outlet for original art, autographed underground comics and books, limited edition figurines, prints and posters. Also features an updated Gallery of family art work.", "topic": "Top/Arts/Comics/Creators/C/Crumb,_Robert", "url": "http://www.crumbproducts.com/"} +{"d:Title": "The Crumb Museum", "d:Description": "A tribute to the artwork of seminal underground cartoonist Robert Crumb. Features guestbook, art gallery, character gallery, sketches and early stuff.", "topic": "Top/Arts/Comics/Creators/C/Crumb,_Robert", "url": "http://www.zubeworld.com/crumbmuseum/"} +{"d:Title": "Howard Cruse Central", "d:Description": "Official site, with online portfolio, some of his older comics and exclusive online material.", "priority": "1", "topic": "Top/Arts/Comics/Creators/C/Cruse,_Howard", "url": "http://www.howardcruse.com/"} +{"d:Title": "The Comics Get Serious: Review of Wendel All Together", "d:Description": "Review of the collected edition of Cruse's strip about gay life in the 1980s, with samples.", "topic": "Top/Arts/Comics/Creators/C/Cruse,_Howard", "url": "http://www.rationalmagic.com/Comics/Wendel.html"} +{"d:Title": "Gravitywell", "d:Description": "Provides online comic books, strips and pinups.", "topic": "Top/Arts/Comics/Creators/Collaborators", "url": "http://www.gravitywell.com/"} +{"d:Title": "Shadow Tactics", "d:Description": "The comic book artwork of Jacob Elijah and Noel Jacob.", "topic": "Top/Arts/Comics/Creators/Collaborators", "url": "http://www.shadowtactics.com/"} +{"d:Title": "Foglio, Kaja and Phil", "d:Description": "Studio Foglio. Includes information on their books and \"Magic the Gathering\", proofs and originals for sale.", "topic": "Top/Arts/Comics/Creators/Collaborators", "url": "http://www.studiofoglio.com/"} +{"d:Title": "Artizans", "d:Description": "Online syndicate for businesses in need of illustration. Includes download zone, search, store, account and help.", "topic": "Top/Arts/Comics/Creators/Collaborators", "url": "http://www.artizans.com/"} +{"d:Title": "Lambiek.net: Carol Wood", "d:Description": "Brief biographical sketch and art samples.", "topic": "Top/Arts/Comics/Creators/Collaborators/Butcher,_Susan,_and_Carol_Wood", "url": "http://www.lambiek.net/artists/w/wood_carol.htm"} +{"d:Title": "January Magazine: Little Lit", "d:Description": "Review of children's comics anthology edited by Mouly and Spiegelman.", "topic": "Top/Arts/Comics/Creators/Collaborators/Mouly,_Francoise,_and_Art_Spiegelman", "url": "http://www.januarymagazine.com/kidsbooks/litlittle.html"} +{"d:Title": "Dorgathen, Hendrik", "d:Description": "Experimental comics, illustration, and animation by German cartoonist Hendrik Dorgathen. With a short biography.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.dorgathen.org/"} +{"d:Title": "Dumais, Steph", "d:Description": "Online edition of the Raisinlove Underground Comix zine.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.raisinlove.com/"} +{"d:Title": "Dibble Jr., James", "d:Description": "Welcome to the home of JDP Comics online. All works are created by James Dibble, Jr.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://jdpcomics.iwarp.com/index.html"} +{"d:Title": "Dawson, Mike", "d:Description": "Information on comic titles Gabagool and Cabaret.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://mikedawsoncomics.com/"} +{"d:Title": "Drooker, Eric", "d:Description": "Author of Flood and Blood Song, contributor to World War 3 Illustrated. Bio, bibliography, comics, slide shows, prints for sale.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.drooker.com/"} +{"d:Title": "Dean, Abner", "d:Description": "Fan page with small image gallery for this 1940s cartoonist and illustrator.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://usscatastrophe.com/kh/dean.html"} +{"d:Title": "Dangle, Lloyd", "d:Description": "Satirical weekly comic strip Troubletown. Online strips and ordering.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.troubletown.com/"} +{"d:Title": "Dame Darcy", "d:Description": "Information about Meat Cake comics, biography, animation, prints, dolls, palm reading, music, and current events.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.damedarcy.com/"} +{"d:Title": "Diana, Mike", "d:Description": "Creator of Boiled Angel. Original artwork for sale.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.testicle.com/mikediana.htm"} +{"d:Title": "Duron, Michael", "d:Description": "Caricature artist and graphic illustrator. Includes original comic art.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.locoduck.com/"} +{"d:Title": "Dale, Jeremy", "d:Description": "Graphic Panda. Sketches, cartoon galleries, comic galleries, established characters rendered by the artist.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://thincage.tripod.com/"} +{"d:Title": "Dee, Natalie", "d:Description": "Official site for the artist's paintings, advice, journal, and biography. New comic art every weekday since 2001.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.nataliedee.com/"} +{"d:Title": "Drew", "d:Description": "Official website and daily drawings of self-proclaimed \"Inter-Net Superstar\" known only as \"drew\".", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.toothpastefordinner.com/"} +{"d:Title": "Dijkhuis, Reinder", "d:Description": "Dutch creator of fantasy and autobiographical comics. Online comics, sketches, and personal history.", "topic": "Top/Arts/Comics/Creators/D", "url": "http://www.rocr.net/"} +{"d:Title": "Geoffrey Darrow, The Undisputed King", "d:Description": "Covers from Cross, Hardboiled, Big Guy and Rusty the Boy Robot; also art created for this site.", "topic": "Top/Arts/Comics/Creators/D/Darrow,_Geof", "url": "http://www.vachss.com/media/comics/geof_darrow.html"} +{"d:Title": "Official Jamie Delano Website", "d:Description": "Artist information, bibliography, news, and weblog.", "priority": "1", "topic": "Top/Arts/Comics/Creators/D/Delano,_Jamie", "url": "http://www.jamiedelano.co.uk/"} +{"d:Title": "The Official Website of Colleen Doran", "d:Description": "Information on the artist and her projects.", "topic": "Top/Arts/Comics/Creators/D/Doran,_Colleen", "url": "http://www.colleendoran.com/"} +{"d:Title": "Debbie Drechsler", "d:Description": "Artist's official site, with biography and portfolio.", "topic": "Top/Arts/Comics/Creators/D/Drechsler,_Debbie", "url": "http://www.debdrex.com/"} +{"d:Title": "Elliott, Phil", "d:Description": "Art samples from UK cartoonist and animator.", "topic": "Top/Arts/Comics/Creators/E", "url": "http://www.elliott-design.com/"} +{"d:Title": "Ewing, Brian", "d:Description": "Features sample artwork from this illustrator.", "topic": "Top/Arts/Comics/Creators/E", "url": "http://www.brian-ewing.com/"} +{"d:Title": "Erbes, Eric", "d:Description": "The online portfolio of Eric Erbes. Features examples of artwork, greeting card designs, comics, animated shorts, inking, and digital coloring.", "topic": "Top/Arts/Comics/Creators/E", "url": "http://www.ericerbes.com/"} +{"d:Title": "Englehart, Steve", "d:Description": "Biography and book by book overview of the writer's work.", "topic": "Top/Arts/Comics/Creators/E", "url": "http://www.steveenglehart.com/"} +{"d:Title": "Comic Book Artist: A Spirited Relationship", "d:Description": "Interview by Jon B. Cooke, on The Spirit and Eisner's professional relationship with publisher Jim Warren.", "topic": "Top/Arts/Comics/Creators/E/Eisner,_Will", "url": "http://www.twomorrows.com/comicbookartist/articles/04eisner.html"} +{"d:Title": "The Jack Kirby Collector: Will Eisner Interview", "d:Description": "Interview on Eisner's working relationship with Kirby, who worked in the studio that Eisner co-founded in the 1940s.", "topic": "Top/Arts/Comics/Creators/E/Eisner,_Will", "url": "http://www.twomorrows.com/kirby/articles/16eisner.html"} +{"d:Title": "Yahoo Groups: Eisner-L", "d:Description": "Listserver for discussion of the artist's works.", "topic": "Top/Arts/Comics/Creators/E/Eisner,_Will", "url": "http://groups.yahoo.com/group/eisner-l/"} +{"d:Title": "Lambiek.net: Will Eisner", "d:Description": "Author information page includes analysis of his importance to the field, and sample images.", "topic": "Top/Arts/Comics/Creators/E/Eisner,_Will", "url": "http://www.lambiek.net/artists/e/eisner.htm"} +{"d:Title": "WillEisner.com", "d:Description": "Official site, with author information, bibliography, and sketches from upcoming projects.", "priority": "1", "topic": "Top/Arts/Comics/Creators/E/Eisner,_Will", "url": "http://willeisner.com/"} +{"d:Title": "Warren Ellis", "d:Description": "Official site, with information on upcoming and past projects, essays, and scripts.", "topic": "Top/Arts/Comics/Creators/E/Ellis,_Warren", "url": "http://www.warrenellis.com/"} +{"d:Title": "Brainpowered", "d:Description": "Ellis's column on comics for Artbomb.net.", "topic": "Top/Arts/Comics/Creators/E/Ellis,_Warren", "url": "http://www.artbomb.net/brainpowered.jsp"} +{"d:Title": "POV Online", "d:Description": "Official site of the prolific comics, TV and animation writer Mark Evanier. Includes pictures and information on his work (Groo, Fanboy, Garfield and Friends), a tribute to comics legend Jack Kirby, and archives of his many POV articles from \"Comics Buyer's Guide\".", "priority": "1", "topic": "Top/Arts/Comics/Creators/E/Evanier,_Mark", "url": "http://povonline.com/"} +{"d:Title": "Eulogy for Rosalind Kirby", "d:Description": "By Mark Evanier. Tribute to the wife of comics legend Jack Kirby.", "topic": "Top/Arts/Comics/Creators/E/Evanier,_Mark", "url": "http://www.twomorrows.com/kirby/articles/rozmark.html"} +{"d:Title": "God is eating well", "d:Description": "By Mark Evanier. \"My most memorable meals would probably have more to do with who was across the table than what was on it.\"", "topic": "Top/Arts/Comics/Creators/E/Evanier,_Mark", "url": "http://www.will-harris.com/food/html/evanier.html"} +{"d:Title": "Mark Evanier on The Unknown Kirby", "d:Description": "By John B. Cooke. Comic Book Artist Special Edition. Discusses Jack Kirby's history in comics.", "topic": "Top/Arts/Comics/Creators/E/Evanier,_Mark", "url": "http://twomorrows.com/comicbookartist/articles/spevanier.html"} +{"d:Title": "Re: Steve Gerber owns Howard the Duck?", "d:Description": "Mark Evanier posts to rec.arts.comics.misc to explain copyrights for freelance artists.", "topic": "Top/Arts/Comics/Creators/E/Evanier,_Mark", "url": "http://www.hoboes.com/pub/Comics/About%20Comics/Business/Mark%20Evanier%20on%20Freelancing"} +{"d:Title": "News From Me, By Mark Evanier", "d:Description": "\"A weblog about TV, movies, comics, theater, news, politics, and other forms of fantasy.\"", "priority": "1", "topic": "Top/Arts/Comics/Creators/E/Evanier,_Mark", "url": "http://www.newsfromme.com/"} +{"d:Title": "Freas, Frank Kelly", "d:Description": "Original art and prints by the Dean of Science Fiction Artists, Frank Kelly Freas", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.kellyfreas.com/"} +{"d:Title": "Fisher, Seth", "d:Description": "Flowering Nose. Official site of science-fiction artist, with art gallery, merchandise, and games.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.floweringnose.com/"} +{"d:Title": "Faber, Rich", "d:Description": "Illustrator and comic book inker. Artist information and portfolio.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.thinkinkstudios.com/"} +{"d:Title": "Feign, Larry", "d:Description": "American cartoonist based in London and Hong Kong. Features comic strips \"The World of Lily Wong\", \"The Royals\" and other illustrations.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://humorist.net/"} +{"d:Title": "Fama, Gene", "d:Description": "Includes a short biography, comics showcase, illustration work, and an online comic.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.fama.net/"} +{"d:Title": "Forney, Ellen", "d:Description": "Official home site. Includes samples of her illustrations and comics (including \"I Was Seven in '75\"), brief bio and merchandise for sale.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.ellenforney.com/"} +{"d:Title": "Franchesco", "d:Description": "Chicago comic book illustrator and creator of Xstacy. Contains artwork and pin-ups for Marvel, DC and independent comic book publishers. [Requires Flash]", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.franchesco.com/"} +{"d:Title": "Fetch, Toc", "d:Description": "Surrealist comics about \"the life of a soul.\" Art samples and ordering.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.tocfetch.com/"} +{"d:Title": "Fingerman, Bob", "d:Description": "Creator of Minimum Wage and Beg the Question.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.bobfingerman.com/"} +{"d:Title": "Foss, Langdon", "d:Description": "A Better Llama Graphics. Cartoonist with stories published in Heavy Metal and New Thing. Online comics and illustration gallery.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.lllama.com/"} +{"d:Title": "Freeman, John", "d:Description": "Uncle Sloppy's Pleasure Circus. Black humor comics from an artist and musician with Tourette's syndrome.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.polypop.com/comix/freeman/"} +{"d:Title": "Furgason, Sharon", "d:Description": "Inky Lagoon. Comics and illustrations by New York-based creator of self-published comic Archipelago.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.inkylagoon.com/"} +{"d:Title": "Foster, Michael", "d:Description": "Comic strips about Larry the alien and his coffee shop. Creator of the children's book When Larry Met Sadie, and illustration portfolio.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.boojazz.com/"} +{"d:Title": "Frise, Jimmy", "d:Description": "Biography and samples from the 25-year career of this Canadian newspaper cartoonist (1890-1948).", "topic": "Top/Arts/Comics/Creators/F", "url": "http://epe.lac-bac.gc.ca/100/200/301/ic/can_digital_collections/scugog/frise.htm"} +{"d:Title": "Ford, Mark", "d:Description": "Gag cartoons and illustrations.", "topic": "Top/Arts/Comics/Creators/F", "url": "http://www.blanchard2k.nildram.co.uk/mark/"} +{"d:Title": "Mary Fleener", "d:Description": "Official site with bio, merchandise, and news about upcoming books, art shows and music performances.", "topic": "Top/Arts/Comics/Creators/F/Fleener,_Mary", "url": "http://www.maryfleener.com/"} +{"d:Title": "Metroactive Books: Razor on the Mirror", "d:Description": "Richard von Busack reviews the collection Life of the Party.", "topic": "Top/Arts/Comics/Creators/F/Fleener,_Mary", "url": "http://www.metroactive.com/papers/metro/10.02.97/comics-9740.html"} +{"d:Title": "National Lampoon People: Shary Flenniken", "d:Description": "Artist profile and two complete \"Trots and Bonnie\" strips.", "topic": "Top/Arts/Comics/Creators/F/Flenniken,_Shary", "url": "http://www.marksverylarge.com/people/flenniken.html"} +{"d:Title": "Shary Flenniken", "d:Description": "Official home site.", "topic": "Top/Arts/Comics/Creators/F/Flenniken,_Shary", "url": "http://www.fedge.net/sharyflenniken/"} +{"d:Title": "Griffith, Bill", "d:Description": "Official site for Zippy the Pinhead and information on the artist's other works.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.zippythepinhead.com/"} +{"d:Title": "Giardini, Daniele", "d:Description": "Italian illustrator. Biography, bibliography, and samples of his work.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.invisibleville.com/"} +{"d:Title": "Gregory, Roberta", "d:Description": "Creator of the comic \"Naughty Bits,\" starring Bitchy Bitch.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.robertagregory.com/"} +{"d:Title": "Grimes, John", "d:Description": "Humor for thinkers. Funny panel cartoons, Web graphics, and illustrations for editorial and advertising, specializing in business, relationships, computers, family life, and the nuances of annoyance.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://grimescartoons.com/"} +{"d:Title": "Ghura, Antonio", "d:Description": "Antonio Ghura's underground comics for sale.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.russang.supanet.com/"} +{"d:Title": "Gerber, Steve", "d:Description": "Biography, bibliography, writings, interviews, and quiz.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.stevegerber.com/"} +{"d:Title": "Gavila, Robert", "d:Description": "Artist website with samples of graphic novels and strips. Creator of Nisha, Wally Weber, and MarsII.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.gavila.com/"} +{"d:Title": "Gaddis, David", "d:Description": "Online comics, including the long story \"Piercing.\"", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.davidgaddis.com/"} +{"d:Title": "Gonick, Larry", "d:Description": "Creator of educational comics including The Cartoon History of the Universe.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.larrygonick.com/"} +{"d:Title": "Green, Adam", "d:Description": "Single-panel humor cartoons. Collections available.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.adamgreenonline.com/"} +{"d:Title": "Goni, Fernando", "d:Description": "Projects, portfolio, and biography.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.fernandogoni.com.br/"} +{"d:Title": "Gertler, Nat", "d:Description": "Creator of The Factor and writer of many comics stories. Plus Mister U.S. and his latest cameos.", "topic": "Top/Arts/Comics/Creators/G", "url": "http://www.gertler.com/nat/"} +{"d:Title": "Phoebe Gloeckner Interview", "d:Description": "Interview by Gary Sullivan, on Gloeckner's influences and artistic techniques. With bibliography.", "topic": "Top/Arts/Comics/Creators/G/Gloeckner,_Phoebe", "url": "http://home.jps.net/~nada/gloeckner.htm"} +{"d:Title": "Metroactive Books: Walking Wounded", "d:Description": "Richard von Busack reviews A Child's Life.", "topic": "Top/Arts/Comics/Creators/G/Gloeckner,_Phoebe", "url": "http://www.metroactive.com/papers/metro/09.03.98/comics-9835.html"} +{"d:Title": "Invention Convention", "d:Description": "Features information about inventions, cartoons, machine examples, and history.", "topic": "Top/Arts/Comics/Creators/G/Goldberg,_Rube", "url": "http://www.mousetrapcontraptions.com/"} +{"d:Title": "Metroactive Arts: Matt Groening", "d:Description": "Previously unpublished interview from 1986, on Life in Hell, bad jobs, and music.", "topic": "Top/Arts/Comics/Creators/G/Groening,_Matt", "url": "http://www.metroactive.com/papers/metro/11.02.00/groening-0044.html"} +{"d:Title": "Mother Jones: Matt Groening", "d:Description": "Interview by Brian Doherty on the development of Futurama, financial success, and a copyright dispute with the ACLU.", "topic": "Top/Arts/Comics/Creators/G/Groening,_Matt", "url": "http://www.motherjones.com/media/1999/03/matt-groening"} +{"d:Title": "Salon: Matt Groening", "d:Description": "Extended profile describing the artist's early career, his development of The Simpsons and Futurama, and his ongoing struggles with network executives.", "topic": "Top/Arts/Comics/Creators/G/Groening,_Matt", "url": "http://www.salon.com/2001/01/30/groening/"} +{"d:Title": "Hudnall, James", "d:Description": "Information on projects like Halloween Comics, Espers, Age of Heroes, Shut Up and Die, and Devastator. Also, biography, bibliography, various ramblings and a preview gallery.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://thehud.com/"} +{"d:Title": "Hanuka, Tomer", "d:Description": "Illustration portfolio and information about Bipolar Comics.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.thanuka.com/"} +{"d:Title": "Hook, Jeff", "d:Description": "Twice weekly cartoons, cartoon archives, books, and other art-work from award winning Australian cartoonist.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.geoffhook.com/"} +{"d:Title": "Hahn, David", "d:Description": "Freelance illustrator and cartoonist of comic series Private Beach. Includes comic art, design, rendering, and contact information.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://members.tripod.com/inkmuse/"} +{"d:Title": "Hamm, Jesse", "d:Description": "Comics and information from the creator of \"Comics to Bore and Confuse You\".", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.oklahoma.net/~silvrdal/jesse.html"} +{"d:Title": "Helnwein, Gottfried", "d:Description": "The art and the comic-culture, Mickey in the San Francisco Museum of Modern Art, drawings, paintings, essays about comic-art and an interview with Disney-artist Carl Barks, the great creator of Donald Duck.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.helnweincomic.homestead.com/"} +{"d:Title": "Huizenga, Kevin", "d:Description": "Creator of Supermonster. Mini-comics catalog and on-line stories.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.usscatastrophe.com/kh/"} +{"d:Title": "Hellman, Danny", "d:Description": "Cartoonist and illustrator (Screw Magazine, Legal Action Comics). Portfolio and book information.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.dannyhellman.com/"} +{"d:Title": "Hayes-Chute, Ethan", "d:Description": "Maine/Providence mini-comics artist (Nature's Milkshake).", "topic": "Top/Arts/Comics/Creators/H", "url": "http://slimefactor.tripod.com/ethan.html"} +{"d:Title": "Hanuka, Asaf", "d:Description": "Israeli cartoonist/illustrator, co-creator of Bipolar Comics.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://asafhanuka.com/"} +{"d:Title": "Hob", "d:Description": "Online comics, sketchbooks, and mini-comic catalog from self-published cartoonist (aka Eli Bishop).", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.graphesthesia.com/hob/"} +{"d:Title": "Holden, Paul J.", "d:Description": "Gallery, weblog, and message board for Belfast-based comic artist who has drawn for 2000AD, Warhammer Monthly, and Fantagraphics.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.pauljholden.com/"} +{"d:Title": "Hosler, Jay", "d:Description": "Professor of biology who blends science and art in his comics Clan Apis and The Sandwalk Adventures. Sample artwork and storylines, science cartoons and work in production.", "topic": "Top/Arts/Comics/Creators/H", "url": "http://www.jayhosler.com/"} +{"d:Title": "Lambiek.net: George Herriman", "d:Description": "Biography with art samples.", "topic": "Top/Arts/Comics/Creators/H/Herriman,_George", "url": "http://lambiek.net/artists/h/herriman.htm"} +{"d:Title": "Hicksville", "d:Description": "Official site, with artist information, samples, mini-comics catalog and other merchandise.", "topic": "Top/Arts/Comics/Creators/H/Horrocks,_Dylan", "url": "http://www.hicksville.co.nz/"} +{"d:Title": "Jackson, Tim", "d:Description": "Creative License Studio Inc. A sample of his work, licensing information and a regular feature, showcasing pioneering cartoonists of color.", "topic": "Top/Arts/Comics/Creators/J", "url": "http://www.clstoons.com/index.htm"} +{"d:Title": "Jay, Damien", "d:Description": "Plates are Cult, mini-comics, online comics, sketches and ephemera.", "topic": "Top/Arts/Comics/Creators/J", "url": "http://www.damienjay.com/"} +{"d:Title": "The Austin Chronicle: The Lost Cause", "d:Description": "Michael Ventura reviews Jackson's historical novel, calling it inaccurate and racist.", "topic": "Top/Arts/Comics/Creators/J/Jackson,_Jack", "url": "http://www.austinchronicle.com/issues/vol18/issue03/books.graphics.jackson.html"} +{"d:Title": "Rosebud: Jack Jackson", "d:Description": "Brief profile of the artist.", "topic": "Top/Arts/Comics/Creators/J/Jackson,_Jack", "url": "http://www.graphicclassics.com/pgs/jaxon.htm"} +{"d:Title": "Katchor, Ben", "d:Description": "Features publications and events, weekly strips, books, postcards and posters.", "topic": "Top/Arts/Comics/Creators/K", "url": "http://katchor.com/"} +{"d:Title": "Kolarov, Vlad", "d:Description": "e!Toon. The daily comic strips!", "topic": "Top/Arts/Comics/Creators/K", "url": "http://www.etoon.com/"} +{"d:Title": "Kelso, Megan", "d:Description": "Author of Girlhero, Queen of the Black Black, Artichoke Tales. Bio, bibliography, illustration portfolio.", "topic": "Top/Arts/Comics/Creators/K", "url": "http://www.girlhero.com/"} +{"d:Title": "Kerschbaum, John", "d:Description": "Fontanelle Press - official home of The Wiggly Reader, Petey and Pussy and other titles. Online comics and book ordering.", "topic": "Top/Arts/Comics/Creators/K", "url": "http://www.fontanellepress.com/"} +{"d:Title": "Kibuishi, Kazu", "d:Description": "Bolt City. Online comics Copper, Clive and Cabbage; sketchbook and animation; illustration portfolio.", "topic": "Top/Arts/Comics/Creators/K", "url": "http://www.boltcity.com/"} +{"d:Title": "Kitchen, Alexa S.", "d:Description": "Cartoon stories by a six-year-old artist.", "topic": "Top/Arts/Comics/Creators/K", "url": "http://www.staceykitchen.com/alexa/"} +{"d:Title": "Kitchen, Alexa", "d:Description": "Graphic storyteller and America's youngest professional cartoonist at age seven.", "topic": "Top/Arts/Comics/Creators/K", "url": "http://www.alexakitchen.com/"} +{"d:Title": "Fresh Air: Batman Creator Bob Kane", "d:Description": "Radio interview discussing Kane's autobiography Batman and Me. [Requires RealAudio]", "topic": "Top/Arts/Comics/Creators/K/Kane,_Bob", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1108512"} +{"d:Title": "Lambiek.net: Bob Kane", "d:Description": "Biography, with art samples.", "topic": "Top/Arts/Comics/Creators/K/Kane,_Bob", "url": "http://www.lambiek.net/artists/k/kane.htm"} +{"d:Title": "Walt Kelly Biography", "d:Description": "An illustrated biography, including his early work as well as the comic strip Pogo.", "topic": "Top/Arts/Comics/Creators/K/Kelly,_Walt", "url": "http://www.bpib.com/kelly.htm"} +{"d:Title": "Reuben Award Winners", "d:Description": "Brief profile of Kelly and his career.", "topic": "Top/Arts/Comics/Creators/K/Kelly,_Walt", "url": "http://www.reuben.org/ncs/members/memorium/kelly.jpg"} +{"d:Title": "Comic Art&Graffix Gallery - Walt Kelly", "d:Description": "Short biography with images of some of the artist's works.", "topic": "Top/Arts/Comics/Creators/K/Kelly,_Walt", "url": "http://www.comic-art.com/biographies/kelly001.htm"} +{"d:Title": "The Jack Kirby Collector", "d:Description": "A bi-monthly publication. Creator or co-creator of comic book legends Captain America, The Hulk, The Fantastic Four and The Silver Surfer - features excerpts of art and articles from each issue.", "topic": "Top/Arts/Comics/Creators/K/Kirby,_Jack", "url": "http://twomorrows.com/kirby/"} +{"d:Title": "B. Kliban - The Nebbiolo of Cartooning", "d:Description": "Fan site with article, profile, review, and images.", "topic": "Top/Arts/Comics/Creators/K/Kliban,_B.", "url": "http://www.coldbacon.com/kliban.html"} +{"d:Title": "The Official B. Kliban Cats Web Site", "d:Description": "Gallery of cat cartoons, and merchandise for sale.", "topic": "Top/Arts/Comics/Creators/K/Kliban,_B.", "url": "http://www.eatmousies.com/intro.html"} +{"d:Title": "Lambiek.net: B. Kliban", "d:Description": "Brief biography, art samples and links.", "topic": "Top/Arts/Comics/Creators/K/Kliban,_B.", "url": "http://www.lambiek.net/artists/k/kliban.htm"} +{"d:Title": "Peter Kuper - Illustration", "d:Description": "Official site: portfolio, stock imagery, bibliography, and online versions of his strip, \"Eye Of The Beholder.\"", "topic": "Top/Arts/Comics/Creators/K/Kuper,_Peter", "url": "http://www.peterkuper.com/"} +{"d:Title": "Lambiek.net", "d:Description": "Features introduction, images, and link.", "topic": "Top/Arts/Comics/Creators/K/Kuper,_Peter", "url": "http://lambiek.net/artists/k/kuper.htm"} +{"d:Title": "Lunari, Enzo", "d:Description": "Homepage with information about himself and his creations.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.enzolunari.it/"} +{"d:Title": "Lay, Carol", "d:Description": "Samples of her weekly comic strip Story Minute, an illustrated autobiography, an online portfolio, stuff to buy and links.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.waylay.com/"} +{"d:Title": "Lynch, Jay", "d:Description": "Creative Solutions. The home page of Chicago's legendary satirist, cartoonist, and illustrator.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.mindspring.com/~jaylynch"} +{"d:Title": "Larsen, Erik", "d:Description": "Fan site with news, works list, profile, interviews, poll, images, and links.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://members.tripod.com/fantom_dragonfan/index.html"} +{"d:Title": "Ladronn, J.O.", "d:Description": "Presentation of artist's unpublished and published work, with some nice previews and a regularly updated publication schedule.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.hollywoodcomics.com/ladronn.html"} +{"d:Title": "Lantry, Stephanie", "d:Description": "Free-lance artist. Includes personal page, interests and online portfolio.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.comicgrrl.com/"} +{"d:Title": "Lipka, Mark", "d:Description": "Offers a gallery of comic book art for viewing and purchase, insights into comic art, a sketch-book and a resume for the artist.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.marklipka.com/"} +{"d:Title": "Little, Jason", "d:Description": "Beekeeper Cartoon Amusements. Home of the mystery adventure strip Bee, plus Jack's Luck Runs Out and other Little works.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.beecomix.com/"} +{"d:Title": "Lewis, Pat N.", "d:Description": "Lunchbreak Comics. Humor strips from Pittsburgh artist; samples online, and catalog of mini-comics.", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.lunchbreakcomics.com/"} +{"d:Title": "Lindner, Ellen", "d:Description": "Online comics, catalog and portfolio by this mini-comics/zine creator (Little White Bird/Megacom, The Egg Mysterious).", "topic": "Top/Arts/Comics/Creators/L", "url": "http://www.littlewhitebird.com/"} +{"d:Title": "Labanarama", "d:Description": "Official site, with artist information, bibliography, and political cartoons.", "topic": "Top/Arts/Comics/Creators/L/LaBan,_Terry", "url": "http://www.labanarama.com/"} +{"d:Title": "Sequential Tart: You Old Battleaxe", "d:Description": "Interview by Jennifer M. Contino, on LaBan's Vertigo series Battleaxes.", "topic": "Top/Arts/Comics/Creators/L/LaBan,_Terry", "url": "http://www.sequentialtart.com/archive/may00/laban.shtml"} +{"d:Title": "Fresh Air: Comic Book Writer Stan Lee", "d:Description": "Radio interview. Program archive also includes earlier interviews with Lee. [Requires RealAudio]", "topic": "Top/Arts/Comics/Creators/L/Lee,_Stan", "url": "http://discover.npr.org/features/feature.jhtml?wfId=843377"} +{"d:Title": "Heroes Wanted: Stan Lee Media Struggles to Stay Afloat", "d:Description": "By Brett Rogers. [Animation World Magazine]", "topic": "Top/Arts/Comics/Creators/L/Lee,_Stan", "url": "http://www.awn.com/mag/issue5.11/5.11pages/rogersslee.php3"} +{"d:Title": "Salon Brilliant Careers: Stan Lee", "d:Description": "Profile of Lee's career, and the cultural significance of superheroes in the 1960s.", "topic": "Top/Arts/Comics/Creators/L/Lee,_Stan", "url": "http://www.salon.com/1999/08/17/lee/"} +{"d:Title": "Melia, Sergio", "d:Description": "Information and drawings.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://perso.wanadoo.es/sergiomelia/"} +{"d:Title": "Moriarity, Pat", "d:Description": "Information and art samples. Including extracts from Loop-de-Loop, a rather absurd comic strip from the music magazine The Rocket.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://home.earthlink.net/~cartoondepot/homepage/index.htm"} +{"d:Title": "McGregor, Don", "d:Description": "Cyberpad. Writer of Sabre, Zorro, Detectives Inc., Hopalong Cassidy, Ragamuffins, and Alexander Risk.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.donmcgregor.com/"} +{"d:Title": "McKeever, Sean", "d:Description": "Eisner award-nominated writer of \"The Waiting Place\" as well as numerous comic book titles from Marvel Comics and other publishers.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.seanmckeever.com/"} +{"d:Title": "Mosley, Zack", "d:Description": "Official site. Vintage cartoons especially for aviation buffs and World War II (WWII) veterans. Original art for sale.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://smilinjackart.com/"} +{"d:Title": "Mhan, Pop", "d:Description": "Illustrator for Dark Horse, Marvel, Wildstorm. Image gallery, story of the artist's career, and art for sale.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.popmhan.com/"} +{"d:Title": "Mullins, Nick", "d:Description": "Story samples from Litmus Test as well as illustrations and poetry.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.nijomu.com/"} +{"d:Title": "Mandrake, Tom", "d:Description": "Official site. Portfolio, behind-the-scenes, merchandise, contact info, and message board.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.tommandrake.com/"} +{"d:Title": "Milazzo, Tone", "d:Description": "Freelance comic writer spotlighting various samples and projects.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.tonemilazzo.com/"} +{"d:Title": "Moore, Dean", "d:Description": "Black and white cartoons by Australian cartoonist.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.deanmoore.com/"} +{"d:Title": "McFarlane, Todd", "d:Description": "Creator of \"Spawn\", McFarlane Toys, and \"Angela.\"", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.spawn.com/info/"} +{"d:Title": "Minter, Ken", "d:Description": "Online strips, illustrations, and sketchbook.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.kennminter.com/"} +{"d:Title": "Moore, Leah", "d:Description": "Freelance writer of comics, scripts, articles, and short stories.", "topic": "Top/Arts/Comics/Creators/M", "url": "http://www.moorereppion.com/"} +{"d:Title": "Slushfactory.com: Odds and Ends", "d:Description": "Review of graphic novel Odds Off.", "topic": "Top/Arts/Comics/Creators/M/Madden,_Matt", "url": "http://www.slushfactory.com/content/EpullFuVZluWCgCmlO.php"} +{"d:Title": "Scottmccloud.com", "d:Description": "Artist's official site, featuring experimental online comics, book ordering, and weblog.", "topic": "Top/Arts/Comics/Creators/M/McCloud,_Scott", "url": "http://www.scottmccloud.com/"} +{"d:Title": "Comic Book Artist Magazine: Zot! Inspection", "d:Description": "Author discusses his early career and his fantasy/superhero series Zot. Interview by Chris Knowles.", "topic": "Top/Arts/Comics/Creators/M/McCloud,_Scott", "url": "http://www.twomorrows.com/comicbookartist/articles/08mccloud.html"} +{"d:Title": "WebReview: Understanding Comics", "d:Description": "Interview on how this book of comics theory has influenced web designers.", "topic": "Top/Arts/Comics/Creators/M/McCloud,_Scott", "url": "http://www.drdobbs.com/understanding-comics/184412778"} +{"d:Title": "IMDB - Frank Miller", "d:Description": "The Internet Movie Database entry.", "topic": "Top/Arts/Comics/Creators/M/Miller,_Frank", "url": "http://www.imdb.com/name/nm0588340/"} +{"d:Title": "Comic Art.com Interview", "d:Description": "Interview about Miller's work on Spawn.", "topic": "Top/Arts/Comics/Creators/M/Miller,_Frank/Interviews", "url": "http://www.comic-art.com/intervws/millerf2.htm"} +{"d:Title": "Fresh Air: Comic Book Artist and Writer Frank Miller", "d:Description": "Radio interview about his Dark Knight books. [Requires RealAudio]", "topic": "Top/Arts/Comics/Creators/M/Miller,_Frank/Interviews", "url": "http://discover.npr.org/features/feature.jhtml?wfId=841850"} +{"d:Title": "Promethea", "d:Description": "Annotations and notes for the first 24 issues. Includes links and reference sources.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan", "url": "http://www.angelfire.com/comics/eroomnala/Promethea.htm"} +{"d:Title": "Wikipedia: Alan Moore", "d:Description": "Offers biography, career credits, early works, adaptations, related external links and photo.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan", "url": "http://en.wikipedia.org/wiki/Alan_Moore"} +{"d:Title": "Comic Book Resources: Moore Slams V for Vendetta Movie", "d:Description": "Alan Moore, co-creator of the \"V For Vendetta\" comic, has publicly disassociated himself from the upcoming Warner Brothers movie project based on the comic book and written and produced by the Wachowski Brothers.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan", "url": "http://comicbookresources.com/columns/index.cgi?column=litg&article=2153"} +{"d:Title": "V for Vendetta Shrine", "d:Description": "Offers analysis and images from the comic book written by Alan Moore.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan", "url": "http://www.shadowgalaxy.net/Vendetta/vmain.html"} +{"d:Title": "Blather: The Alan Moore Interview", "d:Description": "Twenty-part interview covering the author's career from V for Vendetta onward.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.blather.net/articles/amoore/"} +{"d:Title": "Comic Book Artist: Toasting Absent Heroes", "d:Description": "Interview on how the Charlton Comics superheroes became the basis for Watchmen.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.twomorrows.com/comicbookartist/articles/09moore.html"} +{"d:Title": "Kirby Collector: Alan Moore Interview", "d:Description": "The author discusses Jack Kirby's influence on his work. Interview by George Khoury.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.twomorrows.com/kirby/articles/30moore.html"} +{"d:Title": "Comic Book Resources: Alan Moore Interview", "d:Description": "Interview by Brad Stone, on the author's ABC titles and his early career.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=554"} +{"d:Title": "Blather: The Alan Moore Interview: V For Vendetta", "d:Description": "The author talks about his comics work as well as his non-comics writing, his CDs and his interest in the occult.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.blather.net/articles/amoore/v1.html"} +{"d:Title": "USAToday.com: Writer Prefers Porn Label For His 'Girls'", "d:Description": "Readers may gasp, but Alan Moore is unashamed of his latest work. By David Colton.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.usatoday.com/life/books/news/2006-08-29-moore_x.htm"} +{"d:Title": "Blather: The Alan Moore Interview: Marvelman, Swamp Thing and Watchmen", "d:Description": "The author talks about his comics work in great detail, as well as his non-comics writing, his CDs and his interest in the occult. By Dave Walsh.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.blather.net/articles/amoore/watchmen1.html"} +{"d:Title": "The Guardian: Moore's Murderer", "d:Description": "On Moore's experiences with Hollywood and his involvement with magic. Interview by Steve Rose.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.theguardian.com/film/2002/feb/02/sciencefictionfantasyandhorror.books"} +{"d:Title": "Salon: We Need Another Hero", "d:Description": "Interview by Sridhar Pappu, on Moore's plans for the America's Best Comics line.", "topic": "Top/Arts/Comics/Creators/M/Moore,_Alan/Interviews", "url": "http://www.salon.com/2000/10/18/moore_9/"} +{"d:Title": "TimeMachineGo", "d:Description": "A (almost) comprehensive list of Grant Morrison's work in comics and other media. Last updated in May 2001.", "topic": "Top/Arts/Comics/Creators/M/Morrison,_Grant", "url": "http://www.timemachinego.com/morrison/"} +{"d:Title": "Grant-morrison.com", "d:Description": "Grant Morrison's official website. Contains biography, bibliography, photo gallery, columns and writings.", "priority": "1", "topic": "Top/Arts/Comics/Creators/M/Morrison,_Grant", "url": "http://www.grant-morrison.com/"} +{"d:Title": "Come In Alone Interview", "d:Description": "Warren Ellis interviews Morrison.", "topic": "Top/Arts/Comics/Creators/M/Morrison,_Grant", "url": "http://www.comicbookresources.com/columns/index.cgi?column=cia&article=492"} +{"d:Title": "Sequential Tart: Charging the Sigil", "d:Description": "Interview by Lauren Vega-Rasner and Barb Lien.", "topic": "Top/Arts/Comics/Creators/M/Morrison,_Grant", "url": "http://www.sequentialtart.com/archive/jan00/morrisong_2.shtml"} +{"d:Title": "Crack!Comicks", "d:Description": "Official source for Morrison's projects, including previews of upcoming work by Grant Morrison and his collaborators.", "priority": "1", "topic": "Top/Arts/Comics/Creators/M/Morrison,_Grant", "url": "http://www.crackcomicks.com/"} +{"d:Title": "Niles, Steve", "d:Description": "Creator of Hellspawn and Fused. Profile, bibliography, news on various projects, interviews, desktop wallpaper, and message board.", "topic": "Top/Arts/Comics/Creators/N", "url": "http://www.steveniles.com/"} +{"d:Title": "Norton, Mike", "d:Description": "Miketron2000. Information on the artist, his comics, and his life.", "topic": "Top/Arts/Comics/Creators/N", "url": "http://www.ihatemike.com/"} +{"d:Title": "Noeth, Chris", "d:Description": "Official site of this game and comic artist. Online sketches, 2D and 3D artwork and news about his current projects.", "topic": "Top/Arts/Comics/Creators/N", "url": "http://www.chrisnoeth.de/"} +{"d:Title": "Nash, Ken", "d:Description": "\"My Gene Pool and Techniks\", cartoons about computers and technology. Also the Global Cartoon Project.", "topic": "Top/Arts/Comics/Creators/N", "url": "http://www.nashken.com/"} +{"d:Title": "Nelson, Kelli", "d:Description": "Weekly and mini comics, art, news, links, and information about author.", "topic": "Top/Arts/Comics/Creators/N", "url": "http://www.cheappaperart.com/"} +{"d:Title": "Newell, Eddy", "d:Description": "Official site for fantasy/superhero artist (Black Lightning, Werewolf the Apocalypse).", "topic": "Top/Arts/Comics/Creators/N", "url": "http://eddynewell.com/"} +{"d:Title": "Neno, Michael", "d:Description": "Creator of Xeric winner Reactionary Tales. Includes biography, art for sale, and comic strip Quacky Pig.", "topic": "Top/Arts/Comics/Creators/N", "url": "http://nenoworld.com/"} +{"d:Title": "Olindo, Christina", "d:Description": "Italian illustrator and creator of the vampire comic \"Oltre\" (\"Beyond\"). In Italian and English.", "topic": "Top/Arts/Comics/Creators/O", "url": "http://www.christinaolindo.com/"} +{"d:Title": "Omega", "d:Description": "Artwork, comics, movie reviews, and the occasional rant.", "topic": "Top/Arts/Comics/Creators/O", "url": "http://www.omega.i8.com/"} +{"d:Title": "Orff, Joel", "d:Description": "Comics and drawings, including the weekly strip Great Moments in Rock 'n' Roll.", "topic": "Top/Arts/Comics/Creators/O", "url": "http://www.jorff.com/"} +{"d:Title": "Pender, Ken", "d:Description": "Showcase of art with biographical information, art previews and galleries, original art for sale and a message board.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.kenpenders.com/"} +{"d:Title": "Percival, Matt", "d:Description": "Member of the Cartoonists' Club of Great Britain and Federation of European Cartoonists", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.cartoonscape.com/"} +{"d:Title": "Palnik, Paul", "d:Description": "Cartoons about faith and spirituality in daily life, approaching profound questions through humor.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.1800cartoon.com/"} +{"d:Title": "Priest, Christopher J.", "d:Description": "Profile, contests, theme songs, and general information.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.vorpalbunny.com/cjpriest/"} +{"d:Title": "Peadar", "d:Description": "Samples of the artist's drawings.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.tisart.com/"} +{"d:Title": "Persoff, Ethan", "d:Description": "Cartoonist's personal site contains Teddy, winner of a 2002 SXSW Web Award.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.ep.tc/"} +{"d:Title": "Park, Andy", "d:Description": "Personal artwork, penciler of Tomb Raider. Includes comics, illustrations, biography, contact information and art for sale.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.andyparkart.com/"} +{"d:Title": "Pshaw", "d:Description": "Boston-based cartoonist and animator.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.pshaw.net/"} +{"d:Title": "Phillips, Kevin", "d:Description": "Portfolio of illustration, cartoons, comics and fantasy art.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.kevinphillipsillustration.com/"} +{"d:Title": "Pien, Lark", "d:Description": "Creator of Long Tail Kitty, Mr. Boombha, and Stories from the Ward. Online comics, portfolio, sketchbook, travel photos.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://larkpien.com/"} +{"d:Title": "Peters, Michael L.", "d:Description": "Comics, fantasy art, and illustration by artist who has been published by Heavy Metal, Image, and Caliber.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://mlpeters.com/"} +{"d:Title": "Potthast, Andreas", "d:Description": "Retrograd Comix, home of violent painted fantasy comics Doktor Lopez and Battle Babe. Artist information in English, comics in German.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.retrograd.com/"} +{"d:Title": "Pachoumis, Peter", "d:Description": "Illustrator who has worked for Marvel, DC, Image, and Wildstorm. Gallery, portfolio, and original art for sale.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.pachoumis.com/"} +{"d:Title": "Porcellino, John", "d:Description": "King-Cat Comics and Stories. Catalogue of back issues, merchandise available.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.king-cat.net/"} +{"d:Title": "Payne, Henry", "d:Description": "Syndicated newspaper cartoonist whose work appears in The Detroit News and other publications nationwide.", "topic": "Top/Arts/Comics/Creators/P", "url": "http://www.henrypayne.com/"} +{"d:Title": "Joe Clark: Gary Panter", "d:Description": "Profile and brief interview about the artist's influences.", "topic": "Top/Arts/Comics/Creators/P/Panter,_Gary", "url": "http://www.joeclark.org/garypanter.html"} +{"d:Title": "Chrysler Design Institute: Gary Panter", "d:Description": "Profile and image gallery for Panter, recipient of a Chrysler Design Award in 2000.", "topic": "Top/Arts/Comics/Creators/P/Panter,_Gary", "url": "http://www.chrysler.com/design/design_influences/design_awards/2000/gpanter.html"} +{"d:Title": "Lambiek.net: Gary Panter", "d:Description": "Brief biography with art samples.", "topic": "Top/Arts/Comics/Creators/P/Panter,_Gary", "url": "http://www.lambiek.net/artists/p/panter.htm"} +{"d:Title": "From Off the Streets of Cleveland Comes Harvey Pekar", "d:Description": "Official website. Blogs by Harvey, wife Joyce Brabner, and Danielle; links to news, interviews, and reviews; and books for sale.", "topic": "Top/Arts/Comics/Creators/P/Pekar,_Harvey", "url": "http://www.harveypekar.com/"} +{"d:Title": "Harvey Pekar", "d:Description": "Page about the comic series creator.", "topic": "Top/Arts/Comics/Creators/P/Pekar,_Harvey", "url": "http://www.lambiek.net/artists/p/pekar_harvey.htm"} +{"d:Title": "Quirit", "d:Description": "Short introduction to the work of this Belgian cartoon artist.", "topic": "Top/Arts/Comics/Creators/Q", "url": "http://www.quirit.com/"} +{"d:Title": "Rio, Al", "d:Description": "Official website with image artist work, online store, image gallery and mailing list.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://www.alrioart.com/"} +{"d:Title": "Raskin, Jordan", "d:Description": "Artists official website highlighting creator owned comic industry of war. Features online portfolio of artist.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://www.jordanraskin.com/"} +{"d:Title": "Rees, David", "d:Description": "Creator of clip-art comics Get Your War On and My New Fighting Technique Is Unstoppable. Weekly strips and archives.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://www.mnftiu.cc/"} +{"d:Title": "Reid, Mikhaela Blake", "d:Description": "Political cartoons, illustrations, and weblog from Boston Phoenix cartoonist.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://www.mikhaela.net/"} +{"d:Title": "Riddle, Theodore", "d:Description": "Monolith Comics. Features superhero series Compu-M.E.C.H.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://www.monolithcomics.com/"} +{"d:Title": "Rainey, Jason", "d:Description": "A portfolio of sequential art and illustration.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://jae.imperialotter.com/"} +{"d:Title": "Riggs, Robin and Elayne", "d:Description": "Soulmate Productions. Official site of DC and Marvel comics artist Robin Riggs and writer/critic Elayne Riggs.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://www.soulmateproductions.com/"} +{"d:Title": "Roth, Arnold", "d:Description": "Artist's site features biography, portfolio and contact information.", "topic": "Top/Arts/Comics/Creators/R", "url": "http://www.arnoldroth.com/"} +{"d:Title": "Rall, Ted", "d:Description": "Artist's official site, with archive of strips and opinion columns.", "topic": "Top/Arts/Comics/Creators/R/Rall,_Ted", "url": "http://www.tedrall.com/"} +{"d:Title": "Locus Online: Philip Shropshire Reviews Ted Rall's 2024", "d:Description": "Review of Rall's Orwell homage/parody calls it \"on target\" despite a \"sitcom one-liner tone.\"", "topic": "Top/Arts/Comics/Creators/R/Rall,_Ted", "url": "http://www.locusmag.com/2001/Reviews/Shropshire08_Rall.html"} +{"d:Title": "BuzzFlash: Ted Rall Interview", "d:Description": "Rall discusses his book Gas War and the aftermath of the war in Afghanistan.", "topic": "Top/Arts/Comics/Creators/R/Rall,_Ted", "url": "http://www.buzzflash.com/interviews/03/03/11_rall.html"} +{"d:Title": "Comic Book Galaxy: Ted Rall", "d:Description": "Interview by Alan David Doane, on Rall's weekly strip, his book 2024, and his legal battles.", "topic": "Top/Arts/Comics/Creators/R/Rall,_Ted", "url": "http://www.comicbookgalaxy.com/rall.html"} +{"d:Title": "All Things Considered: Amazing Spider-Man", "d:Description": "Radio interview by Linda Wertheimer on Romita's Spider-Man work after the disaster of September 11, 2001. [Requires RealAudio]", "topic": "Top/Arts/Comics/Creators/R/Romita,_John,_Jr.", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1131178"} +{"d:Title": "D.U.C.K.hunt", "d:Description": "The most comprehensive web site about Don Rosa.", "topic": "Top/Arts/Comics/Creators/R/Rosa,_Don", "url": "http://www.duckhunt.de/"} +{"d:Title": "Alex Ross Art", "d:Description": "The official Alex Ross Web site. Get news about Alex Ross and his upcoming projects straight from the source.", "topic": "Top/Arts/Comics/Creators/R/Ross,_Alex", "url": "http://www.alexrossart.com/"} +{"d:Title": "Official Steve Rude Web Site", "d:Description": "Information on the artist and his titles Nexus and The Moth.", "topic": "Top/Arts/Comics/Creators/R/Rude,_Steve", "url": "http://www.steverude.com/"} +{"d:Title": "Johnny Ryan's Angry Youth Comix", "d:Description": "Official site, with online comics, sketches, and catalog.", "topic": "Top/Arts/Comics/Creators/R/Ryan,_Johnny", "url": "http://www.johnnyr.com/"} +{"d:Title": "Slade, Arthur G.", "d:Description": "Official site for novelist and comics writer (Hallowed Knight, Shades of Slade).", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.arthurslade.com/"} +{"d:Title": "Stone, Larry", "d:Description": "A collection of strips, full pagers and other illustrations.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://larrystone.com/"} +{"d:Title": "Stevens, Dave", "d:Description": "Official site with information about Dave Stevens, his creation The Rocketeer, a FAQ-sheet and an art gallery.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.davestevens.com/"} +{"d:Title": "Segrelles, Vicente", "d:Description": "Author of The Mercenary. News, images, and how to paint. [Spanish and English]", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.segrelles.com/home_english.htm"} +{"d:Title": "Sies, John", "d:Description": "The internet home of the Artczar - cartoons, humorous illustration science fiction and fantasy art and creative consulting. Includes biographical information.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.artczar.org/"} +{"d:Title": "Stephens, Jay", "d:Description": "Information on his publications, with online examples and original art for sale. From Starwatcher.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.hollywoodcomics.com/stephens.html"} +{"d:Title": "Slayton, Cal", "d:Description": "Portfolio of comic book, cartoon, and web graphics.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.calslayton.com/"} +{"d:Title": "Soden, Khris", "d:Description": "Comics, projects, and free web art.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://khris_soden.tripod.com/"} +{"d:Title": "Shiga, Jason", "d:Description": "Creator of Meanwhile, Double Happiness, Fleep. Site features many online comics, some in an interactive format.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://shigabooks.com/"} +{"d:Title": "Stall, Vincent", "d:Description": "King Mini International. Mini-comics catalog, related merchandise, and sketchbook.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.kingmini.com/"} +{"d:Title": "Schaal, Mari", "d:Description": "Home page for the mini-comic Estrus and other work; catalog, art samples, and interviews.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.marinaomi.com/"} +{"d:Title": "Simpson, Carol", "d:Description": "Single-panel humor and political cartoons about business and labor. Updated frequently.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.cartoonwork.com/"} +{"d:Title": "Swenson, Jeff", "d:Description": "Strips and gag panels including The Cynic and Frenetic Wanderings; clip art gallery; Flash games.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.swensonfunnies.com/"} +{"d:Title": "Swarte, Joose", "d:Description": "Official site of this Dutch industrial designer and comics artist. Artist information, drawings, art for sale. In English, Dutch, and French. [Requires Flash]", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.joostswarte.com/"} +{"d:Title": "Sheets, Abram", "d:Description": "Artist information, comics and illustration gallery.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.supersuper.net/"} +{"d:Title": "Senft, Kevin (Blond)", "d:Description": "Digital coloring gallery with featured images.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.blondthecolorist.com/"} +{"d:Title": "Semegran, Scott", "d:Description": "Cartoonist of comic titles including Mr. Grieves, A Perpetual State of Bliss, and Near Oltorf.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.scottsemegran.com/"} +{"d:Title": "Stular, Andrej", "d:Description": "Active on various fields including arts, comics, photography and film. Offers samples and listing of works.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.ljudmila.org/stripcore/andrej/andrej.htm"} +{"d:Title": "Sook, Ryan", "d:Description": "Official site including events, gallery, and original art for sale.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.ryansook.com/"} +{"d:Title": "Smallwood-Garcia, John", "d:Description": "News and showcase of self-published work.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://smallwood-garcia.com/"} +{"d:Title": "Scalzo, Kevin", "d:Description": "Comics, paintings and illustrations.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.kevinscalzo.blogspot.com/"} +{"d:Title": "Steranko, Jim", "d:Description": "Online exhibition of the art of Jim Steranko.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://www.thedrawingsofsteranko.com/"} +{"d:Title": "Stazer, Tom", "d:Description": "Stazerland. Official site of artist and his creations: Spaced, Crazy Bob, Lionheart, Ed the Grocer.", "topic": "Top/Arts/Comics/Creators/S", "url": "http://tstazer.www4.50megs.com/"} +{"d:Title": "Egg", "d:Description": "Interview from TV arts program, with transcript and video clip.", "topic": "Top/Arts/Comics/Creators/S/Sacco,_Joe", "url": "http://www.pbs.org/wnet/egg/303/sacco/"} +{"d:Title": "The Observer: Eyewitness in Gaza", "d:Description": "Palestine reviewed by David Thompson.", "topic": "Top/Arts/Comics/Creators/S/Sacco,_Joe", "url": "http://www.theguardian.com/theobserver/2003/jan/05/comics.politics"} +{"d:Title": "Sequential Tart: My So-Called Comic", "d:Description": "Interview by Melanie Johnson.", "topic": "Top/Arts/Comics/Creators/S/Schrag,_Ariel", "url": "http://www.sequentialtart.com/archive/aug99/schrag.shtml"} +{"d:Title": "The Dave Sim Misogyny Page", "d:Description": "The cartoonist's controversial views on women and feminism.", "topic": "Top/Arts/Comics/Creators/S/Sim,_Dave", "url": "http://www.theabsolute.net/misogyny/sim.html"} +{"d:Title": "The Art of Dave Sim", "d:Description": "Jeff Tundis' tribute showcasing the works of science fiction and horror.", "topic": "Top/Arts/Comics/Creators/S/Sim,_Dave", "url": "http://www.artofdavesim.com/"} +{"d:Title": "BBC News: Simmonds's satirical touch", "d:Description": "Profile of the artist after she was honored as a Member of the British Empire for her newspaper work.", "topic": "Top/Arts/Comics/Creators/S/Simmonds,_Posy", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/2045620.stm"} +{"d:Title": "Lambiek.net: Art Spiegelman", "d:Description": "Biography, links and art excerpts.", "topic": "Top/Arts/Comics/Creators/S/Spiegelman,_Art", "url": "http://lambiek.net/artists/s/spiegelman.htm"} +{"d:Title": "The Stranger: American Hero, James Sturm Comes Home", "d:Description": "Tom Spurgeon reviews The Golem's Mighty Swing.", "topic": "Top/Arts/Comics/Creators/S/Sturm,_James", "url": "http://www.thestranger.com/seattle/american-hero/Content?oid=8151"} +{"d:Title": "Hyperwerks", "d:Description": "A comics, design and entertainment company. Includes artist biographies and samples.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.hyperwerks.com/"} +{"d:Title": "Sabre's Edge Associated Studios", "d:Description": "Association of freelance artists and designers who create comic book, web pages, and other graphic art.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.sabresedge.com/"} +{"d:Title": "Epic Proportions", "d:Description": "New York based studio. Introduction, multimedia, characters, and sample pages. [Flash intensive]", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.epicprops.com/"} +{"d:Title": "Millennium Studios", "d:Description": "A comic book development and design company features biographies of creators and galleries of their various works.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.angelfire.com/biz6/intergalactic/DarkStudios/home.html"} +{"d:Title": "Meteorcity.net", "d:Description": "Content developer for entertainment, digital animation or old fashioned comic book production.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.meteorcity.net/"} +{"d:Title": "Skydog Comics Studio", "d:Description": "Funnies, realistic, illustrations, 3-D sculptures, licensed figures, Marsupilami, The World of Schnecksnyder. They develop and deliver all styles of comics in every technique, for the German and International market.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.comicspro.de/"} +{"d:Title": "Hollywood Comics", "d:Description": "Representation agency for comic creators, most notably, Moebius, Caza, Jay Stephens, Julie Bell and others. Features online portfolio's, news on media projects and items for sale.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.hollywoodcomics.com/"} +{"d:Title": "Electric Cool Aid Productions", "d:Description": "The Anti-Trend.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://electriccoolaid.tripod.com/"} +{"d:Title": "AXIS Grafix", "d:Description": "Christian comic book company.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://axisgrafix.20m.com/"} +{"d:Title": "Harmonart Studio", "d:Description": "Home of Ava Cain and the comics \"Pizzaman,\" \"Spygirl\" and \"Superbitch.\"", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.harmonart.com/"} +{"d:Title": "Comic House Amsterdam", "d:Description": "Cartoon and Animation agency in Amsterdam, the Netherlands, with portfolio's and information for cartoonists like Peter De wit, Luc Cromheecke, Glen Baxter, Hanco Kolk and others.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.comichouse.nl/"} +{"d:Title": "Platinum Studios", "d:Description": "Multimedia comics and comics-to-film company created by Scott Rosenberg, former head of Malibu Comics.", "topic": "Top/Arts/Comics/Creators/Studios", "url": "http://www.platinumstudios.com/"} +{"d:Title": "Tsai, Francis", "d:Description": "Online portfolio of author, conceptual designer for Presto Studios.", "topic": "Top/Arts/Comics/Creators/T", "url": "http://www.teamgt.com/"} +{"d:Title": "Tenuta, Saverio", "d:Description": "The website for fans and original art collectors.", "topic": "Top/Arts/Comics/Creators/T", "url": "http://members.tripod.com/tenuta/"} +{"d:Title": "Thillet, Hector", "d:Description": "Hector's Fantagie. Original artwork, mangas, anime, graphic novels, and stories.", "topic": "Top/Arts/Comics/Creators/T", "url": "http://www.angelfire.com/wi/ZARD/"} +{"d:Title": "Tong, Andie", "d:Description": "Original sequential artwork.", "topic": "Top/Arts/Comics/Creators/T", "url": "http://www.deemonproductions.com/"} +{"d:Title": "Taylor, Richard A.", "d:Description": "Taylor presents his line of cartoon characters first created in 1968, and long since drawn at children's festivals.", "topic": "Top/Arts/Comics/Creators/T", "url": "http://www.pacificwestcom.com/green_springs_productions/"} +{"d:Title": "Taylor, James", "d:Description": "Official site for inker and graphic designer. Includes a resume, portfolio, and commissions.", "topic": "Top/Arts/Comics/Creators/T", "url": "http://www.jtinks.com/"} +{"d:Title": "Trembles, Rick", "d:Description": "Snubdom.com, Montreal cartoonist's site featuring Motion Picture Purgatory (cartoon movie reviews), original art for sale, and music from his band The American Devices.", "topic": "Top/Arts/Comics/Creators/T", "url": "http://www.snubdom.com/"} +{"d:Title": "The Official Bryan Talbot Fanpage", "d:Description": "Includes biographies, image galleries, news, articles, FAQ and links.", "topic": "Top/Arts/Comics/Creators/T/Talbot,_Bryan", "url": "http://www.bryan-talbot.com/"} +{"d:Title": "This Modern World", "d:Description": "Official site, with artist information, links to online strips, and weblog of political commentary.", "priority": "1", "topic": "Top/Arts/Comics/Creators/T/Tomorrow,_Tom", "url": "http://www.thismodernworld.com/"} +{"d:Title": "Vancata, Brad", "d:Description": "Art and Imagining. Professional artist Brad Vancata's art and images for sale.", "topic": "Top/Arts/Comics/Creators/V", "url": "http://bradvancata.com/"} +{"d:Title": "Varon, Sara", "d:Description": "Online comics and catalog from this New York cartoonist and animator.", "topic": "Top/Arts/Comics/Creators/V", "url": "http://www.chickenopolis.com/"} +{"d:Title": "Valdez, Danny", "d:Description": "A collection of comic book style artwork from a young aspiring artist.", "topic": "Top/Arts/Comics/Creators/V", "url": "http://www.dmvcomics.com/"} +{"d:Title": "Vlachakis, John", "d:Description": "Portfolio of pin-ups and sequential art. [Requires Flash]", "topic": "Top/Arts/Comics/Creators/V", "url": "http://johnv.i8.com/"} +{"d:Title": "Vidon, Jean-Philippe", "d:Description": "Portfolio of editorial and children's book illustrator. Includes comics and graphic novels samples.", "topic": "Top/Arts/Comics/Creators/V", "url": "http://picassohadacombover.free.fr/"} +{"d:Title": "AustinMama: Penny Van Horn", "d:Description": "Profile and interview, in local magazine where her illustrations often appear.", "topic": "Top/Arts/Comics/Creators/V/Van_Horn,_Penny", "url": "http://www.austinmama.com/pennyvanhorn.htm"} +{"d:Title": "Paint a Rainbow in Your Heart", "d:Description": "Includes information about the author, pictures, icons and links.", "topic": "Top/Arts/Comics/Creators/V/Vasquez,_Jhonen", "url": "http://www.angelfire.com/emo/sparklez_brite/images/layout/candy/main.html"} +{"d:Title": "Immortalizing the Moment", "d:Description": "Fansite which features links, message board, chat room, artwork and news.", "topic": "Top/Arts/Comics/Creators/V/Vasquez,_Jhonen", "url": "http://www.bunnyofdoom.org/itm/"} +{"d:Title": "Jhonen Vasquez", "d:Description": "Fansite that includes information on the artist's work, comic book scans and downloadable media.", "topic": "Top/Arts/Comics/Creators/V/Vasquez,_Jhonen", "url": "http://jcv.toykeeper.net/"} +{"d:Title": "Speaking For Zimself: A Conversation with Jhonen Vasquez", "d:Description": "Martin \"Dr. Toon\" Goodman invades the mind of Jhonen Vasquez, to discuss Invader Zim, Jhonen's ideas and inspirations and the ups and downs of producing his first animated series.", "topic": "Top/Arts/Comics/Creators/V/Vasquez,_Jhonen", "url": "http://www.awn.com/animationworld/speaking-zimself-conversation-jhonen-vasquez"} +{"d:Title": "Watson, Gregbo", "d:Description": "Showcases the art of this professional illustrator including his work on \"The Flea.\"", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.gregbo.com/"} +{"d:Title": "Woodring, Jim", "d:Description": "Woodring Mania. Information on his \"Frank\" series, a gallery and creator information.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.jimwoodring.com/"} +{"d:Title": "Wrightson, Bernie", "d:Description": "Official site features latest news, appearances, and a fan club.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.berniewrightson.com/"} +{"d:Title": "Spungifeel Comics", "d:Description": "Showcasing this absurdist artist T. Weier's eccentric work including minicomic offerings, daily cartoons, and greeting cards.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.somedaze.com/"} +{"d:Title": "Wallis, Bruce Allen", "d:Description": "Full color adventures of Muggs Mulcher, the meanest, toughest, ugliest man in the Multiverse.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.muggsmulcher.com/"} +{"d:Title": "Wilson, Monte", "d:Description": "Features art from the fantasy comic book,\"Elfin Romance.\"", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.oklahoma.net/~silvrdal/"} +{"d:Title": "Windsor-Smith, Barry", "d:Description": "The official web site of graphic storyteller and artist for \"Conan\" and \"X-Men.\"", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.barrywindsor-smith.com/"} +{"d:Title": "Williams, Edgar J.", "d:Description": "Prosperous Warrior Productions features the work of Edgar J. Williams, Christian comics artist and instructor of \"How to draw comic heroes God's way,\" teaching comic art from a Christian perspective.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://members.tripod.com/~pwp1/"} +{"d:Title": "Wilkins, Simeon", "d:Description": "Robot Operator Manuals. Storyboard artist for Hellboy, and creator of independent comics (Breakfast) with Astronaut and Mr. Winter 1958. Includes comic art, storyboard illustrations, and fine art.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.robotoperatormanuals.com/"} +{"d:Title": "Williams, Simon", "d:Description": "Portfolio of work including The Hulk, Death's Head, and the Discotronic Funk Commandos.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://soulmaninc.freewebspace.com/"} +{"d:Title": "Amazing Comics: Mark Waid Interview", "d:Description": "The writer speaks about Kingdom Come, Fantastic Four, and Superman: Birthright.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.amazingcomics.it/interview_mark_waid.htm"} +{"d:Title": "Windett, Dave", "d:Description": "Freelance comic book artist, cartoonist, and illustrator. Features samples of work from Fox, Warner Bros., original character design, and book illustration.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://www.davewindett.com/"} +{"d:Title": "Ward, Sean", "d:Description": "Features comics, animation, videos, and photos.", "topic": "Top/Arts/Comics/Creators/W", "url": "http://seanward.net/"} +{"d:Title": "The Acme Novelty Warehouse", "d:Description": "Fan site with bibliography, with entries for published comics, strips, illustrations and exhibitions. Includes a links list.", "topic": "Top/Arts/Comics/Creators/W/Ware,_Chris", "url": "http://quimby.gnus.org/warehouse/"} +{"d:Title": "Fantagraphics Books: Chris Ware", "d:Description": "Publisher's page, with catalog and art samples.", "topic": "Top/Arts/Comics/Creators/W/Ware,_Chris", "url": "http://www.fantagraphics.com/index.php?option=com_virtuemart&page=shop.browse&category_id=211&Itemid=62&vmcchk=1&Itemid=62"} +{"d:Title": "This American Life: Superpowers", "d:Description": "Radio interview by Ira Glass on Ware's childhood love of superhero comics. Program archive also includes earlier interviews with the artist. [Requires RealAudio]", "topic": "Top/Arts/Comics/Creators/W/Ware,_Chris", "url": "http://www.kcrw.com/etc/programs/bw/bw010208chris_ware"} +{"d:Title": "Sequential Tart: Andi Watson", "d:Description": "Interview with images.", "topic": "Top/Arts/Comics/Creators/W/Watson,_Andi", "url": "http://www.sequentialtart.com/archive/mar03/andiwatson2.shtml"} +{"d:Title": "Bookslut: Andi Watson", "d:Description": "Interview with links.", "topic": "Top/Arts/Comics/Creators/W/Watson,_Andi", "url": "http://www.bookslut.com/features/2003_06_000451.php"} +{"d:Title": "Mack White's Villa of the Mysteries", "d:Description": "Official site with artist information, comics, articles, bibliography, and links.", "topic": "Top/Arts/Comics/Creators/W/White,_Mack", "url": "http://www.mackwhite.com/"} +{"d:Title": "WebRing: Cartoonists and Cartoon Enthusiasts", "d:Description": "Listings relating to cartoonists and the cartoon field, including artists and resources.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub/cartoonists"} +{"d:Title": "Comic Book Artist's Webring", "d:Description": "A ring for aspiring comic book artists to display their original artwork.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub?ring=cbartists"} +{"d:Title": "Comic Creators Webring", "d:Description": "A webring for aspiring and professional comic book artists and writers.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub?ring=comicpros"} +{"d:Title": "Dead Cartoonists Ring", "d:Description": "A webring for failed, inept and deceased comic book writers and artists.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub?ring=deadcartoonistsr"} +{"d:Title": "The Doghouse", "d:Description": "Webring for cartoonists, comic artists and other people who enjoy drawing.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub?ring=doghouse"} +{"d:Title": "Toonzed", "d:Description": "Webring for original cartoon and comic art.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub?ring=toonzed"} +{"d:Title": "WebRing: Indie Comic", "d:Description": "A webring for independent comic creators.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub/indiecomicwebrin"} +{"d:Title": "WebRing: Best Cartoonist Sites", "d:Description": "Collection of cartoonist sites.", "topic": "Top/Arts/Comics/Creators/Web_Rings", "url": "http://www.webring.org/hub?ring=1allansbestcart2"} +{"d:Title": "Zeck, Mike", "d:Description": "Home page of comic book artist. Includes checklist, step-by-step section, artwork and books for sale.", "topic": "Top/Arts/Comics/Creators/Z", "url": "http://www.mikezeck.com/"} +{"d:Title": "Zettwoch, Dan", "d:Description": "Online strips and catalog by mini-comics creator of Collectin', Ironclad, and editor for small-press anthology Impossible.", "topic": "Top/Arts/Comics/Creators/Z", "url": "http://usscatastrophe.com/zettwoch/html/index.html"} +{"d:Title": "Zuvela, Tony", "d:Description": "Australian single panel Cartoonist and creator of Berserk Alert.", "topic": "Top/Arts/Comics/Creators/Z", "url": "http://www.berserkalert.com.au/"} +{"d:Title": "Comic Books", "d:Description": "Information on new releases, preservation and publishers. Includes superheroes and comic characters in movies, television, price guides, grading, and how to collect.", "topic": "Top/Arts/Comics/Directories", "url": "http://comicbooks.about.com/index.htm"} +{"d:Title": "Comics Creators on the Net", "d:Description": "References to creator home pages, interviews, reviews, listings, comics companies and comic books or strips on the Net. By Jerry Stratton.", "topic": "Top/Arts/Comics/Directories", "url": "http://www.hoboes.com/html/Comics/Creators.html"} +{"d:Title": "Comic Book Pros", "d:Description": "Built for the comicbook fan and artist. Talent hive for artists. Offers links to artists' official sites.", "topic": "Top/Arts/Comics/Directories", "url": "http://www.comicbookpros.com/"} +{"d:Title": "Cool Book Info Webring", "d:Description": "For sites providing any information at all on comic books.", "topic": "Top/Arts/Comics/Directories/Web_Rings", "url": "http://www.angelfire.com/sc/dylerium69/webring.html"} +{"d:Title": "WebRing: Comics and Cartoons", "d:Description": "Lists sites for fans of comics and cartoons, plus those who make their own.", "topic": "Top/Arts/Comics/Directories/Web_Rings", "url": "http://www.webring.org/hub/comics"} +{"d:Title": "Comic Strippers WebRing", "d:Description": "Ring for those who create comic strips.", "topic": "Top/Arts/Comics/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=strippers"} +{"d:Title": "Cartoonists WebRing", "d:Description": "A ring of cartoon artists, resources, professional cartoonists, aspiring cartoonists and people who create cartoons.", "topic": "Top/Arts/Comics/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=cartoonists"} +{"d:Title": "The Comic Strip Classics Web Ring", "d:Description": "Created to showcase pre-1960 comic strips, including Little Orphan Annie and Dick Tracy.", "topic": "Top/Arts/Comics/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=furcom"} +{"d:Title": "WebRing: Indie Comic", "d:Description": "This is a webring for independent comics. Specifically promotes work which is independently published.", "topic": "Top/Arts/Comics/Directories/Web_Rings", "url": "http://www.webring.org/hub/indiecomicwebrin"} +{"d:Title": "WebRing: Comics", "d:Description": "Sites about comics.", "topic": "Top/Arts/Comics/Directories/Web_Rings", "url": "http://www.webring.org/hub/ccweb/"} +{"d:Title": "Diamond Comic Distributors", "d:Description": "Includes comic previews, collectable news and retailer services.", "topic": "Top/Arts/Comics/Distributors", "url": "http://www.diamondcomics.com/"} +{"d:Title": "Cold Cut Distribution", "d:Description": "Reorder distributor for independent comics. Offers information for retailers, fans and publishers, as well as a collection of reviews.", "topic": "Top/Arts/Comics/Distributors", "url": "http://www.coldcut.com/"} +{"d:Title": "26pigs", "d:Description": "Devoted to comics published in the UK last century. Includes description of comics and associated artwork.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://26pigs.com/"} +{"d:Title": "Bamdad's Math Comics", "d:Description": "Private collection of comics, cartoons and strips about math.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.csun.edu/~hcmth014/comics.html"} +{"d:Title": "Mark's Comics Page", "d:Description": "Images of some DC and Marvel comic book characters, with a message board and a PalmPilot comics database program.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.intuitivewebdesigns.com/comics"} +{"d:Title": "Rob's Comics", "d:Description": "News, reviews, polls, trivia, images, and sounds.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.angelfire.com/nj/robscomics/index.html"} +{"d:Title": "Nad's Pad", "d:Description": "An archive of comic book quotes, from many publishers, categorized by week. Updated weekly, and includes sub pages, mostly related to comics.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.angelfire.com/pa2/nadspad/"} +{"d:Title": "A Comicbook Romance", "d:Description": "Scans of comicbook covers--silver age to present and discussion of each. Criteria for inclusion is that kissing or a marriage appears.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.angelfire.com/in2/comicbooks/"} +{"d:Title": "The Comic Book Universe Battles", "d:Description": "Grudge fight between various heroes / villains from sci-fi, fantasy and superhero comics and TV shows. Includes a message board, images and archives.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.electricferret.com/battle/"} +{"d:Title": "Slumberland", "d:Description": "Offers articles and criticism of modern comics and practices versus older issues - includes a few online comic strips.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://members.tripod.com/~box_of_delights/slumb.html"} +{"d:Title": "Dragon's Heart", "d:Description": "A list of comics collected over the years.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.angelfire.com/ar2/dragonsheart/"} +{"d:Title": "SelfPublishing", "d:Description": "Mailing list for those writers/artists interested in self-publishing independent work, primarily for those with goals toward small-press runs of comic books", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://groups.yahoo.com/group/selfpublishing/"} +{"d:Title": "Comics Alliance", "d:Description": "An online community of comic book fans dedicated to the discussion of comics.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://www.comicsalliance.com/"} +{"d:Title": "Platinum Grit", "d:Description": "Dedicated to Australian comic. Contains information on characters, stories and pictures that can not be found in the comics.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://farry.tripod.com/"} +{"d:Title": "The Cosmic Odyssey", "d:Description": "Artwork and commentary on several current and Silver Age comics - including Silver Surfer and Green Lantern.", "topic": "Top/Arts/Comics/Fan_Pages", "url": "http://silver_surfer1.tripod.com/"} +{"d:Title": "B.O Studio", "d:Description": "Offers sketches based upon comic characters and submitted fan art.", "topic": "Top/Arts/Comics/Fan_Pages/Comic_Art", "url": "http://bostudio.tripod.com/"} +{"d:Title": "JAAL", "d:Description": "Comic and manga style artwork by James Braxton.", "topic": "Top/Arts/Comics/Fan_Pages/Comic_Art", "url": "http://members.tripod.com/jaal_art/"} +{"d:Title": "Cockamamie Characters", "d:Description": "Black and white drawings of strange characters by various artists at comics conventions, all original.", "topic": "Top/Arts/Comics/Fan_Pages/Comic_Art", "url": "http://jwwj.tripod.com/cc/ccindex.html"} +{"d:Title": "Fields of Illusion", "d:Description": "Comic Book fan art including Marvel and DC.", "topic": "Top/Arts/Comics/Fan_Pages/Comic_Art", "url": "http://dahlstrom.tripod.com/index.htm"} +{"d:Title": "Gold Digger Comic and Anime Art Page", "d:Description": "Fan art for comic book, anime and furry style art.", "topic": "Top/Arts/Comics/Fan_Pages/Comic_Art", "url": "http://atemi.tripod.com/"} +{"d:Title": "Comics I Don't Understand", "d:Description": "Comics strips that might be funny, but I just can't figure out what the artist was trying to say. Can anybody help me out?", "topic": "Top/Arts/Comics/Fan_Pages/Comic_Strips", "url": "http://comicsidontunderstand.com/"} +{"d:Title": "The Classic Donald Duck of Tony Strobl", "d:Description": "Article by Anders Berglund about Tony Strobl, after Carl Barks maybe the most important Donald Duck artist.", "topic": "Top/Arts/Comics/Fan_Pages/Disney", "url": "http://art-bin.com/art/strobleng.html"} +{"d:Title": "The Mint Pages On Disney Comic Artists", "d:Description": "Style analysis of comics authors.", "topic": "Top/Arts/Comics/Fan_Pages/Disney", "url": "http://www.raptus.dk/"} +{"d:Title": "DCML: Disney Comics", "d:Description": "Features a story database (Inducks- search page), archive to the Disney comics mailing list, historical, author, and character information.", "priority": "1", "topic": "Top/Arts/Comics/Fan_Pages/Disney", "url": "http://numerus.ling.uu.se/~starback/dcml"} +{"d:Title": "Disney Comics Worldwide", "d:Description": "News and announcements, books and magazines around the world, local information, statistics, articles and links.", "topic": "Top/Arts/Comics/Fan_Pages/Disney", "url": "http://www.wolfstad.com/dcw"} +{"d:Title": "Jakob's Biographies", "d:Description": "Information about Barks, Rosa, and Gottfredson.", "topic": "Top/Arts/Comics/Fan_Pages/Disney", "url": "http://home.swipnet.se/~w-47991/"} +{"d:Title": "Finnish Disney comics artists", "d:Description": "Article about Finnish artists by Timo Ronkainen.", "topic": "Top/Arts/Comics/Fan_Pages/Disney", "url": "http://www.perunamaa.net/ankistit/finnish.htm"} +{"d:Title": "Of Mice and Ducks", "d:Description": "Books and strips, and their creators. Includes images, indexes, storylines, and articles.", "topic": "Top/Arts/Comics/Fan_Pages/Disney", "url": "http://ob7.free.fr/mice_and_ducks/"} +{"d:Title": "I.N.D.U.C.K.S.", "d:Description": "The official main page of the I.N.D.U.C.K.S. project.", "topic": "Top/Arts/Comics/Fan_Pages/Disney/Inducks", "url": "http://inducks.org/"} +{"d:Title": "Bolderbast", "d:Description": "General information about the database and its files to download.", "topic": "Top/Arts/Comics/Fan_Pages/Disney/Inducks", "url": "http://inducks.org/bolderbast/"} +{"d:Title": "I.N.D.U.C.K.S. search engine", "d:Description": "A web-frontend to the database.", "topic": "Top/Arts/Comics/Fan_Pages/Disney/Inducks", "url": "http://stp.ling.uu.se/~starback/dcml/inducks/"} +{"d:Title": "Ed's Joost Swarte website!", "d:Description": "Site about the Dutch graphic designer, illustrator and cartoonist Joost Swarte, with interviews, online samples, related stuff and some information on Swarte's typography.", "topic": "Top/Arts/Comics/Fan_Pages/European", "url": "http://www.xs4all.nl/~eblaas/index.html"} +{"d:Title": "The Eagle Comic", "d:Description": "Information on the 1950's British comic book Eagle, with information on Frank Bellamy, the Eagle frontpage and some representations of the famed Eagle Centre Page Cutaway.", "topic": "Top/Arts/Comics/Fan_Pages/European", "url": "http://www.dandare.org/"} +{"d:Title": "Firebird's WebSite", "d:Description": "Fan fiction about the Batman family, Titans, X-Men, Young Justice. Submissions accepted.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction", "url": "http://www.angelfire.com/md2/firebird308/index.html"} +{"d:Title": "DarkMark's Domain", "d:Description": "Stories of superheroes and characters from DC, Marvel and other companies.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction", "url": "http://dark_mark.tripod.com/darkmark1.htm"} +{"d:Title": "Cosmic Powers Fan Fiction", "d:Description": "Fan fiction starring Silver Surfer, Adam Warlock, Quasar, Galactus, Thanos, and other cosmic characters of the Marvel Universe.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction/Marvel", "url": "http://marvelite.prohosting.com/surfer/fanfic/"} +{"d:Title": "eX -- An Online Fan Fiction Novel", "d:Description": "An online novel, posted in episodic form, featuring the Marvel Comics characters called the X-Men.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction/Marvel", "url": "http://charles.x.tripod.com/opening.html"} +{"d:Title": "Ultraverse: A New Twist", "d:Description": "Fan fiction based on the Malibu Comics characters in Marvel's Ultraverse.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction/Marvel", "url": "http://marvelite.prohosting.com/ultraverse"} +{"d:Title": "Generation X Fan Fiction", "d:Description": "Contains Generation X fan fiction written by Francisco Charrua.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction/Marvel", "url": "http://pages.infinit.net/fcharrua/XGeneration01.html"} +{"d:Title": "the arcHive", "d:Description": "Fan fiction and art.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction/Marvel", "url": "http://www.angelfire.com/comics/mamfa/"} +{"d:Title": "Firebird's Marvel Fanfiction", "d:Description": "Marvel Fanfiction by various authors.", "topic": "Top/Arts/Comics/Fan_Pages/Fan_Fiction/Marvel", "url": "http://www.angelfire.com/md2/firebird308/fanfics/marvel/marvelfics.html"} +{"d:Title": "Golden Age Comic Cover Gallery", "d:Description": "Categories represented include Super-Hero, War, Good Girl, Romance, Crime and Horror.", "topic": "Top/Arts/Comics/Fan_Pages/Golden_Age", "url": "http://www.samuelsdesign.com/comics"} +{"d:Title": "Crimeboss", "d:Description": "Crime comics from the 1940s and 1950s includes gallery and history.", "topic": "Top/Arts/Comics/Fan_Pages/Golden_Age", "url": "http://www.crimeboss.com/"} +{"d:Title": "Mikel Midnight's Golden Age Directory", "d:Description": "An extensive listing of resources for Golden Age comics on the web.", "topic": "Top/Arts/Comics/Fan_Pages/Golden_Age", "url": "http://blaklion.best.vwh.net/comics.html"} +{"d:Title": "The Golden Age Blue Beetle", "d:Description": "The history and legend of Fox Comics classic comic book character, the Blue Beetle.", "topic": "Top/Arts/Comics/Fan_Pages/Golden_Age", "url": "http://www.wonderworldcomics.com/bluebeetle/"} +{"d:Title": "Comic Shop News", "d:Description": "Companion site to the comics information newsletter, with the Red K Awards and CSN Reader Surveys.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.csnsider.com/"} +{"d:Title": "Comics Continuum", "d:Description": "Source for comic-related news, articles, interviews and recommended comic links.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://comicscontinuum.com/"} +{"d:Title": "Sequential Tart", "d:Description": "Web zine about the comics industry with a focus on women's issues.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.sequentialtart.com/"} +{"d:Title": "The Comics Journal", "d:Description": "Online companion to print magazine, with selected articles, interview excerpts, and message board.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.tcj.com/"} +{"d:Title": "Jazma Universe Online", "d:Description": "Newsletter aiming at promoting comic book art and scripts. Includes interviews and fan art.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.jazmaonline.com/"} +{"d:Title": "Hero Realm", "d:Description": "Offers comics interviews, reviews, upcoming titles and news.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.herorealm.com/"} +{"d:Title": "BorderWalker", "d:Description": "Online comics (mostly adventure, fantasy and SF), also reviews and columns.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.borderwalker.com/"} +{"d:Title": "Robin Snyder's The Comics", "d:Description": "Information on this print fanzine, showcasing the masters of comic art, interviews and artwork.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.comicsfun.com/thecomics/index.htm"} +{"d:Title": "Rob's Comics and Nightmares", "d:Description": "Current comicbook reviews and news, amateur art and short stories.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.angelfire.com/scifi/comicsnightmares/"} +{"d:Title": "Comic Widows", "d:Description": "Reviews and columns, including a monthly review of web comics.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.comicwidows.com/"} +{"d:Title": "In Sequence", "d:Description": "Weblog by Teresa Ortega, on comic books, animation, video games, graphic arts and design.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.insequence.org/"} +{"d:Title": "Fanboy Planet", "d:Description": "Reviews, interviews and opinions on comics, movies, television, and wrestling.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.fanboyplanet.com/"} +{"d:Title": "There Goes Tokyo", "d:Description": "Indy/small-press online comics, reviews, interviews, games. Also sells small-press comics by various UK authors.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.angelfire.com/mt/comic/"} +{"d:Title": "Newsarama", "d:Description": "News, opinion and previews. Covers both mainstream and independent comics. Updated several times daily.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.newsarama.com/"} +{"d:Title": "Indy Magazine", "d:Description": "Quarterly E-zine of reviews and interviews, published by Jeff Mason of Alternative Comics and edited by Bill Kartalopoulos.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.indyworld.com/indy/"} +{"d:Title": "Zum", "d:Description": "Focuses on UK small press artists. Available online in PDF format, or by mail order. Includes catalog of self-published titles.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.zumcomics.info/"} +{"d:Title": "Horror Comics Review", "d:Description": "Covers and ordering information for print fanzine on horror comics, with some reviews online.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.angelfire.com/comics/horrorcomicsreview/"} +{"d:Title": "Krause Publications Comics Division", "d:Description": "Information from the Comics Buyer Guide, Comics Retailer and Scrye publications.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.krause.com/static/comics.htm"} +{"d:Title": "Bonafide Comics", "d:Description": "Online strips, comic and DVD Reviews, Flash games and news.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.bonafidecomics.com/"} +{"d:Title": "Bezerk", "d:Description": "Student comics magazine based at University of California at Berkeley. Back issues online in PDF and GIF format.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.ocf.berkeley.edu/~sap/"} +{"d:Title": "ComicsPundit", "d:Description": "Blogger comments on comic news.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.comicspundit.com/"} +{"d:Title": "Comics Bulletin", "d:Description": "News, reviews, interviews, and message board, focused on superheroes but with a small-press section.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.comicsbulletin.com/"} +{"d:Title": "Comixtalk", "d:Description": "Online magazine about web-based comics, with news, reviews and interviews.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://comixtalk.com/"} +{"d:Title": "Comicopia", "d:Description": "Bi-monthly print zine which accepts submissions and is circulated only among its members/contributors.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.savageland.com/comicopia/"} +{"d:Title": "CBR.com", "d:Description": "News, reviews, columns, message board, and shopping.", "topic": "Top/Arts/Comics/Magazines_and_E-zines", "url": "http://www.cbr.com/"} +{"d:Title": "Mangayomi", "d:Description": "Hagio Moto, Yamagishi Ryouko, Ooshima Yumiko, Yoshida Akimi, Okazaki Kyouko, Ima Ichiko, Yoshinaga Fumi, and 60's artist profiles, lists of titles and books, and synopses. Also magazine cover images and summaries.", "topic": "Top/Arts/Comics/Manga/Creators", "url": "http://www.callenreese.com/mangayomi.html"} +{"d:Title": "Yoshitaka Amano", "d:Description": "Biography and image gallery.", "topic": "Top/Arts/Comics/Manga/Creators/Amano,_Yoshitaka", "url": "http://goingreen.tripod.com/amano/amano.html"} +{"d:Title": "The Art of Yoshitaka Amano", "d:Description": "Selected artwork from Amano's projects.", "topic": "Top/Arts/Comics/Manga/Creators/Amano,_Yoshitaka", "url": "http://www.elevenland.com/amano/"} +{"d:Title": "Shades of Nemesis", "d:Description": "Series summaries, character guides, image galleries, and songs and lyrics.", "topic": "Top/Arts/Comics/Manga/Creators/Asamiya,_Kia", "url": "http://www.flowerstorm.net/disa/Site-Nemesis/nem-main.html"} +{"d:Title": "The CLAMP Archives", "d:Description": "Thumbnailed images from a variety of series.", "topic": "Top/Arts/Comics/Manga/Creators/CLAMP", "url": "http://members.tripod.com/~caet/clamp.html"} +{"d:Title": "CLAMP's Wonderland", "d:Description": "Profile, series listing and summaries, images, and merchandise.", "topic": "Top/Arts/Comics/Manga/Creators/CLAMP", "url": "http://members.tripod.com/~clamp1/"} +{"d:Title": "CLAMP 2000", "d:Description": "Webring, brief introduction to Rayearth and Tokyo Babylon.", "topic": "Top/Arts/Comics/Manga/Creators/CLAMP", "url": "http://tmrevolution.tripod.com/"} +{"d:Title": "CLAMP Now", "d:Description": "Community for news and discussion of recent CLAMP manga.", "topic": "Top/Arts/Comics/Manga/Creators/CLAMP", "url": "http://clamp-now.livejournal.com/"} +{"d:Title": "G.O.D. Manga", "d:Description": "Fan translations of Le Cirque de Karakuri and Ushio and Tora.", "topic": "Top/Arts/Comics/Manga/Creators/Fujita,_Kazuhiro", "url": "http://www.angelfire.com/comics/lcdk/"} +{"d:Title": "Moto Hagio", "d:Description": "Short biography of the author with images.", "topic": "Top/Arts/Comics/Manga/Creators/Hagio,_Moto", "url": "http://www.lambiek.net/artists/h/hagio_moto.htm"} +{"d:Title": "You Higuri / Seimaden / Ludwig Mailing List", "d:Description": "Discussions of her manga and art. Public archives, with database of works available to members.", "topic": "Top/Arts/Comics/Manga/Creators/Higuri,_You", "url": "http://groups.yahoo.com/group/you_higuri_seimaden/"} +{"d:Title": "Comic Book DB: Min Woo Hyung", "d:Description": "Offers biography of the author.", "topic": "Top/Arts/Comics/Manga/Creators/Hyung,_Min-Woo", "url": "http://www.comicbookdb.com/creator.php?ID=7081"} +{"d:Title": "Masakazu Katsura's Design", "d:Description": "Images from his works. [French and English]", "topic": "Top/Arts/Comics/Manga/Creators/Katsura,_Masakazu", "url": "http://mkdfusion.free.fr/"} +{"d:Title": "Yukitopia", "d:Description": "The author's official website. Image galleries and information about his various works. [Japanese and English]", "topic": "Top/Arts/Comics/Manga/Creators/Kishiro,_Yukito", "url": "http://www.yukito.com/"} +{"d:Title": "Endless Orbit 999: Leiji Matsumoto Page", "d:Description": "Series information, understanding the Matsumoto universe, reviews, events, and fan art.", "topic": "Top/Arts/Comics/Manga/Creators/Matsumoto,_Leiji", "url": "http://www.geocities.co.jp/Playtown/3254/Matsumoto/LeijiTop.html"} +{"d:Title": "Leiji Matsumoto Mailing List", "d:Description": "Discussions of the artist and his works. Archives and image gallery for members only.", "topic": "Top/Arts/Comics/Manga/Creators/Matsumoto,_Leiji", "url": "http://groups.yahoo.com/group/matsumoto/"} +{"d:Title": "Love Factory", "d:Description": "Official site, with profiles of the artist and her assistants, list of titles, work process, and questions answered. [English and Japanese]", "topic": "Top/Arts/Comics/Manga/Creators/Miyasaka,_Kaho", "url": "http://www.k-miyasaka.com/"} +{"d:Title": "Manga and Anime blog", "d:Description": "Artist's personal weblog with profile, information on his works, web comic [in Japanese], and humor.", "topic": "Top/Arts/Comics/Manga/Creators/Mori,_Kanda", "url": "http://hamblogjapan.blogspot.com/"} +{"d:Title": "Go Nagai", "d:Description": "Biography and series details.", "topic": "Top/Arts/Comics/Manga/Creators/Nagai,_Go", "url": "http://www.cjas.org/~bchow/gonagai/"} +{"d:Title": "The Go Nagai Web Ring", "d:Description": "Sites featuring the manga artists and his works. Members list, submission form, and statistics.", "topic": "Top/Arts/Comics/Manga/Creators/Nagai,_Go", "url": "http://www.webring.org/hub?ring=gonagai"} +{"d:Title": "Beautiful Feline", "d:Description": "Author information, series summaries, and image galleries.", "topic": "Top/Arts/Comics/Manga/Creators/Ohkami,_Mineko", "url": "http://www.crysania.com/paperthin/feline/"} +{"d:Title": "Katsuya Saito's Gallery", "d:Description": "Online comics and illustrations gallery.", "topic": "Top/Arts/Comics/Manga/Creators/Saito,_Katsuya", "url": "http://members.jcom.home.ne.jp/saitofufu/"} +{"d:Title": "Manga Gacha Gacha", "d:Description": "English translations of several strips, accompanied by images of the originals.", "topic": "Top/Arts/Comics/Manga/Creators/Shintani,_Naritada", "url": "http://www.polypop.com/comix/nari/"} +{"d:Title": "Shirow Net", "d:Description": "Club with discussions of the manga artist and his works. Image gallery for members only.", "topic": "Top/Arts/Comics/Manga/Creators/Shirow,_Masamune", "url": "http://groups.yahoo.com/group/shirownet/"} +{"d:Title": "The Ambient Masamune Shirow Space", "d:Description": "Interview discussing influences and technology, and photographs of the area in which he lives.", "topic": "Top/Arts/Comics/Manga/Creators/Shirow,_Masamune", "url": "http://www.jai2.com/Shirow.htm"} +{"d:Title": "Masamune Shirow", "d:Description": "Series summaries, artwork galleries, calendar scans, and wallpaper.", "topic": "Top/Arts/Comics/Manga/Creators/Shirow,_Masamune", "url": "http://www.motorballer.org/shirow/"} +{"d:Title": "TakoTech.Net", "d:Description": "Profile, bibliography, FAQs, and series details.", "topic": "Top/Arts/Comics/Manga/Creators/Shirow,_Masamune", "url": "http://www.takotech.net/"} +{"d:Title": "Surly-Genki", "d:Description": "Profile, series summaries, image galleries, and Winamp skins.", "topic": "Top/Arts/Comics/Manga/Creators/Tachibana,_Kaimu", "url": "http://niko-niko.net/kaim/"} +{"d:Title": "The Works of Kaimu Tachibana", "d:Description": "Series and doujinshi information, English translations, and fan fiction.", "topic": "Top/Arts/Comics/Manga/Creators/Tachibana,_Kaimu", "url": "http://home.earthlink.net/~nataku/kaimu.html"} +{"d:Title": "The Manga of Takeuchi Naoko", "d:Description": "English translations of the manga artist's works.", "topic": "Top/Arts/Comics/Manga/Creators/Takeuchi,_Naoko", "url": "http://www.kurozuki.com/takeuchi/"} +{"d:Title": "Takeuchi-Naoko.com", "d:Description": "Information about the manga artist, as well as summaries, character descriptions, and images of her works.", "topic": "Top/Arts/Comics/Manga/Creators/Takeuchi,_Naoko", "url": "http://www.takeuchi-naoko.com/"} +{"d:Title": "The Naoko Takeuchi Mailing List", "d:Description": "Discussions of the manga artist and her works. Archives available to members only.", "topic": "Top/Arts/Comics/Manga/Creators/Takeuchi,_Naoko", "url": "http://groups.yahoo.com/group/naokotakeuchi/"} +{"d:Title": "Osamu Tezuka: The Father of Manga", "d:Description": "History, list of works with time line and links.", "topic": "Top/Arts/Comics/Manga/Creators/Tezuka,_Osamu", "url": "http://www.routt.net/Gelfling/manga/tezuka.html"} +{"d:Title": "Robocat's Blasts From the Past", "d:Description": "Kimba, and Astroboy images, links and music.", "topic": "Top/Arts/Comics/Manga/Creators/Tezuka,_Osamu", "url": "http://www.sff.net/people/terry_mcgarry/blasts.htp"} +{"d:Title": "Osamu Tezuka: Father of Modern Manga", "d:Description": "Biography, timeline, image gallery, history of manga, and discussion of Tezuka's influence.", "topic": "Top/Arts/Comics/Manga/Creators/Tezuka,_Osamu", "url": "http://tezukasite.tripod.com/"} +{"d:Title": "Osamu Tezuka Manga Museum", "d:Description": "Information on the memorial in Takarazuka, Japan, including photos and how to get there. [English and Italian]", "topic": "Top/Arts/Comics/Manga/Creators/Tezuka,_Osamu", "url": "http://digilander.libero.it/joe.chip/tezuka_e.htm"} +{"d:Title": "Akira Toriyama Interview", "d:Description": "Discussion of his childhood, influences, and the creation process.", "topic": "Top/Arts/Comics/Manga/Creators/Toriyama,_Akira", "url": "http://www.stormpages.com/ssjsean/ati.htm"} +{"d:Title": "Ring of Almighty Yuu Watase", "d:Description": "Sites related to the artist and her works. Submission information and statistics.", "topic": "Top/Arts/Comics/Manga/Creators/Watase,_Yuu", "url": "http://www.webring.org/hub?ring=watase"} +{"d:Title": "Nana - official site Ai Yazawa", "d:Description": "The official site for Ai Yazawa manga, Nana includes up to date news related to the series. [Japanese]", "topic": "Top/Arts/Comics/Manga/Creators/Yazawa,_Ai", "url": "http://www.s-nana.com/"} +{"d:Title": "Yoshida Akimi Fan", "d:Description": "List of titles, summaries, related articles, and Banana Fish information. [English and Japanese]", "topic": "Top/Arts/Comics/Manga/Creators/Yoshida,_Akimi", "url": "http://www.ne.jp/asahi/okumura/callenreese/yoshida/eyoshidaakimiindex.html"} +{"d:Title": "The Manga of Yuki Yoshihara", "d:Description": "Images from various works.", "topic": "Top/Arts/Comics/Manga/Creators/Yoshihara,_Yuki", "url": "http://www.flowerstorm.net/yuki/"} +{"d:Title": "Angel Sanctuary Gallery", "d:Description": "Images from several works.", "topic": "Top/Arts/Comics/Manga/Creators/Yuki,_Kaori", "url": "http://members.tripod.com/~duomaxwell/as.html"} +{"d:Title": "OtakuWorld! Anime and Manga", "d:Description": "Resource for anime and manga sites.", "topic": "Top/Arts/Comics/Manga/Directories", "url": "http://www.otakuworld.com/"} +{"d:Title": "AKV Animeland", "d:Description": "Image galleries including Initial D, Slam Dunk, and Korean manga.", "topic": "Top/Arts/Comics/Manga/Fandom", "url": "http://www.angelfire.com/comics/animeland/"} +{"d:Title": "Makani's Breeze", "d:Description": "Series information and character guides for works including Ayashi no Ceres, DN Angel, Mintna Bokura, and Kindachi Shounen no Jikembo.", "topic": "Top/Arts/Comics/Manga/Fandom", "url": "http://www.angelfire.com/ky/angelmanga/"} +{"d:Title": "The Manga Temple", "d:Description": "Slayers, Tenchi, Steam Detectives, Silent Mobius, Outlanders, Dragonball, Fushigi Yuugi, 3X3 Eyes, Battle Angel Alita, and other series images, synopses, and links.", "topic": "Top/Arts/Comics/Manga/Fandom", "url": "http://www.angelfire.com/anime/mangatemple/index.html"} +{"d:Title": "Sumeragi's Page", "d:Description": "Information on various series, reviews, cover scans, and chapter summaries.", "topic": "Top/Arts/Comics/Manga/Fandom", "url": "http://www.doushi-sumeragi.net/"} +{"d:Title": "Issendai's Lair", "d:Description": "Yakushi, Koibito wa Shugorei, and Unicorn Maiden information, as well as bishounen shrines and humor.", "topic": "Top/Arts/Comics/Manga/Fandom", "url": "http://www.issendai.com/"} +{"d:Title": "Rose Tints My World", "d:Description": "Information, summaries, and images from Futaba-kun Change and Chicago, as well as shrines to characters from Pokemon, Sailor Moon, and Trigun.", "topic": "Top/Arts/Comics/Manga/Fandom", "url": "http://www.futaba-chan.net/"} +{"d:Title": "Mangaworkshop", "d:Description": "A site where amateur manga artist can upload their work and receive comments from other members. Includes a forum where artists can look for advice or form doujinshi circles.", "topic": "Top/Arts/Comics/Manga/Fandom", "url": "http://mangaworkshop.net/"} +{"d:Title": "Yanime", "d:Description": "Projects include Addicted to Curry, Gakuen Heaven, Ichigo 100% and Sidooh. HTTP downloads.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://www.yanime.com/"} +{"d:Title": "Arr!! Here There Be Manga!!", "d:Description": "Hana Yori Dango and Violinist of Hamelin.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://www.abildsoe.com/manga/"} +{"d:Title": "Studio Robb", "d:Description": "Ranma \u00bd, Sirius Scars, Legend of Mikazuchi and Di Gi Charat.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://www.studiorobb.com/"} +{"d:Title": "MangaTranslation.com", "d:Description": "Tenjo Tenge, GetBackers and CapCom Gals Anthology.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://mangatranslation.com/"} +{"d:Title": "Asiatreasure", "d:Description": "Ayashi no Ceres, Sorcerous Stabber Orphen, Weiss kreuz, Clover, Wish.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://asia_treasure.tripod.com/"} +{"d:Title": "DGT", "d:Description": "Hanazakari no Kimitachi e, Youth Gone Wild and Power.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://dgt.glowie.com/"} +{"d:Title": "Manga Mania", "d:Description": "Erementar Gerad, Gaman Dekinai, Gekkoh, KaMiKaZe, Koudelka, Love and Ecchi, Ragnarock City, Rasen no Kakera, Ryuroden, and The Sun and the Moon.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://shiran4.free.fr/"} +{"d:Title": "Dragon Voice Scanlations", "d:Description": "Manga scanlations. Projects include Aishiteruze Baby, Gakuen Alice, Ai Yazawa's Tenshi Nanka ja Nai, and Reiko Shimizu works. Downloads available.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://dragonvoice.org/"} +{"d:Title": "Lililicious", "d:Description": "A scanlation group focusing on yuri, shoujo ai or lesbian manga and anime. Includes titles like Maria-sama Ga Miteru, Oniisama E, and Yuri Shimai serial.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://www.lililicious.net/"} +{"d:Title": "Evil_Genius Manga Scanlations", "d:Description": "Weblog of Evil_Genius, a manga scanlation group. Translating Berserk, NSE, B. Reaction!, Elfen Lied, Full Metal Alchemist, Marchen Awakens Romance, MP0, NHK ni Yokoso!, Tenjou Tenge, Biomega, Blame! and Zetman. BitTorrent downloads.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://www.evil-genius.us/"} +{"d:Title": "B.L.A.H", "d:Description": "Scanlation group. Projects include Cloth Road, Rubbers Seven, Soredemo Machi ha Mawatteiru and Tokyo Akazukin. HTTP and IRC downloads.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://www.blahsoft.com/"} +{"d:Title": "AnyManga.com", "d:Description": "Manga host. Offers large variety of manga for online reading. Various categories. Frequent updates.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://www.anymanga.com/"} +{"d:Title": "Goldlilys Media Gallery", "d:Description": "Variety of manga and manhwa for download by HTTP. Also offers anime reviews, Asian drama reviews, Asian mp3 downloads.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Scans", "url": "http://gallery.goldlilys-media.com/"} +{"d:Title": "Tetris no Miko", "d:Description": "Series include GTO, Hanazakari no Kimitachi e, and the Fushigi Yuugi and Ayashi no Ceres novels. Rights to others available for purchase.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Text", "url": "http://www.angelfire.com/geek/tetrisnomiko/"} +{"d:Title": "Jahannam Network", "d:Description": "Series include Angel Sanctuary, Saiyuki, X, RG Veda, Flame of Recca, and Tokyo Babylon.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Text", "url": "http://jahannam.net/"} +{"d:Title": "Plotong's Manga Translation Database", "d:Description": "Archive of manga translations from the web in script form. Also manga scans and links.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Text", "url": "http://ook0.tripod.com/"} +{"d:Title": "Diana's Heart", "d:Description": "Works include Akuma na Eros, Heart, and Kare First Love.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Text", "url": "http://www.dianasheart.0catch.com/"} +{"d:Title": "Rielf's Saiyuki and X Manga Translations", "d:Description": "X by CLAMP and Gensoumadden Saiyuki.", "topic": "Top/Arts/Comics/Manga/Fandom/Translations/Text", "url": "http://rielf.fcpages.com/"} +{"d:Title": "Nami's Space - Ribon Translations", "d:Description": "Sora Sora, Five, Baby Love, and Penguin Brothers character profiles, overviews, translations, links, and fan art.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://www.angelfire.com/ma3/mns/"} +{"d:Title": "Ribon Database", "d:Description": "Information, images, links, and manga-ka for titles in Ribon. Also summaries for Minto Na Bokura and Yuukan Kurabu as well as merchandise images.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://shamanic_lena.tripod.com/Ribon/"} +{"d:Title": "Yahoo Groups: Shoujo Manga List", "d:Description": "Unmoderated, public archives, email attachments allowed.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://groups.yahoo.com/group/shoujo_manga_list/"} +{"d:Title": "Paperthin Pleasures", "d:Description": "Bishounen images, variety of titles with images and descriptions, ISBN numbers, FAQ and links.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://www.crysania.com/paperthin/"} +{"d:Title": "Girls' Horror Comics", "d:Description": "Includes a magazine list and bibliographies for Takakazu Nagakubo, Chie Watari, and other artists.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://www.thirteens.net/~ghc/"} +{"d:Title": "Energetic Heartbeats", "d:Description": "Overview of shoujo in general, shoujo lingo, overviews of some series (some with image galleries), list of shoujo anime, list of Japanese shoujo manga publishers, links to manga/anime translator teams, and links to mailing lists.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://shoujo.tripod.com/"} +{"d:Title": "Shoujo Manga Home Page", "d:Description": "A cultural anthropologist's introduction to shoujo manga. Essays, manga history, links, and information.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://www.matt-thorn.com/"} +{"d:Title": "Shoujo Manga in the USA", "d:Description": "List of releases in the United States, companies, and FAQ.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://shoujo.wuv.org/"} +{"d:Title": "Hana to Yume Mailing List", "d:Description": "Discussions of current and past series. Archives and translations for members only.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://groups.yahoo.com/group/htyml/"} +{"d:Title": "Captured", "d:Description": "Shrine that promotes lesser-known titles such as MARS, Paradise Kiss, Pretear, and Fashion Boy Is Cool. Contains images, summaries, links and where to buy manga.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://mia-ceres.8m.net/"} +{"d:Title": "Beautiful Illusions", "d:Description": "Reviews, descriptions, and character profiles of many shoujo manga.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://beautifulillusions.tripod.com/"} +{"d:Title": "Manga Alley", "d:Description": "Image galleries of Card Captor Sakura, FFX, Chobits, Fushigi Yuugi, Sailor Moon, and Ah, My Goddess!", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://www.angelfire.com/anime5/mangaalley/index.html"} +{"d:Title": "Thiep Anime&Manga", "d:Description": "Free anime and manga e-cards from Candy Candy, Cardcaptor Sakura, Daa! Daa! Daa!, Fullmoon Wo Sagashite, Kamikaze Kaitou Jeanne, Time Stranger Kyoko, Marmalade Boy, and other series.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo", "url": "http://thiepmung.5u.com/"} +{"d:Title": "Amie: The Shojo Manga and Anime Webring", "d:Description": "Guidelines and HTML fragment.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo/Web_Rings", "url": "http://www.webring.org/hub?ring=amie&list"} +{"d:Title": "WebRing: KiMmIeZ' RiBoN", "d:Description": "Features sites dedicated to titles that run in Ribon.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo/Web_Rings", "url": "http://www.webring.org/hub/kimmiez_ribon"} +{"d:Title": "TamaKity's Anime Web Ring", "d:Description": "Sites based on all sorts of anime/japanamation.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo/Web_Rings", "url": "http://www.webring.org/hub?ring=nakayosi"} +{"d:Title": "The Shoujo Anime&Manga Webring", "d:Description": "Sites that deals with shoujo anime or manga.", "topic": "Top/Arts/Comics/Manga/Genres/Sh\u00f4jo/Web_Rings", "url": "http://www.webring.org/hub?ring=theshoujoanimema"} +{"d:Title": "Sharon Kinsella", "d:Description": "Essays on the manga industry and otaku culture in modern-day Japan.", "topic": "Top/Arts/Comics/Manga/News_and_Media", "url": "http://www.kinsellaresearch.com/"} +{"d:Title": "AnimeMania", "d:Description": "AnimeMania - Complete listing of every Japanese anime and manga that has been translated into English and is commercially available in the United States.", "topic": "Top/Arts/Comics/Manga/News_and_Media", "url": "http://animemania01.tripod.com/"} +{"d:Title": "PRISMS: The Ultimate Manga Guide", "d:Description": "A guide to over 1,500 manga authors and many thousands of manga series.", "priority": "1", "topic": "Top/Arts/Comics/Manga/News_and_Media", "url": "http://users.skynet.be/mangaguide/index.html"} +{"d:Title": "Manga Jouhou", "d:Description": "Announces scanlation releases, including bittorrent links. Also has news, reviews, a forum, and information on licensed manga.", "topic": "Top/Arts/Comics/Manga/News_and_Media", "url": "http://www.manganews.net/"} +{"d:Title": "Ponzu Manga Reviews", "d:Description": "A weblog featuring short, spoiler-free reviews of manga and manwha.", "topic": "Top/Arts/Comics/Manga/News_and_Media", "url": "http://ponzumanga.seesaa.net/"} +{"d:Title": "Adventures of Benji and Jojo", "d:Description": "Comedy. Two roommates get into adventures, like stealing celebrities and getting lost in Hollywood.", "topic": "Top/Arts/Comics/Manga/Online/A", "url": "http://www.angelfire.com/comics/benjijojo/"} +{"d:Title": "Addiction", "d:Description": "How far one will go for love? Shonen-ai story with character profiles, page scans, and links.", "topic": "Top/Arts/Comics/Manga/Online/A", "url": "http://vaiox00.tripod.com/"} +{"d:Title": "Ai no Sosei: Love Rebirth", "d:Description": "Shoujo-style manga by self-proclaimed \"caffeine-fueled looney\" Sakayume about the adventures of two girls who one day find themselves travelling the universe on a mission in the name of love.", "topic": "Top/Arts/Comics/Manga/Online/A", "url": "http://ai_no_sosei.tripod.com/loves_rebirth/"} +{"d:Title": "AceSquad.com", "d:Description": "Site features behind-the-scenes look, original art, excerpts and essays. Also includes shrine to Gackt with quizzes, scans, essays, and collective devoted to Berusaiyu no Bara/The Rose of Versailles by Riyoko Ikeda.", "topic": "Top/Arts/Comics/Manga/Online/A", "url": "http://www.acesquad.com/"} +{"d:Title": "Alien Dice", "d:Description": "An alien named Lexx is forced into a game of life or death in which he is the prize. Full-color daily manga.", "topic": "Top/Arts/Comics/Manga/Online/A", "url": "http://www.aliendice.com/"} +{"d:Title": "WirePop", "d:Description": "Online manga subscription site. Includes titles such as \"Good Cheese\", \"OniKimono\", and \"Steady Beat.\"", "topic": "Top/Arts/Comics/Manga/Online/Anthologies", "url": "http://www.wirepop.com/"} +{"d:Title": "0.7", "d:Description": "Online manga site with fantasy and sci fi manga such as \"Tenshi no Akuma\" and \"Dance of the Deathwatch Beetle,\" and doujinshi both original and for other sites.", "topic": "Top/Arts/Comics/Manga/Online/Anthologies", "url": "http://www.angelfire.com/comics/reitennana/"} +{"d:Title": "AnimEspresso", "d:Description": "Manga strips and artwork from David Barker and Mark Smith. Contains \"Dragon Waking\" and \"Medilab Alpha.\"", "topic": "Top/Arts/Comics/Manga/Online/Anthologies", "url": "http://www.animespresso.com/"} +{"d:Title": "Channel 13", "d:Description": "Contains multiple comics and illustrated stories along with galleries from the authors.", "topic": "Top/Arts/Comics/Manga/Online/Anthologies", "url": "http://crescens.xepher.net/"} +{"d:Title": "Blade Kitten", "d:Description": "Weekly sci-fi action strip detailing the adventures of bounty hunter Kit Ballard and her alien sidekick Skiffy. May feature cute catgirls, aliens, mecha and swordplay.", "topic": "Top/Arts/Comics/Manga/Online/B", "url": "http://www.bladekitten.com/"} +{"d:Title": "Beautiful Dreamer", "d:Description": "A boy holds ancient powers within him that threaten to awake and destroy the world. Contains mature themes and violence.", "topic": "Top/Arts/Comics/Manga/Online/B", "url": "http://www.angelfire.com/comics/dreamer0/index.html"} +{"d:Title": "Copy Cat Anma", "d:Description": "A collaborative manga project about a girl named Anma whose father was killed at Anime Expo and must now use her otaku powers to avenge his death.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://copycatanma.tripod.com/"} +{"d:Title": "Crystal Avalon", "d:Description": "Contains furry and fantasy manga in strip form with character descriptions, galleries, and fanart.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://www.steelphoenix.com/"} +{"d:Title": "Chronicle of a Drow Sorceress", "d:Description": "Full-color fantasy manga about the life of a young drow girl chosen by fate.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://www.drowtales.com/"} +{"d:Title": "Cabbit's Desk", "d:Description": "Large collection of completed Manga, comic strips and art by Meg Metzger.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://angelcabbit.tripod.com/"} +{"d:Title": "Cartoon Leap: Swordcerers Online", "d:Description": "About a warrior sent on a quest by a goddess in a headband. Also includes a forum and links.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://www.cartoonleap.com/"} +{"d:Title": "Cascade Failure", "d:Description": "A weekly comic. The manga-esque adventures of a group of students on a computer games technology course in Scotland.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://cascadefailure.comicgenesis.com/"} +{"d:Title": "Chibi Alex-chan's Manga", "d:Description": "Personal manga site featuring short comics and an ongoing story titled \"Child of the Pomegranate.\" Inspired by Greek myth.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://chibialex.comicgenesis.com/"} +{"d:Title": "Can You Keep a Secret?", "d:Description": "A shounen-ai comic. There's a new student at SMH, and Darren can't kick the feeling that he's seen him somewhere before.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://cykas.comicgenesis.com/"} +{"d:Title": "Crystal Avalon", "d:Description": "Fantasy manga in a world of gods and clans. Based on game elements developed at Phidar MUD.", "topic": "Top/Arts/Comics/Manga/Online/C", "url": "http://www.steelphoenix.com/projects/Crystal/"} +{"d:Title": "Dragon's Lair", "d:Description": "Home of \"Dragonesque,\" a manga-inspired fantasy comic in ink and watercolor.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://dragon_et.tripod.com/dragncomc.html"} +{"d:Title": "Darkbolt", "d:Description": "Character profiles, archive, creation history, images, and introduction.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://www.darkbolt.com/"} +{"d:Title": "Dub This!", "d:Description": "An online comic about the members of a college anime club.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://www.dubthis.net/"} +{"d:Title": "Dreams", "d:Description": "An outcast is sent to a corrupt future world. Original artwork and how to draw.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://tennkuno.tripod.com/"} +{"d:Title": "Drinking Peaches", "d:Description": "Online manga about a group of friends in the vein of Child's Toy.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://peaches.itgo.com/"} +{"d:Title": "Dokiri", "d:Description": "Post-Apocalyptic story about a girl who must protect a magic stone from her power hungry enemies.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://www.angelfire.com/anime4/dokiri/"} +{"d:Title": "Demon Pooka, the", "d:Description": "Two androgynous demons and their adventures. Character profiles, images, archive, forum, and links.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://demonpooka.comicgenesis.com/"} +{"d:Title": "Dive", "d:Description": "College manga about bishounen, gothic lolitas, and why you should never order zombies off the internet.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://dive.comicgenesis.com/"} +{"d:Title": "Deity Permit", "d:Description": "Rika gets attacked by the ocean and brought to an underwater hospital for an unknown illness.", "topic": "Top/Arts/Comics/Manga/Online/D", "url": "http://pele.comicgenesis.com/"} +{"d:Title": "Eternal Wishes", "d:Description": "Neopets fan manga. Also includes downloadable mp3s.", "topic": "Top/Arts/Comics/Manga/Online/E", "url": "http://www.angelfire.com/anime5/gmta/"} +{"d:Title": "Exploitation Now", "d:Description": "Humor-oriented manga-style comic about young adult life in a futuristic setting. Images, commentary, character profiles, and contact information.", "topic": "Top/Arts/Comics/Manga/Online/E", "url": "http://www.exploitationnow.com/"} +{"d:Title": "the Elf and I", "d:Description": "One girl and her convoluted interdimensional journeys.", "topic": "Top/Arts/Comics/Manga/Online/E", "url": "http://elfandi.comicgenesis.com/"} +{"d:Title": "Foxden", "d:Description": "Features BulletProof (angsty 21st-century mercenaries fight for their lives) and Fey Winds (the fantasy adventures of a half-fox swordswoman) in manga/webcomic form.", "topic": "Top/Arts/Comics/Manga/Online/F", "url": "http://kitsune.rydia.net/"} +{"d:Title": "Fera Speculum", "d:Description": "A girl, after losing her entire family, moves to England to live with distant relatives; there, she finds a key which unlocks a path to another world. Strip archive, character profiles, image gallery, guestbook and links.", "topic": "Top/Arts/Comics/Manga/Online/F", "url": "http://feraspeculum.comicgenesis.com/"} +{"d:Title": "First Impressions", "d:Description": "A comedy about Sammi, a teenage ninja, and Nathan, who is something else. Sammi wields katanas and Nathan collects comic books.", "topic": "Top/Arts/Comics/Manga/Online/F", "url": "http://firstimpressions.comicgenesis.com/"} +{"d:Title": "Fistful of Benevolence", "d:Description": "Fantasy. Humans with special abilities live in a world where science is no longer needed.", "topic": "Top/Arts/Comics/Manga/Online/F", "url": "http://fistfulofbenevolence.comicgenesis.com/"} +{"d:Title": "Fool's Gold", "d:Description": "Fantasy documents the travels of a wealthy, foolish royal boy on a quest for treasure and the girl given the responsibility looking out for him.", "topic": "Top/Arts/Comics/Manga/Online/F", "url": "http://foolsgold.comicgenesis.com/"} +{"d:Title": "Friday 4Koma", "d:Description": "Completely random manga in a 4koma format.", "topic": "Top/Arts/Comics/Manga/Online/F", "url": "http://omaketheater.com/comics/"} +{"d:Title": "Fera", "d:Description": "FERA is a steam-punk/fantasy webcomic set in the near future. I features the adventures of this rag tag group as they travel the lost planet of Mu in search of answers and find they must defend their world from a new threat. Updated every Monday.", "topic": "Top/Arts/Comics/Manga/Online/F", "url": "http://angelk.at/fera/"} +{"d:Title": "GenkiGirl", "d:Description": "Stories including An American Shoujo and Just Love.", "topic": "Top/Arts/Comics/Manga/Online/G", "url": "http://www.genkigirl.com/"} +{"d:Title": "Glitch", "d:Description": "Character profiles, links, message board, image gallery, and manga itself. Manga linked by page number.", "topic": "Top/Arts/Comics/Manga/Online/G", "url": "http://emouse69.tripod.com/"} +{"d:Title": "Gurukitty", "d:Description": "Contains online comics including the Fantasy Adventure \"Daqueran\". Also progress on Conflagration, the animated feature as well as some other short films.", "topic": "Top/Arts/Comics/Manga/Online/G", "url": "http://gurukitty.com/"} +{"d:Title": "G.I.Joe Manga", "d:Description": "The ongoing battle of G.I.Joe vs Cobra in manga style. Includes character profiles, ranks, and FAQ.", "topic": "Top/Arts/Comics/Manga/Online/G", "url": "http://g-i-joe-manga.freeservers.com/"} +{"d:Title": "Home Made Comix", "d:Description": "Legend of Solarwind is a sci-fi epic about survivors fighting over the Solarwind. Pages, commentary, unrelated art, and about the artist.", "topic": "Top/Arts/Comics/Manga/Online/H", "url": "http://hmcomix.tripod.com/"} +{"d:Title": "Henry Chiu's Art Gallery", "d:Description": "Elements of fantasy and science fiction in an online manga.", "topic": "Top/Arts/Comics/Manga/Online/H", "url": "http://www.art.net/Studios/Visual/Chiutech/hcartnet.html"} +{"d:Title": "Halflight Breaking", "d:Description": "After centuries in the mortal world, an embittered half-elf is called back to face the people and conflicts he left behind.", "topic": "Top/Arts/Comics/Manga/Online/H", "url": "http://halflight.comicgenesis.com/"} +{"d:Title": "MK Impromanga", "d:Description": "Currently featuring the impromanga Power Force with more planned in the future.", "topic": "Top/Arts/Comics/Manga/Online/Impromanga", "url": "http://www.neggie.com/comics/imanga.html"} +{"d:Title": "Biseinen.com", "d:Description": "Dedicated to the ever popular \"Beautiful man\" in Manga, it features shoujo, shounen-ai/yaoi and fan works.", "topic": "Top/Arts/Comics/Manga/Online/Impromanga", "url": "http://www.biseinen.com/"} +{"d:Title": "KumateWorks", "d:Description": "An independent manga studio publishing Fortune's Passage, Heaven and Earth, and Infinity Strain.", "topic": "Top/Arts/Comics/Manga/Online/K", "url": "http://kumateworks.com/"} +{"d:Title": "Kagerou: An Electric Manga", "d:Description": "A seemingly harmless fantasy of a boy transported to a fantasy and given a quest to save its princess. And then the stereotypical fantasy world stops following the rules right about the time a were-tree shows up.", "topic": "Top/Arts/Comics/Manga/Online/K", "url": "http://www.angelfire.com/electronic/Ishna/"} +{"d:Title": "Live After Dead", "d:Description": "Bishouen/yaoi manga about a group of friends who coexist as normal but happen to be dead.", "topic": "Top/Arts/Comics/Manga/Online/L", "url": "http://liveafterdead.50megs.com/lad.html"} +{"d:Title": "Loser Comix", "d:Description": "Featuring Day Zero, a Manga-influenced sci-fi action comic, and Degeneration Excerpt, based on the exploits of a group of nutty Southerners.", "topic": "Top/Arts/Comics/Manga/Online/L", "url": "http://www.degeneratepress.com/losercomix/"} +{"d:Title": "Luv Caps", "d:Description": "Shoujo. Remi buys a capsule toy with a fairy inside determined to fix her love life.", "topic": "Top/Arts/Comics/Manga/Online/L", "url": "http://capsule.comicgenesis.com/"} +{"d:Title": "MegaTokyo", "d:Description": "Two hardcore American gamers get stuck in Tokyo with no money, where they have to deal with ninjas, giant lizards, androids, the undead, schoolgirls and conscience operatives. Strip archive, story overview, character profiles, forums, links and IRC chat; \"rants\" from the comic's creators accompany each strip.", "topic": "Top/Arts/Comics/Manga/Online/M", "url": "http://www.megatokyo.com/"} +{"d:Title": "Misfile", "d:Description": "And angel accidentally changes a boy into a girl, misplaces two years of someone else's life, and then must help them cope.", "topic": "Top/Arts/Comics/Manga/Online/M", "url": "http://www.misfile.com/"} +{"d:Title": "The Midlands", "d:Description": "Technology is advancing at a rapid, almost unnatural pace in this fantasy world, but no one cares.", "topic": "Top/Arts/Comics/Manga/Online/M", "url": "http://midlands.comicgenesis.com/"} +{"d:Title": "Misadventures of the Drow Wizard", "d:Description": "As the title says, the misadventures of the shy young drow wizard by the name of Lym'ree Kil'rahel.", "topic": "Top/Arts/Comics/Manga/Online/M", "url": "http://motdw.comicgenesis.com/"} +{"d:Title": "Broken Saints", "d:Description": "Profiles of characters and creators; mp3 music, chapters, previews, interviews, and editorials. [Flash 5.0 required]", "topic": "Top/Arts/Comics/Manga/Online/Multimedia", "url": "http://www.brokensaints.com/"} +{"d:Title": "LadyStar", "d:Description": "Fantasy novel illustrated with javascript. Seven girls find magical treasures that give them the power to transform into Ajan Warriors.", "topic": "Top/Arts/Comics/Manga/Online/Multimedia", "url": "http://www.ladystar.net/"} +{"d:Title": "Nitrocosm Studios", "d:Description": "In the year 2214 A.D., a mysterious forced migration from Earth is commanded by the world government.", "topic": "Top/Arts/Comics/Manga/Online/N", "url": "http://www.nitrocosm.com/"} +{"d:Title": "Nummy Gumdrops", "d:Description": "High school shoujo manga. Profiles, gallery, and information about fantasy manga project \"Silent Aria.\"", "topic": "Top/Arts/Comics/Manga/Online/N", "url": "http://www.angelfire.com/anime/sunkissedrose/aria.html"} +{"d:Title": "Nummy KMP", "d:Description": "Silent Aria by Kathleen Lieu, with character profiles and artwork.", "topic": "Top/Arts/Comics/Manga/Online/N", "url": "http://www.angelfire.com/realm/nummyz/silent.html"} +{"d:Title": "Nekko and Joruba", "d:Description": "Science Fiction. Joruba Valesco losses her mother in a space accident and is then told she is moving with her father to Mars.", "topic": "Top/Arts/Comics/Manga/Online/N", "url": "http://nekkoandjoruba.comicgen.com/"} +{"d:Title": "Our Prophecy", "d:Description": "Action. A group of children are abducted to another planet to defeat Dragon and his Gang army.", "topic": "Top/Arts/Comics/Manga/Online/O", "url": "http://www.angelfire.com/comics/ourprophecy/"} +{"d:Title": "Pied-A-Terre", "d:Description": "Story about a Shinigami, who's sent to earth for a decade as punishment only to learn that heaven isn't all seeing as it is thought to be.", "topic": "Top/Arts/Comics/Manga/Online/P", "url": "http://takoyaki.comicgenesis.com/"} +{"d:Title": "The Rich One", "d:Description": "Story about good knights versus evil knights, called Zero the Comic.", "topic": "Top/Arts/Comics/Manga/Online/R", "url": "http://www.angelfire.com/comics/the-rich-one/"} +{"d:Title": "Ruination", "d:Description": "Lucas, weary of his hard life, decides to escape, with a couple of other suckers (i.e. friends). Features thieves, police officers, and PMSing mechanics.", "topic": "Top/Arts/Comics/Manga/Online/R", "url": "http://ruination.comicgenesis.com/"} +{"d:Title": "The Saviors", "d:Description": "Comedic story about a crime-fighting team. Also color images, character profiles, fan art, fan fiction, and contact information.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://members.tripod.com/mrcmanga/thesaviors/thesaviors.htm"} +{"d:Title": "Simple World", "d:Description": "Fantasy. Three children are the incarnations of Celtic Kings, and they must prevent a demon from being released from limbo.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://www.angelfire.com/comics/simpleworld/"} +{"d:Title": "Skyscraper Soup", "d:Description": "The Rift, a science fiction and fantasy comic. Also features original artwork.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://skyscrapersoup.com/"} +{"d:Title": "A Star Cross'd Destiny", "d:Description": "Furturistic fantasy. A group of outcasts fight to survive in a world that shuns them as freaks.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://starcrossd.net/"} +{"d:Title": "Saturnalia", "d:Description": "In the year 2999, an arrogant young cop struggles to keep his job amid a muddled relationship with his ex and a secret life that goes against all the principles of his job.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://saturnalia.comicgenesis.com/"} +{"d:Title": "Schizophrenics", "d:Description": "When fan-created characters get out of hand. Features creations inspired by Dragonball and Star Wars.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://schizophrenics.comicgenesis.com/"} +{"d:Title": "Shifters: The Beast Within", "d:Description": "Follows the adventures of a group of teenaged werebeasts as they become embroiled in the secret world of the Veil. Updated three times weekly.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://shifters.comicgen.com/"} +{"d:Title": "Squadron 509", "d:Description": "A destructive manga with bombs, addiction, and other strange quirks centering around an oddball cast.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://squadron509.comicgenesis.com/"} +{"d:Title": "Stoic", "d:Description": "A teenager's struggle with life reveal to him what it means to be truly human.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://stoic.comicgenesis.com/"} +{"d:Title": "Swift Dagger - Online Comic", "d:Description": "Original, online comic with manga based style that centers around angelic, demonic, and medieval topics. Yaoi warning.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://swiftdagger.comicgenesis.com/"} +{"d:Title": "Swirly Mark Yume", "d:Description": "Fantasy comic about how a prophet predicts the end, but only his son believes, and goes on a quest to save the world.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://swirlymark.comicgenesis.com/"} +{"d:Title": "Spork Rivers", "d:Description": "Fantasy-themed comic about a dull town that becomes over-run with unusual creatures. Updated Sundays and Wednesdays.", "topic": "Top/Arts/Comics/Manga/Online/S", "url": "http://sporkrivers.comicgenesis.com/"} +{"d:Title": "Terminal", "d:Description": "Fully colored comic about an NSA agent with a mysterious background who must kill the mother of his 8-year-old son.", "topic": "Top/Arts/Comics/Manga/Online/T", "url": "http://psychobob.xepher.net/terminal/"} +{"d:Title": "Tiger Punch", "d:Description": "The story of an orphan punk, who finds his name on the death list of the Enomoto family.", "topic": "Top/Arts/Comics/Manga/Online/T", "url": "http://tigerpunch.comicgenesis.com/"} +{"d:Title": "Taiwanimation Online", "d:Description": "Sci-fi and historical manga. Also includes a humorous weekly comic strip. [English and Chinese]", "topic": "Top/Arts/Comics/Manga/Online/T", "url": "http://taiwanimation.comicgenesis.com/splash.html"} +{"d:Title": "Target!", "d:Description": "Online manga about three boys and their unknown heritage coming back to haunt them through various 'target' events. Does the world need to be saved, or them? Contains shounen ai.", "topic": "Top/Arts/Comics/Manga/Online/T", "url": "http://target.comicgenesis.com/"} +{"d:Title": "Tsunami Channel", "d:Description": "An unnamed CMU computer science student spends his days dealing with his android \"sister\", strange house-mates, an arranged marriage, a catgirl and other weirdness. Strip archive, guest art, forum and links.", "topic": "Top/Arts/Comics/Manga/Online/T", "url": "http://tsunamichan.comicgenesis.com/"} +{"d:Title": "Uber Cyber Cats", "d:Description": "Strip about engineers trying to get through life and meet the next release date. Archive, commentary, and links.", "topic": "Top/Arts/Comics/Manga/Online/U", "url": "http://www.ubercybercats.com/"} +{"d:Title": "Van von Hunter", "d:Description": "A mighty hunter of evil and his nameless sidekick face off against agreeable vampires and foie-gras-eating zombie hordes.", "topic": "Top/Arts/Comics/Manga/Online/V", "url": "http://www.vanvonhunter.com/"} +{"d:Title": "Weekly Wonton", "d:Description": "Humor strip titled, \"Hello my name is Pie.\"", "topic": "Top/Arts/Comics/Manga/Online/W", "url": "http://www.angelfire.com/mb2/weeklywonton/"} +{"d:Title": "Wait For Me", "d:Description": "School girl shoujo manga. Hannah's not the most stand-out girl, but all of a sudden she has two guys fighting over her.", "topic": "Top/Arts/Comics/Manga/Online/W", "url": "http://waitforme.comicgenesis.com/"} +{"d:Title": "Won Ton Hammer", "d:Description": "A homely little sci-fi comic series about two friends in the police force, set tongue firmly in cheek.", "topic": "Top/Arts/Comics/Manga/Online/W", "url": "http://wonton.comicgenesis.com/"} +{"d:Title": "Yirkoon", "d:Description": "Manga stories as well as original and fan art.", "topic": "Top/Arts/Comics/Manga/Online/Y", "url": "http://yirkoon.free.fr/"} +{"d:Title": "YK Anime", "d:Description": "Anime/manga style webcomic about a group of teenagers studying at Llihnrothian International Academy.", "topic": "Top/Arts/Comics/Manga/Online/Y", "url": "http://ykanime.comicgenesis.com/"} +{"d:Title": "Viz Communications", "d:Description": "Publishes anime and manga in the USA. Titles include Ranma \u00bd, Shonen Jump, Animerica Extra, Dragon Ball, and Pok\u00e9mon.", "topic": "Top/Arts/Comics/Manga/Publishers", "url": "http://www.viz.com/"} +{"d:Title": "TokyoPop", "d:Description": "Publishes anime and manga in the US. Titles include Card Captor Sakura, Cowboy Bebop and GTO.", "topic": "Top/Arts/Comics/Manga/Publishers", "url": "http://www.tokyopop.com/"} +{"d:Title": "Xuan Xuan", "d:Description": "Australian manga anthology. Ordering information, submission guidelines, FAQ.", "topic": "Top/Arts/Comics/Manga/Publishers", "url": "http://www.iinet.net.au/~chandler/XuanXuan"} +{"d:Title": "Wirepop", "d:Description": "Dedicated to publishing creator-owned comics, distributed through the Internet and in print.", "topic": "Top/Arts/Comics/Manga/Publishers", "url": "http://www.wirepop.com/"} +{"d:Title": "Sazan Eyes - 3x3 Eyes", "d:Description": "Small image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/3/3\u00d73_Eyes", "url": "http://www.angelfire.com/anime/mangatemple/se.html"} +{"d:Title": "Animetric.com: A, A Prime", "d:Description": "Synopsis, review, sample pages and rating.", "topic": "Top/Arts/Comics/Manga/Titles/A/A,_A'", "url": "http://www.animetric.com/abc/aaprime.html"} +{"d:Title": "The Comics Get Serious: Adolf", "d:Description": "Synopsis and review.", "topic": "Top/Arts/Comics/Manga/Titles/A/Adolf", "url": "http://www.rationalmagic.com/Comics/Adolf.html"} +{"d:Title": "Peorth's Aa! Megami Sama Shrine", "d:Description": "Shrine to Peorth with profile, image gallery, and links.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ah_My_Goddess", "url": "http://members.tripod.com/~Peorth_Sama/"} +{"d:Title": "Anime News Network - Aishiteruze Baby (manga)", "d:Description": "General information about the series.", "topic": "Top/Arts/Comics/Manga/Titles/A/Aishiteruze_Baby", "url": "http://www.animenewsnetwork.com/encyclopedia/manga.php?id=3745"} +{"d:Title": "Dragon Voice Scanlations: Aishiteruze Baby", "d:Description": "Review.", "topic": "Top/Arts/Comics/Manga/Titles/A/Aishiteruze_Baby", "url": "http://dragonvoice.org/dv_aibaby_review.html"} +{"d:Title": "Emily's Random Shoujo Page: Aisuru Hito", "d:Description": "Summary, review, and images.", "topic": "Top/Arts/Comics/Manga/Titles/A/Aisuru_Hito", "url": "http://niko-niko.net/random/aisuru.html"} +{"d:Title": "Anime News Network - Review", "d:Description": "Review and general information about the series.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ai_Yori_Aoshi", "url": "http://www.animenewsnetwork.com/reviews/display.php?id=609"} +{"d:Title": "Akuma na Eros", "d:Description": "Group discussion about the manga.", "topic": "Top/Arts/Comics/Manga/Titles/A/Akuma_na_Eros", "url": "http://groups.yahoo.com/group/akumanaeros/"} +{"d:Title": "Bull's Eye", "d:Description": "A Wakamiya Kyou shrine with images, fan fiction, downloads, miscellaneous, and character information.", "topic": "Top/Arts/Comics/Manga/Titles/A/Alice_19th", "url": "http://kyou_senpai.tripod.com/index2.html"} +{"d:Title": "Casting the Runes", "d:Description": "A catalogue of the Lotis Words featured in the manga.", "topic": "Top/Arts/Comics/Manga/Titles/A/Alice_19th", "url": "http://www.flowerstorm.net/disa/Site-Alice19th/A19-main.html"} +{"d:Title": "Yahoo! Groups: Alice_19th", "d:Description": "A mailing list for the series.", "topic": "Top/Arts/Comics/Manga/Titles/A/Alice_19th", "url": "http://groups.yahoo.com/group/Alice_19th/"} +{"d:Title": "Invitation", "d:Description": "Series information, image gallery, character profiles, and text translations.", "topic": "Top/Arts/Comics/Manga/Titles/A/Alichino", "url": "http://www.oldcrows.net/~sizer/alichino/"} +{"d:Title": "Whisper of a Demon", "d:Description": "Image gallery, story summary, Winamp and ICQ skins, and wallpaper. [English and Italian]", "topic": "Top/Arts/Comics/Manga/Titles/A/Alichino", "url": "http://digilander.libero.it/demonalichino/"} +{"d:Title": "Anata to Scandal", "d:Description": "Summary, images, and information.", "topic": "Top/Arts/Comics/Manga/Titles/A/Anata_to_Scandal", "url": "http://niko-niko.net/random/scandal.html"} +{"d:Title": "Angelic Layer Gallery", "d:Description": "Small manga image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/A/Angelic_Layer", "url": "http://members.tripod.com/~clamp1/img-angelic.htm"} +{"d:Title": "Yahoo! Groups: Shioul", "d:Description": "Mailing list for the discussion of the series.", "topic": "Top/Arts/Comics/Manga/Titles/A/Angel_Sanctuary", "url": "http://groups.yahoo.com/group/shioul/"} +{"d:Title": "Speech about Anpanman", "d:Description": "Real Audio clip, photographs, and links.", "topic": "Top/Arts/Comics/Manga/Titles/A/Anpanman", "url": "http://ha5.seikyou.ne.jp/home/Ayaka.Hirose/anpan/"} +{"d:Title": "Ao no Fuuin", "d:Description": "Synopsis and review.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ao_no_Fuuin", "url": "http://www.mit.edu/people/rei/MANGA/Ao.html"} +{"d:Title": "Doki Doki Appare Jipangu", "d:Description": "Synopsis, characters, gallery, and links.", "topic": "Top/Arts/Comics/Manga/Titles/A/Appare_Jipangu", "url": "http://yuffie.20m.com/appare.htm"} +{"d:Title": "Anime News Network - Appleseed (manga)", "d:Description": "General information about the series.", "topic": "Top/Arts/Comics/Manga/Titles/A/Appleseed", "url": "http://www.animenewsnetwork.com/encyclopedia/manga.php?id=2414"} +{"d:Title": "Ashita no Tamago", "d:Description": "Manga information, summary, and review.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ashita_no_Tamago", "url": "http://niko-niko.net/random/tamago.html"} +{"d:Title": "Ayakashi Hime Kurenai!", "d:Description": "Manga information, summary, and review.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ayakashi_Hime_Kurenai", "url": "http://niko-niko.net/random/kurenai.html"} +{"d:Title": "Ceres Celestial Legend", "d:Description": "Background, character profiles, images, legend information, and links.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ayashi_no_Ceres", "url": "http://www.angelfire.com/my/celestiallegend/"} +{"d:Title": "Ayachan's Ayashi no Ceres Kingdom", "d:Description": "Manga scans, images, music, character profiles, fan art, summaries, quizzes, and links.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ayashi_no_Ceres", "url": "http://www.angelfire.com/anime/ayachan/"} +{"d:Title": "Yahoo! Groups: Sabrina Tech's Ayashi no Ceres club", "d:Description": "Mailing list for fans of the series and of Yu Watase.", "topic": "Top/Arts/Comics/Manga/Titles/A/Ayashi_no_Ceres", "url": "http://groups.yahoo.com/group/sabrinatechsayashinoceres/"} +{"d:Title": "Azumanga-Toons Homepage", "d:Description": "Translated scans of the manga.", "topic": "Top/Arts/Comics/Manga/Titles/A/Azumanga_Daioh", "url": "http://www.nnanime.com/azumanga/"} +{"d:Title": "Emily's Random Shoujo Manga Page: B-Shock", "d:Description": "Summary, review, and manga information.", "topic": "Top/Arts/Comics/Manga/Titles/B/B-Shock", "url": "http://niko-niko.net/random/bshock.html"} +{"d:Title": "The Manga Obsession: Bakuretsu Hunters", "d:Description": "Review of the manga with plot summary, ISBN numbers, and cover scans.", "topic": "Top/Arts/Comics/Manga/Titles/B/Bakuretsu_Hunters", "url": "http://doushi-sumeragi.net/review/bhunter.html"} +{"d:Title": "Black Moon: Barefoot Gen, the Anti-War Anime&Manga.", "d:Description": "Overview of the manga, with images and commentary.", "topic": "Top/Arts/Comics/Manga/Titles/B/Barefoot_Gen", "url": "http://www.theblackmoon.com/BarefootGen/bomb.html"} +{"d:Title": "Battle Angel Alita", "d:Description": "An introduction to the series, title list and links.", "topic": "Top/Arts/Comics/Manga/Titles/B/Battle_Angel_Alita", "url": "http://www.netjaunt.com/manga/BAAhome.html"} +{"d:Title": "Secret Dreams of a Fallen Angel", "d:Description": "Images, character information and analysis.", "topic": "Top/Arts/Comics/Manga/Titles/B/Battle_Angel_Alita", "url": "http://members.tripod.com/~Gally236/index.html"} +{"d:Title": "Gunnm Arena", "d:Description": "Characters, game information, creator profile, gallery, and links.", "topic": "Top/Arts/Comics/Manga/Titles/B/Battle_Angel_Alita", "url": "http://users.skynet.be/fa925267/gunnm/html/"} +{"d:Title": "Hugor's Japanimation Site", "d:Description": "Wallpaper, images, and links. [Shockwave]", "topic": "Top/Arts/Comics/Manga/Titles/B/Battle_Angel_Alita/Image_Galleries", "url": "http://mypage.direct.ca/h/hrussell/"} +{"d:Title": "Gunnm - Battle Angel Alita", "d:Description": "Small image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/B/Battle_Angel_Alita/Image_Galleries", "url": "http://www.angelfire.com/anime/mangatemple/baa.html"} +{"d:Title": "Gunnm WebRing", "d:Description": "How to join, and index of sites.", "topic": "Top/Arts/Comics/Manga/Titles/B/Battle_Angel_Alita/Web_Rings", "url": "http://www.webring.org/hub?ring=gunnm"} +{"d:Title": "Yong's Berserk Page", "d:Description": "Character guide, manga translations, anime episode guide, images, multimedia, and video game information.", "topic": "Top/Arts/Comics/Manga/Titles/B/Berserk", "url": "http://www.angelfire.com/anime4/berserk0/"} +{"d:Title": "Emily's Random Shoujo Page: Bibide Babide Love", "d:Description": "Review, summary, and manga information.", "topic": "Top/Arts/Comics/Manga/Titles/B/Bibide_Babide_Love", "url": "http://niko-niko.net/random/bibide.html"} +{"d:Title": "My Blade of the Immortal and Anime Page", "d:Description": "Character information and a few scans.", "topic": "Top/Arts/Comics/Manga/Titles/B/Blade_of_the_Immortal", "url": "http://members.tripod.com/~BLINK52/index-2.html"} +{"d:Title": "Blade of the Immortal", "d:Description": "Small gallery.", "topic": "Top/Arts/Comics/Manga/Titles/B/Blade_of_the_Immortal", "url": "http://www.angelfire.com/anime/mangatemple/bi.html"} +{"d:Title": "Studio KRuM's AniManga Summary and Translation: BLAME!", "d:Description": "Text translations and cover scans.", "topic": "Top/Arts/Comics/Manga/Titles/B/BLAME", "url": "http://www.studiokrum.com/blame/blsum.html"} +{"d:Title": "Soul Society", "d:Description": "A LiveJournal community dedicated to manga discussion.", "topic": "Top/Arts/Comics/Manga/Titles/B/Bleach", "url": "http://soul-society.livejournal.com/"} +{"d:Title": "Kurosaki Clinic", "d:Description": "A LiveJournal community for fan works of the series.", "topic": "Top/Arts/Comics/Manga/Titles/B/Bleach", "url": "http://kurosaki-clinic.livejournal.com/"} +{"d:Title": "Puffy's Reviews: Manga: Boys Next Door", "d:Description": "Summary and review of the manga.", "topic": "Top/Arts/Comics/Manga/Titles/B/Boys_Next_Door", "url": "http://www.angelfire.com/scary/puffy/0mangaboys.html"} +{"d:Title": "Yahoo! Groups: Hana_Yori_Dango_Fans_Club", "d:Description": "Discussions of the series with public archives, and image galleries and music available for members.", "topic": "Top/Arts/Comics/Manga/Titles/B/Boys_Over_Flowers", "url": "http://groups.yahoo.com/group/Hana_Yori_Dango_Fans_Club/"} +{"d:Title": "Recollect: The Hana Yori Dango Project", "d:Description": "Site info, complete translations, wallpapers, icons, gallery, and information on spinoff products(anime, movie, live-action). Also includes plot, character and title information.", "topic": "Top/Arts/Comics/Manga/Titles/B/Boys_Over_Flowers", "url": "http://hyd.owarinai.net/"} +{"d:Title": "Brother, Bear Brother", "d:Description": "Introduction, character profiles, images, and links.", "topic": "Top/Arts/Comics/Manga/Titles/B/Brother,_Dear_Brother", "url": "http://ihank.tripod.com/brother/index.html"} +{"d:Title": "Oniisama E", "d:Description": "Character profiles, manga and anime information, image gallery, music and sounds and links. [English and Italian]", "topic": "Top/Arts/Comics/Manga/Titles/B/Brother,_Dear_Brother", "url": "http://aoisora.tripod.com/main.html"} +{"d:Title": "Tomoko Taniguchi's \"Call Me Princess\"", "d:Description": "Small gallery.", "topic": "Top/Arts/Comics/Manga/Titles/C/Call_Me_Princess", "url": "http://www.angelfire.com/anime/mangatemple/cmp.html"} +{"d:Title": "The Manga Obsession: The Candidate for Goddess", "d:Description": "Summary and review.", "topic": "Top/Arts/Comics/Manga/Titles/C/Candidate_for_Goddess,_The", "url": "http://www.doushi-sumeragi.net/review/cand.html"} +{"d:Title": "Sakura Blossoms", "d:Description": "Manga scans in color, character profiles, MIDI files, and links.", "topic": "Top/Arts/Comics/Manga/Titles/C/Card_Captor_Sakura", "url": "http://www.angelfire.com/comics/sakurablossoms/"} +{"d:Title": "The Cherry Project", "d:Description": "Character guide and image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/C/Cherry_Project,_The", "url": "http://www.angelfire.com/ak5/cherry/"} +{"d:Title": "Yahoo! Groups: Chobits Lovers", "d:Description": "Discussions of the series, with archives, image gallery, and downloads for members.", "topic": "Top/Arts/Comics/Manga/Titles/C/Chobits", "url": "http://groups.yahoo.com/group/chobitslovers/"} +{"d:Title": "Chobits Unite", "d:Description": "Web ring with links and HTML fragment.", "topic": "Top/Arts/Comics/Manga/Titles/C/Chobits", "url": "http://www.webring.org/hub?ring=chobitsunite"} +{"d:Title": "Devil Inside", "d:Description": "Introduction, characters, glossary, information about acquiring the manga, review, chapters, author profile, anime and manga merchandise, anime review, episodes, voice actors, miscellaneous information, and articles.", "topic": "Top/Arts/Comics/Manga/Titles/C/Chrno_Crusade", "url": "http://www.chrno-crusade.com/"} +{"d:Title": "Artbomb.net: Cinderella", "d:Description": "Commentary and preview.", "topic": "Top/Arts/Comics/Manga/Titles/C/Cinderalla", "url": "http://www.artbomb.net/detail.jsp?gid=18&tid=290"} +{"d:Title": "Clamp School Archives", "d:Description": "Character profiles, school information, cases, and links.", "topic": "Top/Arts/Comics/Manga/Titles/C/Clamp_Campus_Detectives", "url": "http://www.angelfire.com/de2/clampschool/index.html"} +{"d:Title": "If You Find a Four Leaf Clover", "d:Description": "Introduction, images and links.", "topic": "Top/Arts/Comics/Manga/Titles/C/Clover", "url": "http://members.tripod.com/~asialeigh/clover.html"} +{"d:Title": "Shinnosuke - Shin no Ke: Crayon Shinchan and Japanese Society", "d:Description": "Essay comparing characters and situations in the series to reality.", "topic": "Top/Arts/Comics/Manga/Titles/C/Crayon_Shin-chan", "url": "http://nora.heathens.co.nz/shinchan.html"} +{"d:Title": "Cyber Idol Mink: The Unofficial Website", "d:Description": "Introduction, images, character profiles, series information, mangaka interview, and links.", "topic": "Top/Arts/Comics/Manga/Titles/C/Cybor_Idol_Mink", "url": "http://members.tripod.com/~IdolMink/"} +{"d:Title": "Emily's Random Shoujo Page: DNAngel", "d:Description": "Summary and review.", "topic": "Top/Arts/Comics/Manga/Titles/D/D.N._Angel", "url": "http://niko-niko.net/random/dnangel.html"} +{"d:Title": "Kaitou Keep", "d:Description": "Gallery, series and creator information, characters, lyrics, and links.", "topic": "Top/Arts/Comics/Manga/Titles/D/D.N._Angel", "url": "http://www.angelfire.com/anime5/legnand/"} +{"d:Title": "Natsu no Yoru no Yume", "d:Description": "Characters, volume 1 summary, icons, and links.", "topic": "Top/Arts/Comics/Manga/Titles/D/D.N._Angel", "url": "http://www.angelfire.com/moon/dnangel/"} +{"d:Title": "Heaven Sent", "d:Description": "Introduction to the manga, character profiles, text translations, fan art, buying information, and links.", "topic": "Top/Arts/Comics/Manga/Titles/D/D.N._Angel", "url": "http://coconut.milkteahouse.com/dnangel/"} +{"d:Title": "Yahoo! Groups : Daa Daa Daa", "d:Description": "Mailing list. Open membership, unmoderated, archive for members only, email attachments permitted.", "topic": "Top/Arts/Comics/Manga/Titles/D/Daa_Daa_Daa", "url": "http://groups.yahoo.com/group/daa_daa_daa/"} +{"d:Title": "Emily's Random Shoujo Page: Darling wa Namamono Nitsuki", "d:Description": "Summary, review, and manga information.", "topic": "Top/Arts/Comics/Manga/Titles/D/Darling_wa_Namamono_ni_Tsuki", "url": "http://niko-niko.net/random/nama.html"} +{"d:Title": "Darling wa Namamono Nitsuki", "d:Description": "Basic plot, images, and links.", "topic": "Top/Arts/Comics/Manga/Titles/D/Darling_wa_Namamono_ni_Tsuki", "url": "http://www.flowerstorm.net/yuki/darling.html"} +{"d:Title": "The Realm of Delirium", "d:Description": "A fan site centered around Delirium with profile, siblings, gallery, and fan art.", "topic": "Top/Arts/Comics/Manga/Titles/D/Death_At_Death's_Door", "url": "http://realmofdelirium.tripod.com/"} +{"d:Title": "Ororon the Devil", "d:Description": "Translation of the second volume of the manga.", "topic": "Top/Arts/Comics/Manga/Titles/D/Demon_Ororon,_The", "url": "http://ein.itgo.com/ororon2_157-192.html"} +{"d:Title": "The Keyhole", "d:Description": "\"Scanslations\", cover gallery, and links.", "topic": "Top/Arts/Comics/Manga/Titles/D/Detective_Conan", "url": "http://www.angelfire.com/trek/thekeyhole/"} +{"d:Title": "Dream Forest", "d:Description": "Story synopsis, characters, gadget information, picture gallery, mp3s, video clip, graphics, and games.", "topic": "Top/Arts/Comics/Manga/Titles/D/Detective_Conan", "url": "http://hem.bredband.net/dreamforest/"} +{"d:Title": "Emily's Random Shoujo Page: Akuma de Sourou", "d:Description": "Introduction, images, and ISBN.", "topic": "Top/Arts/Comics/Manga/Titles/D/Devil_Does_Exist,_The", "url": "http://niko-niko.net/random/akuma.html"} +{"d:Title": "Akuma De Sourou Translation", "d:Description": "A partial text translation of the comic.", "topic": "Top/Arts/Comics/Manga/Titles/D/Devil_Does_Exist,_The", "url": "http://www.hazydaze.net/manga/trans/akudesou.shtml"} +{"d:Title": "Dragon Voice", "d:Description": "Summary, information, and review of the manga.", "topic": "Top/Arts/Comics/Manga/Titles/D/Dragon_Voice", "url": "http://www.niko-niko.net/random/dragonv.html"} +{"d:Title": "The San San's Page", "d:Description": "Cover scans and other images.", "topic": "Top/Arts/Comics/Manga/Titles/F/Fake", "url": "http://members.tripod.com/~sansanx/index.htm"} +{"d:Title": "Fake", "d:Description": "Character information, FAQ, synopses and images.", "topic": "Top/Arts/Comics/Manga/Titles/F/Fake", "url": "http://www.crysania.com/paperthin/fake/"} +{"d:Title": "Fake Mailing List", "d:Description": "Discussions of the series, with archives, scans, and fan art. Restricted membership.", "topic": "Top/Arts/Comics/Manga/Titles/F/Fake", "url": "http://groups.yahoo.com/group/FAKEmailinglist/"} +{"d:Title": "Oshare Kozou ha Hanamaru Mini Shrine", "d:Description": "Introduction, character profiles and image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/F/Fashion_Boy_Is_Cool", "url": "http://www.angelfire.com/anime/Kakumei/FashionBoy.html"} +{"d:Title": "Five Star Stories Fanpage", "d:Description": "Introduction, explanation of the Fatimas, chronology, poll, Colus-Hagooda War, and a history of mecha.", "topic": "Top/Arts/Comics/Manga/Titles/F/Five_Star_Stories", "url": "http://www.angelfire.com/anime3/mechamanga/"} +{"d:Title": "Emily's Hanazakari no Kimitachi e Page", "d:Description": "Story line, character profiles, manga information, creator information, images, merchandise, and links.", "topic": "Top/Arts/Comics/Manga/Titles/F/For_You_in_Full_Blossom", "url": "http://niko-niko.net/hanakimi/"} +{"d:Title": "Yahoo! Groups: Hana Kimi", "d:Description": "Discussions of the series, with archives and translations available to members.", "topic": "Top/Arts/Comics/Manga/Titles/F/For_You_in_Full_Blossom", "url": "http://groups.yahoo.com/group/hana_kimi/"} +{"d:Title": "From Eroica With Love", "d:Description": "Fan fiction, story summary, wardrobe images, links, and summaries of other works by Yasuko Aoike.", "topic": "Top/Arts/Comics/Manga/Titles/F/From_Eroica_With_Love", "url": "http://www.eroicafans.org/"} +{"d:Title": "Emily's FunFun Factory Page", "d:Description": "Images, story, character descriptions, manga information, and links.", "topic": "Top/Arts/Comics/Manga/Titles/F/Fun_Fun_Factory", "url": "http://www.piffleprincess.com/fun/index2.html"} +{"d:Title": "Fushigi Yugi Tribute Page", "d:Description": "Introduction and characters.", "topic": "Top/Arts/Comics/Manga/Titles/F/Fushigi_Yuugi", "url": "http://ajaxbreaker.worldbreak.com/fy.html"} +{"d:Title": "Romance of Fushigi Yuugi", "d:Description": "Synopsis of the romantic couples of Fushigi Yuugi. Character statistics, images, and links.", "topic": "Top/Arts/Comics/Manga/Titles/F/Fushigi_Yuugi", "url": "http://suzaku.pandorinadesign.com/"} +{"d:Title": "Futaba-kun Change Homepage", "d:Description": "Includes complete manga translations, character profiles, images.", "priority": "1", "topic": "Top/Arts/Comics/Manga/Titles/F/Futaba-kun_Change", "url": "http://otakuworld.com/fkc/"} +{"d:Title": "The Ultimate Manga Guide: Aro Hiroshi Page", "d:Description": "Biography for Aro Hiroshi, the manga author of Futaba-kun Change, with a bibliography of his works.", "topic": "Top/Arts/Comics/Manga/Titles/F/Futaba-kun_Change", "url": "http://users.skynet.be/mangaguide/au151.html"} +{"d:Title": "Maya no Garasu no Kamen", "d:Description": "General information, artist's profile, fan art, synopses, galleries and links.", "topic": "Top/Arts/Comics/Manga/Titles/G/Garasu_no_Kamen", "url": "http://www.dreamsaddict.com/GarasuNoKamen/"} +{"d:Title": "Gon Galore", "d:Description": "Introduction, scanned pages, and a discussion board.", "topic": "Top/Arts/Comics/Manga/Titles/G/Gon", "url": "http://madcool.com/mc/comics/gon/"} +{"d:Title": "Great Teacher Onizuka [GTO]", "d:Description": "Features manga summaries, translated anime scripts, characters list and anime 'seiyuu' (voice actors/actresses) index.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka", "url": "http://www.angelfire.com/geek/tetrisnomiko/gto/gto.html"} +{"d:Title": "Another Great Teacher Onizuka Fansite", "d:Description": "Story introduction, manga summaries, anime episode list and music, drama music, cast and screenshots, character profiles, manga scans, links, polls, quotes, reviews, and forum.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka", "url": "http://www.miyabiaizawa.com/"} +{"d:Title": "Great Website Onizuka", "d:Description": "Cover gallery, volume summaries, introduction to anime and live action versions, mailing list, and buying information.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka", "url": "http://members.tripod.com/dragonfiles8/"} +{"d:Title": "Great Teacher Onizuka (GTO) at Wikia.com", "d:Description": "The wikia.com's front page for the Great Teacher Onizuka (GTO) series.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka", "url": "http://great-teacher-onizuka-gto.wikia.com/wiki/Great_Teacher_Onizuka_(GTO)_Wiki"} +{"d:Title": "The Manga Obsession's GTO review", "d:Description": "A short review of Great Teacher Onizuka Tokyopop manga.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka", "url": "http://www.doushi-sumeragi.net/review/gto.htm"} +{"d:Title": "Onibaku Banzai", "d:Description": "Great Teacher Onizuka anime/manga/drama site that includes mp3s, screenshots, scans, and descriptions.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka", "url": "http://www.onibakubanzai.com/"} +{"d:Title": "@Anime: GTO Manga", "d:Description": "Introduction, summary, review, and images from the manga.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka", "url": "http://www.atanime.com/v4i1/08_gto.php"} +{"d:Title": "Great Teacher Onizuka Manga [MangaReader]", "d:Description": "Read the whole series in English for free online via Internet browsers at MangaReader website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://www.mangareader.net/114/great-teacher-onizuka.html"} +{"d:Title": "Great Teacher Onizuka Manga [MangaFox]", "d:Description": "Read the whole series in English for free online via Internet browsers at Mangafox website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://mangafox.me/manga/great_teacher_onizuka/"} +{"d:Title": "Great Teacher Onizuka Manga [MangaHere]", "d:Description": "Read the whole series in English for free online via Internet browsers at MangaHere website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://www.mangahere.co/manga/great_teacher_onizuka/"} +{"d:Title": "Great Teacher Onizuka Manga [MangaPark]", "d:Description": "Read the whole series in English for free online via Internet browsers at MangaPark website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://mangapark.me/manga/Great-Teacher-Onizuka"} +{"d:Title": "Great Teacher Onizuka Manga [MangaTrend]", "d:Description": "Read the whole series in English for free online via Internet browsers at Mangatrend website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://www.mangatrend.net/great-teacher-onizuka/"} +{"d:Title": "Great Teacher Onizuka Manga [MangaGo]", "d:Description": "Read the whole series in English for free online via Internet browsers at MangaGo website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://www.mangago.me/read-manga/great_teacher_onizuka/"} +{"d:Title": "Great Teacher Onizuka Manga [MangaPanda]", "d:Description": "Read the whole series in English for free online via Internet browsers at MangaPanda website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://www.mangapanda.com/great-teacher-onizuka"} +{"d:Title": "GTO Paradise Lost Manga [MangaPanda]", "d:Description": "Read the whole series in English for free online via Internet browsers at MangaPanda website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://www.mangapanda.com/gto-paradise-lost"} +{"d:Title": "GTO Paradise Lost Manga [Mangafox]", "d:Description": "Read the whole series in English for free online via Internet browsers at Mangafox website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://mangafox.me/manga/gto_paradise_lost/"} +{"d:Title": "GTO Paradise Lost Manga [MangaGo]", "d:Description": "Read the whole series in English for free online via Internet browsers at MangaGo website.", "topic": "Top/Arts/Comics/Manga/Titles/G/Great_Teacher_Onizuka/Online_Reading", "url": "http://old.mangago.com/read-manga/gto_paradise_lost/"} +{"d:Title": "Unfinished Concerto", "d:Description": "Doujinshi scans, fan art, fan fiction, cosplay images, and shrine to Trom.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hameln_no_Violin_Hiki", "url": "http://hameln.oshinchan.com/"} +{"d:Title": "Yahoo Groups: Hikaru_No_Go", "d:Description": "Mailing list with discussions of the series and image galleries. Public archives.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hikaru_no_Go", "url": "http://groups.yahoo.com/group/Hikaru_No_Go/"} +{"d:Title": "Hikago Translation", "d:Description": "Text translations for several chapters.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hikaru_no_Go", "url": "http://www.angelfire.com/ab7/mugoitenshi/HikagoMain.htm"} +{"d:Title": "Taikyoku Shiou ze", "d:Description": "Character profiles, text translations, and image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hikaru_no_Go", "url": "http://hikago.imess.net/"} +{"d:Title": "Emily's Random Shoujo Manga Page: Hot Gimmick", "d:Description": "Review of the first volume of the manga.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hot_Gimmick", "url": "http://niko-niko.net/random/gimmick.html"} +{"d:Title": "Deviant Art: Hot-Gimmick", "d:Description": "Fan art and tag board.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hot_Gimmick", "url": "http://hot-gimmick.deviantart.com/"} +{"d:Title": "Hot Gimmick: Neighbors in love", "d:Description": "A livejournal community for the series. Discussion, scans, and translations.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hot_Gimmick", "url": "http://hot-gimmick.livejournal.com/"} +{"d:Title": "Heaven", "d:Description": "General information, chapter summaries, and fan art.", "topic": "Top/Arts/Comics/Manga/Titles/H/Houshin_Engi", "url": "http://www.angelfire.com/ne/houshinengi/"} +{"d:Title": "Hunter X Hunter Mailing List", "d:Description": "Discussions of the series. Archives and image gallery for members only.", "topic": "Top/Arts/Comics/Manga/Titles/H/Hunter_X_Hunter", "url": "http://groups.yahoo.com/group/hunter_x_hunter/"} +{"d:Title": "Masakazu Katsura Manga Girls", "d:Description": "Wallpaper featuring characters from the series.", "topic": "Top/Arts/Comics/Manga/Titles/I/I''s", "url": "http://isgirls.tripod.com/"} +{"d:Title": "Meio Academy: Imadoki", "d:Description": "Character profiles, images, message board, links, and where to buy merchandise.", "topic": "Top/Arts/Comics/Manga/Titles/I/Imadoki", "url": "http://members.tripod.com/~cuteymoon4/imadoki.html"} +{"d:Title": "Doki Doki Imadoki Site", "d:Description": "Introduction, character profiles, translations, and links.", "topic": "Top/Arts/Comics/Manga/Titles/I/Imadoki", "url": "http://yuffie.20m.com/imadoki.htm"} +{"d:Title": "Imadoki!", "d:Description": "Introduction, synopsis, character profiles, images, and links.", "topic": "Top/Arts/Comics/Manga/Titles/I/Imadoki", "url": "http://www.angelfire.com/geek/tetrisnomiko/imadoki/imadoki.html"} +{"d:Title": "The Inu-Yasha Companion", "d:Description": "Comprehensive manga and anime summaries, cover scans, song lyrics, in-depth character pages, including items and locations, FAQ, cultural notes, video games, author biography, interviews, and timeline.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha", "url": "http://www.furinkan.com/iycompanion/"} +{"d:Title": "Demon's Enclave", "d:Description": "Text translations and links.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha", "url": "http://members.tripod.com/demon_enclave/"} +{"d:Title": "Into the Demon's Lair", "d:Description": "Image gallery, fan art and fiction, interactive games, information and reviews, summaries, edits, and links.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha", "url": "http://members.tripod.com/into_the_demons_lair/"} +{"d:Title": "Chiisana Inu-Yasha", "d:Description": "Story summaries, anime and manga overview, music, fan art, fan fiction, cosplay, character profiles, and links.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha", "url": "http://aksarah.com/inuyasha/"} +{"d:Title": "Yasumi's Inu-Yasha Realm", "d:Description": "Character profiles, fan fiction, fan art, and links.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha", "url": "http://yasumiserenity.tripod.com/"} +{"d:Title": "Celestial Inu-yasha Fan Fiction", "d:Description": "Fan fiction, gallery, and links.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha/Fan_Works", "url": "http://www.angelfire.com/anime/celestialstar/"} +{"d:Title": "The Hero in the 21st Century", "d:Description": "An original doujinshi based in the world of Inuyasha. Site includes, fan fiction, fan art, glossary, and mailing list.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha/Fan_Works", "url": "http://www.hibernatingbats.com/"} +{"d:Title": "Forest of Inu-Yasha Webring", "d:Description": "Statistics, site list, and joining information.", "topic": "Top/Arts/Comics/Manga/Titles/I/Inu-Yasha/Web_Rings", "url": "http://www.webring.org/hub?ring=iyasha"} +{"d:Title": "Kamikaze", "d:Description": "Characters, images, and links.", "topic": "Top/Arts/Comics/Manga/Titles/K/Kamikaze", "url": "http://www.crysania.com/paperthin/kamikaze/"} +{"d:Title": "Kuroi Tsubasa: The Excellent Internet Access Shrine", "d:Description": "Shrine with introduction, images, links, and personal opinion.", "topic": "Top/Arts/Comics/Manga/Titles/K/Kamikaze_Kait\u00f4_Jeanne", "url": "http://idolmink.tripod.com/access/access.html"} +{"d:Title": "The Manga Obsession: Kareshi Kanojo no Jijou", "d:Description": "Story overview, scans of the manga covers, and ISBN numbers.", "topic": "Top/Arts/Comics/Manga/Titles/K/Kare-Kano", "url": "http://doushi-sumeragi.net/review/kareshi.html"} +{"d:Title": "Kareshi Kanojo no Jijou Summary Page", "d:Description": "Offers english summaries for a few chapters of the Japanese manga.", "topic": "Top/Arts/Comics/Manga/Titles/K/Kare-Kano", "url": "http://doushi-sumeragi.net/translation/transkare.htm"} +{"d:Title": "Hontou no Watashi", "d:Description": "Introduction, faq, anime and manga information, characters, author, drama CD translation, merchandise, and links.", "topic": "Top/Arts/Comics/Manga/Titles/K/Kare-Kano", "url": "http://karekano.hazydaze.net/"} +{"d:Title": "Emily's Katte ni Kaizou page", "d:Description": "Story, manga information, links, character profiles, and images.", "topic": "Top/Arts/Comics/Manga/Titles/K/Katte_ni_Kaizou", "url": "http://niko-niko.net/kaizou"} +{"d:Title": "Murderous Love", "d:Description": "Message boards and role playing.", "topic": "Top/Arts/Comics/Manga/Titles/K/Kill_Me,_Kiss_Me", "url": "http://k2rp.proboards.com/"} +{"d:Title": "Monochrome Translations: Kodomo no Omocha", "d:Description": "Translations for volumes 1-6, 8, and 10 of the manga.", "topic": "Top/Arts/Comics/Manga/Titles/K/Kodomo_no_Omocha", "url": "http://takumashii.tripod.com/kodocha.html"} +{"d:Title": "Gash", "d:Description": "Contains general information, manga scans, discography, and lyrics.", "topic": "Top/Arts/Comics/Manga/Titles/K/Konjiki_no_Gash", "url": "http://gash.medoroa.net/"} +{"d:Title": "Koori no Mamono no Monogatari", "d:Description": "Manga summaries.", "topic": "Top/Arts/Comics/Manga/Titles/K/Koori_no_Mamono_no_Monogatari", "url": "http://www-personal.umich.edu/~weyrbrat/fanfic/anime/Reviews/koori/"} +{"d:Title": "Lawful Drug", "d:Description": "Short review with images.", "topic": "Top/Arts/Comics/Manga/Titles/L/Legal_Drug", "url": "http://members.shaw.ca/angelsorayama/animesummaries/lawfuldrug.html"} +{"d:Title": "The Basara Temple", "d:Description": "Characters, manga summaries, fan fiction, and fan art.", "topic": "Top/Arts/Comics/Manga/Titles/L/Legend_of_Basara", "url": "http://www.angelfire.com/ca4/arrow/"} +{"d:Title": "Hazydaze.net: Basara", "d:Description": "Summary and translations.", "topic": "Top/Arts/Comics/Manga/Titles/L/Legend_of_Basara", "url": "http://trans.hazydaze.net/basara.shtml"} +{"d:Title": "Love Witch", "d:Description": "Chapter translations of the manga.", "topic": "Top/Arts/Comics/Manga/Titles/L/Love_Witch", "url": "http://www.kurozuki.com/takeuchi/witch/"} +{"d:Title": "Love Witch", "d:Description": "Gallery, articles, story, and characters.", "topic": "Top/Arts/Comics/Manga/Titles/L/Love_Witch", "url": "http://lovewitch.takeuchi-naoko.com/"} +{"d:Title": "Mermaid's Flesh", "d:Description": "Summaries and characters from the Mermaid Saga complete with character profiles, manga summaries and information, anime overview, manga cover gallery, cultural notes, and soundtrack list.", "topic": "Top/Arts/Comics/Manga/Titles/M/Mermaid_Series", "url": "http://www.furinkan.com/mermaid/"} +{"d:Title": "Keichan's Mintna Bokura Homepage", "d:Description": "Storyline, images, chat, poll, free email address, links.", "topic": "Top/Arts/Comics/Manga/Titles/M/Mintna_Bokura", "url": "http://www.angelfire.com/or/keichan1/index.html"} +{"d:Title": "NarutoFan", "d:Description": "Offers direct downloads of naruto anime and manga chapters, summaries, character information and forums.", "priority": "1", "topic": "Top/Arts/Comics/Manga/Titles/N/Naruto", "url": "http://www.narutofan.com/"} +{"d:Title": "Naruto Island", "d:Description": "Indepth information and episode guide, screenshots, games, fanart, and related news.", "topic": "Top/Arts/Comics/Manga/Titles/N/Naruto", "url": "http://www.saiyanisland.com/naruto/"} +{"d:Title": "Leafninja", "d:Description": "Detailed information on all parts of the manga and anime, with episode descriptions and series updates. Offers descriptions of upcoming chapters.", "priority": "1", "topic": "Top/Arts/Comics/Manga/Titles/N/Naruto", "url": "http://leafninja.com/"} +{"d:Title": "Insomniac", "d:Description": "Shrine to Gaara with character information.", "topic": "Top/Arts/Comics/Manga/Titles/N/Naruto/Characters", "url": "http://www.chirigami.net/gaara/"} +{"d:Title": "Nausicaa.net: Kaze no Tani no Naushika", "d:Description": "Story summary, information on various published versions, cover scans, comparison between the original Animage version and the final version of the manga, related media, and interviews.", "topic": "Top/Arts/Comics/Manga/Titles/N/Nausica\u00e4_of_the_Valley_of_the_Wind", "url": "http://www.nausicaa.net/miyazaki/manga/nausicaa.html"} +{"d:Title": "Comic Box: Nausicaa of the Valley of Wind", "d:Description": "Miyazaki interview, analysis of Nausica\u00e4's world, retrospectives of complete series, and an essay on English translation of the comic.", "topic": "Top/Arts/Comics/Manga/Titles/N/Nausica\u00e4_of_the_Valley_of_the_Wind", "url": "http://www.comicbox.co.jp/e-nau/contents.html"} +{"d:Title": "Never Give Up!", "d:Description": "Summary and translations.", "topic": "Top/Arts/Comics/Manga/Titles/N/Never_Give_Up", "url": "http://trans.hazydaze.net/nebagiba.shtml"} +{"d:Title": "Ninja High School", "d:Description": "Forum dedicated to the series.", "topic": "Top/Arts/Comics/Manga/Titles/N/Ninja_High_School", "url": "http://antarcticpressmessageboard.yuku.com/"} +{"d:Title": "Codename: Prince", "d:Description": "Character shrine to Sanji, aka Mr. Prince. Includes information, images, media, and links.", "topic": "Top/Arts/Comics/Manga/Titles/O/One_Piece", "url": "http://all-blue.org/"} +{"d:Title": "Bleed Me Dry...A PARASYTE Shrine", "d:Description": "Images, character bios, and links.", "topic": "Top/Arts/Comics/Manga/Titles/P/Parasyte", "url": "http://nisemono78.tripod.com/parasyte/splash.html"} +{"d:Title": "Just Peachy", "d:Description": "Character profiles, fan art, images, wallpaper, and links.", "topic": "Top/Arts/Comics/Manga/Titles/P/Peach_Girl", "url": "http://www.angelfire.com/anime2/peachgirl/"} +{"d:Title": "Peach Girl Resource", "d:Description": "Character profiles, images, wall papers, and links.", "topic": "Top/Arts/Comics/Manga/Titles/P/Peach_Girl", "url": "http://peachg.tripod.com/"} +{"d:Title": "Yahoo! Groups: peachgirl2", "d:Description": "Discussions, pictures, and links.", "topic": "Top/Arts/Comics/Manga/Titles/P/Peach_Girl", "url": "http://groups.yahoo.com/group/peachgirl2/"} +{"d:Title": "Peach Paradise", "d:Description": "Character profiles and image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/P/Peach_Girl", "url": "http://www.angelfire.com/comics/peachparadise/"} +{"d:Title": "Peach Girl HQ", "d:Description": "Character profiles, story introduction, and images.", "topic": "Top/Arts/Comics/Manga/Titles/P/Peach_Girl", "url": "http://www.angelfire.com/anime/dangergirlsupernova/pghq/"} +{"d:Title": "The Third World", "d:Description": "Character profiles, manga summaries, universe explanation, and gallery.", "topic": "Top/Arts/Comics/Manga/Titles/P/Planet_Ladder", "url": "http://planetladder.tripod.com/"} +{"d:Title": "PQ Angels Homepage", "d:Description": "General information, character guide, manga summaries, images and links.", "topic": "Top/Arts/Comics/Manga/Titles/P/PQ_Angels", "url": "http://members.tripod.com/~saitoh/pq.html"} +{"d:Title": "Hotaru_2's PQ Angels Page", "d:Description": "General information, images and links.", "topic": "Top/Arts/Comics/Manga/Titles/P/PQ_Angels", "url": "http://members.tripod.com/~Hotaru_2/pq.htm"} +{"d:Title": "PQ Angels", "d:Description": "Introduction, character profiles, images, background information and links.", "topic": "Top/Arts/Comics/Manga/Titles/P/PQ_Angels", "url": "http://members.tripod.com/~Kyuuri_Peanut/"} +{"d:Title": "Powell's Books: Priest: Volume 5", "d:Description": "Set in a futuristic 19th Century America, Priest tells the tale of Ivan Isaacs, a former man of the cloth who sells his soul to gain power and to seek vengeance. But the devil also works in mysterious ways.", "topic": "Top/Arts/Comics/Manga/Titles/P/Priest", "url": "http://www.powells.com/cgi-bin/biblio?inkey=62-1591822017-0"} +{"d:Title": "Wikipedia: Priest", "d:Description": "Offers story, characters and plot ending details for volumes 1 through 15.", "topic": "Top/Arts/Comics/Manga/Titles/P/Priest", "url": "http://en.wikipedia.org/wiki/Priest_(manhwa)"} +{"d:Title": "Spirituality In Comics: The Religion of Priest", "d:Description": "The explicitly religious series features a Catholic priest and contemplations of Christianity and God. Excerpt by Sheena.", "topic": "Top/Arts/Comics/Manga/Titles/P/Priest", "url": "http://www.sequentialtart.com/archive/dec03/tth_1203.shtml"} +{"d:Title": "Raymond Swanland Gallery", "d:Description": "Image portfolio by the artist who created the covers for \"Priest\", the American editions.", "topic": "Top/Arts/Comics/Manga/Titles/P/Priest", "url": "http://www.raymondswanland.com/Pages/Gallery01.html"} +{"d:Title": "Tinman's Colorization Project", "d:Description": "Adds color to manga pages digitally. Image galleries sorted by character, FAQ and how to help.", "topic": "Top/Arts/Comics/Manga/Titles/R/Ranma_\u00bd", "url": "http://www.tinmancp.com/ranma/"} +{"d:Title": "Pictures from the Ranma \u00bd Finale", "d:Description": "Thumbnailed manga scans.", "topic": "Top/Arts/Comics/Manga/Titles/R/Ranma_\u00bd", "url": "http://www.thekeep.org/~kunoichi/kunoichi/ranma_finale.html"} +{"d:Title": "Wikipedia: Reborn!", "d:Description": "Provides a detailed overview of the series with plot, character profiles, production and distribution information, list of chapters and volumes with Japanese and international publication dates, as well as details about tie-in media and audience reception.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://en.wikipedia.org/wiki/Reborn!"} +{"d:Title": "Anime News Network: Reborn! Manga", "d:Description": "Provides a plot overview, user ratings, related news articles, and distribution information.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://www.animenewsnetwork.com/encyclopedia/manga.php?id=6738"} +{"d:Title": "TVTropes: Katekyo Hitman Reborn", "d:Description": "Provides a comprehensive list of humorous storytelling devices and conventions present in the series.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://tvtropes.org/pmwiki/pmwiki.php/Main/KatekyoHitmanReborn"} +{"d:Title": "Anime Wallpapers: Katekyo Hitman Reborn", "d:Description": "Provides several desktop wallpapers.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://www.animewallpapers.com/wallpapers/reborn/"} +{"d:Title": "Mangahelpers: Katekyo Hitman Reborn", "d:Description": "Synopsis, ratings, reviews, raws, translations, scanlations, and discussion forum.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://mangahelpers.com/m/katekyo-hitman-reborn"} +{"d:Title": "Vongola 101", "d:Description": "Weblog with series overview, character profiles, story arc timelines, fandom glossary, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://vongola101.wordpress.com/"} +{"d:Title": "MyAnimeList: Katekyo Hitman Reborn!", "d:Description": "Series overview, character profiles, scans, news, reader reviews, forum, and related fan groups.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://myanimelist.net/manga/47/Katekyo_Hitman_Reborn!"} +{"d:Title": "Baka-Updates Manga: Kateikyoushi Hitman Reborn!", "d:Description": "Synopsis, scanlation groups, ratings, reviews, similar works, and forum.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://www.mangaupdates.com/series.html?id=366"} +{"d:Title": "Capslock KHR", "d:Description": "Irreverent discussion community whose posters are required to type in all caps. Chapter recaps, jokes, funny photographs, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://capslock-khr.livejournal.com/"} +{"d:Title": "Katekyo Hitman Reborn!", "d:Description": "Fan community for the series with anime, manga, game, and tie-in discussion, news and events, images, character analysis, fan works, downloads, cosplay, doujinshi, graphics, and links.", "priority": "1", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn", "url": "http://hitman-reborn.livejournal.com/"} +{"d:Title": "The Baseball Nut", "d:Description": "Takeshi Yamamoto fan community with fan art, fan fiction, icons, manga colouring, videos, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters", "url": "http://yama-yama-kun.livejournal.com/"} +{"d:Title": "The Mafioso and the Princess", "d:Description": "Fan community for Tsuna/Kyoko with discussion, fan works, and graphics.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters", "url": "http://tsuna-kyoko.livejournal.com/"} +{"d:Title": "Pineapple Cake", "d:Description": "Mukuro/Kyoko fan community with discussion, fan fiction, videos, fan art, and icons.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters", "url": "http://mukuro-kyoko.livejournal.com/"} +{"d:Title": "GokuTsuna", "d:Description": "Fan community for Gokudera/Tsuna. Discussion, fan fiction, fan art, graphics, doujinshi, AMVs, and cosplay photographs.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters", "url": "http://gokutsuna.livejournal.com/"} +{"d:Title": "Xanxusism", "d:Description": "Xanxus fan community with character profile, manga discussion, fan art, fan fiction, graphics, and fan soundtracks.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters", "url": "http://xanxusism.livejournal.com/"} +{"d:Title": "Pineapple", "d:Description": "Community for Mukuro/Chrome. Fan works, icons, doujinshi, cosplay, and AMVs.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters", "url": "http://pineappleluff.livejournal.com/"} +{"d:Title": "Heaven's Rage", "d:Description": "Xanxus. Information, rules, and member list.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://fan.dragon-nest.net/xanxus/"} +{"d:Title": "Superbia", "d:Description": "Superbi Squalo. Profile, rules, and member list.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://dakki.blazeaway.net/squalo/"} +{"d:Title": "Thunderstruck", "d:Description": "Lambo Bovino. Rules, member list, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.kiri-no-hana.net/lambo/"} +{"d:Title": "Amica mia", "d:Description": "The relationship between Haru Miura and Kyoko Sasagawa. Rules, members, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.marheavenj.net/kyoharu/"} +{"d:Title": "Beyond Wonderland", "d:Description": "Reborn, Reborn/Lambo, and Hibari/Tsuna. Profiles, rules, member lists, graphics, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://khr.silverblood.net/"} +{"d:Title": "Smile", "d:Description": "Takeshi Yamamoto. Rules, members, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.taospage.net/Fl/yamamoto.html"} +{"d:Title": "Celestine", "d:Description": "Basilicum. Profile, rules, member list, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://basil.nymphae.net/"} +{"d:Title": "Oggi, Domani e Per Sempre", "d:Description": "Kyoko Sasagawa and Tsunayoshi Sawada. Character details, rules, and member list.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.marheavenj.net/kyotsu/"} +{"d:Title": "Tra Sogno e Realt\u00e0", "d:Description": "Mukuro Rokudou and Tsunayoshi Sawada. Rules, members, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.marheavenj.net/2769/"} +{"d:Title": "Dangerous Beauty", "d:Description": "Bianchi. Profile, rules, and member list.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.kiri-no-hana.net/bianchi/"} +{"d:Title": "Explosive Chemistry", "d:Description": "Hayato Gokudera and Takeshi Yamamoto. Rules, graphics, member list, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.taospage.net/Fl/yamagoku.html"} +{"d:Title": "Sakura Addiction", "d:Description": "Kyouya Hibari and Mukuro Rokudou. Profiles, graphics, rules, and member list.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.marheavenj.net/mukuhiba/"} +{"d:Title": "Sweet Like a Cake", "d:Description": "Kyoko Sasagawa. Profile, rules, and member list.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://www.marheavenj.net/kyoko/"} +{"d:Title": "Bite the Sky", "d:Description": "Kyouya Hibari and Tsunayoshi Sawada. Rules, graphics, member list, and links.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Characters/Fanlistings", "url": "http://khr.silverblood.net/1827/"} +{"d:Title": "FanFiction.net: Katekyo Hitman Reborn", "d:Description": "Fan fiction archive with thousands of stories sortable by genre, rating, language, length, and main character.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://www.fanfiction.net/anime/Katekyo_Hitman_Reborn/"} +{"d:Title": "Katekyo Hitman Reborn! Fanon Wiki", "d:Description": "Presents original character profiles and storylines.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://rebornfanon.wikia.com/wiki/Main_Page"} +{"d:Title": "The Otaku: Katekyo Hitman Reborn", "d:Description": "Fan art, fan fiction, fan comics, wallpapers, and collectible scans.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://www.theotaku.com/category/katekyo_hitman_reborn/"} +{"d:Title": "KHR Exchange", "d:Description": "Fan fiction and fan art holiday exchange community.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://khr-exchange.livejournal.com/"} +{"d:Title": "Katekyo Hitman Reborn! Drabble Challenge Community", "d:Description": "Issues periodic challenges for members to write fan stories exactly 100 words in length.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://khr-drabble.livejournal.com/"} +{"d:Title": "Spring Rain", "d:Description": "Yamamoto/Haru fan fiction and fan art.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://yamaharu.livejournal.com/"} +{"d:Title": "KHR Dressing Room", "d:Description": "Freeform roleplay based on the series.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://khrdressingroom.livejournal.com/"} +{"d:Title": "It's Really Not That Easy", "d:Description": "Gokudera/Haru fan fiction and fan art.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://gokuharu.livejournal.com/"} +{"d:Title": "Varia X Tsuna", "d:Description": "Fan fiction and fan art for parings involving members of the Varia squad with Tsuna.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://varia27.livejournal.com/"} +{"d:Title": "Colonello x Lal Mirch", "d:Description": "Fan fiction and fan art for the pairing.", "topic": "Top/Arts/Comics/Manga/Titles/R/Reborn/Fan_Works", "url": "http://colonelloxmirch.livejournal.com/"} +{"d:Title": "Sora wa Akai Kawa no Hotori Couples", "d:Description": "Manga image gallery.", "topic": "Top/Arts/Comics/Manga/Titles/R/Red_River", "url": "http://www.angelfire.com/anime4/anicouplessak/"} +{"d:Title": "RG Veda FAQ Online", "d:Description": "General and specific information regarding the series.", "topic": "Top/Arts/Comics/Manga/Titles/R/RG_Veda", "url": "http://www.oldcrows.net/~rabi/RG/"} +{"d:Title": "Theria.net: Rockman EXE", "d:Description": "Translations and scans from Monthly Korokoro Comic.", "topic": "Top/Arts/Comics/Manga/Titles/R/Rockman_EXE", "url": "http://theria.net/MM-EXE/"} +{"d:Title": "Rurouni Kenshin Manga Translations", "d:Description": "Volumes 1-9 of manga scanned and translated, available for downloading in zip format.", "topic": "Top/Arts/Comics/Manga/Titles/R/Rurouni_Kenshin", "url": "http://pomi.sandwich.net/ruroken/"} +{"d:Title": "Sailor Moon Manga Room", "d:Description": "Links, images, fan art, character information, drawing tutorial, and manga resource guide.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://www.angelfire.com/on/moonroom/index.html"} +{"d:Title": "LUNA-tic's Corner", "d:Description": "Manga scans, information, music, Naoko Takeuchi, virtual postcards.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://www.angelfire.com/co/LUNACORNER/index.html"} +{"d:Title": "Absolute Beauty Moon Prism Palace", "d:Description": "Introduction to manga, art contest and links.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://www.angelfire.com/oh3/sailormooniac/"} +{"d:Title": "Bishoujo Senshi Sailor Moon Galaxy", "d:Description": "Images, character profiles, reviews, Saturn shrine, awards, and links.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://friersonjessica.tripod.com/"} +{"d:Title": "The Moon Garden", "d:Description": "Character descriptions, manga summaries, art book image gallery, and Naoko Takeuchi tribute.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://ilsevet.tripod.com/main.html"} +{"d:Title": "Eternal", "d:Description": "Multiple image galleries, character profiles, links, fan art, music, and horoscopes.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://www.angelfire.com/anime3/reihino/"} +{"d:Title": "Bishoujo Senshi Sailor Moon Manga Guide", "d:Description": "Creator information, articles, synopsis, FAQ, characters, and links.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://donnahime.tripod.com/"} +{"d:Title": "The Sailor Moon Manga Webring", "d:Description": "Sailor Moon pages that have a special section for manga pictures, translations, or anything else having to do with the manga.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon", "url": "http://www.webring.org/hub/smoonmanga"} +{"d:Title": "Small Lady and Elios: A Match Made in Manga", "d:Description": "Images of Small Lady and Elios (Helios) together.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Characters", "url": "http://members.tripod.com/~Piikochan/slelios.html"} +{"d:Title": "Guardian Cosmos", "d:Description": "Includes images, character information, and links.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Characters/Sailor_Cosmos", "url": "http://www.angelfire.com/anime2/cosmos/"} +{"d:Title": "Planet Butterfly", "d:Description": "Information, images and thoughts of what would it be like if Sailor Heavy Metal Papillon ever appeared in the anime.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Characters/Sailor_Heavy_Metal_Papillon", "url": "http://members.tripod.com/~shiiko/"} +{"d:Title": "Desert River Senshi", "d:Description": "Profiles, story, mythology, images, and quotations.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Characters/Sailor_Lethe_and_Sailor_Mnemosyne", "url": "http://members.tripod.com/riversenshi/shrine/"} +{"d:Title": "Twin Towers", "d:Description": "Manga synopsis and plot, character biographies, character mythology and analysis, image galleries, fan works, poll, quiz, award, and site information.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Characters/Sailor_Lethe_and_Sailor_Mnemosyne", "url": "http://www.angelfire.com/hi5/ml/"} +{"d:Title": "Usagi And Mamoru's Universe", "d:Description": "Anime and manga image galleries, fan art, and links.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.usagiandmamorusuniverse.com/"} +{"d:Title": "Chibi Selena-Chan's Archive of Sailor Moon Manga", "d:Description": "Manga scans and fan art.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://members.tripod.com/~Chibi_Selena_Chan/"} +{"d:Title": "Cindy's Sailor Moon Gallery", "d:Description": "Several pages of images, primarily manga. Thumbnailed.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://members.tripod.com/~serendipitycl/smg.html"} +{"d:Title": "Realm of Sailor Energy", "d:Description": "Fan art and doujinshi.", "topic": "Top/Arts/Comics/Manga/Titles/S/Sailor_Moon/Image_Galleries", "url": "http://www.sailorenergy.net/"} +{"d:Title": "Saint Tail Page", "d:Description": "Manga summaries, author information, image galleries, and links.", "topic": "Top/Arts/Comics/Manga/Titles/S/Saint_Tail", "url": "http://www.angelfire.com/ab7/s_tail/"} +{"d:Title": "The Saiyuki Mailing List", "d:Description": "Discussions of the series, with archives and image gallery available to members.", "topic": "Top/Arts/Comics/Manga/Titles/S/Saiyuki", "url": "http://groups.yahoo.com/group/saiyuki/"} +{"d:Title": "H. Mauvecloud's Avocation", "d:Description": "Fan fiction, fan songs, and ramblings.", "topic": "Top/Arts/Comics/Manga/Titles/S/Saiyuki", "url": "http://mauve-cloud.bravepages.com/"} +{"d:Title": "The Seimaden Temple", "d:Description": "A shrine to Higuri's masterpiece, Seimaden, which includes a photo gallery, synopses, links, and many other features.", "topic": "Top/Arts/Comics/Manga/Titles/S/Seimaden", "url": "http://www.angelfire.com/anime4/seimaden/index.html"} +{"d:Title": "Alberto's Shadow Lady Page", "d:Description": "Index of color and black and white images.", "topic": "Top/Arts/Comics/Manga/Titles/S/Shadow_Lady", "url": "http://www.leospage.de/animemanga/sl.htm"} +{"d:Title": "Triad of the Moon Presents: Shadow Lady", "d:Description": "General information, character profiles and personal insights.", "topic": "Top/Arts/Comics/Manga/Titles/S/Shadow_Lady", "url": "http://www.angelfire.com/me2/memanga/index.html"} +{"d:Title": "Slayers Translations", "d:Description": "Manga scans with translations.", "topic": "Top/Arts/Comics/Manga/Titles/S/Slayers", "url": "http://malice_madrigal.tripod.com/slaytran.htm"} +{"d:Title": "Triad of the Moon: The Spirit of Wonder", "d:Description": "Summary, story arcs, invention information, commentary, and a quiz.", "topic": "Top/Arts/Comics/Manga/Titles/S/Spirit_of_Wonder,_The", "url": "http://www.angelfire.com/az/ladybecca/spirit.html"} +{"d:Title": "Suki Dakara Suki", "d:Description": "Translated scans, image gallery, and character profiles.", "topic": "Top/Arts/Comics/Manga/Titles/S/Suki_Dakara_Suki", "url": "http://kamui_kun.tripod.com/Suki/sindex.htm"} +{"d:Title": "Hagio Moto's They Were 11", "d:Description": "Summary and character guide.", "topic": "Top/Arts/Comics/Manga/Titles/T/They_Were_11", "url": "http://members.tripod.com/~Frolbericheri/"} +{"d:Title": "Complete Strangers", "d:Description": "Interactive fan weblog. Character profiles, quotes, archives, and links.", "topic": "Top/Arts/Comics/Manga/Titles/T/Time_Stranger_Kyoko", "url": "http://strangers.pitas.com/"} +{"d:Title": "Ai no Tenshi", "d:Description": "Sumeragi Subaru shrine with character analysis, relationships, images, and downloads.", "topic": "Top/Arts/Comics/Manga/Titles/T/Tokyo_Babylon", "url": "http://members.tripod.com/twilight_heaven/"} +{"d:Title": "Rabi's Tokyo Babylon Site", "d:Description": "Manga information and price list, character profiles with fan art illustrations, music releases, and submission guidelines.", "topic": "Top/Arts/Comics/Manga/Titles/T/Tokyo_Babylon", "url": "http://oldcrows.net/~rabi/TB/"} +{"d:Title": "Mitsuru Adachi - Touch Fanlisting", "d:Description": "A fanlisting of Touch including story outline, character introduction, image gallery and games.", "topic": "Top/Arts/Comics/Manga/Titles/T/Touch", "url": "http://touch.ranmajen.net/"} +{"d:Title": "Reservoir Chronicle", "d:Description": "Galleries for the manga organized by character, fan art, wallpapers, and image source.", "topic": "Top/Arts/Comics/Manga/Titles/T/Tsubasa_Reservoir_Chronicle", "url": "http://www.capturedwings.net/gallery/"} +{"d:Title": "Tomobiki-cho", "d:Description": "Story history, premise, extensive artist information, character profiles, manga information, summaries and translated scans, anime history and summaries, FAQ, cultural references, articles, art gallery, music, and links.", "topic": "Top/Arts/Comics/Manga/Titles/U/Urusei_Yatsura", "url": "http://www.furinkan.com/tomobiki/uy/"} +{"d:Title": "Lum Stories", "d:Description": "Manga scans with translated text.", "topic": "Top/Arts/Comics/Manga/Titles/U/Urusei_Yatsura", "url": "http://www-personal.umich.edu/~brenten/Story/LumStories.htm"} +{"d:Title": "Lair of the Beasts", "d:Description": "Story summary, character profiles, fan fiction, anime and manga image galleries, music, poll, and links.", "topic": "Top/Arts/Comics/Manga/Titles/U/Ushio_and_Tora", "url": "http://darktora.tripod.com/"} +{"d:Title": "Vampire Knight (TV) - Anime News Network", "d:Description": "All the latest news regarding the upcoming Vampire Knight Anime", "topic": "Top/Arts/Comics/Manga/Titles/V/Vampire_Knight", "url": "http://www.animenewsnetwork.com/encyclopedia/anime.php?id=9009"} +{"d:Title": "Official Vampire Knight Site", "d:Description": "Official Shojo Beat site from VIZ. Manga summaries, about the author and previews.", "topic": "Top/Arts/Comics/Manga/Titles/V/Vampire_Knight", "url": "http://www.shojobeat.com/manga/vk/"} +{"d:Title": "Pureblood", "d:Description": "The approved fanlisting for Kaname Kuran, the last pureblood vampire of the \"royal\" Kuran clan in Matsuri Hino's series Vampire Knight.", "topic": "Top/Arts/Comics/Manga/Titles/V/Vampire_Knight", "url": "http://kuran-kaname.net/"} +{"d:Title": "Paperthin Pleasures: Vancha", "d:Description": "Introduction, manga and cover scans.", "topic": "Top/Arts/Comics/Manga/Titles/V/Vancha", "url": "http://www.crysania.com/paperthin/vancha/"} +{"d:Title": "Riyoko Ideda's The Window of Orpheus in Regensburg", "d:Description": "Author, style and setting information, myth behind the story, character guide and links", "topic": "Top/Arts/Comics/Manga/Titles/W/Window_of_Orpheus,_The", "url": "http://youssoupov.tripod.com/"} +{"d:Title": "Angels Anonymous", "d:Description": "Introduction, character profiles, music and lyric translations and links.", "topic": "Top/Arts/Comics/Manga/Titles/W/Wish", "url": "http://www.angelfire.com/ns/kouryuu/frame.html"} +{"d:Title": "Clamp X", "d:Description": "Character profiles, manga and anime images, anime wallpapers, song lyrics, voice actors, and ring tone.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://clampx.bravepages.com/"} +{"d:Title": "The X Shrine", "d:Description": "Character profiles, music, movie review and images.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://members.tripod.com/~didiez/xindex.html"} +{"d:Title": "X Fan Club", "d:Description": "Web ring with HTML code.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://members.tripod.com/~SailorElysion/fanring.html"} +{"d:Title": "Elysion: X Chapter", "d:Description": "Character information, merchandise collection, manga and anime images, sound files and links.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://members.tripod.com/~elysion_X/"} +{"d:Title": "Bloody Sakura", "d:Description": "Character profiles and images, X Japan information and lyrics, and links.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://www.angelfire.com/art/bloodysakura/index.html"} +{"d:Title": "Faerymoon's X Site", "d:Description": "Character profiles, creator information, links, commentary, and introduction.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://www.angelfire.com/ct2/faerymoon/"} +{"d:Title": "Kamui Shrine", "d:Description": "Character information, images, links and differences between the manga and anime.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://kamui_kun.tripod.com/KamuiShrine/kamuishrine.html"} +{"d:Title": "Venus Gospel", "d:Description": "An X humor site that profiles the characters based on their marriage prospects.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://www.venusgospel.net/x/"} +{"d:Title": "XTC", "d:Description": "General X fansite with details manga, character, and creator information.", "topic": "Top/Arts/Comics/Manga/Titles/X/X", "url": "http://x.monou.net/"} +{"d:Title": "theria.net | Yami no Matsuei", "d:Description": "Translations, manga scans, ISBNs, character profiles, anime information, introduction, forum, links.", "topic": "Top/Arts/Comics/Manga/Titles/Y/Yami_no_Matsuei", "url": "http://theria.net/yaminomatsuei/"} +{"d:Title": "Yami no Matsuei", "d:Description": "In-depth YnM manga summaries by Amparo Bertram with volume cover scans.", "topic": "Top/Arts/Comics/Manga/Titles/Y/Yami_no_Matsuei", "url": "http://www-personal.umich.edu/~weyrbrat/fanfic/anime/matsuei/"} +{"d:Title": "Paperthin Pleasures: Yami no Matsuei", "d:Description": "Introduction, character profiles, manga and art book scans, drama CD info with clips, desktop add-ons and links.", "topic": "Top/Arts/Comics/Manga/Titles/Y/Yami_no_Matsuei", "url": "http://www.crysania.com/paperthin/yami/"} +{"d:Title": "Delirium Rhapsody", "d:Description": "An RPG with character descriptions, list of claimed and unclaimed characters, and ways to join.", "topic": "Top/Arts/Comics/Manga/Titles/Y/Yami_no_Matsuei", "url": "http://emperorsnightengale.tripod.com/deliriumrhapsody/"} +{"d:Title": "Yami no Candlelight", "d:Description": "Character profiles, cover scans, and fan fiction.", "topic": "Top/Arts/Comics/Manga/Titles/Y/Yami_wa_Tsudou", "url": "http://www.angelfire.com/realm/darknessgathers/"} +{"d:Title": "Bronze Zetsuai Image Gallery", "d:Description": "Image galleries, fan fiction archive, fan art, and links.", "topic": "Top/Arts/Comics/Manga/Titles/Z/Zetsuai_1989_-_Bronze", "url": "http://www.angelfire.com/anime/bronzezetsuai/"} +{"d:Title": "Manga Translation Webring", "d:Description": "A web ring for manga translations only. List of sites, statistics, joining information.", "topic": "Top/Arts/Comics/Manga/Web_Rings", "url": "http://www.webring.org/hub?ring=transmanga"} +{"d:Title": "Manga Ring", "d:Description": "Accepting all type of Manga and Anime sites.", "topic": "Top/Arts/Comics/Manga/Web_Rings", "url": "http://www.webring.org/hub/mangaring"} +{"d:Title": "The Online Manga Webring", "d:Description": "Manga-style comics from all over the world.", "topic": "Top/Arts/Comics/Manga/Web_Rings", "url": "http://www.webring.org/hub?ring=onlinemanga"} +{"d:Title": "The Ring of Manga Classics", "d:Description": "Sites that feature classic manga (or anime).", "topic": "Top/Arts/Comics/Manga/Web_Rings", "url": "http://www.webring.org/hub?ring=kyaroru"} +{"d:Title": "Polypop", "d:Description": "Online independent comix from Shintani \"Nari\" Naritada, Todd Ramsell, John Freeman and others.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.polypop.com/"} +{"d:Title": "Art Comics", "d:Description": "Daily web strips, edited by Bebe Williams. Also sells print comics and posters.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.artcomic.com/"} +{"d:Title": "Lemon Custard", "d:Description": "Web comics edited by Mark Badger and Randy Hoppe.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://lemoncustard.com/"} +{"d:Title": "Little Engine Studios", "d:Description": "Comics about inspiration and enthusiasm, including Free Pie, Faerie Police, and Cel 2951.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.ithinkican.com/"} +{"d:Title": "Freestyle Entertainment", "d:Description": "The online publishing company where unknown writers and artists can post their creations for free.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://freestyle_e.tripod.com/"} +{"d:Title": "Wonderful Comics", "d:Description": "Swedish web-zine about popular culture, with a variety of online comics. Published on an irregular basis in a Swedish and an English edition.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.wonderfulcomics.com/"} +{"d:Title": "Gravitywell Productions", "d:Description": "A selection of free online comics.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.gravitywell.com/docs/comics.shtml"} +{"d:Title": "Pulp Kitchen Comics", "d:Description": "Self-published comic anthology. Offers previews and ordering information.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://pulpkitchen.50megs.com/"} +{"d:Title": "UpDown Studio", "d:Description": "Web comics and art gallery from Boompy, GregC, Keath, M. Carter, and Ruth M.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.updownstudio.com/"} +{"d:Title": "Comix Orgy: Cartoonists Taking Turns", "d:Description": "Collaborative comics from artists in Texas and New York.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.polypop.com/comix/comixorgy/"} +{"d:Title": "PC Comics", "d:Description": "Variety of online comics, Flash cartoons and humor articles by the Silly Simple People.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://pccomics.com/"} +{"d:Title": "Golden Age Comics", "d:Description": "Offers in CBR format a collection of comic books which are in the public domain, often because their copyright has expired.", "topic": "Top/Arts/Comics/Online/Anthologies", "url": "http://www.goldenagecomics.co.uk/"} +{"d:Title": "Argon Zark! The virtual comic book.", "d:Description": "Argon Zark is a free, full-length electronic comic book by Charley Parker. Also stuff for sale, links and hidden easter eggs.", "topic": "Top/Arts/Comics/Online/Comic_Books/A", "url": "http://www.zark.com/"} +{"d:Title": "Aelyf the Scribe", "d:Description": "A new online fantasy comic by Laird Ogden, including links to the artist's other art and illustration.", "topic": "Top/Arts/Comics/Online/Comic_Books/A", "url": "http://www.lairdogden.com/"} +{"d:Title": "The Adventures of Fifine", "d:Description": "Visit Fifine when she must fight the cult of Darwin and find if Man exists! There is also a gallery of the creator's art.", "topic": "Top/Arts/Comics/Online/Comic_Books/A", "url": "http://fifine.purrsia.com/COMICS.html"} +{"d:Title": "ArcMage", "d:Description": "Investigations of a cynical telejournalist into the world of the occult, by Duncan Eagleson. Full issues, introductory backstory and mailing list.", "topic": "Top/Arts/Comics/Online/Comic_Books/A", "url": "http://www.mcbridemagic.com/ArcMage/"} +{"d:Title": "The Arggh Chronicles", "d:Description": "Features several independent strips collected in this online comic by P.I.C.toons studio, editorials and news.", "topic": "Top/Arts/Comics/Online/Comic_Books/A", "url": "http://albert.nickerson.tripod.com/home.html"} +{"d:Title": "Beholder Graphics", "d:Description": "Six Beasties and other graphic stories.", "topic": "Top/Arts/Comics/Online/Comic_Books/B", "url": "http://www.beholder.co.uk/"} +{"d:Title": "Beatle Web", "d:Description": "The Beatles' Story in Comics. Art about The Beatles: design, painting, sculpture, cyberart.", "topic": "Top/Arts/Comics/Online/Comic_Books/B", "url": "http://www.beatle-web.com/"} +{"d:Title": "Concuspidor", "d:Description": "The Concuspidor and the Grand Wizard of Many Things is an online comic by Beholder, presented as a cautionary tale to those who want to have the Answers to Everything, and as an example of on-line story-telling.", "topic": "Top/Arts/Comics/Online/Comic_Books/C", "url": "http://www.beholder.co.uk/concuspidor/index.html"} +{"d:Title": "Citrusman", "d:Description": "Characters, sketches, links. The superhero with appeal.", "topic": "Top/Arts/Comics/Online/Comic_Books/C", "url": "http://www.citrusman.com/"} +{"d:Title": "Cantina Comix", "d:Description": "A web-based comic book with a sci-fi feel to it. The characters are based on chatters from an old Star Wars chat. Plot, Script, Pencils, Inks, Editing, and Web Design by Cheston Gasik (age 17)", "topic": "Top/Arts/Comics/Online/Comic_Books/C", "url": "http://www.angelfire.com/id/comix/"} +{"d:Title": "Cartoonwin.com", "d:Description": "Modern and traditional Chinese comics.", "topic": "Top/Arts/Comics/Online/Comic_Books/C", "url": "http://eng.cartoonwin.com/"} +{"d:Title": "Dream Comics", "d:Description": "Online comics and stories by David Doub, including \"Engines of Destruction\" and \"Zeus\".", "topic": "Top/Arts/Comics/Online/Comic_Books/D", "url": "http://dreamcomics.comicgenesis.com/"} +{"d:Title": "Extra Ordinary", "d:Description": "Short histories, dreams and situations. Black and white pencil drawings, occasionally full-colour. By Li&Jordan.", "topic": "Top/Arts/Comics/Online/Comic_Books/E", "url": "http://www.exocomics.com/"} +{"d:Title": "Fuzzy Comics", "d:Description": "Nada's comics adventures created by The Fuzz, in both Italian and English. Includes art.", "topic": "Top/Arts/Comics/Online/Comic_Books/F", "url": "http://www.fuzz.it/"} +{"d:Title": "Fredland", "d:Description": "Fantasy and adventure stories by Fred Chung.", "topic": "Top/Arts/Comics/Online/Comic_Books/F", "url": "http://www.fredland.com/"} +{"d:Title": "Fantazine", "d:Description": "Science fiction graphic novels by Davol White.", "topic": "Top/Arts/Comics/Online/Comic_Books/F", "url": "http://www.fantazine.net/"} +{"d:Title": "Flying Glory and the Hounds of Glory", "d:Description": "Adventures of two generations of female superheroes.", "topic": "Top/Arts/Comics/Online/Comic_Books/F", "url": "http://www.flying-glory.com/"} +{"d:Title": "Flipside", "d:Description": "Fantasy adventure comic about two women, one with split personalities, and the other a deadly-cool swordswoman, by Brion Foulke.", "topic": "Top/Arts/Comics/Online/Comic_Books/F", "url": "http://www.flipsidecomics.com/"} +{"d:Title": "FlashBack Universe", "d:Description": "Fantasy comic covering the adventures of a superhero team called the Vanguard. Includes the presentation of the main characters, a blog, and several comics in CBR format.", "topic": "Top/Arts/Comics/Online/Comic_Books/F", "url": "http://www.flashbackuniverse.com/"} +{"d:Title": "GenXorcist.com", "d:Description": "Features the Biblical fantasy Cain, the horror/adventure Genxorcists, the super hero adventure Elitists.", "topic": "Top/Arts/Comics/Online/Comic_Books/G", "url": "http://www.genxorcist.com/"} +{"d:Title": "The Gifted", "d:Description": "Horror story of the gifted Oracle and undead Lazarus, by Stephen Rice.", "topic": "Top/Arts/Comics/Online/Comic_Books/G", "url": "http://www.thegifted.com/"} +{"d:Title": "Girl Genius", "d:Description": "Girl Genius follows the career of Agatha Heterodyne, a hapless student at Translyvania Polygnostic University who discovers that she has more going for her than she thought.", "topic": "Top/Arts/Comics/Online/Comic_Books/G", "url": "http://www.girlgeniusonline.com/"} +{"d:Title": "The Journals of Simon Pariah", "d:Description": "Historical adventure and mystery series with a touch of cynicism, by Dominic.", "topic": "Top/Arts/Comics/Online/Comic_Books/J", "url": "http://simonpariah.comicgenesis.com/"} +{"d:Title": "Little Artemis", "d:Description": "Text of a comic. Young girl is endowed by Artemis with special powers to fight the forces of evil.", "topic": "Top/Arts/Comics/Online/Comic_Books/L", "url": "http://www.angelfire.com/ne/littleartemis/"} +{"d:Title": "Lance and Eskimo", "d:Description": "Original comic book series about a boy, a girl, some more girls, and an ape who is their landlord. It's cutting edge! It's avant garde! It's jejune.", "topic": "Top/Arts/Comics/Online/Comic_Books/L", "url": "http://www.angelfire.com/ri/lance/"} +{"d:Title": "Monkey,The", "d:Description": "Use 100 Chinese painting style comic strips to describe one of the most famous Chinese novels--\"The Monkey\" in brief.", "topic": "Top/Arts/Comics/Online/Comic_Books/M", "url": "http://www.china-on-site.com/literatu/classic/west/"} +{"d:Title": "Malignant Strain Online", "d:Description": "Malignant Strain is a new violent urban/sci-fi/action comic by cartoonist Clay A. Henss.", "topic": "Top/Arts/Comics/Online/Comic_Books/M", "url": "http://www.malignantstrain.com/"} +{"d:Title": "Nowhere Girl", "d:Description": "College student who feels like an outsider in her own life, finding her place in the world and coming to terms with her sexuality, by Justine Shaw.", "topic": "Top/Arts/Comics/Online/Comic_Books/N", "url": "http://www.nowheregirl.com/"} +{"d:Title": "Otoons", "d:Description": "The Mystic Rose and the Magic of the Empty Chair, an online comic story.", "topic": "Top/Arts/Comics/Online/Comic_Books/O", "url": "http://www.otoons.com/"} +{"d:Title": "Ponderous Woman", "d:Description": "Adventures of a plus-sized heroine.", "topic": "Top/Arts/Comics/Online/Comic_Books/P", "url": "http://ponderous.0catch.com/"} +{"d:Title": "Raisinlove Comix", "d:Description": "Online edition of the Raisinlove Underground Comix zine by Steph Dumais.", "topic": "Top/Arts/Comics/Online/Comic_Books/R", "url": "http://www.raisinlove.com/"} +{"d:Title": "Rusti Sprokit Spacebabe", "d:Description": "Online comic about Rusti Sprokit, a 'spacebabe' and her sidekick \"Slugette\". With archive.", "topic": "Top/Arts/Comics/Online/Comic_Books/R", "url": "http://www.sprokit.com/"} +{"d:Title": "Romance of Three Kingdoms", "d:Description": "History of the late Han dynasty as an illustrated story.", "topic": "Top/Arts/Comics/Online/Comic_Books/R", "url": "http://www.china-on-site.com/literatu/classic/three/index.html"} +{"d:Title": "StarLion: A Pawn's Game", "d:Description": "Science fiction comic about humanity's war against the alien Motinea empire, by Tony Bruno.", "topic": "Top/Arts/Comics/Online/Comic_Books/S", "url": "http://www.starlion.com/"} +{"d:Title": "Sidekicks", "d:Description": "Parody of sidekicks haven't abandoned their main superhero.", "topic": "Top/Arts/Comics/Online/Comic_Books/S", "url": "http://myheroes.tripod.com/"} +{"d:Title": "Serenity Rose", "d:Description": "An autobiographical graphic novel and additional artwork.", "topic": "Top/Arts/Comics/Online/Comic_Books/S", "url": "http://www.heartshapedskull.com/"} +{"d:Title": "Thrill Ride Comics", "d:Description": "Home of the online comic On The Brink. A story about a young man who is about to find out that every demon in Hell is out to kill him.", "topic": "Top/Arts/Comics/Online/Comic_Books/T", "url": "http://www.angelfire.com/pa3/thrillride/"} +{"d:Title": "Teddy", "d:Description": "By Ethan Persoff, about a doomed relationship, visualized in an old cartoon style.", "topic": "Top/Arts/Comics/Online/Comic_Books/T", "url": "http://www.ep.tc/teddy.html"} +{"d:Title": "Tamara Knight by Patrick Brown", "d:Description": "A showcase for the comics work of Belfast artist Patrick Brown, including Tamara Knight.", "topic": "Top/Arts/Comics/Online/Comic_Books/T", "url": "http://paddybrown.co.uk/"} +{"d:Title": "U.T.O.Police - A Cyber Novel", "d:Description": "Frank and Jane are law enforcement agents in a city inside a starship.", "topic": "Top/Arts/Comics/Online/Comic_Books/U", "url": "http://frankandjane.tripod.com/"} +{"d:Title": "Weirdass Comics", "d:Description": "Featuring Ancram Hudson, Mitch Waxman and others. Daily online strips begin 4/2000.", "topic": "Top/Arts/Comics/Online/Comic_Books/W", "url": "http://www.weirdass.net/"} +{"d:Title": "Willaby and the Professor", "d:Description": "Hanna-Barbera inspired comic strip by Joe Bevilacqua, written at age 12. Cute.", "topic": "Top/Arts/Comics/Online/Comic_Books/W", "url": "http://www.comedyorama.com/funnies/w-p/wp-early.htm"} +{"d:Title": "Wyrde Comics", "d:Description": "Includes a strip about college life and an online superhero comic.", "topic": "Top/Arts/Comics/Online/Comic_Books/W", "url": "http://wyrdecomics.tripod.com/"} +{"d:Title": "Yamara", "d:Description": "Get the Yamara Book! Yamara Comics Online. The Lost Episodes Return! Warchief: The Alumnus Archive is back!", "topic": "Top/Arts/Comics/Online/Comic_Books/Y", "url": "http://www.yamara.com/"} +{"d:Title": "Comic Genesis", "d:Description": "A directory and host of online comic books and comic strips.", "topic": "Top/Arts/Comics/Online/Directories", "url": "http://www.comicgenesis.com/"} +{"d:Title": "goComics", "d:Description": "Includes comic strips, editorial comics and columns that can be delivered via e-mail.", "topic": "Top/Arts/Comics/Online/Directories", "url": "http://www.gocomics.com/"} +{"d:Title": "Daryl Cagle's Professional Cartoonists Index", "d:Description": "The site for daily cartoons: more than 60 updating daily cartoons by top cartoonists.", "topic": "Top/Arts/Comics/Online/Directories", "url": "http://www.cagle.com/"} +{"d:Title": "Absurd Notions: Contemporaries", "d:Description": "A selection by Kevin Pease, arranged by publishing schedule in the manner of the Periodic Table and alphabetically, with descriptions.", "topic": "Top/Arts/Comics/Online/Directories", "url": "http://www.absurdnotions.org/clinks.html"} +{"d:Title": "Smack Jeeves Webcomic Hosting", "d:Description": "A webcomic portal that provides hosting and community services.", "topic": "Top/Arts/Comics/Online/Directories", "url": "http://www.smackjeeves.com/"} +{"d:Title": "Top Web Comics", "d:Description": "Categorizes comics by genre and ranks sites based on monthly user votes.", "topic": "Top/Arts/Comics/Online/Directories", "url": "http://topwebcomics.com/"} +{"d:Title": "The Web Comic List", "d:Description": "Presents a daily list of thousands of updated online comics.", "topic": "Top/Arts/Comics/Online/Directories", "url": "http://www.thewebcomiclist.com/"} +{"d:Title": "Quixo.com", "d:Description": "Magazine with Flash interactive comics, guides and lists. Subjects include travel, design and current events. Based in Los Angeles.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.quixo.com/"} +{"d:Title": "Low Art", "d:Description": "Online comics available in Java or Flash.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://lowart.org/"} +{"d:Title": "Yukyuk", "d:Description": "Funny animated Shockwave comics with user interaction.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.yukyuk.com/"} +{"d:Title": "Orbit Comics Presents", "d:Description": "Interactive stories involving all multimedia elements using java and Macromedia Flash. Combines animation and comics related elements.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.orbitcomics.com/"} +{"d:Title": "Venis Productions", "d:Description": "Rebus, an on-line comic about three interstellar entrepreneurs, and other Quicktime movies.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.venisproductions.com/"} +{"d:Title": "Snout", "d:Description": "Interactive Shockwave comics and gaming.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.snout.com/"} +{"d:Title": "Tedi Online", "d:Description": "Online comic following the adventures of a Kung Fu Teddy bear. Also has forums, concept art and downloadable movies.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.tedionline.com/"} +{"d:Title": "The Twisted Mind of Sofia", "d:Description": "3d animated comic book about a girl with a very twisted mind influenced by too much tv, movies, and video games. For teenagers and young adults.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.twistedsofia.com/"} +{"d:Title": "Avoid Spikes", "d:Description": "Slice-of-life series featuring characters Foxx and Mart.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://avoidspikes.dogsounds.com/"} +{"d:Title": "Popopt", "d:Description": "World and Virgo 3000 are fantasy and science-fiction based Flash-animated series.", "topic": "Top/Arts/Comics/Online/Multimedia", "url": "http://www.protopop.com/"} +{"d:Title": "Comics.com", "d:Description": "United Media offers online editions to many comic strips, like Alley Oop, Dilbert, Marmaduke, Nancy, and Peanuts.", "priority": "1", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://comics.com/"} +{"d:Title": "King Features Syndicate - Comics", "d:Description": "KFS has a load of comics and strips online from classic cartoons over adventure strips to modern humor. To name but a few: Spider-Man, Andy Capp, Beetle Bailey, Flash Gordon, Hagar the Horrible, the Phantom, Popeye, Zippy the Pinhead and Zits.", "priority": "1", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://www.kingfeatures.com/comics/"} +{"d:Title": "UExpress", "d:Description": "Official website of famous syndicated comics, editorial columnists and popular newspaper features. Read Dear Abby, Ann Coulter, Tom Toles and William F. Buckley Jr.", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://www.uexpress.com/"} +{"d:Title": "CartoonStock", "d:Description": "Comprehensive, searchable library of cartoons. Entries are catalogued by keyword, category and artists. With online examples and licencing information.", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://www.cartoonstock.com/"} +{"d:Title": "Washington Post Writers Group", "d:Description": "Syndicates strips such as Opus, Pickles, Red and Rover, and That's Life.", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://www.postwritersgroup.com/"} +{"d:Title": "Corbett Features Comics Syndicate", "d:Description": "Syndicates comic strips, such as Misfits by Brian Codagnone and Rafferty by Barry Corbett.", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://www.corbettfeatures.com/"} +{"d:Title": "ComicExchange", "d:Description": "Free comic strips from more than 40 cartoonists to your site daily. Plus an ad banner exchange service.", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://www.comicexchange.com/"} +{"d:Title": "Creators Syndicate Comics", "d:Description": "Provides link to syndicated comics.", "topic": "Top/Arts/Comics/Online/Syndicates", "url": "http://www.creators.com/comics.html"} +{"d:Title": "Anonima Fumetti - Italian Cartoonists Society", "d:Description": "Italian Cartoonists Society's and the Italian Comic Art Centre. In Italian and English.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.fumetti.org/"} +{"d:Title": "CartooNet", "d:Description": "The Global Agency for Cartoonists, Illustrators and Animators. Cartoon and Comics Paradise: on-line comic shop, agency for artists, gallery, news, networking and links a plenty", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.illustration-agency.com/"} +{"d:Title": "Comic Book Legal Defense Fund", "d:Description": "Exists to fight censorship and defend the first amendment rights of comic book professionals throughout the United States.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.cbldf.org/"} +{"d:Title": "National Cartooning Competition", "d:Description": "Information on the competition for primary and secondary schools in Australia, teaching cartooning and creativity. By the Australian Black and White Artists' Club and L. J. Hooker Ltd.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.stoplaughing.com.au/"} +{"d:Title": "National Cartoonists Society", "d:Description": "Information on recent legal issues, the Rueben award, how to become a cartoonist. Also, biographical notes on famed cartoonists.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.reuben.org/"} +{"d:Title": "Amateur and Professional Cartooning Association", "d:Description": "Trade group for professional and aspiring artists.", "topic": "Top/Arts/Comics/Organizations", "url": "http://perower.tripod.com/"} +{"d:Title": "Cartoon Art Museum of San Francisco", "d:Description": "A guide to this museum, contains information on exhibits. Also has an online store.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.cartoonart.org/"} +{"d:Title": "Caroline and Erwin Swann Foundation", "d:Description": "Contains information about the Swann Fellowship in Caricature and Cartooning as well as links to the Swann Gallery and Library of Congress exhibitions, collections, and resources.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.loc.gov/rr/print/swann/swannhome.html"} +{"d:Title": "Iranian Cartoonists on the Web", "d:Description": "Biographies and sample cartoons for several cartoonists.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.irancartoon.com/"} +{"d:Title": "The Cartoonists' Club of Great Britain", "d:Description": "An association of cartoonists and people associated with the profession. Includes members portfolios, meetings, events and related news.", "topic": "Top/Arts/Comics/Organizations", "url": "http://www.ccgb.org.uk/"} +{"d:Title": "Comicsoncdrom.com", "d:Description": "Classic comics are reissued on cd-rom by this company. Several installments are offered for sale.", "topic": "Top/Arts/Comics/Other_Media", "url": "http://www.comicsoncdrom.com/"} +{"d:Title": "Aporia Press", "d:Description": "Canadian publishers of short alternative comics 'with a vaguely postmodern bent'. With news, online samples and ordering information.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://aporia.brainmade.com/"} +{"d:Title": "Antarctic Press", "d:Description": "Comic book company located in San Antonio, specializing in manga and anime comics.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://www.antarctic-press.com/"} +{"d:Title": "Archie Comics", "d:Description": "Features pictures, games, contests, coloring pages and membership privileges.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://www.archiecomics.com/"} +{"d:Title": "Avatar Press", "d:Description": "The publisher of Snowman, Nira X, Widow, Donna Mia, Pandora, The Ravening, Lookers, and others. Has information on titles, image gallery and submission guidelines.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://www.avatarpress.com/"} +{"d:Title": "Amazing Montage Press", "d:Description": "Features mystery comics, and independent comics in general. It includes Amazing Montage Magazine, an independent comics review zine; and Indypreviews, a monthly look at the best upcoming independent comics.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://members.tripod.com/~amazingmontage/"} +{"d:Title": "Asylum Press", "d:Description": "Features horror and action comics for the new millennium. Hex Of The Wicked Witch, The Vampire Verses, galleries, news, previews, and an online store.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://www.asylumpress.com/"} +{"d:Title": "AC Comics", "d:Description": "Home of Femforce and Golden Age reprints. Find out the latest information about AC products coming down the pike, be introduced to the AC Comics' creative team, or just enjoy some original art.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://www.accomics.com/"} +{"d:Title": "Approbation Comics", "d:Description": "Comic book company features such titles as Vampires Unlimited, Alpha and Myriad. Concept drawings and illustrations.", "topic": "Top/Arts/Comics/Publishers/A", "url": "http://www.approbationcomics.com/"} +{"d:Title": "Blindwolf Studios", "d:Description": "Blindwolf Studios comic descriptions, letters, reviews and order forms.", "topic": "Top/Arts/Comics/Publishers/B", "url": "http://www.blindwolfstudios.com/"} +{"d:Title": "Beta 3 Comics", "d:Description": "Website is designed to help fans track release dates, and submit orders. Includes Sniper and Rook.", "topic": "Top/Arts/Comics/Publishers/B", "url": "http://members.tripod.com/betathree/"} +{"d:Title": "Cartoon Books", "d:Description": "The official BONE webpage--all information on Bone and its creator Jeff Smith. Lotsa news, character profiles, and stuff to order. Plus a big section of art, games, and other fun stuff submitted by Bone fans.", "topic": "Top/Arts/Comics/Publishers/C", "url": "http://www.boneville.com/"} +{"d:Title": "Claypool Comics", "d:Description": "The online home of Elvira, Deadbeats and Soulsearchers and Co.", "topic": "Top/Arts/Comics/Publishers/C", "url": "http://www.claypoolcomics.com/"} +{"d:Title": "Cryptic Press", "d:Description": "Cryptic Press's site with pictures and information about their comics, movies, and other projects.", "topic": "Top/Arts/Comics/Publishers/C", "url": "http://members.tripod.com/~crypticpress/index.html"} +{"d:Title": "Candle Light Press", "d:Description": "Information on Candle Lights ditto catalog (Nightcrawlers, Shades and Angels, Zoo Force) and crew. Previews, con news and special treats.", "topic": "Top/Arts/Comics/Publishers/C", "url": "http://www.candlelightpress.com/"} +{"d:Title": "Cheeky Press", "d:Description": "Sister company of Fluxus records and publishers of Nemesister and Astrothrill. News, background and ordering information.", "topic": "Top/Arts/Comics/Publishers/C", "url": "http://www.cheekypress.com/"} +{"d:Title": "The Tundra Bastille", "d:Description": "Images and information about Chaos! characters.", "topic": "Top/Arts/Comics/Publishers/C/Chaos", "url": "http://members.tripod.com/~VampiressKemira/index.html"} +{"d:Title": "Cyber Fiends", "d:Description": "Chaos! Comics Official Online Chapter of the Fiend Club.", "topic": "Top/Arts/Comics/Publishers/C/Chaos", "url": "http://cyberfiends.yuku.com/"} +{"d:Title": "Chaos! Comics", "d:Description": "Yahoo Chat Group.", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ChaosComics/"} +{"d:Title": "Yahoo! Groups : Chaos_comics_rpg", "d:Description": "A Role-playing group with Chaos comics characters.", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Chaos_comics_rpg/"} +{"d:Title": "Yahoo! Groups : chaoscomicscyberfiends", "d:Description": "Official Cyber Fiends Group. Chaos! chats held here!", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Chats_and_Forums", "url": "http://groups.yahoo.com/group/chaoscomicscyberfiends/"} +{"d:Title": "Chaos! Castle", "d:Description": "Yahoo Chat Group.", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Chats_and_Forums", "url": "http://groups.yahoo.com/group/chaoscastle/"} +{"d:Title": "Chaos! Rules Again", "d:Description": "Yahoo Chat Group.", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Chats_and_Forums", "url": "http://groups.yahoo.com/group/chaosrulesagain/"} +{"d:Title": "Yahoo! Groups : chaoscomics2", "d:Description": "Yahoo Chat Group", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Chats_and_Forums", "url": "http://groups.yahoo.com/group/chaoscomics2/"} +{"d:Title": "Deadly Deception", "d:Description": "Features Purgatori.", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Web_Rings", "url": "http://www.angelfire.com/ca2/LadyDeath014/deceptpurg.html"} +{"d:Title": "Hope's Lady Death Ring", "d:Description": "Hope's Lady Death Ring", "topic": "Top/Arts/Comics/Publishers/C/Chaos/Web_Rings", "url": "http://www.webring.org/hub?ring=hopesldring"} +{"d:Title": "Le Dernier Cri", "d:Description": "French publisher specialized in rather disturbing serigraphs and comics with a very low print run.", "topic": "Top/Arts/Comics/Publishers/D", "url": "http://www.lederniercri.org/"} +{"d:Title": "Editions Dupuis", "d:Description": "News, preview of comics, portrait of the different activities (publishing, multimedia, animated cartoons, and licensing) from Editions Dupuis. Also online comics and online animated cartoons.", "topic": "Top/Arts/Comics/Publishers/D", "url": "http://www.dupuis-entertainment.com/"} +{"d:Title": "Dark Horse Comics", "d:Description": "The official home of Dark Horse Comics.", "topic": "Top/Arts/Comics/Publishers/D", "url": "http://www.darkhorse.com/"} +{"d:Title": "DMF Comics", "d:Description": "DMF Comics publishes Demented: Scorpion Child, a bimonthly, 5-issue limited series comic book. If you like realistic action, humour, and gut churning fun, you will like Demented.", "topic": "Top/Arts/Comics/Publishers/D", "url": "http://www.dmfcomics.com/"} +{"d:Title": "Drawn And Quarterly", "d:Description": "Publishers of independent comics, offers information on their artists and their respective works, news and previews, an online store and a sketchbook by Palookaville cartoonist Seth.", "topic": "Top/Arts/Comics/Publishers/D", "url": "http://www.drawnandquarterly.com/"} +{"d:Title": "D. C. Thomson&Co.", "d:Description": "Publisher of The Beano since '38. The gang's all here: Dennis the Menace, Roger the Dodger, Minnie the Minx. Games, puzzles, character profiles, Beano history, online ordering.", "topic": "Top/Arts/Comics/Publishers/D", "url": "https://www.beano.com/"} +{"d:Title": "Birdwatching: Birds of Prey", "d:Description": "Devoted to Dinah Lance - Black Canary and Barbara Gordon - Oracle; their work separately and together as the Birds of Prey in the DC Comics Universe.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.canarynoir.com/"} +{"d:Title": "DC Comics", "d:Description": "The official DC Comics web page. Plenty of information about all their titles. Listen to the Superman radio show. Chat, trivia questions, and an Email newsletter.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.dccomics.com/"} +{"d:Title": "Comic Book Cover Gallery", "d:Description": "Comic book cover gallery with scans of silver age and golden age comics. Featuring The Flash, Atom, Hawkman, Doom Patrol, Metamorpho, Sea Devils, Strange Adventures and Justice League covers.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://members.tripod.com/~testiculos/"} +{"d:Title": "Bri2k's Superman vs Batman", "d:Description": "Brief overviews of Superman and Batman, some pictures and a chance to vote for which hero is better.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.angelfire.com/ny4/supermanvsbatman/opening.html"} +{"d:Title": "The Hembeck Files", "d:Description": "Semi-official website showcasing Fred Hembeck's DC Universe cartoons as they appeared in the Daily Planet in the 1970's. Also includes commentary and history related to each strip.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.proudrobot.com/hembeck/"} +{"d:Title": "The New Gods Library", "d:Description": "The ultimate online resource for Jack Kirby's New Gods and the Fourth World.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://fastbak.tripod.com/"} +{"d:Title": "Mike's Amazing World of DC Comics", "d:Description": "Includes indexes to popular DC Silver and Golden Age comics, including character appearances, story synopses, and cover scans for thousands of DC comic books.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.dcindexes.com/"} +{"d:Title": "Etrigan The Demon", "d:Description": "The Demon contains checklist and appearance information of the Jack Kirby created characters (ie. Etrigan and Jason Blood)in the DC Universe comic books.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.angelfire.com/on3/smygan/demon/"} +{"d:Title": "Do You Dare Enter.... The House of Mystery?", "d:Description": "An extensive, highly opinionated look at Cain the Caretaker of the House of Mystery from DC's old horror books, and more recently Sandman, and the Dreaming.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.angelfire.com/comics/cainhom/"} +{"d:Title": "WildStorm", "d:Description": "Information on the house that Jim Lee built, and which is the creative force behind Gen13, WildC.A.T.S., Darkchylde and other fan favourites. News on the people behind the comics, an art gallery and an online shop.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.dccomics.com/wildstorm/"} +{"d:Title": "Adam Strange: Classic Comic Books", "d:Description": "Provides summaries of Adam Strange's exploits in the now difficult to find copies of Showcase and Mysteries in Space from 1958 to 1964.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://mikegrost.com/strange.htm"} +{"d:Title": "Classic Comic Books", "d:Description": "Written by Michael E. Grost it deals primarily with DC items, containing reviews and articles about comic strips and comic books, and articles on their writers and artists.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://mikegrost.com/comics.htm"} +{"d:Title": "Cosmic Teams", "d:Description": "Knowledge on the JLA, JSA, Legion, and Outsiders.", "topic": "Top/Arts/Comics/Publishers/D/DC", "url": "http://www.cosmicteams.com/"} +{"d:Title": "The Lunar Vault", "d:Description": "Annotations for Grant Morrison's Invisibles by Ted Faber.", "topic": "Top/Arts/Comics/Publishers/D/DC/Vertigo", "url": "http://www.lunabase.org/~faber/Vault/"} +{"d:Title": "Evil Twin Comics", "d:Description": "Publisher of Tommy Atomic by Ryan Dunlavey", "topic": "Top/Arts/Comics/Publishers/E", "url": "http://www.eviltwincomics.com/"} +{"d:Title": "Fantagraphics Books", "d:Description": "The publishers of the world's greatest cartoonists present information on new releases, artists and an online shop (with catalogue). Also information on the Comics Journal and Eros Comics.", "topic": "Top/Arts/Comics/Publishers/F", "url": "http://www.fantagraphics.com/"} +{"d:Title": "Fireman Press", "d:Description": "The publishers of Scud: Disposable Assassin and La Cosa Nostroid with information on what it's all about, what to expect and where to find it.", "topic": "Top/Arts/Comics/Publishers/F", "url": "http://www.scud.com/"} +{"d:Title": "Fringe Comics", "d:Description": "A company that listened to its readers. Tired of the g-string heroes? Wanted a story? Not only did the company listen, but the audience helped develop every aspect of the comic.", "topic": "Top/Arts/Comics/Publishers/F", "url": "http://www.angelfire.com/ca3/fringecomics/"} +{"d:Title": "Green Man Press", "d:Description": "This is master illustrator Charles Vess's company which publishes (a.o.) his Books of Ballads And Sagas. A simple, but beautiful site with a lot of delightful graphics, an online store and links.", "topic": "Top/Arts/Comics/Publishers/G", "url": "http://www.greenmanpress.com/"} +{"d:Title": "Gemstone Publishing, Inc.", "d:Description": "Publishers of EC reprints, the Overstreet guides, Fan Magazine a.o.", "topic": "Top/Arts/Comics/Publishers/G", "url": "http://www.gemstonepub.com/"} +{"d:Title": "Graphitti Designs", "d:Description": "Producers of comics related paraphernalia (t-shirts, sculptures, and magnets), Graphitti Designs also publishes softcover books and limited edition hardcovers. Information on products and ordering.", "topic": "Top/Arts/Comics/Publishers/G", "url": "http://www.graphittidesigns.com/"} +{"d:Title": "Gravity Well Publications", "d:Description": "Home of Muggs Mulcher, the meanest, toughest, ugliest man in the Multiverse.", "topic": "Top/Arts/Comics/Publishers/G", "url": "http://www.muggsmulcher.com/"} +{"d:Title": "Ghettostone Publications Company", "d:Description": "African American independent comic book publications company. Titles list, images, contact information and links.", "topic": "Top/Arts/Comics/Publishers/G", "url": "http://www.ghettostone.com/"} +{"d:Title": "G.T. Labs", "d:Description": "Publishers of Two Fisted Science, a Xeric Award-winning book features true stories from the history of science. Site features outtakes and previews.", "topic": "Top/Arts/Comics/Publishers/G", "url": "http://www.gt-labs.com/"} +{"d:Title": "Gladstone Comics", "d:Description": "The past publishers of Disney comics in the USA.", "topic": "Top/Arts/Comics/Publishers/G", "url": "http://www.brucehamilton.com/GLADSTONE/newgladalbpage.htm"} +{"d:Title": "Heroic Publishing", "d:Description": "Publishers of Flare, League of Champions, Eternity Smith, Captain Thunder, Murcielaga She-Bat, and other Heroic characters.", "topic": "Top/Arts/Comics/Publishers/H", "url": "http://www.heroicpub.com/"} +{"d:Title": "Harris Comic Presents", "d:Description": "Official site, offers news and information from the source, Harris Comics.", "topic": "Top/Arts/Comics/Publishers/H", "url": "http://www.vampirella.com/"} +{"d:Title": "IDW Publishing", "d:Description": "Publisher of comics such as 30 Days of Night, Popbot, and Gene Pool. Includes previews and galleries.", "topic": "Top/Arts/Comics/Publishers/I", "url": "http://www.idwpublishing.com/"} +{"d:Title": "James Hudnall: Halloween Comics", "d:Description": "The writer of ESPers, Devastator, Age of Heroes, Shut Up and Die. Art scans, ordering information, advice for aspiring writers and self-publishers, fiction, art gallery.", "topic": "Top/Arts/Comics/Publishers/I/Image", "url": "http://thehud.com/"} +{"d:Title": "Top Cow", "d:Description": "Top Cow Productions - comic book art, collector trading cards, interactive games, chat, message boards, shopping and news about their hottest properties, including Witchblade and The Darkness.", "topic": "Top/Arts/Comics/Publishers/I/Image", "url": "http://www.topcow.com/"} +{"d:Title": "Image Comics", "d:Description": "Official Website for Image Comics. Just a collection of links.", "priority": "1", "topic": "Top/Arts/Comics/Publishers/I/Image", "url": "http://www.imagecomics.com/"} +{"d:Title": "Jinxworld", "d:Description": "The official site for Brian Bendis' Jinx comics. With information on upcoming events, art samples.", "topic": "Top/Arts/Comics/Publishers/I/Image", "url": "http://www.jinxworld.com/"} +{"d:Title": "Ultra-Image Comics", "d:Description": "Galleries of art from Image titles, information on the creators.", "topic": "Top/Arts/Comics/Publishers/I/Image", "url": "http://www.angelfire.com/md2/image/"} +{"d:Title": "Snake's Domain", "d:Description": "Dedicated to Spawn and Metal Gear Solid images. Includes galleries of Spawn and other Image characters.", "topic": "Top/Arts/Comics/Publishers/I/Image", "url": "http://www.angelfire.com/on2/snakesdomain/"} +{"d:Title": "Little Red Hot", "d:Description": "Official site for the comic book \"Little Red Hot\" published by Image Comics and created by Dawn Brown.", "topic": "Top/Arts/Comics/Publishers/I/Image", "url": "http://www.littleredhot.bizland.com/"} +{"d:Title": "Kenzer and Company", "d:Description": "Home of the Knights of the Dinner Table comic book on the subject of games and gamers.", "topic": "Top/Arts/Comics/Publishers/K", "url": "http://www.kenzerco.com/"} +{"d:Title": "Max Bunker Press", "d:Description": "Italian publishers of Alan Ford in different formats. Previews, information on Alan Ford, the characters, videos and international rights. In English and Italian.", "topic": "Top/Arts/Comics/Publishers/M", "url": "http://www.maxbunker.it/"} +{"d:Title": "Marvel Entertainment", "d:Description": "News and information direct from the publisher. Offers previews, subscriptions, character biographies, community and fan resources, and paraphernalia.", "priority": "1", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.marvel.com/"} +{"d:Title": "Marvel Comic Fanpage", "d:Description": "News, character popularity polls, and games.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.vollspackenalarm.de/marvel/"} +{"d:Title": "New Marvel Fan Fiction", "d:Description": "Created by a group of people who were dissatisfied with the storyline continuity after \"Operation: Zero Tolerance\", this site attempts to revise the official storyline with their own.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.angelfire.com/comics/newmarvel/"} +{"d:Title": "Marvel Gallery", "d:Description": "Brief introduction and pictures of characters such as the X-men, Spiderman and Wolverine.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.angelfire.com/wa/marvelpics/"} +{"d:Title": "The Marvel Chronology Project", "d:Description": "Chronological listings for every character in the Marvel Universe.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.chronologyproject.com/"} +{"d:Title": "Make Mine Marvel", "d:Description": "An online encyclopedia of Marvel titles. Also provides community chat, email and bulletin board.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.angelfire.com/or2/marvel/"} +{"d:Title": "Marvel NeXt", "d:Description": "Includes biographies, fan fiction, fan art, and mock battle scenarios.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.angelfire.com/comics/DcVsMarvel/Marvel.html"} +{"d:Title": "Marvel Value Stamps Index", "d:Description": "A guide to the Marvel Comics Stamp Book program which ran during 1974-1975. Offers gallery of stamps, books, and covers involved.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://www.mvstamps.com/"} +{"d:Title": "Jay's Comic Book Compendium", "d:Description": "Provides an online guide to various comic book series. Includes an issue-by-issue review with cover gallery, credits, synopses, notes, and commentary.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://marvel1980s.blogspot.com/"} +{"d:Title": "Marvel Database Project", "d:Description": "Online collaborative collection of Marvel and DC comics information organized by fans. Features gallery of comic book art and project forums in addition to the contributed articles.", "topic": "Top/Arts/Comics/Publishers/M/Marvel", "url": "http://marvel.wikia.com/"} +{"d:Title": "NBM Publications", "d:Description": "NBM publishes graphic novels, showcasing the diversity of comics from North America and Europe. Information on titles from humor to the erotic, SciFi to regular fiction. With a secure ordering system.", "topic": "Top/Arts/Comics/Publishers/N", "url": "http://www.nbmpub.com/"} +{"d:Title": "Nifty Comics Online", "d:Description": "Nifty Comics is the publisher of Cadre, Thunderhawk, Garou and White Dwarf (a.o., I'm sure). On its website, it gives news and previews, a webstore and submission guidelines.", "topic": "Top/Arts/Comics/Publishers/N", "url": "http://www.niftycomics.com/"} +{"d:Title": "New Breed Comics", "d:Description": "Publishers of future comic Tohubohu.", "topic": "Top/Arts/Comics/Publishers/N", "url": "http://www.newbreedcomics.com/"} +{"d:Title": "Pagan City Comics", "d:Description": "Pagan City Comics, Home of Mystic For Hire. With previews, creator information and stuff for sale.", "topic": "Top/Arts/Comics/Publishers/P", "url": "http://www.pagancity.com/"} +{"d:Title": "Penny-Farthing Press", "d:Description": "Publisher of The Victorian, Decoy, Zendra, Captain Gravity, and The Loch.", "topic": "Top/Arts/Comics/Publishers/P", "url": "http://www.pfpress.com/"} +{"d:Title": "Radio Comix", "d:Description": "Publishers of Furrlough, Genus and other comics. Previews, news, and ordering information.", "topic": "Top/Arts/Comics/Publishers/R", "url": "http://www.radiocomix.com/"} +{"d:Title": "Spungifeel Comics", "d:Description": "Minicomics for sale, plus other online comics.", "topic": "Top/Arts/Comics/Publishers/S", "url": "http://www.somedaze.com/"} +{"d:Title": "Shadowhouse Press", "d:Description": "Publishers of Shadow House, a horror comic anthology. With publication news, ordering information and exclusive online horror fiction.", "topic": "Top/Arts/Comics/Publishers/S", "url": "http://www.shadowhouse.com/"} +{"d:Title": "SilverWolf Online", "d:Description": "The history of SilverWolf and Greater Mercury Comics with covers gallery and checklists.", "topic": "Top/Arts/Comics/Publishers/S", "url": "http://www.keithpaquette.com/silverwolfcomics/"} +{"d:Title": "TheComic.com", "d:Description": "Various titles created by Gareth Hinds including Beowulf, Bearskin: A Grimm Tale, and the free online comic book Deus Ex Machina.", "topic": "Top/Arts/Comics/Publishers/T", "url": "http://www.thecomic.com/"} +{"d:Title": "Twomorrows Magazine Group", "d:Description": "Home of The Jack Kirby Collector, Comic Book Artist, and Alter Ego.", "topic": "Top/Arts/Comics/Publishers/T", "url": "http://www.twomorrows.com/"} +{"d:Title": "Top Shelf Comix", "d:Description": "Complete online catalog of underground books, comics, minicomics and posters, including the Top Shelf Anthology. Also news, information and online ordering system and information on Chris Staros' international endeavours, with stuff on Bacchus, Strangehaven, and Dee Vee.", "topic": "Top/Arts/Comics/Publishers/T", "url": "http://www.topshelfcomix.com/"} +{"d:Title": "Yi.magination Studios", "d:Description": "Publisher of FLOAT, a comic book about millennium, reincarnation and spiritual enlightenment.", "topic": "Top/Arts/Comics/Publishers/Y", "url": "http://www.yimagination.com/"} +{"d:Title": "Yakking Bull Comics", "d:Description": "Small press creator and publisher of The Totally Misguided (not-to-scale) Saga of Roadkill Joe, a comic for not-so-mature readers.", "topic": "Top/Arts/Comics/Publishers/Y", "url": "http://www.angelfire.com/biz4/roadkilljoe/"} +{"d:Title": "Digital Webbing", "d:Description": "Comic book news and web site announcement web site with daily comic book related columns", "priority": "1", "topic": "Top/Arts/Comics/Resources", "url": "http://www.digitalwebbing.com/"} +{"d:Title": "New Comic Book Releases List", "d:Description": "Weekly list of new comic book releases, published on usenet and on this site, along with reviews, news, the Late Comics Report and links to publishers, distributors and professionals", "topic": "Top/Arts/Comics/Resources", "url": "http://www.comiclist.com/"} +{"d:Title": "UGcomix.info", "d:Description": "A resource for collectors of underground 'Head' Comix of the 60's and 70's. Includes the Visual Guide to Underground Comix Reprints, a 1100 plus Cover Gallery, the NARK Project, and mucho Comix Links. Collectors' participation encouraged. Classix Illustrated.", "topic": "Top/Arts/Comics/Resources", "url": "http://www.ugcomix.info/"} +{"d:Title": "ComicArtFans.com", "d:Description": "Comic art web site that assists comic art collectors interested in sharing their collected works and knowledge of the hobby with others.", "topic": "Top/Arts/Comics/Resources", "url": "http://www.comicartfans.com/"} +{"d:Title": "Fandom FAQ", "d:Description": "Frequently Asked Questions for alt.comics.fandom. By Kate The Short.", "topic": "Top/Arts/Comics/Resources", "url": "http://www.faqs.org/faqs/comics/fandom/welcome/"} +{"d:Title": "Comic Artists Direct", "d:Description": "Articles about and artwork from comic book artists offering commissioned work, published pages and comic book products from Scott Rosema, Bill Bryan, Gary Scott Beatty, James Lyle and Anthony Cacioppo. Includes articles and regular columns covering the industry.", "topic": "Top/Arts/Comics/Resources", "url": "http://www.comicartistsdirect.com/"} +{"d:Title": "Comic Statues Collectors Database", "d:Description": "German database of statues, busts and statuettes based on a comic characters", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.raumhafen.de/galerie/statues/index.html"} +{"d:Title": "ComicsPriceGuide.com", "d:Description": "A free online price guide for comic book with the ability to track the value your comics.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.comicspriceguide.com/"} +{"d:Title": "Nostomania", "d:Description": "Free online comic book collection, wantlist, and sales inventory tracking.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.nostomania.com/"} +{"d:Title": "Lyria Exchange", "d:Description": "Tracks collectible trends on Ebay.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.lyriacomicexchange.com/"} +{"d:Title": "ComicMonsters.com", "d:Description": "Offers news and resources for horror comic books. Includes book reviews, interviews, and forums.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.comicmonsters.com/"} +{"d:Title": "StashMyComics.com", "d:Description": "Online comic book database for tracking your comic book collection", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.stashmycomics.com/"} +{"d:Title": "GPAnalysis for Comics", "d:Description": "GPAnalysis for CGC Comics enables comic traders to instantly determine current and past prices realized for graded comic books", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.gpanalysis.com/"} +{"d:Title": "Psychosaurus", "d:Description": "Art gallery dedicated to Wally Wood, one of the most underrated comic book artists of our age. Also, a cover gallery of the original Turok, Son of Stone comic book which was published from the 1950s into the 1980s.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://psychosaurus.com/"} +{"d:Title": "Collectorz.com Comic Collector", "d:Description": "Comic Collector is a program for organizing your personal collection of comics. It can be used to create a file with detailed information about your comic collection.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.collectorz.com/comic/"} +{"d:Title": "Realms of Wonder Comic Tracking Software", "d:Description": "Software to keep track of you comic book collection.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://www.realmsofwonder.com/"} +{"d:Title": "The Incomplete Comicbook Artist Checklist", "d:Description": "Bibliographies and news updates concerning Chris Bachalo, Bernie Wrightson, Alex Ross, Tim Sale, John Romita Jr., John Cassaday and others.", "topic": "Top/Arts/Comics/Resources/Collecting", "url": "http://members.ziggo.nl/pafrankn/"} +{"d:Title": "Small Press Comics FAQ", "d:Description": "FAQ for self-publishing small press comics [minicomics].", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.sentex.net/~sardine/spfaq.html"} +{"d:Title": "Polykarbon", "d:Description": "Tutorials on drawing males, females, mechs, effects and comics in general.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.polykarbon.com/"} +{"d:Title": "Digital Prepress for Comics", "d:Description": "Information and sale of \"Digital Prepress for Comic Books\" by Kevin Tinsley. Also provides prepress and consulting services.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://stickmangraphics.com/"} +{"d:Title": "Scott McDaniel", "d:Description": "Includes recommended books, drawing exercises, and tutorials on topics such as cover design, studio gear and perspective.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.scottmcdaniel.net/"} +{"d:Title": "Creating Comics Resource Site", "d:Description": "The resource source of information for comic writers, artists, letterers, and self-publishers.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.members.shaw.ca/creatingcomics/"} +{"d:Title": "Tools for Comics Creators", "d:Description": "Tools for comic book creators, including the \"Comic Ground\" collaboration area, the Gutters and Closure mailing lists, and the FireBlade FreeForm.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.hoboes.com/html/Comics/Creators/"} +{"d:Title": "Blambot", "d:Description": "Comic fonts and lettering. Some of the fonts are free.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.blambot.com/"} +{"d:Title": "Visual Reference for Comic Artists by Buddy Scalera", "d:Description": "A visual reference CD created by comic book writer Buddy Scalera", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.buddyscalera.com/"} +{"d:Title": "Funnybone Studios", "d:Description": "Offers creative cartoon and promotional design for sales meetings, presentation and web sites. Broadcast toons and animations that move from storyboards to finished art that complete sales and tickle your funnybone.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.gsp-ind.com/funnybone"} +{"d:Title": "Hollywood Comics", "d:Description": "Agency assisting comic-book creators in selling and negotiating the rights to their properties and services.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.hollywoodcomics.com/agency.html"} +{"d:Title": "Blazedent", "d:Description": "Comic book writing, publishing, drawing, inking and coloring tutorials as well as message boards, and art galleries.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.blazedent.com/"} +{"d:Title": "The Center for Cartoon Studies", "d:Description": "The Center for Cartoon Studies offers a two-year course of study that centers on the creation and dissemination of comics, graphic novels and other manifestations of the visual narrative.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.cartoonstudies.org/"} +{"d:Title": "Witzworx", "d:Description": "Comic book shareware font.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.ronevry.com/witzworx.html"} +{"d:Title": "Tribe: Comic Creators Invited", "d:Description": "A place for comic book creators (artists, inkers, writers, publishers, and others) to come and talk and make connections.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://comiccreators.tribe.net/"} +{"d:Title": "Tribe: Comics Thought, Theory, and Practice", "d:Description": "A place for cartoonists and comic lovers everywhere to exchange ideas and thoughts on the art of sequencial art (comics).", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://sequencialart.tribe.net/"} +{"d:Title": "Balloon Tales", "d:Description": "Online guide to comic book lettering and production. Features tips and tricks, articles and newsletters.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.balloontales.com/"} +{"d:Title": "Tribe: Indie Comics", "d:Description": "A group for those DIY, non-superhero-type comics.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://indiecomics.tribe.net/"} +{"d:Title": "Flickr: Selfmade comic", "d:Description": "Selfmade comics, made with paint program.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://flickr.com/groups/22569357@N00/"} +{"d:Title": "Flickr: Personal Comic Strips", "d:Description": "Forum for posting your comics and getting feedback from others who draw/ create personal comics too.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://flickr.com/groups/47037327@N00/"} +{"d:Title": "Flickr: The Comics Group", "d:Description": "A forum for comic creators to show off their skills.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://flickr.com/groups/comics/"} +{"d:Title": "Flickr: Manga and Comic Creators", "d:Description": "This group focuses on the creation and promotion of comics and manga that you have created.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://flickr.com/groups/wemakecomics/"} +{"d:Title": "Flickr: Photoshop Comics", "d:Description": "Pictures transformed to comic books.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://flickr.com/groups/19914526@N00/"} +{"d:Title": "Flickr: Comix", "d:Description": "A forum to showcase and discuss your independent comic art.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://flickr.com/groups/comix_art/"} +{"d:Title": "HumorQ", "d:Description": "This site hosts a daily cartoon caption contest where members maintain a score defining how funny they are. Members both judge and submit.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.humorq.com/"} +{"d:Title": "Gag Cartoons", "d:Description": "Gregory Kogan's articles and tutorials for every aspect of cartoon illustration.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.gagcartoons.com/"} +{"d:Title": "WhizBang Comic Book Font", "d:Description": "A computer font for professional comics lettering. Available in Type2 and TTF. From Studio Daedalus.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.whizbangfont.com/"} +{"d:Title": "The Kubert School", "d:Description": "Offers correspondence courses and an online supply depot.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://www.kubertschool.edu/"} +{"d:Title": "Press Gang", "d:Description": "Publishers/ collective family style floating world comics study group.", "topic": "Top/Arts/Comics/Resources/Creating", "url": "http://press-gang.tumblr.com/"} +{"d:Title": "R.C. Harvey", "d:Description": "Homepage for R. C. Harvey, author of \"The Art of the Funnies\" and \"The Art of the Comic Book\". With information on both books and columns about comics and strips in general.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.rcharvey.com/"} +{"d:Title": "Ka-boom! - A Dictionary of Comicbook Words on Historical Principles", "d:Description": "An alphabetical list of all the words that are typical for comic books (onomatopaea, e.a.), with variants and locations. Compiled by Kevin Taylor.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://collection.nlc-bnc.ca/100/200/300/ktaylor/kaboom/Kaboomhome.htm"} +{"d:Title": "BZZURKK! - The Thesaurus of Champions", "d:Description": "Thesaurus of comic book onomatopaea. Starting from the sound, this site lists the different words used to express it. By Kevin Taylor.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://collection.nlc-bnc.ca/100/200/300/ktaylor/kaboom/bzzurkk.htm"} +{"d:Title": "the Wally Wood letters", "d:Description": "Correspondence between John Hitchcock and the great comic book artist Wally Wood in the last years of his life. 18 letters, annotated by Hitchcock.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.tvparty.com/comics/wood.html"} +{"d:Title": "Jai2", "d:Description": "The official website for Manga historian and scholar Frederick L. Schodt, with the latest news on all of his projects, and interviews with creators.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.jai2.com/"} +{"d:Title": "Grand Comic Book Database", "d:Description": "Indexing every comic book ever made from all over the world. Online searchable database and email chat.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.comics.org/"} +{"d:Title": "Comics 1 - Fine Arts 0 : The Site", "d:Description": "This site presents the American scientist Roger Franck Black's notebook, in which he tries to prove that fine art is derived from comics, and not vice versa. A very nice piece by Laurent Bourrouilhou", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.zarcrom.com/users/comics/"} +{"d:Title": "Comic Art&Graffix Gallery Virtual Museum&Encyclopedia", "d:Description": "Offers a chronological and pictorial history of the medium, biographies of creators and publishers, and a gallery of comic book art.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.comic-art.com/"} +{"d:Title": "Fredric Wertham: Anti-Comics Crusader Who Turned Advocate", "d:Description": "A page about the famous American psychiatrist who believed comic books inspired young people to commit crime, and who later became a comic book fan himself.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://art-bin.com/art/awertham.html"} +{"d:Title": "Camelot In Four Colors", "d:Description": "A survey of the Arthurian legend in comic books and strips", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.camelot4colors.com/"} +{"d:Title": "COMIXSCHL-LIST Home Page", "d:Description": "The comics scholars' discussion list, serves as an academic forum for those involved in research, criticism and teaching related to comics art.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.english.ufl.edu/comics/scholars/"} +{"d:Title": "Australian DC Comics Reprint Gallery", "d:Description": "Documents Australian DC Comic reprints from the 1940s to 1980s by Colour Comics, Planet Comics, Murray Comics, Federal Comics and Australian Edition DC.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia", "url": "http://www.ausreprints.com/"} +{"d:Title": "Comics Research Bibliography", "d:Description": "Very exhaustive and profound bibliography on comics and comics-related subjects. Categories include history, criticism and education; features and reviews; business and marketing; original works. Also a shortlist of very good comics sites.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia/Bibliography", "url": "http://www.rpi.edu/~bulloj/comxbib.html"} +{"d:Title": "Resources For The Study of Comics", "d:Description": "Pointers to sites of scholarly interest : bibliographic resources, critical materials, libraries, conferences, and discussion.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia/Bibliography", "url": "http://www-personal.umich.edu/~cmarx/resources.html"} +{"d:Title": "Comic Art in Scholarly Writing: A Citation Guide", "d:Description": "Bibliographic citation is problematic when dealing with comic art, and particularly in the case of comic books. This guide functions as a set of solutions for these problems, as developed by the Comic Art and Comics area of the Popular Culture Association.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia/Bibliography", "url": "http://www.comicsresearch.org/CAC/cite.html"} +{"d:Title": "Camelot 3000 and Beyond: An Annotated Listing of Arthurian Comic Books Published in the United States 1980-1998", "d:Description": "Comic books based on the legend of King Arthur, covering works published in America between 1980 and 1998.", "topic": "Top/Arts/Comics/Resources/Research_and_Academia/Bibliography", "url": "http://d.lib.rochester.edu/camelot/text/torregrossa-camelot-3000-and-beyond-an-annotated-listing"} +{"d:Title": "Mile High Comics", "d:Description": "Offers comics through its website. Updated daily.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.milehighcomics.com/"} +{"d:Title": "Quimby's", "d:Description": "Specialized in zines, small press and other unusual publications. With online catalog and order form.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.quimbys.com/"} +{"d:Title": "Stewart's Comics", "d:Description": "Buy, sell, trade Marvel, DC, Image, Dark Horse, and Valiant comics.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.indenter.com/comics"} +{"d:Title": "Topshelf Comics", "d:Description": "Comics, Mad magazines and comic related merchandise.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.tcomics.com/"} +{"d:Title": "Nostalgia Zone", "d:Description": "Carrying old comics, magazines, and pulps that are hard to find.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.nostalgiazone.com/"} +{"d:Title": "Lee's Comics", "d:Description": "Comics, graphic novels, alternative comics, manga and anime from the 1930s to the present.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.lcomics.com/"} +{"d:Title": "Richmond Comix", "d:Description": "Sell comics and provides information on local artists, interviews, reviews and publication schedules.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.richmondcomix.com/"} +{"d:Title": "M&M Comic Service", "d:Description": "Comics subscription service with an online ordering system, a picture gallery, comments and reviews and an online comic, \"Big Tough Guy\".", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.mmcomics.com/"} +{"d:Title": "Lost World of Wonders", "d:Description": "From mainstream and independent comics, anime and manga, Japanese imports, cds, models, and snacks, and domestic action figures.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.lostwonders.com/"} +{"d:Title": "Trade-A-Tape Comic Center", "d:Description": "Back issues, new releases and graphic novels.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.tradeatapecomiccenter.com/"} +{"d:Title": "Wizard's Comics and Collectibles", "d:Description": "Carrying back issue comics and a large selection of customizable card games and video games.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.wizards-comics.com/"} +{"d:Title": "The Master List", "d:Description": "An indexed directory of many comic book stores and trading card stores in the United States and Canada.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.the-master-list.com/"} +{"d:Title": "Scott's Comics", "d:Description": "Comics selection from 1960 - present.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.scottscomics.com/"} +{"d:Title": "Tales Of Wonder", "d:Description": "Featuring trade paperbacks, graphic novels, statues and comic merchandise.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.talesofwonder.com/"} +{"d:Title": "Tomorrow's Nostalgia", "d:Description": "Comic books, trade paperbacks, graphic novels and comic related merchandise.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.tomorrowsnostalgia.com/"} +{"d:Title": "Just Comics", "d:Description": "Comics and supplies.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.justcom.demon.co.uk/"} +{"d:Title": "Westfield Comics", "d:Description": "Mail order company specializing in comic books, entertainment and collectible merchandise.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.westfieldcomics.com/"} +{"d:Title": "Incognito Comics", "d:Description": "Offers online purchasing and fully searchable comic listing for a huge collection of old, new and rare comics.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.incognitocomics.co.uk/"} +{"d:Title": "Impulse Creations", "d:Description": "Back issue comics at discounted prices.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.impulsecreations.net/"} +{"d:Title": "Krypton Komics", "d:Description": "Back issue American comic books available for online ordering. Stock ranges from 1945 to date.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.kryptonkomics.com/"} +{"d:Title": "Southern California Comics", "d:Description": "Selection of comic book back issues from the Golden Age to the present.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.socalcomics.com/"} +{"d:Title": "Silver Acre Comics", "d:Description": "Features a large comic catalogue 1933 - 2002.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.silveracre.com/"} +{"d:Title": "Comicopia", "d:Description": "Stocking a wide selection of book trade paperbacks, comics and related merchandise.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.comicopia.com/"} +{"d:Title": "Empire Collectibles", "d:Description": "Specializing in rare Golden Age comic books, Silver Age keys and Pulp Magazines from 1930's to date.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.empirecollectibles.com/"} +{"d:Title": "Time Warp Comics", "d:Description": "Boulder, CO based comics retailer with large selection of independent comics.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.time-warp.com/"} +{"d:Title": "Time Warp Comics and Games", "d:Description": "Retail comics store based in Cedar Grove, New Jersey.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.timewarpcomics.com/"} +{"d:Title": "Reed Comics", "d:Description": "Original artwork, lithos, statues and a vast range of comics.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.reedcomics.com/"} +{"d:Title": "Navrang Inc.", "d:Description": "Sells Amar Chitra Katha, Asterix, Tintin, and Enid Blyton comics.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.navrang.com/"} +{"d:Title": "Hijinx Comics", "d:Description": "Specializing in new and vintage comics and graphic novels. Previews of each week's new comics.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.wackyhijinx.com/"} +{"d:Title": "Heroes Comic Books", "d:Description": "Comics books, back issues, and original art.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.heroescomicbooks.com/"} +{"d:Title": "Superworld Comics", "d:Description": "Collectible and investment comic books from the Golden Age, Silver Age and Bronze Age.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.superworldcomics.com/"} +{"d:Title": "Comics For Sale", "d:Description": "Sell your comics online.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.comicsforsale.com/"} +{"d:Title": "Quality Comix", "d:Description": "Specializing in high grade Silver Age and Bronze Age comic books.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.qualitycomix.com/"} +{"d:Title": "Copperhead", "d:Description": "Maryland store selling new and back issue comic books, supplies and news.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.copper-head.com/"} +{"d:Title": "Heritage Auctions, Inc.", "d:Description": "Rare and collectible comic books, vintage comics, and original and animation art available in online and live auctions.", "topic": "Top/Arts/Comics/Retailers", "url": "http://comics.ha.com/"} +{"d:Title": "Merlyn's Comics, Games and Books", "d:Description": "Online and retail sales of comics, games, graphic novels and back issues.", "topic": "Top/Arts/Comics/Retailers", "url": "http://www.merlyns.biz/"} +{"d:Title": "Comic Encounters", "d:Description": "A Canadian retailer of games, comics, cards and other collectibles. Located in Terrace, British Columbia.", "topic": "Top/Arts/Comics/Retailers/Canada", "url": "http://www.comic-encounters.com/"} +{"d:Title": "Comic Kazi", "d:Description": "Comic book and collectibles store located in Calgary, Alberta, Canada.", "topic": "Top/Arts/Comics/Retailers/Canada", "url": "http://www.comickazi.com/"} +{"d:Title": "Rx Comics", "d:Description": "A full service, independent comic store in Vancouver, BC, Canada", "topic": "Top/Arts/Comics/Retailers/Canada", "url": "http://www.rxcomics.com/"} +{"d:Title": "Strange Adventures Comic Bookshop", "d:Description": "Canadian and local comic books and other collectibles.", "topic": "Top/Arts/Comics/Retailers/Canada", "url": "http://www.strangeadventures.com/"} +{"d:Title": "Unreal Books", "d:Description": "A site selling original comic art pages and toy box art. It also offers a selection of official comic book artists available to work on custom commissions.", "topic": "Top/Arts/Comics/Retailers/Canada", "url": "http://www.unrealbooks.com/"} +{"d:Title": "Cadence Comic Art", "d:Description": "Original comic art from a number of renowned comic artists. Galleries of artists' work are available to browse through.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork", "url": "http://www.cadencecomicart.com/"} +{"d:Title": "Coollines Artwork", "d:Description": "Displaying, trading or selling a large collection of comic book, comic strip and animation artwork.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork", "url": "http://www.coollinesartwork.com/"} +{"d:Title": "Comicart Dk", "d:Description": "An international online store, dealing with original comic art, paintings, illustrations and fantasy art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork", "url": "http://www.comicart.dk/"} +{"d:Title": "11 by 17 Original Comic Book Art", "d:Description": "Buying, selling, adn trading original comic book art from late 70s, 80s, and early 90s.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork", "url": "http://www.11by17.com/"} +{"d:Title": "Art of Comics", "d:Description": "Original comic book art by Bryan Hitch and Paul Neary.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/A", "url": "http://www.theartofcomics.com/"} +{"d:Title": "Artworkworld", "d:Description": "Mainly modern comic book art, with a smaller selection of strip art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/A", "url": "http://www.artworkworld.com/"} +{"d:Title": "The Artist's Choice", "d:Description": "Spencer Beck, artist representative, specializes mostly in modern comic art, and representative for artists including Jim Aparo, Nick Cardy, Colleen Doran, Ramona Fradon, John Romita Jr. and the estate of Curt Swan.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/A", "url": "http://www.theartistschoice.com/"} +{"d:Title": "All Star Auctions", "d:Description": "High-end original comic book and strip art, illustrations (including Frank Frazetta) and vintage comic books. They also offer an appraisal service.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/A", "url": "http://www.allstarauc.com/"} +{"d:Title": "Adams, Neal: Continuity Studios", "d:Description": "A selection of artwork by the influential artist best known for his 1970s work on Batman and the X-Men.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/A", "url": "http://www.nealadams.com/"} +{"d:Title": "Amazing Comic Art", "d:Description": "Collection of Amazing Spider-Man original art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/A", "url": "http://www.amazingcomicart.com/"} +{"d:Title": "Anthony's Collectibles", "d:Description": "Anthony Snyder deals mainly in Silver Age through Modern comic book art and comic strips.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/A", "url": "http://www.anthonysnyder.com/default.asp"} +{"d:Title": "Bdartist(e)", "d:Description": "French gallery of illustations and original comics art, including work by Jacques Tardi and Jean-Claude G\u00f6tting.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/B", "url": "http://www.bdartiste.com/"} +{"d:Title": "Brunner, Frank", "d:Description": "Artwork by Marvel, DC, and fantasy artist Frank Brunner.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/B", "url": "http://www.frankbrunner.net/"} +{"d:Title": "Book Palace", "d:Description": "Original art and prints by hundreds of comic and fantasy artists and illustrators, over 400,000 original comics, annuals and pocket libraries, and books on popular culture.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/B", "url": "http://www.bookpalace.com/"} +{"d:Title": "Barry, Lynda", "d:Description": "A site dedicated to the work of Lynda Barry, creator of Ernie Pook's Comeek (starring Marlys) with original art from the strips, links to ebay auctions, and specialty pieces.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/B", "url": "http://www.marlysmagazine.com/"} +{"d:Title": "Black Line Fever (BLF)", "d:Description": "High end original comic art, mostly from the modern era.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/B", "url": "http://www.blacklinefever.com/"} +{"d:Title": "Bigley, Al", "d:Description": "Al's art from Marvel, DC, and Image.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/B", "url": "http://www.albigley.com/"} +{"d:Title": "ComicLink.Com", "d:Description": "Auction house and exchange for Golden Age, Silver Age, Bronze Age and CGC Graded comic books and original art, specializing in 1930's thru 1970's.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comiclink.com/"} +{"d:Title": "Colorguides.com", "d:Description": "Marvel and DC Comics color guides colorist artwork bought and sold! (Note: Color guides are not the original pen and ink originals for the books, which are typically black and white line art, but are a separate step in the production of a printed comic.)", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.colorguides.com/"} +{"d:Title": "Comicart-ltd.com", "d:Description": "Comic book art from the silver to the modern age. Representing Gene Ha, Michael T. Gilbert, and Denis Fujitake.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comicart-ltd.com/"} +{"d:Title": "Comic Book Art Gallery", "d:Description": "Large selection of comic book art from the 1970s and 1980s. Will trade for splashes and cover art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comicbookartgallery.com/"} +{"d:Title": "Cooper, Dave", "d:Description": "Artwork from Suckle, Weasel, and painting commissions by Dave Cooper.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.davegraphics.com/"} +{"d:Title": "Casablanca Comics", "d:Description": "Mostly modern original comic art, including a large selection by Paul Pelletier.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.casablancacomics.com/"} +{"d:Title": "Comics Fun", "d:Description": "An eclectic selection of mostly modern comic book art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comicsfun.com/art4sale/"} +{"d:Title": "Cartoon Bank", "d:Description": "Original panel cartoon art from The New Yorker.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.cartoonbank.com/"} +{"d:Title": "ComicArtLinks.com", "d:Description": "There's plenty of room on the web for listings of comic art sites. If you don't see a link here on the Open Directory you may want to check out ComicArtLinks.com.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comicartlinks.com/"} +{"d:Title": "Comic Book Art Dot Com", "d:Description": "Comic Book Art Dot Com offers comic book art ranging from classic to modern in all price ranges.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comicbookart.com/"} +{"d:Title": "Catskill Comics", "d:Description": "Offering sales of original art and representing artists such as Mike Grell, Ron Frenz, Charles Barnett and others for commissions.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.catskillcomics.com/"} +{"d:Title": "Comic-Gallery.de", "d:Description": "Gallery for comic art. Mostly modern, dealer is based in Germany.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comic-gallery.de/"} +{"d:Title": "Comic Art and Graffix Gallery", "d:Description": "Offering a large selection of art ranging with a focus on Golden age comic book originals, the Gallery also provides links to artist biographies and modestly priced collection of comics on CD-ROM.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comic-art.com/store/art_sale.htm"} +{"d:Title": "Comic Art Depot", "d:Description": "Original art from many comic book titles and several original comic book artists.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/C", "url": "http://www.comicartdepot.com/"} +{"d:Title": "Dreidreizehn Comic Art", "d:Description": "Walt Disney, Donald Duck, and Carl Barks art", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/D", "url": "http://www.dreidreizehn.de/"} +{"d:Title": "Dark Horse Galleries", "d:Description": "View and purchase original comic artwork and illustrations. Creators include Geof Darrow, Arthur Adams, and Mike Allred.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/D", "url": "http://www.dhgallery.com/"} +{"d:Title": "Dragonberry Comic Art Links Directory", "d:Description": "Original comic art galleries, comic book artists, comic conventions, eBay original art sellers, and comic publishers.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/D", "url": "http://www.dragonberry.com/"} +{"d:Title": "Galerie Daniel Maghen", "d:Description": "Original artwork from mainly French artists (Manara, Vance, Moebius). Over 80 artists represented.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/D", "url": "http://www.danielmaghen.com/"} +{"d:Title": "Eelco's Original Comic Art Site", "d:Description": "Features part of my original comic art collection (mainly art by John Byrne and Sal Buscema) and also original art for sale.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/E", "url": "http://www.comicartcollection.com/"} +{"d:Title": "eBay Original Comic Art listings", "d:Description": "eBay is the dominant 24/7 online auction location for original comic book artwork.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/E", "url": "http://listings.ebay.com/aw/listings/list/all/category3984/index.html"} +{"d:Title": "Freas, Frank Kelly", "d:Description": "Original art and prints by the Dean of Science Fiction Artists, Frank Kelly Freas", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/F", "url": "http://www.kellyfreas.com/"} +{"d:Title": "Fisher, Seth: Flowering Nose", "d:Description": "Flowering Nose is a virtual tour of the Art of Seth Fisher, with originals available from Happydale and other titles.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/F", "url": "http://www.floweringnose.com/"} +{"d:Title": "Fanfare Sports and Entertainment", "d:Description": "Modern comic art at moderate prices.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/F", "url": "http://www.fanfare-se.com/"} +{"d:Title": "Faber, Rich: ThinkInk studios", "d:Description": "Homepage for Rich Faber, an illustrator whose specialties include black and white, spot illustrations, and comic book inking.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/F", "url": "http://www.thinkinkstudios.com/"} +{"d:Title": "Gaydos, Michael", "d:Description": "Originals and covers available from Scorched Earth, Inferno, Negative Burn, Jinx, and The Crow.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/G", "url": "http://www.michaelgaydos.com/"} +{"d:Title": "Grell, Mike", "d:Description": "Commissions and original art by Mike Grell (Warlord, and Jon Sable) via Catskill Comics.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/G", "url": "http://www.catskillcomics.com/grell.htm"} +{"d:Title": "Graphic Collectibles - Mitch Itkowitz", "d:Description": "Offers a wide range of art from the Golden Age to the present, including illustration art. Authorized representative for Bill Sienkiewicz.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/G", "url": "http://www.graphiccollectibles.com/"} +{"d:Title": "Galeria Laqua", "d:Description": "Newspaper strip and comic art from all periods and genres, with special emphasis on Disney and European comic art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/G", "url": "http://www.comicoriginalart.com/"} +{"d:Title": "Got Super Powers?", "d:Description": "A gallery site of original art. Showcasing the talents of Mike Allred, John Cassaday, Jason Pearson, and David Mack.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/G", "url": "http://www.gotsuperpowers.com/"} +{"d:Title": "Heroes Comic Books", "d:Description": "Silver Age to modern comic book art, including a section for trade.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/H", "url": "http://www.heroescomicbooks.com/"} +{"d:Title": "Hyperwerks", "d:Description": "Originals from Hyperwerks series Deity, Cat's Eye, Saint Angel, and Weasel Guy.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/H", "url": "http://www.hyperwerks.com/"} +{"d:Title": "Illustration House - The Fine Art of Illustration", "d:Description": "With more than 20 years of experience in auctions, Illustration House deals in classic illustrators and comic strip art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/I", "url": "http://www.illustrationhouse.com/"} +{"d:Title": "Johnston, Lynn: For Better or for Worse", "d:Description": "Dailies and Sundays from this popular (and rightly so!) newspaper strip.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/J", "url": "http://www.fbofw.com/"} +{"d:Title": "Kitchen, Denis: Art", "d:Description": "Art by Harvey Kurtzman, Will Eisner, Al Capp, Russell Keaton, Frank Stack (a.k.a. Foolbert Sturgeon), Peter Poplaski, and Denis Kitchen.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/K", "url": "http://www.deniskitchen.com/"} +{"d:Title": "Kuper, Peter", "d:Description": "Original political, satirical, and Mad's Spy vs. Spy art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/K", "url": "http://www.peterkuper.com/"} +{"d:Title": "Karlen, Dave: Original Art", "d:Description": "Comic book and comic strip art from most eras of U.S. comics, with an emphasis on the illustrational artists.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/K", "url": "http://www.davekarlenoriginalart.com/"} +{"d:Title": "Lay, Carol", "d:Description": "Original art by cartoonist Carol Lay from \"Story Minute\", New Yorker, Wall Street Journal, and others.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/L", "url": "http://www.waylay.com/"} +{"d:Title": "Langridge, Roger: Hotel Fred", "d:Description": "Originals by the Roger Langridge, creator of Fred the Clown.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/L", "url": "http://www.hotelfred.com/"} +{"d:Title": "Lopresti, Aaron", "d:Description": "Originals and commissions from Marvel, DC, and other titles.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/L", "url": "http://www.aaronlopresti.com/"} +{"d:Title": "Layton, Bob", "d:Description": "Original art, recreations, and commissions by Bob Layton, noted for his work on Iron Man, the Huntress, and many other Marvel, DC, and Valiant titles.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/L", "url": "http://www.boblayton.com/"} +{"d:Title": "Locke, Vince", "d:Description": "Official home page for artist and illustrator Vince Locke, with originals for sale from White Wolf, DC, Vertigo, and others.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/L", "url": "http://www.vincelocke.com/"} +{"d:Title": "Moy, Albert: Original Art", "d:Description": "A wide range of comic book, strip, and animation art in a wide range of prices. Will trade.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/M", "url": "http://www.albertmoy.com/"} +{"d:Title": "McNeil, Carla Speed: Lightspeed Press", "d:Description": "Original art from Finder, Mystery Date, and other projects by Carla Speed McNeil", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/M", "url": "http://www.lightspeedpress.com/"} +{"d:Title": "Menard, Sean: Original Art", "d:Description": "Specializing in affordable original comic book and comic strip art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/M", "url": "http://members.tripod.com/menard10/index.htm"} +{"d:Title": "Monster's Collectibles, Ken Danker", "d:Description": "Large and diverse collection of original comic art, from the golden age to the present.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/M", "url": "http://www.monsterscollectibles.com/"} +{"d:Title": "Norm (The), by Michael Jantze", "d:Description": "Original art from the syndicated strip \"The Norm\". (Plus plenty of other stuff as well.)", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/N", "url": "http://www.thenorm.com/"} +{"d:Title": "O'Connell, Mitch", "d:Description": "The author/illustrator of \"Good Taste Gone Bad\" and \"Pwease Wuv Me!\" sells a selection of his illustration art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/O", "url": "http://www.mitchoconnell.com/"} +{"d:Title": "Pratt, George: GeorgePratt.com", "d:Description": "Features and sells the painted and pen and ink artwork of comic book illustrator George Pratt.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/P", "url": "http://www.georgepratt.com/"} +{"d:Title": "Pound, John", "d:Description": "Art by John Pound, including Garbage Pail Kids and Meanie Babies.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/P", "url": "http://www.poundart.com/"} +{"d:Title": "Pensa, Shea Anton: The Art of", "d:Description": "Work from the artists DC, Vertigo, and other projects.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/P", "url": "http://sheapensa.tripod.com/"} +{"d:Title": "Rojay's Original Art", "d:Description": "Buys and sells original comic book artwork, also known as sequential art.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/R", "url": "http://www.rojaysoriginalart.com/"} +{"d:Title": "Romitaman Original Art", "d:Description": "Original comic book art, mostly superhero-oriented, from the Silver Age to the present from Mike Burkey's collection.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/R", "url": "http://www.romitaman.com/"} +{"d:Title": "Snejbjerg, Peter", "d:Description": "Art by Peter Snejbjerg from DC (Starman), Vertigo (Preacher, Books of Magic) and other publishers as well.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/S", "url": "http://www.snejbjerg.com/"} +{"d:Title": "Spiderwebart Gallery", "d:Description": "Original comic art, books and collectibles by The Brothers Hildebrandt, David Boller, Amanda Conner, Andy Kubert, Jimmy Palmiotti, and Joe Quesada. Specializing in Tolkien, fantasy, Star wars, and Sci-Fi.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/S", "url": "http://www.spiderwebart.com/"} +{"d:Title": "Splashpageart.com", "d:Description": "Modern original comic book art, including work by Tim Bradstreet.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/S", "url": "http://www.splashpageart.com/"} +{"d:Title": "Sketch Maven", "d:Description": "Sketch Maven is an online marketplace where users can buy and sell original comic book artwork.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/S", "url": "http://sketchmaven.com/"} +{"d:Title": "Tri-State Original Art", "d:Description": "Tri-State offers a wide range of original comic art, ranging from the Silver Age to the present.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/T", "url": "http://www.tri-stateoriginalart.com/"} +{"d:Title": "Top Cow Productions", "d:Description": "Features art from Top Cow artists including Marc Silvestri, Michael Turner, D-Tron, Billy Tan, Joe Benitez, and Gary Frank.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/T", "url": "http://www.topcow.com/"} +{"d:Title": "Tavole original art (Tavoleoriginali.net)", "d:Description": "Original art from Italian comics, including Magnus, Toppi, and Liberatore.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/T", "url": "http://www.tavoleoriginali.net/"} +{"d:Title": "Unrealbooks", "d:Description": "A selection of original comic book art pages, official color guides and one of a kind custom commission pages.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/U", "url": "http://www.unrealbooks.com/"} +{"d:Title": "Vess, Charles: Greenman Press", "d:Description": "Painted work by noted artist Charles Vess (Book of Ballads and Sagas, Rose, and Sandman).", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/V", "url": "http://www.greenmanpress.com/"} +{"d:Title": "Werner, Axel: Original Art and Prints", "d:Description": "European and American original strips and comic book pages, including a special section devoted to Carl Barks.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/W", "url": "http://www.originalart-and-prints.de/"} +{"d:Title": "Woodring, Jim", "d:Description": "Pen and ink and painted work by Jim Woodring, creator of Frank and Jim.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/W", "url": "http://www.jimwoodring.com/"} +{"d:Title": "Wrightson, Bernie", "d:Description": "Originals by Bernie Wrightson.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/W", "url": "http://www.berniewrightson.com/"} +{"d:Title": "Windsor-Smith, Barry: Galleria-Originals", "d:Description": "Paintings, drawings, sketches, and published comic pages by renowned Studio artist Barry Windsor-Smith.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/W", "url": "http://www.barrywindsor-smith.com/galleria/artmenu.html"} +{"d:Title": "Zeck, Mike", "d:Description": "Originals (including recreations) by Marvel and DC mainstay Mike Zeck.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/Z", "url": "http://www.mikezeck.com/"} +{"d:Title": "Zippy the Pinhead", "d:Description": "Originals from Bill Griffith, including Zippy dailies and Sundays as well as other projects.", "topic": "Top/Arts/Comics/Retailers/Original_Artwork/Z", "url": "http://www.zippythepinhead.com/"} +{"d:Title": "Comic Guru", "d:Description": "A wide range of titles including British and American comics as well as unique merchandise based on licensed product.", "topic": "Top/Arts/Comics/Retailers/United_Kingdom", "url": "http://www.thecomicguru.co.uk/"} +{"d:Title": "86th Floor Comics and Cards", "d:Description": "Based in Nottingham, offering an on-line monthly advance order service for new US comics and related merchandise.", "topic": "Top/Arts/Comics/Retailers/United_Kingdom", "url": "http://www.86thfloor.com/"} +{"d:Title": "British Comics", "d:Description": "Directory and store for British comics.", "topic": "Top/Arts/Comics/Retailers/United_Kingdom", "url": "http://www.britishcomics.com/"} +{"d:Title": "The Comix Shoppe", "d:Description": "Comics, graphic novels, roleplaying games, card games, action figures. UK.", "topic": "Top/Arts/Comics/Retailers/United_Kingdom", "url": "http://www.comixshoppe.co.uk/"} +{"d:Title": "Mega City Comics", "d:Description": "Online catalogue of comics, graphic novels and related material from a London based store in Camden Town.", "topic": "Top/Arts/Comics/Retailers/United_Kingdom", "url": "http://www.megacitycomics.co.uk/"} +{"d:Title": "Inter-Comics", "d:Description": "Sheffield based shop selling all the latest DC, Marvel and independent comics and graphic novels.", "topic": "Top/Arts/Comics/Retailers/United_Kingdom", "url": "http://www.inter-comics.com/"} +{"d:Title": "All About Books and Comics", "d:Description": "Retailer in Phoenix, Arizona specializing in comics, books, anime and toys.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.all-about-comics.com/"} +{"d:Title": "Atomic Books", "d:Description": "Alternative and underground books, comics and fanzines. Located in Baltimore, Maryland.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.atomicbooks.com/"} +{"d:Title": "Bedrock City Comic Company", "d:Description": "Houston, Texas book store specializing in Golden and Silver age comic books.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.bedrockcity.com/"} +{"d:Title": "Bookery Fantasy", "d:Description": "One of the Midwest's largest comic book, collectibles, and gaming retailers. Two locations in Fairborn, Ohio.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.bookeryfantasy.com/"} +{"d:Title": "Brave New World", "d:Description": "Newhall, California comic book store.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.bravenewworldcomics.com/"} +{"d:Title": "Captain Blue Hen", "d:Description": "Delaware's oldest comics shop, with a newsletter, interviews, reviews, contests and special events. Located in Newark, Delaware.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.captainbluehen.com/"} +{"d:Title": "Cave Comics", "d:Description": "Full service comic and gaming store. Located in Newtown, Connecticut.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.cavecomics.com/"} +{"d:Title": "Copacetic Comics Company", "d:Description": "Comics and collectibles retailer located in Pittsburgh, Pennsylvania.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://home.earthlink.net/~copaceticcomicsco/"} +{"d:Title": "Dreamland Comics", "d:Description": "A full service comic and gaming store located in Libertyville, Illinois.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.dreamland-comics.com/"} +{"d:Title": "Dreamland Comics", "d:Description": "Schaumburg, Illinois retailer specializing in comics, cards, collectibles and games.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.dreamlandcomics.com/"} +{"d:Title": "Black Cat Comics", "d:Description": "Superhero comic superstore. Located in Milpitas, California.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.blackcatcomics.biz/"} +{"d:Title": "Comic Quest", "d:Description": "Source for comics, magazines, books, videos, and action figures. Store located in Evansville, Indiana.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.comicquest.com/"} +{"d:Title": "G-Mart Comic Book Store", "d:Description": "Offers current issues and back issues. Retail store in Champaign, Illinois.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.g-mart.com/"} +{"d:Title": "Golden Apple", "d:Description": "Entertainment retailers in Los Angeles and Northridge, California with comics, exclusive signed editions and toys.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.goldenapplecomics.com/"} +{"d:Title": "Graham Crackers Comics", "d:Description": "Illinois chain selling a large selection of comics.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.grahamcrackers.com/"} +{"d:Title": "Ground Zero Comics", "d:Description": "Tyler, Texas retailer. Provides weekly comic shipments, a message board for comics, games, anime, music and art.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.groundzerocomics.com/"} +{"d:Title": "Zanadu Comics", "d:Description": "A chain of comic stores in Seattle with a diverse selection of comics - new and back issues, mainstream, alternative, and adult comics and graphic novels.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.zanaducomics.com/"} +{"d:Title": "Samurai Comics", "d:Description": "Phoenix, Arizona based store specializing in anime, comics, and games.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.samuraicomics.com/"} +{"d:Title": "New England Comics", "d:Description": "Source for new videos, posters, trading cards, t-shirts, action figures, and supplies at discount prices. Worldwide service.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.newenglandcomics.com/"} +{"d:Title": "Flying Colors Comics", "d:Description": "Northern California comics store featuring comic books and related merchandise.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.flyingcolorscomics.com/"} +{"d:Title": "Heroes Aren't Hard To Find", "d:Description": "Of Charlotte NC also offers an online locator service.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.heroesonline.com/"} +{"d:Title": "New Moon Comics, LLC", "d:Description": "Little Falls, NJ shop for comics, games and collectibles.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.newmooncomics.com/"} +{"d:Title": "Midtown Comics", "d:Description": "Large comics retailer based in New York, NY.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.midtowncomics.com/"} +{"d:Title": "Tate's Comics", "d:Description": "Lauderhill, FL store with old and new comics, action figures, DVDs and collectibles.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.tatescomics.com/"} +{"d:Title": "Fantasy Books Inc", "d:Description": "New comics, back issues, action figures and collectibles located just minutes from downtown St. Louis in Belleville, Illinois.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://fantasybooksinc.com/"} +{"d:Title": "Bankston's Comics and Collectibles", "d:Description": "One of the oldest and largest hobby shops in Central Texas. This Waco business specializes in new and vintage comics, as well as other collectibles.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.bankstons.com/"} +{"d:Title": "Zeus Comics and Collectibles", "d:Description": "Located in Dallas, Texas and specializing in comic books, toys and collectible memorabilia.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.zeuscomics.com/"} +{"d:Title": "Wild Pig Comics", "d:Description": "Kenilworth, NJ based store focusing on comics, statues and collectibles.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.wildpigcomics.com/"} +{"d:Title": "Vault of Midnight Comix", "d:Description": "Located in downtown Ann Arbor, Michigan.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.vaultofmidnight.com/"} +{"d:Title": "Treasure Island Comics", "d:Description": "Store located in Fremont, CA. selling new and used comics and related merchandise.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.treasureislandcomics.com/"} +{"d:Title": "Titan Comics", "d:Description": "Dallas, Texas store with a selection of comics, t-shirts, statues and posters.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.titancomics.com/"} +{"d:Title": "Comic Madness", "d:Description": "Levittown, PA store with an ongoing chain comic, auctions and a monthly creative writing contest.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.comicmadness.com/"} +{"d:Title": "Comics and More", "d:Description": "Offers comics, game cards, and collectibles. Several locations in Pennsylvania.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.kingofprussia.com/comicsandmore/"} +{"d:Title": "Little Shop of Comics", "d:Description": "New Jersey based comics, games and events.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://littleshopofcomics.com/"} +{"d:Title": "Joker's Child Comics", "d:Description": "New Jersey comic store with comics, action figures and related merchandise.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://jokerschild.com/"} +{"d:Title": "Demolition Comics", "d:Description": "Online comic book store offering online comic books from all major publishers.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.demolitioncomics.com/"} +{"d:Title": "Heroes and Villains Comics and Games", "d:Description": "Comic book and gaming shop in Warner Robins, Georgia", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.heroesandvillainscomics.net/"} +{"d:Title": "Charlies Comics Cards and Games", "d:Description": "Selling comics, sports cards, gaming, toys and action figures, coins, sci-fi collectibles in North Conway, New Hampshire.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://charliescomics.tripod.com/"} +{"d:Title": "TV Comics", "d:Description": "Buys and sells vintage comic books. Online and at conventions.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.tvcomics.com/"} +{"d:Title": "Awesome Comics", "d:Description": "Dallas, Texas comic shop with subscription service, mail-order, mainstream and indie comic books and related merchandise.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.awesomecomicsonline.com/"} +{"d:Title": "Austin Books and Comics", "d:Description": "Eisner Award nominated retailer in Austin, Texas, specializing in Golden and Silver Age books as well as current issues.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.austinbooks.com/"} +{"d:Title": "Comic Book World, Inc.", "d:Description": "Serving Florence and Louisville, Kentucky and Cincinnati, Ohio for over 25 years. Specializing in comics, gaming, supplies, manga, boardgames, and all kinds of collectibles.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.comicbookworld.com/"} +{"d:Title": "Wonderland Comics", "d:Description": "Rochester, NY full service comic book shop that also features a large selection of books, games, fun stuff for kids, and science and nature items.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.wonderlandcomics.com/"} +{"d:Title": "Pristine Comics", "d:Description": "High-end, CGC graded comics plus MTG boxes.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://www.pristinecomics.com/"} +{"d:Title": "Buy My Comics", "d:Description": "Comic books for sale from the Golden Age, Silver Age, Bronze Age and Modern eras. Zenescope and Al Rio comics specialty.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "http://buymycomics.com/"} +{"d:Title": "Comic Kings", "d:Description": "A Virginia Beach, Virginia retailer selling comic books and other pop culture merchandise.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "https://www.facebook.com/comickings"} +{"d:Title": "Paragon Comics", "d:Description": "On-line comic store specializing in Bronze, Silver and Golden Age comic books. They also feature CGC graded comics and original comic art.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "https://paragoncomics.comicretailer.com/"} +{"d:Title": "Pop Culture Comix", "d:Description": "New comics, graphic novels, trade paperbacks, t-shirts, RPGs, collector supplies and special orders in Overland Park, Kansas.", "topic": "Top/Arts/Comics/Retailers/United_States", "url": "https://popculturecomix.popshop.comixology.com/"} +{"d:Title": "Beek's Books", "d:Description": "Comics reviews, focusing on complete series and longer storylines. Includes art samples.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.rzero.com/books/"} +{"d:Title": "ComicSutra", "d:Description": "Official site of the syndicated comic book column, ComicSutra; also home of all of Beth Hannan Rimmels' comic-book, SF, fantasy, game, film and television reviews and articles.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.comicsutra.com/"} +{"d:Title": "JAAG", "d:Description": "Superhero comics reviews, character biographies and information about creators.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.comicxone.50megs.com/"} +{"d:Title": "The Shadow Gallery", "d:Description": "Reviews of alternative and non-mainstream comics, and essays on particular authors/artists (Eddie Campbell, Alan Moore, Garry Trudeau).", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.shadowgallery.co.uk/"} +{"d:Title": "Grovel", "d:Description": "Reviews of graphic novels, sorted by title, writer and artist.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.grovel.org.uk/"} +{"d:Title": "Optical Sloth", "d:Description": "About small press comics, featuring reviews, interviews and a new creator posted every day.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.opticalsloth.com/"} +{"d:Title": "Bob's Comics Reviews", "d:Description": "Reviews of non-superhero comics.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.zompist.com/bob.shtml"} +{"d:Title": "Suspended Animation", "d:Description": "Reviews of a wide range of comics, plus biographical pieces on particular creators.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.starland.com/sus/2002/index2002.html"} +{"d:Title": "Pop Culture Gadabout", "d:Description": "Weblog with reviews of comics and other media.", "topic": "Top/Arts/Comics/Reviews", "url": "http://oakhaus.blogspot.com/"} +{"d:Title": "Heath Row's Media Diet", "d:Description": "Weblog with reviews of zines, comics, and movies.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.cardhouse.com/heath/"} +{"d:Title": "Comics Worth Reading", "d:Description": "Reviews of selected comic books and graphic novels, with publishing history, art samples, plot summaries, and links to more information.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.comicsworthreading.com/"} +{"d:Title": "Rambles: Graphic Novels and Comics", "d:Description": "Reviews graphic novels and comic collections, not individual issues. Large archive.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.rambles.net/gnovels.html"} +{"d:Title": "Shiny Shelf", "d:Description": "Pop culture reviews including comics, movies, and TV shows, covered from a UK perspective.", "topic": "Top/Arts/Comics/Reviews", "url": "http://www.shinyshelf.co.uk/"} +{"d:Title": "The Comics Get Serious", "d:Description": "Graphic novel reviews, emphasizing non-superhero and small press titles.", "topic": "Top/Arts/Comics/Reviews/Archives", "url": "http://www.rationalmagic.com/Comics/Comics.html"} +{"d:Title": "1963: Annotated", "d:Description": "Annotations to the mini-series by Alan Moore.", "topic": "Top/Arts/Comics/Titles/1/1963", "url": "http://www.hoboes.com/pub/Comics/Creators/Alan%20Moore/1963%20Annotation"} +{"d:Title": "2000 AD Online", "d:Description": "The official homepage for 2000AD and the Judge Dredd Megazine. Includes news features, current issues, and downloads.", "topic": "Top/Arts/Comics/Titles/2/2000_AD", "url": "http://www.2000adonline.com/"} +{"d:Title": "Toothwatch", "d:Description": "A guide to the new comic book releases of 2000 AD creators, with weekly updates, previews and archive.", "topic": "Top/Arts/Comics/Titles/2/2000_AD", "url": "http://toothwatch.tripod.com/"} +{"d:Title": "Artesia Online", "d:Description": "The official Web site for the sword-and-sorcery fantasy series \"Artesia,\" written and illustrated by Mark Smylie and published by Sirius Entertainment.", "topic": "Top/Arts/Comics/Titles/A", "url": "http://www.daradja.com/"} +{"d:Title": "The All-Star Squadron Message Board", "d:Description": "Message board devoted to the DC Comics series \"All-Star Squadron.\"", "topic": "Top/Arts/Comics/Titles/A", "url": "http://members3.boardhost.com/AllStarSquadron/"} +{"d:Title": "The Alpha Flight Message Board", "d:Description": "Message board devoted to Marvel Comics series \"Alpha Flight\" and related titles.", "topic": "Top/Arts/Comics/Titles/A", "url": "http://members3.boardhost.com/AlphaFlight/"} +{"d:Title": "Atari Force Headquarters", "d:Description": "Fan site for the 1980s DC Comics series, with gallery, storylines, archives, timeline and links.", "topic": "Top/Arts/Comics/Titles/A", "url": "http://home.hiwaay.net/~lkseitz/comics/AtariForce/"} +{"d:Title": "Akiko Comic Book Fan Club", "d:Description": "Fan message board and e-mail list.", "topic": "Top/Arts/Comics/Titles/A/Akiko", "url": "http://groups.yahoo.com/group/akikocomicfanclub/"} +{"d:Title": "Yahoo! Groups: alphawaves", "d:Description": "Mailing list for fans of the comicbook.", "topic": "Top/Arts/Comics/Titles/A/Alpha_Flight", "url": "http://groups.yahoo.com/group/alphawaves/"} +{"d:Title": "Alpha Waves", "d:Description": "Forum for the fan community.", "topic": "Top/Arts/Comics/Titles/A/Alpha_Flight", "url": "http://forum.alphaflight.net/"} +{"d:Title": "AlphaFlight.net", "d:Description": "Issue summaries, image gallery, interviews, covers and reviews.", "topic": "Top/Arts/Comics/Titles/A/Alpha_Flight", "url": "http://www.alphaflight.net/"} +{"d:Title": "...and don't call me Honey!", "d:Description": "Scans of several comic book stories including \"Hello Nurse, Agent of H.U.B.B.A.\" and \"Minerxa: Warrior Princess\"", "topic": "Top/Arts/Comics/Titles/A/Animaniacs", "url": "http://www.angelfire.com/de/elmyra/"} +{"d:Title": "Archie Comics", "d:Description": "Official site with comics, contests, games and merchandise.", "priority": "1", "topic": "Top/Arts/Comics/Titles/A/Archie", "url": "http://www.archiecomics.com/"} +{"d:Title": "Ast\u00e9rix", "d:Description": "The official sites to the adventure of the little Gaul, with information on how a comic is made, a reference guide to the Asterix universe, information on characters. Available in English and French", "topic": "Top/Arts/Comics/Titles/A/Asterix", "url": "http://www.asterix.com/"} +{"d:Title": "Asterix around the World (the Many Languages of Asterix)", "d:Description": "Asterix around the world in 100+ languages. Translations, cover pictures. Collection and exchange. Asterix dossiers: Polish, German, Spanish, Portuguese, English, Turkish, Swedish.", "topic": "Top/Arts/Comics/Titles/A/Asterix", "url": "http://www.asterix-obelix.nl/"} +{"d:Title": "Wikipedia: Avengers", "d:Description": "Features a history of the group and its publications, variations, and bibliography of collected editions.", "topic": "Top/Arts/Comics/Titles/A/Avengers", "url": "http://en.wikipedia.org/wiki/Avengers_(comics)"} +{"d:Title": "Beowulf", "d:Description": "Official page with previews and ordering information for the mini-series.", "topic": "Top/Arts/Comics/Titles/B", "url": "http://www.thecomic.com/beowulf.html"} +{"d:Title": "Badly Drawn Comics", "d:Description": "Official site for the self-published series by Martha Keavney, with covers and pages from the series, and ordering information.", "topic": "Top/Arts/Comics/Titles/B", "url": "http://badlydrawncomics.com/"} +{"d:Title": "The Saga of Bluewing", "d:Description": "Fan site for the independent comic Bluewing. With news, history, episode descriptions, interviews with the creators, related film script, images, wallpapers and links.", "topic": "Top/Arts/Comics/Titles/B", "url": "http://sagaofbluewing.tripod.com/"} +{"d:Title": "Black Gay Boy Fantasy", "d:Description": "Official site with issues, comic strips, characters, sketches and history.", "topic": "Top/Arts/Comics/Titles/B", "url": "http://blackgayboy.virtualave.net/"} +{"d:Title": "Batman: Yesterday, Today, and Beyond", "d:Description": "Fan page covering the character in comics, film, television and animation. Featuring news, biographies, animation and history.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://www.batmanytb.com/"} +{"d:Title": "Birdwatching: Black Canary and Oracle: Birds of Prey", "d:Description": "Dedicated to chronicling the adventures of Black Canary and Oracle, both together as the Birds of Prey and separately around the DC Comics universe.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://www.canarynoir.com/"} +{"d:Title": "The Dark Knight", "d:Description": "Devoted to Batman, the Dark Knight Detective. Features information on many aspects of the comics world of Batman.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://www.darkknight.ca/"} +{"d:Title": "Shadows of the Knight", "d:Description": "An exploration of Frank Miller's 'Batman: The Dark Knight Returns' and 'Batman: The Dark Knight Strikes Again'. Has a sketchbook, articles, and audio interview, image gallery, and sequel news.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://members.tripod.com/kc_darkknight/"} +{"d:Title": "Golden Age Batman Site", "d:Description": "Resource on the Batman of the 40's, 50's and 60's. Includes an index to original runs and reprints, a cover gallery, a rogues gallery, links to other Golden Age sites, and a Batman mailing list. The Comic Book Value Calculator, an online comic collector assessment tool, is also available.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://www.goldenagebatman.com/"} +{"d:Title": "Batman: The Ultimate Evil by Andrew Vachss", "d:Description": "Official site for a Batman novel and subsequent comic adaptation.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://www.vachss.com/av_novels/batman.html"} +{"d:Title": "Batman: Shadow of the Bat", "d:Description": "With biography of creator Bob Kane, timeline, reviews, and character descriptions and images.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://thebatman.bravepages.com/"} +{"d:Title": "The Riddler", "d:Description": "Interactive animated stories using Flash and JavaScript featuring Batman's deadliest foes, and graphic puzzles presented by Edward Nygma, Gotham's genius.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://riddler.batbad.com/"} +{"d:Title": "TheBatSquad.Net", "d:Description": "Covers Batman and related titles, including continuity in cross-overs and major events, trade paperback collections, character profiles, and wallpapers.", "topic": "Top/Arts/Comics/Titles/B/Batman", "url": "http://www.thebatsquad.net/"} +{"d:Title": "Azrael : Agent of the Bat", "d:Description": "Fan page devoted to series spinoff Azrael. With introduction, history, character profiles, reviews, image gallery, interview, creator information, e-mail list, casting call and links.", "topic": "Top/Arts/Comics/Titles/B/Batman/Azrael", "url": "http://members.tripod.com/AgentoftheBat/"} +{"d:Title": "WebRing: Batgirl", "d:Description": "Lists sites with content related to the character.", "topic": "Top/Arts/Comics/Titles/B/Batman/Batgirl", "url": "http://www.webring.org/hub?ring=batgirl"} +{"d:Title": "Catwoman's Hideout", "d:Description": "Yahoo! fan club.", "topic": "Top/Arts/Comics/Titles/B/Batman/Catwoman", "url": "http://groups.yahoo.com/group/catwomanshideout/"} +{"d:Title": "Harley Quinn's Heaven", "d:Description": "Comprehensive fan site with profiles, merchandise, appearances.", "topic": "Top/Arts/Comics/Titles/B/Batman/Harley_Quinn", "url": "http://www.angelfire.com/tv/harleyquinn/"} +{"d:Title": "Harley's Haven", "d:Description": "Fan site with quotes, facts, comic book summaries, FAQ.", "topic": "Top/Arts/Comics/Titles/B/Batman/Harley_Quinn", "url": "http://harley-quinn.com/"} +{"d:Title": "Mad Love Asylum", "d:Description": "The second unofficial fan club dedicated to Harley Quinn. Forums with discussions on comics, animation, websites, fiction and art.", "topic": "Top/Arts/Comics/Titles/B/Batman/Harley_Quinn", "url": "http://madloveasylum.proboards.com/"} +{"d:Title": "The Ha-Hacienda", "d:Description": "Covers appearances by the Joker in silver and golden age comics, as well as cartoons and the movie. Includes images and the origin story as adapted in each format.", "topic": "Top/Arts/Comics/Titles/B/Batman/Joker", "url": "http://www.dohtem.com/joker/"} +{"d:Title": "Grayson's Garage-Nightwing HQ", "d:Description": "Includes comic gallery, biography and other information about the masked crime fighter.", "topic": "Top/Arts/Comics/Titles/B/Batman/Nightwing", "url": "http://www.angelfire.com/mb/gygrmain/"} +{"d:Title": "Nightwing Art Gallery", "d:Description": "A gallery of original, commissioned artwork, featuring the DC comic book character, Nightwing.", "topic": "Top/Arts/Comics/Titles/B/Batman/Nightwing", "url": "http://www.pmkane.com/nightwing/"} +{"d:Title": "Mark Stewart's Nightwing Homepage", "d:Description": "A homepage about the former Robin and heir to the Mantle of The Batman: Dick Grayson aka Nightwing.", "topic": "Top/Arts/Comics/Titles/B/Batman/Nightwing", "url": "http://home.earthlink.net/~markstewart/nightwing.index.html"} +{"d:Title": "Bl\u00fcdhaven", "d:Description": "A Yahoo community where you can find Nightwing fans, information, updates, fan fiction and pictures.", "topic": "Top/Arts/Comics/Titles/B/Batman/Nightwing", "url": "http://groups.yahoo.com/group/BLUDHAVEN/"} +{"d:Title": "Battle Chasers", "d:Description": "Fan site with story and character description and image gallery.", "topic": "Top/Arts/Comics/Titles/B/Battle_Chasers", "url": "http://www.angelfire.com/anime/battlechaser/"} +{"d:Title": "Beano", "d:Description": "Official site to this classic British comics magazine for kids. With online comics, games, the Gnashophone and others.", "topic": "Top/Arts/Comics/Titles/B/Beano", "url": "https://www.beano.com/"} +{"d:Title": "Boneville", "d:Description": "Official Bone site with newsletter, favorite titles, creator information, appearances, games, links, contact information, multimedia, and store.", "topic": "Top/Arts/Comics/Titles/B/Bone", "url": "http://www.boneville.com/"} +{"d:Title": "The Cyberangel Citadel", "d:Description": "The only website dedicated to the Cyberangels comic book characters--Nira X, Mercy, and Allura, from Bill Maus and Avatar Press.", "topic": "Top/Arts/Comics/Titles/C", "url": "http://www.angelfire.com/anime/cyberangels/"} +{"d:Title": "Yahoo Groups : Cerebus", "d:Description": "A mailing list for people who love the comic. Also can be viewed from the webpage.", "topic": "Top/Arts/Comics/Titles/C/Cerebus", "url": "http://groups.yahoo.com/group/cerebus/"} +{"d:Title": "Free Cerebus", "d:Description": "Dave Sim and Gerhard give an overview of issues #1 to 136 of the comic.", "topic": "Top/Arts/Comics/Titles/C/Cerebus", "url": "http://cerebusfangirl.com/freecerebus/"} +{"d:Title": "Cerebus Fangirl Site", "d:Description": "Cerebus checklist, pictures, Dave Sim biography, and more than 50 links.", "topic": "Top/Arts/Comics/Titles/C/Cerebus", "url": "http://www.cerebusfangirl.com/"} +{"d:Title": "Cerebus The Aardvark", "d:Description": "Wallpaper, plot summaries and spoilers, links, news, maps, gallery of color pages, and samples showing secret messages in the background of some Cerebus pages.", "topic": "Top/Arts/Comics/Titles/C/Cerebus", "url": "http://www.cerebustheaardvark.com/"} +{"d:Title": "Cerebus the Aardvark - Wikipedia", "d:Description": "Details the development of this Aardvark-Vanaheim title, story arcs, plot summaries, and characters.", "topic": "Top/Arts/Comics/Titles/C/Cerebus", "url": "http://en.wikipedia.org/wiki/Cerebus"} +{"d:Title": "Dawn and Beyond", "d:Description": "Gallery of artwork and links.", "topic": "Top/Arts/Comics/Titles/C/Cry_for_Dawn", "url": "http://members.tripod.com/~chylde_ofthe_night/"} +{"d:Title": "Temple of Dawn Yahoo Club", "d:Description": "Members-only discussion group.", "topic": "Top/Arts/Comics/Titles/C/Cry_for_Dawn", "url": "http://groups.yahoo.com/group/templeofdawn/"} +{"d:Title": "The Deadpool Message Board", "d:Description": "Devoted to the Marvel character, with links.", "topic": "Top/Arts/Comics/Titles/D", "url": "http://members2.boardhost.com/Deadpools/"} +{"d:Title": "Deep Fried", "d:Description": "Alternative comic book. Featuring evil cartoons and animation.", "topic": "Top/Arts/Comics/Titles/D", "url": "http://www.whatisdeepfried.com/"} +{"d:Title": "A Distant Soil", "d:Description": "Colleen Doran's fantasy comic - includes images, character information and information on writers and artists.", "topic": "Top/Arts/Comics/Titles/D", "url": "http://adistantsoil.com/"} +{"d:Title": "Dot and Com", "d:Description": "Online comic strip panel and archive, by Jerry Leibowitz.", "topic": "Top/Arts/Comics/Titles/D", "url": "http://www.dotandcom.com/"} +{"d:Title": "Death and the Maiden", "d:Description": "By Austrian artist Nina Ruzicka. Comic in English, German and Russian versions, background and allusions information, and fan art.", "topic": "Top/Arts/Comics/Titles/D", "url": "http://www.cartoontomb.de/english/death.php"} +{"d:Title": "Dorkboy", "d:Description": "Self-published comic by Damian Willcox. Also featuring Working Jones. With sample stories, catalog and online ordering.", "topic": "Top/Arts/Comics/Titles/D", "url": "http://www.dorkboycomics.com/"} +{"d:Title": "Dan-Dare.org", "d:Description": "Fan site presents historical information, image galleries, complete story reprints, games and puzzles, as well as animated GIFs, wallpaper, icons and multimedia to download.", "topic": "Top/Arts/Comics/Titles/D/Dan_Dare", "url": "http://www.dan-dare.org/"} +{"d:Title": "Dan Dare - Pilot of the Future", "d:Description": "Information and images relating to Frank Hampson's science-fiction comic strip creation.", "topic": "Top/Arts/Comics/Titles/D/Dan_Dare", "url": "http://www.dan-dare.org.uk/"} +{"d:Title": "Dan Dare", "d:Description": "A look at reprints from around the world. Also a list of the foreign artists that drew for the UK Fleetway Group.", "topic": "Top/Arts/Comics/Titles/D/Dan_Dare", "url": "http://www.dandare.info/"} +{"d:Title": "Spaceship Away", "d:Description": "Promotional site for an officially-licenced fan-produced magazine containing new stories about the original 1950s version of Dan Dare.", "topic": "Top/Arts/Comics/Titles/D/Dan_Dare", "url": "http://spaceshipaway.org.uk/"} +{"d:Title": "Wikipedia: Dan Dare", "d:Description": "Detailed information about the different versions of the comic and a list of reprints.", "topic": "Top/Arts/Comics/Titles/D/Dan_Dare", "url": "http://en.wikipedia.org/wiki/Dan_Dare"} +{"d:Title": "Dan Dare, Pilot of the Future", "d:Description": "Official site includes news, character profiles, and merchandise information.", "topic": "Top/Arts/Comics/Titles/D/Dan_Dare", "url": "http://www.dandare.com/"} +{"d:Title": "Daredevil: The Man Without Fear", "d:Description": "Includes creator database, check lists, reviews, links, classifieds and pictures.", "topic": "Top/Arts/Comics/Titles/D/Daredevil", "url": "http://www.manwithoutfear.com/"} +{"d:Title": "Doom Patrol Online", "d:Description": "Information on The Doom Patrol, with reviews of appearances since their debut in My Greatest Adventure.", "topic": "Top/Arts/Comics/Titles/D/Doom_Patrol,_The", "url": "http://www.rpi.edu/~bulloj/Doom_Patrol/"} +{"d:Title": "Dr. Strange: Sanctum Sanctorum", "d:Description": "News concerning Dr. Strange appearances, index of past issues and reviews of new issues.", "topic": "Top/Arts/Comics/Titles/D/Dr._Strange", "url": "http://www.docstrange.com/"} +{"d:Title": "Lucky Mojo: The Lesser Book of the Vishanti", "d:Description": "Lists all spells invoked; describes all amulets, orbs, books, and wands; and documents all dimensions visited in the pages of Dr. Strange comic books.", "topic": "Top/Arts/Comics/Titles/D/Dr._Strange", "url": "http://www.luckymojo.com/vishanti.html"} +{"d:Title": "EZ Comics", "d:Description": "History comics on Benjamin Franklin, Martin Luther King, Jr. and the Civil War created for kids.", "topic": "Top/Arts/Comics/Titles/E", "url": "http://ezcomics.com/"} +{"d:Title": "Eagle", "d:Description": "Devoted to the comic magazine featuring Dan Dare and other characters. Includes art galleries, cast and artist profiles and an original story.", "topic": "Top/Arts/Comics/Titles/E/Eagle", "url": "http://www.dandare.org/"} +{"d:Title": "The Lost Characters of Frank Hampson", "d:Description": "After Dan Dare and The Road of Courage, Frank Hampson created several characters for Eagle which were never published. Includes Frank's version of Modesty Blaise and a feature on the techniques he used while working on Dan Dare.", "topic": "Top/Arts/Comics/Titles/E/Eagle", "url": "http://www.frankhampson.co.uk/"} +{"d:Title": "Yahoo! Groups: dandare", "d:Description": "Discussion of Eagle comics, annuals and merchandise, plus Dan Dare in all his incarnations.", "topic": "Top/Arts/Comics/Titles/E/Eagle", "url": "http://groups.yahoo.com/group/dandare/"} +{"d:Title": "Eagle Times", "d:Description": "The web home of The Eagle Society, dedicated to the memory of the 1950s and 1960s Eagle comic magazine and its lead feature Dan Dare.", "topic": "Top/Arts/Comics/Titles/E/Eagle", "url": "http://eagle-times.blogspot.com/"} +{"d:Title": "Elfquest.com", "d:Description": "Official site of Elfquest creators, Wendy and Richard Pini (WARP). Artwork, news, editorials, previews, archives, and discussion boards. Merchandise available for purchase include art prints, t-shirts, and book-bound versions of past comics.", "topic": "Top/Arts/Comics/Titles/E/Elfquest", "url": "http://www.elfquest.com/"} +{"d:Title": "Elfquest-Welcome to the World of Two Moons", "d:Description": "Biographies and pictures of some of the main Elfquest characters.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Characters", "url": "http://members.tripod.com/~Spaceelves/index.html"} +{"d:Title": "Blacksmith's Den - An ElfQuest Shrine", "d:Description": "Art and fiction based on a fan created character, Swordeye.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Characters", "url": "http://user.tninet.se/~mul858u/"} +{"d:Title": "Golden Wolf Holt", "d:Description": "Fanclub in existence since 1985.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Clubs_and_Roleplaying", "url": "http://www.angelfire.com/tx/goldenwolfholt/"} +{"d:Title": "Shapeshifter Holt", "d:Description": "The scenario for this holt is in a hidden valley, where the elves have a new magic.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Clubs_and_Roleplaying", "url": "http://www.angelfire.com/scifi/Amber9/"} +{"d:Title": "Wendi Strang-Frost's Outcasts", "d:Description": "Information about an Elfquest RPG.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Clubs_and_Roleplaying", "url": "http://www-personal.umich.edu/~fazzari/Outcasts/index.html"} +{"d:Title": "WhiteHunter-ShadowWings Holt", "d:Description": "Roleplaying site. Elves live in the snowy mountain regions and bond with wolves/birds/foxes and the occasional cat. Stories, poetry and holt history.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Clubs_and_Roleplaying", "url": "http://www.angelfire.com/ny2/WhiteShadow/"} +{"d:Title": "Windshaper's Holt Gate", "d:Description": "Fiction and art based on new characters created by this club. Site available in two languages.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Clubs_and_Roleplaying", "url": "http://web.inter.nl.net/hcc/R.Buurman/wsh.html"} +{"d:Title": "The Isle: An Elfquest Fanzine", "d:Description": "Stories set in the Elfquest universe: What might a group of elves be like, who kept all their powers, but avoided the fatal stagnation of Blue Mountain?", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Works", "url": "http://brightdark.tripod.com/"} +{"d:Title": "Erica van Nieuwkoop's Elfquest Art", "d:Description": "Fan art based on Wendy Pini's drawings.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Works", "url": "http://www.ratauvan.co.uk/art.html"} +{"d:Title": "Elfquest: The Addiction", "d:Description": "A graphics-light source for fan fiction and humor. Includes The ElfQuiz Parody, ElfQuiz: Death/Rebirth, and Daughters of Strongbow: A Self-MSTing.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Works", "url": "http://members.tripod.com/eq_addiction/fanfics.html"} +{"d:Title": "EQ Fan Art Gallery", "d:Description": "Yahoo group with hundreds of Elfquest fan art pictures. Must be a member to view galleries.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Works", "url": "http://groups.yahoo.com/group/eqfanartgallery/"} +{"d:Title": "EQ Fan Art", "d:Description": "First Yahoo group featuring fan art of canon and original characters. Currently over 700 pictures.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Works", "url": "http://groups.yahoo.com/group/eqfanart/"} +{"d:Title": "Sweetwind's Homepage", "d:Description": "Featuring Elfquest fan fiction, art and humor.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Works", "url": "http://home.earthlink.net/~sweetwind7/eq/sweetwhp.html"} +{"d:Title": "Elfwood, FanQuarter, Fan Art by Amanda O'Dell", "d:Description": "Several canon and original characters. Mostly CG-colored.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Fan_Works", "url": "http://www.elfwood.com/u/eqfanart"} +{"d:Title": "Elfquest", "d:Description": "Short summary of Elfquest story. Lists and description of Elfquest publications. Some fan art.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Resources", "url": "http://www.elvendale.de/eq.html"} +{"d:Title": "Elfquest Resource Pages", "d:Description": "FAQ, Trading Post and links to holt lists, and fan fiction lists.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Resources", "url": "http://www.knauer.org/mike/elfquest/index.php"} +{"d:Title": "David's Role Playing Games", "d:Description": "A short history and retelling about the comic book series.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Resources", "url": "http://users.telenet.be/david.boucherie/"} +{"d:Title": "ElfQuest Fan Club Holt's Web Ring", "d:Description": "Lists member ElfQuest fan holt sites, with details regarding membership requirements.", "topic": "Top/Arts/Comics/Titles/E/Elfquest/Web_Rings", "url": "http://www.angelfire.com/ky/EQClubRing/"} +{"d:Title": "Finder", "d:Description": "Information on Light Speed Press's Finder comic, with cover art, reviews, tour dates, ordering information and a breakdown by issue.", "topic": "Top/Arts/Comics/Titles/F", "url": "http://www.lightspeedpress.com/"} +{"d:Title": "Forty Winks", "d:Description": "A Comic Book by Oddjobs Ltd. With information on storyline and characters, sample art and creator profiles.", "topic": "Top/Arts/Comics/Titles/F", "url": "http://www.peregrine-entertain.com/Comics/FortyWinks/FortyWinks_Home.html"} +{"d:Title": "The Flaming Carrot Webpage", "d:Description": "Official information on its characters and stories. With a price guide and links to other FC sites.", "topic": "Top/Arts/Comics/Titles/F", "url": "http://flame.chez.com/"} +{"d:Title": "The Fantastic Four", "d:Description": "Contains background information, images, character profiles, catalog prices, covers, and superhero wallpapers.", "topic": "Top/Arts/Comics/Titles/F/Fantastic_Four", "url": "http://www.fantastic-four.nl/"} +{"d:Title": "Chivian's Fantastic Four", "d:Description": "Complete listing of all three Fantastic Four volumes including issue descriptions, titles, and cover art.", "topic": "Top/Arts/Comics/Titles/F/Fantastic_Four", "url": "http://www.chivian.com/chivian/FantasticFour.shtml"} +{"d:Title": "Fantastic Four Family Circle", "d:Description": "Web ring devoted to the series and individual characters.", "topic": "Top/Arts/Comics/Titles/F/Fantastic_Four", "url": "http://www.webring.org/hub?ring=f4ring"} +{"d:Title": "The Femforce Webring", "d:Description": "Rules, how to join and member list.", "topic": "Top/Arts/Comics/Titles/F/Femforce", "url": "http://members.tripod.com/backlash528/femforce/femring.htm"} +{"d:Title": "Flash Message Board", "d:Description": "A message board for fans of the Flash and Flash Family within the DCU.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://www.comicboards.com/flash"} +{"d:Title": "Flash: Those Who Ride the Lightning", "d:Description": "Profiling the super-speed heroes and villains of the DC Universe.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://www.hyperborea.org/flash/"} +{"d:Title": "Crimson Lightning", "d:Description": "Blog focusing on the Flash's history and media appearances.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://thefastestmanalive.blogspot.com/"} +{"d:Title": "Tom vs. the Flash", "d:Description": "Podcast reviewing old Flash stories from the silver age. (Now Tom vs. Aquaman, but older entries focus on the Flash.)", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://tomvsjla.libsyn.com/"} +{"d:Title": "Flash-Back Podcast", "d:Description": "A monthly review of DC's comic book, The Flash.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://flashbackpodcast.podbean.com/"} +{"d:Title": "Annotated Flash Rebirth", "d:Description": "Page-by-page notes on the miniseries and how it connects to other comics.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://flashrebirth.blogspot.com/"} +{"d:Title": "Speed Force", "d:Description": "Blog with Flash news, reviews and commentary.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://speedforce.org/"} +{"d:Title": "Bob Ingersoll on \"The Trial of the Flash\"", "d:Description": "Index of articles reviewing the 1980s storyline from a legal perspective.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://comicfacts.blogspot.com/2007/04/bob-ingersoll-on-trial-of-flash.html"} +{"d:Title": "The Rogues kick ass", "d:Description": "Humor blog featuring the Flash's Rogues Gallery.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://gorogues.tumblr.com/"} +{"d:Title": "The Speedster Art Pool", "d:Description": "Flickr pool of artwork and photos relating to the Flash and other speedsters.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://www.flickr.com/groups/superspeed/pool/"} +{"d:Title": "The Flashes' Secret IDs Going Public", "d:Description": "When and how each Flash revealed his secret identity.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://www.comicbookbin.com/wally01.html"} +{"d:Title": "Rogues Memorabilia", "d:Description": "Nerd blog detailing the wacky memorabilia featuring the Rogues.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://roguesmemorabilia.tumblr.com/"} +{"d:Title": "The Fastest Forum Alive", "d:Description": "A message board for Flash fans.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://thefastestforumalive.proboards.com/"} +{"d:Title": "The Fastest Fan Alive", "d:Description": "Blog featuring Flash memorabilia and collectibles, art, scans, and commentary.", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://fastestfanalive.com/"} +{"d:Title": "The New 52 Flash", "d:Description": "Reviewing every appearance of the Flash in the New 52", "topic": "Top/Arts/Comics/Titles/F/Flash", "url": "http://new52flash.blogspot.com/"} +{"d:Title": "Karl Kerschl", "d:Description": "Official site of comic book artist Karl Kerschl", "topic": "Top/Arts/Comics/Titles/F/Flash/Creators", "url": "http://karlkerschl.com/"} +{"d:Title": "Craig Rousseau", "d:Description": "Website and blog of comic book artist Craig Rousseau.", "topic": "Top/Arts/Comics/Titles/F/Flash/Creators", "url": "http://www.craigrousseau.com/"} +{"d:Title": "Brian Buccellato", "d:Description": "Official site of comic book writer and artist Brian Buccellato.", "topic": "Top/Arts/Comics/Titles/F/Flash/Creators", "url": "http://www.brianbooch.com/"} +{"d:Title": "Francis Manapul", "d:Description": "Comic book artist Francis Manapul's Tumblr blog", "topic": "Top/Arts/Comics/Titles/F/Flash/Creators", "url": "http://francismanapul.tumblr.com/"} +{"d:Title": "Impulse: The \"Next Generation\" Flash", "d:Description": "Story summaries and reviews.", "topic": "Top/Arts/Comics/Titles/F/Flash/Impulse", "url": "http://www.spookhouse.net/angelynx/comics/impulse.html"} +{"d:Title": "Impulse: Poster Child for the Danger Impaired", "d:Description": "Character biography, statistics, and images.", "topic": "Top/Arts/Comics/Titles/F/Flash/Impulse", "url": "http://fangirl.tripod.com/impulse.html"} +{"d:Title": "The Impulse Shrine", "d:Description": "Dedicated to Impulse - includes biography, images and news.", "topic": "Top/Arts/Comics/Titles/F/Flash/Impulse", "url": "http://impulsemkn.tripod.com/index.html"} +{"d:Title": "Het Raadsel van Franka", "d:Description": "A collection of strange things from the Franka stories.", "topic": "Top/Arts/Comics/Titles/F/Franka", "url": "http://www.xs4all.nl/~philow/frraad00.html"} +{"d:Title": "The Enigma of Franka", "d:Description": "Anomalies in the stories of Franka, the comic strip of Henk Kuijpers. This is the homepage for a series of articles on the topic.", "topic": "Top/Arts/Comics/Titles/F/Franka", "url": "http://philow.home.xs4all.nl/frenig00.html"} +{"d:Title": "Wikipedia: Fray", "d:Description": "Wikipedia (the free encyclopedia) entry for Fray.", "topic": "Top/Arts/Comics/Titles/F/Fray", "url": "http://en.wikipedia.org/wiki/Fray"} +{"d:Title": "Eddie Campbell Interview", "d:Description": "Artist Eddie Campbell talks about the comic, as well as Bacchus and Alec, and his stint on Hellblazer.", "topic": "Top/Arts/Comics/Titles/F/From_Hell", "url": "http://www.tabula-rasa.info/AusComics/EddieCampbell.html"} +{"d:Title": "Graphic Classics", "d:Description": "Literature presented in comics, with samples and ordering information.", "topic": "Top/Arts/Comics/Titles/G", "url": "http://www.graphicclassics.com/"} +{"d:Title": "Green Arrow Message Board", "d:Description": "Devoted to the DC series, with previews and links.", "topic": "Top/Arts/Comics/Titles/G", "url": "http://www.comicboards.com/gamb/"} +{"d:Title": "Galactus", "d:Description": "Fan page for the \"Galactus: The Devourer\" mini-series which crosses over with the Avengers and Fantastic Four. Includes pictures, reviews, interviews, polls and fan fiction.", "topic": "Top/Arts/Comics/Titles/G/Galactus", "url": "http://marvelite.prohosting.com/surfer/galactus/"} +{"d:Title": "Yahoo! Groups : Gloomcookie", "d:Description": "Official fan based email list.", "topic": "Top/Arts/Comics/Titles/G/Gloomcookie", "url": "http://groups.yahoo.com/group/Gloomcookie/"} +{"d:Title": "H.E.A.T. - Hal's Emerald Advancement Team", "d:Description": "The official website of the group that works for the return of Hal Jordan and the Green Lantern Corps.", "topic": "Top/Arts/Comics/Titles/G/Green_Lantern", "url": "http://glheat.tripod.com/"} +{"d:Title": "Groo the Wanderer", "d:Description": "Official site of Sergio Aragones' Groo, with news and reviews, chat, interviews, galleries a mailing list and downloadable stuff.", "topic": "Top/Arts/Comics/Titles/G/Groo_the_Wanderer", "url": "http://www.groo.com/"} +{"d:Title": "Hip Flask", "d:Description": "Stars a hippopotamus. With galleries, store, links, recommended list, forum, newsletter and contact information.", "topic": "Top/Arts/Comics/Titles/H", "url": "http://www.hipflask.com/"} +{"d:Title": "Heartbreakers", "d:Description": "Science fiction comic by Anina Bennett and Paul Guinan. Includes information, art and merchandise.", "topic": "Top/Arts/Comics/Titles/H", "url": "http://www.bigredhair.com/"} +{"d:Title": "Harvey Turnbolt: Monster Slayer", "d:Description": "Official site with biography, creator information, news, updates, and merchandise.", "topic": "Top/Arts/Comics/Titles/H", "url": "http://harveyturnbolt.tripod.com/"} +{"d:Title": "Howard The Duck Web Page", "d:Description": "Howard the duck site featuring news, articles, and information about the comics, movie, and creators.", "topic": "Top/Arts/Comics/Titles/H", "url": "http://members.tripod.com/Howard_the_duck/"} +{"d:Title": "Heroes For Hire", "d:Description": "Message board devoted to Iron Fist and other characters from the Marvel series, with banner archive.", "topic": "Top/Arts/Comics/Titles/H", "url": "http://members3.boardhost.com/H4H/"} +{"d:Title": "Heavy Metal", "d:Description": "Official site of the adult illustrated fantasy magazine - features the artwork of Bisley, Manara, Royo, Frezzato, Corben, and Trillo to name just a few.", "topic": "Top/Arts/Comics/Titles/H/Heavy_Metal", "url": "http://www.heavymetal.com/"} +{"d:Title": "Straight To Hell : A HellBlazer Site", "d:Description": "Information on John Constatine: Hellblazer, with focus on the characters and the stories. Also, a small gallery and some interviews.", "topic": "Top/Arts/Comics/Titles/H/Hellblazer", "url": "http://www.insanerantings.com/hell/"} +{"d:Title": "The Ultimate Hellblazer Index", "d:Description": "An index of appearances by the Vertigo character, John Constantine, and his relatives and alternates selves, both in his own title, Hellblazer and in other comics.", "topic": "Top/Arts/Comics/Titles/H/Hellblazer", "url": "http://www.qusoor.com/hellblazer"} +{"d:Title": "Sailor Hellblazer", "d:Description": "A Sailor Moon/John Constantine crossover fan fiction.", "topic": "Top/Arts/Comics/Titles/H/Hellblazer", "url": "http://www.thekeep.org/~rpm/vertigo/sailor-hellblazer/"} +{"d:Title": "Tabula Rasa: Hellblazer Interviews", "d:Description": "Interviews with Jamie Delano and Garth Ennis about their time on Hellblazer, and other work as well.", "topic": "Top/Arts/Comics/Titles/H/Hellblazer", "url": "http://www.tabula-rasa.info/AusComics/Hellblazers.html"} +{"d:Title": "Hellboy: A Plague of Frogs", "d:Description": "Official site for Mike Mignola's Hellboy. Features a comixography, newsletter, art galleries and competitions.", "topic": "Top/Arts/Comics/Titles/H/Hellboy", "url": "http://www.hellboy.com/"} +{"d:Title": "Hulk's Diary", "d:Description": "Weblog purportedly written by the Incredible Hulk.", "topic": "Top/Arts/Comics/Titles/H/Hulk", "url": "http://incrediblehulk.blogspot.com/"} +{"d:Title": "The Incredible Hulk: Engine of Destruction", "d:Description": "Includes information on toys, action figures, pictures, comics.", "topic": "Top/Arts/Comics/Titles/H/Hulk", "url": "http://www.incrediblehulkonline.com/"} +{"d:Title": "The Incredible Hulk Webring", "d:Description": "A network of Hulk fan sites.", "topic": "Top/Arts/Comics/Titles/H/Hulk", "url": "http://www.webring.org/webring?ring=hulkring"} +{"d:Title": "The Incredible Hulk Library", "d:Description": "Comics, movies, wallpapers, news, blog.", "topic": "Top/Arts/Comics/Titles/H/Hulk", "url": "http://www.hulklibrary.com/"} +{"d:Title": "IncrediBoy", "d:Description": "Profile, images, theme song, contest, and credits. [Shockwave Flash and RealAudio]", "topic": "Top/Arts/Comics/Titles/I", "url": "http://www.incrediboy.com/"} +{"d:Title": "Inhumans: Terrigen Mists", "d:Description": "Spanning from the early ages to the present, this site features biographies, galleries, fan art, and icons.", "topic": "Top/Arts/Comics/Titles/I", "url": "http://www.angelfire.com/scifi/terrigenmist/"} +{"d:Title": "Invisibles Annotations", "d:Description": "Comprehensive annotations to the Invisbles.", "topic": "Top/Arts/Comics/Titles/I/Invisibles", "url": "http://www.lunabase.org/~faber/Vault/invisibles"} +{"d:Title": "Yahoo Groups : Invisibles", "d:Description": "Mailing list dedicated to discussion of the comic and Grant Morrison.", "topic": "Top/Arts/Comics/Titles/I/Invisibles", "url": "http://groups.yahoo.com/group/invisibles/"} +{"d:Title": "the invisibles", "d:Description": "Cover scans and artwork from the Invisibles.", "topic": "Top/Arts/Comics/Titles/I/Invisibles", "url": "http://www.robertjpetersen.com/invisibles/"} +{"d:Title": "Iron Man Message Board", "d:Description": "Message board for topics relating to Iron Man.", "topic": "Top/Arts/Comics/Titles/I/Iron_Man", "url": "http://www.comicboards.com/ironman/"} +{"d:Title": "The Invincible Iron Man", "d:Description": "Armor descriptions, image and cover gallery, and poll results.", "topic": "Top/Arts/Comics/Titles/I/Iron_Man", "url": "http://www.angelfire.com/comics/iron_man/IronMan.htm"} +{"d:Title": "The Iron Man Armory", "d:Description": "Pictures, biographies, trivia, videos, toys, and news sections.", "topic": "Top/Arts/Comics/Titles/I/Iron_Man", "url": "http://www.ironmanarmory.com/"} +{"d:Title": "Jinxworld", "d:Description": "The official companion site to Brian Michael Bendis' Jinx comics, with information on upcoming events, art samples and the usual Bendis egoboosting.", "topic": "Top/Arts/Comics/Titles/J", "url": "http://www.jinxworld.com/"} +{"d:Title": "Jake the Evil Hare", "d:Description": "Monthly comic by Samuel Medina about a rabbit who fights crime. Published by Basement Studios. With an online preview.", "topic": "Top/Arts/Comics/Titles/J", "url": "http://members.tripod.com/~Gladden/index.html"} +{"d:Title": "Johnny the Homicidal Maniac", "d:Description": "Fan page for Jhonen Vasquez's Johnny the Homicidal Maniac comic book. Kill, murder, death.", "topic": "Top/Arts/Comics/Titles/J/Johnny_the_Homicidal_Maniac", "url": "http://www.angelfire.com/ca2/killkillkillkill/index.html"} +{"d:Title": "Vicious Grin: My JtHM Page", "d:Description": "Images, comic scans, character profiles, humor, greeting cards, personal profile, FAQ, and links.", "topic": "Top/Arts/Comics/Titles/J/Johnny_the_Homicidal_Maniac", "url": "http://www.viciousgrin.com/jthm/jthm.html"} +{"d:Title": "Head Explodey", "d:Description": "Introduction, image galleries, chat, story summary and links.", "topic": "Top/Arts/Comics/Titles/J/Johnny_the_Homicidal_Maniac", "url": "http://www.angelfire.com/ca3/jhonen/index.html"} +{"d:Title": "JtHM", "d:Description": "Fan page dedicated to the series, including character descriptions, art, and synopses.", "topic": "Top/Arts/Comics/Titles/J/Johnny_the_Homicidal_Maniac", "url": "http://questionssleep.tripod.com/"} +{"d:Title": "The Hawkman/JSA Message Board", "d:Description": "Discussion forum devoted to DC's Winged Wonder, as well as the Justice Society of America, Starman and related topics.", "topic": "Top/Arts/Comics/Titles/J/Justice_Society_of_America", "url": "http://members2.boardhost.com/Starman/"} +{"d:Title": "Kissing Chaos", "d:Description": "The official site with news, information about the series, links, galleries, and merchandise.", "topic": "Top/Arts/Comics/Titles/K", "url": "http://www.kissingchaos.com/"} +{"d:Title": "World Famous Comics: Kabuki", "d:Description": "Includes David Mack's official message board, appearances schedule, merchandise and contact information.", "topic": "Top/Arts/Comics/Titles/K/Kabuki", "url": "http://www.worldfamouscomics.com/kabuki"} +{"d:Title": "Home-Brewed KODT", "d:Description": "Agin Suer's home-brewed, slightly interactive rendition of the Knights of the Dinner Table.", "topic": "Top/Arts/Comics/Titles/K/Knights_of_the_Dinner_Table", "url": "http://www.kodt.de/"} +{"d:Title": "Yahoo! Groups: knightsofthedinnertable", "d:Description": "An interactive club dedicated to KODT.", "topic": "Top/Arts/Comics/Titles/K/Knights_of_the_Dinner_Table", "url": "http://games.groups.yahoo.com/group/knightsofthedinnertable/"} +{"d:Title": "Lethargic Online", "d:Description": "A site about the comic book character Lethargic Lad.", "topic": "Top/Arts/Comics/Titles/L", "url": "http://www.lethargiclad.8m.com/"} +{"d:Title": "Last Wish by Adam Berenstain", "d:Description": "Previews and ordering information for the mini-series.", "topic": "Top/Arts/Comics/Titles/L", "url": "http://www.angelfire.com/art/lastwish/index.html"} +{"d:Title": "Lady Death and Evil Ernie's Hell", "d:Description": "Overview of each of the two characters.", "topic": "Top/Arts/Comics/Titles/L/Lady_Death", "url": "http://members.tripod.com/~LadyDeath_EvilErnie/index.html"} +{"d:Title": "Raven Hope's Lady Death Site", "d:Description": "Image gallery from Lady Death trading cards.", "topic": "Top/Arts/Comics/Titles/L/Lady_Death", "url": "http://members.tripod.com/~LordRavenHope/index.html"} +{"d:Title": "Yahoo! Groups : ladydeathfanclub", "d:Description": "Yahoo Chat Group.", "topic": "Top/Arts/Comics/Titles/L/Lady_Death", "url": "http://groups.yahoo.com/group/ladydeathfanclub/"} +{"d:Title": "Yahoo! Groups : ladydeathforlife", "d:Description": "Yahoo Chat Group", "topic": "Top/Arts/Comics/Titles/L/Lady_Death", "url": "http://groups.yahoo.com/group/ladydeathforlife/"} +{"d:Title": "League of Extraordinary Gentlemen: Reviewed", "d:Description": "Review of the series, with related movie information.", "topic": "Top/Arts/Comics/Titles/L/League_of_Extraordinary_Gentlemen", "url": "http://www.bakerstreetdozen.com/loeg.html"} +{"d:Title": "The Legion of Super-Heroes Message Board", "d:Description": "A message board devoted to the series.", "topic": "Top/Arts/Comics/Titles/L/Legion_of_Super_Heroes", "url": "http://members2.boardhost.com/LegionSH/"} +{"d:Title": "Legion World", "d:Description": "An active message board, with posters including the artist Francis Manapul.", "topic": "Top/Arts/Comics/Titles/L/Legion_of_Super_Heroes", "url": "http://www.legionworld.net/"} +{"d:Title": "Bits O' Legionnaire Business", "d:Description": "Features character appearances, reprints, action figures, and columns.", "topic": "Top/Arts/Comics/Titles/L/Legion_of_Super_Heroes", "url": "http://lsh.0catch.com/lsh/lsh.htm"} +{"d:Title": "Get-a-Life Boy's Legion of Super-Heroes", "d:Description": "Includes stories index, alternative universe legions, and links.", "topic": "Top/Arts/Comics/Titles/L/Legion_of_Super_Heroes", "url": "http://www.readersadvice.com/lsh/lsh.html"} +{"d:Title": "Legion of Super-Heroes Help File", "d:Description": "Hosts the legion.hlp download, a literally massive help file, containing detailed information on both the Pre-Zero Hour Legion and the post-ZH Legion.", "topic": "Top/Arts/Comics/Titles/L/Legion_of_Super_Heroes", "url": "http://www.adamarnold.net/lshhlp/"} +{"d:Title": "Long Live The Legion", "d:Description": "Collection of LSH information, with a faq, information on Omnicom (the LSH mailing list, including archives), a who is who and timeline.", "topic": "Top/Arts/Comics/Titles/L/Legion_of_Super_Heroes", "url": "http://www.cs.cmu.edu/afs/cs/user/vernon/www/lsh.html"} +{"d:Title": "TRIAD: The Unofficial Luornu Durgo Website", "d:Description": "Information about Luornu Durgo. From Triplicate Girl, Duo Damsel, Triad, and everything along the way; a Triad resource. Interviews, news, revelations, biographiess, interactive events and art.", "topic": "Top/Arts/Comics/Titles/L/Legion_of_Super_Heroes", "url": "http://members.tripod.com/Legion_HQ/Luornu/"} +{"d:Title": "Little Random Pages of Nothingness: Lenore", "d:Description": "The characters and certain frozen scenes, also some fan art.", "topic": "Top/Arts/Comics/Titles/L/Lenore", "url": "http://soratoyume.4mg.com/lenore/Lenore/"} +{"d:Title": "Bob's Comics Reviews: Love and Rockets", "d:Description": "Chronology, character list, overview, and highlights.", "topic": "Top/Arts/Comics/Titles/L/Love_and_Rockets", "url": "http://zompist.com/bob6.html"} +{"d:Title": "Salon: Los Bros Hernandez Duet, With Kissing", "d:Description": "History of the artists and interview.", "topic": "Top/Arts/Comics/Titles/L/Love_and_Rockets", "url": "http://www.salon.com/2001/02/20/kiss_and_tell/"} +{"d:Title": "Marsupilami", "d:Description": "Official site about the famous Marsupilami as created by Andr\u00e9 Franquin and Luc Collin, a.k.a. Batem. Contains background information, previously unpublished strips, merchandise. [English, French and Dutch][Redirect trap]", "topic": "Top/Arts/Comics/Titles/M", "url": "http://www.marsupilami.com/"} +{"d:Title": "MeatHaus Comix", "d:Description": "A comic anthology from a collection of New York cartoonists and illustrators. Sketches, previews, and information.", "topic": "Top/Arts/Comics/Titles/M", "url": "http://www.meathaus.com/"} +{"d:Title": "Minty Comics", "d:Description": "The skinny on Geoff Hamerlinck's minicomic Minty Comics. With ordering information, links and a quiz.", "topic": "Top/Arts/Comics/Titles/M", "url": "http://members.tripod.com/~mintycomix/"} +{"d:Title": "The Moon Knight Message Board", "d:Description": "A message board devoted to \"Moon Knight\" series.", "topic": "Top/Arts/Comics/Titles/M", "url": "http://members3.boardhost.com/ArchieComics/"} +{"d:Title": "Mad Magazine Online", "d:Description": "Official site, with subscription information, message boards and archive.", "topic": "Top/Arts/Comics/Titles/M/MAD", "url": "http://www.dccomics.com/mad/"} +{"d:Title": "Wrong Number", "d:Description": "Non-profit independent short film based on the eponymous comic. Production notes, synopsis, cast and crew, screenplay, downloads, and FAQ.", "topic": "Top/Arts/Comics/Titles/M/Matrix,_The", "url": "http://matrix-wn.net.ua/"} +{"d:Title": "Wikipedia: The Matrix Comics", "d:Description": "List of stories with credits and related links.", "topic": "Top/Arts/Comics/Titles/M/Matrix,_The", "url": "http://en.wikipedia.org/wiki/The_Matrix_Comics"} +{"d:Title": "The Matrix 101", "d:Description": "Overview of the two published volumes with preview downloads in PDF format.", "topic": "Top/Arts/Comics/Titles/M/Matrix,_The", "url": "http://www.thematrix101.com/books/thematrixcomics.php"} +{"d:Title": "The Matrix Comics in Mid-October", "d:Description": "Preview of the first volume with comments on distribution.", "topic": "Top/Arts/Comics/Titles/M/Matrix,_The", "url": "http://www.icv2.com/articles/home/3348.html"} +{"d:Title": "Concatenation: The Matrix Comics", "d:Description": "Review of the first volume by Alan Boakes. \"...an imaginative fleshing-out of The Matrix universe...\"", "topic": "Top/Arts/Comics/Titles/M/Matrix,_The", "url": "http://www.concatenation.org/frev/matrixgraf.html"} +{"d:Title": "Working-Through the Trauma of the Holocaust", "d:Description": "Essay by Robert S. Leventhal on psychological and structural aspects of the book.", "topic": "Top/Arts/Comics/Titles/M/Maus", "url": "http://www.iath.virginia.edu/holocaust/spiegelman.html"} +{"d:Title": "On Spiegelman's Maus", "d:Description": "University lecture text by Ian Johnston.", "topic": "Top/Arts/Comics/Titles/M/Maus", "url": "http://records.viu.ca/~johnstoi/introser/maus.htm"} +{"d:Title": "Neurotically Yours", "d:Description": "Official site for the independent gothic/humor title. With news, related series and images, merchandise, multimedia, contacts and links.", "topic": "Top/Arts/Comics/Titles/N", "url": "http://www.illwillpress.com/"} +{"d:Title": "Neboysha the Fearless", "d:Description": "Neboysha and company are fighters for justice. Offers information on characters and storyline along with sample art.", "topic": "Top/Arts/Comics/Titles/N", "url": "http://neboysha.8m.com/"} +{"d:Title": "Nofret", "d:Description": "English and Danish site about Sussi Bech's Egyptian princess Nofret. With bibliography, panels, games, and merchandise.", "topic": "Top/Arts/Comics/Titles/N", "url": "http://www.nofret.dk/"} +{"d:Title": "New Warriors", "d:Description": "Marvel's \"New Warriors\" include teen superheroes Night Thrasher, Namorita, Nova, Justice, Firestar and Speedball. Includes, message forum, bios, images, and history.", "topic": "Top/Arts/Comics/Titles/N/New_Warriors,_The", "url": "http://www.newwarriors.com/"} +{"d:Title": "The New Warriors Message Board", "d:Description": "Message board devoted to the Marvel teen superheroes.", "topic": "Top/Arts/Comics/Titles/N/New_Warriors,_The", "url": "http://members3.boardhost.com/NewWarriors/"} +{"d:Title": "Peace Party", "d:Description": "Featuring Native American heroes fighting prejudice, pollution and supervillains. An ongoing series from Blue Corn Comics.", "topic": "Top/Arts/Comics/Titles/P", "url": "http://www.bluecorncomics.com/"} +{"d:Title": "Poke and Gravy", "d:Description": "Cartoon by Alex. Plus games and other fun stuff.", "topic": "Top/Arts/Comics/Titles/P", "url": "http://www.pokegravy.com/"} +{"d:Title": "Predator: Race War", "d:Description": "Cover gallery and story synopsis of the Dark Horse title.", "topic": "Top/Arts/Comics/Titles/P", "url": "http://www.vachss.com/av_books/comics/predator.html"} +{"d:Title": "Planetary: Archaeologists of the Impossible", "d:Description": "Featuring news, images, characters, summaries, previews and toys.", "topic": "Top/Arts/Comics/Titles/P", "url": "http://home.earthlink.net/~rkkman/frames/"} +{"d:Title": "The Poison Elves Home Page", "d:Description": "Information about Drew Hayes' comic.", "topic": "Top/Arts/Comics/Titles/P/Poison_Elves", "url": "http://www.cs.washington.edu/homes/brad/pe/p.elves.html"} +{"d:Title": "Poison Elves One-Liners", "d:Description": "One-liners, humor, fan fiction and art about the series.", "topic": "Top/Arts/Comics/Titles/P/Poison_Elves", "url": "http://www.angelfire.com/pa/peoneliners/"} +{"d:Title": "Maya's Poison Elves Guide", "d:Description": "Character info, fan fiction, and news.", "topic": "Top/Arts/Comics/Titles/P/Poison_Elves", "url": "http://www.angelfire.com/ny/PEmaya/"} +{"d:Title": "CBUB Fights: The Punisher vs. France", "d:Description": "Humorous fan fiction scenario in which Punisher battles the nation of France.", "topic": "Top/Arts/Comics/Titles/P/Punisher", "url": "http://www.electricferret.com/fights/laboom.htm"} +{"d:Title": "The War Journal", "d:Description": "Information, audio, gallery, wallpapers, fan fiction, and comics guide.", "topic": "Top/Arts/Comics/Titles/P/Punisher", "url": "http://james_harden.tripod.com/"} +{"d:Title": "Yahoo! Groups : purgatoricentral", "d:Description": "Yahoo Chat Group.", "topic": "Top/Arts/Comics/Titles/P/Purgatori", "url": "http://groups.yahoo.com/group/purgatoricentral/"} +{"d:Title": "Rat Boy", "d:Description": "Tony Walsh's comic with archive, news, current strip, author information, and merchandise.", "topic": "Top/Arts/Comics/Titles/R", "url": "http://secretlair.com/ratboy"} +{"d:Title": "Roswell", "d:Description": "Roswell, Bongo Comics group's own alien comic, has its own website with information on cast and creators, pin-ups to download and previews from upcoming issues.", "topic": "Top/Arts/Comics/Titles/R", "url": "http://www.littlegreenman.com/"} +{"d:Title": "Rom, Spaceknight Revisited", "d:Description": "Marvel series. Includes chronology, proposals, profiles of enemies and friends, and information on the action figure.", "topic": "Top/Arts/Comics/Titles/R", "url": "http://home.hiwaay.net/~lkseitz/comics/Rom/"} +{"d:Title": "Marvel Outs its '50s Gunslinger, the Rawhide Kid", "d:Description": "[Pulp Culture]", "topic": "Top/Arts/Comics/Titles/R/Rawhide_Kid", "url": "http://home.hiwaay.net/~tfharris/pulpculture/columns/021212.shtml"} +{"d:Title": "Rawhide comes out of the closet", "d:Description": "[BBC News]", "topic": "Top/Arts/Comics/Titles/R/Rawhide_Kid", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/2595301.stm"} +{"d:Title": "Rising Stars Character Files", "d:Description": "There were 113 special children born after the unexplained flash in the sky. Information provided in an effort to keep them all straight.", "topic": "Top/Arts/Comics/Titles/R/Rising_Stars", "url": "http://risingstars.8m.com/"} +{"d:Title": "Rising Stars Realm", "d:Description": "Includes pictures, issue summaries and character profiles.", "topic": "Top/Arts/Comics/Titles/R/Rising_Stars", "url": "http://risingstarsr.tripod.com/"} +{"d:Title": "Scud: The Disposable Assassin", "d:Description": "News and information, related titles, a gallery, and links.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.scud.com/"} +{"d:Title": "Starchy the Dark Spud", "d:Description": "Follow the spastic antics of the world's first crime fighting potato and his sidekick, Smallfry.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.darkspud.com/"} +{"d:Title": "Steppenwolf Chronicles", "d:Description": "Byron Black's self published adventures of Roland Steppenwolf, set in an alternate world devastated by catastrophic wars waged in the early 20th century.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.angelfire.com/in/steppenwolf/"} +{"d:Title": "Space Dog", "d:Description": "Space Dog is an independent comic book. Ubu the Barbarian is blasted into the distant future and caught up in a sci-fi farce as Space Dog. Comedy with heart and a whole lotta critters.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://spacedog.htmlplanet.com/"} +{"d:Title": "Spilled Milk", "d:Description": "Spilled Milk is a science fiction mini-series by Parker Smart. Updates on the creation of the series, as well as information for prospective publishers.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.spilledmilk.com/"} +{"d:Title": "Stone Soup Cartoons", "d:Description": "Syndicated cartoon strip of two single moms, their collective children, their mother, and their yappy little dog.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.stonesoupcartoons.com/"} +{"d:Title": "Strangehaven", "d:Description": "Official site for the Strangehaven series, by its creator Gary Millidge. Reviews, interviews, art, and shopping are available.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.millidge.com/"} +{"d:Title": "The Static Message Board", "d:Description": "A message board devoted to Static and all the Milestone characters, as well as the animated Static Shock! TV series. A section of the Island of Misfit Comic Message Boards.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://members2.boardhost.com/Static/"} +{"d:Title": "Same Old Story", "d:Description": "Official site of Blue Rose Studios' \"Same Old Story\". News, free stories, character descriptions, galleries and forums.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.bluerosestudios.net/"} +{"d:Title": "Stripburger", "d:Description": "Alternative comics anthology magazine from Slovenia. Black and white samples and covers available. Some content not in English.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.ljudmila.org/stripcore/com.htm"} +{"d:Title": "Spirit Knight", "d:Description": "Official site of this series, with information, reviews, previews, and online shopping store.", "topic": "Top/Arts/Comics/Titles/S", "url": "http://www.spirit-knight.com/"} +{"d:Title": "Review: The Sandman: Book of Dreams", "d:Description": "Review and overview.", "topic": "Top/Arts/Comics/Titles/S/Sandman", "url": "http://www.sfsite.com/08a/sand14.htm"} +{"d:Title": "Sandman and the Endless", "d:Description": "Descriptions of each of the Endless and links.", "topic": "Top/Arts/Comics/Titles/S/Sandman", "url": "http://www.oddball.net/endless/"} +{"d:Title": "Neil Gaiman's Sandman", "d:Description": "Covers, pictures, issue's plot synopses, description of the main characters and some links.", "topic": "Top/Arts/Comics/Titles/S/Sandman", "url": "http://members.shaw.ca/jacklaynedesign/sandman/sandman.html"} +{"d:Title": "The Sandman - Neil Gaiman", "d:Description": "Quotations from the series.", "topic": "Top/Arts/Comics/Titles/S/Sandman", "url": "http://www.generationterrorists.com/cgi-bin/sandman.cgi"} +{"d:Title": "Death and Sandman Images", "d:Description": "A collection of images from the series.", "topic": "Top/Arts/Comics/Titles/S/Sandman/Image_Galleries", "url": "http://www.vamp.org/Gothic/Images/death-image.html"} +{"d:Title": "The Endless Family Album", "d:Description": "Collection of images from the series.", "topic": "Top/Arts/Comics/Titles/S/Sandman/Image_Galleries", "url": "http://www.dreamrealm.org/dreaminggallery/"} +{"d:Title": "Savage Dragon", "d:Description": "Savage Dragon site by its creator Erik Larsen. News, previews, gallery and downloads about his little corner of the Image universe.", "topic": "Top/Arts/Comics/Titles/S/Savage_Dragon", "url": "http://www.savagedragon.com/"} +{"d:Title": "Shade the Changing Man Index", "d:Description": "Complete details for the original run of the series in 1977-8, including synopsis.", "topic": "Top/Arts/Comics/Titles/S/Shade_the_Changing_Man", "url": "http://darkmark6.tripod.com/shade_index.html"} +{"d:Title": "The Shazam! Message Board", "d:Description": "A message board devoted to Fawcett and Captain Marvel, as well as the Marvel Family and related Fawcett creations. A section of the Island of Misfit Comic Message Boards.", "topic": "Top/Arts/Comics/Titles/S/Shazam", "url": "http://members3.boardhost.com/ShazamBoard/"} +{"d:Title": "The She-Hulk Message Board", "d:Description": "A message board devoted to Marvel's green goddess, the jade giantess... She-Hulk! A section of the Island of Misfit Comic Message Boards.", "topic": "Top/Arts/Comics/Titles/S/She-Hulk", "url": "http://members2.boardhost.com/SheHulk/"} +{"d:Title": "Silver Surfer Website", "d:Description": "Dedicated to the Marvel Comics character the Silver Surfer. Includes reviews, profiles, image galleries, fan fictions, and a message board.", "priority": "1", "topic": "Top/Arts/Comics/Titles/S/Silver_Surfer", "url": "http://marvelite.prohosting.com/surfer/"} +{"d:Title": "Marvel Chronology Project", "d:Description": "Extensive project with every appearance of the Silver Surfer listed, along with nearly every other Marvel character known to exist.", "topic": "Top/Arts/Comics/Titles/S/Silver_Surfer", "url": "http://www.chronologyproject.com/s.htm"} +{"d:Title": "The Silver Surfer - Appearances and Galleries", "d:Description": "Site filled with the Silver Surfer's appearances, along with comic cover image galleries.", "topic": "Top/Arts/Comics/Titles/S/Silver_Surfer", "url": "http://www.chivian.com/chivian/SilverSurfer.shtml"} +{"d:Title": "The Silver Surfer Club", "d:Description": "The first Silver Surfer club on Yahoo! Clubs. With free member services like chat, message boards, and links.", "topic": "Top/Arts/Comics/Titles/S/Silver_Surfer", "url": "http://groups.yahoo.com/group/thesilversurferclub/"} +{"d:Title": "Basin City", "d:Description": "Night Flight's Frank Miller fan page. Information about the different Sin City titles and merchandise for sale.", "topic": "Top/Arts/Comics/Titles/S/Sin_City", "url": "http://www.night-flight.com/fmiller/fm.html"} +{"d:Title": "Spawn: the Dark Ages", "d:Description": "Includes image galleries, news, previews and fan artwork.[Requires Flash]", "topic": "Top/Arts/Comics/Titles/S/Spawn", "url": "http://stda.tripod.com/"} +{"d:Title": "McFarlane Toys: Spawn", "d:Description": "Official site with introduction, production information, publishing archive, and news.", "topic": "Top/Arts/Comics/Titles/S/Spawn", "url": "http://mcfarlane.com/publishing/comics/spawn/"} +{"d:Title": "Spiderfan.Org", "d:Description": "Spider-Man resource with reviews, fan contributions, comic information, themes, polls, games, pictures, indexes, creator credits and an online e-zine.", "topic": "Top/Arts/Comics/Titles/S/Spider-Man", "url": "http://www.spiderfan.org/"} +{"d:Title": "Spider-Man Crawl Space", "d:Description": "Spider-Man comic and novel reviews, images galleries, message board, chat room, polls, news, rumors, plus a \"Weird Marvel Collectibles\" section.", "topic": "Top/Arts/Comics/Titles/S/Spider-Man", "url": "http://www.spidermancrawlspace.com/"} +{"d:Title": "Spider Man's Tangled Web", "d:Description": "An unofficial fan site dedicated to Spider-Man. Featuring biography and character profiles.", "topic": "Top/Arts/Comics/Titles/S/Spider-Man", "url": "http://myweb.cebridge.net/spider2773/spider.html"} +{"d:Title": "Spiderman News", "d:Description": "Movie updates, fan forum, wallpaper, links, episode guides.", "topic": "Top/Arts/Comics/Titles/S/Spider-Man", "url": "http://www.spiderman-web.com/"} +{"d:Title": "Green Goblin's Ring of Evil", "d:Description": "A WebRing for Green Goblin and other Spidey Villains.", "topic": "Top/Arts/Comics/Titles/S/Spider-Man", "url": "http://www.webring.org/hub?ring=greengoblinsring"} +{"d:Title": "WebRing: Spider-Man", "d:Description": "Ring of sites devoted to the super-hero.", "topic": "Top/Arts/Comics/Titles/S/Spider-Man", "url": "http://www.webring.org/hub/spidey"} +{"d:Title": "Spider-Man Hype", "d:Description": "Includes cast, downloads, news and rumors as well as a chat room and message board.", "topic": "Top/Arts/Comics/Titles/S/Spider-Man", "url": "http://www.superherohype.com/heroes/spider-man"} +{"d:Title": "Wildwood Cemetery - The Spirit Database", "d:Description": "Information on the characters, creators and storylines in the series.", "topic": "Top/Arts/Comics/Titles/S/Spirit,_The", "url": "http://www.angelfire.com/art/wildwood/"} +{"d:Title": "Luck Mojo: The Spirit Checklist", "d:Description": "Cat Yronwode's checklist of all appearances of The Spirit in the USA.", "topic": "Top/Arts/Comics/Titles/S/Spirit,_The", "url": "http://www.luckymojo.com/spiritchecklist.html"} +{"d:Title": "Star Wars Comics", "d:Description": "Information about various published comics.", "topic": "Top/Arts/Comics/Titles/S/Star_Wars", "url": "http://www.wheelon.com/comics/star_wars_comics.htm"} +{"d:Title": "You'll All Be Sorry: Strangers in Paradise", "d:Description": "Parody of Strangers in Paradise. [Comic Book Resources]", "topic": "Top/Arts/Comics/Titles/S/Strangers_in_Paradise", "url": "http://www.comicbookresources.com/columns/index.cgi?column=yabs&article=151"} +{"d:Title": "Strangers in Paradise", "d:Description": "Official website for Terry Moore's comic. FAQ, scans, news, and multimedia.", "topic": "Top/Arts/Comics/Titles/S/Strangers_in_Paradise", "url": "http://www.strangersinparadise.com/"} +{"d:Title": "Supergirl: Maid of Might", "d:Description": "History of the superheroine, issues list, character cast, details about the movie, blog, image gallery and assorted information.", "topic": "Top/Arts/Comics/Titles/S/Supergirl", "url": "http://supergirlmaidofmight.com/"} +{"d:Title": "Superman Super Site.com", "d:Description": "Covering Superman's creation in 1938 through present day. Categories include comics, movies, television, actors, and Smallville with updates daily.", "priority": "1", "topic": "Top/Arts/Comics/Titles/S/Superman", "url": "http://www.supermansupersite.com/"} +{"d:Title": "Superman Homepage", "d:Description": "All of Superman's incarnations (comics, film, TV, radio and more), with very thorough information on every aspect of the character. Many resources and galleries, and also a board and a chat room.", "topic": "Top/Arts/Comics/Titles/S/Superman", "url": "http://www.supermanhomepage.com/"} +{"d:Title": "The Daily Planet", "d:Description": "Information about the Daily Planet and Superman.", "topic": "Top/Arts/Comics/Titles/S/Superman", "url": "http://dailyplanet.iwarp.com/"} +{"d:Title": "Kon-El and Cassie's Page of Love", "d:Description": "Relationship shrine with biographies, chronology timelines, art gallery and message board.", "topic": "Top/Arts/Comics/Titles/S/Superman", "url": "http://yobrepus.tripod.com/superboy.html"} +{"d:Title": "Superman", "d:Description": "Dedicated to Superman and the important issues of the day along with added holiday pages.", "topic": "Top/Arts/Comics/Titles/S/Superman", "url": "http://www.members.tripod.com/~SUPERMAN5775/index.html"} +{"d:Title": "SuperDickery", "d:Description": "Humorous site dedicated to showing that \"Superman is a dick\". Many old bizarre covers of the original comic, forums, and a small online store.", "topic": "Top/Arts/Comics/Titles/S/Superman", "url": "http://www.superdickery.com/"} +{"d:Title": "Greg Plantamura's Swamp Thing Annotations", "d:Description": "Full set of annotations for Alan Moore's run of the series. Includes many images from the corresponding issues.", "topic": "Top/Arts/Comics/Titles/S/Swamp_Thing", "url": "http://www.angelfire.com/pop/bay55/SwampThing/SwampBase.html"} +{"d:Title": "Swamp Thing Index", "d:Description": "Detailed index of the comic's issues from the creation of the character and up to issue 50 (1986). Includes synopsis, character appearances and author information.", "topic": "Top/Arts/Comics/Titles/S/Swamp_Thing", "url": "http://darkmark6.tripod.com/swampthingind.html"} +{"d:Title": "Tango-man", "d:Description": "Introduction, character profiles, music, images, and general information.", "topic": "Top/Arts/Comics/Titles/T", "url": "http://tango-man.tripod.com/"} +{"d:Title": "ThunderGirls Wrestling Comics", "d:Description": "The home page for ThunderGirls comics, the only comic to feature real girl wrestlers, including the very sexy Jeanne \"Hollywood\"Basone, of G.L.O.W. fame.", "topic": "Top/Arts/Comics/Titles/T", "url": "http://www.thundergirls.com/"} +{"d:Title": "Thor Message Board", "d:Description": "Dedicated to discussion of the Mighty Thor.", "topic": "Top/Arts/Comics/Titles/T", "url": "http://www.comicboards.com/thor/"} +{"d:Title": "Tunguska", "d:Description": "Online companion to Swedish self-published comic, with previews, creator biography, ordering information and links.", "topic": "Top/Arts/Comics/Titles/T", "url": "http://www.kommiekomiks.com/"} +{"d:Title": "Bob Rosenberg's Tank Girl Site", "d:Description": "Introduction, history, character profiles, movie information and screen shots, merchandise, FAQ, lookalikes, and links.", "priority": "1", "topic": "Top/Arts/Comics/Titles/T/Tank_Girl", "url": "http://www.twisted.org.uk/tg/"} +{"d:Title": "Tank Girl", "d:Description": "This site contains a brief history of the British comic sensation, \"Tank Girl\" and a wide selection of sample art by the comic's illustrator, Jamie Hewlett.", "topic": "Top/Arts/Comics/Titles/T/Tank_Girl", "url": "http://members.tripod.com/MegaPunx/tg.html"} +{"d:Title": "The Tintin Trivia Quiz", "d:Description": "Fans of the adventure comic can test their knowledge with 150 different questions.", "topic": "Top/Arts/Comics/Titles/T/Tintin", "url": "http://tintin.eugraph.com/"} +{"d:Title": "Herg\u00e9's Syldavian", "d:Description": "Grammatical analysis (orthography, phonology and syntax) of the Syldavian, a language spoken in the King Ottokar's Sceptre.", "topic": "Top/Arts/Comics/Titles/T/Tintin", "url": "http://www.zompist.com/syldavian.html"} +{"d:Title": "The Cult of Tintin at Tintinologist.org", "d:Description": "Non-official Tintin fan site featuring Tintin news, articles, reports, reviews, reference guides, and discussion forums.", "topic": "Top/Arts/Comics/Titles/T/Tintin", "url": "http://www.tintinologist.org/"} +{"d:Title": "Too Much Coffee Man", "d:Description": "Online strips, merchandise, and multimedia. [Graphics intensive]", "topic": "Top/Arts/Comics/Titles/T/Too_Much_Coffee_Man", "url": "http://www.tmcm.com/"} +{"d:Title": "The Transmet Feed", "d:Description": "Character and plot descriptions, quotes, news, and plenty of other Transmetropolitan miscellanea; most sections are out of date.", "topic": "Top/Arts/Comics/Titles/T/Transmetropolitan", "url": "http://www.innocence.com/transmet/"} +{"d:Title": "Ugo", "d:Description": "An amateur, witty, clean comic strip strip from Ugonna Nwosu.", "topic": "Top/Arts/Comics/Titles/U", "url": "http://ugo.50megs.com/"} +{"d:Title": "The Ultimate Universe Message Board", "d:Description": "Message board devoted to the Ultimate Universe.", "topic": "Top/Arts/Comics/Titles/U", "url": "http://members3.boardhost.com/Elseworlds/"} +{"d:Title": "Underground", "d:Description": "The story follows Park Ranger Wesley Fischer as she tries to save Stillwater Cave -- and then has to save herself. By Jeff Parker, drawn by Steve Lieber, offered as a free download in pdf and cbt formats.", "topic": "Top/Arts/Comics/Titles/U", "url": "http://www.undergroundthecomic.com/"} +{"d:Title": "Usagi Yojimbo Dojo", "d:Description": "Information and news on Stan Sakai samurai comic, Usagi Yojimbo. With a newsletter, a member forum, interview transcriptions, and character profiles.", "topic": "Top/Arts/Comics/Titles/U/Usagi_Yojimbo", "url": "http://www.usagiyojimbo.com/"} +{"d:Title": "Bad Tidings - The Villains Message Board", "d:Description": "A message board devoted to any and all comic book villains! A section of the Island of Misfit Comic Message Boards.", "topic": "Top/Arts/Comics/Titles/V", "url": "http://members3.boardhost.com/Villains/"} +{"d:Title": "WJHC Comic", "d:Description": "Jackson Hill High; join Janey Wells and friends in the comic adventures of WJHC Radio.", "topic": "Top/Arts/Comics/Titles/W", "url": "http://www.wjhc.com/"} +{"d:Title": "Winds of Winter", "d:Description": "Gianluca Piredda's graphic novel - includes gallery of Stefano Cardoselli's art, news about the story and the publishers.", "topic": "Top/Arts/Comics/Titles/W", "url": "http://www.windsofwinter.8m.com/"} +{"d:Title": "The Annotated Watchmen", "d:Description": "Reading companion to Alan Moore's political classic. Focuses on giving insight to the series, using internal and external references.", "topic": "Top/Arts/Comics/Titles/W/Watchmen", "url": "http://www.capnwacky.com/rj/watchmen/chapter1.html"} +{"d:Title": "Alan Moore Portal: Watchmen", "d:Description": "Fan site with character biographies, fan fiction, quiz and links.", "topic": "Top/Arts/Comics/Titles/W/Watchmen", "url": "http://www.angelfire.com/comics/mooreportal/watchmen.html"} +{"d:Title": "The Witchblade", "d:Description": "Contains multiple image galleries.", "topic": "Top/Arts/Comics/Titles/W/Witchblade", "url": "http://www.angelfire.com/wa/TheWitchblade/"} +{"d:Title": "Duke Of Deception's Wonder Woman Page", "d:Description": "Fan art devoted to the title character.", "topic": "Top/Arts/Comics/Titles/W/Wonder_Woman", "url": "http://www.angelfire.com/scifi/DukeOfDeception/"} +{"d:Title": "Chronology.net", "d:Description": "An impressive attempt to show all the X-books in a chronological order with X-Men story timelines, title indices, and a bibliography.", "topic": "Top/Arts/Comics/Titles/X/X-Men", "url": "http://www.chronology.net/"} +{"d:Title": "Shocks Mutant World", "d:Description": "X-Men community that offers a message board and detailed information on all the popular mutants.", "topic": "Top/Arts/Comics/Titles/X/X-Men", "url": "http://www.angelfire.com/az3/ShocksMutantWorld/"} +{"d:Title": "Uncanny X-Men.Net", "d:Description": "Character bios and indepth information on heroes and villains, picture galleries, fan contributions (art, fiction and film), free downloads, timeline histories and collector information.", "topic": "Top/Arts/Comics/Titles/X/X-Men", "url": "http://www.uncannyxmen.net/"} +{"d:Title": "Mind Games", "d:Description": "Shrine to Martinique Jason, Mastermind II. Profile, history, images, quotes, appearances, poll, and links.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters", "url": "http://www.angelfire.com/rpg/martinique/"} +{"d:Title": "Ororo and Logan Secret World", "d:Description": "This site is a gallery of art featuring Wolverine and Storm together - includes scanned comic images and cartoon stills as well as fan art and fan fiction.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters", "url": "http://www.angelfire.com/il3/secretworld/open.htm"} +{"d:Title": "un(frozen)", "d:Description": "This Iceman archive holds character info, stories, pictures, links, and a discussion group base.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters", "url": "http://www.tellingstories.net/unfrozen/"} +{"d:Title": "Gambit and Storm Group", "d:Description": "Yahoo Group dedicated to the friendship and possible romance of Remy \"GamBit\" Lebeau and Ororo \"Storm\" Munroe of the X-men. Site offers a messaging community, fan art, and fan fiction.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters", "url": "http://groups.yahoo.com/group/GambitandStormGroup/"} +{"d:Title": "Stars&Garters", "d:Description": "An archive of stories, quotes, character background, and links for Beast fans.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Beast", "url": "http://www.tellingstories.net/beast/"} +{"d:Title": "Red Shades", "d:Description": "Mailing-list, fan fiction archive, and images.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Cyclops", "url": "http://redshades.tripod.com/"} +{"d:Title": "Le Diable Blanc", "d:Description": "Gallery, character information and statistics, fan-art and fan-fiction, including some in the Japanese doujinshi style. The site owner asks that Rogue and Gambit fans be warned as this is an \"anti-Rogue site.\" Includes \"slash\" and adult content in areas, which are provided with very clear content warnings.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Gambit", "url": "http://www.lediableblanc.com/"} +{"d:Title": "Cold Hands, Warm Cards", "d:Description": "A Rogue and Gambit site that includes issue summaries, memorable quotes, and fan fiction.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Gambit", "url": "http://chwc.ariadneslabyrinth.net/"} +{"d:Title": "Cajun's Castle", "d:Description": "Character information, images, news, and fan fiction.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Gambit", "url": "http://www.angelfire.com/tv/cajunscastle/"} +{"d:Title": "The Gambit Group", "d:Description": "Companion site to a mailing list-based Gambit fan club. Extensive character information, an image gallery, comic book appearances and reviews, fan-fiction, fan-art and links as well as info on the club and how to join.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Gambit", "url": "http://www.angelfire.com/scifi/nextx/gambitgroup2.htm"} +{"d:Title": "The Gambit Guild", "d:Description": "This companion site to a Gambit fan club provides messaging, images, fan fiction, and links.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Gambit", "url": "http://www.gambitguild.com/"} +{"d:Title": "NightScrawlers", "d:Description": "Message board and image gallery.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Nightcrawler", "url": "http://www.nightscrawlers.com/"} +{"d:Title": "Rogue - Shrine of the Green Goddess", "d:Description": "Pictures from the comics, trading cards and the original cartoon series, as well as links, merchandise pictures and information, fan art, and a Rogue appearance list from the comic books and trading cards.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Rogue", "url": "http://members.shaw.ca/rogueshrine"} +{"d:Title": "The Rogue Message Board", "d:Description": "A message board devoted to discussion of the X-Men character.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Rogue", "url": "http://members3.boardhost.com/RogueX/"} +{"d:Title": "Rogue's Fanart Gallery", "d:Description": "Commissioned art, fan art, cels, and images of Rogue from various times in the character's history.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Rogue", "url": "http://www.angelfire.com/comics/x_menroguestuff/home.html"} +{"d:Title": "Down-Home Charm", "d:Description": "This site is entirely based on fan offerings and includes fan-fiction, fan-artwork, a collection of articles by Rogue fans as well as other miscellaneous sources and topics of interest to comic and Rogue fans. A particularly unique feature is a songbank of lyrics that seem to tie in with Rogue's character.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Rogue", "url": "http://www.tellingstories.net/rogue/"} +{"d:Title": "Cold Hands, Warm Cards", "d:Description": "A Rogue and Gambit site that includes issue summaries, memorable quotes, and fan fiction.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Rogue", "url": "http://chwc.ariadneslabyrinth.net/"} +{"d:Title": "X-Men Fanfiction", "d:Description": "Most stories in this X-Men site focus on situations featuring Rogue and either Magneto or Joseph.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Rogue/Fan_Fiction", "url": "http://homepages.ihug.co.nz/~jaelle/xmenindex.htm"} +{"d:Title": "Down-Home Charm: Fan-Fiction", "d:Description": "Organized chronologically by comic book history, this classic Rogue site focuses on some of the best of Rogue-based fan-fic.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Rogue/Fan_Fiction", "url": "http://www.tellingstories.net/rogue/fanfic/index.shtml"} +{"d:Title": "Storm: The Woman Of The X", "d:Description": "This Yahoo Group is a Storm-based discussion group and fan club.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Storm", "url": "http://groups.yahoo.com/group/stormthewomanofthex/"} +{"d:Title": "I<Heart> Wolverine", "d:Description": "Information, games, and fan club for the comic, cartoon, and movie versions of this character.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Wolverine", "url": "http://www.angelfire.com/oh4/wolverine/"} +{"d:Title": "Wolverine - Life and Times of a Runty Canuck", "d:Description": "Featuring \"fact files\", images, quotes, and other details from the life of Wolverine.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Characters/Wolverine", "url": "http://www.angelfire.com/ns/logan/index.html"} +{"d:Title": "Blink: Wikipedia article", "d:Description": "This one page overview of Blink gives basic character information, her full history including her affiliations with the team that would later become Generation X and with the Age of Apocalypse storyline that led to her current leadership position with the Exiles.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Exiles", "url": "http://en.wikipedia.org/wiki/Blink_(comics)"} +{"d:Title": "Exiles: Wikipedia article", "d:Description": "This one-page article gives a full team history, links to similar articles on all the team's members, and pictures of the team and key issues.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Exiles", "url": "http://en.wikipedia.org/wiki/Exiles_(comics)"} +{"d:Title": "Lavender Darts: The Blink Homepage", "d:Description": "Site has character information, picture galleries, a FAQ, appearance listing, and links.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Exiles", "url": "http://www.lehigh.edu/~md08/blink/"} +{"d:Title": "The Wolverine and Jubilee Page", "d:Description": "Wolverine and Jubilee see particular focus, both individually and together, on this site which also offers character backgrounds, pictures and links. A few other X-Men characters also have stories included.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Fan_Fiction", "url": "http://www.wolverineandjubilee.com/"} +{"d:Title": "Generation neXt", "d:Description": "Fan site dedicated to the \"X-Men\" related series. Includes news, character biographies, issue checklist, images, message board, links, frequently asked questions, games, spinoffs, creator interviews, and fan fiction and art.", "priority": "1", "topic": "Top/Arts/Comics/Titles/X/X-Men/Generation_X", "url": "http://www.sugarbombs.com/genx/"} +{"d:Title": "Kindred Spirits", "d:Description": "Generation X page with character profiles, fan art, fan fiction, want ads through Halley's Comics, movie information, chat, forum, humor, images, wallpaper, links, and inconsistencies in the comics.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Generation_X", "url": "http://tigrrwildcat.50megs.com/index.html"} +{"d:Title": "The M-Files", "d:Description": "Dedicated to Monet St. Croix, better known as M of Generation X.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Generation_X", "url": "http://www.tentative.net/mfiles"} +{"d:Title": "Proudstar Hall", "d:Description": "Generation X fansite with reviews, biographies, news, gallery and links.", "topic": "Top/Arts/Comics/Titles/X/X-Men/Generation_X", "url": "http://homepage.eircom.net/~proudstarhall/intro.htm"} +{"d:Title": "ShockWave's X-Force Page", "d:Description": "Contains character biographies, a team history, and pictures.", "topic": "Top/Arts/Comics/Titles/X/X-Men/X-Force", "url": "http://members.tripod.com/~paige3/index.html"} +{"d:Title": "The Shatterstar Page", "d:Description": "Character information on Shatterstar as well as the other members of X-Force and their enemies, pictures and links.", "topic": "Top/Arts/Comics/Titles/X/X-Men/X-Force", "url": "http://www.angelfire.com/comics/shatterstar/shatterstar.html"} +{"d:Title": "Young Justice: The Hangout", "d:Description": "Fan site with hero and villain biographies, creator interviews, reviews, message boards and fan art.", "topic": "Top/Arts/Comics/Titles/Y/Young_Justice", "url": "http://members.tripod.com/~YJFAN/"} +{"d:Title": "Young Justice", "d:Description": "Fan site including biographies, pictures and appearance listing.", "topic": "Top/Arts/Comics/Titles/Y/Young_Justice", "url": "http://www.angelfire.com/ab/teenteams/index.html"} +{"d:Title": "The Justice Cave", "d:Description": "Fan site with issue reviews, hero and villain biographies, images, fan fiction and art.", "topic": "Top/Arts/Comics/Titles/Y/Young_Justice", "url": "http://www.angelfire.com/ct2/YoungJustice/index.html"} +{"d:Title": "The Throne Room: An Empress Site", "d:Description": "Dedicated to the character Empress, Anita Fite, with quiz, biography, essay on her role in the series, images, fan art and fiction, issue appearances, previews and links.", "topic": "Top/Arts/Comics/Titles/Y/Young_Justice", "url": "http://www.angelfire.com/tx/noprize/empress/index.html"} +{"d:Title": "Young Justice", "d:Description": "Overview of the series, especially characters Robin, Superboy and Impulse, including images and biographies.", "topic": "Top/Arts/Comics/Titles/Y/Young_Justice", "url": "http://www.angelfire.com/pq/joyeland/yj.html"} +{"d:Title": "Milieux - The Costume Site", "d:Description": "A web index for costumers and those interested in costume. History, images, research, museums, instruction, organizations, events, and large source/suppliers listings.", "topic": "Top/Arts/Costumes", "url": "http://www.milieux.com/costume/"} +{"d:Title": "The Costumer's Manifesto", "d:Description": "Includes photographs, renderings, history and information on costuming. Extensive links collection.", "topic": "Top/Arts/Costumes", "url": "http://www.costumes.org/"} +{"d:Title": "The Costume Gallery", "d:Description": "Directory of costume resources. Period clothing and hair styles, courses on period clothing and its construction, research library, and consultations.", "topic": "Top/Arts/Costumes", "url": "http://www.costumegallery.com/"} +{"d:Title": "The Costume Page", "d:Description": "A comprehensive index of costume links for those who study and/or make costumes.", "topic": "Top/Arts/Costumes", "url": "http://www.costumepage.org/"} +{"d:Title": "Cyndi's List - Clothing&Costumes", "d:Description": "Web directory aimed at genealogists looking to identify clothing in family photographs or portraits. General resource sites, accessories, regional clothing, preservation, and vendors.", "topic": "Top/Arts/Costumes", "url": "http://www.cyndislist.com/clothing"} +{"d:Title": "Labyrinth of Jareth Fantasy Masquerade Ball", "d:Description": "Annual ball based on Celtic and goblin lore; produced by Sypher Art Studios. Images from past balls, event information, ticket sales and related merchandise, FAQ, and mailing list.", "topic": "Top/Arts/Costumes/By_Type/Science_Fiction_and_Fantasy", "url": "http://www.labyrinthmasquerade.com/"} +{"d:Title": "Historic Costuming Bulletin Board", "d:Description": "Discussions about how to make, find, and research reenactment costumes or their components, plus tips contributed from the community.", "topic": "Top/Arts/Costumes/Chats_and_Forums", "url": "http://www.costumegallery.com/BB/"} +{"d:Title": "Costumes", "d:Description": "A Yahoo group for those who like costumes.", "topic": "Top/Arts/Costumes/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/costumes/"} +{"d:Title": "SCA-Garb", "d:Description": "Yahoo group discussing costumes for and relating to the Society of Creative Anachronism.", "topic": "Top/Arts/Costumes/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/SCA-Garb/"} +{"d:Title": "Sewinghistoric", "d:Description": "Yahoo group for people who love to sew period clothing. Sharing of ideas, troubleshooting, and convention and supplies information.", "topic": "Top/Arts/Costumes/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/sewinghistoric/"} +{"d:Title": "Casa Mai: The Study", "d:Description": "Personal history of costuming, image gallery, links, and reviews of costume books.", "topic": "Top/Arts/Costumes/Exhibitions", "url": "http://www.casamai.com/study.html"} +{"d:Title": "Costume-ConNections", "d:Description": "Official site of Costume-Con; convention usually scheduled February, April, or May. Mission statement, index of competition entries and awards, FAQ, news, book recommendations. Resource list for the Washington, DC metro area.", "topic": "Top/Arts/Costumes/Exhibitions", "url": "http://www.costume-con.org/"} +{"d:Title": "The Northern Society of Costume&Textiles", "d:Description": "Registered charity, founded in 1977 to encourage the study and preservation of costumes and textiles, and to research the history of their their origins and uses.", "topic": "Top/Arts/Costumes/Organizations", "url": "http://www.nsct.org.uk/"} +{"d:Title": "International Costumers' Guild, Inc. - ICG", "d:Description": "Worldwide organization of costume professionals and hobbyists. Links to costume resources, events, and area chapters.", "topic": "Top/Arts/Costumes/Organizations", "url": "http://www.costume.org/"} +{"d:Title": "Costume Society of America", "d:Description": "Costume and fashion history, museums, art history, lectures, conservation, and scholarships. Publishes educational journal \"Dress\".", "topic": "Top/Arts/Costumes/Organizations", "url": "http://www.costumesocietyamerica.com/"} +{"d:Title": "Costume Society of Great Britain", "d:Description": "Membership information and costs, calendar of events, scholarship and grant requirements, purchasable publications, and list of associated societies with contact information. Publishes educational journal \"Costume\"; partial index of past issues.", "topic": "Top/Arts/Costumes/Organizations", "url": "http://www.costumesociety.org.uk/"} +{"d:Title": "National Costumers Association", "d:Description": "USA-based group supporting the costuming industry. Officers and Board of Directors, mission statement, events schedule, image gallery from past competitions, scholarships, list of members, and membership form.", "topic": "Top/Arts/Costumes/Organizations", "url": "http://www.costumers.org/"} +{"d:Title": "The Greater San Francisco Bay Area Costumer's Guild", "d:Description": "California, US-based group who enjoy creating and wearing costumes. Includes \"The Great Pattern Review\", calendar of themed events, image gallery, membership information. Extensive directory of costuming resources.", "topic": "Top/Arts/Costumes/Organizations", "url": "http://www.gbacg.org/"} +{"d:Title": "Costume Designers Guild", "d:Description": "Organization founded in 1953 to \"promote the research, artistry and technical expertise in the field of film and television Costume Design\".", "topic": "Top/Arts/Costumes/Organizations", "url": "http://www.costumedesignersguild.com/"} +{"d:Title": "Historical Reproduction Clothing", "d:Description": "A ring dedicated to the design, construction and wearing of historically accurate reproduction clothing and underpinnings from Medieval to WW2.", "topic": "Top/Arts/Costumes/Web_Rings", "url": "http://www.webring.org/hub?ring=reproclothing"} +{"d:Title": "Costume", "d:Description": "Theater and wardrobe costume ring.", "topic": "Top/Arts/Costumes/Web_Rings", "url": "http://www.webring.org/hub?ring=costume"} +{"d:Title": "Bo Breda", "d:Description": "Artist's resume and gallery of work in fiber, beads, garments, and sculpture.", "topic": "Top/Arts/Crafts/Artisans", "url": "http://www.bobreda.biz/"} +{"d:Title": "Cooper, Jennifer", "d:Description": "Examples of parchment craft, teabag-folding and embroidered pin-pricked cards.", "topic": "Top/Arts/Crafts/Artisans", "url": "http://www.buckspoint.f2s.com/jac.htm"} +{"d:Title": "Larned Marlow Studios", "d:Description": "Gail Larned, fiber artist offers one of a kind artwork for residential, corporate and institutional settings. Eric Marlow, jewelry designer creates one of a kind and limited edition jewelry in precious metals.", "topic": "Top/Arts/Crafts/Artisans", "url": "http://larnedmarlow.com/"} +{"d:Title": "The Guardian - Disappearing Acts", "d:Description": "Jon Henley's series about artisans practicing old and now disappearing crafts. Includes slideshows of each.", "topic": "Top/Arts/Crafts/Artisans", "url": "http://www.theguardian.com/money/series/disappearing-acts"} +{"d:Title": "Lehigh Valley Guild of Craftsmen", "d:Description": "Nonprofit organization from Allentown, PA making a variety of handmade crafts.", "topic": "Top/Arts/Crafts/Associations", "url": "http://lvcraftsguild.org/"} +{"d:Title": "Saskatchewan Craft Council", "d:Description": "A non-profit organization representing fine craft artists in Saskatchewan.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.saskcraftcouncil.org/"} +{"d:Title": "West Virginia Art&Craft Guild", "d:Description": "Membership directory and online gallery of original, handmade, traditional and contemporary crafts.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.wvartcraftguild.com/"} +{"d:Title": "Shasha", "d:Description": "A not-for-profit marketing organization for craftspeople and producers from all over India.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.sashaworld.com/"} +{"d:Title": "Wales Craft Council", "d:Description": "Independent organisation of full-time professional craftspeople working in Wales, UK. Membership criteria, events, news and list of members with product descriptions.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.walescraftcouncil.co.uk/"} +{"d:Title": "Independent Craft Galleries Association", "d:Description": "Provides representation for applied arts galleries in the UK. Includes galleries map and exhibition calendar.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.icga.co.uk/"} +{"d:Title": "Craft Central", "d:Description": "A unique charity working to maintain and promote fine craft and design skills in the heart of London's historic community of Clerkenwell.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.craftcentral.org.uk/"} +{"d:Title": "Worcestershire Guild of Designer Craftsmen", "d:Description": "Guild for all types of craftsmen. Based in Worcestershire, UK.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.wgdc.org.uk/"} +{"d:Title": "Pennsylvania Guild of Craftsmen", "d:Description": "A membership organization serving the public and the crafts community for half a century.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.pacrafts.org/"} +{"d:Title": "National Association of Independent Artists", "d:Description": "NAIA was formed to address the needs and concerns of artists and artisans who exhibit and sell their work primarily at art and craft festivals.", "topic": "Top/Arts/Crafts/Associations", "url": "http://www.naiaartists.org/"} +{"d:Title": "The Craftsmen's Guild of Mississippi", "d:Description": "Jackson, Mississippi. Photos of exhibition of fiber and other crafts.", "topic": "Top/Arts/Crafts/Associations", "url": "http://craftsmensguildofms.org/"} +{"d:Title": "Balloon Modelling World Records", "d:Description": "A variety of Guinness World Records for balloon sculpting/ modelling.", "topic": "Top/Arts/Crafts/Balloon_Sculpting", "url": "http://www.recordholders.org/en/records/balloon.html"} +{"d:Title": "Balloon HQ", "d:Description": "Covers the history and science of balloons, basics of twisting, decorating tips, and business considerations for twisters and decorators. Includes instructions on twisting over four hundred sculptures.", "priority": "1", "topic": "Top/Arts/Crafts/Balloon_Sculpting", "url": "http://www.balloonhq.com/"} +{"d:Title": "M B Floyd Balloon Animals", "d:Description": "Instructions on simple and advanced balloon sculptures. Photo Galleries full of pictures of balloon creations.", "topic": "Top/Arts/Crafts/Balloon_Sculpting", "url": "http://www.mbfloyd.com/"} +{"d:Title": "Qualatex Balloon Network", "d:Description": "Offers a Certified Balloon Artist course. Unites and supports businesses that offer value-added balloon designs featuring Qualatex products.", "topic": "Top/Arts/Crafts/Balloon_Sculpting", "url": "http://www.qualatex.com/pages/qbn.php"} +{"d:Title": "Ballooniversity", "d:Description": "Annual event based in Georgia, USA. Offers hands on classes, industry expert instructors and prizes.", "topic": "Top/Arts/Crafts/Balloon_Sculpting", "url": "http://ballooniversity.com/"} +{"d:Title": "Balloons Worldwide Balloon Decorating Network", "d:Description": "Resource for event planners to locate professional balloon decorators worldwide.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists", "url": "http://www.balloondecorating.com/"} +{"d:Title": "St. David Ballooning", "d:Description": "Balloon sculpturing services based in Malaysia to enhance a function.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists", "url": "http://stdavidballoon.tripod.com/"} +{"d:Title": "David Pearson", "d:Description": "A balloon entertainer in Queensland, Australia. Available for events, promotions, and parties.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists", "url": "http://www.misterballoons.com.au/"} +{"d:Title": "The Circus Performers", "d:Description": "A directory of balloon modelers available for private performances. Based in the UK.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists", "url": "http://www.circusperformers.com/skills/20"} +{"d:Title": "Airheads Balloon Art, Inc.", "d:Description": "Balloon decoration, entertainment and delivery. Pittsburgh, Pa, USA.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.airheadsballoonart.com/"} +{"d:Title": "Balloon Bayou of California", "d:Description": "Providing balloon decor in Northern California.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.balloonbayou.com/"} +{"d:Title": "Balloon Celebrations", "d:Description": "Specializes in balloon decorations for bar and bat mitzvahs, weddings and corporate events. Services Philadelphia, Atlantic City and vicinities.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.balloon-celebrations.com/"} +{"d:Title": "Twistin' Todd", "d:Description": "Offers balloon animal, decoration, sculpture, and twisting services for kids birthday parties, corporate and holiday events, street festivals, and Bar Mitzvahs. Based in Boston.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.balloonshow.com/"} +{"d:Title": "Magical Balloon-dude Dale", "d:Description": "Entertainer for birthdays, preschoolers, weddings and other events. Located in Illinois.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.mbd2.com/"} +{"d:Title": "WonderGirl and WonderGuy Balloons", "d:Description": "Creates balloon animals, hats, and custom sculptures for birthday parties, school carnivals, corporate functions, and special events. Face and body coloring also available. Based in California.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.wondergirlballoons.com/"} +{"d:Title": "Stretch and Company", "d:Description": "Specializes in twisting balloons to create animals, hats, and caricatures at kids parties, restaurants, churches and special events in Grapevine, Texas and the Dallas-Fort Worth area.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.stretchc.com/"} +{"d:Title": "Technicolor Twists", "d:Description": "Includes an extensive gallery of balloon animals and designs. Based in Joliet, Illinois.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.angelfire.com/il3/technicolortwists/1.html"} +{"d:Title": "All Star Balloon Twisters", "d:Description": "Entertainment for kids events. Balloon decor for special events including arches, columns, centerpieces, animals and balloon sculptures in Orange County, CA", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.allstarballoontwisters.com/"} +{"d:Title": "Mr. Twisty", "d:Description": "Instructions to make balloon animals, magic tricks, jokes and juggling. Official Mr. Twisty fan club and newsletter. Based in New York.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://www.mrtwisty.com/"} +{"d:Title": "The Balloon Wench", "d:Description": "Lanna Lee Maheux offers balloon twisting entertainment. Based in Maine.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://balloonwench.com/"} +{"d:Title": "Mr. Kidz", "d:Description": "A professional balloon artist based in Rockford, Illinois. Offers custom balloon creations for parties and special events.", "topic": "Top/Arts/Crafts/Balloon_Sculpting/Balloon_Artists/United_States", "url": "http://mrkids.tripod.com/"} +{"d:Title": "Just Patterns", "d:Description": "Magazine published quarterly, every issue contains four or more basket patterns.", "topic": "Top/Arts/Crafts/Basketry", "url": "http://www.justpatterns.com/"} +{"d:Title": "Virtual Basketmakers Guild", "d:Description": "Group of artisans and crafters sharing a love of baskets and woven vessels. Includes a gallery displaying both traditional and contemporary baskets.", "topic": "Top/Arts/Crafts/Basketry", "url": "http://home.sprynet.com/~cpantrim/index.htm"} +{"d:Title": "Basketry Information", "d:Description": "Lists information about basket making - classes, guilds, sources of materials, meetings, exhibits, collections, books, videos, and magazines.", "topic": "Top/Arts/Crafts/Basketry", "url": "http://www.beebes.net/basket/"} +{"d:Title": "Wendy Durfey", "d:Description": "Contemporary basket weaving, exploring the technique of double wall baskets with the use of silk fusion, paper, metal and bamboo.", "topic": "Top/Arts/Crafts/Basketry", "url": "http://www.wendydurfey.com/"} +{"d:Title": "English Basketry Willows", "d:Description": "History, techniques, identification guide and products.", "topic": "Top/Arts/Crafts/Basketry", "url": "http://www.englishbasketrywillows.com/"} +{"d:Title": "The Pine Needle Group", "d:Description": "A gathering place to further the ancient art of coiling that contains samples of modern coiled work as well as links for places to learn coiling.", "topic": "Top/Arts/Crafts/Basketry", "url": "http://www.pineneedlegroup.com/"} +{"d:Title": "Billie Ruth Sudduth", "d:Description": "North Carolina basket artist whose woven baskets, including Appalachian Baskets and Shaker Baskets, are included in the Renwick Gallery, American Craft Museum, and the Mint Museum.", "topic": "Top/Arts/Crafts/Basketry/Basket_Artists", "url": "http://www.brsbasket.com/"} +{"d:Title": "Nadine Spier", "d:Description": "Museum-quality baskets using materials harvested from nature. Basketery artwork ranges from traditional to very contemporary free-form.", "topic": "Top/Arts/Crafts/Basketry/Basket_Artists", "url": "http://www.nadinespier.com/"} +{"d:Title": "Wind Dancer Creations", "d:Description": "Fiber artist and basket weaver, Susan Roberts, uses materials from nature, gemstones and unique embellishments to create pieces that connect mind and spirit.", "topic": "Top/Arts/Crafts/Basketry/Basket_Artists", "url": "http://www.wind-dancer.com/"} +{"d:Title": "Tony Stubblefield", "d:Description": "View the baskets by St. Louis artist Tony Stubblefield. Featuring Appalachian, Shaker, Nantucket and contemporary styles plus a gallery of baskets collected from around the world.", "topic": "Top/Arts/Crafts/Basketry/Basket_Artists", "url": "http://www.jaskets.com/"} +{"d:Title": "The Wicker Woman", "d:Description": "Offers wicker furniture repair and chair caning. Also creates wicker baskets made with deer antlers and teaches caning, wicker repair, basketry.", "topic": "Top/Arts/Crafts/Basketry/Basket_Artists", "url": "http://www.wickerwoman.com/"} +{"d:Title": "Debora Muhl", "d:Description": "Contemporary basket artist provides information and images of work.", "topic": "Top/Arts/Crafts/Basketry/Basket_Artists", "url": "http://www.deboramuhl.com/"} +{"d:Title": "California Indian Basketry", "d:Description": "Shapes and uses of California Indian basketry.", "topic": "Top/Arts/Crafts/Basketry/Native_American", "url": "http://www.mip.berkeley.edu/cilc/basket.html"} +{"d:Title": "Hopi Baskets", "d:Description": "Hopi Basketry presentation. Includes: ceremony and symbolism, basket designs, uses of Hopi baskets, and techniques and tools used for making and decorating baskets.", "topic": "Top/Arts/Crafts/Basketry/Native_American", "url": "http://www.nativeweb.org/pages/baskets/index.php"} +{"d:Title": "Suzanne Cooper", "d:Description": "Bead Artist Suzanne Cooper's has E-Books&Patterns Automatic downloads, Free Bead Patterns and recommendations for Bead Pattern Books.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.suzannecooper.com/"} +{"d:Title": "Beaded Beads", "d:Description": "Several Japanese beaders share their seed bead jewelry galleries.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.geocities.co.jp/Milano/4445/english/toppage.htm"} +{"d:Title": "Textilles Illuminated", "d:Description": "An online study of beadwork throughout the Middle Ages, Medieval style. Gallery of beadwork, photo tutorials, class notes, bulletin board.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.medievalbeads.com/"} +{"d:Title": "Beltana's Beads", "d:Description": "Instructions for tubular peyote, cheyenne brick stitch and loomwork. Free patterns also available.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.angelfire.com/co2/beltana/main.html"} +{"d:Title": "AyeSoar Creations", "d:Description": "Photo gallery, instruction links, free patterns.", "topic": "Top/Arts/Crafts/Beading", "url": "http://zorpis65.tripod.com/ayesoar/"} +{"d:Title": "Bead on the Beach", "d:Description": "Viewable in either Japanese or English, with gallery of beaded work, free patterns, free instructions, and links.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.beadjapan.net/"} +{"d:Title": "Kimberly Chapman's Beading", "d:Description": "Beading information, including instructions, free patterns, and gallery.", "topic": "Top/Arts/Crafts/Beading", "url": "http://kimberlychapman.com/crafts/beading.html"} +{"d:Title": "The Beadworkers Guild", "d:Description": "Crafters guild. Information on membership, results of challenge projects.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.beadworkersguild.org.uk/"} +{"d:Title": "Eni Oken's Jewelry", "d:Description": "Gallery and techniques for ornamental wire beading. Instructions include photos and are printer-friendly.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.enioken.com/"} +{"d:Title": "Ava's Beading Galore", "d:Description": "Beadwork gallery of her finished beadwork, including a gallery of her seed bead jewelry collection books. She also offers individual patterns, kits and basic stitch instructions", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.angelfire.com/biz/AvasBeadingGalore/"} +{"d:Title": "Jo Wood Bead Artist", "d:Description": "Bead embroidery and fiber artist. Gallery of artwork, artist biography and resume, interesting links, schedule of classes and workshops.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.jowoodbeads.com/"} +{"d:Title": "Jan Mancey", "d:Description": "A Canadian designer of fine art beadwork and jewellery. Includes philosophy, awards, and work examples.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.janmancey.com/"} +{"d:Title": "Rosemary's Bead Flower Garden", "d:Description": "Custom French bead flower designs offered as paid pdf download. There are also instructional videotapes available.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.rosemarykurtz.com/"} +{"d:Title": "Bead Around The Bush", "d:Description": "Offers tips, tricks, and techniques with links to instructions for making netting as a beaded Christmas Bauble.", "topic": "Top/Arts/Crafts/Beading", "url": "http://beadme.tripod.com/Ornament.htm"} +{"d:Title": "Bead Pattern Central", "d:Description": "Offers a series of paid bead patterns for instant download. They also provide some free beaded jewellery tutorials and bead tips and techniques. Bead patterns featured include bead crochet, peyote stitch, brick stitch, beadpoint, bead loom weaving and bead embroidery.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.beadpatterncentral.com/"} +{"d:Title": "Troll Beads", "d:Description": "Tips, hints on troll beads and how to use them in be your various beading projects. They can be used by the beginner beader as well as experienced one.", "topic": "Top/Arts/Crafts/Beading", "url": "http://troll-beads.blogspot.com/"} +{"d:Title": "Beading", "d:Description": "Online bead arts and craft store for buyers&hobbyist. Tutorials available.", "topic": "Top/Arts/Crafts/Beading", "url": "http://beadjewelrymaking.blogspot.com/"} +{"d:Title": "Bead Craft Ideas", "d:Description": "Focused on bead craft for the beginner, some of the tips mentioned on this site may be of value to a veteran of the hobby, especially the page dedicated to turning your hobby into a money making venture.", "topic": "Top/Arts/Crafts/Beading", "url": "http://beadcraftideas.com/"} +{"d:Title": "Beading Project", "d:Description": "Beading tutorials, projects and patterns.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.beadjewelrymaking.com/bead_project.html"} +{"d:Title": "Beading-Software.com", "d:Description": "Software that will organise your beading business. Inventory management, pricing, tax calculations.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.beading-software.com/"} +{"d:Title": "Art Bead Scene", "d:Description": "Discusses beading and new techniques. Every Monday there is a featured \"Designer of the Week\". There are also jewelry making articles, trend updates and other links relevant to beaders.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.artbeadscene.blogspot.com/"} +{"d:Title": "Mexconnect", "d:Description": "Information on the Huichol people and how their life style and use of symbols is used in their art forms. Their art uses seed beads in a mosiac style.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.mexconnect.com/articles/190-mexico-s-huichol-resource-page-their-culture-symbolism-art"} +{"d:Title": "Beadnik's", "d:Description": "Beaded bottles, barrettes, bracelets, earrings, odds and ends, necklaces, ornaments. Includes instructions for various objects including beaded ornament covers and free beadwork patterns.", "topic": "Top/Arts/Crafts/Beading", "url": "http://beadnik.tripod.com/beadwork.html"} +{"d:Title": "Jubeadilation", "d:Description": "Jewellery making workshop located in Queensland, the Glasshouse region. Learn how to wire wrap beads or make a lariat style necklace via kits or classes.", "topic": "Top/Arts/Crafts/Beading", "url": "http://www.jubeadilation.com/"} +{"d:Title": "About.com - Candle and Soap Making", "d:Description": "Information on how to make homemade soap, laundry detergent, bath salts and candles, including how to use essential oils and other ingredients.", "topic": "Top/Arts/Crafts/Candles", "url": "http://candleandsoap.about.com/"} +{"d:Title": "The Candle Cauldron", "d:Description": "Devoted to candle making and affiliated crafts. Includes message boards, chat rooms, and resource locator.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.candlecauldron.com/"} +{"d:Title": "The Melting Pot", "d:Description": "Information about the different candle making processes.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.angelfire.com/ca/SSaSSSy/candle.html"} +{"d:Title": "Homemade Candle Instructions", "d:Description": "Instructions for making gel, parifin, natural, painted, and creative wire techniques used in home made candles.", "topic": "Top/Arts/Crafts/Candles", "url": "http://work-at-home-mom.20m.com/"} +{"d:Title": "Candle Making Techniques", "d:Description": "Illustrated candle making instructions, step by step projects, message boards, and free classifieds.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.candletech.com/"} +{"d:Title": "Soy Wax Candles", "d:Description": "The benefits of using soy, and instructions for making different types of candles with soy from start to finish.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.soywaxcandles.org/"} +{"d:Title": "Let's Make Candles", "d:Description": "Project instructions, frequently asked questions, and general information.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.letsmakecandles.com/"} +{"d:Title": "GelCandlemaking.com", "d:Description": "Information about making gel candles and safely burning them.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.gelcandlemaking.com/"} +{"d:Title": "CajunCandles.com: How To Make Candles", "d:Description": "Getting started tips as well as detailed step-by-step instructions for making votives and container candles.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.cajuncandles.com/beginners-guide-to-candle-making.html"} +{"d:Title": "Campbell Light", "d:Description": "Information on waxes, molds, and tips on candle making.", "topic": "Top/Arts/Crafts/Candles", "url": "http://www.campbell-light.com/"} +{"d:Title": "Candlefind Forum", "d:Description": "Message boards discussing scents, melting wax, coupons, new purchases and tips. Provides user submitted pictures of their purchases.", "topic": "Top/Arts/Crafts/Candles/Chats_and_Forums", "url": "http://candlefind.com/forum/"} +{"d:Title": "Terra Incognito", "d:Description": "Offers classes for adults, teens and kids, studio space, and on-line gallery of represented ceramists.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://www.terraincognitostudios.com/"} +{"d:Title": "Pottery Night", "d:Description": "Features online galleries of functional stoneware and porcelain by three potters. Live web cam with chat on Wednesdays.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://potterynight.tripod.com/"} +{"d:Title": "Ceramike", "d:Description": "A Studio Pottery reference site containing pot galleries, pottery, museum and exhibition photographs, help with identifying pots and a discussion area for collectors and potters.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://www.ceramike.com/"} +{"d:Title": "Fired Up Studios", "d:Description": "Provide space and equipment for clay artists and potters of all levels. Includes information about memberships and classes. Minneapolis, Minnesota.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://www.firedupstudios.com/"} +{"d:Title": "Notebook - Ceramics", "d:Description": "Provides a listing of texts and resources related to clay ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://www.noteaccess.com/MATERIALS/Ceramics/Ceramics.htm"} +{"d:Title": "The Ceramic Collection and Archive", "d:Description": "Details and history of studio pottery, slipware and contemporary ceramics collection. Includes Craft Potters Association archive and conference listing. University of Wales Aberystwyth.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://www.ceramics-aberystwyth.com/"} +{"d:Title": "Viva Evie", "d:Description": "Hand-made, all natural bath and body products including salts, soap, oils, salves and balms, and related accessories. Also offers aromatherapy consultations and workshops.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://www.eviecarr.com/"} +{"d:Title": "The Chalre Collection of Asian Ceramic Art", "d:Description": "Chinese and Asian tradeware ceramics (porcelain, stoneware and earthenware). With ceramic history and types. Also has an online store.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://ceramics.chalre.com/"} +{"d:Title": "Interpeting Ceramics", "d:Description": "Contains current academic research and commentary on ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery", "url": "http://www.interpretingceramics.com/"} +{"d:Title": "Dark Horse Arts and Gifts", "d:Description": "Online gallery offers finished ceramic art for sale.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Art_Galleries", "url": "http://www.darkhorsestore.com/"} +{"d:Title": "Cecilia Colman Gallery", "d:Description": "Cecilia Colman Gallery specialises in studio ceramics, modern glass, wood and delicate jewellery in precious and non-precious metals.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Art_Galleries/United_Kingdom", "url": "http://www.ceciliacolmangallery.com/"} +{"d:Title": "Joanna Bird Pottery", "d:Description": "Dealer in modern and classic pottery. Exhibition details plus a library of images, artist profiles and information on collecting ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Art_Galleries/United_Kingdom", "url": "http://www.joannabird.com/"} +{"d:Title": "Elizabeth Ross MX", "d:Description": "Mexico artist displays works in clay, words, performance, and installation. Images, poetry, and curriculum vitae.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.elizabethrossmx.com/"} +{"d:Title": "Peter Wallace Pottery", "d:Description": "Ceramic art pieces, water fountains, decorative urns, platters and domestic ware. Specialising in crystalline and copper red glazes, gold leaf and art work. Glaze workshops for potters.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.peterwallacepottery.com.au/"} +{"d:Title": "Susan Cohen Pottery", "d:Description": "Offers sculptures, wheel-thrown pottery of both stoneware and porcelain. From Alexandria, Virginia based artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.susancohenpottery.com/"} +{"d:Title": "Mudcat Pottery", "d:Description": "Features functional pottery, ceramic sculpture and tiles by Darlene Yarnetsky. Madison, Indiana.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.mudcatpottery.com/"} +{"d:Title": "Marsha Owen Pottery", "d:Description": "Contains several illustrations of a lovely collection of handsome pots. Also has a brief illustrated guide on how to make them.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://marshaowenpottery.com/"} +{"d:Title": "SabraCeramics.com", "d:Description": "Gallery of works from Illinois based ceramic artist Sabra Schmudde. Works include sculpture, carved, tiles and functional pieces. Statement and biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.sabraceramics.com/"} +{"d:Title": "Starr Pottery and Bronze", "d:Description": "Work by potters Tom and Dianne Starr.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.starrpottery.com/"} +{"d:Title": "Clay Vessels - Stephen Merritt", "d:Description": "Wheel thrown earthenware and porcelain works. Information about the artist's studio, materials and techniques, gallery, current and upcoming events and a brief biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.merrittvessel.com/"} +{"d:Title": "Cuzick Pottery", "d:Description": "Functional and sculptural high fire stoneware and porcelain by David and Mary Cuzick and Ed Thompson.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.cuzickpottery.com/"} +{"d:Title": "Gordon Hutchens, Potter", "d:Description": "Work techniques include porcelain, raku, salt glaze stoneware, earthenware, and woodfiring. Includes photographs and description of processes. Denman Island, BC.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.gordonhutchens.com/"} +{"d:Title": "Island Pottery", "d:Description": "North Wales artist Phil Hayes provides a gallery of handmade Raku and stoneware animals.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.islandpottery.co.uk/"} +{"d:Title": "Zehra \u00c7obanl\u0131", "d:Description": "Large gallery of works by Turkish ceramic artist. Display by color/era, form or theme. Includes commentary by the artist's daughter.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://zcobanli.omcomc.com/"} +{"d:Title": "Moon Willow Studio", "d:Description": "Artist profile and gallery of recent work. Includes non-functional sculptural work, ceramic tile, ceramic wall pieces, and ceramic jewellery. Includes description of the various techniques used by Megs Waterous, based in Pritchard, British Columbia.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.moonwillowstudio.com/"} +{"d:Title": "Dennis Furgerson", "d:Description": "Features decorative and functional porcelain and stoneware by California ceramic artist. Specializing in slip marbling, feathering and slip on-lay.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.dennisfurgerson.com/"} +{"d:Title": "Jim Lux Pottery", "d:Description": "Image of show poster and pots with links to further information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.jimlux.com/"} +{"d:Title": "Noi Volkov", "d:Description": "Russian born ceramic artist and painter site includes biography, and interactive gallery of early and recent work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.noivolkov.net/"} +{"d:Title": "Isabel Cisneros", "d:Description": "Venezuelan artist creates flexible ceramic sculptures.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.isabelcisneros.com/"} +{"d:Title": "Jake's Clay Art", "d:Description": "Sculptural and functional works in porcelain and stoneware by artist Jake Johnson. Site includes galleries and statement by the artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.jakesclayart.com/"} +{"d:Title": "Clay Design Studio", "d:Description": "Clay works by Stewart Martin featuring galleries of Naked Raku, Saggar, Stoneware, Smoke and Alternative fired vessels.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.claydesignstudio.com/"} +{"d:Title": "Merryn Lloyd Pottery", "d:Description": "Site provides background history, pictures of recent work in functional stoneware and porcelain, information on current exhibitions, technical information and contact details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.merrynlloyd-pottery.com/"} +{"d:Title": "Bernd Eichinger-Wieschmann", "d:Description": "German ceramics artist creates high fired thrown ceramics with ash glazes on porcelain and stoneware bodies. Includes gallery of work and illustrated description of the artist's studio and process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.onobjects.de/"} +{"d:Title": "Rebecca Lowery Ceramic Artist", "d:Description": "Functional pottery and figurative sculpture. Site includes gallery, calendar, artist statement, and resume.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://rebeccalowery.com/"} +{"d:Title": "Winston Taylor Ceramic Artist", "d:Description": "Raku and earthenware pottery, sinks and tile, and Christian worship furnishings. Includes images of current work, artist profile and a list of galleries where the artist's work is displayed.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.winstontaylor.com/"} +{"d:Title": "Kevin Baldwin Pottery&Ceramics", "d:Description": "Raku, earthenware and stoneware galleries. Includes images of throwing a bowl, recipes for three glazes, information about the artist and local area.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.kevinbaldwinpottery.co.uk/"} +{"d:Title": "Dragon Ceramic", "d:Description": "Sculpture and other ceramic work by Caroline Fawkes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.dragonceramic.com/"} +{"d:Title": "Cynthia Consentino", "d:Description": "Recent and archived work, resume, and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.cynthiaconsentino.com/"} +{"d:Title": "Stephanie Lanter", "d:Description": "Sculptural combinations of clay, fiber, and found objects. Site provides artist statement and biography, galleries of works with commentary by the artist and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.stephanielanter.com/"} +{"d:Title": "Flora Works", "d:Description": "Orchid inspired fountains and sculpture with galleries and artist information. Includes information on fountain maintenance.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.andyclift.com/"} +{"d:Title": "Studio #5 Pottery", "d:Description": "Located in Willimantic, CT. Provides galleries and statement by artist, Jean R. Rotter. Includes images of the studio and student works.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.freewebs.com/studio5ct/"} +{"d:Title": "Blue House Laguna", "d:Description": "Tableware and art by ceramics artist Doreen Mellen. Provides images of the Studio in Laguna Beach, California. Also includes galleries, artist statement, press clippings and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.bluehouselaguna.com/"} +{"d:Title": "Chris Hawkins", "d:Description": "Bottles, vases, lidded pots, textured pots and bowls done in raku or reduction fire. Site provides galleries of stoneware, raku and handbuilt work. There is an illustrated description of the raku process, a list of galleries carrying the artist's work, About the Artist, and videos of some of the artist's processes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://chris-hawkins.co.uk/"} +{"d:Title": "Anne Bray Pottery", "d:Description": "Photos and descriptions of wheel thrown or handbuilt pottery including bowls,piggy banks, pitchers and a wide variety of other functional as well as decorative ware. Site includes of listing of galleries where the artist's work is displayed, a calendar of events and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://annebraypottery.com/"} +{"d:Title": "Weber-Bassett Pottery", "d:Description": "Decorative and functional work by Maria Weber and Jim Bassett. Site features a list of Colorado galleries where their work is available and a gallery of their designs.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://weberbassett.com/"} +{"d:Title": "The Potters Barn", "d:Description": "Traditional pottery producing stoneware, terracota and Raku pieces. samples of available glazes, information on classes, and children's parties. Based in UK.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.thepottersbarn.f2s.com/"} +{"d:Title": "David Garland", "d:Description": "Studio ceramics and handmade domestic ware. Galleries, contact information and list of exhibits.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.davidgarland.co.uk/"} +{"d:Title": "Ceramica House", "d:Description": "Sergio Raffo and Kiara Lynch specialize in the design, manufacture and installation of architectural ceramics. Site provides photo gallery of completed projects fireplaces, fountains and birdbaths, murals, kitchens, bathrooms, and tiles. Also includes images of the process and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Ceramists_and_Potters", "url": "http://www.ceramicahouse.com/"} +{"d:Title": "Yahoo Groups - Slipdippersceramics", "d:Description": "Discussion group for ceramists who pour molds. Talk about tricks and tips on the non poppers (molds that have their own personality).", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Chats_and_Forums", "url": "http://groups.yahoo.com/group/slipdippersceramics/"} +{"d:Title": "Yahoo Groups - Clayart", "d:Description": "General ceramic arts discussion list.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Chats_and_Forums", "url": "http://groups.yahoo.com/group/clayart/"} +{"d:Title": "Schein-Joseph International Museum of Ceramic Art", "d:Description": "Includes collections, exhibitions, events, memberships, visiting, and publications.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Collections", "url": "http://ceramicsmuseum.alfred.edu/"} +{"d:Title": "The Ohr-O'Keefe Museum of Art", "d:Description": "Museum devoted to the work of George E. Ohr, the \"Mad Potter of Biloxi\". Rebuilding after Katrina.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Collections", "url": "http://www.georgeohr.org/"} +{"d:Title": "Clayhound", "d:Description": "A collection of traditional Native American pottery. Sorted by nations, tribes, Pueblos and Mexican.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Collections", "url": "http://www.clayhound.us/"} +{"d:Title": "The Digital Museum of Cornish Ceramics", "d:Description": "Features ceramics made in Cornwall and contains examples of the work produced, the potters' marks and background information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Collections", "url": "http://www.cornishceramics.com/"} +{"d:Title": "Italian Renaissance Ceramics", "d:Description": "Tour of the National Gallery of Art's collection.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Collections", "url": "http://www.nga.gov/collection/gallery/itacer/itacer-main1.html"} +{"d:Title": "Topkapi Palace Museum - Porcelains", "d:Description": "Collection of Chinese and Japanese porcelain with selected photographs.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Collections", "url": "http://www.ee.bilkent.edu.tr/~history/porcelain.html"} +{"d:Title": "Cheddington Studio Pottery", "d:Description": "Displays a large private collection that includes Leach St. Ives, Cardew Wenford Bridge, and Winchcombe.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Collections", "url": "http://www.studiopotterywendy.com/"} +{"d:Title": "Art of Life", "d:Description": "Murals and freestanding monoliths of clay and steel. Images, biography, extracts from books about Sakazume Katsuyuki's work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative", "url": "http://www.sakazume.tv/"} +{"d:Title": "The Ceramic Masks of Peggy Bjerkan", "d:Description": "Handmade ceramic wall art that comments on the human condition, often with humor. Portfolio, materials and techniques, statement, exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.maskwoman.com/"} +{"d:Title": "Jessie Lim Art of Ceramics", "d:Description": "A display of the artist's recent works as well as artworks done over the last 15 years. Singapore.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.jessielim.com/"} +{"d:Title": "K's Studio", "d:Description": "Situated at the foot of Mount Fuji. Images, information on gallery and classes, biography, link to Japanese site.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.eps4.comlink.ne.jp/~kzkobo/studio-e.html"} +{"d:Title": "Maya Adams Ceramics", "d:Description": "Images of handmade porcelain and stoneware sculpture and pottery from Clemson, South Carolina based artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.mayaadamsceramics.com/"} +{"d:Title": "Rachel London-Katz's People", "d:Description": "Small, humorous, figurative sculptures by an Israeli ceramist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.avikatz.net/rachel/"} +{"d:Title": "Susan Folwell", "d:Description": "Traditional Santa Clara Pueblo pottery methods combined with forms from contemporary life. Gallery and information about the artist's methods.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://susanfolwell.com/"} +{"d:Title": "Andy Goldschmidt", "d:Description": "Vessels, stoneware sculpture, plaques, and menorahs. Biography and images with commentary", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://home.earthlink.net/~agoldschmidt/"} +{"d:Title": "Matt Hoogland", "d:Description": "Gallery of works from the California based potter Matt Hoogland. Technical articles on various firing techniques, including pit, saggar, naked raku and woodfiring.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.pitfire.com/"} +{"d:Title": "Jennifer Joyce Ceramics", "d:Description": "Galleries of decorative ware, sculpture, student works and relief tiles. Includes calendar of shows and events, news and announcements, class information. Santa Monica, California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://jenniferjoyce.com/"} +{"d:Title": "Kaolin Pottery", "d:Description": "Work by Missy and Sandy Kaolin includes home decor items and animal sculpture. Includes contact information for custom work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.kaolinpottery.com/"} +{"d:Title": "Ceramic-artists.com", "d:Description": "Laurel and Fred Koschetzki present a portfolio including porcelain dragons, decorative vessels, and sculpture. Includes ceramic glossary.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.ceramic-artists.com/"} +{"d:Title": "Kristin Doner, Ceramic Artist", "d:Description": "Artist information, online portfolio, workshop schedule, show schedule and contact information. Also includes details of the artist's pinchpot method.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://users.lmi.net/mudwoman/"} +{"d:Title": "Lundin Kudo", "d:Description": "Specializes in clay sculpture of fruits and vegetables, as well as people and wall sculptures. Images, biography, and exhibition information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.lundinkudo.com/"} +{"d:Title": "Ursula Goebels-Ellis", "d:Description": "Raku and wood fired works which represent the harmony of humankind and the universe. Images, biography, process and technique.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.art.net/studios/sculptors/ursula/"} +{"d:Title": "Christine Pirotta", "d:Description": "Working mainly with stoneware, and earthenware, includes information about the artist, online gallery, and exhibition list.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.christinepirotta.me.uk/"} +{"d:Title": "Magy Reist", "d:Description": "High fired ceramics with crystal glazes on porcelain and stoneware bodies. Includes galleries and information about crystal glazes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://home.datacomm.ch/magyk/"} +{"d:Title": "Danijela Piva\u0161evi\u0107-Tenner", "d:Description": "Portfolio of work by German ceramist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://dada-art.weebly.com/"} +{"d:Title": "Mes Potes et Mes Pots", "d:Description": "The ceramic art of Russel Fouts. Includes information on the artist's techniques and materials, descriptions of his workshops, list of galleries showing his work and an online gallery. Also provides a gallery of work by guest artists.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://users.skynet.be/russel.fouts/"} +{"d:Title": "Maggie Curtis", "d:Description": "Maggie's work, produced in North Devon, ranges from architectural ceramics to mixed media sculpture. Commissions are welcome. Images and curriculum vitae.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.maggiecurtis.co.uk/"} +{"d:Title": "Edith Raaby Ceramics", "d:Description": "Domestic and sculptural contemporary ceramics by Edith Raaby. Includes photos, c.v., a list of galleries, and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.raaby.co.uk/ceramics/"} +{"d:Title": "Gordon Crosby", "d:Description": "English potter producing sculptural pots, paintings and prints. Based in Ludlow, Shropshire, UK.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.simoncrosby.net/"} +{"d:Title": "Regina Heinz", "d:Description": "The artist creates sculptural ceramics, reliefs and wall pieces. Images, artist's statement and profile, exhibition information, and technical note. Based in London.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.ceramart.net/rmh/"} +{"d:Title": "Emily Myers, Fine Ceramic Art", "d:Description": "Vases and other wheel made vessels. Provides biographical and exhibition information, gallery of works and photographs of the artist at work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.emilymyers.com/"} +{"d:Title": "Peter Sparrey Ceramics", "d:Description": "One off thrown pieces in raku, stoneware and porcelain. Glazes include chun, celadon, tenmoku, copper reds and metallic lustres. Normandie, France.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.britishstudiopottery.com/"} +{"d:Title": "Ozone Louise Ceramics", "d:Description": "Susan Van Valkenburg, now of Cambridge, England, makes wall masks in coloured porcelain, pitfire, or raku. Images, process and technical information, frequently asked questions, and links to her personal pages.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.ozonelouise.com/"} +{"d:Title": "Karin Sch\u00f6sser Ceramics", "d:Description": "Selection of wall pieces, lighting items, and dishes. Also includes a list of retailers and galleries, commission information, and artist background details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.karinschosser.com/"} +{"d:Title": "Matranga Studios", "d:Description": "One of a kind handcrafted ceramic art by Frank Matranga, potter. Manhattan Beach, California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.matrangastudios.com/"} +{"d:Title": "Moulthrop, Jack", "d:Description": "Stoneware and earthenware vessels usually used as floor pieces for architectural accent. Full images, close-ups, and details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.jmvessel.com/"} +{"d:Title": "Building Clay Studio", "d:Description": "Working potter's studio of the Louisiana artist Diane Pecnik. Images of work and studio with commentary and artist's statement.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://buildingclay.com/"} +{"d:Title": "Mike Rafferty", "d:Description": "Sculpture and vessels created in Seattle, St. Louis, and Mexico. Most objects are hand built with terra sigillata coating. Portfolios, exhibition information, biography, and extensive links collection.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.mikerafferty.com/art/"} +{"d:Title": "Sandra Zeiset Richardson", "d:Description": "Ceramic sculpture, vessels, and tiles, designs for steel sculpture, and printmaking. Images, exhibition information, resume, and photographs by Stan Richardson.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.szrichardson.com/"} +{"d:Title": "S. Sibel Sevim", "d:Description": "Vessels and wall ornaments, with images and curriculum vitae. Based in Turkey.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.angelfire.com/stars/sibelsevim/"} +{"d:Title": "Clay Art Pottery", "d:Description": "Ceramic work using raku and pitfire techniques by Vicki Hardin. Includes gallery, pottery resource guide and artist's blog.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://vickihardin.com/"} +{"d:Title": "Wishful Thinking Studio", "d:Description": "Hand built vessels and artworks by Caron Banks-Wike of Lenoir, North Carolina. Images, news, and biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.wishfulthinkingstudio.com/"} +{"d:Title": "Clay Design Studio", "d:Description": "Gallery of stoneware and porcelain vessels created using raku and saggar firing methods by potter Stewart Martin.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.stewartmartin.com/"} +{"d:Title": "Yvonne Halton Ceramics", "d:Description": "UK based artist designs and creates textures one-off ceramic pieces put together with slabs, and coloured clay rolled onto the surface. Includes gallery and events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.yvonnehalton.co.uk/"} +{"d:Title": "Salman Ikram", "d:Description": "Crystalline and copper red pottery in stoneware and porcelain. Free glaze recipes, artist profile and articles. Lahore, Pakistan.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.salmanikram.com/"} +{"d:Title": "Kemal Uluda\u011f", "d:Description": "Turkish ceramic artist displays works including sculpture, stoneware, and raku. Awards, exhibitions and publications.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.kemaluludag.com/"} +{"d:Title": "Arunpots", "d:Description": "Ayse Nur Arun paints kilim motifs on earthen pots. Site has galleries of solo pots and group images, about the artist and contact form.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.arunpots.com/"} +{"d:Title": "Paul Jackson", "d:Description": "Studio potter shows sculptural pieces, nude series and Barcelona group. Includes biography and contact details. Bodmin, Cornwall, England.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.paul-jackson.co.uk/"} +{"d:Title": "Cosmic Clay", "d:Description": "Pit-fire and straw-fire work by Christine and Louis Columbarini. Provides galleries of each style and artist statements.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.cosmic-clay.com/"} +{"d:Title": "Merry Cox", "d:Description": "Mixed media pieces include trucks, nests and habitats using altered and constructed clay, and found objects. Portfolio, galleries and shows.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://merrycox.com/"} +{"d:Title": "Mitch Yung Ceramic Design", "d:Description": "Decorative objects and wall hangings from Hollister, Missouri artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.mitchyung.com/"} +{"d:Title": "Camp Climax Pottery and Sculpture", "d:Description": "Figurative work, sculpture garden and studio images by California artists Dan and Laurie Hennig.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://campclimaxpottery.com/"} +{"d:Title": "Mark Walford Pottery", "d:Description": "Features the artist's work with crystalline glaze, a mat stoneware range and jewellery. Includes information on the glaze technique and directions to the studio. Pembrokeshire, Wales.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.markwalford.co.uk/"} +{"d:Title": "Ayisin's Ceramic Studio", "d:Description": "Gallery includes plates, vases, small sculpture and masks.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.karaoglu.ca/ayisin/"} +{"d:Title": "Soulflame Pottery", "d:Description": "Wheel thrown, altered, or hand built stoneware, and porcelain pottery. Also offers classes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://www.soulflamepottery.com/"} +{"d:Title": "Lucien M. Koonce", "d:Description": "Hand-built ceramic wall platters, bowls, and cups.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://lucienkoonce.com/"} +{"d:Title": "Chris Snedden Pottery", "d:Description": "Includes galleries, about the artist, and a calendar of events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Decorative/Ceramists_and_Potters", "url": "http://clayguy4u.wordpress.com/"} +{"d:Title": "International Ceramic Directory", "d:Description": "Independent directory provides links to ceramic artists, manufacturers, historical sites, magazines, museums,organizations, and translation resources for ceramic terms.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://www.ceramic-link.de/"} +{"d:Title": "Studiopottery.co.uk", "d:Description": "UK's on line information site linking potters, galleries and collectors. Lists exhibitions and events, potters profiles, news and galleries.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://www.studiopottery.co.uk/"} +{"d:Title": "Ceramics Directory", "d:Description": "International online database of organisations, companies, and artists involved in ceramics and pottery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://www.ceramics-directory.com/"} +{"d:Title": "Australian Ceramics", "d:Description": "Features a ceramics directory, Australia's national reference for artists, organisations and ceramics galleries.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://australianceramics.com/"} +{"d:Title": "Vasefinder", "d:Description": "Paid subscription for access to the museum and published articles. Artists galleries available for public viewing.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://www.vasefinder.com/"} +{"d:Title": "Central Clay", "d:Description": "Features listing of North American potters and resources.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://www.cclay.com/"} +{"d:Title": "UK Potters", "d:Description": "A non profit site with links to many sites of interest to UK based studio potters and to those interested in pottery. There is also a free classified ads page and a discussion forum.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://ukpotters.com/"} +{"d:Title": "Blue Muse Ceramics", "d:Description": "Directory of ceramics supplies, artists, education and projects.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Directories", "url": "http://fibergal.tripod.com/"} +{"d:Title": "Ceramics and Coffee", "d:Description": "Six large Majolica coffee dispensers commissioned from Tuscan ceramic artists.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware", "url": "http://ineedcoffee.com/04/ceramics/"} +{"d:Title": "Knudsgaard", "d:Description": "Courses and information on the making of traditional Danish black pots \"jydepotter\" (pots from Jutland). Provides history and production details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware", "url": "http://www.jydepotter.dk/"} +{"d:Title": "John Dix", "d:Description": "John is a Bizen-trained ceramic artist living in Kobe, Japan. Images, biography and resume, and information about the studio, kiln, and recent exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://johndix.com/"} +{"d:Title": "Josie Walter", "d:Description": "Tableware and cooking pots in earthenware clay, which are decorated with slips and coloured glazes. Gives details of techniques used including glaze recipes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.josiewalter.co.uk/"} +{"d:Title": "Sylvie Ruse Workshop", "d:Description": "Savoie, France based artist features earthenware that is painted and polished with stones. Includes exhibitions, and gallery of work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.sylvieruse.com/"} +{"d:Title": "Duncan Ross", "d:Description": "Earthenware terra-sigillata smoke fired ceramics. Images with comments, biography, and exhibition information. Based in Surrey, UK.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://duncanrossceramics.co.uk/"} +{"d:Title": "Louis Mendez", "d:Description": "Earthenware sculptures and plates. Images, biography, statement, and exhibition information. New York City.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.louismendez.com/"} +{"d:Title": "Barnbarroch Pottery", "d:Description": "A working studio run by Christine and Rodger Smith. Specialized in domestic earthenware and sculpted pieces. Gallery and biographies. South West Scotland.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.barnbarroch-pottery.com/"} +{"d:Title": "Russell Akerman Studio Pottery", "d:Description": "Specialises in creating individually hand thrown pieces of ceramic art at his Studio in the UK. Artists Statement, gallery of work and photographs of Russell working in the pottery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.russellakerman.com/"} +{"d:Title": "Catharine Jorgensen: Ceramics", "d:Description": "Galleries of dishes, mirrors, tiles and information about commission work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://catharinejorgensen.tripod.com/"} +{"d:Title": "Linda Day Clay", "d:Description": "Handmade functional pottery, bisque-fired using white earthenware. Images, list of stores, galleries and upcoming art fairs.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.lindadayclay.com/"} +{"d:Title": "Matthew A Yanchuk Ceramics", "d:Description": "Decorative plates, bowls and tiles, slip cast and hand painted low-fire white earthenware. Gallery, shows and techniques.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.mayware.com/"} +{"d:Title": "Ardmore Pottery and Craft Shop", "d:Description": "Earthenware clay with a white base glaze by Mary Lincoln. Provides shop hours and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.ardmorepottery.com/"} +{"d:Title": "Sarah Purvey Ceramics", "d:Description": "Gallery displays hand painted pieces made with earthenware clay and glazes by UK based potter. Includes biography and CV.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.sarahpurveyceramics.com/"} +{"d:Title": "Jane Johnson Ceramics", "d:Description": "Information about the artist, workshops and a gallery showing 2D and 3D work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://www.janejohnsonceramics.com/"} +{"d:Title": "Koike-Curtis, Akira", "d:Description": "Animal pottery and Japanese earthenware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://animalpottery.turukusa.com/"} +{"d:Title": "Nine Toes Pottery", "d:Description": "Earthenware work by North Carolina ceramist Ronan Peterson. Portfolio, news, photographs of the artist at work and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Earthenware/Ceramists_and_Potters", "url": "http://ninetoespottery.blogspot.com/"} +{"d:Title": "Originals By You", "d:Description": "Studio located in Winston Salem, North Carolina offering classes and workshops, a calendar of events, and do it yourself pottery services.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://originalsbyyou.ws/"} +{"d:Title": "The Painted Pot", "d:Description": "Classes, bisqueware and parties for young and old. You have the fun and leave the clean up to us. Custom pottery for sale.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.paintedpot.com/"} +{"d:Title": "The Painting Pottery Cafe", "d:Description": "Based in the south coast of England; with an overview of packages and exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.paintingpotterycafe.co.uk/"} +{"d:Title": "The Pottery, Keispelt, Luxembourg", "d:Description": "Pottery classes and courses for all ages from 6 up. All aspects of claywork covered from beginner to advanced.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.ceramics.lu/"} +{"d:Title": "Graham Hay", "d:Description": "Information and images from pottery and sculpture classes at Robertson Park Artist Studio, Perth, Western Australia.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.grahamhay.com.au/"} +{"d:Title": "Scona Clayworks Studios", "d:Description": "Pottery painting and pottery classes in Edmonton, Alberta.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.clayworksstudio.com/"} +{"d:Title": "Rigoulene's Porcelain Painting Courses", "d:Description": "China painting classes in the Limousin region, home of limoges china. Site has schedule and prices, information about accommodations, activities, the local area.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.rigoulene.net/"} +{"d:Title": "Hesketh Studios", "d:Description": "Information about classes is under the Hesketh Studios link. Includes throwing, handbuilding, sculpting, raku and children's classes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.heskethps.co.uk/"} +{"d:Title": "Anne Rimbault Pottery Studio", "d:Description": "The artist regularly undertakes commissions ranging from dinnerware, platters, basins and tagines. Also provides pottery lessons and pottery team building workshops in Johannesburg, South Africa.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.annerimbault.com/"} +{"d:Title": "Goshen College", "d:Description": "Ceramics course, Goshen, IN.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.goshen.edu/art/DeptPgs/Ceram.htm"} +{"d:Title": "National Association for Ceramics in Higher Education", "d:Description": "Home of the 'Ceramic Contemporaries' triennial exhibition collaboratively organised by NACHE and the Victoria and Albert Museum, London.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.nache.org.uk/"} +{"d:Title": "International Ceramics Studio", "d:Description": "Located in Kecskemet, Hungary. Hosts residency programmes, symposia and provides scholarships.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.icshu.org/"} +{"d:Title": "Murray Hill Pottery Works", "d:Description": "Art studio and working gallery located in Milwaukee, Wisconsin. Class schedule and studio hours.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://murrayhillpottery.com/"} +{"d:Title": "Art Ceramics Studio", "d:Description": "Information about the studio, classes, and workshops in Amsterdam, The Netherlands. Includes an online gallery of work by the instructor, Gillian Smith.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.keramiekatelier.nl/"} +{"d:Title": "Clay Design Studio", "d:Description": "Pottery studio and gallery offers adult and children's pottery classes and workshops. Pottery by resident potters and additional artists available.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.claydesign.ca/"} +{"d:Title": "Clay Art Workshop", "d:Description": "Weekly ceramic art/pottery classes for adults at all levels. Includes class schedule. Located in Glen Cove, NY.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.potteryclass.blogspot.com/"} +{"d:Title": "Claying Around", "d:Description": "Contemporary studio located in Asheville NC. Paint pottery and glassware, classes, parties, events. Site provides hours and pricing information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://clayingaround.com/"} +{"d:Title": "Pottery Courses in Barcelona", "d:Description": "Provides descriptions of the studio, classes, and instructors. Includes online gallery, contact information and directions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.eltornbarcelona.com/"} +{"d:Title": "Kees Hoogendam", "d:Description": "Describes the available classes in Fochteloo, The Netherlands, works done by the artist, including several pictures of ceramic instruments and sound files of each instrument.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://members.tele2.nl/hoogendamkees/"} +{"d:Title": "NY/NJ Academy of Ceramic Arts", "d:Description": "Resides in a 2,500 sq. ft. turn-of-the-century carriage warehouse, located in urban Jersey City near historic Liberty State Park. Introduction, class programs, faculty, rates, photographs, and directions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.nynjceramics.com/"} +{"d:Title": "Gosforth Pottery Courses", "d:Description": "Classes at Gosforth Pottery taught by Dick and Barbara Wright.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.potterycourses.co.uk/"} +{"d:Title": "The Australian National University", "d:Description": "Ceramics workshop.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://soa.anu.edu.au/ceramics"} +{"d:Title": "New York State College of Ceramics", "d:Description": "Features two international programs with both undergraduate and masters degrees - The School of Ceramic Engineering and Materials Science and The School of Art and Design.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://art.alfred.edu/divisions_concentrations/ceramics/"} +{"d:Title": "Loughborough University School of the Arts", "d:Description": "Undergraduate degree in Ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.lboro.ac.uk/departments/sota/"} +{"d:Title": "Saint John's Pottery", "d:Description": "Site provides information on the history, mission and Artist in Residence, Richard Bresnahan. There is a photo archive of work by Bresnahan and selected students with the option to browse the collection or search by keyword.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.csbsju.edu/Saint-Johns-Pottery.htm"} +{"d:Title": "University of Leeds", "d:Description": "The School of Fine Art, History of Art and Cultural Studies offers a BA in Fine Art (Ceramics).", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.fine-art.leeds.ac.uk/"} +{"d:Title": "Camberwell College Of Arts", "d:Description": "The London Institute at Camberwell offers undergraduate and postgraduate degree courses in Ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.arts.ac.uk/camberwell/"} +{"d:Title": "University of Dundee", "d:Description": "College of Art&Design, offering an undergraduate degree in ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education", "url": "http://www.dundee.ac.uk/djcad/"} +{"d:Title": "Santa Fe Clay", "d:Description": "Year round classes for adults and children, beginners to professionals. Highly acclaimed summer workshop program taught by nationally recognized artists and attended by students from many countries.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.santafeclay.com/"} +{"d:Title": "Pottery Lessons", "d:Description": "One-on-one pottery lessons in wheel throwing and handbuilding to beginning and intermediate level potters, in a small outdoor patio studio in Santa Monica, California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://home.earthlink.net/~antaras/"} +{"d:Title": "Tuscarora Summer Pottery School", "d:Description": "Offers two two-week long workshops every summer, devoted to throwing on the potters wheel, with emphasis on functional pottery. Information about the school and workshop schedule.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.tuscarorapottery.com/"} +{"d:Title": "Clay Art Center", "d:Description": "Ceramic art instruction and exhibitions in Port Chester, NY.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.clayartcenter.org/"} +{"d:Title": "Park West Ceramics", "d:Description": "Chicago pottery studio for adults and children. Classes in handbuilding and wheelthrowing.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://parkwestceramics.com/"} +{"d:Title": "MudFire", "d:Description": "A fully-equipped pottery center, school and open studio. Provides hours, schedule, and class details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.mudfire.com/"} +{"d:Title": "Pottery-on-Hudson", "d:Description": "Pottery studio and classes in Westchester county, New York.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.potteryonhudson.com/"} +{"d:Title": "Clayworks Pottery Studio", "d:Description": "Associated with Binghamton NY's Roberson Museum and Science Center, offers pottery classes at all skill levels.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://clayworks.starcrossings.com/index.html"} +{"d:Title": "Creative Claythings", "d:Description": "Privately owned teaching studio in Chicago, Illinois. Provides course information and history.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.creativeclaythings.com/"} +{"d:Title": "Kids 'N' Clay Pottery Studio", "d:Description": "Instructional studio offering weekly classes for kids 3 to 18. Provides program details and locations.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://kidsnclay.com/"} +{"d:Title": "Clay Circus", "d:Description": "Studio offering ceramic art and pottery classes and paint-on-pottery parties in Madison, WI. Studio space and firing services for potters and ceramic artists are also available.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.claycircus.com/"} +{"d:Title": "Brookfield Craft Center", "d:Description": "Offers classes and workshops taught by nationally acclaimed local and visiting artists. Located in Brookfield, Connecticut.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/United_States", "url": "http://www.brookfieldcraft.org/"} +{"d:Title": "Oleria de Bu\u00f1o\u2019", "d:Description": "Workshops throughout the several neighbourhoods of this Costa da Morte village. History, location, galleries and potters.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://www.finisterrae.com/oleria/"} +{"d:Title": "Art of the Pot", "d:Description": "Annual event held on Mothers Day. Includes guest artist information, maps to the studios, and special tour events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://artofthepot.com/"} +{"d:Title": "Wood-Fire Workshop", "d:Description": "Uses a traditional Japanese Anagama kiln. Located in Bayfield County, Wisconsin, near the shore of Lake Superior. Site provides photos of camping, working, glazing/loading, firing, cool down, and unloading from previous years.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://www.weberwoodfire.com/"} +{"d:Title": "Archie Bray Foundation for the Ceramic Arts", "d:Description": "Offering residencies and specialized workshops to ceramic artists from around the world. Helena, Montana.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://www.archiebray.org/"} +{"d:Title": "Blossom Hill Crafts", "d:Description": "Classes and workshops available for beginner to professional in Los Gatos, California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://blossomhillcrafts.com/"} +{"d:Title": "BrickHouse Ceramic Art Center", "d:Description": "Year round classes in wheel throwing, handbuilding, sculpture and glaze application and visiting artist workshops. Long Island City, New York.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://www.brickhouseny.com/"} +{"d:Title": "Potter's Tour", "d:Description": "Seven pottery studios open their doors the third weekend in October for the public to visit. Studios, map and directions and area photographs.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://potterstour.com/"} +{"d:Title": "Workshops at Wobage", "d:Description": "Pottery courses and evening classes at Wobage Farm Craft Workshops", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://www.workshops-at-wobage.co.uk/"} +{"d:Title": "The Pottery Workshop", "d:Description": "Information on the workshops locations in Hong Kong, Shanghai, Jingdezhen, and Beijing. Classes for adults and children, and residency program.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Education/Workshops_and_Tours", "url": "http://www.potteryworkshop.com.cn/"} +{"d:Title": "Clayfest", "d:Description": "Held annually in Manitou Springs, Colorado. Registration form, workshops, schedule and directions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Events", "url": "http://www.clayfest.com/"} +{"d:Title": "Clay Fest", "d:Description": "Annual ceramic art show in Eugene, Oregon. Highlights handmade ceramics, pottery, raku, and woodfire. Online gallery, information about artists and previous shows.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Events", "url": "http://www.clayfest.org/"} +{"d:Title": "Pottery Expo", "d:Description": "An annual event with locations throughout Australia. Provides artist lists and information for current and past events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Events", "url": "http://www.potteryexpo.com/"} +{"d:Title": "International Ceramics Festival", "d:Description": "Held every two years in Wales. Includes past festivals, awards, guest artists and booking information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Events", "url": "http://www.internationalceramicsfestival.org/"} +{"d:Title": "Ceramic Art London", "d:Description": "Information for the annual event presented by the Craft Potters Association in association with Ceramic Review and the Crafts Council. Includes an exhibitor gallery, ticket information, event program and directions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Events", "url": "http://www.ceramics.org.uk/"} +{"d:Title": "Virtual Ceramics Exhibit", "d:Description": "International juried exhibition of contemporary ceramics. Images, statements by organizers, artists, and jurors, artist's resumes, links.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Exhibits", "url": "http://www.ilpi.com/artsource/vce/"} +{"d:Title": "Tatsuzo, Shimaoka", "d:Description": "Exhibition Exhibition organized by the Art Gallery Gottardo Lugano in 1999 shows works from a private collection.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Exhibits", "url": "http://www.adhikara.com/shimaoka2.htm"} +{"d:Title": "Fragmented Figure", "d:Description": "Account of the scholarly exhibition at the Centre for Ceramic Studies, Cardiff. Artworks, interviews and bibliography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Exhibits", "url": "http://www.fragmentedfigure.net/"} +{"d:Title": "How To Make Pottery", "d:Description": "A step by step look at pottery making in a two person production pottery studio. Wheel throwing, glazing and firing. Out of the Fire Studio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/FAQs,_Help,_and_Tutorials", "url": "http://www.howtomakepottery.com/"} +{"d:Title": "Pottery the Art", "d:Description": "Conventional classes and online tutorials, and K-12 resource demonstrating basic concepts in the art of pottery making. Includes: throwing, hand building, wedging, bisquing, glazing and firing.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/FAQs,_Help,_and_Tutorials", "url": "http://kengeorgepottery.tripod.com/"} +{"d:Title": "Strictly Functional Pottery National", "d:Description": "Information on the annual juried exhibition of functional pottery currently produced in the United States.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional", "url": "http://www.strictlyfunctionalpottery.net/"} +{"d:Title": "Justyna Karamuz - My Ceramics", "d:Description": "Work inspired by ancient and prehistoric art. Provides gallery and information about the artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://mojaceramika.pl/"} +{"d:Title": "Selfridge Ceramic Art", "d:Description": "Internationally recognized ceramic art, illusionistic majolica and woodfired pottery by Carol and Richard Selfridge.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://clubweb.interbaun.com/~selfridg/"} +{"d:Title": "Michael Barsanti", "d:Description": "Functional pottery and ceramic sculpture. Includes brief information about the artist and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.michaelbarsanti.com/"} +{"d:Title": "Sabine Brunner", "d:Description": "Pop art ceramics, including sculptures, bowls, butter dishes, pet cups and dishes, platters, and teapots.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.littlecup.com/"} +{"d:Title": "Camano Island Pottery", "d:Description": "Highfired ceramic vessels by Roger Cocke. Site provides galleries of jars, bowls, vases, and miscellaneous, a description and photographs of the pottery, and resume and biography of the artist. Camano Island, Washington.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://camanoislandpottery.com/"} +{"d:Title": "Janet Holson-Mazzer", "d:Description": "Features the porcelain and stoneware work of the Canadian ceramic artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.jansonpottery.com/"} +{"d:Title": "Karen Cordova Pottery", "d:Description": "Traditional pueblo arts and pottery in Pe\u00f1asco, New Mexico, USA. Artist specializes in micaceous clay pottery which is indigenous to Taos and Picuris Pueblo.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://laplaza.org/~kccaraco/"} +{"d:Title": "Leon Lynch Ceramics", "d:Description": "Gallery of functional and semi-functional ceramic bowls and vessels from Irish ceramist. Includes image sequence on wheel potting, glaze recipes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://homepage.eircom.net/~designondot/"} +{"d:Title": "Sylvia Ohrn Pottery", "d:Description": "Self taught Vancouver, BC potter making wheel thrown, hand painted and oxidize-fired pots.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://members.shaw.ca/sohrn/"} +{"d:Title": "Vallance Pottery", "d:Description": "Work by artist Bruce Vallance includes gallery and brief artist information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.vallancepottery.ca/"} +{"d:Title": "Ulla Fogelholm", "d:Description": "Functional, classic and simple forms by the Helsinki based artist. Information about exhibitions. English, Suomi and Svenska.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.ullafogelholm.com/"} +{"d:Title": "JCJ Pottery", "d:Description": "Porcelain and lustreware for use in the home by artists Jonathan Chiswell Jones and Kerry Bosworth. Pevensey, East Sussex, England.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.jcjpottery.co.uk/"} +{"d:Title": "Meadowcroft Pottery", "d:Description": "Work by Chris Bull including stoneware, raku and earthenware for garden, domestic, commemorative and decorative use. Gallery and directions to the studio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.meadowcroft-pottery.co.uk/"} +{"d:Title": "Liz Mathews at Potters' Yard", "d:Description": "Studio potter and lettering artist works as a designer-maker of contemporary ceramics, including house portraits to commission and pots with hand-lettered inscriptions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.pottersyard.co.uk/"} +{"d:Title": "Nic Harrison Ceramics", "d:Description": "Stoneware and porcelain ceramics in the tradition of Bernard Leach.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.nicharrison.com/"} +{"d:Title": "Hank Murrow, Potter", "d:Description": "Provides shino, woodfire and anagama pots. Studio tour, online gallery, tutorials in use of tools invented by the artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.murrow.biz/hank/"} +{"d:Title": "Patty's Pottery", "d:Description": "Handmade functional Celtic pottery. Includes upcoming shows and events, meanings of Celtic designs, and description of the process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.prrpots.com/"} +{"d:Title": "Potterri Studio", "d:Description": "Terri Kennedy creates wheel thrown earthenware that is decorative but functional and Saggar fired vessels. Denham Springs, Louisiana.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://potterri.8m.com/"} +{"d:Title": "Pottery by Sybil", "d:Description": "Includes photographs of work by Sybil Scronce Hedspeth, show schedule, links to other pottery sites, and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://potterybysybil.com/"} +{"d:Title": "Beverly Prevost", "d:Description": "Wheel-thrown, slab and hand built functional clay work by Sonoma, California artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://beverlyprevostpottery.com/"} +{"d:Title": "St. Earth Pottery", "d:Description": "Gallery of functional works by Scott Cooper. Glazed stoneware and porcelain, and wood/soda fired pots. Fillmore, Indiana.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.negentropic.com/clay/"} +{"d:Title": "TerraKi Teaware", "d:Description": "Work by Laura Kolinski-Schultz, featuring biography, online gallery, and information on Chinese Tea Ceremony.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.terraki-teaware.com/"} +{"d:Title": "Toad Hill Pottery", "d:Description": "Functional and sculptural pottery by Barbara Jackson. Nobel, Ontario.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.toadhillpottery.com/"} +{"d:Title": "Joy Friedman Pottery", "d:Description": "Gallery of hand-crafted functional stoneware pottery including dip and chip platters, glaze designs, lace bowls, lamps and kitchenware. Studio located in Leverett, Massachusetts.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.joyfriedman.com/"} +{"d:Title": "Kathy Erteman", "d:Description": "New York City based ceramic artist and tableware designer displays vessels and architectural wall pieces. Information on workshops and events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.kathyerteman.com/"} +{"d:Title": "Gary DiPasquale", "d:Description": "New York studio potter creates decorative, stylized ceramic vases and vessels using slab-construction and hand building techniques. Gallery, resume and biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.garydipasquale.com/"} +{"d:Title": "Kinsman-Blake Ceramics", "d:Description": "Working studio pottery based in Smailholm, the Scottish Borders. Gallery displays handmade and hand decorated, high fired pieces. Lists exhibition and event schedule.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.kinsman-blakeceramics.co.uk/"} +{"d:Title": "Ven-Art-Tem", "d:Description": "Gallery of handmade ceramics from Bulgarian artists Venelin and Temenuga.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.ven-art-tem.com/"} +{"d:Title": "Courtney Martin Pottery", "d:Description": "Display of original pottery created in the mountains of Western North Carolina. Functional pieces using throwing and hand building techniques.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.courtneymartinpottery.com/"} +{"d:Title": "Tony Ferguson Pottery", "d:Description": "Contemporary hand thrown stoneware and porcelain pottery by Tony Ferguson. Blog with photos of his 2007 pottery-focused tour of China. Also offers various workshops for artists.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.tonyferguson.net/"} +{"d:Title": "Earth and Fire Pottery", "d:Description": "Wheel-thrown stoneware, porcelain and raku ware by Gregg Lindsley. Established in 1983 in Whispering Pines, California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.earthandfirepottery.net/"} +{"d:Title": "Patrick Coughlin", "d:Description": "Ornate ceramic vessels explore the conditions of being part of an existence in decline and decay. Includes artist statement, resume, and gallery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://patrickcoughlin.com/"} +{"d:Title": "Salvaterra Pottery", "d:Description": "Handmade functional stoneware place settings, dinnerware, bakeware, bowls, baking dishes, kitchen and bathroom accessories, platters, trays, vases, oil lamps and oil candles. One of a kind pottery for your home, designed and created by Sue Salvaterra Hintz.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.salvaterrapottery.com/"} +{"d:Title": "Phyllis Spiegel Pottery", "d:Description": "Handmade functional pottery using varied surface finishes and techniques.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.phyllisspiegel.com/"} +{"d:Title": "Claymonster", "d:Description": "Cat Audette and Rich Holt create whimsical happy monsters and functional stoneware pottery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.claymonster.net/"} +{"d:Title": "Brian Green Pottery", "d:Description": "Creates unique vases, containers and vessels in glazed and raku finishes. Includes artist's profile, conceptual sketches.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://bfgreen.freeshell.org/pottery/"} +{"d:Title": "Charlie Tefft Pottery", "d:Description": "Handmade functional pottery by North Carolina artist. Information about the artist and news and events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.ctpottery.com/"} +{"d:Title": "Riverhill Pottery", "d:Description": "Specializing in handwoven clay baskets by Gail Sellers, including designs for baking, napkins, and serving. Includes show and retailer information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.riverhillpottery.com/"} +{"d:Title": "Cinnabar Ceramics", "d:Description": "Intricately painted pottery with Italian-inspired designs, using non-toxic materials. Based in Ukiah, California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.cinnabarceramics.com/"} +{"d:Title": "Pine Hill Pottery", "d:Description": "Pottery studio and retail showroom, with wood-fired functional wares. Provides information about the showroom, studio space, clay, the glazes and workshops. Lake Park, Minnesota.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://sites.google.com/site/pinehillpottery/"} +{"d:Title": "Neese, Dale", "d:Description": "Texas potter displaying contemporary glazed stoneware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://www.daleneese.com/"} +{"d:Title": "Blue Moon Clay Studios", "d:Description": "Ceramic art by Brenda McMahon including information on the various methods she uses, a schedule of workshops offered and gallery representation.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Ceramists_and_Potters", "url": "http://brendamcmahon.com/"} +{"d:Title": "Burnt Earth", "d:Description": "Drums, flutes, horns, didjeridus, strings and unusual hybrid instruments created by musician Barry Hall. Includes audio samples, video clips, information about the Burnt Earth Ensemble, and online ordering.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Musical_Instruments", "url": "http://www.ninestones.com/burntearth.shtml"} +{"d:Title": "Clay Work by Chris Henley", "d:Description": "Pottery and clay work by Encinitas, California artist. Features step by step pictorial instructions for making whistles out of clay.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Musical_Instruments", "url": "http://www.hominid.net/chris.htm"} +{"d:Title": "Richard Baxter: Ceramic Musical Instruments", "d:Description": "BA thesis research on ceramic musical instruments by this potter and ceramic artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Musical_Instruments", "url": "http://www.richardbaxter.co.uk/history/hist_cer.html"} +{"d:Title": "From Mud to Music", "d:Description": "Information about a book on making and enjoying ceramic musical instruments.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Musical_Instruments", "url": "http://ninestones.com/frommudtomusic/"} +{"d:Title": "Yahoo Groups : Ceramic Musical Instruments", "d:Description": "Topics include music, design, construction and performance, acoustical properties, and repair.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Functional/Musical_Instruments", "url": "http://groups.yahoo.com/group/ceramicmusicalinstruments/"} +{"d:Title": "Early Islamic Tiles", "d:Description": "An exhibition of tiles, with references, from the 14th-17th century collected by Lockwood de Forest in 1880-86 while in partnership with Louis Comfort Tiffany.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.anthonyslayter-ralph.com/"} +{"d:Title": "The Medieval Pottery Research Group", "d:Description": "Bringing together people with an interest in the pottery vessels that were made, traded, and used in Europe between the end of the Roman period and the 16th century.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.medievalpottery.org.uk/"} +{"d:Title": "A Glance At: The History of Mexican Ceramics", "d:Description": "A very brief history of Mexican ceramics from pre-Hispanic times to contemporary art. Illustrated.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.mexicanceramic.com/aboutceramics.htm"} +{"d:Title": "Goodfellow Potteries", "d:Description": "The history of the potteries of Thomas Goodfellows I and II, father and son, both master potters at Burslem and Tunstall, in Stoke-0n-Trent, Staffordshire, England.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://bluetonguestudio.tripod.com/goodfellowpotteries.htm"} +{"d:Title": "Greek Vase Museum Replicas", "d:Description": "Reproductions of five types of Greek vases geometrical, Corinthian, black or red figure vase, and white ground vases. Site provides magnificent color galleries of sample work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.koulouria.gr/"} +{"d:Title": "Catawba Valley Pottery of North Carolina", "d:Description": "Provides information about the region's folk art potters and their wares. Includes brief biographies of some of the artists such as Burlon Craig and Charles Lisk.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.cvpottery.com/"} +{"d:Title": "Pottery Talks", "d:Description": "This site illustrates the goal of artist-educator, Russ McKeel, to give students the opportunity to closely examine historic pottery and artifacts, and to learn more about design, form, function, and ceramic techniques. Russ McKeel - Lecturer and Artist", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.potterytalks.com/"} +{"d:Title": "Shearwater Pottery: Dreaming in Clay", "d:Description": "The history of Shearwater Pottery, Ocean Springs, Mississippi. Archives and documents", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.dreaminginclay.com/"} +{"d:Title": "Maria Julian Pottery", "d:Description": "Providing detailed historical data of Maria Martinez's family and the San Ildefonso pottery heritage.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.mariajulianpottery.com/"} +{"d:Title": "NativeTech: Pottery&Clay", "d:Description": "Topically organized educational information emphasizing the Eastern Woodlands region.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.nativetech.org/pottery/"} +{"d:Title": "The Potteries", "d:Description": "List of potters including photos, background and history from North Staffordshire, England.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.thepotteries.org/"} +{"d:Title": "A History of Pottery", "d:Description": "Article discussing types of wares, decorating techniques and major traditions of the west.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.artistictile.net/pages/Info/Info_pottery.html"} +{"d:Title": "Ceramic History for Potters", "d:Description": "Comprehensive collection of historical information covering ceramic objects, pottery, glazing and firing techniques. Compiled and written by Victor Bryant.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/History", "url": "http://www.ceramicstudies.me.uk/"} +{"d:Title": "Australian Ceramics Association", "d:Description": "The quarterly journal produced by the Potters' Society of Australia.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Magazines_and_E-zines", "url": "http://australianceramics.com/"} +{"d:Title": "ArtistPotters", "d:Description": "Online exhibits of various artists, pottery images and critical articles from Rob Barnard, Warren Frederick, Catherine White. Includes anagama info with illustrations of construction.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Magazines_and_E-zines", "url": "http://www.artistpotters.com/"} +{"d:Title": "Ceramics Art and Perception", "d:Description": "Quarterly magazine on ceramic art featuring articles, color pictures on every page, functional and sculptural work, useful information on events and reviews of exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Magazines_and_E-zines", "url": "http://ceramicart.com.au/"} +{"d:Title": "Ceramic Arts Daily", "d:Description": "Online community serving active potters and ceramic artists worldwide. Provides articles by topic and glossary.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Magazines_and_E-zines", "url": "http://ceramicartsdaily.org/"} +{"d:Title": "Ceramics Today", "d:Description": "Includes articles, galleries, newsletter, and a directory.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Magazines_and_E-zines", "url": "http://www.ceramicstoday.com/"} +{"d:Title": "Potters Web", "d:Description": "Gallery, free classified ads for equipment and courses, studio tours, links to potters and information on exhibitions and sales.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations", "url": "http://www.pottersweb.net/"} +{"d:Title": "Potters' Association of Namibia", "d:Description": "Organisation to foster the art and craft of pottery and ceramics. Provides information about events, exhibitions, and membership benefits.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Africa", "url": "http://www.pan.iway.na/"} +{"d:Title": "Ceramics Southern Africa", "d:Description": "The official representative body of potters in Southern Africa, with regions in Gauteng, Eastern Cape, KwaZulu-Natal and Western Cape, as well as a country membership. Site includes on membership, classes, workshops and exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Africa", "url": "http://www.ceramicssa.org/"} +{"d:Title": "Art in the Forest", "d:Description": "Ceramic center in Cape Town, home to the Light from Africa Foundation, which raises and provides free classes to children from disadvantaged communities. Information about the studio and gallery, and the Forestware brand.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Africa", "url": "http://www.artintheforest.com/"} +{"d:Title": "Italian Ceramic Society", "d:Description": "Information in English and Italian.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe", "url": "http://www.icers.it/"} +{"d:Title": "European Ceramic Society", "d:Description": "Nongovernmental, nonprofit federation of societies each representing the ceramists of a member country. Information on membership, events, and news.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe", "url": "http://ecers.org/"} +{"d:Title": "Anglian Potters", "d:Description": "Anglian Potters was founded in 1983 by a group of professional potters to represent all that is best in East Anglian ceramics. We now have nearly 300 members from all walks of life, united in our love of clay. Why not join?", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.anglianpotters.org.uk/"} +{"d:Title": "British Association for Modern Mosaic", "d:Description": "Mosaic association in Britain with creative artist around the world. Details of members work,events and publications are detailed on the site.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.bamm.org.uk/"} +{"d:Title": "Potfest", "d:Description": "Promoting ceramics in general and potters in particular. An access point for all ceramic activity in the UK. Individual potters and potters associations are invited to contribute information for inclusion on the various pages.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.potfest.co.uk/"} +{"d:Title": "Wey Ceramics Association", "d:Description": "Potters Association based in Southern England, UK.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.weyceramics.co.uk/"} +{"d:Title": "Southern Ceramic Group", "d:Description": "Pottery and ceramics information for UK potters, sculptors and ceramicists in Hampshire and the surrounding Counties.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.southernceramicgroup.co.uk/"} +{"d:Title": "London Potters", "d:Description": "Includes gallery of images of the work of the member potters, upcoming events, news, contact details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.londonpotters.com/"} +{"d:Title": "South Wales Potters", "d:Description": "Regional UK pottery organisation. Members include full-time potters, sculptors and students.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.southwalespotters.org.uk/"} +{"d:Title": "The Midlands Potters Association", "d:Description": "Association welcomes everyone interested in handmade ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.midlandspotters.co.uk/"} +{"d:Title": "The Scottish Potters Association", "d:Description": "A member organization to foster pottery in Scotland. Features information on members, classes, workshops and exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://scottishpotters.org/"} +{"d:Title": "The English Ceramic Circle", "d:Description": "The oldest society dedicated to the study of British ceramics and enamels. Includes information about events, membership, and publications. Also provides a complete listing from the Toppen Library and an online gallery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Europe/United_Kingdom", "url": "http://www.englishceramiccircle.org.uk/"} +{"d:Title": "Ceramics Artists Association of Israel", "d:Description": "A non-profit organization managed by ceramic artists and dedicated to the advancement of ceramic art. Site provides an artist gallery, listing of exhibitions, and an About page.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Middle_East", "url": "http://www.israel-ceramics.org/"} +{"d:Title": "Ottawa Guild of Potters", "d:Description": "Non profit organization to further the knowledge of ceramics and support the work of area potters. Provides listing of local pottery classes, a notice board and posters for upcoming and recent Guild Sales.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://www.ottawaguildofpotters.ca/"} +{"d:Title": "Hill Potters' Guild", "d:Description": "Located in Richmond Hill Ontario. Classes, workshops, exhibitions and sales are offered by the guild.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://www.hillpotters.ca/"} +{"d:Title": "Fusion: The Ontario Clay and Glass Association", "d:Description": "A not-for-profit organization for the industry, makers and collectors of handmade clay and glass. Includes membership details, organization profile, a gallery, information on classes, events calendar and contacts.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://www.clayandglass.on.ca/"} +{"d:Title": "Potters' Guild of Hamilton and Region", "d:Description": "Information about the guild's history, mandate, location and how to join. Includes a gallery of member works and schedule of pottery sales.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://www.hamiltonpotters.ca/"} +{"d:Title": "Potters Guild of BC", "d:Description": "A not-for-profit society dedicated to fostering excellence in ceramics. Includes general information, details of newsletter and memberships, a gallery of works and related links.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://www.bcpotters.com/"} +{"d:Title": "Arrowsmith Potters Guild", "d:Description": "Located in Parksville, B.C. Provides membership information, member listing, gallery hours and upcoming events. Describes workshops, courses and services (use of kilns, and wheels,) offered.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://www.arrowsmithpottersguild.bc.ca/"} +{"d:Title": "Richmond Potters Club", "d:Description": "The Richmond Potters' Club website provides information about members, classes, shows and event, and links to other pottery sites.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://www.richmondpottersclub.com/"} +{"d:Title": "Thunder Bay Potters' Guild", "d:Description": "Meetings, sales and demonstrations.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/Canada", "url": "http://tbpottersguild.jimdo.com/"} +{"d:Title": "San Antonio Potters Guild (SAPG)", "d:Description": "Non-profit organization of ceramic artists. Gallery of member's work, calendar of events, and newsletter archive. Texas.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://sanantoniopottersguild.com/"} +{"d:Title": "Studio Potter", "d:Description": "Organization dedicated to the service of the international community of ceramic artists and craftspeople.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "https://www.studiopotter.org/"} +{"d:Title": "Texas Clay Arts Association", "d:Description": "Communication network for clay artists, craftspeople and enthusiasts in Texas. Site provides news about events, annual show, membership and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.texasclay.org/"} +{"d:Title": "Houston Potters Guild", "d:Description": "News, membership information, event listings and photos of members work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.houstonpotters.com/"} +{"d:Title": "The Clay Art Guild of the Hamptons, Inc.", "d:Description": "Creative community devoted to teaching clay art, exhibiting clay art and providing an environment for the enrichment of clay artists.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.hamptonsclayart.org/"} +{"d:Title": "Arvada Ceramic Arts Guild", "d:Description": "Located in Arvada, Colorado, the guild offers studio rentals, workshops and 3 annual sales events. Site provides a gallery of ceramic work by guild members, calendar of events and gallery schedule plus a visual tour of the studio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.arvadaceramicarts.org/"} +{"d:Title": "Quimper Club International", "d:Description": "A collectors' club for Quimper pottery and other related French fa\u00efence. Information on newsletters and national meetings.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.quimperclub.org/"} +{"d:Title": "NCECA National Council on Education for the Ceramic Arts", "d:Description": "The NCECA site contains member and conference information, images of NCECA ceramic exhibitions, others artist's ceramics and a database of Ceramics Programs.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.nceca.net/"} +{"d:Title": "Association of Clay and Glass Artists of California", "d:Description": "Information about the ACGA, its programs, festivals, exhibits, and sponsored workshops.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.acga.net/"} +{"d:Title": "Northern Clay Center", "d:Description": "Dedicated to promoting excellence in the work of clay artists, providing educational opportunities for artists and the community, and encouraging and expanding the public's appreciation and understanding of all forms of the ceramic arts.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.northernclaycenter.org/"} +{"d:Title": "Majolica International Society", "d:Description": "Activities bringing members together to share their collecting experiences and it encourages research about this most brilliantly glazed, exuberantly decorated and whimsical ceramic. New York, NY", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://majolicasociety.com/"} +{"d:Title": "Orchard Valley Ceramic Arts Guild", "d:Description": "Pottery and ceramic news and information. Located in California's Silicon Valley area.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.ovcag.org/"} +{"d:Title": "Arizona Clay Club", "d:Description": "Pottery guild based in Phoenix Arizona. Site gives information about guild history, events, membership and Empty Bowls.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.arizonaclay.org/"} +{"d:Title": "Currier and Ives Dinnerware Collectors Club", "d:Description": "Members collect all patterns made by the Royal China Company of Sebring Ohio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.royalchinaclub.com/"} +{"d:Title": "Triangle Potters Guild", "d:Description": "Located in Raleigh, NC. Includes online gallery, newsletters, and events listings.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.trianglepotters.org/"} +{"d:Title": "Baltimore Clayworks", "d:Description": "Non-profit ceramic art center. Site provides information about classes, workshops, exhibitions, and community projects. Includes a calendar of upcoming events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.baltimoreclayworks.org/"} +{"d:Title": "The Clay Studio", "d:Description": "A non-profit ceramic art organization that provides classes and workshops, artists programs, exhibitions, special events, and the claymobile, a program that brings clay art to local schools.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.theclaystudio.org/"} +{"d:Title": "Toledo Potters Guild", "d:Description": "Guild is a group of active and supporting potters in Toledo, Ohio. Information on the studio and classes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.toledopottersguild.org/"} +{"d:Title": "Oregon Potters Association", "d:Description": "A non-profit group of potters working in Oregon and Southwest Washington.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.oregonpotters.org/"} +{"d:Title": "American Art Pottery Association", "d:Description": "Promoting an interest and understanding, appreciation, and recognition of American Art Potter.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.aapa.info/"} +{"d:Title": "The American Ceramic Society (ACerS)", "d:Description": "Organization dedicated to the advancement of ceramics. Provides publications, resources, membership information and meetings.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.ceramics.org/"} +{"d:Title": "Berkeley Potters Guild", "d:Description": "Northern California collective of professional ceramic artists. Provides guild member galleries, news, events and tour information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://berkeleypotters.com/"} +{"d:Title": "Cape Cod Potters, Inc.", "d:Description": "Non-profit organization of artists. Site provides information about the group, online membership application, a membership list, updates on charitable events and a message board.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.capecodpotters.org/"} +{"d:Title": "Clayworkers' Guild of Illinois", "d:Description": "Not-for-profit organization of craftsmen and artists. Sponsors exhibits and presents workshops for members and the public.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.clayworkersguild.com/"} +{"d:Title": "the Midwest Clay Guild", "d:Description": "Cooperative providing individual studio spaces and communal facilities for a diverse group of artists. Directions and samples artworks. Evanston, Illinois.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://midwestclayguild.org/"} +{"d:Title": "Coastal Carolina Clay Guild", "d:Description": "Non profit organization to promote and exchange knowledge and information about ceramic arts. News, member gallery and calendar. Wilmington, North Carolina.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.coastalcarolinaclayguild.org/"} +{"d:Title": "Potters' Guild of New Jersey", "d:Description": "Offers members the opportunity to participate in meetings, guild-sponsored sales, workshops, website listings and interaction with area artists and potters.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.pottersguildnj.org/"} +{"d:Title": "Potters Council", "d:Description": "Goals include: workshops, support for existing art organizations, business and career opportunities, and promote ceramic awareness. Westerville, Ohio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://ceramicartsdaily.org/potters-council/"} +{"d:Title": "Alpha Fired Arts", "d:Description": "Pottery studio and member organization in Sacramento, CA. Information on classes, exhibitions, and activities. Links to an online retail site.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.alphafiredarts.com/"} +{"d:Title": "Colorado Potters Guild", "d:Description": "Based in Denver, CO the guild was founded as a not-for-profit cooperative to promote individual artistic growth, provide studio facilities for members, promote the standing of the guild and to contribute to the growth of ceramic arts in Colorado. Information on members, facilities and events", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://coloradopotters.org/"} +{"d:Title": "Greenwich House Pottery", "d:Description": "Established in 1909 in New York City. Information about the group's history and community outreach projects, residencies/assistantships available. Includes a photo gallery of the studio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.greenwichhouse.org/gh_pottery/index"} +{"d:Title": "Potters For Peace", "d:Description": "U.S. based nonprofit network of potters, educators, technicians, supporters, and volunteers. Information on projects and goals, volunteering, and donations.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://pottersforpeace.com/"} +{"d:Title": "Clay Alliance of Greater Cincinnati", "d:Description": "Provides objectives, membership information, calendar of events and a member's gallery. Describes this nonprofit's Empty Bowl event.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.clayalliance.org/"} +{"d:Title": "American Ceramic Circle", "d:Description": "News and membership information for the non-profit educational organization committed to the study and appreciation of ceramics. Events, awards, publications and grants.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.americanceramiccircle.org/"} +{"d:Title": "Northern California Art By Fire", "d:Description": "Clay and hot-glass artists in and around the capitol city of California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://artbyfire.org/"} +{"d:Title": "Northern Colorado Potters Guild and Studio", "d:Description": "Provides education through classes and workshops, and provides a studio for the community's ceramic artists. Fort Collins, Colorado.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "http://www.nocopottersguild.org/"} +{"d:Title": "New Hampshire Potter's Guild", "d:Description": "Membership information, upcoming workshops and events, newsletters, and officers and bylaws.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "https://www.facebook.com/nhpottersguild"} +{"d:Title": "Ventura County Potters Guild", "d:Description": "A non-profit organization promoting ceramic art and crafts in the community. Member's gallery and ceramic links. California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/North_America/United_States", "url": "https://www.facebook.com/Ventura-County-Potters-Guild-267761582118/"} +{"d:Title": "Auckland Studio Potters", "d:Description": "Society for the promotion of pottery in Aukland, New Zealand. Information about membership, facilities, classes, and exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Oceania", "url": "http://www.ceramics.co.nz/"} +{"d:Title": "Titirangi Potters Studio", "d:Description": "A membership organization in New Zealand. Provides information on membership, facilities, events, workshops, and a gallery of members' works.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Oceania", "url": "http://titirangipotters.com/"} +{"d:Title": "Ceramic Arts Association of Western Australia", "d:Description": "News and information on upcoming exhibits with registration information; a gallery of members' work and selected articles from Pyre, the magazine of CAAWA.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Oceania/Australia", "url": "http://www.ceramicartswa.asn.au/"} +{"d:Title": "Australasian Ceramic Society", "d:Description": "An organisation that works towards furthering all aspects of ceramics - science, industry, research, trade and art. Site provides PDF versions of the organization's News Bulletins, a list of Table of Contents and Abstracts from previous editions of the Journal of the Australasian Ceramic Society, branch news, events and links.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Oceania/Australia", "url": "http://www.austceram.com/"} +{"d:Title": "Victorian Ceramic Group", "d:Description": "Group was established to fulfill a need for dissemination of information about the new techniques of stoneware pottery and to encourage and stimulate potters to a higher standard of craftsmanship. Provides information about upcoming events, a gallery, tips for potters and a history of the group.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Oceania/Australia", "url": "http://www.transartists.org/air/victorian_ceramic_group.7298.html"} +{"d:Title": "Tasmanian Ceramics Association", "d:Description": "Stimulating interest in pottery and encouraging support a wide cross-section of potters from students to professionals.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Oceania/Australia", "url": "http://tasmanianceramics.com/"} +{"d:Title": "Canberra Potters' Society Inc.", "d:Description": "A not-for-profit association to promote the hobby ceramic industry.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Organizations/Oceania/Australia", "url": "http://www.canberrapotters.com.au/"} +{"d:Title": "Crystalline Glazed Porcelain Pottery", "d:Description": "Provides an interview with artist William Melstrom, a Tech Corner, information for collectors, extensive galleries and in depth information about all aspects of crystalline glazing.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain", "url": "http://www.handspiral.com/"} +{"d:Title": "Apple Art Atelier", "d:Description": "Hand decoration on white porcelain products. Images and information in English and Turkish.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain", "url": "http://www.elmasanat.com.tr/"} +{"d:Title": "Neil Estrick Gallery, LLC", "d:Description": "Gallery of porcelain dinnerware. Offers art classes, kiln repair, and pottery tools and equipment. Grayslake, Illinois.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain", "url": "http://www.neilestrickgallery.com/"} +{"d:Title": "Elizabeth Lurie", "d:Description": "Images and exhibition information about the artist's handcrafted works in porcelain.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.elizabethlurie.com/"} +{"d:Title": "Brikalo Pottery", "d:Description": "Features wheel-thrown crystalline glaze porcelain pottery by Southern Mississippi potter Chatt McGonagill. Includes a gallery and artist biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://members.tripod.com/brikalo_pottery/"} +{"d:Title": "Ernest Miller Studio", "d:Description": "Minneapolis based ceramic and sculpture artist specializing in porcelain vessels.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.ernestmiller.com/"} +{"d:Title": "Pottery by Rita McGie", "d:Description": "Hand thrown and hand painted porcelain. Gallery and biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.ualberta.ca/~jmcgie/rita.html"} +{"d:Title": "Angela Mellor, M. A.", "d:Description": "Contemporary bone china emphasizing biological forms from the western Australia based artist. Includes biography, statement, portfolio, and publications.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.angelamellor.com/"} +{"d:Title": "Christine Ann Richards Ceramics", "d:Description": "Featuring interior and exterior works in porcelain. Artist contact and exhibition information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.christineannrichards.co.uk/"} +{"d:Title": "Curtis Ceramics", "d:Description": "Western contemporary design with Eastern influences, specialising in copper red porcelain. Full exhibition listings, outlets and helpful demonstration pages.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.eddiecurtis.com/"} +{"d:Title": "Harlan House, Potter", "d:Description": "Classic porcelain vessels. Examples of works, artist's statement, map to the Lonsdale gallery, information on gallery events and permanent exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.harlanhouse.com/"} +{"d:Title": "Jon Price", "d:Description": "Creator of hand-made crystalline-glazed porcelain vases, ornaments, jewelry. Describes history and technique of the glaze.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.jonpriceceramics.com/"} +{"d:Title": "Nicole Lister", "d:Description": "Gallery of works, biography and exhibition news for the Sydney, Australia based ceramic artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.nicolelister.com.au/"} +{"d:Title": "Peachblow Pottery", "d:Description": "Tom Turner and Gail Russell's contemporary studio featuring porcelian ceramics. Provides online galleries and background information on each artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.peachblowpottery.com/"} +{"d:Title": "New Art Pottery", "d:Description": "Online gallery of potter, Tim Eberhardt. Includes contact information and description of his studio in St. Louis, Missouri.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.newartpottery.com/"} +{"d:Title": "Joanna Howells", "d:Description": "Gallery of porcelain sculptural ceramics, individual celadon pieces and functional ware made at Tythegston Pottery in Glamorgan, South Wales.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.joannahowells.co.uk/"} +{"d:Title": "Bridget Drakeford Porcelain", "d:Description": "UK based potter working exclusively in porcelain using both reduction and oxidised firings. Gallery, studio information and exhibition list.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.bdporcelain.co.uk/"} +{"d:Title": "Kristen Kieffer Ceramics", "d:Description": "Features one-of-a-kind porcelain vessels with wheel-thrown and hand-building processes. Includes blog, workshop information and resume.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://kiefferceramics.com/"} +{"d:Title": "Arne \u00c5se - Porcelain Art", "d:Description": "Norwegian ceramics artist Arne \u00c5se. Site includes galleries, studio, artist information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.arneaase.com/"} +{"d:Title": "Mary Cay", "d:Description": "Specializes in porcelain ceramics, paintings and kiln jewels. Site includes recent work, galleries, a description of kiln jewels, artist CV, and shows, classes and workshops led by the artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.mary-cay.com/"} +{"d:Title": "Cindy Weaver Pottery", "d:Description": "A North Carolina clay artist who works in the medium of wood-smoked porcelain.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.clwpottery.com/"} +{"d:Title": "Biddulph, Peter", "d:Description": "Produces contemporary ceramic design in sculpture and tableware. Exhibitions, galleries, and information on slip casting.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.ceramicdesign.org/"} +{"d:Title": "Jeroen Bechtold", "d:Description": "Contemporary ceramics with an emphasis on porcelain. Images with commentary, curriculum vitae with illustrations of industrial experience, map, tour of physical gallery, potters' symposium in Yixing, China.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.jeroenbechtold.nl/"} +{"d:Title": "Claire Palastanga", "d:Description": "Porcelain art forms inspired by the plant world and the sea and shoreline. Includes images, artist biography, and list of galleries.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters", "url": "http://www.clairepalastangaceramics.com/"} +{"d:Title": "Andrea F\u00e1brega", "d:Description": "Wheel-thrown one-of-a-kind functional miniature porcelain vessels under 1-1/2 inches high. Includes show schedule and biographical information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters/Functional", "url": "http://www.tinypots.com/"} +{"d:Title": "Contemporary Hand-Painted China", "d:Description": "Original one-of-a-kind hand-painted fine bone china by Lina Fry.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters/Functional", "url": "http://www.angelfire.com/empire/ebaybestseller/"} +{"d:Title": "Paco&Bebin", "d:Description": "Colorful, functional porcelain by Texas artists Bebin Cypher and Paco Sutera.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters/Functional", "url": "http://pacobebin.com/"} +{"d:Title": "Raging Bowl Pottery - Handmade Porcelain Celebrating the Art of Fine Craft", "d:Description": "Functional servingware for everyday use by Melissa Schooley of Ontario Canada. Includes a calendar of events and a link to the online store.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters/Functional", "url": "http://www.ragingbowlpottery.com/index.html"} +{"d:Title": "Dara Hartman Ceramics", "d:Description": "Slip cast ceramic art.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/Ceramists_and_Potters/Functional", "url": "http://darahartman.com/"} +{"d:Title": "Maria Stella Lo Scerbo", "d:Description": "Hand painted porcelain by the Italian artist. A catalogue of works, courses on porcelain painting in Rome, and artist biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/China_Painting", "url": "http://www.arteporcellana.it/"} +{"d:Title": "Porcelain Painters International Online", "d:Description": "International organization of porcelain artists featuring galleries, suppliers, library, and free daily e-mail list.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/China_Painting", "url": "http://www.porcelainpainters.com/"} +{"d:Title": "The China Painting List", "d:Description": "On-line directory for porcelain painting, featuring selected personal and business sites, associations and museums, manufacturers and potteries.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/China_Painting", "url": "http://www.china-painting-list.com/"} +{"d:Title": "Porcelain Gallery of Angela Mamontova", "d:Description": "Hand painted porcelain includes plates, boxes and Easter eggs. Sankt-Petersburg, Russia.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Porcelain/China_Painting", "url": "http://www.convallaria.narod.ru/"} +{"d:Title": "Matrix 2000 Glaze Calculation Software", "d:Description": "Glaze software for Windows, NT and Macintosh computers. Includes the capacity to store glaze images and create line, triaxial and quadraxial blends.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Software", "url": "http://www.matrix2000.co.nz/"} +{"d:Title": "Glaze Calculator", "d:Description": "Free glaze software for potters.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Software", "url": "http://www.glazecalc.com/"} +{"d:Title": "GlazeChem", "d:Description": "Digest and log file reader, and glaze database and calculation software for Windows operating system.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Software", "url": "http://www.dinoclay.com/software/glzchem.html"} +{"d:Title": "Digitalfire", "d:Description": "Insight glaze software helps apply glaze chemistry to formulate and adjust ceramic glazes, enamel, glass and porcelain compounds", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Software", "url": "http://digitalfire.com/"} +{"d:Title": "Wikipedia - Stoneware", "d:Description": "Encyclopedia article describes the ceramic material and its firing and maturation temperature.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware", "url": "http://en.wikipedia.org/wiki/Stoneware"} +{"d:Title": "Lindgren Pottery", "d:Description": "Handmade stoneware and raku pottery, tiles and basins. Functional pots for the kitchen, table, and garden in addition to sculptural pieces.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware", "url": "http://www.lindgrenpottery.com/"} +{"d:Title": "Clay Turtle Pottery", "d:Description": "A working studio and gallery exhibiting works of local artists, Mansfield Texas", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware", "url": "http://www.iflyfish.com/clayturtle/"} +{"d:Title": "Something Southern Pottery", "d:Description": "Functional, wheel-thrown, stoneware pottery. Most forms are drawn from Southern Folk pottery traditions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware", "url": "http://www.somethingsouthernpottery.com/"} +{"d:Title": "Dr Onur Torun Ceramics", "d:Description": "Gallery of artworks and price list.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware", "url": "http://www.artmajeur.com/torunarts/"} +{"d:Title": "Claymakins' Pottery Studio", "d:Description": "Stoneware for home d\u00e9cor, functional pottery, garden art, and works of whimsy. Includes gallery, about the artist and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware", "url": "http://www.claymakins.com/"} +{"d:Title": "Amelia Island Pottery", "d:Description": "Ceramic artist Doug Jones pottery workshop and studio producing coastal themed, wheel thrown, hand built and sculptural. Gallery, locations and show schedule. Fernandina Beach, Florida.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.ameliaislandpottery.com/"} +{"d:Title": "Adamieion Ceramic Art Studio", "d:Description": "Functional and decorative pottery inspired from life and nature of Zakynthos Island. Images, biography, technical information, road map, satellite maps of area.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.adamieion.com/"} +{"d:Title": "Erik Bright Ceranics", "d:Description": "Black and white vessels, ceramic prints, and large scale works using the sgraffito technique. Images of the works and the process, resume, and exhibition information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.erikbrightceramics.com/"} +{"d:Title": "Duffhues, Jo and Vic", "d:Description": "Vancouver Island potters in raku and stoneware. Explanations of techniques, road map to gallery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.jovicpottery.com/"} +{"d:Title": "Hatori, Makoto", "d:Description": "Sculpture and assemblage of mixed media as well as traditional stoneware in the Bizen-style.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www2r.biglobe.ne.jp/~makoto-h/"} +{"d:Title": "Hoets, Garth", "d:Description": "Gallery of South African potter showing original works decorated mostly with African wildlife. The artist is especially well-known for his handcrafted wash basins.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.garthhoets.co.za/"} +{"d:Title": "Jefferson, Cathi", "d:Description": "Altered wheel-thrown functional stoneware. Gallery, biography, curriculum vitae.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.cathijefferson.com/"} +{"d:Title": "Pine Mills Pottery", "d:Description": "Features wood-fired stoneware by Gary Hatcher and Daphne Roehr Hatcher.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.pinemills.com/"} +{"d:Title": "Shmueli, Israel", "d:Description": "Presents portfolio of the artist's works which include various kitchen-ware and handmade bathroom sinks, and information about classes available at the studio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.botzpottery.co.il/"} +{"d:Title": "Speers, Jim", "d:Description": "Small gallery, artist's potting history, and examples of some of his production ware. Includes ceramic links, links to Alberta newspapers, and some digital images incorporating the artist's ceramic pieces.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.jimspeers.com/"} +{"d:Title": "Steve Irvine", "d:Description": "Gallery of functional stoneware, teapots, and vases as well as background information on creator, and contact details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.steveirvine.com/"} +{"d:Title": "Fazzino, John", "d:Description": "Sculpture gallery includes statement, biography, resume, reviews, and images both of individual works and of gardens.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.johnfazzino.com/"} +{"d:Title": "Finch Pottery", "d:Description": "Includes information about the potter, the studio, galleries and shows, and pottery classes. Bailey, North Carolina.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.danfinch.com/pots.htm"} +{"d:Title": "Greenleaf Pottery", "d:Description": "Functional Stoneware by John Macomber. Includes galleries, description of classes and directions. South Windsor, Connecticut.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.greenleafpottery.net/"} +{"d:Title": "Heather I. L. Pedersen", "d:Description": "High-fired stoneware gallery of California based ceramist. Includes shows and sales, description of workshops, and artist statement.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.heatherpedersen.com/"} +{"d:Title": "Kim Ellington Pottery", "d:Description": "Catawba Valley pottery using local clay, alkaline glaze and wood-fired kiln. Online gallery and schedule of shows.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://kimellingtonpottery.com/"} +{"d:Title": "Mad Tea Pottery", "d:Description": "A collection of unusual teapots by Los Angeles artist Samuel Goldstein. Teapots are vitrified clay, stoneware clay that was reduction fired at Cone 10. Image galleries and contact details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.madteapottery.com/"} +{"d:Title": "SD Pottery", "d:Description": "Specializes in functional stoneware pottery. Based in Thrall, Texas.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.sdpottery.com/"} +{"d:Title": "Hand Built Ceramics by Sylvia Hacker", "d:Description": "Stoneware clay fired to high temperatures in a large butane gas fast-fire kiln, or oxidize-fired in a small electric kiln. Artist contact and exhibition information available.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.angelfire.com/my/ceramicpots/"} +{"d:Title": "High Street Pottery", "d:Description": "Julian Bellmont produces hand thrown and turned high fired stoneware. Includes gallery, biography and process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.julian.bellmont.dsl.pipex.com/home.html"} +{"d:Title": "Bruce Murray: Potter", "d:Description": "Stoneware galley includes functional ware, barnabas drawings, wall tiles and plaques and lamps. Provides directions, map and information on summer workshops. Bradford, Vermont.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.brucemurraypotter.com/"} +{"d:Title": "Phil Rogers", "d:Description": "Features information on the glazing and firing process, artist background information, show and gallery locations, a list of publications and articles written by this well known potter, and galleries of previous and recent pieces. Rhayader, Wales.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.philrogerspottery.com/"} +{"d:Title": "John Struthers", "d:Description": "Cycladic and neolithic influences in collectable pottery and sculpture. Accepts commissions. Curriculum vitae and images.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.stonewareceramics.co.uk/"} +{"d:Title": "Trecarne Pottery", "d:Description": "Functional stoneware ceramics by Michel Roux. Cornwall, UK.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.trecarnepottery.co.uk/"} +{"d:Title": "Paul Chaleff", "d:Description": "Wood fired pottery and large scale ceramic vessels and sculpture. Artist, collections, and exhibition information available.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.paulchaleff.com/"} +{"d:Title": "Nela Ceramics", "d:Description": "Marianela Borsten specializes in contemporary functional and decorative objects. Stoneware and Raku. Based in Miami, Florida.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://nelaceramics.com/"} +{"d:Title": "Old Patagonia Pottery", "d:Description": "Artist Marty Frolick creates wheel-thrown pieces sculpted into original nature and wildlife works. Online galleries, show schedule, and biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.angelfire.com/zine2/oldpatagonia/"} +{"d:Title": "PBA Pottery", "d:Description": "Provides gallery of stoneware pottery using letter press text for surface decoration. Minnesota-based potter, Pete Anderson provides an overview of the making process, and biographical information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.pbapottery.com/"} +{"d:Title": "Pillers Studio Clay", "d:Description": "Archival gallery of the functional and decorative stoneware of Robert and Beverly Pillers.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://pillers-r.tripod.com/"} +{"d:Title": "David Bellar", "d:Description": "Recent works and teapots by North Carolina potter with artist comments. Handmade stoneware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.potterycarolina.com/"} +{"d:Title": "Rick Mace Pottery", "d:Description": "Galleries include Raku fired and stoneware works.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.prismagems.com/pottery/"} +{"d:Title": "Wicked Winds Studio", "d:Description": "Hand built raku and stoneware pottery, tiles, ornaments, and sculptures by Wendy S. Timm of Tucson, Arizona. Images with comments, biography, resume, exhibition information, gallery hours and map.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.wendytimm.com/"} +{"d:Title": "Jones Pottery", "d:Description": "Handmade stoneware pottery created by Chris Jones of Amelia Island, Florida.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.jonespottery.net/"} +{"d:Title": "Red Hill Pottery", "d:Description": "John Anthony makes wood fired pots for everyday use. Includes portfolio, recent firings and events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.redhillpottery.com/"} +{"d:Title": "Venema Pottery", "d:Description": "Handmade pottery created in Abbotsford BC by Herman Venema. Information on classes, slide show gallery and brief biography of the potter.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.venemapottery.com/"} +{"d:Title": "Lasse \u00d6stman, Potter", "d:Description": "Swedish potter provides galleries of wheel thrown stoneware and porcelain works. Glaze recipes, materials analyzer and technical notes. Swedish, English and German.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.stoneware.net/stoneware/"} +{"d:Title": "Selfridge Ceramic Art", "d:Description": "Ceramic artists Carol and Richard Selfridge show their past and current work in illusionistic terra cotta majolica, porcelain and woodfired stoneware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://clubweb.interbaun.com/~selfridg/"} +{"d:Title": "Minerva Ceramics", "d:Description": "Venezuelan ceramic artist making functional and contemplative stoneware pieces. Sculptured spirit. Statement of artist and images of recent works.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.minervaceramica.com/"} +{"d:Title": "Duck Creek Pottery", "d:Description": "Hand thrown and altered stoneware pottery by Sylvia Coppola. Images, upcoming events, and brief biography. Indian Trail, North Carolina.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.duckcreekpottery.com/"} +{"d:Title": "David Lawrence Fry Ceramics", "d:Description": "Pottery inspired by the glazes and forms of the early ceramics from China and Japan; produced in high fired stoneware. Newcastle upon Tyne, England.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://davidfryceramics.com/"} +{"d:Title": "Artwork by Marvin Bartel", "d:Description": "Emeritus Professor of Art, Goshen College, features pottery, ceramic tiles, sinks, and stoneware sculpture and furniture.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://people.goshen.edu/~marvinpb/MB-Galry.htm"} +{"d:Title": "Vinegar Hill Pottery", "d:Description": "Hand thrown stoneware pottery and sculptural pieces. Provides information about the artist and the work. Includes many illustrations and how to order.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://www.vinegarhillpottery.co.uk/"} +{"d:Title": "CrackedClay", "d:Description": "High fire stoneware pottery by Tom Abel. Gallery, contact information and list of galleries where work is displayed.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://crackedclay.wordpress.com/"} +{"d:Title": "Tsimpourlas, Christos", "d:Description": "Images of his vessels and decorative ceramics, and a curriculum vitae.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters", "url": "http://dotabasket.wix.com/christostsibourlas"} +{"d:Title": "Pine Hill Pottery", "d:Description": "Studio pottery that offers a selection of reduction fired stoneware and functional kitchen ware. Harrisville, West Virginia.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters/Functional", "url": "http://www.pinehillpottery.com/"} +{"d:Title": "Baucum Pottery", "d:Description": "Work by Dale and Brin Baucum of Memphis, Tennessee. Contains artist statement, galleries, photos of the studio, kiln, artist tools and exhibition listings.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters/Functional", "url": "http://www.baucumpottery.com/"} +{"d:Title": "Gotanbayashi Gama", "d:Description": "Created as a showcase for Michael Martini's work at Gotanbayashi Gama studio, and as a venue for introducing traditional and contemporary Karatsu wares to a wider audience.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters/Functional", "url": "http://www.karatsupots.com/"} +{"d:Title": "Harvey Young Pottery", "d:Description": "Workshop in Mashiko, Japan producing domestic stoneware. Biography of the artist, photos and short history of pottery making in Mashiko.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters/Functional", "url": "http://www.harveyyoungpottery.com/"} +{"d:Title": "Deirdre Wilson Pottery", "d:Description": "Showcasing the wheel-thrown functional stoneware of Quebec potter Deirdre Wilson. Ms Wilson's work is notable for its classic elegance and warm earthy tones.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters/Functional", "url": "http://www.deirdrewilsonpottery.com/"} +{"d:Title": "Bridges Pottery", "d:Description": "Bridges Pottery offers functional stoneware pottery by Long Island artist Patricia Bridges. Includes gallery and brief biography.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters/Functional", "url": "http://www.bridgespottery.com/"} +{"d:Title": "Pottery by Nan Rothwell", "d:Description": "Functional salt glazed and stoneware pottery, weekly classes and weekend workshops in Nelson County, Virginia.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Stoneware/Ceramists_and_Potters/Functional", "url": "http://nanrothwellpottery.com/"} +{"d:Title": "Beth Coe Maeda", "d:Description": "Displays and describes the artist's work with high fired ceramics; macro-crystalline, red glazes and celadons. Includes profile, techniques and a gallery of paintings and leather craft.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles", "url": "http://www.ceramika.com.br/"} +{"d:Title": "Sunnyvale Artists' Cooperative - Techniques", "d:Description": "Provides definitions for basic and special pottery techniques.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles", "url": "http://www.couling.com/sac/techniques/"} +{"d:Title": "Colors for Earth", "d:Description": "Information on studio kilns, glazes and glaze decorating techniques.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles", "url": "http://www.colorsforearth.com/"} +{"d:Title": "Montefin's Original Pottery Gallery", "d:Description": "Provides historical information, images and techniques for raku, stoneware and salt glazed pottery. Includes ceramic glossary.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles", "url": "http://www.montefin.com/pottery.html"} +{"d:Title": "Ruthanne Tudball", "d:Description": "UK based ceramic artist specialising in soda glazed pottery assembled on the wheel. Includes biography, techniques and information on events and workshops.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles", "url": "http://ruthannetudball.com/"} +{"d:Title": "Glazes Recipes", "d:Description": "Cone 6, cone 10 and raku glaze recipes, with some photographs of results.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Glaze", "url": "http://www.jqjacobs.net/art/glazes.html"} +{"d:Title": "HyperGlaze", "d:Description": "Software program designed for artists who use ceramic materials, can be used as a database to store clay and glaze recipes and to list raw materials and their analysis.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Glaze", "url": "http://www.hyperglaze.com/"} +{"d:Title": "Raku Art Inc.", "d:Description": "Raku-fired ceramics manufacturer. Offering artwork, glaze, and workshops.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku", "url": "http://www.raku-art.com/"} +{"d:Title": "Wikipedia - Raku Ware", "d:Description": "Encyclopedia article covering both Japanese and Western techniques.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku", "url": "http://en.wikipedia.org/wiki/Raku_ware"} +{"d:Title": "Ceramics Today - Raku", "d:Description": "Frequently asked questions compiled by Steven Branfman, Author of \"Raku: A Practical Approach\".", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku", "url": "http://www.ceramicstoday.com/articles/branfman_raku.htm"} +{"d:Title": "The Alchemist", "d:Description": "Raku artist. Includes images, a biography, glaze recipes and articles about the technique, and an article about marketing ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.alchemist.nl/"} +{"d:Title": "Bedient, JoAnne", "d:Description": "Contemporary handbuilt raku fired shoes, cats, clocks, and vessels by South Florida artist, and a listing of galleries carrying her work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.jbedient.com/"} +{"d:Title": "Davis Pottery", "d:Description": "Hand-crafted pottery by Davis Lanthripe.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.davispottery.faithweb.com/"} +{"d:Title": "Jane Kaufmann", "d:Description": "Raku fired work by New Hampshire artist includes orbs, clay pictures, story pieces, and figures.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://janekaufmann.com/"} +{"d:Title": "Lynn Dee Studios", "d:Description": "Washington-based artist creates hand thrown raku pottery with sculptural attachments and brushwork of glazes and metallic oxides. Portfolio, biography, process and exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.lynndeestudios.com/"} +{"d:Title": "Myra Wishart Ceramics&Glass", "d:Description": "Online gallery featuring Raku, smoked ceramic vessels and masks influenced by the Taureg tribes in North Africa.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.myrawishart.co.uk/"} +{"d:Title": "Perry Okimoto", "d:Description": "Raku fired ceramic pottery and sculpture at Angels Gate Cultural Center, San Pedro, California.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.artawakening.com/perry/"} +{"d:Title": "Gary R. Ferguson", "d:Description": "Work by Raku artist. Includes biography, gallery, glaze recipes, a schedule of upcoming events, photographs of the process, and books.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.garyrferguson.com/"} +{"d:Title": "Sculpture by Mark Yudell", "d:Description": "Small sculptures, teapots, and vessels. Includes galleries, artist's biography, and information on the raku firing process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.yudell.com/"} +{"d:Title": "Claygirl of California", "d:Description": "Images of raku works, functional and decorative. Also a biography, glossary, and information on the firing process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.claygirl.com/"} +{"d:Title": "Keramikraum", "d:Description": "Gallery of Gabriella Bartlau includes raku and stoneware jars, tea bowls, jewelry and soap dishes as well as general information on raku history and techniques.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.keramikraum.de/"} +{"d:Title": "The Pottery of Christopher Williams", "d:Description": "Illustrations and description of the raku process, biography of the artist and online gallery of work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://webspace.webring.com/people/br/raku_pottery/"} +{"d:Title": "Ceramics and Computer Arts", "d:Description": "Karen LeVier displays images of her raku vessels, sculpture and computer artwork, and an explanation of raku.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://k.levier.tripod.com/"} +{"d:Title": "Rob Sollis Ceramics", "d:Description": "Refined forms, thrown and burnished then finished with glazes and raku processes. Some recent pieces with industrial looking finishes.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Raku/Ceramists_and_Potters", "url": "http://www.robsollisceramics.co.uk/"} +{"d:Title": "Wikipedia - Saggar Fired Pottery", "d:Description": "Brief encyclopedia article on the alternative firing process for pottery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Sagger_Fired", "url": "http://en.wikipedia.org/wiki/Saggar_fired_pottery"} +{"d:Title": "Alex Mandli, Potter", "d:Description": "Contains galleries focused on Pit Fired and Saggar Fired Earthenware, as well as technique-related details, and exhibit schedules. Located in Racine, Wisconsin", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Sagger_Fired/Ceramists_and_Potters", "url": "http://www.alexmandli.com/"} +{"d:Title": "Wikipedia - Salt Glaze Pottery", "d:Description": "Discusses the technical process and history of the salt glazed or salted process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda", "url": "http://en.wikipedia.org/wiki/Salt_glaze_pottery"} +{"d:Title": "Avicam: Why Soda Glaze?", "d:Description": "Excerpt from the artist's Bachelor of Arts (Honours) research report. Maryke Henderson graduated from the Australian National University School of Art in 2005.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda", "url": "http://www.avicam.com/muse/henderson.php"} +{"d:Title": "Castable Refractories and the Salt Kiln", "d:Description": "Includes articles \"Some Thoughts on Refractories and Salt\" by Tom Turner and \"Notes on Castables for a Salt Kiln\" by Richard Leach.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda", "url": "http://www.studiopotter.org/articles/art0015.htm"} +{"d:Title": "Low-Fire-Salt Fuming", "d:Description": "Article by Paul Soldner discussing the process, techniques and accidental effects of low-fire salting.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda", "url": "http://www.paulsoldner.com/essays/lowfire.html"} +{"d:Title": "Cedar Mountain Potters", "d:Description": "Gallery of works include functional pieces made of stoneware and high fired in a salt/soda atmosphere. David Orser and Laurel MacDuffie, art potters in Parsonsfield, Maine.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda/Ceramists_and_Potters", "url": "http://www.cedarmountainpotters.com/"} +{"d:Title": "Doherty Porcelain", "d:Description": "Wheel thrown work by Jack Doherty that is once fired and soda glazed. Gallery, exhibitions, publications and listing of demonstrations and lectures. Based in Ross-on-Wye, Herefordshire.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda/Ceramists_and_Potters", "url": "http://www.dohertyporcelain.com/"} +{"d:Title": "Shambhala Pottery", "d:Description": "Soda fired functional and decorative work by June Perry. Includes glaze, clay and slip information with recipes and photographs.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda/Ceramists_and_Potters", "url": "http://www.angelfire.com/art2/shambhalapottery/"} +{"d:Title": "Glenfiddich Farm Pottery", "d:Description": "Clay artist Richard Busch displays a variety of wheel-thrown and hand-built stoneware, salt fired pieces. Includes articles, exhibitions, and driving directions to the studio.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda/Ceramists_and_Potters", "url": "http://www.glenfiddichfarm.com/"} +{"d:Title": "Joy Tanner Soda Fired Pottery", "d:Description": "Potter creates hand build and wheel thrown functional soda fired pottery in Bakersville, North Carolina. Artist statement, resume and listing of events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Salt_and_Soda/Ceramists_and_Potters", "url": "http://joytannerpottery.com/"} +{"d:Title": "Wikipedia - Terra Cotta", "d:Description": "Encyclopedia article about the waterproof ceramic material and its uses.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Terra_Cotta", "url": "http://en.wikipedia.org/wiki/Terra_cotta"} +{"d:Title": "Indian Terracotta", "d:Description": "Terracotta icons of Molela.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Terra_Cotta", "url": "http://www.natverkstan.net/regfallah/"} +{"d:Title": "Cormac Boydell", "d:Description": "Produces vessels, sculpture, and two-dimensional art objects in Irish terracotta. Images, biography, bibliography, details of studio and permanent gallery exhibitions. based in Co. Cork, Ireland.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Terra_Cotta/Ceramists_and_Potters", "url": "http://www.cormacboydell.com/"} +{"d:Title": "Joe Camilleri", "d:Description": "A display of terracotta crib figurines, bas-reliefs, and cribs created by the artist in Victoria, Gozo. Images, biographical information, and guestbook.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Terra_Cotta/Ceramists_and_Potters", "url": "http://sites.waldonet.net.mt/camill/"} +{"d:Title": "Angelica Pozo", "d:Description": "Public art works, community art projects and studio works in ceramic sculpture, tile and mosaic. Image galleries, biography, resume, and statement.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Terra_Cotta/Ceramists_and_Potters", "url": "http://www.angelicapozo.com/"} +{"d:Title": "Shiho Kanzaki's Anagama Studio", "d:Description": "Japanese stoneware from the 5th century to modern times. Includes history, discussion of kilns, photograph galleries.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired", "url": "http://www.the-anagama.com/"} +{"d:Title": "Anagama", "d:Description": "Gas Kimishima works in the UK in the Japanese tradition. Photos and details of pots and an Anagama single chamber kiln.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired", "url": "http://www.anagama.co.uk/"} +{"d:Title": "Sidestoke", "d:Description": "Provides information on anagamas and other woodfired kilns, pots and the artists.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired", "url": "http://sidestoke.com/"} +{"d:Title": "The Log Book", "d:Description": "The International publication for woodfires and those interested in woodfired ceramics. Subscription information, back issues and contributor guidelines.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired", "url": "http://homepage.eircom.net/~thelogbook/"} +{"d:Title": "Wood-fired Ceramics", "d:Description": "Provides links to information about wood-firing and wood-fired ceramics in the Czech Republic and world wide. In English and Czech.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired", "url": "http://www.drevopalici.net/"} +{"d:Title": "Anagama West: A Pyromaniac's Dream", "d:Description": "General information regarding an anagama wood fired pottery kiln. Includes recommended books and links, extensive image galleries of the building process, clay recipes, images of fired pieces.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired", "url": "http://www.anagama-west.com/"} +{"d:Title": "Deiniol Williams", "d:Description": "Hand thrown wood-fired stoneware with ash glazes, inspired by the natural surroundings of the Peak District. Gallery of work, biography, shows and exhibitions, CV and contact details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.deiniolwilliams.co.uk/"} +{"d:Title": "Allamakee Wood-Fired Pottery", "d:Description": "Produces functional and decorative wood-fired pottery using many indigenous clay and glaze materials. Information on the kiln and firing process and list of regional studio tours and gallery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.allamakeewoodfiredpottery.com/"} +{"d:Title": "Cambridge Wood-Fired Pottery", "d:Description": "Functional pieces fired in a wood fueled kiln from Mark Skudlarek. Information on the process and gallery of works. Cambridge, Wisconsin.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.cambridgepottery.com/"} +{"d:Title": "Gary K. Hootman", "d:Description": "Provides galleries of current and past work, extensive information on wood-firing, and artist statement and resume.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://garyhootman.com/"} +{"d:Title": "John Christie", "d:Description": "Wood fired stoneware and porcelain made in Morayshire, Scotland by John Christie and his associates.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.scotpotter.com/"} +{"d:Title": "JR Pottery", "d:Description": "A wide variety of Bizen style pottery, by artist John Ray. Includes artist biography, a brief historical overview of Japanese pottery, an online gallery and photos of the firing process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.jrpottery.com/"} +{"d:Title": "Pottery by the Eeles Family", "d:Description": "Woodfired stoneware, raku and porcelain by David, Patricia, Benjamin and Simon Eeles.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://eelespottery.co.uk/"} +{"d:Title": "Ste. Agathe Pottery", "d:Description": "Woodfired pottery by Remo Mamoril. Includes schedule of firings, glaze and other recipes, and kiln door design.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.mts.net/~remo/"} +{"d:Title": "Jeff Shapiro", "d:Description": "Gallery contains woodfired works with natural ash deposits and abstract glaze, tea bowls, and site specific work. Resume, workshop information, exhibitions and articles.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://jeffshapiroceramics.com/"} +{"d:Title": "Gooseneck Pottery", "d:Description": "Provides gallery images of hand thrown, wood-fired stoneware. Includes a biography of the potter, Robert Barron, calendar of firings and pottery location.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.gooseneckpottery.com.au/"} +{"d:Title": "Denise Phillips", "d:Description": "Maui ceramic artist creates coil built, burnished, sawdust fired vessels. Includes images, process information, and care instructions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.maui-art.com/hbv.htm"} +{"d:Title": "Ridge Pottery", "d:Description": "Douglas and Jennie Phillips create woodfired stoneware pottery. Offers image gallery and information on summer and weekend courses.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.mud2fire.com/"} +{"d:Title": "Paul Dennis", "d:Description": "Gallery of wood fired stoneware by the UK based potter. Includes gallery and listing of events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.pauldennis.co.uk/"} +{"d:Title": "Ben Richardson", "d:Description": "Potter working predominantly with woodfiring collects wild clays and glaze materials from areas around Tasmania. Includes gallery, biography and photographs of the area.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.benrichardson.com.au/"} +{"d:Title": "John Balistreri", "d:Description": "Ceramic artist currently working on large scale woodfired sculpture. Gallery, brief biography and resume.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.johnbalistreriartist.com/"} +{"d:Title": "Scott Bartolomei Edmonds", "d:Description": "Ceramic artist inspired by natural forms. Work is fired in the Anagama wood fired tradition. Based in New York City.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.scottpots.com/"} +{"d:Title": "Tim Rowan", "d:Description": "Gallery of woodfired works includes sculpture, vessels, cups and boxes. Articles, news and resume. Stone Ridge, New York.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://timrowan.com/"} +{"d:Title": "Jack Troy", "d:Description": "Woodfired ceramic art, poetry, and writings. Includes gallery of recent work and workshop information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.jacktroy.net/"} +{"d:Title": "Owen Rye", "d:Description": "Australia based ceramic artist, displays works from various gallery exhibitions. Includes authored articles about the woodfire process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.owenrye.com/"} +{"d:Title": "Bede Clarke Studio", "d:Description": "Display of woodfired stoneware from the Missouri based artist. Sculptural, wall works and pottery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.bedeclarkestudio.com/"} +{"d:Title": "Rock Creek Pottery", "d:Description": "Features the work of Will Ruggles and Douglass Rankin, known for their distinctive wood-fired pots. Includes photos, potter biographies, shows and events, map and directions. Bakersville, North Carolina.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://rockcreekpottery.com/"} +{"d:Title": "Sedberry Pottery", "d:Description": "Gallery of wood fired stoneware and porcelain from Bakersville, North Carolina potter. Includes artist information and upcoming events.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.sedberrypottery.com/"} +{"d:Title": "Torbj\u00f8rn Kvasb\u00f8", "d:Description": "Online portfolio, with images from exhibitions, public commissions, projects and the artist's studio. Site provides artist CV and published articles.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://kvasbo.com/"} +{"d:Title": "Soldner", "d:Description": "The official website of artist Paul Soldner; includes biography, c.v., selected ceramics and prints, essays and video.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.paulsoldner.com/"} +{"d:Title": "Catherine White - Introduction", "d:Description": "Galleries of the artist's pottery and drawings. Site includes blog, journal and articles by the artist and information about books she has written.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.catherinewhite.com/"} +{"d:Title": "Tony Moore", "d:Description": "Site of artist, sculptor provides galleries, biography, contact information and a listing of exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.tonymooreart.com/"} +{"d:Title": "Dog Bar Pottery", "d:Description": "Sam Taylor makes handmade, stoneware and porcelain pots that are wheel thrown, wood fired and salt glazed.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.dogbarpottery.com/"} +{"d:Title": "Kamaka Pottery", "d:Description": "Anagama wood fired pottery by Bruce and Estelle Martin, based in Hastings, New Zealand. Gallery of work and video of the Kamaka anagama.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://home.clear.net.nz/pages/anagama/"} +{"d:Title": "Carol and Arthur Rosser", "d:Description": "Wood firing potters who live in Queensland. Includes images of kilns and pots, technical information, and articles.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.rosserpottery.com/"} +{"d:Title": "Jane Perryman Gallery", "d:Description": "In addition to the gallery, this site lists books written by the artist, upcoming workshops, biography a contact form and directions to the studio location.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters", "url": "http://www.janeperryman.plus.com/"} +{"d:Title": "Willi Singleton", "d:Description": "Woodfired functional stoneware from Pine Creek Pottery in Kempton, Pennsylvania. Gallery and artist's statement.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters/Functional", "url": "http://willisingleton.com/"} +{"d:Title": "W.M.Hewitt Pottery", "d:Description": "Produces functional, woodfired pottery using local North Carolina stoneware clays. Gallery, workshop information, biography and exhibitions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters/Functional", "url": "http://www.hewittpottery.com/"} +{"d:Title": "Stonepool Pottery", "d:Description": "Wood fired functional pots by Mark Shapiro of Worthington, Massachusetts. Includes gallery, biography, and information on firing techniques, workshops and apprenticeships.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters/Functional", "url": "http://www.stonepoolpottery.com/"} +{"d:Title": "The Turnpike Road", "d:Description": "Features woodfired glazed, functional stoneware and brick clay pots by Vermont based ceramic artist Malcolm Wright. Includes biography, news and events and information on the kiln and firing process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters/Functional", "url": "http://theturnpikeroad.com/"} +{"d:Title": "Uwe Loellmann", "d:Description": "Gallery features vessels and tea ceremony pieces by the German ceramic artist using wood-firing as the basis for his work. Provides schedule, profile and studio details.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Techniques_and_Styles/Woodfired/Ceramists_and_Potters/Functional", "url": "http://uweloellmann.de/"} +{"d:Title": "Tileandclayart.com", "d:Description": "Tile murals, handmade clay tiles, ceramic wall sculpture and artist-in-residencies from artist George Woideck.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://tileandclayart.com/"} +{"d:Title": "Creative Clay Concepts Inc.", "d:Description": "Selection of handmade one-of-a-kind tiles specializing in animal and nature themes. Custom designs created also.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://creativeclay.tripod.com/home.html"} +{"d:Title": "Winfrey Works", "d:Description": "Jennifer and John Winfrey collaborate to create one-of-a-kind custom designed tile and metal art furnishings.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.winfreyworks.com/"} +{"d:Title": "Tiles on the Web", "d:Description": "Resource for information on handmade tiles and tile artists. Includes technical information and training opportunities.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.tiles.org/"} +{"d:Title": "Etilez.com", "d:Description": "Design and creation of hand-painted exotic tiles and ceramic wares for indoor and outdoor use.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.etilez.com/"} +{"d:Title": "Susan Beere, Ceramic Tile Artist", "d:Description": "Handmade bas-relief tile murals and small tile wall hangings. Site also offers contact information for commissioning the work.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.susanbeere.com/"} +{"d:Title": "Joan Rothchild Hardin", "d:Description": "Handpainted art tiles and ceramic murals created by this New York-based artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.hardintiles.com/"} +{"d:Title": "DuQuella Tile and Clayworks", "d:Description": "Handcrafted tile specializing in Arts and Crafts style, Art Nouveau and Art Deco decorative tile for baths, kitchens or fireplaces.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.tiledecorative.com/"} +{"d:Title": "Totten Tileworks", "d:Description": "Handmade tiles and architectural ceramics specializing in site-specific design. Includes gallery and sample boards.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.tottentileworks.com/"} +{"d:Title": "David Day Designs", "d:Description": "Handcrafted decorative tile and claywork.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.daviddaydesigns.com/"} +{"d:Title": "Amatrix Studio", "d:Description": "Handcrafted ceramic tiles and mosaic that celebrate the feminine spirit by artist, D. Franchi.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.amatrix.org/"} +{"d:Title": "Craig Bragdy Design", "d:Description": "Handmade ceramic murals for architectural settings and swimming pools.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.craigbragdydesign.co.uk/"} +{"d:Title": "Concord Tile", "d:Description": "Custom handpainted ceramic tiles; commissioned, hand painted portraiture, murals in a unique, lasting medium.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.flockillustration.com/tile/"} +{"d:Title": "Ceramitecture Studio", "d:Description": "Nashville artist, Ben Gilliam uses an old art form to build tiles, sinks, fireplaces, and other objects d'architecture.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.ceramitecture.com/"} +{"d:Title": "Lutz Tiles", "d:Description": "Custom, hand-crafted tiles from a showroom that make products on site.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.lutztiles.com/"} +{"d:Title": "Urban Jungle Art and Design", "d:Description": "Bold, vibrant tile and iron designs by San Diego artist Deirdre Lee, inspired by Fauve artists and the Arts and Crafts era.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.urbanjungleart.com/"} +{"d:Title": "Bronwyn Williams-Ellis", "d:Description": "Handmade tiles for the bathroom and kitchen, ceramic sculptures, public commissions.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.handmade-tiles.co.uk/"} +{"d:Title": "California Pottery&Tile Works", "d:Description": "Continuing the tradition of California tile making and decoration perfected by the Malibu and Catalina Potteries. Custom studio producing historical reinterpretations of classic designs customized to meet the exact color and size specifications of any project.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.calpot.com/"} +{"d:Title": "Seafire Ceramics", "d:Description": "David Crane presents framed stoneware art tiles and geographically correct chart tiles. Provides a price list and artist information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://davidcrane.esva.net/"} +{"d:Title": "Judith Inglese Ceramic Tile Murals", "d:Description": "Ceramic murals for public environments including interior and exterior installations. Site has a project blog, galleries, artist biography and contact information.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.judithinglese.com/"} +{"d:Title": "Paul Lewing Custom Tile", "d:Description": "Hand-painted tile murals, tiles, trivets, and coasters. Information on upcoming workshops.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://paullewingtile.com/"} +{"d:Title": "Edinburgh Ceramics", "d:Description": "Handmade tiles by ceramic artists Susan and Douglas Dalgleish. Includes an online gallery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.edinburghceramics.com/"} +{"d:Title": "Debra Cioni Design", "d:Description": "Custom painted ceramic tile murals. Includes gallery and video.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://debracioni.com/"} +{"d:Title": "Royce Wood Studio", "d:Description": "Family-run firm creating a variety of ceramic tiles. Commissions accepted.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Tiles", "url": "http://www.roycewood.com/"} +{"d:Title": "Tuppy's Ceramic Art", "d:Description": "Blog of ceramic sculptor ceramic Antonia (Tuppy) Lawson.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://antoniatuppylawson.blogspot.com/"} +{"d:Title": "Pottery Blog: Emily Murphy", "d:Description": "Postings from a potter making mostly functional soda fired ceramics in Minneapolis, Minnesota.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://potteryblog.com/"} +{"d:Title": "Shane Norrie - Journal", "d:Description": "Gallery of works and upcoming exhibitions for the Canadian ceramist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://www.shanenorrie.blogspot.com/"} +{"d:Title": "Dragonfly Clay", "d:Description": "Entries from Canadian ceramic artist Cheryl Alena Bartram.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://dragonflyclay.blogspot.com/"} +{"d:Title": "Euan The Potter", "d:Description": "The life and times of an Australian Potter, living and working in Mashiko, Japan.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://euancraig.blogspot.com/"} +{"d:Title": "New Work", "d:Description": "Porcelain and cut paper by Katie Parker.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://katiesnewwork.blogspot.com/"} +{"d:Title": "Pinkkiss Pottery", "d:Description": "A glimpse into the studio and interests of Baltimore based ceramic artist, Shawna Pincus.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://pinkkisspottery.blogspot.com/"} +{"d:Title": "Pots and Other Things", "d:Description": "Postings by New Hampshire based potter and musician, John Zentner.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://jzpottery.blogspot.com/"} +{"d:Title": "Musing About Mud", "d:Description": "Discussions by Carole Epp, a ceramic artist based out of Saskatoon, Canada.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://www.musingaboutmud.blogspot.com/"} +{"d:Title": "Notes from an Apprenticeship", "d:Description": "Blog of North Carolina based potter Alex Matisse, making wood fired stoneware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://alexmatisse.blogspot.com/"} +{"d:Title": "Tara Robertson Pottery", "d:Description": "Blog postings from a Utah based ceramic artist.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://mypotteryblog.blogspot.com/"} +{"d:Title": "Australian Pottery", "d:Description": "Discussion for collectors of Australian contemporary pottery, 1960's to date.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://australianpottery.wordpress.com/"} +{"d:Title": "Support Your Local Potter", "d:Description": "A record of the life and times at the Phillips Pottery in Abilene, Texas.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://supportyourlocalpotter.blogspot.com/"} +{"d:Title": "Clay In Critique", "d:Description": "Group of friends spread from one coast to the other, highlight and discuss work from each member - exhibited at NCECA in Pittsburgh.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://clayincritique.blogspot.com/"} +{"d:Title": "Potsblog", "d:Description": "Studio diary and catalogue from UK based potter.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://haaripottery.blogspot.com/"} +{"d:Title": "A Devonshire Pottery", "d:Description": "Tales of the goings on at Hollyford Pottery, near the tiny village of Stockleigh English in Devon, England.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://slipware.blogspot.com/"} +{"d:Title": "Potter\u2019s Journal", "d:Description": "Postings and photographs from Utah based potter Joseph Bennion.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://www.horseshoemountainpottery.com/joe/blog/"} +{"d:Title": "Webb Pottery Studio", "d:Description": "A pottery blog and studio journal of techniques, materials, equipment, photos, events, and other such ramblings, by Alabama Gulf Coast potter Anne Webb.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://www.webbpottery.com/blog/"} +{"d:Title": "Straydog Pottery", "d:Description": "Blog by Chris Joyce, a local potter from Enfield, North Carolina. Sharing the thoughts and ramblings of a veteran educator, husband, father, and now novice potter.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://straydogpottery.blogspot.com/"} +{"d:Title": "Dan Finnegan - Studio Pottery", "d:Description": "Blog of Virginia based potter working in wood-fired and salt glazed stoneware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://danfinneganpottery.blogspot.com/"} +{"d:Title": "Bandana Pottery", "d:Description": "North Carolina potters making wood fired utilitarian pottery discuss work and travels.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://bandanapottery.blogspot.com/"} +{"d:Title": "Contemporary Art Porcelain", "d:Description": "Florida based ceramic artist talks about design elements, kilns and glaze firings.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://johnetilton.blogspot.com/"} +{"d:Title": "Hatchville Pottery", "d:Description": "Cape Cod in Massachusetts potter discusses his functional pottery studio, events and firings.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://hatchvillepottery.blogspot.com/"} +{"d:Title": "Paul the Potter", "d:Description": "The trials and tribulations of Paul Jessop, living in the Somerset village of Barrington, trying to become a full time potter.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://paulthepotter.blogspot.com/"} +{"d:Title": "Potters Life", "d:Description": "Shane Mickey from Bakersville, North Carolina talks about the goings on in a potters life.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://shanemickeypotterslife.blogspot.com/"} +{"d:Title": "Ron Philbeck Pottery", "d:Description": "Musings of a self-taught, Shelby, North Carolina, potter who creates slip-decorated, functional earthenware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://ronphilbeckpottery.com/"} +{"d:Title": "Jen Mecca's Pottery Blog", "d:Description": "North Carolina creator of functional pottery writes about the challenges of her profession and motherhood.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://jennifermeccapottery.blogspot.com/"} +{"d:Title": "Anthony Foo", "d:Description": "California-based paperclay artist shares techniques and thoughts about the creative process.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://antjhfoo.blogspot.com/"} +{"d:Title": "This Artist's Life", "d:Description": "Whitney Smith, a Bay Area professional potter, focuses her writing on the tension between remaining an artist while conducting the business of pottery.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://whitneys-pottery.blogspot.com/"} +{"d:Title": "Lladro blog of news", "d:Description": "Blog sharing with you the last news of Lladro current and retired collection.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://lladro.blogspot.com/"} +{"d:Title": "Blue Starr Gallery", "d:Description": "Linda Starr creates contemporary pottery in her studio with detours in cooking, gardening and travel.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://bluestarrgallery.blogspot.com/"} +{"d:Title": "Joy Tanner Pottery", "d:Description": "Journal of a North Carolina potter specializing in soda fired stoneware and porcelain.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://joytannerpottery.blogspot.com/"} +{"d:Title": "Fine Mess Pottery", "d:Description": "Lori Keenan Watts of Maine is a stoneware potter journaling about her day-to-day life, inspirations, and insights.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://finemessblog.blogspot.com/"} +{"d:Title": "Sawdust&Dirt", "d:Description": "News from the pottery shop of Michael Kline.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://www.sawdustanddirt.com/"} +{"d:Title": "Nancy Gallagher Pottery", "d:Description": "Wheel Thrown and Altered Pottery Emphasizing Beauty, Form and Function", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://gallagherpottery.com/"} +{"d:Title": "Hannah McAndrew - Slipware Potter", "d:Description": "Postings from Scotland based potter working with functional tableware.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Weblogs", "url": "http://www.hannahmcandrew.co.uk/"} +{"d:Title": "The Raku Artists Connection", "d:Description": "Ring of contemporary raku artists.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Web_Rings", "url": "http://www.webring.org/hub?ring=raku"} +{"d:Title": "Wood Firing Potters Webring", "d:Description": "Represents a group of ceramic artists producing work primarily utilizing the ancient process of wood firing.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Web_Rings", "url": "http://www.webring.org/hub?ring=woodfiringpotter"} +{"d:Title": "Web Ring - Ceramics", "d:Description": "A group of sites that deal with ceramics. Some offer supplies, molds, free designs, bisque and other things that have to do with ceramics.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Web_Rings", "url": "http://www.webring.org/hub?ring=ceramics"} +{"d:Title": "The Pottery Webring", "d:Description": "Ring of potters, ceramics artists, studios and galleries.", "topic": "Top/Arts/Crafts/Ceramic_Art_and_Pottery/Web_Rings", "url": "http://www.webring.org/hub?ring=clayworker"} +{"d:Title": "Craftster.org", "d:Description": "Forum covering a range of crafts including clothing, crochet, interior decorating, jewelry, knitting, paper crafts, silk screening and quilting.", "topic": "Top/Arts/Crafts/Chats_and_Forums", "url": "http://www.craftster.org/"} +{"d:Title": "CraftBoard", "d:Description": "A general discussion forum for crafters working with all types of materials. Includes instructions, tips, information about materials, questions and answers.", "topic": "Top/Arts/Crafts/Chats_and_Forums", "url": "http://craftboard.50webs.com/"} +{"d:Title": "CraftForum.co.uk", "d:Description": "UK forum covering general craft topics.", "topic": "Top/Arts/Crafts/Chats_and_Forums", "url": "http://www.craftsforum.co.uk/"} +{"d:Title": "Crafter's Community", "d:Description": "Craft tutorials, projects, patterns, and resources.", "topic": "Top/Arts/Crafts/Chats_and_Forums", "url": "http://crafterscommunity.com/"} +{"d:Title": "School of Stitched Textiles", "d:Description": "Textile school offering creative crafts qualifications in embroidery, patchwork and quilting in the Liverpool area, UK.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.sofst.org/"} +{"d:Title": "Create Tablelamps and Floorlamps", "d:Description": "Usch Jochem shows how to create tablelamps and floorlamps with a ceramic base and handmade silkpainted shades.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://lampenkunst.de/"} +{"d:Title": "Crafty Wench", "d:Description": "Offers craft project ideas for adults and children.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.angelfire.com/pq/CraftyWench/"} +{"d:Title": "All Free Crafts", "d:Description": "Free craft projects and patterns to make easy homemade decorations and gifts. Photos and full instructions.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.allfreecrafts.com/"} +{"d:Title": "Unique Projects", "d:Description": "Free ideas and detailed plans with a newsletter and an image gallery of finished projects.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.uniqueprojects.com/"} +{"d:Title": "The Clearing", "d:Description": "Adult school of the arts, nature and humanities, located in a natural setting in Wisconsin. Includes class schedule and registration information.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.theclearing.org/"} +{"d:Title": "Old Sage Farm", "d:Description": "Offers a variety of classes including how to make stained glass stepping stones, pressed flower art and mosaics.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.oldsagefarm.com/"} +{"d:Title": "Fishcarver", "d:Description": "Patterns, courses, books, DVDs and videos, information and supplies for creating realistic fish models from wood or mold casting.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.fishcarver.com/"} +{"d:Title": "CraftBits", "d:Description": "Free projects and instructions for many different crafts. Includes sections for kids, group projects and crafting for the purposes of therapy as well as forums.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.craftbits.com/"} +{"d:Title": "Lilac Barn Craft Holidays", "d:Description": "Week-long residential courses in upholstery, drawing, painting, patchwork, quilting and soft furnishings in a newly renovated barn on the Somerset Levels.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.lilacbarn.co.uk/"} +{"d:Title": "South Hill Park Arts Centre", "d:Description": "Workshops and courses offered in ceramics, printmaking, drawing and painting, life classes, jewelry and silversmithing.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.southhillpark.org.uk/"} +{"d:Title": "3 Ring Circles", "d:Description": "Information and ideas for using craft rings to create wreaths, mobiles, candle rings, bulletin boards and other decorative accessories.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.3ringcircles.com/"} +{"d:Title": "Free Craft Unlimited", "d:Description": "Craft projects, graphics, how-to instructions, tips, printable craft patterns and templates.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.freecraftunlimited.com/"} +{"d:Title": "Fine Line Creative Arts Center", "d:Description": "Year-round classes, workshops, art exhibits, and events in fiber arts (knitting, quilting, weaving), pottery, 2 and 3 dimensional arts, metal and glass working, and jewelry in St. Charles, Illinois, near Chicago.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.finelineca.org/"} +{"d:Title": "Make Stuff", "d:Description": "Free craft ideas, recipes and activities for all ages and levels of experience.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.make-stuff.com/"} +{"d:Title": "Wombourne School of Millinery", "d:Description": "Courses on how to make hats for complete beginners to experienced milliners in the UK. Course durations are one day to one week.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.how2hats.com/"} +{"d:Title": "Hands On Crafts", "d:Description": "Interactive pages where children can learn about pottery, weaving, quilting, and basketry techniques and traditions. Includes a \"road trip\" through North Carolina.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.handsoncrafts.org/"} +{"d:Title": "The Flintknapper", "d:Description": "Danny Roush offers workshops and demonstrations on how to handcraft tools and weapons of stone and bone.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.angelfire.com/oh4/theflintknapper/"} +{"d:Title": "Donna's Country Crafts", "d:Description": "Offers directions for various projects including a wreath, birdhouse, apple stuff, and shelves.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.angelfire.com/ky2/donnascountrycrafts/"} +{"d:Title": "Creative Habitat", "d:Description": "An online craft resource that allows you to explore your creativity, create innovative craft projects, learn about craft products and discover new crafting techniques.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.creativehabitatvt.com/"} +{"d:Title": "Cut Out + Keep", "d:Description": "Provides step by step instructions for craft projects.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.cutoutandkeep.net/"} +{"d:Title": "Vintage Image Craft", "d:Description": "Holiday and decorative craft and scrapbooking projects, with illustrated instructions, free image downloads, techniques tutorials, and a craft glossary.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.vintageimagecraft.com/"} +{"d:Title": "Craft Ideas", "d:Description": "Illustrated craft ideas and tutorials are categorized by holiday and season, material (such as jewelry, fabric, paper and clay), and technique.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.craftideas.info/"} +{"d:Title": "Craft Elf", "d:Description": "Free instructions, ideas and patterns for many craft projects, including kids' crafts, fabric crafts, and Christmas and other holiday projects.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.craftelf.com/"} +{"d:Title": "Do It Yourself Gifts", "d:Description": "Handcrafted gifts ideas as instructions for all gift-giving occasions.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.do-it-yourself-gifts.com/"} +{"d:Title": "eCraft Classes", "d:Description": "Downloadable and online tutorials for purchase and for free, covering all kinds of craft projects.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.ecraftclasses.com/"} +{"d:Title": "Zoe Gertner", "d:Description": "Wood carving courses for all ages and abilities taught by woodcarver and tutor in Devon, UK.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.zoegertner.co.uk/"} +{"d:Title": "Fun In The Making", "d:Description": "Instructions and photos for making \u201cgreen\u201d crafts using recycled or renewable materials, and ideas for sustainable living.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.funinthemaking.net/"} +{"d:Title": "Things to Make and Do", "d:Description": "Offers free craft ideas and projects for all ages with photographs and full instructions.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.things-to-make-and-do.co.uk/"} +{"d:Title": "FaveCrafts", "d:Description": "Free craft projects, home decor ideas and DIY tips, as well as gift ideas for Christmas, Valentine's Day, Weddings, Halloween, Easter and Thanksgiving for kids and adults.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.favecrafts.com/"} +{"d:Title": "When Creativity Knocks", "d:Description": "Video crafting tutorials with designers Ana and Megan Araujo responding to viewer questions.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.whencreativityknocks.com/"} +{"d:Title": "Art at Home Studio", "d:Description": "Arts and crafts ideas and project tutorials, with classes based in Singapore.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.artathomestudio.com/index.html"} +{"d:Title": "The Salon of Art", "d:Description": "Fine art school, located in San Diego, Calfironia, provides class instruction in classical oil painting, workshops, corporate events, gallery excursions and private instruction.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.thesalonofart.com/"} +{"d:Title": "Try A Course", "d:Description": "Classes in pottery, kiln-formed glass, and woodturning.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.tryacourse.co.uk/"} +{"d:Title": "Craft At Home", "d:Description": "Photos and instructions for many free craft projects suitable for children and adults.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.craftathome.com/"} +{"d:Title": "Crafty Corner", "d:Description": "A South African based listing of general craft advertisers, projects and recycling ideas.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.craftycorner.co.za/"} +{"d:Title": "Fletcher Farm School", "d:Description": "Offering courses in pottery, fiber arts, stained glass, photography and decorative arts at the school location in Ludlow, Vermont.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.fletcherfarm.org/"} +{"d:Title": "Do Crafts", "d:Description": "A gallery of arts and crafts projects with step by step instructions. Each project is categorized by ability level, duration and also has photographs.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.docrafts.com/"} +{"d:Title": "AOK Corral: Project Library", "d:Description": "Offer instructions for a variety of craft projects.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.aokcorral.com/projects/"} +{"d:Title": "Craftown.com", "d:Description": "Crafting resource includes patterns, projects, articles and lessons.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.craftown.com/"} +{"d:Title": "AuntAnnie.com", "d:Description": "Craft projects for the entire family with illustrated step-by-step instructions, patterns to print and downloads. Regular special features include Puppets Around the World, the Card Making Class and Friday Fun for families.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.auntannie.com/"} +{"d:Title": "AOK Corral", "d:Description": "Craft how-to projects and patterns pages.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.aokcorral.com/"} +{"d:Title": "Craft Guild of Dallas", "d:Description": "Offers classes and workshops for artists and crafters in the Dallas, Texas, area interested in pottery, ceramics, bookbinding, jewelry making, photography and mixed media forms of art.", "topic": "Top/Arts/Crafts/Classes_and_Projects", "url": "http://www.craftguildofdallas.org/"} +{"d:Title": "Arts and Crafts Business", "d:Description": "Craft chat room, BBS, links, and biweekly features regarding craft businesses.", "topic": "Top/Arts/Crafts/Craft_Swaps", "url": "http://artsandcrafts.about.com/"} +{"d:Title": "KnitCroSew Forum", "d:Description": "Message board to exchange patterns, ideas, information, sources, and links.", "topic": "Top/Arts/Crafts/Craft_Swaps", "url": "http://www.voy.com/64475/"} +{"d:Title": "Craftster.org - Organized Swaps", "d:Description": "A forum that provides tips for swaps, joining, and how to propose new swaps. Includes contact information for swap moderators.", "topic": "Top/Arts/Crafts/Craft_Swaps", "url": "http://www.craftster.org/swapsinfo.html"} +{"d:Title": "Happy the Artist", "d:Description": "Artist, Happy Kahn, specializes in bright, colorful, fun-filled, whimsical mural themes for children. Gallery includes: hospitals, doctor offices, child care centers, schools, and restaurants.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.happytheartist.com/"} +{"d:Title": "Tole Expressions", "d:Description": "Decorative painting designs, free painting pattern packets, links to suppliers of: paints, media, brushes, wood products, books, tutorials and instruction. Also, various ideas, artistic inspiration, and discussion groups.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.tole-expressions.com/"} +{"d:Title": "Scottie's Bavarian Folk Art", "d:Description": "Bauernmalerei European folk painting. How to books, videos, pattern packets, plus supplies.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.bavarianfolkart.com/"} +{"d:Title": "One Stroke Painting in Arizona", "d:Description": "A style of painting sweeping the decorative painting world. Class schedules, supply catalogs and samples are presented.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.onestroke.net/"} +{"d:Title": "ToleTown: A Virtual Painting Community", "d:Description": "Featuring online decorative painting classes, self-study, a resource library, plus the E-Zine CyberPalette.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.toletown.com/"} +{"d:Title": "Carolina Paints", "d:Description": "One Stroke decorative painting articles and tips, gallery, class schedules, plus additional information by artist Crystal Short.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.carolinapaints.com/"} +{"d:Title": "Artezan.com", "d:Description": "Guide to decorative painting, folk art and tole painting. Definitions, history, techniques, styles and how-tos.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.artezan.com/"} +{"d:Title": "Penny Stewart - The Cat Lady", "d:Description": "Cat rocks, plus Tole and Decorative paintings by the artist.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://craftylady.com/ps11/catrocks.htm"} +{"d:Title": "paddylane", "d:Description": "Anna paints decorative stones in the shape of miniature houses plus other handicrafts are presented.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://web.tiscali.it/paddylane/"} +{"d:Title": "Robert Warren's Art Loft", "d:Description": "From landscapes to classic portraits, Robert makes complex subjects easy to understand, analyze, and paint in a very relaxed atmosphere. Teaches numerous seminars and classes in various sectors of painting with a speciality in oils.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.robertwarrenartloft.com/"} +{"d:Title": "ArtMaxine", "d:Description": "Artist Maxine Stahl of Missoula, Montana, presents custom commissions of colorful folk art, primarily on furniture.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.artmaxine.com/"} +{"d:Title": "Maureen McNaughton Enterprises", "d:Description": "Maureen's stroke work is internationally recognized. Instructions through both classes and publications make even complex techniques, easy to understand. Pages showcases packets, videos, brushes, and seminars.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.maureenmcnaughton.com/"} +{"d:Title": "MorningGloryArt Decorative Painting", "d:Description": "Art studio located in Western Pennsylvania specializing in teaching decorative arts, with an emphasis on acrylic and oil painting.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.morninggloryart.com/"} +{"d:Title": "One Stroke Painting UK", "d:Description": "UK Site dedicated to the onestroke decorative painting method of Donna Dewberry. Online shop offers Folk Art books, videos, paints, brushes, plus classes and a gallery.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.onestrokepainting.co.uk/"} +{"d:Title": "Pumpkin Guy", "d:Description": "Display of painting on pumpkins.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.pumpkin-guy.com/"} +{"d:Title": "All About Stencils", "d:Description": "It does not matter if you have never used stencils. No problem! You'll find basics to tips for detailing. Site is for anyone who enjoys decorative painting!", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.all-about-stencils.com/"} +{"d:Title": "Tole and Decorative Painting Studio", "d:Description": "Teaching decorative painting studio focused on technique as a means for self-expression. Some free tips and projects.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.earmark-decorative-painting-studio.com/"} +{"d:Title": "Pagan, Luca", "d:Description": "Italian airbrush artist painting realistic images on canvas, Plexiglas, objects and human bodies. Includes biography and portfolio.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.lucapagan.com/"} +{"d:Title": "Creme de la Curry", "d:Description": "Custom hand-painted bells of various types. Includes photo galleries and fair schedule.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://www.cremedelacurry.com/"} +{"d:Title": "Walls by Wilson", "d:Description": "Sue Wilson's portfolio of hand painted ornaments, pen and ink drawings, murals and calligraphy.", "topic": "Top/Arts/Crafts/Decorative_Painting", "url": "http://wallsbywilson.com/"} +{"d:Title": "Society of Decorative Painters (SDP)", "d:Description": "International organization to promote decorative and tole painting. Offers national conventions, local chapters, certification programs, and \"The Decorative Painter\" magazine.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.decorativepainters.org/"} +{"d:Title": "Beach Art Center", "d:Description": "A non-profit Art Center promoting visual arts. Located on Florida's West Coast (Indian Rocks Beach) offering: Classes (watercolor, acrylic, oil, sumi-e, drawing, and pottery); Galleries; Shows; and Memberships.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://beachartcenter.org/"} +{"d:Title": "Nation's Capitol Chapter of Society of Decorative Painters", "d:Description": "Meetings in Northern Virginia and Maryland. Offering membership information, teaching calendar, seminars, and local activities.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.nccpainters.com/"} +{"d:Title": "International Decorative Artisans League", "d:Description": "International association of artisans, businesses and educators.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.decorativeartisans.org/"} +{"d:Title": "Heart of Ohio Tole (HOOT)", "d:Description": "Central Ohio Chapter of SDP offering Decorative and Tole Painting: Memberships, Monthly Programs, Seminars, Philanthropic Projects, an annual August Convention in Columbus, OH.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.heartofohiotole.org/"} +{"d:Title": "Milngavie Art Club", "d:Description": "An amateur club for painting, pottery and embroidery, oils, acrylics, watercolors, with demonstrations, presentations and outings.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.milngavieart.org/"} +{"d:Title": "MADP - Montreal Area Decorative Painters", "d:Description": "Guild with a goal to promote decorative painting. Includes history, tips and techniques, directions, image gallery, and details of membership, workshops, seminars, community projects and their annual exhibition and sale.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.madp.ca/"} +{"d:Title": "Fiesta City Decorative Painters", "d:Description": "Santa Barbara/Goleta California Chapter of the National Society of Decorative Painters.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.fiestacitydecorativepainters.com/"} +{"d:Title": "Rutland Decorative and Fine Arts Society", "d:Description": "Social group for people interested in art, design, sculpture, fine arts, culture. Society runs visits, tours and monthly lectures.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.rutlanddfas.co.uk/"} +{"d:Title": "Leicester Decorative&Fine Arts Society", "d:Description": "Social group for people interested in art, design, sculpture, fine arts, culture.", "topic": "Top/Arts/Crafts/Decorative_Painting/Associations", "url": "http://www.leicesterdfas.org.uk/"} +{"d:Title": "Crichtons Craft", "d:Description": "Australian artist Gwen Crichton presents: gallery, free patterns, articles, and hints. Classes in decorative painting, decoupage, paper tole, plus pattern packets, wooden shapes, and books are available.", "topic": "Top/Arts/Crafts/Decorative_Painting/Australian", "url": "http://crichtonscraft.com.au/"} +{"d:Title": "Art - Hand Painted Cushion Covers", "d:Description": "Hand Painted Cushion Covers and Wall Hangers.", "topic": "Top/Arts/Crafts/Decorative_Painting/Australian", "url": "http://www.biznetwise.com/art/"} +{"d:Title": "Donna's Art", "d:Description": "Original designs from Australian Artist Donna Gilbertson. Decorative Art Pattern Packs featuring Teddies, Scarecrows, Clowns Furry animals, Fantasy creatures, Birds, Gliblins and the Gliblin stories.", "topic": "Top/Arts/Crafts/Decorative_Painting/Australian", "url": "http://www.artbydonnagilbertson.com/"} +{"d:Title": "Society of Folk&Decorative Artists of Victoria", "d:Description": "Group of folk and decorative artists who meet monthly for workshops, meetings, charity painting projects and education.", "topic": "Top/Arts/Crafts/Decorative_Painting/Australian", "url": "http://www.sfdav.com.au/"} +{"d:Title": "Painting with Carol Swan", "d:Description": "Techniques, tips and tricks for watercolours and acrylics from Sydney-based artist, Carol Swan.", "topic": "Top/Arts/Crafts/Decorative_Painting/Australian", "url": "http://www.carolswan.net/"} +{"d:Title": "Stone Menagerie", "d:Description": "Artist, Lin Wellford paints rocks to resemble animals, houses, cottages, and flowers. Presents books and videos for decorative artists painting of animals and flowers onto rocks.", "topic": "Top/Arts/Crafts/Decorative_Painting/Magazines_and_E-zines", "url": "http://linwellford.com/"} +{"d:Title": "Painting Books.com", "d:Description": "Susan Scheewe Publications has published over 500 painting books in the past 27 years. Site presents recent titles.", "topic": "Top/Arts/Crafts/Decorative_Painting/Magazines_and_E-zines", "url": "http://www.painting-books.com/"} +{"d:Title": "Decorative Painter", "d:Description": "The Society of Decorative Painters (SDP) magazine features leading decorative and tole painters using diverse mediums and techniques.", "topic": "Top/Arts/Crafts/Decorative_Painting/Magazines_and_E-zines", "url": "http://www.decorativepainters.org/"} +{"d:Title": "Australian Craft Network", "d:Description": "Directory of craftspeople, galleries, suppliers and related businesses.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.auscraftnet.com.au/"} +{"d:Title": "CraftPOP.com", "d:Description": "Categorized and rated links directory of arts and crafts, hobbies, and other DIY resources.", "topic": "Top/Arts/Crafts/Directories", "url": "http://craftpop.com/"} +{"d:Title": "Crafts Directory", "d:Description": "Categorized listings of crafts sites.", "topic": "Top/Arts/Crafts/Directories", "url": "http://recsites.com/html/Crafts/"} +{"d:Title": "Master Artisan", "d:Description": "Offers a global directory of artisans and craftsmen, related businesses and suppliers.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.masterartisan.com/"} +{"d:Title": "Patternlinks", "d:Description": "A search engine of links to free knitting and crochet patterns on the web.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.patternlinks.com/"} +{"d:Title": "Stefan's Florilegium - Crafts", "d:Description": "Information on the origins and uses of various crafts. Provides a collection of text files from the Society for Creative Anachronism.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.florilegium.org/files/CRAFTS/idxcrafts.html"} +{"d:Title": "Free Craft Stuff", "d:Description": "A directory of sites with free content for use by crafters; designs, graphics, patterns, software and instructions.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.freecraftstuff.co.uk/"} +{"d:Title": "Allcrafts", "d:Description": "Product guides and free patterns for all types of crafts, from sewing to rubber stamps to flower arranging to doll making.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.allcrafts.net/"} +{"d:Title": "FreeNeedle", "d:Description": "Directory of free sewing patterns, projects and tutorials that are available on the Internet.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.freeneedle.com/"} +{"d:Title": "Bluebonnet Village Craft Network", "d:Description": "Provides reviews on new craft books, products, suppliers, free craft projects and doll club listings.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.bluebonnetvillage.com/"} +{"d:Title": "Crafts HQ", "d:Description": "Links to Australian suppliers in categories such as cake decorating, knitting and crochet, and mosaics. Also supplies instructional articles on topics such as card making and embroidery.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.craftshq.com.au/"} +{"d:Title": "Handmade HQ", "d:Description": "Australian based. Links categories include retail stores, supplies and hand-made products. Also has a blog and a collection of recipes.", "topic": "Top/Arts/Crafts/Directories", "url": "http://www.handmadehq.com.au/"} +{"d:Title": "ODACA-Original Doll Artist Council of America", "d:Description": "ODACA is an organization of doll sculptors creating original dolls. Artist membership is awarded based solely on the quality of the history of the artists' original doll work.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.odaca.org/"} +{"d:Title": "Strange Dolls", "d:Description": "Strange and disturbing dolls' gallery of hans bellmer.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.angelfire.com/in2/belmer/"} +{"d:Title": "Bob Cunningham's Little People", "d:Description": "Fantasy, one-of-a-kind art-doll sculptures by Canadian doll artist Bob Cunningham.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://home.golden.net/~fantasy/"} +{"d:Title": "Arensbak Trolls", "d:Description": "Handmade troll and gnome dolls, including information about trolls, family history, FAQs and a list of shops where the dolls can be purchased.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.trolls.com/"} +{"d:Title": "Nicol Sayre", "d:Description": "Featuring Nicol Sayre 18th Century style handmade folkdolls, candy containers and pincushions. Includes list of retailers who carry her work.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://nicolsayre.com/"} +{"d:Title": "Chris Miller Dolls", "d:Description": "Featuring porcelain dolls and clothing outfits by Chris Miller.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://chrismillerdolls.com/"} +{"d:Title": "Cloth Doll Connection", "d:Description": "Offers classes and a shopping directory for cloth doll makers with links to designers, free patterns, supplies, classes, doll events and doll clubs.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.clothdollconnection.com/"} +{"d:Title": "Hawk Mountain Armory", "d:Description": "Featuring Native American and Cabbage Patch doll clothing and accessories.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.angelfire.com/biz/HMA/"} +{"d:Title": "Susan's Nest", "d:Description": "Porcelain designer dolls in small series, Porcelain portrait dolls made from photos, some dressed sculptures and mixed media figures.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.susansnest.com/"} +{"d:Title": "Dolls&Stuffed Animals by Natasha Fadeeva", "d:Description": "A photo gallery of cloth dolls, mice, cats, bears, bunnies and other stuffed toys, plus photographs and watercolors created by Natasha Fadeeva.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://fadeeva.com/"} +{"d:Title": "De Poppenstee", "d:Description": "Original dolls created by Bets van Boxel.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.poppenstee.nl/"} +{"d:Title": "African American Heritage Dolls", "d:Description": "African American dolls by JoAnn Bernard depicting life in the 1800's, inspired by sketches of that era.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.southernelegance.net/"} +{"d:Title": "Dollhouse Noah's Gallery", "d:Description": "Japanese artistic dolls, ball jointed. They are lovely little girls in Kimonos. Site in English, takes time to load initially.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www2d.biglobe.ne.jp/~dhnoah/indexb.htm"} +{"d:Title": "Contemporary Doll Collector Magazine", "d:Description": "Offers subscription to magazine featuring doll artists, how to collect, where to buy, restoring dolls and display ideas.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.scottpublications.com/cdcmag/"} +{"d:Title": "Soft Dolls&Animals! Magazine", "d:Description": "Offering subscription to bimonthly magazine, how-to projects for all levels of skill, techniques, artist profiles and patterns.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.scottpublications.com/sdamag/"} +{"d:Title": "Ugly Art", "d:Description": "Surreal,Gothic and Satanic Dolls and art work.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.uglyart.net/"} +{"d:Title": "Boy Dolls", "d:Description": "Gallery of handmade cloth dolls by a Japanese doll artist, Runo, some depicting anime characters. Includes free patterns, guest doll gallery and message boards for support.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://dolls.nunodoll.com/"} +{"d:Title": "The Shuppy Shoppe - Art of Dolls", "d:Description": "Porcelain dolls created by Sue Shuppy. Includes artist biography and gallery.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://mypages.allwest.com/~jshuppy/"} +{"d:Title": "Katjushchik's Gallery", "d:Description": "Gallery of hand carved wooden dolls. Includes links and information on the collection.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://man.khakassia.ru/eng/dolls/"} +{"d:Title": "Flickr: Art Dolls", "d:Description": "Image gallery and forum for people who create art dolls and like to share their inspiration with other art doll artists.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.flickr.com/groups/41506416@N00/"} +{"d:Title": "Handmade By Venus", "d:Description": "A doll maker's journal and photos. Describes technique, fabric selection and inspiration.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.hmbv.blogspot.com/"} +{"d:Title": "Marlaine Verhelst", "d:Description": "Gallery of fantasy dolls and exhibition calendar.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.marlaineverhelst.com/"} +{"d:Title": "Hedgehog Coloring Costumes", "d:Description": "Jan Brett's paperdolls to print. Includes outfits to dress the characters including hiking, ballerina, fireman, cowgirl, and cowboy. Uses PDF Reader.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.janbrett.com/costumes_main_choice.htm"} +{"d:Title": "Royal Paper Dolls", "d:Description": "Colorful historical paper dolls, with detailed biographies of King Henry VIII and his six wives.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://www.royalpaperdolls.com/"} +{"d:Title": "Doll Artisan Guild", "d:Description": "A worldwide membership organization dedicated to porcelain doll making. Site features membership information, events, seminars, supplies and techniques. Pensacola, FL.", "topic": "Top/Arts/Crafts/Doll_Making", "url": "http://dollsbeautiful.com/"} +{"d:Title": "Kimekomi and Japanese Crafts", "d:Description": "Kimekomi and Japanese crafts: dolls from Japan, Japanese dollmaking and crafts.", "topic": "Top/Arts/Crafts/Doll_Making/Classes_and_Projects", "url": "http://www.japanesedollsandcrafts.com/"} +{"d:Title": "Johnston Original Art Dolls", "d:Description": "Offers a three day doll sculpting seminar each week at different locations around the nation.", "topic": "Top/Arts/Crafts/Doll_Making/Classes_and_Projects", "url": "http://www.artdolls.com/"} +{"d:Title": "Shar's Beauties", "d:Description": "One-of-a-Kind 11 1/2\" Fashion Doll Makeovers.", "topic": "Top/Arts/Crafts/Doll_Making/Costuming_and_Fabrics", "url": "http://mslawson.tripod.com/"} +{"d:Title": "History of the Doll", "d:Description": "The doll's history traced back by historians to religious rites of primitive societies. Sewing doll clothes with free blouse and skirt patterns. Instructions included for the 11 1/2\" size fashion doll .", "topic": "Top/Arts/Crafts/Doll_Making/Costuming_and_Fabrics", "url": "http://chip-smitley.tripod.com/historyofthedoll.htm"} +{"d:Title": "Mother Nature", "d:Description": "Young maiden wearing a chiffon toga. On a page of other items from the Free Craft Newsletter.", "topic": "Top/Arts/Crafts/Doll_Making/Patterns", "url": "http://wwvisions.com/newsletter/mar_apr98/"} +{"d:Title": "Little Love Cherub", "d:Description": "Pattern for jointed doll with tiny wings.", "topic": "Top/Arts/Crafts/Doll_Making/Patterns", "url": "http://thedollnet.com/stitchnsplinter/free/littlelove_cherub.htm"} +{"d:Title": "Callista: Poseable Cloth Fairy", "d:Description": "Pattern includes skirt, bodice, and ballet slippers.", "topic": "Top/Arts/Crafts/Doll_Making/Patterns", "url": "http://dollmakersjourney.com/callista/index.html"} +{"d:Title": "Enamelist", "d:Description": "A look at enameling glass onto metal, through the work of artist Averill Shepps.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.enamelist.com/"} +{"d:Title": "Society of Dutch Enamellers", "d:Description": "Informative articles about enamelling and special techniques, book reviews, international list of suppliers, art gallery, diary of exhibitions, question and answer section, and an eNewsletter.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.enamellers.nl/"} +{"d:Title": "Plique-a-Jour Enamels", "d:Description": "Enamel works and brief description of the plique-a-jour enameling technique. This is the style reminiscent of Art Nouveau and which resembles miniature stained glass.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.plique-a-jour.com/"} +{"d:Title": "The Enamelist Society, Inc.", "d:Description": "A volunteer arts organization founded in 1987 for the purpose of promoting the art of enameling.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.enamelistsociety.org/"} +{"d:Title": "Ricky Frank Enamels", "d:Description": "Displays gold and silver cloisonne jewelry decorated with vitreous enamel. Includes information on technique, show listings, and new work.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.rickyfrank.com/"} +{"d:Title": "Margot Page - Enameling on Steel", "d:Description": "Custom work mounted on a variety of media including vases, glass blocks, plates, canisters and jewelry. Designs include exotica of far away places.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.margotpage.com/"} +{"d:Title": "Glass On Metal - The Enamelist's Magazine", "d:Description": "Dedicated to enamels and enameling. A large compendium of techniques, ideas, research and technology, history, news and resources on vitreous enamel.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.glass-on-metal.com/"} +{"d:Title": "Northern California Enamel Guild", "d:Description": "Group active in promoting the art of enameling. Hosts conference, workshops, and displays examples of member's work.", "topic": "Top/Arts/Crafts/Enameling", "url": "http://www.enamelguild.org/"} +{"d:Title": "American Craft Council", "d:Description": "Organization's listings of wholesale and retail events. Also information on the council.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.craftcouncil.org/"} +{"d:Title": "Castleberry Fairs and Festivals", "d:Description": "Craft events in New Hampshire and Massachusetts.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.castleberryfairs.com/"} +{"d:Title": "Artrider Productions", "d:Description": "Listing of producer's upcoming shows in New York and New Jersey. Includes general and contact information about the shows.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.artrider.com/"} +{"d:Title": "Sugarloaf Craft Festivals", "d:Description": "Promoters of juried shows in Maryland, Virginia, New Jersey, Pennsylvania, Michigan, Georgia, and Washington, DC. Includes links to shows with detailed information.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.sugarloafcrafts.com/"} +{"d:Title": "Mike and Pat's Craft Fairs", "d:Description": "Juried shows in Massachusetts, Rhode Island, Connecticut, and Vermont. Includes schedules and details for upcoming events.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.newenglandcraftshows.com/"} +{"d:Title": "Events Management Group", "d:Description": "Producers of juried fine art and craft shows in the Mid-Atlantic region. Includes schedules and general exhibitor information.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.emgshows.com/"} +{"d:Title": "New England Craft Fairs", "d:Description": "Juried craft fairs shows festivals. Includes schedules and details for upcoming events and online craft shows.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.newenglandcraftfairs.com/"} +{"d:Title": "Art Fair Calendar", "d:Description": "Free art fair events directory listings about fine art shows in Michigan, Florida, Illinois, and Ohio.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.artfaircalendar.com/"} +{"d:Title": "Art and Soul Retreat", "d:Description": "Fiber, paper, and fabric arts and beading retreats and workshops. Dates and location vary but include California, Oregon, Texas, Virginia and Italy.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.artandsoulretreat.com/"} +{"d:Title": "Shipshewana On The Road", "d:Description": "Promoter for events in Michigan, Indiana, and Ohio. Listing of show dates for upcoming shows.", "topic": "Top/Arts/Crafts/Events", "url": "http://www.shipshewanaontheroad.com/"} +{"d:Title": "Quilt, Craft&Sewing Festivals", "d:Description": "Lists upcoming events in several states. Publishes flyers with details such as admission times and parking.", "topic": "Top/Arts/Crafts/Events", "url": "http://quiltcraftsew.com/"} +{"d:Title": "Artflower Mania", "d:Description": "Gallery includes corsage and wedding flowers, interior arrangements, and potted and individual stems.", "topic": "Top/Arts/Crafts/Flowers/Artificial_and_Silk", "url": "http://welcome.artflower.pe.kr/"} +{"d:Title": "Florals By Paula", "d:Description": "Elegant silk floral designs in many styles.", "topic": "Top/Arts/Crafts/Flowers/Artificial_and_Silk", "url": "http://www.angelfire.com/oh4/floralsbypaula/"} +{"d:Title": "Twistart", "d:Description": "Instructions using twisted paper for creating flowers, napkin rings, candle wreaths and various other decorative arrangements. With image galleries and step by step guides.", "topic": "Top/Arts/Crafts/Flowers/Artificial_and_Silk", "url": "http://www.artefloreale.com/twistart/english.htm"} +{"d:Title": "Angel's Accents", "d:Description": "Features galleries of custom-made silk floral wreaths, swags, tear drops, centerpieces, and bridal arrangements.", "topic": "Top/Arts/Crafts/Flowers/Artificial_and_Silk", "url": "http://www.angelsaccents.com/"} +{"d:Title": "McCann's Lasting Treasures", "d:Description": "Image gallery of custom bouquets, arrangements and wreaths from a Pennsylvania-based designer.", "topic": "Top/Arts/Crafts/Flowers/Artificial_and_Silk", "url": "http://lastingtreasures.4t.com/"} +{"d:Title": "Bonnies Dried And Silk Floral Arrangements", "d:Description": "Creates custom wreaths and basket designs.", "topic": "Top/Arts/Crafts/Flowers/Artificial_and_Silk", "url": "http://bonniereynolds20050.tripod.com/"} +{"d:Title": "Visions Of Silk", "d:Description": "Features permanent flower wedding bouquets by Peta D'Silva. Includes step-by-step instructions to make a silk flower posy.", "topic": "Top/Arts/Crafts/Flowers/Artificial_and_Silk", "url": "http://www.visionsofsilk.com/"} +{"d:Title": "Dried Flower Talk", "d:Description": "A community forum of flower enthusiasts, novice to expert, sharing experiences, knowledge and drying expertise. Topics include harvesting, drying, and using dried materials.", "topic": "Top/Arts/Crafts/Flowers/Chats_and_Forums", "url": "http://www.dried-flowers-galore.com/forums/index.php"} +{"d:Title": "Dried&Pressed Flowers", "d:Description": "A forum for the discussion of topics relating to drying and pressing flowers.", "topic": "Top/Arts/Crafts/Flowers/Chats_and_Forums", "url": "http://forums.gardenweb.com/forums/dried/"} +{"d:Title": "Garden&Flower Crafts", "d:Description": "Discussion threads of garden and flower crafts, such as flower arranging and floral crafting ideas.", "topic": "Top/Arts/Crafts/Flowers/Chats_and_Forums", "url": "http://forums.gardenweb.com/forums/crafts/nph-ind.cgi"} +{"d:Title": "Tuscany Flowers", "d:Description": "Florence, Italy studio specializing in bouquets and decor for weddings and business events. Includes image galleries. [English, Italian]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.tuscanyflowers.com/"} +{"d:Title": "Gregor Lersch", "d:Description": "Describes his design philosophy and includes information on seminars, workshops, authored books and his studio.[English, German]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.gregorlersch.de/"} +{"d:Title": "Floral Artist.com", "d:Description": "Features image galleries of the dried and preserved floral designs and wedding bouquets of Esther Davis. Includes information about her background, media appearances, workshops, lectures and book.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.floralartist.com/"} +{"d:Title": "Barbra Scott", "d:Description": "Portfolio of classic and contemporary designs created with dried and preserved floral products. Includes examples for residential and commercial locations.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.barbrascott.com/"} +{"d:Title": "Pauline Runkle's Floral Artistry", "d:Description": "Includes a biography and image galleries of her garden-inspired designs and details lectures, national awards and press appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.paulinesbouquets.com/"} +{"d:Title": "Blooming Beautiful", "d:Description": "Image galleries of bouquets and decor for weddings, hotels and special events created by Australian designer Alice Clark.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.bloomingbeautiful.net.au/"} +{"d:Title": "Daniel Ost", "d:Description": "Features image galleries of his contemporary floral and garden designs. Includes school and studio information and book excerpts.[English, Dutch, French]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.danielost.be/"} +{"d:Title": "Bea Schriver Florals", "d:Description": "Creates custom fresh and permanent arrangements for weddings, events and home interiors. Based in Wilton, Connecticut.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.beaschriverflorals.com/"} +{"d:Title": "Arena's", "d:Description": "Portfolio of unique and contemporary fresh and permanent custom arrangements by Charles Arena. Includes artist's background.[Flash]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.arenasflorist.com/"} +{"d:Title": "Jane Packer", "d:Description": "Noted British floral designer, author and teacher with schools in London, New York and Tokyo. Includes news releases and details design courses offered.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.jane-packer.co.uk/"} +{"d:Title": "Rene van Rems", "d:Description": "Noted floral artist, educator and speaker specializing in European-influenced styles. Includes profile and current seminar schedule.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.renevanrems.com/"} +{"d:Title": "Charlotte Design Flower Boutique", "d:Description": "Boston area studio of Yvette Viard specializing in French floral design styles. Includes portfolio of bouquets, wreaths, decor and sympathy tributes.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.charlotte-design.com/"} +{"d:Title": "Okasie", "d:Description": "Studio based in South Africa specializing in contemporary style custom design services, workshops and demonstrations. Features image gallery and lists events and appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.okasie.co.za/"} +{"d:Title": "The Monarch Florists", "d:Description": "Portfolio of contemporary designs and wedding decor created by this Toronto, Ontario studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.themonarchflorists.com/"} +{"d:Title": "Pollen", "d:Description": "Features the floral designs of Atlanta-based husband and wife team, Bonnie Garrison and Chris Condon. Includes image galleries and press appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.pollenatlanta.com/"} +{"d:Title": "Michael George", "d:Description": "New York City floral artist specializing in contemporary monofloral styles. Includes press appearances and image galleries. [Flash]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.michaelgeorgecustomfloral.com/"} +{"d:Title": "Relli's Flowers", "d:Description": "Portfolio of contemporary designs and wedding bouquets created by a San Francisco, California area floral designer.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.rellisflowers.com/"} +{"d:Title": "Creative Works (UK) Ltd", "d:Description": "Features profile, wedding and event portfolio, floral design classes and special appearances of Surrey-based artisan Cindy Kirkland.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.ckworks.net/"} +{"d:Title": "In Bloom Inc.", "d:Description": "Extensive image galleries of opulent and contemporary designs created by Houston-based artisan Scott McCool. Includes wedding and event decor.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.inbloominc.com/"} +{"d:Title": "Carole Smith", "d:Description": "Freelance floral designer specializing in custom arranging for weddings, corporate display, home decor and in sympathy tribute. Based in the Hertfordshire, UK.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.carolesmith.co.uk/"} +{"d:Title": "Mille Fiori", "d:Description": "Portfolio of arrangements for gift-giving, weddings and special events created by Dutch floral design artist Rene Hofstede. Includes press appearances. Based in New York City.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.millefioriflowers.com/"} +{"d:Title": "Nakayama International Floral Design", "d:Description": "Studios in The Hague, Netherlands and Tokyo, Japan specializing in contemporary styles of both fresh and dried botanical materials. Includes portfolio, images of design demonstrations.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.nakayama.nl/"} +{"d:Title": "Evolution Floristry", "d:Description": "Floral arrangements, workshops and demonstrations by UK-based designer David Martin, NDSF, FSF. Features profile, appearance schedule and image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://evolution-floristry.co.uk/"} +{"d:Title": "Larkspur", "d:Description": "Chicago studio of floral designer Beth Barrnett. Features portfolio of wedding, commercial and gift-giving arrangements.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.larkspurchicago.com/"} +{"d:Title": "Tomas de Bruyne", "d:Description": "Belgian national design champion and World Cup finalist. Features profile, floral school, portfolio, and book excerpts. [Dutch, English, French]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.tomasdebruyne.com/"} +{"d:Title": "Per Benjamin", "d:Description": "Swedish national champion and World Cup winning floral artist. Features biography, company profile, image gallery and essay about creative design. [English, Swedish]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.perbenjamin.com/"} +{"d:Title": "Life3", "d:Description": "European floral design team of Tomas De Bruyne, Max van de Sluis and Per Benjamin. Features seminars, workshops, demonstrations, consulting services, books and image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.life3.net/"} +{"d:Title": "Babylon", "d:Description": "Denver, Colorado-based custom floral design and enviroscaping studio specializing in Asian and modern designs. Portfolio organized by season and occasion.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.babylonfloral.com/"} +{"d:Title": "Herv\u00e9 Gambs", "d:Description": "Parisian-based designer of contemporary floral arrangements, permanent flowers, containers, home accessories and perfumes with shops in France and Japan. Profile, image galleries, press. [English, French]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.hervegambs.com/"} +{"d:Title": "Paul Robertson Floral Design", "d:Description": "San Francisco-based custom floral designer. Profile, portfolio, client list.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.prfloraldesign.com/"} +{"d:Title": "Brad Larsen Florals", "d:Description": "Full-service florist and design studio with locations in Pasadena and Carlsbad, CA. Portfolio of fresh, silk and live plant designs.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.bradlarsenflorals.com/"} +{"d:Title": "Martiros, Alina", "d:Description": "Portfolio of freelance visual artist, producer, stylist, decorator, writer, activist filmmaker, colour consultant, seeker idealist and blogger.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://alinamartiros.com/"} +{"d:Title": "Posh Petals", "d:Description": "Indianapolis, Indiana design studio of Erika White and Meredith Moynahan specializing in contemporary florals for everyday occasions, weddings and events. Portfolio, press appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://poshpetals.org/"} +{"d:Title": "Donald Yim", "d:Description": "Vancouver, BC based floral designer specializing in contemporary styles. Includes profile, portfolio and lists awards and recognitions.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.donaldyim.com/"} +{"d:Title": "Mark Pampling", "d:Description": "Floral design portfolio, profile, news and contact information for the noted Australian World Cup competitor, teacher and lecturer.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.markpampling.com/"} +{"d:Title": "Hillary Miles Flowers Limited", "d:Description": "Vancouver, BC-based floral designer and set decorator specializing in contemporary styles. Includes profile, blog and image galleries of flowers for weddings, events, holidays and everyday occasions.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.hilarymiles.com/"} +{"d:Title": "Blomster Designs", "d:Description": "Features contemporary styles of artist Russell New. Includes portfolio and information on floral arranging classes.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios", "url": "http://www.blomsterdesigns.co.uk/"} +{"d:Title": "Charlotte Design", "d:Description": "Elegant European floral designs for weddings and special occasions. French, victorian and romantic designs.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.charlotte-design.com/"} +{"d:Title": "Something Special With Flowers", "d:Description": "Wedding florals and philosophy, bouquets and arrangements with image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.customfloralart.com/"} +{"d:Title": "Florisan Fine European Floristry", "d:Description": "Customized floristry, design and presentation for weddings, bar/bas mitzvahs, parties, or quiet evenings at home.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.florisanllc.com/"} +{"d:Title": "Carolyn Gregg Flower Design", "d:Description": "New York-based floral artist specializing in garden inspired designs. Includes image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.carolyngreggflowers.com/"} +{"d:Title": "David Beahm Design", "d:Description": "Includes portfolio, press appearances and client list of his New York-based studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.davidbeahm.com/"} +{"d:Title": "Glorimundi", "d:Description": "New York City-based floral design group. Includes image gallery. [Flash]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.glorimundi.com/"} +{"d:Title": "Joyce Murphy Custom Floral Design", "d:Description": "Describes artist's background and includes portfolio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.joycemurphyflowers.com/"} +{"d:Title": "Philippa Tarrant Floral Design", "d:Description": "Includes portfolio and background information of this Washington, DC-based contemporary floral designer.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.philippatarrant.com/"} +{"d:Title": "Wild Child", "d:Description": "Background information and portfolio of designer Patricia Poto Eastwood.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.wildchildfloraldesign.com/"} +{"d:Title": "Blue Sage Floral Designs", "d:Description": "Specializes in English garden styles. Includes portfolio and information about their wedding book.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.bluesagefloral.com/"} +{"d:Title": "Designs by David", "d:Description": "Features contemporary fresh designs and decor. Lists celebrity clients and includes portfolio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.designsbydavid.net/"} +{"d:Title": "Michelle Rago", "d:Description": "Showcases her contemporary and classic bouquets and decor. Include press appearances and portfolio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.michelleragoltd.com/"} +{"d:Title": "Belle Fleur NYC", "d:Description": "Studio of Marilyn and Meredith Waga specializing in contemporary bouquets and decor. Includes portfolio and press appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.bellefleurny.com/"} +{"d:Title": "Kim Jon Designs", "d:Description": "Includes portfolio and excerpts of her designs featured in Martha Stewart Weddings magazine.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.kimjondesigns.com/"} +{"d:Title": "Visual Lyrics", "d:Description": "Features the classic and contemporary designs of Donna, Trina, and Trisha Bennett. Includes portfolio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.visuallyrics.com/"} +{"d:Title": "Wine Country Flowers", "d:Description": "Includes portfolio of arrangements organized by themes, seasons and venues.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.winecountryflowers.com/"} +{"d:Title": "Asa Flowers", "d:Description": "Portfolio and background information of Maui-based designer Asa Igi.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.asaflowers.com/"} +{"d:Title": "Stanlee R. Gatti Designs", "d:Description": "Showcases large-scale projects and includes interactive information on elements and principles of design. [Requires Flash.]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.stanleegatti.com/"} +{"d:Title": "Tapestry", "d:Description": "Includes portfolio, virtual tour of a wedding installation, and press appearances of San Francisco-based designer Karen Axel.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.tapestryflowers.com/"} +{"d:Title": "Le Jardin Blanc", "d:Description": "Features seasonally-organized contemporary French garden-style bouquets and decor of New England artist Nancy Vargas.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.lejardinblanc.com/"} +{"d:Title": "Fleurish", "d:Description": "Portfolio and monthly feature of seasonal botanicals from the Seattle-based studio of Nisha Kelen.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.fleurish.com/"} +{"d:Title": "Celebrations", "d:Description": "Portfolio of bouquets and arrangements created by Napa-based designers Emily McLain and Billy Meng.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.celebrationsflowers.com/"} +{"d:Title": "Detta Phillips Floral Design", "d:Description": "Features classic and contemporary portfolios of bouquets, centerpieces and decor created by this London studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.dettaphillips.com/"} +{"d:Title": "Richard Elder Floral Design", "d:Description": "Showcases elegant classic and contemporary bouquets and arrangements from this United Kingdom-based studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://floraldesign.co.uk/"} +{"d:Title": "Darling Buds Florist", "d:Description": "Features large-scale decor created by their Derbyshire, United Kingdom-based studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.darling-buds.com/"} +{"d:Title": "Flowers by Marla Courtney Wood", "d:Description": "Based in Interlochen, Michigan and featuring image galleries of bouquets and floral decor for ceremonies, receptions and cakes.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flowersbymarlacourtneywood.com/"} +{"d:Title": "Floral Expressions", "d:Description": "Features image galleries of custom bouquets, chuppas, and ceremony, reception and bar mitzvah decor created by their New Jersey studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.floralexpressionsonline.com/"} +{"d:Title": "Flourish", "d:Description": "Features seasonal image galleries of bouquets and decor created by Sacramento, California designer Shannon Cosgrove-Rivas.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flourishdesigns.com/"} +{"d:Title": "Black Eyed Susies", "d:Description": "Portfolio of New Jersey-based designer Susan Scimeca featuring bouquets, centperpieces and topiaries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.blackeyedsusies.com/"} +{"d:Title": "Floralies", "d:Description": "Image galleries of contemporary arrangements created by this Manhattan-based studio for hotels and corporate events.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.floraliesinc.com/"} +{"d:Title": "Floramor Studios", "d:Description": "Showcases contemporary bouquets and decor created by San Francisco designers Laura Little and Stephen O'Connell.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.floramorstudios.com/"} +{"d:Title": "Flower Divas", "d:Description": "Portfolio from Santa Clara, California studio featuring contemporary bouquets and decor organized by color.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flowerdivas.com/"} +{"d:Title": "Celebration Flowers", "d:Description": "Ceremony and reception decor and custom bouquet designs from this Bremerton, Washington studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.celebrationflowers.com/"} +{"d:Title": "Bella Fiore", "d:Description": "Image galleries of fresh bouquets and decor for corporate and special events and weddings created by the Southern California studio of Deanne Trutanich-Ragusa and Jennifer Wessels.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.bellafiore.net/"} +{"d:Title": "Humphrey Florist", "d:Description": "San Diego group specializing in fresh designs for outdoor and beach weddings. Includes image galleries, planning and budget tips.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.humphreyflorist.com/"} +{"d:Title": "Apex Decor", "d:Description": "Image galleries of fresh and artificial bouquets, centerpieces and large-scale designs created by Southern California-based studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.apexdecorflowers.com/"} +{"d:Title": "Rose Petals and Lace", "d:Description": "Features a portfolio of bouquets and decor in traditional styles created by their New Jersey-based design team.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.rosepetalsandlace.com/"} +{"d:Title": "Mill Street Florist", "d:Description": "Features photos of large-scale classic and contemporary arrangements created by Manotick, Ontario designer Joanne Plummer.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.millstreetflorist.ca/"} +{"d:Title": "The Bloom Room", "d:Description": "Portfolio of custom bouquets and decor created by this Asheville, North Carolina design group.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.bloom-room.com/"} +{"d:Title": "DeJuan Stroud", "d:Description": "New York City-based studio specializing in opulent floral decor and event design. Features image galleries by type of occasion. [Flash]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://dejuanstroud.com/"} +{"d:Title": "Fleurs de France", "d:Description": "Portfolio of bouquets, ceremony and reception arrangements created by San Francisco Bay area designer Jessica Switala.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.fleursfrance.com/"} +{"d:Title": "Karla Ramirez Floral Design", "d:Description": "Connecticut-based studio specializing in romantic bouquets and decor. Includes portfolio and press appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.karlaramirez.com/"} +{"d:Title": "Jen's Blossoms", "d:Description": "Features galleries of bouquets, ceremony and reception decor created by this Gig Harbor, Washington area studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://jensblossoms.com/"} +{"d:Title": "Flowerwild", "d:Description": "Studio of Burbank, California artisan Kate Sapienza specializing in contemporary romantic arrangements and bouquets. Features image gallery and information about gift subscription services.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flowerwild.com/"} +{"d:Title": "Spitz and Peck", "d:Description": "New York design group specializing in large-scale arrangements and decor for weddings and bar/bat mitzvahs. Includes image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.spitzandpeck.com/"} +{"d:Title": "R. Jack Balthazar F\u00eates et Fleurs", "d:Description": "Southern California designer specializing in decor for weddings, events and entertaining. Includes portfolio by theme, artist's design philosophy and press appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.rjackbalthazar.com/"} +{"d:Title": "Flowers By Denise", "d:Description": "Image galleries of bouquets and decor created by Denise Benda from her California central coast studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flowersbydenise.com/"} +{"d:Title": "Waterlily Pond", "d:Description": "San Francisco-based team creating contemporary bouquets and decor for weddings and special events. Includes designer profiles, galleries organized by themes and describes workshops offered for bridal parties and corporate team building.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://waterlilypond.com/"} +{"d:Title": "Verbena Designs Inc.", "d:Description": "New York studio of Jennifer McKeon specializing in bouquets and decor for weddings, bar and bat mitzvahs, and special events. Includes image galleries and seasonal flower availability chart.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.verbenadesigns.com/"} +{"d:Title": "Square Root Designs", "d:Description": "Portfolio of bouquets and decor for events and holidays created by their Orange County, California-based team. Includes press appearances. [Flash]", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://squarerootdesigns.com/"} +{"d:Title": "Always Fabulous Flowers", "d:Description": "Image gallery of custom bouquets and event designs created by their Brisbane area studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.allfabflowers.com.au/"} +{"d:Title": "Adrianne Smith Floral Design", "d:Description": "Encinitas, California-based studio specializing in garden style bouquets and decor. Includes portfolio and artisan's background information.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.asmithfloral.com/"} +{"d:Title": "Sweet Pea Flowers", "d:Description": "Denver, Colorado-based studio specializing in weddings and corporate events. Includes designer's background information and image galleries organized by season.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.sweetpea-flowers.com/"} +{"d:Title": "Lani Elizabeth", "d:Description": "Image galleries of bouquets, ceremony, reception, and event decor created by San Jose, California designers Lani Badri and Elizabeth Adinolfi.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.lanielizabeth.com/"} +{"d:Title": "Fleurs Du Soleil", "d:Description": "Portfolio of the events, weddings, and home decor of Monterey, California designer Kim England.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.kimenglandflowers.com/"} +{"d:Title": "Leipzig Floral Design", "d:Description": "South Africa-based studio of Izette Carinus. Features image galleries of her wedding bouquet and decor designs.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.leipzigfloraldesign.co.za/"} +{"d:Title": "Petal's Edge Floral Design, LLP", "d:Description": "Portfolio of custom bouquets, ceremony and reception designs created by Washington, DC area designers Gerry Rogers and Rebecca Morris.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.petalsedge.com/"} +{"d:Title": "Flowers by Liz", "d:Description": "Image galleries of custom bouquets, centerpieces and decor created by her Long Island, New York studio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flowersbyliz.com/"} +{"d:Title": "Wish!", "d:Description": "San Francisco Bay Area-based floral design studio specializing in contemporary and romantic bouquets and decor for weddings. Includes portfolio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.wishflowers.com/"} +{"d:Title": "House of Flowers", "d:Description": "Florist based in Milnrow, Lancashire, UK specializing in contemporary and garden-inspired designs. Includes portfolio organized by occasion.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.thehouseofflowers.co.uk/"} +{"d:Title": "A Gathering of Flowers", "d:Description": "Portfolio of wedding bouquets and floral accessories designed by Ohio-based designer Terri Muennich.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.agatheringofflowers.com/"} +{"d:Title": "Preston Bailey Entertainment Design", "d:Description": "Noted floral and event designer based in New York City. Biography, portfolio, celebrity clients, and book information.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.prestonbailey.com/"} +{"d:Title": "Jeri Solomon Floral Design", "d:Description": "Melrose, Massachusetts florist specializing in flower arrangements for weddings, bar/bat mitzvahs, social or corporate events. Includes portfolio and links to local resources.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.jerifloraldesign.com/"} +{"d:Title": "Ibranyi", "d:Description": "New Jersey-based studio of Ellen Ibranyi specializing in bouquets and designs for aisles, canopies, huppas and receptions.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.ibranyi.com/"} +{"d:Title": "Flowers on 56", "d:Description": "San Diego, CA-based design group specializing in unique and contemporary arrangements and floral art displays. Includes video and image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flowerson56.com/"} +{"d:Title": "Flowers by Colleen", "d:Description": "Portfolio of bouquets and decor designed by Colleen Rodgers. Based in the Philadelphia, Pennsylvania area.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.flowersbycolleen.com/"} +{"d:Title": "Hollye Mackler Designs", "d:Description": "Features image galleries of bouquets, cakes and centerpieces. Based in the Detroit, Michigan area.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.hollyemackler.com/"} +{"d:Title": "Patzees Floral Scapes", "d:Description": "Studio of London, Ontario floral artist Patsy Deswaef AIFD, CAFA specializing in custom designs for wedding, events and holidays. Features profile, image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.patzees.com/"} +{"d:Title": "Ariella Chezar", "d:Description": "Designer and author specializing in floral decor for entertaining and weddings. Features profile, portfolio and excerpts from her book.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.ariellaflowers.com/"} +{"d:Title": "The Henk Studio Inc", "d:Description": "Detroit, Michigan area custom floral design studio of Kathie Henk specializing in decor for weddings, bar/bat mitzvahs and corporate events. Includes image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.henkstudio.com/"} +{"d:Title": "RB Floral Design", "d:Description": "London-based studio of Aaron Reid and Richard Brag specializing in weddings, private functions, corporate event and contract work. Profiles, image galleries.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.rbfloraldesign.co.uk/"} +{"d:Title": "Margaret Bost", "d:Description": "Santa Fe, New Mexico-based floral designer specializing in bouquets, personal flowers, centerpieces and decor for weddings.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.santafefloral.com/"} +{"d:Title": "Kenner and Sons European Floral Design", "d:Description": "Portfolio of a San Diego, California area studio specializing in custom arranging services for weddings and corporate events.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.kennerandsons.com/"} +{"d:Title": "Visual Impact Design", "d:Description": "Image galleries of romantic and tropical bouquets and decor from the Sacramento, California studio of Cathy Brooks. Wedding florist and Gift source for special occasions.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.visualimpact-design.com/"} +{"d:Title": "Floret", "d:Description": "Seattle area design studio and specialty cut flower farm of Erin Benzakein specializing in weddings and events using sustainably grown plant materials. Includes portfolio of bouquets, decor, greenhouses and gardens.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.floretflowers.com/"} +{"d:Title": "Francoise Weeks", "d:Description": "Portland, Oregon designer specializing in custom European-style flowers for weddings and special events. Portfolio and information about wedding design classes for professionals.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.francoiseweeks.com/"} +{"d:Title": "Valley Flora", "d:Description": "Floral design studio in Napa, California specializing in bouquets and decor for weddings and events. Portfolio of custom arrangements.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.valleyflora.net/"} +{"d:Title": "Soiree Floral", "d:Description": "Floral and event design studio with locations in New York, Connecticut and on Nantucket Island.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.soireefloral.com/"} +{"d:Title": "Laura Miller Design", "d:Description": "San Francisco Bay Area floral design studio specializing in weddings and events. Includes profile and portfolio.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://lauramillerdesign.com/"} +{"d:Title": "Kelly's Wedding Flowers", "d:Description": "Orlando, Florida area studio specializing in wedding bouquets and decor for ceremonies, reception, and cakes. Includes image gallery.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.kellysweddingflowers.com/"} +{"d:Title": "In Full Bloom", "d:Description": "Menlo Park, California-based design studio specializing in bouquets and decor for weddings. Designer profile, portfolio, press appearances.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.infullbloomflorals.com/"} +{"d:Title": "Designs In Bloom", "d:Description": "Studio of Samirah Ahmed specializing in bouquets and decor for weddings and events in the London, Ontario area. Includes profile, portfolio, and gallery.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.designsinbloom.ca/"} +{"d:Title": "Blue Bouquet", "d:Description": "Kansas City area florist specializing in design and decor for wedding and events. Includes portfolio, designer profiles and blog.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.bluebouquet.com/"} +{"d:Title": "Mocha Rose Floral Designs", "d:Description": "Pittsburgh, Pennsylvania design studio of April Schwietz specializing in contemporary wedding styles. Features profile, portfolio and blog.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.mocharose.com/"} +{"d:Title": "Petals and Promises", "d:Description": "Image galleries\u00a0of bouquets, corsages and arrangements created a northern Illinois sister design team.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://petalsandpromises.tripod.com/"} +{"d:Title": "Sydney Wedding Flowers", "d:Description": "Features the portfolio of Sydney-based designer Lisa Hutchens.", "topic": "Top/Arts/Crafts/Flowers/Designers_and_Studios/Wedding_and_Events", "url": "http://www.sydneyweddingflowers.net.au/"} +{"d:Title": "Dried Flowers Galore", "d:Description": "Provides articles, forum, and information about dried flowers including pictures, growing tips and references.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved", "url": "http://www.dried-flowers-galore.com/"} +{"d:Title": "BellaCandela", "d:Description": "Pressed and pounded botanical designs on items such as pillows, candles and lampshades, with information on how they are made.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved", "url": "http://bellacandela.tripod.com/"} +{"d:Title": "Twice Touched Treasures Floral Preservation", "d:Description": "San Diego, CA area studio specializing in the custom preservation of bridal bouquets and keepsake flowers. Includes image galleries.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved", "url": "http://www.twicetouchedtreasures.com/"} +{"d:Title": "Clemson Extension - Drying Flowers", "d:Description": "Details various processes of drying and preserving fresh flowers and foliages.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved", "url": "http://www.clemson.edu/extension/hgic/plants/landscape/flowers/hgic1151.html"} +{"d:Title": "Pressed Flowers From Riverhaven", "d:Description": "A guide to the art and science of pressing flowers with beginning to advanced techniques. Includes a card gallery.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www.riverhaven.com/"} +{"d:Title": "Shradhanjali", "d:Description": "Nature is expressed through flowers and foliage to make stationery, cards and household items.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www.shradhanjaliauroville.com/"} +{"d:Title": "Floral Candles", "d:Description": "Image galleries of floral candles, perfumed with natural oil and decorated with real petals and leaves.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www.gemofnet.com/candles/"} +{"d:Title": "Floral Art", "d:Description": "An extensive image gallery of pressed flower art work. Includes some garden pictures. [English and Japanese]", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www.sun-inet.or.jp/~lavender/"} +{"d:Title": "The Pressed Flower Art Gallery", "d:Description": "The gallery of Masami Yoshimura, art from natural petals of flowers.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www11.plala.or.jp/oshibana/index_E.html"} +{"d:Title": "Green Designs", "d:Description": "An image gallery showing examples of cards made from collages of real pressed flowers.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www.greendesigns.co.uk/html/pressed_flowers_1.html"} +{"d:Title": "Vermont Botanical", "d:Description": "Botanical art pieces creates with pressed flowers and herbs accented by handcrafted wooden frames.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www.vermontbotanical.com/"} +{"d:Title": "Zeno Scenes", "d:Description": "Portraits created entirely of pressed flower petals and leaves.", "topic": "Top/Arts/Crafts/Flowers/Dried_and_Preserved/Pressed", "url": "http://www.vicware.com/jim.html"} +{"d:Title": "City College of San Francisco - Retail Floristy Program", "d:Description": "Offers an Associate of Science degree and an Award of Achievement in Retail Floristry. Includes admission requirements, faculty roster, curriculum and course schedules.", "topic": "Top/Arts/Crafts/Flowers/Education/Colleges_and_Departments", "url": "http://www.ccsf.edu/Departments/Environmental_Horticulture_and_Floristry/fl/"} +{"d:Title": "Boerma Instituut", "d:Description": "Based in Aalsmeer, Netherlands and offering beginner, intermediate, advanced and specialty training in contemporary Dutch design. Details courses and includes schedules and instructor's background information.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.dutchfloraldesign.com/"} +{"d:Title": "California Flower Art Academy", "d:Description": "Provides instruction in European, American and Ikebana styles, and shadow box art with locations in San Jose and Burlingame, California. Includes profile of director and course descriptions.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.california-academy.com/"} +{"d:Title": "Hong Kong Academy of Flower Arrangement", "d:Description": "Provide beginner and advanced classes and private instruction in design, planning and display for hobbyists and professionals. Includes course descriptions, schedules and online enrollment. [English and Chinese]", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.hkafa.com.hk/"} +{"d:Title": "Institut de Artflor", "d:Description": "Hong Kong-based state licensed school offering beginner courses and advanced training in contemporary styles. Lists workshops by topic and includes an image gallery.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.idartflor.com/"} +{"d:Title": "David-Curtis School of Floral Design", "d:Description": "State of Ohio certified school offering a three week professional program in floral design and flower shop operations. Includes catalog, syllabus and discussion forum.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.david-curtis-school.com/"} +{"d:Title": "Phil Rulloda School of Floral Design", "d:Description": "California state licensed school offering basic, advanced, wedding, silk, and tropical flower training and shop management courses. Details classes and lists schedule and credentials.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.philrulloda.com/"} +{"d:Title": "Canadian Institute of Floral Design", "d:Description": "Professional design program licensed by the Ontario Ministry of Training, Colleges and Universities offering day and evening instruction. Includes course descriptions, schedules and registration form.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.proflorists.net/"} +{"d:Title": "Floral Art School of Australia", "d:Description": "Offers day and evening classes in Elsternwick, Victoria as well as distance learning instruction. Includes information on professional and hobbyist opportunities and design tips.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.floral-art-school.com.au/"} +{"d:Title": "Floral Design Institute", "d:Description": "Offers courses for hobbyists and professionals from campuses in Seattle, Washington and Portland, Oregon and through distance learning. Includes course descriptions, schedules and staff credentials.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.floraldesigninstitute.com/"} +{"d:Title": "Flower Design of Britain", "d:Description": "Floristry and flower arranging school in England for both professionals and amateurs. Includes course descriptions, schedule, and enrollment form.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.fdb-flower.com/"} +{"d:Title": "Flower School New York", "d:Description": "Provides beginner courses and specialty classes with floral artists including Michael George and Chris Giftos. Includes video demonstration excerpt.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.flowerschoolny.com/"} +{"d:Title": "Judith Blacklock Flower School", "d:Description": "Offers certificated courses in the art of flower arranging. Describes courses and includes schedule, location map and images gallery.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.judithblacklock.com/"} +{"d:Title": "Vermont Academy of Floral Design", "d:Description": "Offers basic and advanced instruction with specialty classes in European styles, permanents, weddings, holidays and church decor. Includes schedule and registration form.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.vafd.com/"} +{"d:Title": "Rittners School of Floral Design", "d:Description": "Floral art designs with color photos and instructions, free flower arranging lessons and industry information.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.floralschool.com/"} +{"d:Title": "Mami Flower Design", "d:Description": "Tokyo-based school offering instruction in Hanakubari, contemporary and classic floral styles. Includes image galleries and inspiriational texts from master intructors Mami and Keita Kawasaki. [English and Japanese]", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.mamifds.co.jp/"} +{"d:Title": "Palmer School of Floral Design", "d:Description": "Colorado-based program offering beginner and advanced training for professionals. Describes courses and policies, includes instructor's profile, schedule, and online registration.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://palmerschooloffloraldesign.com/"} +{"d:Title": "Out of the Bloom Flower School", "d:Description": "London-based program offering daily, weekly and monthly workshops for hobbyists and professionals. Includes curriculum, instructor's profile and brochure.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.outofthebloom.com/"} +{"d:Title": "Nobleman School of Floral Design", "d:Description": "Singapore-based school offering instruction in classic and contemporary flower arranging for professionals. Features instructor profiles, image galleries, schedule and course descriptions.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.noblemanschool.com/"} +{"d:Title": "UK School of Floristry", "d:Description": "Beginner and advanced training for hobbyists and professional florists taught by Ian Lloyd. Includes course outlines and online registration.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.ukschooloffloristry.com/"} +{"d:Title": "International Florist Academy and School", "d:Description": "Montreal-based design school offering training to amateurs and professionals. Includes director profile, course descriptions, schedule and price list.[English, French]", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.interfloristschool.com/"} +{"d:Title": "Kay's School of Floristry", "d:Description": "Provides courses in commercial flower arranging and floristry. Located in Dublin, Ireland.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.kaysschool.com/"} +{"d:Title": "Pearsons School of Floristry", "d:Description": "Sydney, Australia based floral design school offering instruction for hobbyists and professionals. Includes curriculum, schedule, news and image galleries.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.pearsonsschool.com.au/"} +{"d:Title": "Zen Flowers", "d:Description": "Floral schools providing instruction in a style developed by Harumi Nishi that blends classic Western and Eastern design philosophies. Includes course descriptions, books. Locations in Tokyo, Nagoya and Osaka. English, Japanese.", "topic": "Top/Arts/Crafts/Flowers/Education/Schools_and_Academies", "url": "http://www.zenflowers.com/"} +{"d:Title": "The Lost Art of Lei Making", "d:Description": "Describes the history of and materials used in the creation of leis. Includes instructions for constructing one of twisted ti leaves.", "topic": "Top/Arts/Crafts/Flowers/Fresh", "url": "http://www.angelfire.com/hi/crafthold/lost.html"} +{"d:Title": "Brussels' Flowercarpet", "d:Description": "Bi-annual event featuring the creation of a detailed carpet design using more 700,000 cut begonias. Details history, planning, designs and includes images and video.", "topic": "Top/Arts/Crafts/Flowers/Fresh", "url": "http://www.flowercarpet.be/"} +{"d:Title": "Flower Arrangement Projects", "d:Description": "Easy to follow do it yourself flower arrangement with step-by-step instruction and pictures.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://www.flowersdiy.bravepages.com/"} +{"d:Title": "Floral Arrangements by Francis Hulshof", "d:Description": "Gallery of floral designs and wreaths.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://home.hccnet.nl/frens/frens/pag4.html"} +{"d:Title": "Flower Arrangements and Floral Designs by Flora", "d:Description": "Flower arranging in unique designs, updated monthly. Various floral designs by Flora, of a flower school in Tokyo, Japan.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://www.dics.net/flora/eng/index.htm"} +{"d:Title": "Flowers for Kids", "d:Description": "Features a class in the caring for and arranging of fresh flowers focussed on 4th through 6th graders. Includes curriculum and photos.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://www.flowersforkids.org/"} +{"d:Title": "Bouquets to Art, 2006", "d:Description": "Image galleries of floral arrangements from the 2006 exhibit the de Young Museum, San Francisco taken by Thomas Christensen.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://www.rightreading.com/bouquets-to-art/2006/bouquet-to-art-2006.htm"} +{"d:Title": "uBloom", "d:Description": "Online community for hobbyists and professional floral designers. Includes how-to videos, image galleries, tutorials and forum for registered members.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://www.ubloom.com/"} +{"d:Title": "National Association of Flower Arrangement Societies", "d:Description": "Flower arranging clubs and societies joined together as the NAFAS in 1959. Based in the UK.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://nafas.org.uk/"} +{"d:Title": "A Flower Arranger's Garden", "d:Description": "Photo gallery of contemporary and traditional flower arrangements with details of the flowers used.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging", "url": "http://www.flowerarranging.me.uk/"} +{"d:Title": "Flower Frog Gazette", "d:Description": "An extensive and informative site for flower frog collectors. Editor, Bonnie Bull.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Flower_Frogs", "url": "http://www.bullworks.net/ffg/ffg.html"} +{"d:Title": "Flower Frogs and Other Favorites", "d:Description": "A personal collection of over 100 flower frogs with information and links.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Flower_Frogs", "url": "http://www.angelfire.com/ky2/mbmobley/"} +{"d:Title": "FroggieB's Flower Frog Collection", "d:Description": "Pictures of many of the flower frogs from this personal collection of over 600 pieces. Includes some identification and pictures of frogs in use. Marcia E Bradley.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Flower_Frogs", "url": "http://www.froggieb.com/FrogPicsHome.html"} +{"d:Title": "Flower Frogs - Pinterest", "d:Description": "Sassy Nancy, vintage flower frog collection.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Flower_Frogs", "url": "https://www.pinterest.com/sassynancy/flower-frogs/"} +{"d:Title": "How to Use Flower Frogs - Martha Stewart", "d:Description": "Martha Stewart explains how to use flower frogs for arranging with a video post.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Flower_Frogs", "url": "http://www.marthastewart.com/271596/how-to-use-flower-frogs"} +{"d:Title": "Atelier Kado", "d:Description": "Belgium-based school featuring instruction and demonstrations in Sogetsu styles. Includes profile of teacher Ilse Beunen, course descriptions, virtual tour of an exhibition and image galleries. [Dutch, English, Japanese]", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.ikebana.be/"} +{"d:Title": "Ohara School of Ikebana", "d:Description": "Tokyo headquarters of the organization best known for the Moribana style of design. Features history, newsletter, course curriculum and chapter directory. [English, Japanese}", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.ohararyu.or.jp/"} +{"d:Title": "Sogetsu", "d:Description": "International headquarters for the Sogetsu style school of Ikebana. Includes history, schedule of ongoing exhibitions, events, membership details, branches by country and books for sale. [Japanese, English]", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.sogetsu.or.jp/"} +{"d:Title": "Ikebana by Junko", "d:Description": "Information about Ikebana, photographs of arrangements, details of classes, events and an equipment catalogue.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://ikebanabyjunko.co.uk/"} +{"d:Title": "Ikebana International", "d:Description": "Photos of the many styles of Ikebana and information of events, lessons, public programs, exhibitions, demonstrations and workshops on Japanese flower arrangement.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.ikebanahq.org/"} +{"d:Title": "Ikenobo Ikebana School", "d:Description": "International headquarters of the original school of Ikebana. Features history, chapters, courses, image galleries and describes basic styles.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.ikenobo.jp/english/index.html"} +{"d:Title": "Wafu School of Ikebana", "d:Description": "Philosophy of Wafukai Ikebana design with photo gallery and schedule of classes in Northern California.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.wafu-ikebana.org/"} +{"d:Title": "Sogetsu - Washington, DC Metropolitan Area Branch", "d:Description": "Includes image galleries and details history, exhibitions, demonstrations and programs for this contemporary style of Ikebana.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.sogetsuwashingtondc.org/"} +{"d:Title": "Sogetsu North America", "d:Description": "Features history, international headquarters information and image galleries of designs created by Headmasters Iemoto, Kasumi Teshigahara, Hiroshi Teshigahara and Akane Teshigahara. Includes a small selection of moribana containers for purchase.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.sogetsu-na.com/"} +{"d:Title": "Vancouver Ikebana Association", "d:Description": "Offers educational and social activities for Ikebana enthusiasts in the Vancouver, British Columbia area. Includes brief history, news, event schedule and image gallery.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.vancouver-ikebana.ca/"} +{"d:Title": "Studio F", "d:Description": "Provides floral arranging instruction and demonstrations in the Sogetsu style. Includes schedule, map, fees and photographs. Tokyo, Japan. [English, Japanese}", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.flower-studiof.com/en/"} +{"d:Title": "Sogetsu Vancouver Branch", "d:Description": "British Columbia organization conducting meetings, programs, demonstrations and workshops. Includes history, events schedule and gallery of floral designs.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.sogetsuvancouver.com/"} +{"d:Title": "Ikebana - Wikipedia", "d:Description": "Article that provides an overview of the art including history and schools.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://en.wikipedia.org/wiki/Ikebana"} +{"d:Title": "Sogetsu Switzerland", "d:Description": "The Swiss Branch of the Sogetsu School of Ikebana, an association dedicated to the advancement of the Japanese art of flower arrangements. Features activities, history and extensive image galleries.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.sogetsu.ch/"} +{"d:Title": "Nihonkoryu Ikebana School", "d:Description": "A short history of Ikebana and this school with photo galleries arranged by season.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://www.nihonkoryu.org/en/index.htm"} +{"d:Title": "Ikebana", "d:Description": "A basic explanation with diagrams of two general styles from the Ohara School of Ikebana. Create virtual heika and moribana arrangements.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://web-japan.org/kidsweb/virtual/ikebana/index.html"} +{"d:Title": "Sogetsu Ikebana by Marja", "d:Description": "Features image galleries, step-by-step instruction, and links to Sogetsu school teachers, organizations and events. Created by Netherlands-based designer Marja Vervoort-Biemond.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://home.kpn.nl/marjavb/"} +{"d:Title": "Ikebana Exhibition", "d:Description": "A display of arrangements presented in the artist's exhibitions.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Arranging/Ikebana", "url": "http://ikebana.tripod.com/"} +{"d:Title": "Burbank Tournament of Roses Association", "d:Description": "Volunteer organization which designs and builds the city's parade float. Details schedule, history, current and previous entries and awards.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.burbankrosefloat.com/"} +{"d:Title": "Rotary International Rose Parade Float", "d:Description": "Features planning and construction of previous and current Tournament of Roses Parade floats sponsored by this organization. Includes image galleries and volunteer-written essays which detail the projects.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.rotaryfloat.org/"} +{"d:Title": "The Battle of Flowers", "d:Description": "Provides photographs and descriptions of float preparation for the annual Jersey, Channel Isles event and includes related parade details.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.battleofflowers.com/"} +{"d:Title": "Kiwanis Rose Float", "d:Description": "Volunteer group that designs, constructs and decorates an annual entry in the Tournament of Roses Parade. Details organization, features club history and includes photographs and sketches of previous entries.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.kiwanisrosefloat.com/"} +{"d:Title": "Preparation of the Floats for the 2004 Rose Bowl", "d:Description": "Personal pages, organized by theme and created by Galen R. Frysinger, detailing the event atmosphere, flower detailing and finished float designs.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.galenfrysinger.com/preparations.htm"} +{"d:Title": "Rose Parade", "d:Description": "Personal pages created by Brent Fultz featuring photographs of floats taken along the parade route. Includes designs from 1998 to the present.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.its.caltech.edu/~matsci/btf/Rose_Parade.html"} +{"d:Title": "West Covina Rose Float Foundation", "d:Description": "Volunteer organization which assists with decorating the city's annual entry into the tournament of Roses Parade. Lists leadership team and details activities.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.wcrosefloat.org/"} +{"d:Title": "Fiesta Parade Floats", "d:Description": "Professional float and prop designers and decorators specializing in fresh floral applications. Includes company background and features renderings and details of current Tournament of Roses Parade projects.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.fiestaparadefloats.com/"} +{"d:Title": "Sierra Madre Rose Float Association", "d:Description": "Volunteer organization which designs, builds and decorates the city's entry for the Tournament of Roses Parade. Includes news, membership application, activities schedule and photo archives.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.sierramadrenews.net/rosefloat/index.html"} +{"d:Title": "Ahepa Hellenic Heritage Foundation.", "d:Description": "Details Rose Parade floats sponsored by the non-profit group. Includes artist renderings and photographs.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.ahepafloat.org/"} +{"d:Title": "Downey Rose Float Association", "d:Description": "Volunteer group that designs and builds the Downey, California city float for the Tournament of Roses Parade. Features history, calendar of events, and current and previous designs and awards.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.downeyrose.org/"} +{"d:Title": "South Pasadena Tournament of Roses", "d:Description": "Volunteer organization that designs and produces the city's parade entry. Includes history, current photographs, and decorating schedule.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://www.sptor.org/"} +{"d:Title": "Petal Pushers", "d:Description": "A group of more than 5,000 volunteers who decorate the Lutheran Hour Float and other entries in the Tournament of Roses Parade. Includes previous designs and provides membership details.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://petalpushers.donorshops.com/"} +{"d:Title": "Phoenix Decorating Company", "d:Description": "Pasadena, California-based professional float builders. Features company profile, extensive image galleries of construction and past entries, and includes decorating schedule.", "topic": "Top/Arts/Crafts/Flowers/Fresh/Parade_Floats", "url": "http://phoenixdeco.com/"} +{"d:Title": "Floral Design", "d:Description": "Subscription-based monthly print and online publication covering leading floral artists, demonstrations and events, ideas and design tips. Includes free preview and information about step-by-step design CD-ROMs.", "topic": "Top/Arts/Crafts/Flowers/Magazines_and_E-zines", "url": "http://www.floraldesignmagazine.com/"} +{"d:Title": "Flora International", "d:Description": "Bi-monthly UK publication covering all aspects of flower arranging, floristry and allied crafts. Lists articles appearing in the current issue and includes advertising and subscription information.", "topic": "Top/Arts/Crafts/Flowers/Magazines_and_E-zines", "url": "http://www.flora-magazine.co.uk/"} +{"d:Title": "Fusion Flowers", "d:Description": "Bi-monthly publication featuring contemporary British and international designers, arrangements and events. Includes highlights from current issue and subscription information.", "topic": "Top/Arts/Crafts/Flowers/Magazines_and_E-zines", "url": "http://www.fusionflowers.com/"} +{"d:Title": "The Flower Arranger", "d:Description": "Quarterly publication from the National Association of Flower Arrangement Societies (NAFAS), featuring both contemporary and classic floral designs. Includes excerpts from current issue and subscription information.", "topic": "Top/Arts/Crafts/Flowers/Magazines_and_E-zines", "url": "http://nafas.org.uk/the-flower-arranger-magazine/"} +{"d:Title": "Fleur Creatif", "d:Description": "Belgian-based quarterly-issued publication featuring leading floral artists. Includes step-by-step instruction for creating contemporary seasonal designs.", "topic": "Top/Arts/Crafts/Flowers/Magazines_and_E-zines", "url": "http://fleurcreatif.com/"} +{"d:Title": "International Pressed Flower Art Society", "d:Description": "Popularizing pressed flower techniques and exchanging information internationally.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.ipfas.org/"} +{"d:Title": "American Institute of Floral Designers (AIFD)", "d:Description": "A non-profit organization dedicated to promoting the art of floral design as a professional career. Details membership information, educational events and symposia schedules, and includes design tips.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.aifd.org/"} +{"d:Title": "Bromsgrove and District Flower Arranging Society", "d:Description": "Includes information about meetings, reports and pictures of monthly demonstrations, and a members' photo gallery of flower arrangements.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.bromsgroveflowerclub.org.uk/"} +{"d:Title": "Floral Art Society New Zealand", "d:Description": "Promotes education and participation in the art of floral design. Includes membership information, calendar of events, step-by-step design project and gallery of members' compositions.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.fasnz.org.nz/"} +{"d:Title": "National Garden Clubs", "d:Description": "Provides education, resources and national networking opportunities for its members to promote gardening and floral design. Includes calendar of events, educational opportunities and image galleries.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.gardenclub.org/"} +{"d:Title": "West Australian Floral Art Society Inc.", "d:Description": "Promotes appreciation of flowers and floral design. Includes membership information, image galleries, topics and schedules of monthly demonstrations, workshops, competitions and judging.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.wafloralart.org.au/"} +{"d:Title": "Creative Floral Arrangers of the Americas", "d:Description": "Non-profit group which promotes floral design as an art form. Includes details of events and workshops, membership application and image galleries of previous classes and exhibits.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://cfaofa.org/"} +{"d:Title": "National Association of Flower Arrangement Societies - The North West Area", "d:Description": "Group of seventy two local clubs promoting floral art throughout the north west United Kingdom. Details special events and includes membership information.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.flowersnorthwest.net/"} +{"d:Title": "Scottish Association of Flower Arrangement Societies", "d:Description": "Local clubs throughout Scotland providing education and events. Includes schedule and information about judges, demonstrators, teachers and speakers.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.safas.org.uk/"} +{"d:Title": "Pressed Flower Guild", "d:Description": "Information, activities and events sponsored by the guild, established in 1983, with members throughout the UK and in other parts of the world.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.pressedflowerguild.org.uk/"} +{"d:Title": "Association of Irish Floral Artists (AOIFA)", "d:Description": "Promotes the art of floral design through local clubs, demonstrations, workshops and competitions. Features news, events calendar and includes image galleries.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://homepage.eircom.net/~aoifa/index2.htm"} +{"d:Title": "National Association of Flower Arrangement Societies - North Midlands Area", "d:Description": "Group of eighty local clubs focused on the study and promotion of flower arranging as an art form. Includes officers, schedules, image galleries, magazine and news.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.flowersnorthmidlands.co.uk/"} +{"d:Title": "British Florist Association", "d:Description": "National non-profit association offering training, ongoing seminars and certification for novice and professional florists in the United Kingdom. Features calendar of events, public exhibit image gallery, and book store.", "topic": "Top/Arts/Crafts/Flowers/Organizations", "url": "http://www.britishfloristassociation.org/"} +{"d:Title": "Yahoo Group: Native American Support", "d:Description": "Group that crafts items for the Cheyenne River Indian Reservation in South Dakota.", "topic": "Top/Arts/Crafts/For_Charity", "url": "http://groups.yahoo.com/group/NativeAmericanSupport/"} +{"d:Title": "Ray of Hope", "d:Description": "Provides handmade babywear and cards to Leighton Hospital in Crewe, England. Includes patterns, sizing, and forum.", "topic": "Top/Arts/Crafts/For_Charity", "url": "http://www.bbc.co.uk/stoke/your_community/ray_of_hope/index.shtml"} +{"d:Title": "Yahoo Group: All Crafts 4 Charity", "d:Description": "Coordinates donations to organizations, supports buddy crafting.", "topic": "Top/Arts/Crafts/For_Charity", "url": "http://groups.yahoo.com/group/All_Crafts_4_Charity/"} +{"d:Title": "Yahoo Group: Crafting For A Cause", "d:Description": "Nonprofit organization of crafters creating items for the Lakota on reservations in South Dakota and for pediatric patients in Sacramento, California.", "topic": "Top/Arts/Crafts/For_Charity", "url": "http://groups.yahoo.com/group/CraftingForACause/"} +{"d:Title": "Yahoo Group: Hearts and Hands", "d:Description": "Sharing charity crafting and cooking recipes and fellowship.", "topic": "Top/Arts/Crafts/For_Charity", "url": "http://groups.yahoo.com/group/heartshands2003/"} +{"d:Title": "Bundles of Love", "d:Description": "Non-profit organization in Minnesota that sews, knits and crochets essential clothing and blankets for infants in need and teaches expectant mothers to sew. Includes patterns, local groups, and newsletters.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.bundlesoflove.org/"} +{"d:Title": "Carewear", "d:Description": "US-wide group of volunteers who knit, crochet, and sew baby items distributed through hospitals. Includes patterns, a database of hospitals, and a newsletter.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.carewear.org/"} +{"d:Title": "The Ships Project", "d:Description": "Sending knitted, crocheted, and sewn items to US troops. Includes guidelines and patterns.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.theshipsproject.com/Home.htm"} +{"d:Title": "Yahoo Group: Caring For Cooper", "d:Description": "Volunteers who knit, crochet, and sew baby items for Cooper University Hospital in Camden, New Jersey.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://groups.yahoo.com/group/CaringforCooper/"} +{"d:Title": "Yahoo Group: Knitting for Brisbane's Needy", "d:Description": "Group that knits, crochets, looms, sews, quilts, and makes toys for charities in Queensland, Australia.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://au.groups.yahoo.com/group/knittingforbrisbanesneedy/"} +{"d:Title": "Bonnie Babies", "d:Description": "UK registered organization that provides sewn, crochet or knitted clothing, blankets, and burial items to special baby care units. Includes patterns, forum, and photo gallery.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.bonniebabies.co.uk/"} +{"d:Title": "Afghans for Angels", "d:Description": "Volunteer organization that creates knit, crochet, quilted, and hand decorated blankets for parents who have lost an infant.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.angelfire.com/ia2/AforApage2/index.html"} +{"d:Title": "Yahoo Group: Caring4Canadians", "d:Description": "For needlecrafters of all ages that are creating items to donate to Canadian charities.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://groups.yahoo.com/group/caring4canadians/"} +{"d:Title": "Yahoo Group: Hugs4Hope", "d:Description": "People who knit, crochet, and sew items for preemie and newborn babies in North Carolina and New York.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://groups.yahoo.com/group/Hugs4Hope/"} +{"d:Title": "Warm Hearts, Warm Babies", "d:Description": "Group that sews, knits, crochets, and quilts for premature infants and babies in need in Colorado. Includes crochet patterns and newsletter.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.warmheartswarmbabies.org/"} +{"d:Title": "Snuggles Project", "d:Description": "Hugs for Homeless Animals program to provide crocheted, knit, sewn, and quilted blankets to shelter animals. Includes membership information, patterns, and a list of shelters.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.snugglesproject.org/"} +{"d:Title": "Touching Little Lives", "d:Description": "Nonprofit organization providing knit, crocheted, and sewn items to NICUs and burial layettes to grieving parents in Ohio. Includes forum, patterns, size chart, and newsletter.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.touchinglittlelives.org/"} +{"d:Title": "American Soldier Memorial Project", "d:Description": "Discussion group for cross-stitchers and embroiderers who are making memorials for soldiers who have fallen in Operation Iraqi Freedom and in Operation Enduring Freedom.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://groups.yahoo.com/group/americansoldiermemorial/"} +{"d:Title": "A Common Thread", "d:Description": "Providing sewn, quilted, crocheted, and knitted blankets to the local Project Linus chapter in Skagit and Whatcom counties, Washington. Includes guidelines and photographs.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://fidalgo.net/~aes/acommonthread.html"} +{"d:Title": "The Shawl Ministry", "d:Description": "Knit, crocheted, quilted, and sewn shawls for comfort and solace. Includes knitting and crochet patterns, forum, workshop schedule, news coverage, and photo gallery.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.shawlministry.com/"} +{"d:Title": "Tiny Stitches, Inc.", "d:Description": "Non-profit organization that provides knit, crocheted, and sewn layettes to disadvanaged babies and burial layettes to families in northern Georgia. Includes meeting schedule, newsletters, and photo gallery.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.tinystitches.org/"} +{"d:Title": "CuzWeCare, Inc.", "d:Description": "Provides sewn comfort pillows and preemie outfits and knit and crocheted caps and lapghans to those in need. Includes news, recipients list, and how to help.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.cuzwecare.org/"} +{"d:Title": "Helping Hearts for Cheyenne River", "d:Description": "Knitting, crocheting, and sewing for the reservation in South Dakota. Includes patterns and discussion group.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://helpinghearts0.tripod.com/"} +{"d:Title": "Warming Families Across Oregon", "d:Description": "Contact information to find out where to send handmade items for homeless shelters, nursing homes, and domestic violence shelters in Oregon.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.angelfire.com/or2/warmingfamilies/"} +{"d:Title": "Warming Families: Central Illinois Chapter", "d:Description": "Serving the McLean County area. Contact and donation information available, as well as links to free patterns.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://members.tripod.com/fergie_85732-ivil/"} +{"d:Title": "Project Linus: Saint-Eustache, Quebec", "d:Description": "Local chapter donates handmade blankets to severely ill or traumatised children. Patterns available, bilingual site (English and French.)", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://pages.infinit.net/danielp/linus/"} +{"d:Title": "Project Linus", "d:Description": "Headquarters of the nonprofit organization that provides handmade blankets to children in need. Includes newsletter, FAQ, patterns, and a listing of local chapters.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.projectlinus.org/"} +{"d:Title": "Project Linus: Mat-Su Valley Chapter", "d:Description": "Chapter serving children in need in Alaska. Includes how to get involved.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.mtaonline.net/~mehoyt/"} +{"d:Title": "Northwest Wings of Love", "d:Description": "Supports different Pacific Northwest charitable organizations every month with knit, crochet, and needlework items.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://groups.yahoo.com/group/nwwingsoflove/"} +{"d:Title": "Steven's Comfy Toes/Cyndy's Tiny Tootsies", "d:Description": "Provides knitted, crocheted, sewn, and quilted slippers, hats, mittens, and scarves to needy children ages birth to 12 years in the White Pine County Area of Nevada. Includes examples and links to patterns.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://stevenscomfytoes.blogspot.com/"} +{"d:Title": "Warming Families: Brampton and Greater Toronto Area Chapter", "d:Description": "Local chapter collects warm items to be distributed to homeless and domestic violence shelters. Contact information and details on how to help.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://gtawarmingfamilies.weebly.com/index.html"} +{"d:Title": "Barb's Caring Touch", "d:Description": "Crocheting, knitting, and sewing items for premature infants.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://barbs-caring-touch.tripod.com/"} +{"d:Title": "Newborns in Need", "d:Description": "Knitting, crocheting, and sewing items for babies. Includes local chapter directory and discussion group", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.newbornsinneed.org/"} +{"d:Title": "The Pink Slipper Project", "d:Description": "Provides knitted, crocheted, and sewn slippers to women and children living in shelters. Includes mailing list and patterns.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.pinkslipperproject.org/"} +{"d:Title": "Halos of Hope", "d:Description": "Provides knitted, crocheted, and sewn hats to chemotherapy patients in the US. Includes news and a list of centers accepting donations.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://www.halosofhope.org/"} +{"d:Title": "Yahoo Group: From the Heart of Texas", "d:Description": "Knitting, crocheting, and sewing for charity. Includes pattern directory.", "topic": "Top/Arts/Crafts/For_Charity/Fiber_Arts", "url": "http://groups.yahoo.com/group/FromtheHeartofTexas/"} +{"d:Title": "del Mano Gallery", "d:Description": "Exhibits museum quality craft works. Includes current exhibitions and schedule of events. Los Angeles, California.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.delmano.com/"} +{"d:Title": "Bluestone Gallery", "d:Description": "Displays contemporary British crafts. Gallery located in Devizes England.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.bluestonegallery.com/"} +{"d:Title": "Ferrers Gallery", "d:Description": "British contemporary arts and crafts gallery. Leicestershire, UK.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.ferrersgallery.co.uk/"} +{"d:Title": "Godfrey&Watt", "d:Description": "Gallery displays a range of ceramics, jewellery, studio glass, sculpture, paintings and prints. Harrogate, UK.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.godfreyandwatt.co.uk/"} +{"d:Title": "Lund Gallery", "d:Description": "Contemporary painting, ceramics and jewellery by artists and makers from across the UK. North Yorkshire.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.lundgallery.co.uk/"} +{"d:Title": "Montpellier Gallery", "d:Description": "Exhibitions include printmaking, glass, jewelry, sculpture, and wood. Stratford upon Avon, UK.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.montpelliergallery.com/"} +{"d:Title": "Vale Craft Gallery", "d:Description": "Exhibits and sells contemporary American fine craft and sculpture including works in clay, fiber, metal, glass, wood and mixed media. Chicago, Illinois.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.valecraftgallery.com/"} +{"d:Title": "NC Crafts Gallery", "d:Description": "Presents the work of different North Carolina artists in a special gallery show. Shows run from the first to the last day of each month.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.nccraftsgallery.com/"} +{"d:Title": "Heartwood Contemporary Crafts Gallery", "d:Description": "Gallery offers handmade crafts by regional and national artists. Review artist sampler and join the mailing list. Saluda, North Carolina.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.heartwoodsaluda.com/"} +{"d:Title": "Sawdust&Glass", "d:Description": "Various crafts illustrated including stained glass, woodworking, woodturning and kiln creations. Kingston, Ontario, Canada.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://sawdustandglass.com/"} +{"d:Title": "Turning Leaf Wood Art", "d:Description": "Gallery displaying woodturnings, jewelry, paintings and pottery. Blue Ridge, Georgia.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.turningleafwoodart.com/"} +{"d:Title": "JaM eton", "d:Description": "Offers British studio ceramics, glass and jewellery. Located in Windsor, UK.", "topic": "Top/Arts/Crafts/Galleries", "url": "http://www.jam-eton.co.uk/"} +{"d:Title": "Silicon Folly", "d:Description": "Stone and glass work, including instruction manuals for stepping stones, stained glass and hot glass, and forums.", "topic": "Top/Arts/Crafts/Glass", "url": "http://www.siliconfolly.com/"} +{"d:Title": "Art Glass World", "d:Description": "Contains listings of associations, clubs, retail outlets and glass studios across the US, plus some free patterns and photo gallery.", "topic": "Top/Arts/Crafts/Glass", "url": "http://www.artglassworld.com/"} +{"d:Title": "Wardell Publications", "d:Description": "Publisher of design, instructional, and pattern books.", "topic": "Top/Arts/Crafts/Glass", "url": "http://www.wardellpublications.com/"} +{"d:Title": "Warm Glass", "d:Description": "Features an online tutorial forum and information on equipment, processes and techniques. Offers a technical book on warm glass.", "topic": "Top/Arts/Crafts/Glass", "url": "http://www.warmglass.com/"} +{"d:Title": "Wayne Cain", "d:Description": "Designs and crafts stained glass windows and entryways for residential, commercial and ecclesiastical needs.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.waynecain.com/"} +{"d:Title": "Kessler Studios", "d:Description": "Contemporary architectural stained glass by Bob and Cindy Kessler.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.kesslerstudios.com/"} +{"d:Title": "Ellen Mandelbaum Glass Art", "d:Description": "Specializing in glass painting and stained glass work for large architectural installations.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.emglassart.com/"} +{"d:Title": "Pegasus Studio", "d:Description": "Showcases selected past commissions for public art, corporate and private clients by Michaela Mahady and John Pietras.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://pegasusstudioinc.com/"} +{"d:Title": "Layton, Peter and Moss, Simon", "d:Description": "Use glass blowing, kiln forming and casting to create architectural elements and sculptures for public and residential spaces.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.peterlaytonglass.co.uk/"} +{"d:Title": "Andrew Moore Associates", "d:Description": "Architectural glass design consultancy based in London,UK. Biography, literature, portfolio of contemporary glass, and information on talks.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.andrewmoor.com/"} +{"d:Title": "Dimensions in Art Glass", "d:Description": "Custom designed leaded and etched glass for architectural applications plus hand-made wood and glass furniture by Erik Borland and stained glass artist, Deb Kessler. Based in Colorado.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.dimensionsinartglass.com/"} +{"d:Title": "Ann Wolff Glass Design", "d:Description": "Online display of custom architectural commissions from a glass artist based in Denver, Colorado.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.annwolff.com/"} +{"d:Title": "Art Glass", "d:Description": "Design, fabrication, and installation of beveled and stained glass by Michael Pascarella. Gallery of commissions and contact details.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.artglassworks.com/"} +{"d:Title": "Bonny Doon Art Glass", "d:Description": "Group of artists and craftspeople led by John Forbes. Portfolio of commercial and residential decorative architectural glass commissions.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.bdartglass.com/"} +{"d:Title": "Classic Glass Studio", "d:Description": "Church and commercial stained glass restoration, fabrication and installation. Based in North Dakota.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://classicglassstudio.com/"} +{"d:Title": "Meltdown Glass", "d:Description": "Custom-embossed kiln-cast glass sculptures, water walls, and colorful art screens for corporate surroundings. Based in Arizona.", "topic": "Top/Arts/Crafts/Glass/Architectural", "url": "http://www.meltdownglass.com/"} +{"d:Title": "Association of Clay and Glass Artists of California", "d:Description": "Includes information about the association, a calendar of events and workshops, and related links.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://www.acga.net/"} +{"d:Title": "Glass Art Society", "d:Description": "An international non-profit organization to advance the appreciation, understanding and development of the glass arts worldwide.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://www.glassart.org/"} +{"d:Title": "International Guild of Glass Artists, Inc.", "d:Description": "An international nonprofit association of artists and craftspeople who work with glass as their medium of expression.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://www.igga.org/"} +{"d:Title": "The Glass Artists Fellowship", "d:Description": "Glass art gallery and informational site featuring Colorado regional artist members working in stained glass, glass jewelry, blown glass and sculpted glass.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://glassartistsfellowship.com/"} +{"d:Title": "Contemporary Glass Society", "d:Description": "Devoted to helping develop a greater awareness of contemporary glass worldwide, and includes collectors, educators, critics, the media and those working in galleries and museums.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://www.cgs.org.uk/"} +{"d:Title": "National Capital Art Glass Guild", "d:Description": "Promotes the all aspects of glass as an art form. Membership spans DC, Maryland and Virginia area.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://ncagg.org/"} +{"d:Title": "Glass Art Association of Canada", "d:Description": "A volunteer organization offering Canadian glass makers, students, collectors and interested individuals a communication network through the publication of the Gazette, a quarterly journal.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://www.glassartcanada.ca/"} +{"d:Title": "International Society of Glass Beadmakers", "d:Description": "Dedicated to promoting and supporting the art of making handcrafted glass beads.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://www.isgb.org/"} +{"d:Title": "Pacific Pyros", "d:Description": "Group of glass bead makers based in Vancouver, BC, with a mandate to promote glass beadmaking education and social activities.", "topic": "Top/Arts/Crafts/Glass/Associations", "url": "http://www.pacificpyros.org/"} +{"d:Title": "Glasshouse Verrerie d'Art", "d:Description": "Features functional glassware blown by Annette Meech and Christopher Williams.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://sivignonglass.com/"} +{"d:Title": "Bob Gent Glassworks", "d:Description": "Showcases blown, slumped or fused lamps, bowls, vases and garden art.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.bobgent.com/"} +{"d:Title": "California Glass Studio", "d:Description": "Hand-blown art glass by Nina Paladino Caron and Michael K. Hansen includes bowls, lamps and ornaments.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.cgsglass.com/"} +{"d:Title": "Duncan McClellan Glass", "d:Description": "Sand carved glass work of Florida glass artist.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.dmglass.com/"} +{"d:Title": "Glass by James Michael", "d:Description": "Contemporary paperweights, vessels, abstract desktop sculptures, life size castings of humans, lamps and garden art.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.glassbyjm.com/"} +{"d:Title": "Hart Glass", "d:Description": "Featuring hand-blown glass and etched glass work by David Hartman.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://hartglass.freeservers.com/"} +{"d:Title": "Peter Greenwood", "d:Description": "Connecticut glass artist creates hand blown art glass vessels, goblets, wall sculpture, lighting, furniture, and chandeliers.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.petergreenwood.com/"} +{"d:Title": "Red Fern Glass", "d:Description": "Contemporary blown glass chandeliers by Ed Pennebaker.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.redfernglass.com/"} +{"d:Title": "Solart Glass Studio", "d:Description": "Gallery of platters, sculpted starfish and decorative vessels.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.solmaya.com/"} +{"d:Title": "Willseaobrien", "d:Description": "Paul Willsea and Carol O'Brien create handblown glass vases, bowls and sculptural forms.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://willseaobrien.com/"} +{"d:Title": "Terrill Waldman Glass Design", "d:Description": "Features a portfolio of blown glass vessels, platters, chandeliers and architectural elements.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.terrilldesign.com/"} +{"d:Title": "Michael Ruh", "d:Description": "Hand blown vessels, lighting and tableware from London based glass artist Michael Ruh.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.michaelruh.com/"} +{"d:Title": "Suzanne Guttman Blown Glass", "d:Description": "Blown glass art, chandeliers, vessels, lighting and sinks.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.suzanneguttmanglass.com/"} +{"d:Title": "DBR Glass", "d:Description": "Showcase of decorative vessels and tiles by Dianne Rasmussen.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.dbrglassworks.com/"} +{"d:Title": "Bob Crooks Firstglass", "d:Description": "Specializes in lighting, vessels, tableware and perfume bottles.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.bobcrooks.com/"} +{"d:Title": "Newt Glass", "d:Description": "Glassblowing studio of artist Newt Grover specializing in chandeliers, lighting and sculpture for commercial and residential applications.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://newtglass.com/"} +{"d:Title": "Memories in Glass", "d:Description": "Provides an online dictionary of glass blowing terms and outlines the history of blown glass art.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://www.memories-in-glass.com/"} +{"d:Title": "Studio One", "d:Description": "Gallery of Canadian Glassblower David Thai. David creates classic forms with a contemporary touch and utilizes a variety of lustrous color themes which include the application of silver foil.", "topic": "Top/Arts/Crafts/Glass/Blowing", "url": "http://studiooneglass.ca/"} +{"d:Title": "Stained Glass Town Square", "d:Description": "Stained glass forum also includes sections on hot glass, mosaic, and glass painting.", "topic": "Top/Arts/Crafts/Glass/Chats_and_Forums", "url": "http://stainedglasstownsquare.com/"} +{"d:Title": "StainedGlassville", "d:Description": "Message boards for stained glass discussion and information. Includes project photos contributed by members.", "topic": "Top/Arts/Crafts/Glass/Chats_and_Forums", "url": "http://stainedglassville.com/"} +{"d:Title": "Murano Glass Forum", "d:Description": "A forum for collectors of Murano and Venetian glass.", "topic": "Top/Arts/Crafts/Glass/Chats_and_Forums", "url": "http://www.fossilfly.com/Forums/"} +{"d:Title": "Pilchuck Glass School", "d:Description": "Glass working school with residency programs. Seattle and Stanwood, WA, USA.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.pilchuck.com/"} +{"d:Title": "The Glass Furnace", "d:Description": "Campus in Istanbul, Turkey, providing training in glassblowing.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.glassfurnace.org/"} +{"d:Title": "Seattle Glassblowing Studio", "d:Description": "Teaching studio with a showroom and gallery, located in Seattle.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.seattleglassblowing.com/"} +{"d:Title": "North Lands Creative Glass", "d:Description": "Offers masterclasses, residencies, conferences and courses in hot and cold glass. Located in Scotland.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.northlandsglass.com/"} +{"d:Title": "Resnik Thermallab", "d:Description": "One day introductory workshops and regular classes for glass blowing. Located in Chapel Hill North Carolina.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.thermallab.com/"} +{"d:Title": "Glassblower.Info", "d:Description": "Contains photos and instructional videos that document various glass blowing techniques for the beginner to intermediate student.", "priority": "1", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.glassblower.info/"} +{"d:Title": "Michigan Hot Glass Workshop and Gallery", "d:Description": "Workshop located in the Russell Industrial Center in Detroit, Michigan. Glassblowing classes are available.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.michiganhotglass.com/"} +{"d:Title": "The Glass Hive", "d:Description": "Offers glass blowing classes, lampworking and fusing. Located in South Beach, Oregon.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://theglasshive.com/"} +{"d:Title": "Eugene Glass School", "d:Description": "Offers workshops and rental time in furnace glass, kiln arts and lampworking.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.eugeneglassschool.org/"} +{"d:Title": "DC GlassWorks", "d:Description": "Offers glassblowing classes and studio rental in the Washington DC Metro area.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.dcglassworks.com/"} +{"d:Title": "Stained Glass and Fine Arts College", "d:Description": "Offers academic, technical, and artistic training as a stained glass artist. Features curriculum and details about internships. In Monthey, Switzerland.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.ecolevitrail.com/"} +{"d:Title": "Public Glass", "d:Description": "Teaches glass blowing, glass casting and flame working in the San Francisco Bay Area, and offers studio rentals.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.publicglass.org/"} +{"d:Title": "Glass Campus", "d:Description": "Offering online and on-site stained glass classes, as well as a forum. Located in Victoria BC, Canada.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.glasscampus.com/"} +{"d:Title": "Making Glass, LLC", "d:Description": "Chicago area, community-access glass blowing studio and gallery offering classes.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.makingglass.com/"} +{"d:Title": "East Falls Glassworks", "d:Description": "Philadelphia glass blowing studio and gallery, offering classes, studio rentals and glass art for sale by local artists.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.eastfallsglass.com/"} +{"d:Title": "Art by Fire Studio", "d:Description": "Glass blowing studio and school in Seattle. Off hand glass blowing and glass beadmaking (flameworking) are taught.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.artbyfire.com/"} +{"d:Title": "Pittsburgh Glass Center", "d:Description": "Studio offers teaching, demonstrations, and tours. Includes class schedules, instructor biographies, and details of facilities.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.pittsburghglasscenter.org/"} +{"d:Title": "Chicago Hot Glass", "d:Description": "Glass arts center offering classes in glassblowing, kilnforming, flameworking, and casting. Includes class schedule and events calendar.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.chicagohotglass.com/"} +{"d:Title": "East Carolina Glassblowing Services", "d:Description": "Educational website with links to scientific glassblowing (flameworking) instruction, resources, data and tables, terminology, and glass-shop design.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.ecu.edu/glassblowing/"} +{"d:Title": "Diablo Glass School", "d:Description": "Offering classes for glass techniques in glassblowing, flameworking, fused and stained glass. Boston, Massachusetts.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.diabloglassschool.com/"} +{"d:Title": "Atlanta Hot Glass", "d:Description": "Metro Atlanta region. Provides professional and beginning glassblowers with classes, workshops and studio rental.", "topic": "Top/Arts/Crafts/Glass/Education", "url": "http://www.atlantahotglass.com/"} +{"d:Title": "Custom Glass Engraving by Don McKinley", "d:Description": "Commissioned engraved sandcarved glass doors, windows, tabletops, room dividers and mirrors.", "topic": "Top/Arts/Crafts/Glass/Etching", "url": "http://www.customglassengraving.com/"} +{"d:Title": "A Cut of Glass", "d:Description": "Tom Konczal engraves frames, vases, glassware and decorative plates.", "topic": "Top/Arts/Crafts/Glass/Etching", "url": "http://acutofglass.com/"} +{"d:Title": "Claude Garneau Studio", "d:Description": "Etched and carved glass and soap stone sculptures.", "topic": "Top/Arts/Crafts/Glass/Etching", "url": "http://myriadweb.ca/garneau/"} +{"d:Title": "Carved Glass", "d:Description": "Rahmi Cecen displays his gallery of carved glass tables, doors, dividers and portraits.", "topic": "Top/Arts/Crafts/Glass/Etching", "url": "http://art-glass.tripod.com/"} +{"d:Title": "Greve Glaskunst", "d:Description": "Handmade fused colored glass plates, bowls, and candle holders. Site in Danish and English.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.greveglaskunst.dk/"} +{"d:Title": "Rainbow Glass Studios", "d:Description": "Gallery of traditional and contemporary fused glass and stained glass for domestic, architectural and ecclesiastic applications. Day and evening courses available.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://myweb.tiscali.co.uk/rainbowglassstudios/"} +{"d:Title": "Roger V Thomas", "d:Description": "\"Vitreous mosaics\" in layered, fused glass to achieve a flat surface composition.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.rogerthomasglass.com/"} +{"d:Title": "E/M Studio", "d:Description": "Gallery of p\u00e2te de verre kiln fired glass, cast glass vessels, sculptures and architectural glass crafted by Ellen Abbott and Marc Leva.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.emstudioglass.com/"} +{"d:Title": "Glass House Designs", "d:Description": "Fused glass art and classes by Susan Molnar. Located in Michigan.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.glasshousedesigns.com/"} +{"d:Title": "Aquila Art Glass", "d:Description": "Handmade functional and ornamental art glass.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://aquilaartglass.com/"} +{"d:Title": "Clearwater Glass Studio", "d:Description": "A gallery of fused glass plates, bowls, and jewelry by Steve Immerman, with descriptions of fusing techniques.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.clearwaterglass.com/"} +{"d:Title": "Jackie Beckman", "d:Description": "Contemporary sculptural glass artwork and wall decorations.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.jackiebeckman.fusedglassartists.com/"} +{"d:Title": "Blasted Glass! Studio", "d:Description": "Kiln-formed and sandblasted glass bowls, bracelets, magnets and nametags by artist Tony Smith.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.amsmith.com/"} +{"d:Title": "R.A. Morey Design", "d:Description": "Gallery of fused glass art work by Rob Morey of San Diego California. Includes information on the artist's process and links to related sites.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.ramoreydesign.com/"} +{"d:Title": "Linda Ethier Art Glass", "d:Description": "Portfolio and gallery featuring grottos, portals, portraits, and torsos in kiln-cast glass.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.lindaethier.com/"} +{"d:Title": "Mark Abildgaard Kiln Cast Glass", "d:Description": "Gallery featuring kiln cast figures, boats, and totems. Site contains the artist's resume' and a list of galleries currently representing his work.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.markabildgaard.com/"} +{"d:Title": "The Bridge Studio", "d:Description": "Heather Wood's warm glass studio producing contemporary sculptural and functional pieces using vitreous enamel paints, sandblasting, slumping and fused techniques. Gallery of her work.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.thebridgestudio.ca/"} +{"d:Title": "Kremer Glass Studio", "d:Description": "Fused glass sculpture, bowls, and vessels of Martin Kremer. Gallery listing, artist statement, and recent exhibitions and awards.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.kremerglass.com/"} +{"d:Title": "Anna Skibska", "d:Description": "Gallery of recent and early works. Site also includes exhibits, resume, and contact information.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.annaskibska.com/"} +{"d:Title": "Twin Cedar Studio", "d:Description": "Functional and sculptural kiln formed glass. List of representing galleries.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.twincedarstudio.com/"} +{"d:Title": "Paul Tarlow - Kilnformed Glass", "d:Description": "Gallery of work, artist profile, information on the kiln-forming process.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.paultarlow.com/"} +{"d:Title": "Stone That Flows", "d:Description": "Kiln-formed glass, using fine silver and bronze inclusions. Sculptural work, jewelry, and architectural pieces.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.stonethatflows.com/"} +{"d:Title": "Craft Fusion", "d:Description": "Gallery of contemporary handmade art glass including jewelry, functional art, panels.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "https://craftfusion.co.uk/"} +{"d:Title": "Janet Kelman Art Glass", "d:Description": "Gallery of glass seafans. Technical information, upcoming events, artist biography.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://home.covad.net/~dbr2002/jk/"} +{"d:Title": "Full Spectrum Design", "d:Description": "Kiln-formed contemporary glass art and functional serving pieces by Valerie Adams. Listing of upcoming jury-selected shows.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.valerieadamsglass.com/"} +{"d:Title": "Kim Merriman Art", "d:Description": "Gallery of custom sculptures from fused glass and metals.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.kimmerrimanart.com/"} +{"d:Title": "Glass Fusing Made Easy", "d:Description": "Glass fusing instructions and information.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.glass-fusing-made-easy.com/"} +{"d:Title": "Dancing Light Fused Glass and Ceramic Studio", "d:Description": "Gallery of custom-designed fused glass pieces by Donna and John Sarafis. Site also includes classes, schedule, contact information, and links to galleries that sell their work.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://dancinglightfusedglass.com/"} +{"d:Title": "John Bassett", "d:Description": "Slumped and fused panels and sculptures using recycled bottle glass.", "topic": "Top/Arts/Crafts/Glass/Fused", "url": "http://www.basglas.com/"} +{"d:Title": "Murano Magic", "d:Description": "An illustrated introduction by C.I. Gable to the art and history of Venetian glass from the Murano islands in the lagoon of Venice. Includes glossary, glassmakers.", "topic": "Top/Arts/Crafts/Glass/History", "url": "http://www.boglewood.com/murano/"} +{"d:Title": "Alessandro and Roberto Moretti", "d:Description": "A history of the famed Venetian glass made on the island of Murano, and the members of one Murano glass-making family who brought their talents to the US. Includes a family photograph album.", "topic": "Top/Arts/Crafts/Glass/History", "url": "http://www.morettiglas.20fr.com/"} +{"d:Title": "Roman Glassmakers", "d:Description": "Re-creations of early, ancient, Hellenistic and Roman glass vessels by Mark Taylor and David Hill, with discussions on ancient glassmaking techniques.", "topic": "Top/Arts/Crafts/Glass/History", "url": "http://www.romanglassmakers.co.uk/"} +{"d:Title": "The Glass Beadle", "d:Description": "Cassie Donlen, of the Glass Beadle, creates floral, abstract and figurative bead sets.", "topic": "Top/Arts/Crafts/Glass/Lampworking", "url": "http://www.glassbeadle.com/"} +{"d:Title": "Tobler Glass Creations", "d:Description": "Realistic lampworked glass beads depicting animals, crafted by Wendy Tobler.", "topic": "Top/Arts/Crafts/Glass/Lampworking", "url": "http://www.toblerglasscreations.com/"} +{"d:Title": "Kathy Perras", "d:Description": "Showcases a gallery of contemporary beads and jewelry designed using these beads.", "topic": "Top/Arts/Crafts/Glass/Lampworking", "url": "http://www.kathyperras.com/"} +{"d:Title": "Liliana Bead", "d:Description": "Features sculpted, figurative lampworked beads, ornaments and various jewelry.", "topic": "Top/Arts/Crafts/Glass/Lampworking", "url": "http://www.lilianabead.com/"} +{"d:Title": "Glass Line Newsletter", "d:Description": "A newsletter for hot glass artists. Includes articles, tips, classified ads and workshop calendar.", "topic": "Top/Arts/Crafts/Glass/Magazines_and_E-zines", "url": "http://www.hotglass.com/"} +{"d:Title": "Glass Art Magazine", "d:Description": "Discusses blown, fused, etched, stained and decorative glass, as well as lampworking.", "topic": "Top/Arts/Crafts/Glass/Magazines_and_E-zines", "url": "http://www.glassartmagazine.com/"} +{"d:Title": "Peter Freeman", "d:Description": "Showcases public light scultptures crafted with cold-cathode neon fibre-optics flood lights.", "topic": "Top/Arts/Crafts/Glass/Neon", "url": "http://www.peterfreeman.co.uk/"} +{"d:Title": "Krypton Neon", "d:Description": "Kenny Greenberg's online gallery of neon art also includes technical information.", "topic": "Top/Arts/Crafts/Glass/Neon", "url": "http://www.neonshop.com/"} +{"d:Title": "Kraft Studio", "d:Description": "Online gallery of contemporary neon art in public and private spaces, designed by Craig Kraft.", "topic": "Top/Arts/Crafts/Glass/Neon", "url": "http://www.craigkraftstudio.com/"} +{"d:Title": "Evening Neon", "d:Description": "Includes photo gallery and information about rental neon art by artist Eve Hoyt.", "topic": "Top/Arts/Crafts/Glass/Neon", "url": "http://www.eveningneon.com/"} +{"d:Title": "Museum of Neon Art", "d:Description": "Features online galleries of several neon artists.", "topic": "Top/Arts/Crafts/Glass/Neon", "url": "http://www.neonmona.org/"} +{"d:Title": "Georgina Griffiths", "d:Description": "Features architectural glass installations and paintings by this UK artist.", "topic": "Top/Arts/Crafts/Glass/Painting", "url": "http://www.georginagriffiths.com/"} +{"d:Title": "Walter Lieberman", "d:Description": "Features a gallery of kiln-fired enamel painted glass and blown glass art.", "topic": "Top/Arts/Crafts/Glass/Painting", "url": "http://web.media.mit.edu/~lieber/Walt/"} +{"d:Title": "Glass Painting", "d:Description": "Contains information on glass painting techniques and materials, reviews of popular products and links to glass paint and materials suppliers.", "topic": "Top/Arts/Crafts/Glass/Painting", "url": "http://www.glasspainting.co.uk/"} +{"d:Title": "Gaelle", "d:Description": "Creates bespoke solutions by blending photography with glass design.", "topic": "Top/Arts/Crafts/Glass/Painting", "url": "http://www.gaelle.co.uk/"} +{"d:Title": "Gardens in Glass", "d:Description": "Peter Raos makes flowers in glass paperweights in the style of the Impressionists.", "topic": "Top/Arts/Crafts/Glass/Paperweights", "url": "http://www.raos.co.nz/"} +{"d:Title": "Gordon Smith Studios", "d:Description": "Fine custom made glass paperweights and Damascus knives.", "topic": "Top/Arts/Crafts/Glass/Paperweights", "url": "http://www.gordonsmithstudios.com/"} +{"d:Title": "Haeger Stained Glass", "d:Description": "Gallery of custom designed windows and mosaic murals for residential, commercial and ecclesiastical needs.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.haegerstainedglass.com/"} +{"d:Title": "Helen Watson Stained Glass", "d:Description": "A New Zealand glass artist with a portfolio of stained glass windows, lampshades and fairy suncatchers.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.stainedglass.co.nz/"} +{"d:Title": "Abb\u00e9 Nozal", "d:Description": "Gallery of abstract and expressive glass panels by Spanish artist Abb\u00e9 Nozal.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://nozal.com/vitral/"} +{"d:Title": "Theodore Ellison Designs", "d:Description": "Design and fabrication incorporating glass, wood and metal.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.theodoreellison.com/"} +{"d:Title": "Linda", "d:Description": "Portfolio of completed stained glass windows.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.sonic.net/~artisans/linda/"} +{"d:Title": "Scholes Studios", "d:Description": "Studio specializing in custom designed stained, sandblasted and fused glass.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.scholesstudios.com/"} +{"d:Title": "Hamilton Design Glass", "d:Description": "Includes a portfolio of stained glass commissions as well as free downloadable patterns and screen savers.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.stainedglass.com.au/"} +{"d:Title": "Derek Hunt Limelight Studios", "d:Description": "Stained glass artist and accredited conservationist from the UK. Recent commissions, including ecclesiastical work, materials and techniques, and restoration projects.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.limelightstudios.co.uk/"} +{"d:Title": "Galway Stained Glass", "d:Description": "Gallery of custom-made stained glass panels, windows, and lamp shades.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.esatclear.ie/~k2e/"} +{"d:Title": "John K Clark Glasspainter", "d:Description": "Features commissioned stained glass projects by Scottish glasspainter.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.glasspainter.com/"} +{"d:Title": "Rouault Studio", "d:Description": "Stained glass by Kita Mikio of Tokyo, Japan.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www5a.biglobe.ne.jp/~kitamiki/indexeng.html"} +{"d:Title": "Leadlight Craftsman", "d:Description": "Makers of both traditional and contemporary leadlights and stained glass. Located in Brisbane, Australia.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.leadlightcraftsman.com.au/"} +{"d:Title": "Stained Glass Info", "d:Description": "Information on studios, suppliers, manufacturers, membership organizations and other aspects of the stained glass industry.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.stainedglass.info/"} +{"d:Title": "Harriet Hyams", "d:Description": "Stained glass window artist for residential, commercial and ecclesiastical needs. Specializes in architectural windows.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.harriethyams.com/"} +{"d:Title": "Point Silence", "d:Description": "A gallery of contemporary works by stained glass artist Mark Shideler, including windows and panels.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.pointsilence.com/"} +{"d:Title": "Peter Mollica", "d:Description": "Architectural stained glass windows designed by Peter Mollica.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.petermollica.com/"} +{"d:Title": "Cole Sonafrank", "d:Description": "Examples of lead-free pieces plus tips and advice on working methods and materials.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.elvesofester.com/"} +{"d:Title": "Fredrick Stained Glass", "d:Description": "Features a gallery that includes lighting, windows and sidelights.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.fredrickstainedglass.com/"} +{"d:Title": "Cappy Thomson", "d:Description": "Presents a gallery of architectural windows, panels and vases in painted and leaded glass by Cappy Thompson.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://cappythompson.com/"} +{"d:Title": "Barton Art Glass Works", "d:Description": "An online gallery featuring works by artist Michael S. Barton. Includes original lamp shades and windows, made with stained or fused glass, as well as p\u00e2te de verre.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.bartonartglassworks.com/"} +{"d:Title": "Hamm Glass Studios", "d:Description": "Leaded and stained glass windows, doors, and lighting: historic restoration, reproductions and originals. In southern California.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.hammstudios.com/"} +{"d:Title": "Baxter Glass Art", "d:Description": "Features a gallery of windows, transoms, sidelights, cabinet panels, lamps, and mosaics by Anne Baxter. Based in Michigan.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.baxterglass.com/"} +{"d:Title": "Lost Art Originals", "d:Description": "Custom stained glass windows and hand painted tile by artist Sherry Yost.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.lostartoriginals.com/"} +{"d:Title": "The Judson Studios", "d:Description": "Features an online gallery of past commissions including architectural and ecclesiastical windows.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.judsonstudios.com/"} +{"d:Title": "Kuhl Studios", "d:Description": "Presents a gallery of contemporary architectural stained glass commissions.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.kuhlstudios.com/"} +{"d:Title": "Reflections Glass Studio", "d:Description": "Features contemporary stained glass designs by Brigitte Wolf and information about the An Droichead Art Collective.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.reflectionsglass.ca/"} +{"d:Title": "Artisan Genuine Stained Glass", "d:Description": "Traditional leaded work from Cheshire, UK. Ecclesiastical, conservation, Victorian, Edwardian, and arts and crafts windows plus lamps and antique windows.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.genuinestainedglass.com/"} +{"d:Title": "McHugh Art Studio", "d:Description": "Features a gallery of ecclesiastical windows.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.mchughart.com/"} +{"d:Title": "Cannon MacInnes", "d:Description": "Contemporary stained glass windows by artists Linda Cannon and Rab McInnes from Glasgow, Scotland. Includes biographies and gallery of restoration, ecclesiastical and Mackintosh work.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.cannon-macinnes.co.uk/"} +{"d:Title": "J. Piercey Studios", "d:Description": "Gallery of residential, commercial and ecclesiastical commissioned windows.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.jpiercey.com/"} +{"d:Title": "Luminosity Studios", "d:Description": "Present an online portfolio that include glassed enclosures, lighting, windows and panels.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.luminositystudios.com/"} +{"d:Title": "Gulsrud, Mark Eric", "d:Description": "Specializes in corporate, public, and liturgical arts incorporating stained and cast glass, fountains, sculpture and furnishings", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.markericgulsrud.com/"} +{"d:Title": "Kemper Studio", "d:Description": "Fabricates stained glass windows for residential, commercial and ecclesiastical needs.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.kemperstudio.com/"} +{"d:Title": "Tiffany Art Glass", "d:Description": "John Edward Zawadski designs stained glass and fused glass windows and entryways for residential, commercial and ecclesiastical needs. Original as well as Tiffany and Frank Lloyd Wright reproduction lamp shades.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.tiffanyartglass.us/"} +{"d:Title": "Loris Dawn Design", "d:Description": "Lampshades, candleholders, window panels and mirrors made of leaded glass globs jewels and wire.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.lorisdawn.com/"} +{"d:Title": "Century Studios", "d:Description": "Create stained glass Tiffany reproduction lamps. Gallery, studio and workshop.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.centurystudios.com/"} +{"d:Title": "Ted Hasenstaub", "d:Description": "Gallery of reproduction and original stained glass lamp shades.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.glasslamps.us/"} +{"d:Title": "New Hope Stained Glass", "d:Description": "Artisan Dan Burstein and designer Jill Burstein display Frank Lloyd Wright inspired window panels, custom designs and mirrors.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.newhopestainedglass.com/"} +{"d:Title": "Art Windows", "d:Description": "Gallery of stained glass windows and beveled glass windows by artist Rick Streitfeld of Huntington Beach, California. Serving Orange County and LA County.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.artwindows.com/"} +{"d:Title": "It's a Blast", "d:Description": "Carole Gray showcases past commissions made in a contemporary style, including mirrors, transoms, windows and candle holders. Local classes offered.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.itsablast.co.uk/"} +{"d:Title": "Martin McAssey Glass", "d:Description": "Stained glass, fused glass and mosaics courses in Sheffield, Chesterfield and the Peak District.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.martinmcassey.co.uk/"} +{"d:Title": "Leo Amery", "d:Description": "Presents the core elements of Leo Amery\u2019s work: stained glass for commission, stained glass for exhibition, courses, the studio, the artist and contact page.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.leo-amery-vitrail.com/"} +{"d:Title": "Glass Chat", "d:Description": "Warner-Crivellaro provides a stained glass message board.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.warner-criv.com/glasschat/"} +{"d:Title": "Glass Patterns Quarterly", "d:Description": "Magazine provides patterns and step-by-step photographic instructions for stained glass crafters.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.glasspatterns.com/"} +{"d:Title": "Gong Glass Works", "d:Description": "Presenting Nancy Gong's most recent work, including windows, entryways, glass sculptures and decorative accessories.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.nancygong.com/"} +{"d:Title": "Christian Ryan", "d:Description": "Specializes in the design and fabrication of contemporary architectural glass art.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.christianryan.com/"} +{"d:Title": "Zumwalt Studios", "d:Description": "Specializing in decorative and architectural painted and leaded stained glass windows. Includes artist biography and portfolio.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.zumwaltstudios.com/"} +{"d:Title": "Kim Jarvis", "d:Description": "An architectural stained glass artist based in the United Kingdom. Extensive examples of her work.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.kimjarvis.co.uk/"} +{"d:Title": "Judith Schaechter", "d:Description": "Online gallery of stained glass windows using flashed glass techniques.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.claireoliver.com/artists.html?artist_no=13"} +{"d:Title": "The Cavallini Company", "d:Description": "Showcase of stained glass and architectural mosaics created by the Cavallini family. San Antonio, Texas.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.cavallinistudios.com/"} +{"d:Title": "Rainbow Glass Studios", "d:Description": "Gallery of contemporary and traditional stained glass, fusing, acid etching, painting and glass sculpture.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://myweb.tiscali.co.uk/rainbowglassstudios/"} +{"d:Title": "Adventures in Dangerous Art", "d:Description": "A blog on the creation of glass art, documenting progress and things learned, as well as other craft projects.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.sapphireblue.com/dangerousart/"} +{"d:Title": "Bogenrief Studios", "d:Description": "Showcases Tiffany and art deco inspired panels, lamps, windows and ceilings by Mark and Jeanne Bogenrief.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.bogenriefstudios.com/"} +{"d:Title": "Leo Art Stained Glass Studio", "d:Description": "Offers custom and original design in traditional and contemporary stained and leaded glass, specializes in stained glass restoration and painting on the glass.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://leostudio.com/"} +{"d:Title": "Carol Lybanon Stained Glass", "d:Description": "Gallery of Judaic and decorative handmade stained glass pieces.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://home.earthlink.net/~sgcarol/index.html"} +{"d:Title": "Stained Glass Art by Kinuko Laskey", "d:Description": "Stained glass gallery with tutorial and patterns.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.members.shaw.ca/laskey8824/"} +{"d:Title": "Karal Studio", "d:Description": "Offers chess boards, tables, lampshades, panels and suncatchers. Located in New Zealand.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://karalnz.tripod.com/glass-achive.html"} +{"d:Title": "Robert Nechin", "d:Description": "Gallery of contemporary stained glass commissions for public buildings, synagogues and churches and private homes.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://www.glasswork1.com/"} +{"d:Title": "Matijns Tiffany Glas", "d:Description": "Outlines the making of a tiffany style lamp shade with photographs.", "topic": "Top/Arts/Crafts/Glass/Stained", "url": "http://home.online.nl/tiffany_glas/index_eng.htm"} +{"d:Title": "Michelli's History of Stained Glass", "d:Description": "Perette Elizabeth Michelli has gathered a collection of links to stained glass resources and added her own commentary, which provides a history.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.plinia.net/"} +{"d:Title": "The Windows of St. Chapelle, Paris, France. 1243-48", "d:Description": "A page of pictures of the windows of this church, by Prof. Jeffery Howe from Boston College. Part of his site 'A Digital Archive of Architecture'.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/chapelle.html"} +{"d:Title": "The Windows of St. Etienne, Bourges, France", "d:Description": "A page of pictures of the windows of this church, by Prof. Jeffery Howe from Boston College. Part of his site 'A Digital Archive of Architecture'.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/arch/bourges_glass.html"} +{"d:Title": "The Stained Glass Windows of Memorial Hall, Harvard University", "d:Description": "The collection of stained glass in Memorial Hall comprises a veritable museum of American stained glass. Descriptions and photos of the windows, by various English and American designers.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.fas.harvard.edu/~memhall/staingls.html"} +{"d:Title": "Margaret Agnes Rope and Margaret Edith Rope", "d:Description": "Biographies and works of the Rope cousins, who worked in the Arts and Crafts style, with photographs.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.arthur.rope.clara.net/"} +{"d:Title": "Friends of the Windows of St. James Chapel", "d:Description": "Aims to preserve the stained-glass windows created in 1918 by the John J. Kinsella Company at Archbishop Quigley Preparatory Seminary in Chicago. History, campaign news and calendar of events.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.windows.org/"} +{"d:Title": "Corpus Vitrearum Medii Aevi", "d:Description": "The British section (funded by the British Academy) of an international survey of stained glass. Searchable image database (with over 10,000 colour images), and online ordering for related publications.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.cvma.ac.uk/"} +{"d:Title": "Medieval Stained Glass Windows from Esslingen am Neckar", "d:Description": "Exhibit of 13th and 14th-century German stained glass. Full-color images, with commentary on background, techniques, construction, and artistic themes.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://home.bawue.de/~wmwerner/essling/english/glas01.html"} +{"d:Title": "The Stained Glass Museum, Ely Cathedral", "d:Description": "Houses a national collection of British stained glass. The illustrated online catalogue can be browsed by designer, subject, date or place. Includes brief biographies of designers.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.stainedglassmuseum.com/"} +{"d:Title": "Corpus Narratologica", "d:Description": "Stuart Whatling's high-resolution photographs of medieval narrative art, concentrating on French 13th century stained glass.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.medievalart.org.uk/"} +{"d:Title": "Light Screens: The Leaded Glass of Frank Lloyd Wright", "d:Description": "On-line version of an exhibition about Frank Lloyd Wright's designs for stained-glass windows.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.lightscreens.com/"} +{"d:Title": "The Windows of Judson", "d:Description": "History of the stained-glass windows designed by John La Farge in the 1890s for the socially aware, arts-oriented community at Judson Memorial Church, New York, and commentary on their restoration.", "topic": "Top/Arts/Crafts/Glass/Stained/History", "url": "http://www.fordham.edu/halsall/medny/kramer4.asp"} +{"d:Title": "Artists in Stained Glass", "d:Description": "An online association promoting stained glass art, with photos, news, articles, events, a message board, and links.", "topic": "Top/Arts/Crafts/Glass/Stained/Organizations", "url": "http://www.aisg.on.ca/"} +{"d:Title": "Association of Stained Glass Lamp Artists", "d:Description": "A non-profit association for the perpetuation, improvement, and promotion of stained glass lamp making. Features an online gallery.", "topic": "Top/Arts/Crafts/Glass/Stained/Organizations", "url": "http://www.asgla.com/"} +{"d:Title": "British Society of Master Glass Painters", "d:Description": "Promoting the conservation, restoration and history of stained glass. Includes portfolios of members, events, listings of courses and books, and links.", "topic": "Top/Arts/Crafts/Glass/Stained/Organizations", "url": "http://www.bsmgp.org.uk/"} +{"d:Title": "Stained Glass Association of America", "d:Description": "Provides information on Stained Glass Magazine and the Stained Glass School.", "topic": "Top/Arts/Crafts/Glass/Stained/Organizations", "url": "http://www.stainedglass.org/"} +{"d:Title": "Stained Glass Guild of Kansas", "d:Description": "A nonprofit organization of Kansas individuals interested in the understanding and appreciation of stained glass art.", "topic": "Top/Arts/Crafts/Glass/Stained/Organizations", "url": "http://stainedglassguild.tripod.com/"} +{"d:Title": "Filigree - Russian jewelry!", "d:Description": "Exhibition of handmade items by members of the Union Artists of Lithuania. Links to related sites.", "topic": "Top/Arts/Crafts/Jewelry", "url": "http://jewelry.narod.ru/"} +{"d:Title": "AllModern.de", "d:Description": "Vintage modernist jewelry: focus on artisan Scandinavian silver 1950s to 1980s.", "topic": "Top/Arts/Crafts/Jewelry", "url": "http://www.allmodern.de/"} +{"d:Title": "Ganoskin", "d:Description": "Presents articles, tips, and book reviews relating to various aspects of the gem and jewelry businesses.", "topic": "Top/Arts/Crafts/Jewelry", "url": "http://www.ganoksin.com/"} +{"d:Title": "Pycke", "d:Description": "Contemporary titanium, Braille and Morse jewellery designs.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.janpycke.com/"} +{"d:Title": "Goudji", "d:Description": "Contemporary goldsmith - jewelry and sculpture.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.goudji.com/"} +{"d:Title": "Keith E. Lo Bue", "d:Description": "Pictures of his work, and a workshop itinerary.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.lobue-art.com/"} +{"d:Title": "David Rice", "d:Description": "Works with platinum, silver, and precious stones. Gallery of examples, resume, and contact information.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.davidrice.com/"} +{"d:Title": "Janis Kerman Design", "d:Description": "Contemporary jewelry in precious metals and gems. Selected portfolio of one-of-a-kind and limited art work. Gallery and exhibition listings for North America.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.janiskermandesign.com/"} +{"d:Title": "Masha Archer", "d:Description": "Ethnic and dramatic jewelry bead art by one-of-a-kind designer. Necklaces, earrings, and hair ornaments.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.masha.org/"} +{"d:Title": "Giraffe Design Studio", "d:Description": "Courtney Kaye, artist and jewelry designer, Honolulu, Hawaii.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.angelfire.com/hi2/GiraffeDesign/"} +{"d:Title": "Designs By Slack Inc.", "d:Description": "Maker of gold cats, kittens, and precious stone animal jewelry including horses, bears, and wildlife. Item photos, price sheets, and store availability.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://designsbyslack.com/"} +{"d:Title": "Abrasha", "d:Description": "A metal artist and goldsmith creating contemporary jewelry from precious and non-precious materials. Shows processes, galleries, and resume.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.abrasha.com/"} +{"d:Title": "Ethnicity Etc", "d:Description": "Focus is ethnic jewelry, handcrafted from worldwide cultural ethnographic components. Designs, travels, and background.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://ethnicityetc.com/"} +{"d:Title": "Anthony Towne", "d:Description": "Creates fossil walrus ivory carvings and pendants. Origin of the ivory, photos and commentary on various pieces.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.wlotus.com/AnthonyTowne/"} +{"d:Title": "Seven Fingers", "d:Description": "Handcrafted jewelry by Tom Herman. Wedding rings, brooches, earrings, and necklaces. Most pieces feature semi-precious stones surrounded by carved gold or other precious metals. Show list and artist information.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.sevenfingers.com/"} +{"d:Title": "Aziz Kahli", "d:Description": "Creator of individual pieces in Montreal. Includes a virtual tour, selections from his collection with photos and information on the stones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.akahli.net/"} +{"d:Title": "Jacqueline Sanchez", "d:Description": "Handcrafted contemporary jewelry in gold, platinum, and silver. Designs are bold and geometric containing diamonds and black pearls.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.jacquelinesanchez.com/"} +{"d:Title": "Lucy Copleston Designer Jewelry", "d:Description": "Gold and silver designer jewellery handmade in Wales and bearing the UK hallmark.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.exclusivejewellery.co.uk/"} +{"d:Title": "Fine Rings and Things", "d:Description": "Creates in silver, gold, and platinum. Designs, how to order, and samples of custom pieces. In the UK.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.fineringsandthings.co.uk/"} +{"d:Title": "David Oscarson", "d:Description": "Collection of writing instruments, photo frames, cuff links, accessories, jewelry and objects in sterling silver and gold. Includes photos and techniques.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.davidoscarson.com/"} +{"d:Title": "Owen Sweet Custom Jewelry Design", "d:Description": "Offers hand crafted jewelry creations from Owen Sweet, as well as other designers. Gallery of works.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.ohsosweet.com/"} +{"d:Title": "Creations Lucas", "d:Description": "Diamond rings, earrings, bracelets and necklaces using cut coloured diamonds and clear diamonds set in 14kt and 18kt white gold, yellow gold, or platinum.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.creationslucas.ca/"} +{"d:Title": "Charmian Harris Jewelry", "d:Description": "Jewelry in gold, silver and semi-precious stones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.charmianharris.com/"} +{"d:Title": "Malcolm Betts", "d:Description": "Modern designs in platinum, Gold and Silver with Diamonds, Sapphires and Emeralds.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.malcolmbetts.com/"} +{"d:Title": "Milad Atelier", "d:Description": "Design and fabrication of original art jewelry pieces made from platinum, 18-22 karat gold, silver and precious stones. Located in Baltimore, Maryland.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.milad-atelier.com/"} +{"d:Title": "Fanny Fish Fine Jewelry Designs", "d:Description": "Fanny Fish, a San Francisco-based artist, creates a limited collection of fine jewelry that reflects a convergence of influences from ancient Mediterranean and modern Western.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.fannyfish.com/"} +{"d:Title": "Crafty Judy Designs", "d:Description": "Original designs in wire wrapped jewelry, vintage wear, ring bearer pillows and other fiber creations.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.craftyjudy.com/"} +{"d:Title": "Svetozar and Ruth Radakovich", "d:Description": "Fine jewelry, sculpture, and woodwork.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.radakovich.org/"} +{"d:Title": "Kathy Cherry Jewelry", "d:Description": "Hand crafted sterling silver, gold and gemstone jewelry designed and made by Kathy Cherry. Designs include bracelets, handmade chain, necklaces and earrings.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://kathycherry.com/"} +{"d:Title": "Sculptures to Wear", "d:Description": "Modern jewelry designs by Sabrina Fresko in silver and gold and semi precious stones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.sabrinafresko.com/"} +{"d:Title": "Sarah Suloff Jewelry Designs", "d:Description": "Hand crafted, one of a kind, precious and semi-precious jewelry designs based in Marin County, California.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.suloff.com/"} +{"d:Title": "Lucky Bean", "d:Description": "Spare, sculptural jewelry by a San Francisco designer.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.lucky-bean.com/"} +{"d:Title": "Akiyo Matsuoka", "d:Description": "Akiyo Matsuoka fine jewelry. Collection reflects aspects of nature transformed into delicate sculptural pieces of 18K gold with pave diamonds, pearls and semi precious stones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.akiyomatsuoka.com/"} +{"d:Title": "Jill O'Reilly Designs", "d:Description": "Works include bracelets, earrings, pins, and necklaces using sterling silver, 14K gold, 14/20 gold-filled, and natural gemstones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.jilloreilly.com/"} +{"d:Title": "Marco Designs", "d:Description": "Custom Jewelry Designer", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.marcodesigns.net/"} +{"d:Title": "Susan Franke", "d:Description": "Custom jewelry designer specializing in beadwork and enameling.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.susanfranke.com/"} +{"d:Title": "Eva Martin Jewelry", "d:Description": "Gallery of handcrafted fine jewelry, designed and made by Eva Martin.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.evamartin.com/"} +{"d:Title": "Jessica Beels Design", "d:Description": "Sculptural beadwork. Handwoven, one-of-a-kind and supremely wearable.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.jbeelsdesign.com/"} +{"d:Title": "Marya Dabrowski", "d:Description": "Displays samples of her handmade designer jewelry in gold and silver with pearls and precious and semi-precious stones, inspired by ancient influences.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.maryadabrowski.com/"} +{"d:Title": "Joyce Clements", "d:Description": "Metal sculptures and jewelry in precious metals and stones. Gallery, exhibit information and contact information.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.joyceclements.com/"} +{"d:Title": "Lolly Harrison", "d:Description": "Fine custom handwrought jewelry and accessories. Sterling silver belt buckles, cuff links, money clips and key rings.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.lollyharrison.com/"} +{"d:Title": "Just Liz", "d:Description": "Designs in sterling silver reflecting clean flowing lines.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.justliz.net/"} +{"d:Title": "Amy J Jewelry", "d:Description": "Boston based artist Amy J. transforms ordinary objects such as sea glass and subway tokens into beautiful pieces of jewelry.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.ajensen.com/"} +{"d:Title": "Maurizio Borghi", "d:Description": "Italian handmade jewels, made using traditional techniques.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.mborghi.com/"} +{"d:Title": "Susan Sarantos Jewelry Designer/Goldsmith", "d:Description": "Specializing in precious multicolor metals and gems.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.sarantos.com/"} +{"d:Title": "Pamm Chott - Portfolio of original Jewelry", "d:Description": "Award winning studio artist creating original contemporary jewelry.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.silverhawk.com/ex99/exhibit/arch/main.html"} +{"d:Title": "Patrick Lionnet Paris Joaillerie", "d:Description": "Jewelry in precious metals and stones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://mapage.noos.fr/patrick_lionnet/"} +{"d:Title": "Laurie Kaiser Jewelry", "d:Description": "Uses semi-precious stones, shells, crystals and pearls for jewelry in both classic and casual styles. Includes photo gallery, artist's profile, and list of retailers.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.lauriekaiser.com/"} +{"d:Title": "Vladimir Rozkovec", "d:Description": "Leather art work jewelry, pictures, sculptures, clocks, mirrors and boxes.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.rozkovec.info/"} +{"d:Title": "Originals by Omar", "d:Description": "Gold and silver jewelry featuring cats, dogs, horses, and sports.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.originalsbyomar.com/"} +{"d:Title": "Vannetta Seecharran", "d:Description": "Designer/maker of contemporary jewellery as one-of pieces of art and commissions.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.vannettaseecharran.com/"} +{"d:Title": "Lesley Strickland", "d:Description": "British jeweller specialising in the use of cellulose acetate combined with sterling silver.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.lesley-strickland.co.uk/"} +{"d:Title": "Alan Craxford", "d:Description": "British jeweller makes contemporary pieces of gem-set jewellery in precious metals.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.alancraxford.com/"} +{"d:Title": "Camilla Gough", "d:Description": "Contemporary precious metal jewellery designed by Camilla Gough.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.camillagough.com/"} +{"d:Title": "Windwalker Designs", "d:Description": "Designer custom jewelry in gold, diamonds and gemstones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://windwalkerdesigns.com/"} +{"d:Title": "Designs by Nanami", "d:Description": "3D jewelry designer. Diamonds, gemstones, birthstones.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.agstudio.ca/agjewels.html"} +{"d:Title": "Patrick Jelk", "d:Description": "South Australian artist offers sculptural jewellery. Gallery of recent work and collections, list of past exhibitions and brief biography.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.pjelk.com/"} +{"d:Title": "Gail Crosman Moore", "d:Description": "Handmade jewelry made from felt and glass beads. Gallery of work and show schedule.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://gailcrosmanmoore.com/"} +{"d:Title": "Olle Ohlsson", "d:Description": "Playful pieces in silver and gold.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.olleohlsson.com/"} +{"d:Title": "Siegfried De Buck", "d:Description": "Showcase of jewellery designer Siegfried De Buck, Gent, Belgium.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://users.telenet.be/robbell/sdb/"} +{"d:Title": "Sarah C. Chapman", "d:Description": "Studio metalsmith working with sterling silver, copper, brass, and sometimes gold to create jewelry. Gallery, brief biography, and retail/show locations.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.chapmanmetals.com/"} +{"d:Title": "Zoran Designs", "d:Description": "Contemporary jewelry in sterling silver and gold, sculpted by Zoran and Maja Prvanovic.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.zorandesigns.com/"} +{"d:Title": "Shar Designs", "d:Description": "Unique pieces of hand-crafted glass bead art jewelry, using free form weaving to create layers of color and texture in necklaces, earrings and bracelets. Photo gallery and calendar of shows and events.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://shardesigns.com/"} +{"d:Title": "Anita Elaine Peters", "d:Description": "Jewelry artist combines wire with unusual stones using wrapping, sculpture, chain maille, coiling and hand forging techniques. Includes show schedule, photo gallery, and custom design information.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.fashionstones.com/"} +{"d:Title": "Emily J. Snyder", "d:Description": "Portfolio of American artisan, comprising modern calligraphy, jewelry bead work, and portraiture.", "topic": "Top/Arts/Crafts/Jewelry/Artists", "url": "http://www.emilyjsnyder.com/"} +{"d:Title": "Beaded Flowers", "d:Description": "Information on how to make beaded flowers. Pictures, techniques, examples, patterns and many links.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://beadedflowers.tripod.com/"} +{"d:Title": "Beads N Such with Earla Phillips", "d:Description": "Personal site includes photo gallery and links.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.angelfire.com/nv/beadsnsuch/"} +{"d:Title": "Korok Beads", "d:Description": "Beaded jewelry by Kor.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.angelfire.com/fl2/korok/beads.html"} +{"d:Title": "Beadwork at About.com", "d:Description": "Features free beading patterns, product reviews, tutorials, resource links and beadwork articles.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://beadwork.about.com/"} +{"d:Title": "Rings and Things", "d:Description": "Informational page listing various facts about bead colors, bead sizes, seed beads and birthstones.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.rings-things.com/BEADS.HTM"} +{"d:Title": "Suzanne Cooper's Bead and Stained Glass", "d:Description": "Features technique instruction pages, free patterns, kits, bulletin board and several photo galleries.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.suzannecooper.com/"} +{"d:Title": "CheckerBeads", "d:Description": "Free Beadwork patterns and projects.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://checkerbeads.tripod.com/"} +{"d:Title": "Beaded Bezel Cabochon", "d:Description": "A beginner-to-intermediate seed bead project written by Ann Brodrick.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://beadwork.joust.net/BeadedBezelCabochon.html"} +{"d:Title": "White Buffalo", "d:Description": "Gallery of beaded and silverwork jewelry.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.ytbuff.com/"} +{"d:Title": "Jewelry by Hillary", "d:Description": "Intricate beaded jewelry by Hillary A. Ernzer, an artisan in Germany. Designs are enhanced with freshwater pearls and crystals.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.jewelrybyhillary.com/"} +{"d:Title": "beAd Infinitum", "d:Description": "Features beaded bead work by Florence Turnour and Gwen Fisher. Includes gallery, information about workshops, kits and instructions.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://webpages.charter.net/florencebeads/"} +{"d:Title": "Making Beaded Jewelry", "d:Description": "Step by step illustrated projects and technique tutorials, lists of shows, suppliers, magazines, and other resources.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.making-beaded-jewelry.com/"} +{"d:Title": "The Beading Gem's Journal", "d:Description": "Presents jewelry making news, information, tips and tutorials as well as book reviews.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.beadinggem.com/"} +{"d:Title": "Swarovski AG", "d:Description": "Provides basic jewelry making information as well information about Swarovski crystal beads.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.create-your-style.com/"} +{"d:Title": "Beading Daily", "d:Description": "A daily blog that provides free jewelry making instructions and projects, jewelry artist interviews, beaded jewelry galleries and a jewelry making resource listing.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.beadingdaily.com/"} +{"d:Title": "Guide to Beadwork", "d:Description": "Learn several bead weaving stitches for jewelry making in the online version of the book, Guide to Beadwork by Greg Vinson.", "topic": "Top/Arts/Crafts/Jewelry/Beads", "url": "http://www.guidetobeadwork.com/p,pid,26-guide-to-beadwork-book.php"} +{"d:Title": "Bead Society of Greater New York", "d:Description": "Offering bead workshops, newsletters, monthly meetings, and special events.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Associations", "url": "http://nybead.org/"} +{"d:Title": "Rocky Mountain Bead Society", "d:Description": "What's happening with BEADS in the Denver/Metro area!", "topic": "Top/Arts/Crafts/Jewelry/Beads/Associations", "url": "http://rockybeads.org/"} +{"d:Title": "Austin Bead Society", "d:Description": "Society in Texas has membership information, printable application and calendar of events online.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Associations", "url": "http://www.austinbeadsociety.org/"} +{"d:Title": "Upper Midwest Bead Society", "d:Description": "Meets in Minneapolis, Minnesota. Membership information and meeting schedule, calendar of events and classes, links to member sites and bead societies.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Associations", "url": "http://umbeads.tripod.com/"} +{"d:Title": "South Jersey Bead Society", "d:Description": "A non-profit informal society of individuals interested in sharing information and techniques in the art and delight of beading.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Associations", "url": "http://www.southjerseybeadsociety.org/"} +{"d:Title": "Great Lakes Beadworkers Guild", "d:Description": "Featured artist information, member galleries, calendar of events, and bead-related links from this southeast Michigan-based guild.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Associations", "url": "http://www.greatlakesbeadworkersguild.org/"} +{"d:Title": "The Bead Renaissance Shows", "d:Description": "A traveling bead show of the western United States. Provides a list of show dates and exhibitors.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Events", "url": "http://www.beadshow.com/"} +{"d:Title": "Interweave Bead Fest", "d:Description": "A wholesale and retail traveling bead show offering jewelry making classes. Provides show dates and registration information.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Events", "url": "http://www.beadexpo.com/"} +{"d:Title": "Intergalactic Bead and Jewelry Shows", "d:Description": "Traveling bead show serving the eastern United States. Provides show dates, locations and exhibitors.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Events", "url": "http://www.beadshows.com/"} +{"d:Title": "Bead and Button Show", "d:Description": "An annual bead show, hosted by Bead&Button magazine, in Milwaukee, WI, USA. Provides teacher and exhibitor information and workshop registration.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Events", "url": "http://www.beadandbuttonshow.com/"} +{"d:Title": "Innovative Beads Expo", "d:Description": "A retail and wholesale traveling bead show of primarily the northeastern United States. Provides show dates, locations, exhibitors, and registration for beading classes.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Events", "url": "http://www.innovativebeadsexpo.com/"} +{"d:Title": "Canadian Bead Oasis Shows", "d:Description": "A bi-annual retail bead show held in Toronto, Canada. Provides show dates, locations, exhibitors, and registration for beading classes.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Events", "url": "http://www.nlmglassarts.com/beadshow/"} +{"d:Title": "American Bead Shows", "d:Description": "A wholesale and retail traveling jewelry and bead show of the eastern United States. Provides a list of show dates and exhibitors, and registration for beading classes.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Events", "url": "http://americangemexpo.com/"} +{"d:Title": "Tiffany McCoy", "d:Description": "A gallery of elegantly handcrafted lampwork beads and jewelry.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Handmade_Beads", "url": "http://www.tiffanymccoy.com/"} +{"d:Title": "Golem Design Studio", "d:Description": "An image gallery of hand shaped and colored ceramic beads and pendants.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Handmade_Beads", "url": "http://www.golemstudio.com/"} +{"d:Title": "Bead and Button Magazine", "d:Description": "Projects, subscription information, and back issues available online.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Publications", "url": "http://www.beadandbutton.com/"} +{"d:Title": "Beautiful Beads: 45 Beading Craft Projects E-book", "d:Description": "Instructions for making beaded necklaces, bracelets, and earrings.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Publications", "url": "http://www.favecrafts.com/Beading/Beautiful-Beads-eBook"} +{"d:Title": "The Bead Site", "d:Description": "The Center for bead research is an international institution for the study of beads of all places and periods.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Resources", "url": "http://www.thebeadsite.com/"} +{"d:Title": "Beadwrangler's", "d:Description": "Very large non-commercial site for beading and fiber arts.", "priority": "1", "topic": "Top/Arts/Crafts/Jewelry/Beads/Resources", "url": "http://www.beadwrangler.com/"} +{"d:Title": "Beadage", "d:Description": "Learn to make handcrafted jewelry with simple, illustrated instructions. Free beading information, instructions, and projects.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Resources", "url": "http://www.beadage.net/"} +{"d:Title": "Bead Collector Network", "d:Description": "Information about collectible ancient, antique, vintage and modern beads. Includes histories, images and a forum.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Resources", "url": "http://www.beadcollector.net/"} +{"d:Title": "Beader's Resource Guide", "d:Description": "Lists a variety of beading and jewelry making resources. Includes an online and offline bead store locator as well as a bead show directory.", "topic": "Top/Arts/Crafts/Jewelry/Beads/Resources", "url": "http://www.beadersresourceguide.com/"} +{"d:Title": "The Rock Tumbling Hobby Site", "d:Description": "Step by step guide, including tips on equipment and stone selection, preparation, and polishing.", "topic": "Top/Arts/Crafts/Jewelry/Gemstones", "url": "http://www.rocktumblinghobby.com/"} +{"d:Title": "Mars Unique Jewelry Design", "d:Description": "Showcase and catalog of artisan jewelry crafted in fine metals and gemstones.", "topic": "Top/Arts/Crafts/Jewelry/Gemstones", "url": "http://www.jewelrybymars.com/"} +{"d:Title": "Italian Goldsmith's Art", "d:Description": "Exploring the techniques, history and design culture behind Italian gold ornaments.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.goldsmith.it/"} +{"d:Title": "Modern Silver Magazine", "d:Description": "Offers information related to vintage modern silver jewelry, objects, and decorative arts items.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.modernsilver.com/"} +{"d:Title": "New Approach School for Jewelers", "d:Description": "Offers jewelry training in stone setting and platinumsmithing, mixes traditional craftsmanship with 3-D learning tools.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.newapproachschool.com/"} +{"d:Title": "Jewelry Making Meetup", "d:Description": "Promoting local gatherings among jewelry making enthusiastics.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://jewelry.meetup.com/"} +{"d:Title": "The Jeweler's Resource Bureau", "d:Description": "The Jewelers Resource Bureau provides marketing information for the jewelry maker and designer.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.jewelersresource.com/"} +{"d:Title": "Metalwerx", "d:Description": "Metalwerx is both a school and a community studio in Boston.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.metalwerx.com/"} +{"d:Title": "Revere Academy", "d:Description": "Revere Academy of Jewelry Arts offers short classes and Diploma Programs on jewelry making", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.revereacademy.com/"} +{"d:Title": "Foundry Educational Foundation", "d:Description": "Offers educational programs for students and educators in the metal casting industry.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.fefinc.org/"} +{"d:Title": "North Bennett Street School", "d:Description": "Offers courses in jewelry fabrication and repairs for bench jewelers.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.nbss.edu/"} +{"d:Title": "Jewelry Making Daily", "d:Description": "Features jewelry-making, gem-cutting, beading, gem carving, and mineral and fossil collecting. Subscriptions, show schedules, and classifieds.", "topic": "Top/Arts/Crafts/Jewelry/Jewelry_Making", "url": "http://www.jewelrymakingdaily.com/"} +{"d:Title": "Hong Kong Jewelry Express Magazine", "d:Description": "Publication by the Hong Kong Jewelry Manufacturers' Association. Includes products, supplier search, and exhibition schedule.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "http://www.jewelry.org.hk/"} +{"d:Title": "Swisstime", "d:Description": "Devoted to watchmaking news and a showcase for Swiss watch production. News, products, and related links.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "http://www.swisstime.ch/"} +{"d:Title": "Professional Jeweler", "d:Description": "Targeted for retailers. Subscription information, show calendar, and classifieds.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "http://www.professionaljeweler.com/"} +{"d:Title": "Chasing: Ancient Metalworking Technique with Modern Applications", "d:Description": "Describes metal technique and serves as a source for updated information.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "http://www.chasingmetal.com/"} +{"d:Title": "Instore Magazine", "d:Description": "Magazine for American jewelry store owners. Includes focused, relevant and useful information and a forum.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "http://www.instoremag.com/"} +{"d:Title": "Brain Press Publications", "d:Description": "Online articles by a master goldsmith Charles Lewton-Brain. Includes forums, library, and gallery.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "http://www.brainpress.com/"} +{"d:Title": "Wire Jeweller", "d:Description": "Wire art projects, wire jewelry information, beading, wire artist group, color, ideas, events and wire art history. Sample articles and subscription information.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "https://www.wirejeweler.com/"} +{"d:Title": "Kate Lord Brown", "d:Description": "Jewelry blog. Personal interest in various types and styles.", "topic": "Top/Arts/Crafts/Jewelry/Magazines_and_E-zines", "url": "http://katelordbrown.blogspot.com/"} +{"d:Title": "The Asian Institute of Gemological Sciences", "d:Description": "Features educational and research institute devoted exclusively to the training of students in the scientific and practical aspects of gemology and the gem trade.", "topic": "Top/Arts/Crafts/Jewelry/Schools", "url": "http://www.aigsthailand.com/"} +{"d:Title": "Fredricka Kulicke School of Jewelry Art", "d:Description": "Teaches the art of jewelry making by interpreting ancient jewelry designs. Information on courses and workshops, enrollment form, and samples of designs. In Parsippany, New Jersey.", "topic": "Top/Arts/Crafts/Jewelry/Schools", "url": "http://www.kulickejewelryschool.com/"} +{"d:Title": "Jinks McGrath - Jeweller", "d:Description": "Information about jewellery, books, courses and workshops.", "topic": "Top/Arts/Crafts/Jewelry/Schools", "url": "http://www.jinksmcgrath.com/"} +{"d:Title": "William Holland Lapidary School", "d:Description": "Classes include beading, cabochons, silver, glass fusing, casting, gem and mineral ID, wirewrapping, chain making, glass bead making, silver clay, faceting, stained glass, opals. Hobby oriented craft jewelry making school.", "topic": "Top/Arts/Crafts/Jewelry/Schools", "url": "http://www.lapidaryschool.org/"} +{"d:Title": "Colorado Academy of Silversmithing and Art Metal", "d:Description": "Jewelry making, silversmithing, casting, art metal, wire sculptures, lapidary and rock collecting resources.", "topic": "Top/Arts/Crafts/Jewelry/Schools", "url": "http://users.frii.com/dnorris/index2.html"} +{"d:Title": "Ravelry", "d:Description": "Community for knitters, crocheters, designers, spinners, and dyers to keep track of their yarn, tools, patterns, and projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet", "url": "http://www.ravelry.com/"} +{"d:Title": "Yarn Lovers Room", "d:Description": "Free knitting and crochet patterns, tips, instructions, convert patterns, granny square swap, list of local groups, donation requests, and merchandise.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet", "url": "http://www.knitting-crochet.com/index.html"} +{"d:Title": "Standards and Guidelines for Crochet and Knitting", "d:Description": "Includes abbreviations, sizing charts, yarn weights, downloadable symbols, tips for reading patterns, and advice for designers.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet", "url": "http://www.yarnstandards.com/"} +{"d:Title": "Hook and Needles", "d:Description": "Crochet and knitting blog that includes tips, patterns, techniques, and reviews.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet", "url": "http://www.hookandneedles.com/"} +{"d:Title": "Yahoo Group: Debs Dishcloth Demons", "d:Description": "Group that knits and crochets dishcloths. Bimonthly exchanges and events.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet", "url": "http://groups.yahoo.com/group/debs_dishcloth_demons/"} +{"d:Title": "Knit and Crochet Now", "d:Description": "PBS television show. Includes free patterns, station listings, and DVD ordering.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet", "url": "http://www.knitandcrochetnow.com/"} +{"d:Title": "Craft Yarn Council: Learning Center", "d:Description": "Includes basics, tutorials, quick and easy patterns, FAQ, and a forum.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet", "url": "http://www.craftyarncouncil.com/learn-home.html"} +{"d:Title": "Crochet 'N' More", "d:Description": "Free patterns, tutorials, charity links, tips, and how to read a pattern. Also offers crochet chat, message boards, newsletter, books, and magazines.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://www.crochetnmore.com/"} +{"d:Title": "About.com: Crochet", "d:Description": "News on seasonal trends, free pattern and graph downloads, chat and forums, tutorials, and information on most aspects and variations of the craft, including Freeform.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://crochet.about.com/"} +{"d:Title": "By The Hook", "d:Description": "Free patterns, message board, 1001 uses for scrunchies, poetry and humor, instructions, and related links.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://www.angelfire.com/biz/bythehook/"} +{"d:Title": "Crochet Cabana", "d:Description": "Basic terms dictionary, charities, and free patterns for afghans, scarves, and shawls.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://www.crochetcabana.com/"} +{"d:Title": "Crochet Me", "d:Description": "An online crochet magazine, updated throughout the year, providing free patterns and original features.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://www.crochetme.com/"} +{"d:Title": "Crochet Uncut", "d:Description": "Provides articles, reviews of supplies, notions, and patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://crochetuncut.com/"} +{"d:Title": "Wikipedia: Crochet", "d:Description": "History of the craft and international terms and notations.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://en.wikipedia.org/wiki/Crochet"} +{"d:Title": "YarnYokel", "d:Description": "Offers personalized graphs, patterns and designs, artwork gallery and directory.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://www.yarnyokel.com/"} +{"d:Title": "Moogly", "d:Description": "Free designs and how-to videos and tutorials", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://www.mooglyblog.com/"} +{"d:Title": "Meladora's Crochet and Knitting Tutorials", "d:Description": "Specialises in free video tutorials and step by step instructions..", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet", "url": "http://www.meladorascreations.com/"} +{"d:Title": "Tribe: Crochet", "d:Description": "Forum to talk about crochet.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Chats_and_Forums", "url": "http://crocheters.tribe.net/"} +{"d:Title": "BellaOnline Crochet Forum", "d:Description": "Message board for help with patterns or discussion of projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Chats_and_Forums", "url": "http://www.bellaonline.com/site/crochet"} +{"d:Title": "Crochetville", "d:Description": "Forum for all ages and skill levels.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Chats_and_Forums", "url": "http://www.crochetville.com/community/"} +{"d:Title": "Granny's Garret : Symbol Crochet", "d:Description": "Detailed explanation of diagrams, abbreviations and basic symbols.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/FAQs,_Help,_and_Tutorials", "url": "http://grannys-garret.com/symbol_crochet/symbol_crochet.html"} +{"d:Title": "Yarn Lovers Room", "d:Description": "Great solutions to various crochet problems. Email your short cut.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/FAQs,_Help,_and_Tutorials", "url": "http://www.knitting-crochet.com/tip.html"} +{"d:Title": "Easy and Cheap Crafts", "d:Description": "Converting patterns between knitting to crochet.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/FAQs,_Help,_and_Tutorials", "url": "http://www.planetshoup.com/easy/tips/convert.shtml"} +{"d:Title": "Knitting Anyway", "d:Description": "Russian join for changing yarn colors.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/FAQs,_Help,_and_Tutorials", "url": "http://www.knittinganyway.com/freethings/russianjoin.htm"} +{"d:Title": "CGOA", "d:Description": "Basic lessons from the Crochet Guild of America.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/FAQs,_Help,_and_Tutorials", "url": "http://www.crochet.org/lessons/lessonr/lessonr.html"} +{"d:Title": "Woolcrafting", "d:Description": "Offers a tutorial. Learn felting techniques and about caring for wool garments.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/FAQs,_Help,_and_Tutorials", "url": "http://www.woolcrafting.com/"} +{"d:Title": "Patty's Filet and Crocheting Page", "d:Description": "Filet tutorial, crochet companion outlining the basics, abbreviations and terms, over a hundred free patterns, and related links.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/FAQs,_Help,_and_Tutorials", "url": "http://www.crochetpatty.com/filet.html"} +{"d:Title": "Hugs and Stitches", "d:Description": "Christian group that makes preemie hats, blankies and booties for hospitals, as well as items for women's shelters, clinics, and nursing homes.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/For_Charity", "url": "http://groups.yahoo.com/group/hugs_and_stitches/"} +{"d:Title": "Angels for Hope", "d:Description": "Crocheted angels available free of charge to those who are severely injured or chronically ill, as well as their caregivers. Request an angel, help crochet them for others, or find out how to donate supplies.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/For_Charity", "url": "http://www.angelsforhope.org/"} +{"d:Title": "Crochet Club of London", "d:Description": "Ontario, Canada group that crochets afghans, lapghans, and baby blankets for those in need. Includes press coverage, photo gallery, and meeting schedule.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/For_Charity", "url": "http://crochetclub.ca/"} +{"d:Title": "Crochet UK", "d:Description": "Provides information on supplies and technique which is relevant to those in the United Kingdom.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/crochetuk/"} +{"d:Title": "Crochet Club", "d:Description": "Diverse group which offers tips, friendship and advice. Also favorite links, group news, and donated patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://www.angelfire.com/mo2/crochetinfo/"} +{"d:Title": "Crochetlist", "d:Description": "Diverse, multi-country community with members of all ages, including children. Crochet is the predominant topic but other crafts are discussed.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/crochetlist/"} +{"d:Title": "Crochet Bags", "d:Description": "Offers email discussion, beginner and advanced bag exchange, pictures of bags made by members, and free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/CrochetBags/"} +{"d:Title": "Crochet Snowflakes", "d:Description": "Group shares information on patterns, materials and designing tips. Members also discuss interesting crochet threads, exotic hooks and embellishments.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/CrochetSnowflakes/"} +{"d:Title": "Crochet School", "d:Description": "Provides a forum for the discussion of technique in this craft. Suitable for beginners and advanced alike.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/Crochet_School/"} +{"d:Title": "Miniature Crochet", "d:Description": "Group for people who are interested in this art form. Patterns as well as technique are discussed.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/MiniatureCrochet/"} +{"d:Title": "Crochethook", "d:Description": "Forum to discuss all aspects of the craft and to share tips and experiences. Group also has some free patterns and a message board.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://www.angelfire.com/oh/crochethook/Contents.html"} +{"d:Title": "Free Form Crochet", "d:Description": "Offers discussion about the technique of combining textures, shapes and colors in this craft.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/freeformcrochet/"} +{"d:Title": "Crochet Talk", "d:Description": "Information, chats and exchanges with suggestions for various topics.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/CrochetTalk/"} +{"d:Title": "The Tunisian Crochet Group", "d:Description": "Chats, projects, stitch library, and Book list, some pictures.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/tunisiancrochet/"} +{"d:Title": "Crochet Partners", "d:Description": "Share thoughts, comments and knowledge about the craft with others. Skill level from beginners to advanced.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Mailing_Lists", "url": "http://groups.yahoo.com/group/crochetpartners/"} +{"d:Title": "CGOA: Toronto, Canada Chapter", "d:Description": "Provides promotional, charitable, and educational activities. Also offers an online mailing list.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Organizations", "url": "http://torontohookups.org/"} +{"d:Title": "CGOA: New York City, New York Chapter", "d:Description": "Local Chapter for NYC and nearby areas, providing classes and monthly meetings.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Organizations", "url": "http://www.nyccrochetguild.org/"} +{"d:Title": "Crochet Guild Of America", "d:Description": "CGOA is a national non-profit organization dedicated to promoting the art of crochet. Includes membership information, message board, exhibits, patterns, and newsletters.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Organizations", "url": "http://www.crochet.org/"} +{"d:Title": "Bubamara Design", "d:Description": "Specializes in unique designs for clothing, snowflakes and bags.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.angelfire.com/art/bubamara/free/freepatterns.html"} +{"d:Title": "Jennifer Down Under", "d:Description": "Original thread and yarn designs which include bags, slippers, fridge magnets, child's dress and toilet tissue cover.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://members.optusnet.com.au/we2/patterns.html"} +{"d:Title": "Yarn Lover's Room", "d:Description": "Baby and holiday items, afghans, flags, pet jackets, wearables, and household projects. Also offers exchanges and abbreviations and terms.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.knitting-crochet.com/crochet/"} +{"d:Title": "Antique Crochet Patterns", "d:Description": "Vintage patterns that have passed into the public domain. Restored from leaflets of companies that include Coats and Clark, Fleisher's, Lacey's, and Jack Frost.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.antiquecrochetpatterns.com/"} +{"d:Title": "Sue's CrochetandKnitting.com", "d:Description": "Easy and advanced designs including curtains, sneakers, hats and gloves. Includes abbreviations guide and hook size conversion chart.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.crochetandknitting.com/patterns.htm"} +{"d:Title": "Nezumiworld", "d:Description": "Offers information and techniques. Wire, filet and granny square designs.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.nezumiworld.com/"} +{"d:Title": "Kippa Designs", "d:Description": "Specializes in designs, photographs and patterns for hand-made kippot (yarmulkes).", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.kippadesigns.co.uk/"} +{"d:Title": "Age's Crochet Pages", "d:Description": "Afghans, doilies and coasters, wearables, granny squares, holidays, toys and dolls, household, and pets. Crochet dictionary available.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://strngbn.tripod.com/patterns.html"} +{"d:Title": "God's Tiny Angels", "d:Description": "Selection of designs for blankets, baby items, hats and a few knitting patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://danettesangels.tripod.com/patterns/index.html"} +{"d:Title": "Vintage Crocheting", "d:Description": "Vintage patterns that have passed into the public domain. Most patterns are reproduced from Beeton's Book of Needlework originally published in 1870.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://vintagecrocheting.blogspot.com/"} +{"d:Title": "My Recycled Bags", "d:Description": "Baby, kitchen, bath, purses and totes, accessories and clothing, holiday, and rugs.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.myrecycledbags.com/"} +{"d:Title": "Beginner Crochet Patterns", "d:Description": "Offers easy and free beginner designs and tutorials for hats, bags, jewelry, flowers, applique and baby blankets.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.beginner-crochet-patterns.com/"} +{"d:Title": "Crochenit Creations", "d:Description": "Designs which use a double-ended crochet hook. Includes term definitions and basic stitches.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.crochenit.com/free.html"} +{"d:Title": "The Stitchin Mommy", "d:Description": "Baby blankets, hats and accessories, bags and purses, scarves, and shawls. Also includes Crochet Alongs.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://thestitchinmommy.com/"} +{"d:Title": "Cre8tion Crochet", "d:Description": "Apparel, accessories, home decor, and baby. Also includes crochet tutorials and videos.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://cre8tioncrochet.com/"} +{"d:Title": "Little Monkeys Crochet", "d:Description": "Flowers and embellishments, home decor, hats and accessories, baby, bags and purses, and scarves. Also includes Crochet Alongs.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://littlemonkeyscrochet.com/"} +{"d:Title": "Jackie's Crochet and Knitting", "d:Description": "Granny squares, coasters, bookmarks, ornaments, and an afghan.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.crochet-knit.com/crochet/free-crochet-patterns.html"} +{"d:Title": "Lots of Crochet Stitches", "d:Description": "Stitches, motifs, techniques, and patterns. Includes information regarding tools of crochet and abbreviations.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.lotsofcrochetstitches.com/"} +{"d:Title": "Crochet Geek", "d:Description": "Crochet video tutorials, patterns and stitch instructions, abbreviation chart, and information about pricing your finished crochet work.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.crochetgeek.com/"} +{"d:Title": "Cats-Rockin-Crochet", "d:Description": "Selection of baby and adult hat patterns. Also includes video tutorials, size charts, and a collection of vintage images of crochet items.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://cats-rockin-crochet.blogspot.com/"} +{"d:Title": "Free-Crochet.com", "d:Description": "Accessories, afghans, clothes, doilies, baby, and home decor. Videos and glossary included.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "https://www.free-crochet.com/"} +{"d:Title": "Free Vintage Crochet", "d:Description": "Vintage patterns that have passed into the public domain. Restored from leaflets of companies that include Spool Cotton Company, American Thread Company, Jack Frost Yarn Company, and Coats and Clark.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://freevintagecrochet.com/"} +{"d:Title": "About.com: Crochet", "d:Description": "Afghans, angels, animals, baby items, doilies, hats, and seasonal and holiday. Glossary of terms and abbreviations, tips and troubleshooting, and stitch instructions available.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://crochet.about.com/od/freecrochetpatterns/"} +{"d:Title": "Repeat Crafter Me", "d:Description": "Pattern squares, hats, coasters, rugs, appliques, and toys. Crochet and crafting tutorials available.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns", "url": "http://www.repeatcrafterme.com/"} +{"d:Title": "Crochet Pattern Central", "d:Description": "Links to afghans, baby items, bedroom, doilies, home decor, kitchen, potholders, shawls and stoles, scarves, slippers and socks, and tablecloths.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns/Directories", "url": "http://www.crochetpatterncentral.com/"} +{"d:Title": "All Free Crochet", "d:Description": "Links to accessories, afghans and blankets, baby, bags and purses, doilies, gloves and mittens, home decorating, and kitchen and dining. Also offers video tutorials and giveaways.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns/Directories", "url": "http://www.allfreecrochet.com/"} +{"d:Title": "Crochet At Play", "d:Description": "Links to hats, afghans, baby and child clothing, household items, purses and bags, shawls, warm wear, and women's clothing.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns/Directories", "url": "http://crochetatplay.com/"} +{"d:Title": "All Free Crochet Afghan Patterns", "d:Description": "Links to baby blankets, crochet quilts, granny squares, join as you go, mile-a-minute, and throws. Also offers video tutorials and tips.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns/Directories", "url": "http://www.allfreecrochetafghanpatterns.com/"} +{"d:Title": "Crochet Pattern Bonanza", "d:Description": "Links to accessories, afghans, baby, bags and purses, bath, children, dolls, edgings, hats, home decor, men's and women's items.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns/Directories", "url": "http://crochetpatternbonanza.com/"} +{"d:Title": "Barb's Crochet", "d:Description": "Links to afghans, baby and toddler, children's clothes, dishcloths, doilies, hats and caps, mittens and gloves, ponchos, potholders, purses and bags, rugs, scarves, shawls, and tablecloths.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Patterns/Directories", "url": "http://www.barbscrochet.com/"} +{"d:Title": "Crochet Lady of Texas", "d:Description": "Features photos of completed work and links. Doily and diagonal afghan instructions are included.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.angelfire.com/ca4/crochetlady/"} +{"d:Title": "Punkin's Place", "d:Description": "Offers photos of completed projects and some free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.angelfire.com/pa3/kaseyJ/"} +{"d:Title": "Angela's World", "d:Description": "Home of La Vonne's Not Just Knits. Professional designer from Toronto, Canada offers samples of her work and free crochet patterns for a doll hat, dishcloth, motif, and adult hat.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://lavonne3__1.tripod.com/"} +{"d:Title": "Marianne's Creative Home 2001", "d:Description": "Designer sharing photos of completed pieces. Multi-colored one-piece afghans, sweater-jackets, ponchos, and other original creations.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://mariannec7.tripod.com/"} +{"d:Title": "Crochet Site", "d:Description": "Photos of completed projects, photo gallery, and links to other crochet sites. Also offers an afghan square for each month.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://crochetlist.tripod.com/Crochet/"} +{"d:Title": "Crochet Fashion", "d:Description": "Photos of original designs. Features apparel and bikini tops. Also offers some unique free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://crochetfashion.homestead.com/crochetfashion.html"} +{"d:Title": "Crochet in the U.S.A.", "d:Description": "Photos of several completed projects. Also includes personal thoughts about the craft and related links.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://blckwdw0.tripod.com/crochetintheusa/"} +{"d:Title": "Karen's Crochet Creations", "d:Description": "Offers author information, a few original patterns with pictures and favorite links.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.angelfire.com/bc2/crochetaddict/"} +{"d:Title": "C is for crochet", "d:Description": "Extensive list of crochet links and resources arranged alphabetically. Original patterns, filet and wire crochet are covered in separate categories.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.seafore.com/"} +{"d:Title": "Sexy Crochet Bikini", "d:Description": "Gallery of hand crafted bikinis.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://crochetbikini.tripod.com/"} +{"d:Title": "Monster Crochet", "d:Description": "Web log detailing unique projects and creations.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://monstercrochet.blogspot.com/"} +{"d:Title": "Crochet and Other Stuff", "d:Description": "Features projects made from patterns found on the web. Also some free designs.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://mycrochetstuff.blogspot.com/"} +{"d:Title": "Crochetlab", "d:Description": "Online magazine that includes interviews with yarn makers, artists and people who are interested in expanding the boundaries of crochet.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.crochetlab.com/"} +{"d:Title": "Crochet Cottage", "d:Description": "Provides photos of completed projects, free patterns and links of interest.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://crochetcottage.blogspot.com/"} +{"d:Title": "Crochetroo", "d:Description": "A crocheter whose work is inspired by her Australian homeland. Includes patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://crochetroo.blogspot.com/"} +{"d:Title": "Gnat on the Windshield", "d:Description": "Blog featuring amigurumi, including free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://gnathalie2.wordpress.com/"} +{"d:Title": "Curly Girl's Crochet Etc.", "d:Description": "Blog which includeds topics about amigurumi, free designs and crochet tutorials.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.curly-girl-crochet-etc.blogspot.com/"} +{"d:Title": "Brenda Stratton Designs", "d:Description": "Professional designer Brenda Stratton offers personal information on her career and life, crochet tips and basics, free patterns, and related links.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.brendastratton.com/"} +{"d:Title": "Pacy Crochets", "d:Description": "Some free patterns, how to crochet with plastic bags, favorite crochet links, and a discussion group.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://pacycrochets.tripod.com/main/"} +{"d:Title": "Missourians Crocheting for Charity", "d:Description": "Links to Missouri and national charities that accept handmade caps and blankets, and links to free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://clarasroad.tripod.com/smallfavors.html"} +{"d:Title": "Susan's Creative Designs", "d:Description": "Unique free designs, miniature tutorials and other projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://sites.google.com/site/surawhit/"} +{"d:Title": "Chocolate Mints in a Jar", "d:Description": "Personal blog with free designs for a laptop and shopping bag.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.chocolatemintsinajar.com/blog/"} +{"d:Title": "Fat Face&Me", "d:Description": "A photo-blog of amigurumi creations by Xuan Nguyen with photography by Kham Tran.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.fatfaceandme.com/"} +{"d:Title": "By Number 19", "d:Description": "A blog with designs and tutorials. Also photos of finished projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://bynumber19.com/"} +{"d:Title": "Hot Pink Hook", "d:Description": "Blog showcasing crochet creations of accessories and apparel for dolls.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://www.hotpinkhook.com/"} +{"d:Title": "Carey's Crafty Corner", "d:Description": "Dedicated to keeping her Grandmother's love of crochet alive. Offers photo album, free patterns, crochet instruction, craft ideas, and links.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Crochet/Personal_Pages", "url": "http://careyscraftycorner.tripod.com/"} +{"d:Title": "Pittsburgh Knit and Crochet Festival", "d:Description": "Annual event. Includes schedule, lists of classes and vendors, and registration form.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Events", "url": "http://www.pghknitandcrochet.com/"} +{"d:Title": "Knit Out and Crochet", "d:Description": "Annual free educational and informational events. Includes schedule for this year's main event, photographs of previous events, and how to organize a local event.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Events", "url": "http://www.craftyarncouncil.com/knitoutbrochure.html"} +{"d:Title": "Binky Patrol Comforting Covers for Kids", "d:Description": "An organization that knits, crochets, sews and quilts blankets for children and teens who are seriously ill, hospitalized, in foster care, in shelters, abused, or homeless.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.binkypatrol.org/"} +{"d:Title": "Yahoo Group: Caps for a Cure", "d:Description": "Knitting and crocheting hats for chemotherapy patients.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://groups.yahoo.com/group/capsforacure/"} +{"d:Title": "Yahoo Group: LoveAfghans For Pine Ridge Reservation", "d:Description": "Knits and crochets squares and afghans for PRR residents.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://groups.yahoo.com/group/loveafghans4prr/"} +{"d:Title": "Bev's Country Cottage", "d:Description": "Free charity knitting and crochet patterns. Also includes sizing charts for premature babies through adults, newborn intensive care units in need, and a directory of charities that accept crafted items.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.bevscountrycottage.com/"} +{"d:Title": "Compassionate Creations", "d:Description": "Volunteers knit and crochet scarves for victims of sexual abuse and assault.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://compassionatecreations.cfsites.org/"} +{"d:Title": "Hat Box Foundation", "d:Description": "Nonprofit organization of volunteers who knit and crochet hats for people with life-threatening illnesses. Includes a list of affiliated treatment centers.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.hatboxfoundation.org/"} +{"d:Title": "The Preemie Project", "d:Description": "Provides knit and crocheted items for premature and critically ill infants and their families at The University of Iowa Children\u2019s Hospital. Includes guidelines, size charts, patterns, and a blog.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.thepreemieproject.com/"} +{"d:Title": "Cuddles", "d:Description": "Group providing knit and crocheted premature-sized burial garments and blankets to hospitals in the UK. Includes guidelines, patterns, and discussion group.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://cuddles-uk.org/"} +{"d:Title": "Mother Bear Project", "d:Description": "Provides crocheted and knit bears to children with HIV/AIDS in emerging nations. Includes pattern and kit ordering, photo gallery, bear sponsorships, list of monthly knitting groups, and FAQ.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://motherbearproject.org/"} +{"d:Title": "Warm Up America Foundation", "d:Description": "Nonprofit organization to distribute afghans to the needy. Volunteers knit and crochet squares. Includes patterns, tips, and information on forming a local group.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.warmupamerica.org/"} +{"d:Title": "Stitchers of Love", "d:Description": "A ministry of knitting, crocheting, and needlework for those in need. Includes patterns, pictures, and prayers.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.christchurchvaldosta.org/stitchers/index.htm"} +{"d:Title": "The Bridge Project", "d:Description": "Collecting knit and crocheted items for the homeless in central Ohio. Includes links to patterns and photographs.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.homelessbridge.blogspot.com/"} +{"d:Title": "Afghans for Afghans Knit- and Crochet-Along", "d:Description": "Items for children and women in Afghanistan. Includes individual's projects and patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://afghansforafghansknitalong.blogspot.com/"} +{"d:Title": "Knit a Square", "d:Description": "Accepts knit and crocheted squares that are made into blankets for abandoned babies and AIDS orphans in Africa. Garments are also accepted. Includes forums, patterns, tutorials, and guidelines.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.knit-a-square.com/"} +{"d:Title": "Christmas at Sea", "d:Description": "Providing knitted and crocheted gifts to deep-sea and river mariners. Includes guidelines, patterns, and a newsletter.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.seamenschurch.org/christmas-at-sea"} +{"d:Title": "Care To Knit, Inc.", "d:Description": "New York based non-profit organization that provides knit and crocheted items to people in shelters, hospitals, nursing homes and hospices. Includes patterns, events, volunteers of the month and photos, and a newsletter.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.caretoknit.org/"} +{"d:Title": "TLC for Angels", "d:Description": "Charity group crafting knit and crocheted baby clothes and items for preemies and hospital confined children. Includes patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://tlcforangels.tripod.com/"} +{"d:Title": "Afghans for Afghans", "d:Description": "Humanitarian and educational project sending hand-knit and crocheted blankets, hats, mittens, socks, sweaters, and vests to needy people of Afghanistan.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.afghansforafghans.org/"} +{"d:Title": "Sunshine International Blankets of Love", "d:Description": "UK based group of international crocheters and knitters making afghan squares that are assembled into blankets for the elderly in nursing homes. Includes photographs and challenges.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.sunshineinternationalblanketsoflove.blogspot.com/"} +{"d:Title": "Knots of Love", "d:Description": "Crocheting and knitting caps for people with life-threatening illnesses and injuries. Includes patterns, guidelines, and affiliated treatment centers.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.knotsoflove.org/"} +{"d:Title": "One Square at a Time Project", "d:Description": "Providing crocheted, knit, and loomed blankets, hats, and booties to newborns in Montgomery County, Virginia. Includes patterns and discussion group.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://onesquareatatime.wikifoundry.com/"} +{"d:Title": "FCS: Red Scarf Project", "d:Description": "Providing scarves to foster kids as they go off to college. Includes guidelines and patterns for knitters and crocheters.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.fc2success.org/programs/red-scarf-project-and-book-club/"} +{"d:Title": "The National World War II Museum: Knit Your Bit", "d:Description": "Project that distributes knit and crocheted scarves to veterans in a veterans center in the US. Includes patterns, FAQ, and a blog.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/For_Charity", "url": "http://www.nationalww2museum.org/learn/knit-your-bit/"} +{"d:Title": "Lace Knitting Ring", "d:Description": "Application page for sites wishing to join a webring.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://www.heartstringsfiberarts.com/laceknittingring.shtm"} +{"d:Title": "PageByPage of Maui", "d:Description": "Discussion group, patterns, photo gallery and illustrated knitting techniques.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://www.pagebypage.com/knit.php"} +{"d:Title": "Yarn Over", "d:Description": "Lace knitting resources including free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://www.yarnover.net/"} +{"d:Title": "Topix: Knitting", "d:Description": "News about knitting, collected from various sources on the web.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://www.topix.com/rss/hobbies/knitting.xml"} +{"d:Title": "Knitaddicted", "d:Description": "Offers patterns, chat rooms, discussion forum, and tips for knitters.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://www.knitaddicted.com/"} +{"d:Title": "Wikipedia: Knitting", "d:Description": "Includes history, stitch structure, yarn, needles, and tools.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://en.wikipedia.org/wiki/Knitting"} +{"d:Title": "Knit Knitting", "d:Description": "Tips, instructions, abbreviations and terms for knitting. Patterns, stitches, history of knitting and book reviews.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://knitknitting.com/"} +{"d:Title": "Shetland Wool", "d:Description": "Information on the production of shetland wool.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://www.shetland-sheep.org/about-shetlands/shetland-wool/"} +{"d:Title": "String-Or-Nothing", "d:Description": "Specifications and reviews of knitting yarns, graphed designs and patterns. Also includes a glossary, links, references, pattern exchange and utilities.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting", "url": "http://string-or-nothing.com/"} +{"d:Title": "Cosy Place Knits", "d:Description": "Community web site for the knit addicted. Includes forums, free patterns, and yarn reduction group.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://www.cosy-place.com/"} +{"d:Title": "Netknitting", "d:Description": "A meeting place for knitters. Keep in touch with knitters for help, advice, links and tips. German and English.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://www.voy.com/4461/"} +{"d:Title": "Portland Knitting", "d:Description": "Offers tips, chat area, and guide to knitting shops in Portland, Oregon region.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/pdxknitting/"} +{"d:Title": "Men Who Knit", "d:Description": "A free community site for men who knit comprised of blogs, discussion forums, and links to provide support, encouragement, and inspiration to men returning to the craft of knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://www.menwhoknit.com/"} +{"d:Title": "Knitting Haven", "d:Description": "A forum, a few free patterns and answers to knitting technique questions.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://www.knittinghaven.com/"} +{"d:Title": "Socknitters", "d:Description": "A mailing list for anyone interested in knitting socks by hand. Includes tips and links to sock patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://www.socknitters.com/"} +{"d:Title": "Learn to Knit", "d:Description": "Knitting resource with diagrams and tips. A quest to get everyone knitting in the UK.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://www.learn2knit.co.uk/"} +{"d:Title": "Phoenix Knitting Forums", "d:Description": "Community for knitters, crocheters, dyers and spinners to discuss and get help with anything knitting related.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://www.knittingforums.org.uk/"} +{"d:Title": "About.com: Knitting", "d:Description": "Tutorials, articles, blog and patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Chats_and_Forums", "url": "http://knitting.about.com/?once=true&pid=2731&cob=home"} +{"d:Title": "Socks for Soldiers, Inc.", "d:Description": "Non-profit group that knits socks and helmet liners for soldiers. Photo gallery and discussion group.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/For_Charity", "url": "http://www.socksforsoldiersinc.com/"} +{"d:Title": "HistoryLink.org: Knitting for Victory", "d:Description": "Article about volunteers knitting for WWI and WWII soldiers.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/For_Charity", "url": "http://www.historylink.org/index.cfm?DisplayPage=output.cfm&File_Id=5722"} +{"d:Title": "Knit One Give One", "d:Description": "Group that knits for children and adults in need. Includes patterns, drop-off locations, and photos of recipients. Based in Caulfield Junction, Victoria, Australia.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/For_Charity", "url": "http://knitonegiveone.org/"} +{"d:Title": "Socktopus", "d:Description": "Specializes in sock yarns, proceeds to Medicins Sans Frontiers.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/For_Charity", "url": "https://socktopusunplugged.wordpress.com/"} +{"d:Title": "Felting", "d:Description": "Instructions for making wool felt. From Gleason's Fine Woolies.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Instructions", "url": "http://www.gfwsheep.com/felting/felt.html"} +{"d:Title": "Borealis Sweaterscapes", "d:Description": "Free online instructions with illustrations for intarsia, short rows, pattern conversions, seaming and other design techniques.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Instructions", "url": "http://www.sweaterscapes.com/instruc1.htm"} +{"d:Title": "Feltmaking", "d:Description": "Information on how to measure percentage of shrinkage when felting knitted wool.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Instructions", "url": "http://weavespindye.org/html/felting.html"} +{"d:Title": "ABC's of Knitting", "d:Description": "Illustrated instructions for a variety of knitting techniques and projects. [Japanese/English]", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Instructions", "url": "http://www.tata-tatao.to/knit/e-index.html"} +{"d:Title": "KnittingHelp", "d:Description": "Online videos of basic and advanced knitting instructions showing both continental and English methods. Includes basics plus knitting in the round, working a sock heel, Fair Isle, Intarsia knitting, free patterns and forum.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Instructions", "url": "http://www.knittinghelp.com/"} +{"d:Title": "Knitting Basics - Better Homes and Gardens", "d:Description": "Includes illustrated instructions and tips for beginning knitters.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Instructions", "url": "http://www.bhg.com/crafts/knitting/basics/"} +{"d:Title": "The Knitting Site", "d:Description": "Free videos and articles demonstrating basic knitting skills. Learn how to cast on, cast off, make knit and purl stitches.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Instructions", "url": "http://www.theknittingsite.com/"} +{"d:Title": "Antique Sock Knitting Machine Museum", "d:Description": "Information and photographs of early circular and flat sock machines and information on making them work. Instruction manuals and sock knitting machine needles for sale.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.victiques.com/Knit_Museum/Amuseum.htm"} +{"d:Title": "Machine Knit", "d:Description": "Home of the Machine Knit and other email lists; contains tips, free patterns, links, software, and classified ads.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.machine-knit.com/"} +{"d:Title": "The Guild of Machine Knitters", "d:Description": "UK based non-profit organization which aims to increase the awareness of machine knitting as a craft both in the UK and abroad.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.guild-mach-knit.org.uk/"} +{"d:Title": "Get Knitting", "d:Description": "Patterns, hints and designs downloadable for machine knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.getknitting.com/"} +{"d:Title": "Bond List Thoughts", "d:Description": "Contains patterns, hints and tips for Bond, Classic, Elite, and ISM knitting machines from the Bond List Subscribers.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.stephthornton.co.uk/"} +{"d:Title": "Amber's Knitting Machine", "d:Description": "Offers links to designers, suppliers, machine repair, accessories, yarn and upcoming seminars.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://amberskm.tripod.com/"} +{"d:Title": "Heidi's Knitting Room", "d:Description": "Includes information, techniques and small projects. The techniques range from lace, trims, to cables. Many of these are applicable to any machine.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://heidisknittingroom.com/"} +{"d:Title": "Jenny's Knit-O-Matic", "d:Description": "Free on-line utility for machine knitters.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://knitting.bikibird.com/"} +{"d:Title": "Roni Knutson's Machine Knitting", "d:Description": "Studio/Silver-Reed/Singer knitting machine charts and accessories. Offers free patterns and tip sheets for three main gauges of machines.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.lacecarriage.com/"} +{"d:Title": "Interknit Machine Knitters Guild", "d:Description": "For the support, education, and fellowship of machine knitters of all experience and expertise levels. Based in the West Chicago Park District, Chicago, Illinois, USA.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.interknitmk.org/"} +{"d:Title": "NSW Machine Knitters Association", "d:Description": "Promotes all aspects of machine knitting and helps increase machine knitters knowledge and skills by organising workshops, seminars, tutorials and weekends with tutors. Includes events and club listings. Australia.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://mkansw.org.au/"} +{"d:Title": "Carolina's Machine Knitters Guild", "d:Description": "Newsletter with patterns, tips, and events and workshop listings.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Machine_Knitting", "url": "http://www.carolinasmkg.com/"} +{"d:Title": "Vogue Knitting", "d:Description": "Previews the current issue of Vogue Knitting. Each issue has styles for varied skill levels plus original fashions by top designers. Includes basics and advanced techniques.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.vogueknitting.com/"} +{"d:Title": "Knitting Universe", "d:Description": "Includes Knitter's Magazine, free patterns, and a community forum.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.knittinguniverse.com/"} +{"d:Title": "Knitter's Review", "d:Description": "Free weekly email newsletter with in-depth yarn reviews, fiber information, online shop profiles, interviews, and book reviews.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.knittersreview.com/"} +{"d:Title": "The Daily Knitter", "d:Description": "Offering patterns, basic instructions, articles, yarn shop directory, yarn company listing, message boards, book and product reviews, and local club information.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.dailyknitter.com/"} +{"d:Title": "Knitty", "d:Description": "Free quarterly online magazine of knitting patterns and articles.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.knitty.com/"} +{"d:Title": "Yarn Market News", "d:Description": "Magazine for professionals in the yarn industry.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.yarnmarketnews.com/"} +{"d:Title": "The AntiCraft", "d:Description": "Goth style knitting and craft magazine. Patterns are available for free online.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.theanticraft.com/"} +{"d:Title": "Knit Simple Magazine", "d:Description": "Cutting Edge Magazine: For people who crave to create. By the publishers of Vogue Knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.knitsimplemag.com/"} +{"d:Title": "About.com: Knitting", "d:Description": "Offers patterns, links, articles, and glossaries for knitters.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://knitting.about.com/"} +{"d:Title": "BellaOnline:Knitting", "d:Description": "Free patterns, articles, hints and tips, links to other sites, discussion forum and chatroom.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Magazines_and_E-zines", "url": "http://www.bellaonline.com/site/Knitting"} +{"d:Title": "The Knitting Guild Association", "d:Description": "Hosts chat rooms, knitting conferences, and publishes Cast-On magazine.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations", "url": "http://www.tkga.com/"} +{"d:Title": "The Greater St. Louis Knitters' Guild", "d:Description": "Provides monthly educational programs, professional workshops, community service opportunities, monthly newsletter.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.stlouisknittersguild.com/"} +{"d:Title": "Houston Knit at Night Guild", "d:Description": "Houston area hand and machine knitters guild.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.angelfire.com/ok2/knit/"} +{"d:Title": "Las Vegas Knitters", "d:Description": "Group of knitters in Las Vegas, Nevada who meet at least once a month to knit, share projects and experiences.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.groups.yahoo.com/group/lasvegasknitters/"} +{"d:Title": "Madison Knitters' Guild", "d:Description": "Madison area knitters guild with newsletter, calendar, and monthly meeting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://madisonknittersguild.org/"} +{"d:Title": "The Minnesota Knitters' Guild", "d:Description": "Minnesota organization of 300 members promoting fellowship in the art of knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.knitters.org/"} +{"d:Title": "Northcoast Knitting Guild of Mayfield Height, Ohio", "d:Description": "Contains membership information, events schedule and photo gallery.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.northcoastknitting.org/"} +{"d:Title": "Windy City Knitting Guild", "d:Description": "Membership organization which encourages and fosters knitting in the Chicago area.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.windycityknittingguild.com/"} +{"d:Title": "Seattle Knitters' Guild", "d:Description": "Seattle based knitting guild that promotes the art of handknitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://seattleknittersguild.org/"} +{"d:Title": "Ottawa Knitting Guild", "d:Description": "Has monthly meetings to learn, to teach, to share.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.ottawaknittingguild.ca/"} +{"d:Title": "Big Apple Knitters Guild", "d:Description": "New York City organization meeting monthly and organizing other knitting events. Includes links of \"how to knit\" sites, events schedule and NYC yarn shops.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://bakg.org/"} +{"d:Title": "Rochester Knitting Guild", "d:Description": "Includes schedule, projects, and calendar. Rochester, New York.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.rochesterknitting.org/"} +{"d:Title": "Canadian Guild Of Knitters", "d:Description": "Supporting knitters and charities in Canada. Gallery, online membership form, FAQ, and free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.cgknitters.ca/"} +{"d:Title": "Knitting Guild Of Greater Buffalo", "d:Description": "Group that meets monthly. Includes meeting schedule, events, charity knitting pattern, and membership form. New York.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.buffaloknittingguild.org/"} +{"d:Title": "Atlanta Knitting Guild", "d:Description": "Offers membership information, newsletter, meeting schedule and workshops. Georgia.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.atlantaknittingguild.org/"} +{"d:Title": "Quad Cities Knitters Guild", "d:Description": "The Quad Cities Knitters Guild meets at the Bettendorf Public Library sharing experience and projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://qcknit.blogspot.com/"} +{"d:Title": "The Sand&Sea Kntting Guild", "d:Description": "A non-profit organization and a chapter of the Knitting Guild Association. Includes activites and calendar. Located in Los Angeles, California.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.sandnseaguild.org/"} +{"d:Title": "Greater Milwaukee Knitting Guild", "d:Description": "Meeting information and newsletters.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://gmkg.blogspot.com/"} +{"d:Title": "Kelly Girls", "d:Description": "A Chicago-based knitting circle, meeting weekly.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://groups.yahoo.com/group/kellygirls-chicago/"} +{"d:Title": "Albany StitchnBitch", "d:Description": "Local group that meets twice weekly. Message forum. New York.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://groups.yahoo.com/group/stitchnbitch-albany/"} +{"d:Title": "The Knit Wits of Albany", "d:Description": "Group that knits and socializes weekly. Message forums. New York.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://groups.yahoo.com/group/theknitwits/"} +{"d:Title": "Sonoma County California Knitting Guild", "d:Description": "A chapter of the Knitting Guild Association. Includes meeting schedule, community projects, retreats, local yarn stores, mailing list, and links to podcasts and videos, tips, forums. California.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://www.sonic.net/melissk/sckg/"} +{"d:Title": "KnitchKnitters", "d:Description": "Knitting guild located in Blacksburg, Virginia. Includes member's gallery.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Organizations/Regional", "url": "http://knitchnotes.tripod.com/"} +{"d:Title": "Straw Into Gold / Crystal Palace Yarns", "d:Description": "Offers a wide range of free patterns including plus sizes.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.straw.com/"} +{"d:Title": "Esther's Knitting Page", "d:Description": "Free knitting patterns and articles.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.cs.oswego.edu/~ebozak/knit/"} +{"d:Title": "The Fiber Gypsy: Knitting Patterns", "d:Description": "Patterns for socks, hats, mittens, baby items and household items. Book and magazine reviews. Errata for published patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.fibergypsy.com/Knitting_Patterns/"} +{"d:Title": "Linda Largent Handknits", "d:Description": "Pattern for a knit beaded necklace.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.angelfire.com/mi/llhandknits/index.html"} +{"d:Title": "Knittinggeek.com", "d:Description": "Includes information on designing your own socks, shawls and baby blankets. Also has sections on how to find knitting info on the world wide web, and how to block a shawl.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.knittinggeek.com/"} +{"d:Title": "Online Library: Ladies Home Journal: March 1894 -The Art of Knitting", "d:Description": "A small selection of knitting patterns from Ladie's Home Journal, March 1894", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.costumegallery.com/LHJ/March_1894/Knitting/p21Intro.htm"} +{"d:Title": "Staceyjoy's Knitting Stitch Portfolio", "d:Description": "Original hand and machine knitting stitches. Patterns for a 2-needle sock, a chihuahua sweater, as well as antique stitches.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.redlipstick.net/knit/index.html"} +{"d:Title": "Novelty Knits", "d:Description": "Knitted novelty toys, accessories and patterns for adults and children.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://homepages.paradise.net.nz/noveltyknits/"} +{"d:Title": "Anne's Free Knitting charts", "d:Description": "There are several Celtic knot and key designs charted for knitted lace at this site.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.ad6uy.com/knots.html"} +{"d:Title": "Knitting Pattern Index", "d:Description": "Free patterns for hand or machine knit baby items.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.bbc.co.uk/stoke/my_pages/babywear/patterns.shtml"} +{"d:Title": "Yarn Lover's Room", "d:Description": "Vintage and original patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.knitting-crochet.com/pattern.html"} +{"d:Title": "p2 designs - charity patterns", "d:Description": "Free patterns including hats, mittens and ear mitts for all ages. Also baby patterns: layettets, hats and socks.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.p2designs.com/Links-CharityPatterns.htm"} +{"d:Title": "The Knitting Fiend", "d:Description": "Free web generated custom sweaters for people, pets and toys.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.thedietdiary.com/knittingfiend/"} +{"d:Title": "Knitting-and.com", "d:Description": "Free knitting patterns, crochet, tatting, teneriffe lace, looming, weaving and hairpin lace, craft projects, writing, ghost stories and recipes", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.knitting-and.com/"} +{"d:Title": "Judy Gibson: Original Knitting Patterns", "d:Description": "Free pattern designs include lace, doll clothes, mittens, socks, caps, and shawls.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://tiajudy.com/patterns.htm"} +{"d:Title": "Knitting Pattern Central", "d:Description": "Categorized links to patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.knittingpatterncentral.com/"} +{"d:Title": "Sunkid GMBH", "d:Description": "Offers patterns for Teddy Bear clothes. Adobe Acrobat Reader required.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.sunkidstore.com/products.php?cat=36"} +{"d:Title": "Knit Knacks", "d:Description": "Patterns for a child's sweater and shoulder bag.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.chavie.com/knit.html"} +{"d:Title": "Aussie Jean's Toy Knitting Patterns", "d:Description": "Free patterns for shelf dolls including Santa and Mrs. Claus, duck, leprechaun, small golly and clowns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://home.alphalink.com.au/~woodsy/index2.htm"} +{"d:Title": "Brooke's Column of Leaves Knitted Scarf Pattern", "d:Description": "Free scarf pattern featuring a lacy column of leaves design. Also includes charted pattern and photos of other knitters' finished scarves.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://brookenelson.com/leafscarfpattern.html"} +{"d:Title": "Knitting2008", "d:Description": "Blog with free beginner to advanced patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.knitting2008.blogspot.com/"} +{"d:Title": "Maddycraft", "d:Description": "Hand knitting patterns and books by Maddy Cranley on the craft of creating felt garments and accessories from knitted yarn.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://www.maddycraft.com/"} +{"d:Title": "Megan Mill's Home Page", "d:Description": "Free patterns for baby jacket, slippers, counterpane square and circular prime rib tea cosy and hat.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns", "url": "http://megan.cc/"} +{"d:Title": "Wonderful Wallaby FAQ", "d:Description": "Information and tips on completing the Wallaby sweater, sources for the pattern and photos of the finished item.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Patterns/Apparel", "url": "http://home.earthlink.net/~adbatiste/WW_FAQ.html"} +{"d:Title": "Mason-Dixon Knitting", "d:Description": "Pictures and descriptions of the knitting projects of two friends.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.masondixonknitting.com/"} +{"d:Title": "Fluffa", "d:Description": "Where she blabs on incessantly about her knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.skinnyrabbit.com/"} +{"d:Title": "Yarn-A-Go-Go", "d:Description": "Knitting up a storm.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.yarnagogo.com/"} +{"d:Title": "Fig and Plum", "d:Description": "All about her life and knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.figandplum.com/"} +{"d:Title": "One of Susanna's", "d:Description": "Includes patern information, class descriptions and schedules.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.oneofsusannas.com/"} +{"d:Title": "Gritty Knits by Natasha", "d:Description": "Featuring projects, links and patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://grittyknits.com/"} +{"d:Title": "Insubordiknit", "d:Description": "Sharing thoughts and projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.insubordiknit.com/"} +{"d:Title": "ChicKnits", "d:Description": "Features a knitted garments gallery, free patterns, links, resources, on-line knitting tips and stories about knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.chicknits.com/"} +{"d:Title": "Jess Hutch Arts and Crafts", "d:Description": "Gallery of her whimsical knitted creatures and robots, weblog, and a bunny pattern.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Personal_Pages", "url": "http://www.jesshutch.com/"} +{"d:Title": "And She Knits Too", "d:Description": "Commenting on her projects and news.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://acunningplan.typepad.com/"} +{"d:Title": "Autumn Sweater", "d:Description": "Random thoughts about yarn, knitting, and other things that occupy her time.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://autumnsweaterblog.blogspot.com/"} +{"d:Title": "Bloomin' Knitiot", "d:Description": "Includes patterns and photographs.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://bloominknitiot.blogspot.com/"} +{"d:Title": "The Blue Blog", "d:Description": "All about knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://alison.knitsmiths.us/"} +{"d:Title": "Brainylady", "d:Description": "Discusses shopping for knitting supplies and own knitting projects. Includes photographs and free patterns.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://brainylady.blogspot.com/"} +{"d:Title": "A Good Yarn", "d:Description": "Writing about knitting and other life activities.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.goodyarn.blogspot.com/"} +{"d:Title": "Half Baked", "d:Description": "Miscellaneous musings about life and knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://woodlandsprite.blogspot.com/"} +{"d:Title": "Knit Picker", "d:Description": "For the good, the bad and the very, very picky knitter.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://styleperps.typepad.com/"} +{"d:Title": "Knit, Stitch, Click", "d:Description": "Bog about knitting with occasional detours into sewing and photography.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.danimiller.com/ksc.htm"} +{"d:Title": "Knitknacks", "d:Description": "Sharon's weblog about knitting and life.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://sharonstuff.typepad.com/knitknacks/"} +{"d:Title": "Knit-stigator", "d:Description": "Knitting entries from a graphic artist and quilter.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://knitstigator.blogspot.com/"} +{"d:Title": "Knitting Circle", "d:Description": "A journal of knitting projects and progress.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://knittingcircle.blogspot.com/"} +{"d:Title": "Maglia", "d:Description": "Pictures of updates on her knitting projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://knit4ever.blogspot.com/"} +{"d:Title": "Moth Heaven", "d:Description": "Personal life and knitting projects in a weblog.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://scrubberbum.typepad.com/moth_heaven/"} +{"d:Title": "Rainy Day", "d:Description": "A weblog about knitting, art, design, and life in Los Angeles", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://rainyday.squarespace.com/"} +{"d:Title": "The Scottish Lamb", "d:Description": "Posts about life and knitting projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://scottishlamb.typepad.com/"} +{"d:Title": "Small Hands", "d:Description": "Sharing her knitting projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://thedevashands.blogspot.com/"} +{"d:Title": "Split Yarn", "d:Description": "Knitting up a storm.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://splityarn.typepad.com/"} +{"d:Title": "Through the Back Loop", "d:Description": "Adventures in knitting, fiber arts, and family.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.throughthebackloop.blogspot.com/"} +{"d:Title": "Unfurnished Brooklyn", "d:Description": "Exploits of a knitter and her family in New York.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://unfurnishedbrooklyn.typepad.com/ufb/"} +{"d:Title": "Vintagefusion Knits n Crochets", "d:Description": "Singapore knitter and crocheter.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://vintagefusionhandcrafts.blogspot.com/"} +{"d:Title": "Zeneedle", "d:Description": "Needle art as life.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://zeneedle.typepad.com/"} +{"d:Title": "Knit-Whit", "d:Description": "Random thoughts about knitting, her dog Casey, and other interesting things.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.knit-whit.blogspot.com/"} +{"d:Title": "Knitting Neels", "d:Description": "A craft hobbies journal: knitting, crochet, black and white photography and embroidery.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.knittingneels.com/"} +{"d:Title": "Cogknition", "d:Description": "A Cognitive Psychologist muses about knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.cogknition.org/"} +{"d:Title": "The Knitting Revolutionary", "d:Description": "Discussing knitting and life.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://monnsqueak.typepad.com/"} +{"d:Title": "Yarn Stash", "d:Description": "Keeping track of her ever growing yarn stash.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://yarnstash.blogspot.com/"} +{"d:Title": "Confessions of a Professional Yarn Fondler", "d:Description": "The frequent ramblings of a forty-something fiberartist who just happens to fondle yarn for a living.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.needlelittlelove.com/"} +{"d:Title": "Freaky Knitters Unite", "d:Description": "The day in the life of a divorced post-punk rocker stuck in small town hell and the only escape is to knit.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://freakknitter.typepad.com/"} +{"d:Title": "Another Knitting Blog", "d:Description": "Research scientist, recovering pessimist and online knitting bozo.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://mimoknits.typepad.com/"} +{"d:Title": "QueerJoe's Knitting Blog", "d:Description": "A listing of adventures and misadventures in this passion for knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://queerjoe.blogspot.com/"} +{"d:Title": "Wendy Knits!", "d:Description": "Knitting and spinning.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://wendyknits.net/"} +{"d:Title": "Pulsh. Push. Pull. Knit", "d:Description": "Knitting weblog with patterns and knitting discussion.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://charisa-martin.livejournal.com/"} +{"d:Title": "Lynn One, Purl Two", "d:Description": "Lynn shows-and-tells about her many knitting projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://lynn1purl2.blogspot.com/"} +{"d:Title": "UKnitters blogring", "d:Description": "A group of UK bloggers who knit. Includes links to the members websites.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://acechick.typepad.com/uknitters/"} +{"d:Title": "Chronicles of a Yarn Obsession", "d:Description": "A weblog detailing a young Navy wife's ups and downs in knitting, crocheting, and life. Occasional free patterns available.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://yarnchronicles.blogspot.com/"} +{"d:Title": "My Knitting Machines And Me", "d:Description": "A knitter writes about experience with knitting and show some photos of projects.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://susyranner.blogspot.com/"} +{"d:Title": "Yarn Demon", "d:Description": "A weblog about life and knitting by an owner of a yarn store.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://yarndemon.typepad.com/"} +{"d:Title": "Brooklyn Tweed", "d:Description": "A photo weblog by a male knitter from Brooklyn, New York.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://brooklyntweed.blogspot.com/"} +{"d:Title": "G. Bella Knits", "d:Description": "A weblog that discusses knitting, yarn, and pattern design.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://gbellaknits.blogspot.com/"} +{"d:Title": "My Knitting Life", "d:Description": "A New England woman writes about knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://irwinmb.typepad.com/my_knitting_life/"} +{"d:Title": "My Merino Mantra", "d:Description": "A Michigan woman writes about knitting, and posts her photographs of everyday beauty.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://mymerinomantra.typepad.com/"} +{"d:Title": "Snarled Yarns", "d:Description": "Perspectives on knitting, spinning and life from an Omaha, Nebraska woman.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://snarledyarns.blogspot.com/"} +{"d:Title": "Cass Knits!", "d:Description": "A personal knitting weblog by a Christian mother of eight.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.cassknits.com/"} +{"d:Title": "Clutch Creations", "d:Description": "The knitting journal of a mom with two young girls.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://clutchcreations.blogspot.com/"} +{"d:Title": "Black Dog Knits", "d:Description": "A designer's weblog featuring knit fabric creations that exemplify her minimalist aesthetic (Sydney, Australia). Since 2006 06.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://black-dog-knits.blogspot.com/"} +{"d:Title": "BabyLonglegs", "d:Description": "A bad ass stay at home mum writes about her life, family, knitting and spinning. Since 2005 05.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.babylonglegs.blogspot.com/"} +{"d:Title": "Knit me!", "d:Description": "A teen's blog about knitting, amigurumi (knit), art and life. Since 2007 09.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://knit-amigurumi.blogspot.com/"} +{"d:Title": "Knit 2 Par 3", "d:Description": "Denise from Hoboken NJ, USA blogs about her craft (knitting) and her new sport (golf). Since 2006 04.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://whengolfinterferes.blogspot.com/"} +{"d:Title": "Adventures In Furryland", "d:Description": "Busy homeschooler blogs about knitting and the Furry Family Adventures. Her four generation family lives in rural Delmarva. Since 2007 01.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://furryadventures.wordpress.com/"} +{"d:Title": "Type-K: Type-A Knitting Obsession", "d:Description": "Los Angeles knitter writes about her recipient-centered knitting projects and her love of audio books. Since 2007 05.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://knittikins.wordpress.com/"} +{"d:Title": "Thread, String And The Rest Of It", "d:Description": "CC of San Diego USA, writes about her knitting, spinning projects, and pets. She features many colorful projects. Since 2006 09.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://threadstringandtherestofit.blogspot.com/"} +{"d:Title": "Action Hero: Knitting Weblog", "d:Description": "Portland Oregon crafty knitter blogs about her projects and life. Since 2001 01.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://blog.action-hero.net/"} +{"d:Title": "The Running Yarn", "d:Description": "Vegan knitter and marathoner shares patterns and recipes.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://therunningyarn.wordpress.com/"} +{"d:Title": "Knitting the news for the \u2018Delit Maille\u2019", "d:Description": "The BBC reports on Anna, from Lille, who recreates news events by knitting dolls and posting images on a blog.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.bbc.co.uk/news/world-europe-15874250"} +{"d:Title": "Handknitters' Blogs", "d:Description": "Collection of blogs by handknitters. View current projects, finished objects, stashes.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.webring.org/hub?ring=handknittersblog"} +{"d:Title": "Laura Chau", "d:Description": "Blog of a Canadian knitter.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.laurachau.com/"} +{"d:Title": "Brooklyn Knit Chick", "d:Description": "Patty of Park Slope Brooklyn USA writes a photo rich blog about many feminine knitting projects. Since 2006 09.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://pattylyons.com/blog/"} +{"d:Title": "Yarn Harlot", "d:Description": "Stephanie Pearl-McPhee goes on (and on) about knitting.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Knitting/Weblogs", "url": "http://www.yarnharlot.ca/"} +{"d:Title": "Craft Yarn Council of America", "d:Description": "The industry trade association. Offers instruction, patterns, and links to consumer knitting and crocheting guilds.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Organizations", "url": "http://www.craftyarncouncil.com/"} +{"d:Title": "The Knitting and Crochet Guild", "d:Description": "Formed to preserve, promote and encourage the crafts of crochet, hand-knitting and machine-knitting. Includes events, news, and classified ads.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Organizations", "url": "http://www.kcguild.org.uk/"} +{"d:Title": "Antique Pattern Library", "d:Description": "An ongoing project to scan fiber arts pattern publications that are in the public domain in order to preserve them.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.antiquepatternlibrary.org/"} +{"d:Title": "Crystal Palace Yarns: Free Knitting and Crochet Patterns", "d:Description": "Includes sweaters, scarves, hats, and other accessories.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.crystalpalaceyarns.com/cpy/free_patterns.html"} +{"d:Title": "Free Vintage Knit and Crochet Patterns", "d:Description": "Reprinted with permission from Cloaks and Clark and Caron.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://freevintageknitcrochet.blogspot.com/"} +{"d:Title": "ABC Knitting Patterns", "d:Description": "Offers free knitting and crochet patterns designed by Elaine Phillips. Includes cardigans, vests, hats, doll clothes, and afghans.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.abc-knitting-patterns.com/"} +{"d:Title": "DROPS Design", "d:Description": "Thousands of free knitting and crochet patterns in both British and American terminology.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.garnstudio.com/lang/en/kategori_oversikt.php"} +{"d:Title": "FreePatterns.com", "d:Description": "Offers free fiber arts patterns designed by Annie's. Categories include accessories, afghans, apparel, babies&children, doilies, and other patterns for the home.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "https://www.freepatterns.com/"} +{"d:Title": "Knitting Daily TV", "d:Description": "A how-to program on public television that covers a variety of needle crafts including knitting and crochet , stitching, felting, spinning, and weaving. Offers free patterns, glossary and video.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.knittingdaily.com/"} +{"d:Title": "Craft Yarn Council of America: Free Projects", "d:Description": "Features knitting and crochet patterns for apparel, accessories, afghans and throws, and toys.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.craftyarncouncil.com/project.html"} +{"d:Title": "Red Heart", "d:Description": "Offers free fiber arts patterns designed by the Coats&Clark Design team. Categories include accessories, throws and afghans, scarves and shawls, baby and child, apparel, hats, and seasonal.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.redheart.com/"} +{"d:Title": "FC Knits", "d:Description": "Small collection of knitting and crochet patterns designed by Fiona Campbell. Reviews and general interest articles included.", "topic": "Top/Arts/Crafts/Knitting_and_Crochet/Patterns", "url": "http://www.fcknits.co.uk/"} +{"d:Title": "Carolina's Lace", "d:Description": "Carolina presents her original milanese works, patterns and a history of lace in Catalunya", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.carolgallego.com/"} +{"d:Title": "Cross-Twist (Kreuzen-Drehen )", "d:Description": "Click a photo, then follow the arrows to explore the works of Lace-Artist Eva Baumgartner.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.kreuzen-drehen.at/"} +{"d:Title": "Landelijke Organisatie Kant Kunst", "d:Description": "The official site of LOKK, the Dutch Lace Organization, contains photos of modern and classical laces created by its members. Click kantbrief to reach the gallery.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.lokk.nl/"} +{"d:Title": "Poole Bobbin Lace Circle", "d:Description": "Lacemakers share a pattern page, photos of their exhibits, Miss Channer's mat, plus information about their 4 year lace course.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.cyberlink.co.uk/pblc/"} +{"d:Title": "Mary Margaret Nousek", "d:Description": "Mary offers a small gallery that includes profiles of a man and a woman done in needle lace.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.astro.psu.edu/users/nousek/mary.html"} +{"d:Title": "Lacemakers of Calais", "d:Description": "Homepage of this historic Australian Society of Lacemakers.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.angelfire.com/al/aslc/"} +{"d:Title": "International Forum of Lace", "d:Description": "Results of the 2003 Conference in Rimini, Italy. Plans to further study the technical, artistic and historic aspects of lace in 2005.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.italiainvita.it/"} +{"d:Title": "Irish Carrickmacross Lace -", "d:Description": "This Arduin Lace Collection was handmade by Mary O'Neill in Ireland.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.irishcarrickmacrosslace.com/"} +{"d:Title": "Tussah", "d:Description": "Photos, and descriptions, of bobbin lace pieces made by Lara's mom.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.tussah.com/lace/"} +{"d:Title": "Bobbin Lace European Network", "d:Description": "This database has entries in the following categories: laces, census and biographies of lacemakers, designers, bibliographies, glossaries, historical documents, museums, news and online training.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.blen.net/m_c.htm"} +{"d:Title": "Frauke Lorenz", "d:Description": "The modern works of this lace artist are displayed here.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.uni-potsdam.de/u/physik/mitarbeiter/lorenz/frauke2.htm"} +{"d:Title": "Bobbinlace Chat Group", "d:Description": "A group of over 300 bobbin lacers chat about projects, supplies and patterns.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://groups.yahoo.com/group/bobbinlace/"} +{"d:Title": "Ville de Retournac", "d:Description": "The Lace Manufactures Museum records France's lacemaking history.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.ville-retournac.fr/"} +{"d:Title": "La Dentelles", "d:Description": "Rosanna has compiled articles, photos, reports and diagrams of lacemaking in France, including an unusual collection of lacemakers in miniature.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.dentellieres.com/lace.htm"} +{"d:Title": "Lacemaker's Cottage", "d:Description": "Scroll to the bottom of the page to find lace histories from 1500-1900, plus a gallery of laceworks.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://people.delphiforums.com/standart/"} +{"d:Title": "Emily Clare, lacemaker", "d:Description": "Photo and historical background of victorian era lacemaker.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://clutch.open.ac.uk/schools/willen99/w_employment/Clares/lace.html"} +{"d:Title": "The Lace Museum", "d:Description": "The site of this California museum shows current displays, workshops and classes. Also calendar of events, newsletter and patterns.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.thelacemuseum.org/"} +{"d:Title": "Avital's Fibers", "d:Description": "Avital designed a printable pricking for a bobbin lace tablecloth and a Star of David tatting pattern. Her gallery contains examples of 3 different lace forms.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.angelfire.com/home/avital/m-lace.html"} +{"d:Title": "Needlelace Club", "d:Description": "This news group provides a forum to discuss needlelace techniques.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://groups.yahoo.com/group/needlelace/"} +{"d:Title": "Sieglindes Twinetime", "d:Description": "Lace Artist Sieglinde Grigat's 'Occhi' gallery holds over 40 pieces of tatted artworks. Most pieces employ advanced techniques. She also works in bobbin lace, crochet and hairpin laces, knotted and knitted laces.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.fadenzeit.de/"} +{"d:Title": "Steph's String Related Stuff", "d:Description": "Stephanie Peter's provides free bobbin lace software, stitching charts and tatting patterns, including complete illustrated instructions for cluny leaves.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.sandbenders.demon.co.uk/index.htm"} +{"d:Title": "Lace Exhibitions", "d:Description": "This comprehensive well maintained list, sorted by country, lists lace events all over the world.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://digilander.libero.it/gloriavalli/index2.htm"} +{"d:Title": "Gloria Valli", "d:Description": "Gloria's laceworks include multiple contest entries. She specializes in modern lace jewelry.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://digilander.libero.it/gloriavalli/ehome.htm"} +{"d:Title": "Bobbin Lacers in CZ", "d:Description": "Jaroslava Jedlinska and Dana Mihulkova, both from Czech Republic, share examples of their bobbin laces.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.lace.cz/"} +{"d:Title": "Digital Archive of Documents", "d:Description": "Professor Griswold has been collecting documents regarding textile arts such as lacemaking, and placing them in this online archival library.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.cs.arizona.edu/patterns/"} +{"d:Title": "La Encajera - The Lacemaker", "d:Description": "Home page of the Spanish lace magazine. Patterns, competitions and calendar, plus 'Letter to the Reader' from previous issues.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.realce.es/la_encajera/encaing.htm"} +{"d:Title": "Dreams of Lace", "d:Description": "Christiane Eichler's site includes a pattern surfer, a German and English lace dictionary, a gallery and tips.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.tatting.de/dol/"} +{"d:Title": "Canadian Lacemaker Gazette", "d:Description": "This lace magazine is printed in French and English.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.lacegazette.com/"} +{"d:Title": "Beautiful and Practical Netting", "d:Description": "Rita creates doilies and edgings, sharing patterns and 'how to' information.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://knotsindeed.com/"} +{"d:Title": "Sheelin Irish Lace Museum", "d:Description": "Museum shares several photos of lace dating from 1850 to 1900.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.irishlacemuseum.com/"} +{"d:Title": "Lacemaking in Czek", "d:Description": "Animated video of bobbin pairs in action.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.palickovani.cz/en/"} +{"d:Title": "Brenda Paternoster", "d:Description": "Brenda includes instructions for choosing threads, a free pattern and a gallery.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://paternoster.orpheusweb.co.uk/lace/lace.html"} +{"d:Title": "Brenda's Lace", "d:Description": "Brenda and Terry Paternoster offer information about lacemaking, lace threads and a free bobbin lace pattern.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://paternoster.orpheusweb.co.uk/"} +{"d:Title": "Louise Moreau", "d:Description": "This artist shares her collection of oil paintings celebrating bobbin lace.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://pages.videotron.ca/louisemo/indexe.html"} +{"d:Title": "The Structures of Antique Lace", "d:Description": "Marla Mallett shares bobbin lace, needlepoint lace, and other handmade laces from her personal collection.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.marlamallett.com/lace.htm"} +{"d:Title": "Christine England", "d:Description": "Chrisine has posted photos of her honiton projects.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://lacemaker.tripod.com/index.htm"} +{"d:Title": "Miniature Bobbin Lace", "d:Description": "Jan, from Crete, creates miniature lace and lacemaking supplies - including a complete lace shop.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://janincrete.homestead.com/lacemaking.html"} +{"d:Title": "Pali\u010dkov\u00e1n\u00ed Company: About Lace", "d:Description": "Documentation of the traditional lacemaking craft.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://www.palickovani.cz/celek.php?tema=OKrajce&profil=Palickovani&screen_availWidth=1920&screen_availHeight=1160&navigator_is_ie5_5up=false&navigator_is_ie=false&lang=en&email=&sifr_pass="} +{"d:Title": "Jo Edkins' Lace School", "d:Description": "This online bobbin lace school has twelve lessons covering over forty topics. Each topic is well illustrated; some are even animated. The ten beginning patterns are organized into four categories; all are illustrated.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://gwydir.demon.co.uk/jo/lace/"} +{"d:Title": "Falkink", "d:Description": "A lacemaker has evaluated design software and created a table stand for a vertical cookie pillow.", "topic": "Top/Arts/Crafts/Lacemaking", "url": "http://falkink.home.xs4all.nl/lace/"} +{"d:Title": "Great Lakes Lace Group Inc.", "d:Description": "This group sponsors teacher certifications in bobbin, tape, and tatted laces.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://www.gllgi.org/"} +{"d:Title": "Newsgroup: Arachne", "d:Description": "The web server for the Arachne newsgroup organizes information as a service to the members.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://www.arachne.com/"} +{"d:Title": "Arachne's Web of Atlantia", "d:Description": "The Atlantia region of the Society of Creative Anachronism holds lace workshops.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://moas.atlantia.sca.org/guilds/awa/index.htm"} +{"d:Title": "SCA Lace", "d:Description": "News group discusses topics relating to making lace during the Middle Ages and the Renaissance.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://groups.yahoo.com/group/sca-lace/"} +{"d:Title": "Roxburgh Lacemakers", "d:Description": "This group from Kelso, Scotland, exhibits their work and provides information about meeting times.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://www.kelso.bordernet.co.uk/organisations/roxburghlacemakers.html"} +{"d:Title": "OIDFA", "d:Description": "The International Bobbin and Needle Lace Organisation provides bulletins, patterns, competitions and congresses.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://www.oidfa.com/"} +{"d:Title": "New England Lace Group", "d:Description": "Provides information on the making, collecting and studying of all laces throughout the New England area.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://www.nelg.us/"} +{"d:Title": "Lone Star Lacers", "d:Description": "This IOLI chapter's site includes a member's showcase with the works of 6 different artists, plus a crown created with combined effort. Past events are recorded and future workshops listed.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "http://lonestarlacers.tripod.com/index.htm"} +{"d:Title": "The Lace Guild", "d:Description": "British Lace Guild educates on all aspects of lacemaking through their Lace Magazine and other publications, conferences, certifications, and young lacemakers' programme.", "topic": "Top/Arts/Crafts/Lacemaking/Associations", "url": "https://www.laceguild.org/"} +{"d:Title": "Jan of Poland", "d:Description": "By changing the order of halfstitches, Jan makes the work more uniform, and explains how here. This Lace Artist also shares a gallery of over 100 works.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.frywolitka.slupsk.pl/"} +{"d:Title": "Donna Marie's Habit Tat", "d:Description": "A Van-Dyke edging pattern is included with this gallery of 17 photos.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://members.tripod.com/~Habit_Tat/"} +{"d:Title": "Terry the Tatter", "d:Description": "Terry shares patterns for covered glass ornaments.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.terrythetatter.com/"} +{"d:Title": "Lindsay Art 'n' Lace", "d:Description": "Lindsay Rogers from Scotland is a designer, author and tutor of international repute.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.craft-fair.co.uk/artnlace/"} +{"d:Title": "Nina Libin", "d:Description": "Semi-precious stones decorate this unique jewelry.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.beanile.com/"} +{"d:Title": "Ladies Home Journal", "d:Description": "The June 1895 issue of this women's magazine published two tatting patterns.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.costumegallery.com/LHJ/June_1895/Crochet/p21Intro.htm"} +{"d:Title": "Iris Niebach's Tatting", "d:Description": "Iris has put together a photo album of her doilies, edgings and other work. Tips on hiding ends and three patterns.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.irisembroidery.homestead.com/index.html"} +{"d:Title": "Mary Margeret's Tatting", "d:Description": "Mary designed a doll dress and a tatting bag.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.astro.psu.edu/users/nousek/tatting.html"} +{"d:Title": "Kersti.com", "d:Description": "Kersti Anear, list administrator for e-tatters, has collected a library of instructions for over 500 tatting projects - all of which are available on the web. Each of the links includes a thumbnail graphic of the completed project, making it easy to locate specific patterns. A tatted zodiac and some of Kersti's original patterns are also found here.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.kersti.com/"} +{"d:Title": "Knots for R. L.", "d:Description": "Erin Poh drafts a poem about tatting through the generations.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.motherbird.com/dec10.htm"} +{"d:Title": "Donna's Gallery", "d:Description": "Doilies, bookmarks, a baby bonnets and a crazy quilt are in this photo album.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.angelfire.com/ok5/donnaspages/"} +{"d:Title": "Nell's Tatting Page", "d:Description": "An autumn corsage and four more unique patterns, all with detailed and well graphed instructions, are at this site.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.angelfire.com/nc3/nelltats/"} +{"d:Title": "Nancy's Tatting", "d:Description": "Nancy, an accomplished seamstress, shares how to make a simple blocking board, plus a gallery of a dozen tatted pieces.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.be-stitched.com/"} +{"d:Title": "Gina's Tat Journal", "d:Description": "Scanning as she goes, this journal has lots of 'how to' tips.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://threadsofatattinggoddess.blogspot.com/"} +{"d:Title": "Joan Thomas Tats", "d:Description": "Joan shares her projects, including beading with Nina Libin and Palmetto Tatter Awards.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://webpages.charter.net/thomasgang/joan_tatting_gallery.htm"} +{"d:Title": "Amy's Page", "d:Description": "Amy, from Mielke's Farm in Wisconsin, has added a simple bookmark pattern.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.mielkesfarm.com/am_bkmk.htm"} +{"d:Title": "Kelly Luljak", "d:Description": "Kelly shares several patterns and shows an edging that won a ribbon at Kentucky State Fair.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://members.iglou.com/mantia/Tatting/index.html"} +{"d:Title": "Christiane Eichler", "d:Description": "The pattern finder on this site links to over 200 sources. There are also directions on how to tat a square ring, and a comparison of shuttle and needle work.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.tatting.de/dol/aboutme.htm"} +{"d:Title": "Ankars", "d:Description": "Unique jewelry, tatted by Irina Astratenkocan of Lithuania, is in an extensive gallery.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.beadsky.com/"} +{"d:Title": "Mari Tats", "d:Description": "A small but intricate gallery of lace can be found on this Italian tatter's home page.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.fantasiadiricami.it/chiacchierino.htm"} +{"d:Title": "Maria, and Maria Antonietta ,Tat", "d:Description": "These two Italian friends have put together a combined gallery of over fifty pieces of lace.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.ilchiacchierino.com/TattingHomeE.htm"} +{"d:Title": "Barbara's Tatting", "d:Description": "Barbara has tatted five feet of Ann Orr's wide edging pattern, plus two doileys.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.emailblazer.com/photos/Tatting/Tatting.htm"} +{"d:Title": "Sumac's Lace Pages", "d:Description": "Primarily Celtic tatting, site also contains pictures of 3 bobbin lace pieces.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.sumac.us/"} +{"d:Title": "Rachel Jackson's Needle Tatted Art", "d:Description": "Based in Louisiana, Rachel designs original needle tatted works.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.freewebs.com/rjo_tatted_art/index.htm"} +{"d:Title": "Ruth Perry", "d:Description": "Writing under the name of Rozella Linden, Ruth shares tips on tatting and photos of her work.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://rozellalinden.blogspot.com/"} +{"d:Title": "Index to Tatting Information on the Web", "d:Description": "Provides tatting images, patterns, and techniques.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.home.earthlink.net/~frmuse/alison/index.html"} +{"d:Title": "Ginny Weathers", "d:Description": "Ginny takes one basic edging pattern and makes many different ornamants.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://ginnyw10.tripod.com/"} +{"d:Title": "Jan Voskes' TatLife", "d:Description": "In Dutch and English, Jan shares her tatted doilies and other projects.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://tatslife.voskes.eu/"} +{"d:Title": "Tatting", "d:Description": "This Danish tatter shares Easter and Christmas projects, plus doilies.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.tatting.dk/"} +{"d:Title": "Rina Stepnaya", "d:Description": "Beaded jewelry, tatted by Rina Stepnaya and friends, is the focus of Ankars Gallery, with over 50 pieces. Photos also show the artists and their public exhibits.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://ankars.tripod.com/main_e.htm"} +{"d:Title": "Danaw Tatted", "d:Description": "Her grand-daughter created this memorial to the tatting art of Georgia Azmon.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://honeychile.tripod.com/tatting.html"} +{"d:Title": "Tracy's Tats", "d:Description": "Tracy shares four of her doilies.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://olefriend.tripod.com/tatting.html"} +{"d:Title": "Nellie Ellison", "d:Description": "The complete booklet \"Lessons In Tatting' by Nellie Ellison, originally published in 1916, is shared here by Peggy Rowe.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://pegrowe.tripod.com/"} +{"d:Title": "Ullas Occhi-World", "d:Description": "Four German designers share a website. Besides the more than thirty floral works Ursula Dittmar has tatted, she also hosts Helma Seipmann (see her technical samples and instructions at 'seminarmappe') Heiko Pund (maker of amber shuttles) and Christel Weidmann. Christel has designed over ten doilies, ten collars, five necklaces plus an alphabet and a zoo. (English, German)", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://www.occhi-world.de/"} +{"d:Title": "Icelas Fish Bowl of Tatting", "d:Description": "Mary shares photos of her awards, exchanges and Palmetto Guild activities.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://icelas-world.blogspot.com/"} +{"d:Title": "Bittersweet", "d:Description": "A news article from Missouri discusses tatting.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting", "url": "http://thelibrary.org/lochist/periodicals/bittersweet/su79h.htm"} +{"d:Title": "Il chiacchierino di Isla", "d:Description": "This Italian site, translated into English, includes a gallery, shuttles and instructions.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.chiacchierino.it/indexe.htm"} +{"d:Title": "Lisa's Tatting Book Collection", "d:Description": "Lisa has reviewed a hundred tatting pattern and instruction books.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.balbes.com/tatting.html"} +{"d:Title": "Tatting ... Just for You", "d:Description": "Scroll to the bottom of the page to find step by step tatting tutorial. Free patterns also on site, plus books, shuttles, kits.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.angelbabies.net/index-23.html"} +{"d:Title": "Mimi Dillman", "d:Description": "Skilled in several forms of lacemaking, Mimi has completed a study of cluny techniques. Her information is well researched, and the bibliography is extensive.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://home.netcom.com/~ntrop/mimihome.html"} +{"d:Title": "The Online Tatting Class", "d:Description": "The weekly lessons are available by web conference and are indexed by year. Classes are offered for both shuttle and needle tatters. Each class contains: a photo of the lace to be studied, the pattern, diagrams, and written instructions. Subjects range from beginner lessons to advanced techniques.", "priority": "1", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.georgiaseitz.com/"} +{"d:Title": "Silk Tatting Lesson Book", "d:Description": "The complete text, with original illustrations, of this vintage Carlson Currier bookletis on this site.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.georgiaseitz.com/silktatting/index.html"} +{"d:Title": "BellaOnline - Tatting", "d:Description": "Gillian Buchanan, a Lace Guild certified tatter, writes a tatting column and newsletter for this network. She provides instructions to aid both the beginning and advanced tatter. See the archives for her series on adding beads.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.bellaonline.org/Article.asp?id=503"} +{"d:Title": "Sabina Madden", "d:Description": "Designing a new tatted work is easier with a stitch gauge. Making one in each size thread allows the tatter to estimate the number of stitches that will be needed in a new project. Seven lessons for advanced tatters included.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.paradisetreasures.com/"} +{"d:Title": "Tatting by Marie", "d:Description": "Marie teaches the flip in simple illustrations and provides a basic border pattern.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.johan-potgieter.com/tatting/tat-index.htm"} +{"d:Title": "Tatters Across Time, Inc.", "d:Description": "This proficiency program for shuttle tatters operates similar to a coorespondence course. Lessons are taught in three levels: Apprentice, Artisan and Master. Mentors are available to each student.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.tattersacrosstime.org/"} +{"d:Title": "This 'n' Tat", "d:Description": "Lessons cover pattern reading, folded joins, beads, and node stitch. Includes patterns and projects.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.thisntat.com/"} +{"d:Title": "Hands On Crafts for Kids", "d:Description": "A simple star project introduces children to tatting concepts.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Instruction", "url": "http://www.craftsforkids.com/projects/tatting.htm"} +{"d:Title": "MA: Northwoods Tatting Guild", "d:Description": "This guild meets in Bemidji, Minnesota. Site holds photos of their special shuttle crafted by Cris Hinton, and a Christmas tree decocated with Patti Duff's mini tat patterns.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://www.paulbunyan.net/users/wmhamre/"} +{"d:Title": "SC: The Palmetto Tatters Guild", "d:Description": "A tatting guild based in South Carolina shares photos from the SC State Fair, monthly meeting info and minutes, and a gallery of items tatted by its members.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://www.palmettotatters.org/"} +{"d:Title": "Newsgroup: S_NTATTERS", "d:Description": "This group of shuttle and needle tatters was formed in 2001 and now has over two hundred members. They regularly sponsor live chats and classes.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://groups.yahoo.com/group/S_NTATTERS/"} +{"d:Title": "UK: Ring of Tatters", "d:Description": "With over a thousand members, this international organization supports the craft of tatting with workshops, demonstrations and competitions. Their newsletter is published twice a year.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://www.ringoftatters.org.uk/"} +{"d:Title": "Newsgroup: Tattingroundtheworld", "d:Description": "This tat newsgroup was begun in 2000.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://groups.yahoo.com/group/tattingroundtheworld/"} +{"d:Title": "Newsgroup: ETatters", "d:Description": "This newsgroup consists of over 1000 tatters from around the world, and is the oldest continually operating tat newsgroup. They encourage and inspire each other by sharing tatting tips, techniques and patterns via email.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://groups.yahoo.com/group/e-tatters/"} +{"d:Title": "Newsgroup: Needle Tatting", "d:Description": "A group of needle tatters share tips, patterns and galleries.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://needletatting.proboards.com/"} +{"d:Title": "Newsgroup: The Social Shuttle", "d:Description": "A group of shuttle tatters are hosted by Roger (Freedman).", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://thesocialshuttle.proboards.com/"} +{"d:Title": "Rocky Mountain Lace Guild", "d:Description": "An organization to promote appreciation and information about lace in all its forms. Lace makers demonstration skills at various events throughout the Rocky Mountain region.", "topic": "Top/Arts/Crafts/Lacemaking/Tatting/Organizations", "url": "http://www.rockymountainlaceguild.org/"} +{"d:Title": "The International Internet Leathercrafters' Guild", "d:Description": "A gallery of leather workers exchanging patterns, tips, and fellowship. Includes membership information, classifieds and events.", "topic": "Top/Arts/Crafts/Leatherworking", "url": "http://www.iilg.net/"} +{"d:Title": "Leather Working", "d:Description": "Tips and techniques. Includes a glossary of terms, leather weights, hand and machine sewing and patterning.", "topic": "Top/Arts/Crafts/Leatherworking", "url": "http://www.alleycatscratch.com/lotr/LeatherS.htm"} +{"d:Title": "Regia Anglorum - Leatherwork", "d:Description": "Article discussing the craft of the tanner, history of leatherworking, and everyday items made from leather.", "topic": "Top/Arts/Crafts/Leatherworking", "url": "http://www.regia.org/leatwork.htm"} +{"d:Title": "Leatherworking in the Middle Ages", "d:Description": "Discusses leatherwork actually done in the Middle Ages, and 20th Century work done by reenactors and recreationists. By Marc Carlson.", "topic": "Top/Arts/Crafts/Leatherworking", "url": "http://www.personal.utulsa.edu/~Marc-Carlson/leather/leath.html"} +{"d:Title": "Leather Crafters and Saddlers Journal", "d:Description": "A leather-working bi-monthly with how-to, step-by-step instructional articles, resources and leather industry news.", "topic": "Top/Arts/Crafts/Leatherworking", "url": "http://www.leathercraftersjournal.com/"} +{"d:Title": "Central Indiana Leathercrafters", "d:Description": "Provides membership and meeting information, workshops, photographs and projects by members.", "topic": "Top/Arts/Crafts/Leatherworking", "url": "http://centralindianaleathercrafters.org/"} +{"d:Title": "Bead and Button Magazine", "d:Description": "Includes how-to projects, for beginning and experienced crafters, and a gallery of work by readers and profiles of leading beaders. Published six times a year.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.beadandbutton.com/"} +{"d:Title": "The Crafts Report Online", "d:Description": "Premier business magazine for the crafts professional. National news and information on industry issues, trends, business management, shows, resources and suppliers to the craft industry.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.craftsreport.com/"} +{"d:Title": "The Country Sampler Group", "d:Description": "Publisher of Country Sampler, Decorating Ideas, Country Marketplace, and Country Business magazines.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.sampler.com/"} +{"d:Title": "Sunshine Artist Magazine", "d:Description": "Magazine for crafters. Includes listings, reviews, articles, and resources.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.sunshineartist.com/"} +{"d:Title": "Festival Network Online", "d:Description": "Online database of arts and crafts shows and music festivals across the USA and Canada. Basic information and show rating is free, specifics and details are by subscription only. 17,000+ events.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.festivalnet.com/"} +{"d:Title": "Where The Shows Are", "d:Description": "Quarterly publication of arts and craft show listings for Florida, Virginia, Pennsylvania, Maryland and New York.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.artandcrafts.com/"} +{"d:Title": "Crafts Beautiful", "d:Description": "Online UK arts and crafts magazine. Packed full of free craft projects, patterns, makes, tips, and bursting with ideas for all kinds of crafts.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.crafts-beautiful.com/"} +{"d:Title": "Paper Crafts Magazine", "d:Description": "Features basic techniques for handmade greeting cards, gift wrap, party invitations, decorations and favors, and home accents.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.papercraftsmag.com/"} +{"d:Title": "Craftsman Magazine", "d:Description": "Information and ideas for everyone involved in making and selling crafts in the UK and Ireland.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.craftanddesign.net/"} +{"d:Title": "Art and Craft Show Yellowpages", "d:Description": "Craft show listings for northeast United States. Searchable by state with detailed information.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.smartfrogs.com/"} +{"d:Title": "ReadyMade", "d:Description": "Vintage-style, DIY magazine with project ideas for turning everyday objects into home decor or wearable art.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines", "url": "http://www.readymade.com/"} +{"d:Title": "Crafter's News Report", "d:Description": "Resource guide helping fellow crafter's market their arts and crafts on the internet successfully.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines/E-zines", "url": "http://luvcrafts.tripod.com/"} +{"d:Title": "CyberFibres", "d:Description": "An on-line magazine for fiber artists.", "topic": "Top/Arts/Crafts/Magazines_and_E-zines/E-zines", "url": "http://www.cyberfibres.com/"} +{"d:Title": "Yahoo! Group: Marbling", "d:Description": "A discussion group devoted to paper marbling.", "topic": "Top/Arts/Crafts/Marbling", "url": "http://groups.yahoo.com/group/Marbling/"} +{"d:Title": "Wikipedia - Paper Marbling", "d:Description": "Encyclopedia article on paper marbling. Includes procedure, history, examples of marbling, and links.", "topic": "Top/Arts/Crafts/Marbling", "url": "http://en.wikipedia.org/wiki/Paper_marbling"} +{"d:Title": "Suminagashi", "d:Description": "Information on the ancient Asian technique of ink marbling, or suminagashi.", "topic": "Top/Arts/Crafts/Marbling", "url": "http://www.suminagashi.com/"} +{"d:Title": "Saralart", "d:Description": "Marbled paintings by Ahmet Saral.", "topic": "Top/Arts/Crafts/Marbling", "url": "http://saralart.blogspot.com/"} +{"d:Title": "Anvilfire", "d:Description": "Blacksmiths' and metal workers' reference. Questions answered daily by the Guru. Meet and chat with other smiths at the Slack Tub Pub. Buy, sell, and trade at the Virtual Hammer-In.", "topic": "Top/Arts/Crafts/Metal_Craft", "url": "http://www.anvilfire.com/"} +{"d:Title": "Foundry 101", "d:Description": "Hobby metal casting. Learn to cast aluminum and brass in sand molds. Includes step by step instructions with pictures.", "topic": "Top/Arts/Crafts/Metal_Craft", "url": "http://www.foundry101.com/"} +{"d:Title": "Torchcraft", "d:Description": "Jerry Kirby manufactures metal silhouettes and other forms of custom metal art. Examples of work, ordering process, and photo blog.", "topic": "Top/Arts/Crafts/Metal_Craft", "url": "http://www.torchcraft.com/"} +{"d:Title": "The Hawley Collection", "d:Description": "An edge-tools and cutlery collection housed at the University of Sheffield, in the UK, and a description of the project.", "topic": "Top/Arts/Crafts/Metal_Craft", "url": "http://www.sheffield.ac.uk/hawley"} +{"d:Title": "Prescott Studio", "d:Description": "Metal sculptor Fredrick Prescott creates life-size kinetic steel animals designed to move in the wind for outdoor display. Includes gallery, videos, show calendar and shopping details.", "topic": "Top/Arts/Crafts/Metal_Craft", "url": "http://www.prescottstudio.com/"} +{"d:Title": "The Rockbridge Bloomery", "d:Description": "Offering an overview of smelting, furnace construction, and smithing. Includes step-by-step instructions.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing", "url": "http://iron.wlu.edu/"} +{"d:Title": "Damascus Site", "d:Description": "Collection of information about Damascus or Pattern Welded Steel to share a passion with other amateurs. English.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing", "url": "http://damascus.free.fr/"} +{"d:Title": "Blacksmith's Journal", "d:Description": "Monthly on-line magazine includes articles and design samples of techniques, tools, and forged ironwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing", "url": "http://www.blacksmithsjournal.com/"} +{"d:Title": "Repousse Tools and Techniques", "d:Description": "Repousse tools, techniques and sources for artists, armourers, metal sculptors and blacksmiths.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing", "url": "http://www.repoussetools.com/"} +{"d:Title": "Turley Forge Blacksmithing School", "d:Description": "Offering training, located in Santa Fe, New Mexico. Includes class information.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing", "url": "http://www.turleyforge.com/"} +{"d:Title": "I Forge Iron", "d:Description": "Blacksmithing reference of how-to blueprints, tools and tricks of the trade, and stories.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing", "url": "http://www.iforgeiron.com/"} +{"d:Title": "Peat Oberon's School of Blacksmithing", "d:Description": "Offering training in a purpose-built facility. Beginners and advanced courses available. Great Britain.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing", "url": "http://www.school-of-blacksmithing.co.uk/"} +{"d:Title": "Gotscha", "d:Description": "Sculpture and armour by Dutch contemporary sculptor-blacksmith Gotscha Lagidse.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Armor", "url": "http://www.gotscha.nl/"} +{"d:Title": "Eisenkleider", "d:Description": "Museum quality parade armor, etched, embossed, gilt with original 15-17th century etched/embossed designs. Also, family crests and business logos, etched or high relief embossed in copper.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Armor", "url": "http://www.karlofgermany.com/"} +{"d:Title": "Sword Forum International", "d:Description": "Covers all areas of sword collecting and usage including articles and an active discussion forums.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Armor", "url": "http://www.swordforum.com/"} +{"d:Title": "Jay Fisher", "d:Description": "This artist and craftsman makes knives, daggers, and swords for collectors, the military, professionals, and museums. He is a member of the Knifemaker's Guild.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Armor", "url": "http://www.jayfisher.com/"} +{"d:Title": "Hassanand Hemandas&Co", "d:Description": "Manufacture and export of replica period weapons, swords, guns, armour, and navigational instruments produced by artisans from Rajasthan India.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Armor", "url": "http://www.hhandco.com/"} +{"d:Title": "Forge n' File", "d:Description": "Full time pattern welded steel shop. Fully functional, period, fine works of art, in the twist, ladder, birds eye, angel hair, custom patterns, as well as period high carbon steels and/or damascus swords, daggers, axes.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Armor", "url": "http://www.angelfire.com/biz6/ForgenFile/"} +{"d:Title": "Appalachian Blacksmiths Association", "d:Description": "Chapter location of ABANA, located in West Virginia. Includes supplies, calendar of events, and educational information.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.appaltree.net/aba/"} +{"d:Title": "The Artist-Blacksmith's Association of North America (ABANA)", "d:Description": "A non-profit educational organization dedicated to promoting the art of blacksmithing. ABANA's two quarterly publications, the Anvil's Ring and the Hammer's Blow, provide inspiration and technical information for 4,500 members.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.abana.org/"} +{"d:Title": "The Blacksmith's Guild", "d:Description": "Northern Virginia and Southern Maryland chapter of ABANA. Includes a newsletter, calendar, photos and scholarship information.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.bgop.org/"} +{"d:Title": "British Artist Blacksmiths Association (BABA)", "d:Description": "Focusing on craftsmanship and design among artist blacksmiths in Great Britain and abroad. Includes a newsletter, events, and education.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.baba.org.uk/"} +{"d:Title": "Capital District Blacksmiths", "d:Description": "Artists Blacksmiths Association serving the Albany NY area. Includes meeting information, tips and tools, and a calendar.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.cdblacksmiths.org/"} +{"d:Title": "The Guild of Metalsmiths", "d:Description": "A nonprofit educational organization centered in Minneapolis/St.Paul Minnesota. Includes a forum, newsletter and publications, activities, and galleries.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.metalsmith.org/"} +{"d:Title": "Houston Area Blacksmith Association", "d:Description": "Offering a public listing of newsletter tips, items for sale. Offering online resources, meeting and sponsor information.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.habairon.org/"} +{"d:Title": "Illinois Blacksmith Association (IVBA)", "d:Description": "Focus on promoting architectural, artistic and practical blacksmithing, while preserving the past heritage of this craft. Includes a gallery and upcoming events.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.illinoisblacksmith.org/"} +{"d:Title": "Mississippi Forge Council", "d:Description": "ABANA chapter. Includes a gallery, membership schedule and newsletters.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.msforgecouncil.com/"} +{"d:Title": "NC ABANA", "d:Description": "North Carolina Chapter of the Artists Blacksmiths Association of America. Includes information on classes, scholarships and member exhibits.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.ibiblio.org/nc-abana/"} +{"d:Title": "New England Blacksmith Association", "d:Description": "Regional chapter of the ABANA. Includes upcoming events, photos and resources.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.newenglandblacksmiths.org/"} +{"d:Title": "Southern Ohio Forge and Anvil", "d:Description": "Chapter of the Artist Blacksmith Association of North America. Includes meetings, classes, and photo gallery.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://sofablacksmiths.org/"} +{"d:Title": "Western Reserve Artist Blacksmith Association", "d:Description": "Ohio chapter of the Artist Blacksmith Association of North America. Includes a bulletin board and tips.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.wraba.com/"} +{"d:Title": "Pittsburgh Area Artist-Blacksmiths Association", "d:Description": "Calendar of events, photo galleries, member profiles and a projects page", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.paaba.net/"} +{"d:Title": "Gulf Coast Blacksmith Association", "d:Description": "ABANA affiliate serving Louisiana and Mississippi. Photo galleries, video library, online videos, member work samples, projects, tips and links.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.gulfcoastblacksmith.com/"} +{"d:Title": "Four States Iron Munchers", "d:Description": "ABANA affiliate Texarkana area blacksmiths association. Includes meeting information, photos and newsletter.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.fourstatesironmunchers.com/"} +{"d:Title": "Maritime Blacksmiths Association", "d:Description": "A volunteer, non-profit association to promote blacksmithing in the Maritimes. Based in Halifax, Nova Scotia.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://maritimeblacksmiths.ca/"} +{"d:Title": "Vancouver Island Blacksmith's Association", "d:Description": "A non-profit society formed for blacksmithing in British Columbia, Canada. Calendar of events, photo gallery, newsletter, and membership details.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.viblacksmiths.com/"} +{"d:Title": "North Texas Blacksmiths Association", "d:Description": "Regional ABANA chapter. Includes newsletter, member and meeting information. Ponder, Texas.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.ntxba.org/"} +{"d:Title": "Balcones Forge", "d:Description": "Central Texas blacksmiths. An affiliate of the Artist-Blacksmith's Association of North America (ABANA).", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.balconesforge.org/"} +{"d:Title": "Irish Artist Blacksmiths Association", "d:Description": "The gateway to blacksmithing and forge-work in Ireland.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.irishblacksmiths.com/"} +{"d:Title": "Cape Breton Blacksmiths Association", "d:Description": "To promote and further the craft of blacksmithing through education and presentation. Based in Whycocomagh, Nova Scotia.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://cbblacksmiths.com/"} +{"d:Title": "CanIRON", "d:Description": "Canada\u2019s National Blacksmith Conference. The biennial blacksmithing gathering, aimed at fostering friendship, collaboration, and skills development.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.caniron.ca/"} +{"d:Title": "Northwest Blacksmith Association", "d:Description": "A Washington organization for the art and craft of blacksmithing and related metal crafts.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://blacksmith.org/"} +{"d:Title": "Prairie Blacksmiths Association", "d:Description": "Hobbyists and professional smiths in Nebraska and surrounding states. Includes a gallery, projects, and member information.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.pbsmiths.org/"} +{"d:Title": "Great Plains Blacksmith Association", "d:Description": "Regional chapter of ABANA. Includes a newsletter and upcoming events.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.greatplainsrenfest.com/great-plains-blacksmith-association/"} +{"d:Title": "Blacksmiths Association of Western Australia", "d:Description": "Western Australia chapter of ABANA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Organizations", "url": "http://www.bawa.net.au/"} +{"d:Title": "Smederij Faber", "d:Description": "Architectural and decorative blacksmithing.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://smederijfaber.moerstaal.nl/"} +{"d:Title": "Lowell Chaput Metalsmith", "d:Description": "Artist-blacksmith creating architectural and sculptural art using iron, brass, bronze, stainless steel and copper.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://chaputmetalsmith.com/"} +{"d:Title": "Blacksmith Chic", "d:Description": "Lorelei Sims shows photographs of her smithy in Charleston, Illinois, and her portfolio of custom ironwork for home interior and exterior spaces.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.blacksmithchic.com/"} +{"d:Title": "Forging Ahead", "d:Description": "Designer metal-smith sculptures for exterior and interior sites. Gates, furniture, and sundials.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.forging-ahead.co.uk/"} +{"d:Title": "The American Blacksmith", "d:Description": "Geronimo Bayard teaches eighteenth century blacksmith skills in Oakland, Oregon. Blacksmithing in small classes or private instruction.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.americanblacksmith.com/"} +{"d:Title": "Katy Prairie Forge", "d:Description": "Katy Prairie Forge is a working blacksmith shop. Still shoe ing a few horses, but mostly doing traditional and contemporary, hand forged, metalwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.katyforge.com/"} +{"d:Title": "Forge Ahead Ironworks Ltd", "d:Description": "Traditionally trained blacksmith Michael Jacques specialises in artistic metalwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://mejj.co.uk/"} +{"d:Title": "Prairie Art Metal", "d:Description": "Custom furniture, accessories, and paraphernalia hand crafted from metal, glass and wood. Brooklyn, Wisconsin.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://prairieartmetal.com/"} +{"d:Title": "Ulfie's Forge", "d:Description": "Swedish hobbyist blacksmith. Description of work, forge design plus a picture gallery", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.uffes-smedja.nu/"} +{"d:Title": "The Forgeworks", "d:Description": "Artist-Blacksmith Tim Cisneros. Decorative and Architectural wrought iron work.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.theforgeworks.com/"} +{"d:Title": "Dillon Forge", "d:Description": "The blacksmith shop of artist crafstman Michael Dillon. Gallery of architectural, furniture and artistic ironwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.dillonforge.com/"} +{"d:Title": "Fine Architectural Metalsmiths", "d:Description": "Custom forge studio specializing in limited edition lighting, driveway and garden gates, railings, furniture and other home accessories, using traditional blacksmithing and contemporary metalworking techniques.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://iceforge.com/"} +{"d:Title": "George Matthews Blacksmith", "d:Description": "Hand forged ornamental and custom ironwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.angelfire.com/la3/blacksmith/"} +{"d:Title": "Cotswold Decorative Ironworkers", "d:Description": "Handcrafted wrought iron gates, gazebos, rose arches, bridges, benches, tables and chairs. Also offers architectural salvage.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.cd-ironworkers.co.uk/"} +{"d:Title": "Shelley Thomas - Artist Blacksmith", "d:Description": "designer and maker of metal furniture, interior fittings, architectural work and public art.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.shelleythomas.co.uk/"} +{"d:Title": "Slaysman Forge", "d:Description": "Artist blacksmith with 14 years experience producing furniture, sculpture, hardward or any custom design.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.slaysmanforge.com/"} +{"d:Title": "Backwoods Blacksmith", "d:Description": "Handmade fire place tools, door knockers, curtain rods, utensils, and hardware. Rehoboth, Massachusetts, United States.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://backwoodsblacksmith.tripod.com/"} +{"d:Title": "Phillips Metal Works", "d:Description": "Designs and produces contemporary architectural and sculptural ironworks.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.phillipsmetalworks.com/"} +{"d:Title": "Northwinds Forge", "d:Description": "Located in the Black Forest area north of Colorado Springs, CO, USA. Our main focus is architectural hardware for both commercial and residential use.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.northwindsforge.com/"} +{"d:Title": "Herrera Ornamental Iron Works, Inc.", "d:Description": "Traditional, hand-hammered ornamental ironwork designs including railings, fencing, gates, furniture, fabrication of custom iron parts.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.herreraironworks.com/"} +{"d:Title": "Hammer and Tongs", "d:Description": "British artist blacksmith Paul Elliott FWCB designs and produces architectural commissions, public art and sculptures from mild steel, wrought iron, stainless steel, and bronze.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.blacksmithonline.co.uk/"} +{"d:Title": "Bex Simon", "d:Description": "Offering commission based projects, designer handmade original indoor and outdoor features. London, United Kingdom.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.bexsimon.com/"} +{"d:Title": "Morris L Hallowell IV", "d:Description": "Offers custom blacksmithing in Livingston, Montana. Architectural ironwork, custom hardware.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.hallowellco.com/ironwork.htm"} +{"d:Title": "Hotanvil Forge", "d:Description": "My work in Blacksmithing and Bladesmithing.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://members.tripod.com/hotanvil_forge/"} +{"d:Title": "Wareham Forge - Darrell Markewitz", "d:Description": "Uses traditional blacksmithing techniques, specialist in historic reproductions. Gallery, courses, and events.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.warehamforge.ca/"} +{"d:Title": "Rocio Heredia, Artist Metalsmith", "d:Description": "Specializing in traditional metalsmithing techniques of chasing and repousse in high relief on silver, copper, gold and tin. Classes and workshops on chasing and repousse technique in Mexico and abroad.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.rocioheredia.com/"} +{"d:Title": "Robert S. Jordan", "d:Description": "Produces commissioned chandeliers, railings, furniture and accessories from most forgeable metals. Also offers a blacksmithing school. Photos of past work provided.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.robertsjordan.com/"} +{"d:Title": "Smith Iron Works", "d:Description": "Decorative, architectural, artistic blacksmithing of all kinds. Spiral stair specialists. Large contracts to small one-of-a-kind jobs.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.smithiron.com/"} +{"d:Title": "Red Troll Forge Damascus and Ironwork", "d:Description": "Pictures of Damascus knives, Iron railings. Classes available on blacksmithing, blade forging and damascus (pattern welding). Barstock damascus available.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.redtrollforge.com/"} +{"d:Title": "Wrought Iron Designer", "d:Description": "Handcrafted ironwork gates, railings, candle holders, and fireplace doors and tools. Also offers copper and stainless steel work.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.wroughtirondesigner.com/"} +{"d:Title": "Samuel Yellin Metalworkers", "d:Description": "Renowned architectural and ornamental metalworking forge in business since 1909.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.samuelyellin.com/"} +{"d:Title": "Morrell Metalsmiths", "d:Description": "Blacksmiths in Colrain, Massachusetts, making wrought iron furniture, fittings and ornamental ironwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.morrellmetalsmiths.com/"} +{"d:Title": "Bluegum", "d:Description": "Iron work and fireside accessories supplies wrought iron artwork, hand crafted customised ornamental iron work for large and small projects.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.ironworks.com.au/"} +{"d:Title": "Richard Bent, FWCB", "d:Description": "Undertakes private and public commissions for functional art and pure sculpture. Includes an introduction, gallery of work, information on courses, contact details and links. Locations in Hampshire and Devon, England.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.richardbentblacksmith.co.uk/"} +{"d:Title": "David Robertson", "d:Description": "Traditional and contemporary hand forged furnishings and art pieces in Bruce County, Ontario, Canada. Teaches blacksmithing and builds air hammers for small shops.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.artistblacksmith.com/"} +{"d:Title": "Steve Lopes Blacksmith", "d:Description": "A portfolio of hand forged architectural metal work including lighting door hardware and railings.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.stevelopesblacksmith.com/"} +{"d:Title": "Phillips Metal and Sculpture", "d:Description": "One of a kind handmade black iron metalwork and sculpture for the home or business.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.phillipsmetal.com/"} +{"d:Title": "John Rais Studios", "d:Description": "Metal artist/blacksmith in Milford, PA designing and creating one-of-a-kind forged metalwork for the home, garden and office.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.johnraisstudios.com/"} +{"d:Title": "White Horse Forge", "d:Description": "Dr.Bob Becker forges hot metal into functional art using the horses head as the motif. See the horse head collection. I also do architectural and home furnishings.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.whitehorseforge.com/"} +{"d:Title": "The Blacksmiths III", "d:Description": "Hand forged wrought iron in the Scandinavian and Medieval European style.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.blacksmiths3.com/"} +{"d:Title": "Iron Intentions Forge", "d:Description": "Custom forged iron work and knives. Gary Anderson, master smith ABS forges anything from nails to gates, along with repairs and restorations.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.ironintentions.com/"} +{"d:Title": "Steve Lynch Sculptor", "d:Description": "Steve Lynch is a metal sculptor residing in Colorado Springs, Colorado.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://stevelynchsculptor.com/"} +{"d:Title": "Kunstschlosserei Helmut Gassner", "d:Description": "Austrian traditional enterprise specialized in grave crosses, cemetery crosses and metal crucifxes for catholic cemeteries. Salzburg, Austria.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.grabkreuze.at/grabkreuze_en/index.htm"} +{"d:Title": "Bent Metal Forge", "d:Description": "Hand-crafted metal artwork and functional art. Belfast, Maine, United States.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.bentmetalforge.com/"} +{"d:Title": "Csarko Red Hot Metal-Art Studio", "d:Description": "Contemporary and modern ironwork, art gates, metal works, furniture, ornamental pieces.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.csarko.com/"} +{"d:Title": "Red Willow Forge", "d:Description": "Blacksmith Custom Wrought Iron and Iron Rod Art Smithing.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.redwillowforge.com/"} +{"d:Title": "Black Dragon Forge", "d:Description": "Blacksmiths in Derbyshire, England, specialising in wrought ironwork and traditional blacksmithing techniques.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.blackdragonforge.co.uk/"} +{"d:Title": "Wyoming Metalsmiths", "d:Description": "Custom designed hand forged wrought iron accessories", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.wyomingmetalsmiths.com/"} +{"d:Title": "Verdigris", "d:Description": "Contemporary and creative metalwork designed with flair and panache for the interior and exterior.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.verdigrismetals.co.uk/"} +{"d:Title": "Lucian Avery - Blacksmith", "d:Description": "Lucian Avery designs and forges one of a kind, functional pieces and early American hardware.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.lucianaveryblacksmith.com/"} +{"d:Title": "Wrought Iron Art Ltd.", "d:Description": "Wrought iron made by traditional backsmithing method of forging. Their work includes custom-made, originally-designed wrought iron gates, railings, balconies, and furniture.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.wroughtironart.net/"} +{"d:Title": "Wiemann Ironworks", "d:Description": "Based in Tulsa, Oklahoma, USA and specializing in art-metal railings, gates, fencing, lights, and doors.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.wiemanniron.com/"} +{"d:Title": "Castle Forge Blacksmiths", "d:Description": "Castle Forge Blacksmiths in Sherborne for Industrial Forgings, Wrought Ironwork, Traditional and Modern Restorations as well as General Repairs", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.castleforge.co.uk/"} +{"d:Title": "Cowboy Blacksmith", "d:Description": "Hand forged functional blacksmith items. Spurs, candle holders, trivets, hooks, hangers, and fire pokers.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.cowboyblacksmith.com/"} +{"d:Title": "Peffers Plane and Anvil", "d:Description": "Kevin Peffers, a blacksmith and cabinetmaker creates unique furniture, railings, gates, doors, and grills, from iron and wood.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.planeandanvil.com/"} +{"d:Title": "Mill Forge, Cheshire", "d:Description": "Local blacksmith David Freedman produces modern and traditional pieces of furniture, sculpture and carpentry for the Nantwich area, Cheshire, South Manchester and beyond.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.millforge.org.uk/"} +{"d:Title": "Kate Clayton, Metalsmith", "d:Description": "Metalsmith Kate Clayton handcrafts a variety of items, including handrails, Tibetan Buddhist meditation benches, altars and sconces hand wrought in steel.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://kateclayton.com/"} +{"d:Title": "Wayfarer Forge", "d:Description": "Traditional smith, forging ironwork in Virginia, USA, offers consultation, design, fabrication, restoration and installation. Includes profile, image gallery of completed works, rates and contact details.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.wayfarerforge.com/"} +{"d:Title": "Kestrel Ridge Forge, LLC", "d:Description": "Produces functional metalwork and repairs by Jay Silber.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.kestrelridgeforge.com/"} +{"d:Title": "Iron Creations", "d:Description": "Iron Creations USA, designer, manufacturer and installer of wrought iron fences, railings, furniture, windows and gates. Located in Milwaukee, WI", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.ironcreationsusa.com/"} +{"d:Title": "David Browne Metal Design", "d:Description": "San Diego based Metal Artist and Blacksmith David Browne Functional Art, Furniture, and Architectural Iron.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.brownemetal.com/"} +{"d:Title": "The Old Southern Forge", "d:Description": "Domestic and commercial requirements, from survey and design, through manufacture, to installation on site.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.theoldsouthernforge.co.uk/"} +{"d:Title": "Big Iron Art", "d:Description": "Custom iron, copper, brass, stainless, and aluminum products for home and recreational use.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.bigironart.com/"} +{"d:Title": "Metcalfe Roush Forge and Design", "d:Description": "Custom iron furniture and architectural design. Gallery of their work, ordering information, and biographies of the blacksmiths. Based in Brasstown, NC.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.metcalferoush.com/"} +{"d:Title": "Barney the Blacksmith", "d:Description": "Iron artist and demonstrating blacksmith Richard Barnard of North Bay, Ontario, Canada.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://users.vianet.ca/barney/"} +{"d:Title": "Stan Pike Master Blacksmith", "d:Description": "Details of furniture, architecture, restoration and course.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.stanpike.com/"} +{"d:Title": "Philip Betts the Candlestick Maker", "d:Description": "Wrought Iron Art and Sculpture by blacksmith Philip Betts", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.philipbetts.com/"} +{"d:Title": "Sparks Will Fly Forge", "d:Description": "Unconventional, hand forged, custom ironwork by artist blacksmith Mark Puigmarti.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.sparkswillflyforge.ca/"} +{"d:Title": "Forged'doll", "d:Description": "Specializing in wrought forged iron designs for architecture, landscaping and decor. Located in France.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://forgedoll.com/"} +{"d:Title": "B&S Massey Ltd", "d:Description": "Specializing in refurbished machinery such as forging hammers, spares and presses.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://masseyforging.com/"} +{"d:Title": "Junker Studio", "d:Description": "In Vermont produces art metal pieces ranging from the simple weathervane to custom designed and constructed furniture, railings, gates and signs.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.123websiteservices.com/~junker/"} +{"d:Title": "Artist Blacksmith - Noel Blakeman", "d:Description": "Designs and makes bespoke contemporary and traditional pieces in a variety of metals.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.noelblakeman.com/"} +{"d:Title": "Earth Eagle Forge", "d:Description": "Decorative iron, mosaic coffee table, arbors, candle holders, candle chandeliers, wall mounted handrail, fireplace screen and tools, room devider, original paintings.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.eartheagleforge.com/"} +{"d:Title": "Get Bent, LLC", "d:Description": "A traditional blacksmithing studio in Crested Butte, Colorado. Specializing in architectural details, fireplace doors, tools, accessories, door hardware, furniture, and artwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.getbentllc.com/"} +{"d:Title": "Laughing Crow Forge", "d:Description": "John Winer creates architectural and sculptural ironwork, hand-forged knives and home furnishings, using traditional joinery and forging techniques.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.laughingcrowforge.net/"} +{"d:Title": "Chris Shea", "d:Description": "Designs and creates hand-forged furniture, sculpture and architectural metalwork at a studio in Brandywine, Maryland. Gallery, biography and slideshow.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.chrisshea.com/"} +{"d:Title": "Eng, Greg", "d:Description": "Presents his portfolio as an artist-blacksmith in southern California.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.gemstudiosinc.com/"} +{"d:Title": "Jack Brubaker Designs", "d:Description": "From major architectural and public installations to simple curving candleholders, metal becomes high art in Jack Brubaker's forge.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.bloomingtononline.net/directory/site/listing/Jack-Brubaker-Designs/892"} +{"d:Title": "Kayne and Son Custom Hardware Inc.", "d:Description": "Makers of fine hand forged iron and cast brass hardware for home and garden In North Carolina. Features product photos and online ordering.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.blacksmithsdepot.com/index.php?setbrand=customforged"} +{"d:Title": "Della Terra Studios", "d:Description": "Matt Weber specializes in custom, hand-forged wrought architectural and decorative metalwork. Arizona, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.dellaterrastudios.com/"} +{"d:Title": "Benson Designs, LLC", "d:Description": "Jeff Benson produces hand-forged functional art, including railings, sculpture, furniture, accessories and architectural elements, and offers classes. Wisconsin, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://bensondesigns.com/"} +{"d:Title": "Vermont Country Iron", "d:Description": "Offers a range of home and garden decor items, such as cabinet pulls, candleholders, fireplaces, and furniture pieces.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.vermontcountryiron.com/"} +{"d:Title": "Orion Forge", "d:Description": "Traditional blacksmith specializing in custom iron work, including wrought iron furniture, metal banisters and guardrails, architectural ironwork and hand forged jewelry. Oregon, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.orionforge.com/"} +{"d:Title": "Trapper Peak Forge", "d:Description": "Produces hand-forged wrought iron products for the home including door, cabinet, bath, and custom/speciality hardware, in custom finishes. Montana, USA", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.trapperpeakforge.com/"} +{"d:Title": "Ventana", "d:Description": "Collin Price specializes in custom, hand-forged ironwork for both residential and commercial building projects. Works include railings, gates, lighting, and sculptures. Colorado, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.ventanacustom.com/"} +{"d:Title": "Persimmon Forge", "d:Description": "Produces traditional and contemporary hand-crafted iron work, specializing in plant and animal motifs, primarily on commission. Kansas, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.persimmonforge.com/"} +{"d:Title": "J4 Sculpted Steel", "d:Description": "Produces custom signs, gates, jewelry and crosses, and decorative and functional household items, including a small selection of stock items available for direct purchase. Texas, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://j4sculptedsteel.com/"} +{"d:Title": "Miran's Blacksmith Art", "d:Description": "Forged ironworks presented by blacksmith Miran Elbakyan from Vancouver, Canada. Works include sculptures, gates, railings, tables, beds, candle holders and mirrors.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.bcblacksmith.com/"} +{"d:Title": "Action Iron, LLC", "d:Description": "Produces custom gates and railings. Overview of services and online gallery. Virginia, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://actionironllc.com/"} +{"d:Title": "Marsha Trattner", "d:Description": "Female blacksmith offering custom designs, fabrication, and repairs, as well as classes in metal sculpture, furniture-making, and creative blacksmithing.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.marshatrattner.com/"} +{"d:Title": "BG Metal Design&Supply Inc.", "d:Description": "Supply and install custom ornamental metalwork and functional art for businesses and homes. Products include forged stair railings, sculptures, furniture, metal doors, and architectural elements. Vancouver, Canada.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.bgmetaldesign.com/"} +{"d:Title": "Grizzly Iron, Inc", "d:Description": "Designing and crafting ironwork, including gates and fences, railings, doors, fireplaces, and furniture. Arizona, USA.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.grizzlyiron.com/"} +{"d:Title": "Don Barker", "d:Description": "Artist blacksmith in York, England, specialising in design and manufacture of bespoke ironwork. Restoration specialists.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://www.theblacksmiths.co.uk/"} +{"d:Title": "Chris Topp and Company Ltd", "d:Description": "Restoration of historic and bespoke ironwork. Supplier of wrought iron. Educational and technical advice available on site as pdf downloads and links to useful sites.", "topic": "Top/Arts/Crafts/Metal_Craft/Blacksmithing/Smithies", "url": "http://toppandco.com/"} +{"d:Title": "Chainmail", "d:Description": "Chain mail and those who made and wore it throughout the years; James Dean (unconfirmed), various warriors, and your local butcher (validity may vary based on location). General information and methods.", "topic": "Top/Arts/Crafts/Metal_Craft/Chain_Mail", "url": "http://www.dcn.davis.ca.us/~jraller/chain/"} +{"d:Title": "Timotheous' Creations", "d:Description": "Gallery of chain mail clothing, accessories, and oddities", "topic": "Top/Arts/Crafts/Metal_Craft/Chain_Mail", "url": "http://www.angelfire.com/sk/timotheous/Tc.html"} +{"d:Title": "ChainMaille: the LORD Randolph Method", "d:Description": "This document is intended to assist the novice chain mailer in designing and producing his own suit of armor", "topic": "Top/Arts/Crafts/Metal_Craft/Chain_Mail", "url": "http://www.chainmail.com/chainmall/cteach2.htm"} +{"d:Title": "Illustrated Handbook", "d:Description": "A brief history of chain mail and detailed instructions on how to create chain mail and the tools to use.", "topic": "Top/Arts/Crafts/Metal_Craft/Chain_Mail", "url": "http://realbeer.com/jjpalmer/HowtoChain.html"} +{"d:Title": "Maille Artisans International League", "d:Description": "Dedicated to the art and industry of chainmail. Offers instructions, weaves, patterns, a gallery, rated links and chat groups.", "priority": "1", "topic": "Top/Arts/Crafts/Metal_Craft/Chain_Mail", "url": "http://www.mailleartisans.org/"} +{"d:Title": "Mail (Chainmail) Page", "d:Description": "Short history of chain mail and information about the construction of chain mail in a home workshop; photos and links.", "topic": "Top/Arts/Crafts/Metal_Craft/Chain_Mail", "url": "http://users.frii.com/katana/mail.html"} +{"d:Title": "Dave's Chainmail Construction Webpage", "d:Description": "Designed to help people who are interested in pursuing the task of creating chain mail. Features beginning techniques, tools, a gallery, and patterns.", "topic": "Top/Arts/Crafts/Metal_Craft/Chain_Mail", "url": "http://www.chainmailbasket.com/"} +{"d:Title": "Speciality Metals", "d:Description": "Suppliers of standard gauged wire, aluminium, brass and copper tube, rod, strip, sheet, angle and channel sections.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.smetals.co.uk/"} +{"d:Title": "The North London Society of Model Engineers", "d:Description": "Information on regular meetings in Finchley; interests include live steam locomotives, traction, stationary and marine engines, slot car, video and permanent model railways. Also sections for North American railways and juniors. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.nlsme.co.uk/"} +{"d:Title": "Brunell Models", "d:Description": "Model steam engine specialists supplying full kits for marine and stationary engines, traction and ploughing engines and locomotives.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.brunell.com/"} +{"d:Title": "Model Engineering Clearing House", "d:Description": "A service to the model engineering hobby, with particular focus on live steam and model railway engineering", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.modeleng.org/"} +{"d:Title": "Model Engineering Glossary", "d:Description": "Glossary of information and picture gallery on model engineering and tools provided by glue-it.com", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.glue-it.com/model-engineering/model_eng_home.htm"} +{"d:Title": "Station Road Steam", "d:Description": "Traders in model live steam locomotives, traction engines, stationary engines, boilers, books, workshop machinery and accessories. Machining work undertaken.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.stationroadsteam.co.uk/"} +{"d:Title": "Society of Model and Experimental Engineers", "d:Description": "A well established (1898) society bringing together those interested in encouraging craftsmanship through model engineering. Details of regular meetings and workshop facilities in SE London available to members, experimental software to download, events calendar and pictures of members' work on the site. (London, UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.sm-ee.co.uk/"} +{"d:Title": "Lathes", "d:Description": "Lathe, milling machine and other machine-tool information contained in a free archive. Handbooks, operation and parts manuals, and a trade section. UK.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.lathes.co.uk/"} +{"d:Title": "Western Steam Model Engineers", "d:Description": "Manufacturers of copper boilers for steam models; includes descriptions, pictures and advice on care.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.westernsteam.co.uk/"} +{"d:Title": "Canterbury Society of Model and Experimental Engineers", "d:Description": "Society with interests in live steam locomotives, road vehicles, R/C ships/boats/yachts and engineering projects; includes photo gallery of models and related links. (NZ)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.csmee.org.nz/"} +{"d:Title": "City of Oxford Society of Model Engineers Ltd.", "d:Description": "Contact details for the miniature railway at Cutteslowe Park, Oxford, UK, which is open for public running.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.cosme.org.uk/"} +{"d:Title": "Special Shapes Company", "d:Description": "Supplies brass section, sheet, tube and fasteners, also some aluminium. Online enquiry form for quotes and questions.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.specialshapes.com/"} +{"d:Title": "Learn-By-Doing Training - Build a Steam Speed Buggy", "d:Description": "Building description with drawings and pictures.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.firedragon.com/~kap/Educator/"} +{"d:Title": "MBM Model Engineering", "d:Description": "Download a brochure of model engineering fittings and supplies in New Zealand.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.mbmmodelengineering.com/"} +{"d:Title": "Steam Engine Lubrication", "d:Description": "Technical and sales information on a bespoke steam cylinder oil.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.steamenginelube.com/"} +{"d:Title": "Hobby Mechanics", "d:Description": "Suppliers of machine tools, accessories and plans and castings of Queensland Government Railways (Australia) model steam locomotives and traction engines.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.hobbymechanics.com.au/"} +{"d:Title": "Minitech Engineering and Model Supplies", "d:Description": "Suppliers of lathes, milling machines, machine tooling and model engineering supplies. Online catalogue and purchasing. (Australia)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.minitech.com.au/"} +{"d:Title": "Jerry Elford Model Making Studio", "d:Description": "Design and manufacture services for static or animated, engineering and architectural models.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.jerryelford.co.uk/"} +{"d:Title": "Rejon Machine Tools", "d:Description": "Suppliers of power tools and accessories by Unimat, Emco, Proxxon, Wabeco, Golmatic and Toyo. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.rejon.co.uk/"} +{"d:Title": "Eternal Tools", "d:Description": "Specialists in tungsten carbide and diamond tooling. Online shop. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.eternaltools.com/"} +{"d:Title": "Model Masters", "d:Description": "Builders of precision scale models, including rail, shipping, aviation, architectural and industrial subjects.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.modelmasters.net/engineer.htm"} +{"d:Title": "Anglo-Swiss Tools", "d:Description": "Refurbisher and seller precision hand and machine tools for horologists, instrument makers and model engineers. Schaublin and Aciera specialists. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.anglo-swiss-tools.co.uk/"} +{"d:Title": "Cardiff Model Engineering Society", "d:Description": "Dedicated to engineering in miniature, mainly steam railway locomotives. Own clubroom, workshop and multi-gauge tracks in Heath Park, Cardiff, UK.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.cardiffmes.com/"} +{"d:Title": "Cambridge Model Engineering Society", "d:Description": "A multigauge ground level miniature railway near Cambridge, UK. Monthly public running during the summer. 16mm garden railway.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.cambridgemes.co.uk/"} +{"d:Title": "Metal Casting E-book", "d:Description": "Offers trial download of three volumes of an e-book on metal casting as a hobby; full seven volumes of the book may be purchased.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://myhomefoundry.com/"} +{"d:Title": "Warrington and District Model Engineers", "d:Description": "Information about the club, including location, activities and a picture gallery. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.wdmes.org.uk/"} +{"d:Title": "Tokentoolroom.com", "d:Description": "Descriptions of a model engineer's workshop, machine tools and projects, includes beginners' information and forum.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.tokentoolroom.com/"} +{"d:Title": "Model Engine Builder Magazine", "d:Description": "Magazine from Elmwood Publishing for the model internal combustion engine enthusiast.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.modelenginebuilder.com/"} +{"d:Title": "Ron's Model Engines", "d:Description": "Showcase of models built by Ronald J. Colonna, including the latest, a running 270 Offy (Offenhauser racing engine).", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.ronsmodelengines.com/"} +{"d:Title": "Home Workshop", "d:Description": "A website resource that allows you to buy and sell model engineering tools, materials, books and magazines, or just seek information for home workshops in the UK", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.homeworkshop.org.uk/"} +{"d:Title": "Sussex Tools", "d:Description": "Suppliers of cutting tools and measuring equipment for the model engineer. Ordering online available, deliver to mainland Great Britain (UK) addresses only.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.sussextools.co.uk/"} +{"d:Title": "Ipswich Model Engineering Society", "d:Description": "Contact information and diary of planned activities, 5 inch and 3.5 inch raised track for passenger carrying operation, 45mm garden railway. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.imes.org.uk/"} +{"d:Title": "Melbourne Society of Model&Experimental Engineers", "d:Description": "Details of the society's activities, exhibitions and links. Includes details of Model Engineering Exhibition held in Melbourne, Australia every two years.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.msmee.org.au/"} +{"d:Title": "TEE Publishing", "d:Description": "Supplier of new and out of print books and magazines for model engineers. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.teepublishing.co.uk/"} +{"d:Title": "The Artful Bodger's Home Foundry", "d:Description": "Dedicated to simple, low cost metal casting and home foundry work, includes an introduction to an iron casting waste oil furnace.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.artfulbodgermetalcasting.com/"} +{"d:Title": "David L O Smith", "d:Description": "Model engineer. Photographs and brief descriptions of 7mm : 1' (O scale) railway model engineering with some construction details.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.davidlosmith.co.uk/railwayengineeringmodels.htm"} +{"d:Title": "Maritima&Mechanika", "d:Description": "A model engineer's collection of antique small machine tools, information on model ships and maritime history, and small machine tools for sale.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.maritima-et-mechanika.org/"} +{"d:Title": "Queensland Society of Model and Experimental Engineers", "d:Description": "Club with 5\" and 7.25\" ground level and 2.5\", 3.5\" and 5\" elevated track; newsletters and meeting dates.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.tracksandtrains.com/qsmee/"} +{"d:Title": "Bartlett Engineering Co.", "d:Description": "Boiler makers, steam engine restorers and builders. Full size steam traction engines; marine, locomotive and other boilerwork / fabrications; castings and accessories for a 6\" scale model Burrell traction engine.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.bartlettengineering.co.uk/"} +{"d:Title": "John-Tom Engine Plans", "d:Description": "Free plans, with instructions and photographs, for steam engines, model boats and jet engines.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.john-tom.com/"} +{"d:Title": "Canterbury and District Model Engineering Society", "d:Description": "Provide for the interests of approximately 50 local model engineers, who work at home to produce miniature locomotives, traction engines, stationary steam engines etc. Raised running lines. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.cdmes.org/"} +{"d:Title": "Macc Model Engineers Supplies LTD", "d:Description": "Suppliers of model engineering materials and steam fittings.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.maccmodels.co.uk/"} +{"d:Title": "Milton Keynes Metals", "d:Description": "Suppliers of ferrous and non ferrous metals", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.mkmetals.co.uk/"} +{"d:Title": "Steamtrians.co.za", "d:Description": "Information and links on model, miniature and narrow gauge steam trains in South Africa", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.steamtrains.co.za/"} +{"d:Title": "Blastpipe.co.uk", "d:Description": "Directory and calendar of events for model engineering suppliers and organisations in the UK.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.blastpipe.co.uk/"} +{"d:Title": "David Kucer Miniatures", "d:Description": "Describes the creation of 1/3 scale model miniature firearms.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.kucers.com/"} +{"d:Title": "Tools and Mods", "d:Description": "Improvements for small lathes, bandsaws, and general workshop tooling.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.toolsandmods.com/"} +{"d:Title": "Start Model Engineering", "d:Description": "Resource of help and advice for novice model engineers.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://start-model-engineering.co.uk/"} +{"d:Title": "Steve's Steam Workshop", "d:Description": "Steam engines, striling engines and models. Notes on Brazing and building instructions for the Stuart No 1. Plans available.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.steves-workshop.co.uk/"} +{"d:Title": "Model Engineering", "d:Description": "Online magazine provides news, product reviews, and information on materials and tooling.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://modelengineeringwebsite.com/"} +{"d:Title": "Guildford Model Engineering Society", "d:Description": "Group of like-minded individuals that aims to encourage, develop, and provide facilities for the hobby of model engineering, based in Surrey, UK", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.gmes.org.uk/"} +{"d:Title": "Taunton Model Engineers", "d:Description": "Group for model engineers in SW England interested in locomotives, traction engines, stationary steam engines, hot air engines, i/c engines, toolmaking, clock-making or any related subject.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.tauntonme.org.uk/"} +{"d:Title": "Bedford Model Engineering Society", "d:Description": "Information, location and activities of society that promotes interest in model engineering and the design and construction of models, tools and apparatus of all kinds.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.bedfordmes.co.uk/"} +{"d:Title": "Alan Stepney's Model Engineering", "d:Description": "Provides information on model steam engines, railways, and workshop techniques, also some examples of errors on drawings.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.alanstepney.info/"} +{"d:Title": "Preston Steam Services", "d:Description": "Scale steam engines, parts and ancillary services available from a specialist steam service company, who also offer full size traction engines, marine engines and stationary engines.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.prestonservices.co.uk/"} +{"d:Title": "Australian Model Engineering Magazine", "d:Description": "Technical information, news and events, useful links (to suppliers and other model engineering sites) and online sales from a magazine for model engineering enthusiasts", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.ameng.com.au/"} +{"d:Title": "Reeves Model Engineers", "d:Description": "Large stockists of model engineering supplies with worldwide mail order service and on-line catalogue. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.ajreeves.com/"} +{"d:Title": "Chronos Engineering Supplies", "d:Description": "Suppliers of materials and tools to model engineers.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.chronos.ltd.uk/"} +{"d:Title": "The Tap and Die Co.", "d:Description": "Manufacture and supply taps, dies, drills and other cutters suitable for model engineers.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.tap-die.com/"} +{"d:Title": "CIGN Workshop", "d:Description": "Engineering data, news, tips and blog for model engineers.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.cign.net/"} +{"d:Title": "Arc Euro Trade Ltd", "d:Description": "Suppliers of lathes, manual and CNC milling machines, measuring tools, hand tools, cutting tools and materials suitable for model engineers.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.arceurotrade.co.uk/"} +{"d:Title": "Little Samson Models", "d:Description": "Suppliers of drawings, castings and materials to build a live steam model traction engine (3\", 4\" and 6\" scale) or a steam wagon (3\" scale).", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.littlesamson.co.uk/"} +{"d:Title": "Myford Ltd", "d:Description": "Manufacturer of machine tools, including lathes, cylindrical and surface grinders, milling machines and woodworking machines. Special offers and reconditioned equipment available. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.myford.co.uk/"} +{"d:Title": "The Wingrove Collection", "d:Description": "Gerald A Wingrove MBE and Phyllis Wingrove model car gallery. Pictures of scratch built 1/15 Scale model cars.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.wworkshop.net/Home_Page_/Home_Page.html"} +{"d:Title": "Model Engineer and Model Engineers' Workshop Magazines", "d:Description": "Online model engineering magazines.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.model-engineer.co.uk/"} +{"d:Title": "St Albans Model Engineering Society", "d:Description": "Society of model engineers with monthly meetings in Hertfordshire, UK. Annual exhibition, 3.5\" and 5\" raised track and lakeside meets.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.stalbansmes.com/"} +{"d:Title": "Folkestone Engineering Supplies", "d:Description": "Suppliers of ferrous and non-ferrous metals and fasteners, delivery in the UK. On-line technical specifications for metals and common imperial and metric tapping drill sizes.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.feskent.co.uk/"} +{"d:Title": "Model Engineering Plans", "d:Description": "Plans for traction engines, workshop equipment, railway locomotives and internal combustion engines.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.myhobbystore.co.uk/sector/29/model-engineering"} +{"d:Title": "Chingford and District Model Engineering Club", "d:Description": "East London club with a miniature railway site, including steam and electric powered locomotives, and a well equipped workshop. (UK)", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://www.chingford-model-engineering.com/"} +{"d:Title": "High Wycombe Model Engineering Club", "d:Description": "Buckinghamshire club that caters for all aspects of model engineering.", "topic": "Top/Arts/Crafts/Metal_Craft/Model_Engineering", "url": "http://hwmec.webplus.net/"} +{"d:Title": "Society for Midwest Metalsmiths", "d:Description": "A non-profit organization formed to promote, develop, educate, and encourage individuals who are interested in metalsmithing. Meetings, workshops, exhibitions, grants and members gallery.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.midwest-metalsmiths.org/"} +{"d:Title": "Maille Artisans International League", "d:Description": "Dedicated to the art and industry of chainmail. Offers instructions, weaves, patterns, a gallery, rated links and chat groups.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.mailleartisans.org/"} +{"d:Title": "MSG - Michigan Silversmiths Guild", "d:Description": "Nonprofit guild, a professional support organization for Michigan metal artists.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://misilversmith.org/"} +{"d:Title": "Baulines Craft Guild", "d:Description": "Nonprofit guild based in California, provides support and services to area metalsmiths.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.baulinescraftguild.org/"} +{"d:Title": "Metal Arts Guild San Francisco", "d:Description": "Non-profit organization that promotes and supports metal workers and Bay Area jewelers.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.metalartsguildsf.org/"} +{"d:Title": "Houston Metal Arts Guild", "d:Description": "Organization that promotes and supports metal workers in the greater Houston area.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.hmag.org/"} +{"d:Title": "Seattle Metals Guild", "d:Description": "Organization of local area metalsmiths. Includes a list of events, member gallery, and newsletter.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.seattlemetalsguild.org/"} +{"d:Title": "Pennsylvania Society of Goldsmiths", "d:Description": "Non-profit organization, support group for Pennsylvania area metalsmiths.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.pagoldsmiths.org/"} +{"d:Title": "SNAG The Society of North American Goldsmiths", "d:Description": "An organization for jewelers, designers and metalsmiths. International association that advances contemporary metalwork and jewelry.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://snagmetalsmith.org/"} +{"d:Title": "Metal Arts Guild of Canada", "d:Description": "Nonprofit that provides information, education and support for Canadian metal artists.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://metalartsguild.ca/"} +{"d:Title": "Monterey Bay Metal Arts Guild", "d:Description": "Nonprofit that provides information, education, and support for Monterey Bay area metal artists.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.mbmag.org/"} +{"d:Title": "Creative Metal Arts Guild", "d:Description": "The official metal arts guild of Portland, Oregon and surrounding areas. A non-profit offering education, networking, and exhibition opportunities.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://cmaguild.org/"} +{"d:Title": "Florida Society of Goldsmiths", "d:Description": "An organization for active metalsmiths, with five chapters within the state of Florida and many at-large members, created to aid and support other metalsmiths through meetings, publicity, workshops, and exhibitions.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.fsgmetal.org/"} +{"d:Title": "North Carolina Society of Goldsmiths", "d:Description": "Non-profit organization supporting North Carolina area metalsmiths.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "https://www.facebook.com/pages/North-Carolina-Society-of-Goldsmiths/146246758753235"} +{"d:Title": "National Ornamental and Miscellaneous Metals Association", "d:Description": "Trade association. Members fabricate everything from railings and driveway gates to structural and industrial products.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://www.nomma.org/"} +{"d:Title": "National Heritage Ironwork Group", "d:Description": "Worldwide organization focused solely on historic ironwork.", "topic": "Top/Arts/Crafts/Metal_Craft/Organizations", "url": "http://nhig.org.uk/"} +{"d:Title": "Society of American Silversmiths", "d:Description": "Resource for anything relating to the silversmiths' art and craft. Artisan gallery, silver care, workshops, and library.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.silversmithing.com/"} +{"d:Title": "Read, Mark", "d:Description": "Offers a wide range of metalsmithing, from hand crafted jewelry, sculpture, architectural to museum quality restoration.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.markreadstudio.com/"} +{"d:Title": "Biran, Avi", "d:Description": "A silversmith who won the Bezalel Prize for outstanding achievements and the prestigious Lucman Prize for Judaica.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.avi-biran.co.il/"} +{"d:Title": "Hart Gold and Silversmiths", "d:Description": "Designers and makers of handcrafted silver since 1908, creating unique pieces for individuals. Also offering ecclesiastical work.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.hartsilversmiths.co.uk/"} +{"d:Title": "Witmond, B. M.", "d:Description": "Specialises in silver and gold reproduction and restoration of antique plate.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.bmwitmond.co.uk/"} +{"d:Title": "Colonial Metal Restorations", "d:Description": "Restoration and refinishing of antique and contemporary metals. Complete silversmithing services including silver, brass and gold plating.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://colonialmetal.com/"} +{"d:Title": "O'Dwyer, Kevin", "d:Description": "Irish silversmith, specializing in the design and creation of contemporary silverware.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.millennium2000silver.com/"} +{"d:Title": "Thomas, Barry", "d:Description": "British designer silversmith whose work follows clean lines, with an uncluttered appearance. Carries out commissions for private, ecclesiastical and corporate clients.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.barrythomas.co.uk/"} +{"d:Title": "The Silversmith and Goldsmith Online", "d:Description": "Expert repairman, a creative designer, and a trusted retailer of both gold and silver jewelry, as well as estate jewelry and antiques.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.thesilversmith.org/"} +{"d:Title": "Friedman Silversmiths", "d:Description": "Silversmith who provides silver, gold, brass and metal restoration silver restorations.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.friedmansilver.com/"} +{"d:Title": "Studio 925", "d:Description": "Handcrafted contemporary silver, functional objects of art.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.studio925.nl/"} +{"d:Title": "Herman, Jeffrey", "d:Description": "Professional silver repair from garbage disposal mishaps, to historically important tankards and tea services. Full restoration of fine silver pieces.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.silversmithing.com/silver/"} +{"d:Title": "Lochhead, Gordon", "d:Description": "Scottish metal artist who specialises in cast silver sculptural work, working mainly to commission.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.silversculpture.co.uk/"} +{"d:Title": "Silver Magazine", "d:Description": "Specializing in the field of silver, featuring the finest research with articles on antique English, Continental, and, Colonial American silver.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.silvermag.com/"} +{"d:Title": "Yotkov, Valentin", "d:Description": "Designer/silversmith, reviving chasing and repousse in art metals. Specializes in chasing and repousse instruction. Based in New York. [English/Bulgarian/Italian]", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://www.valentinyotkov.com/"} +{"d:Title": "Bergin Clarke Studio", "d:Description": "Residential School in Ireland, offering one and two week workshops in the techniques of silversmithing.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing", "url": "http://homepage.tinet.ie/~ybc/"} +{"d:Title": "Paul Revere: American Silversmith", "d:Description": "Links to silver works by Paul Revere in art museum sites and image archives worldwide.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing/History", "url": "http://www.artcyclopedia.com/artists/revere_paul.html"} +{"d:Title": "18th Century Silversmith", "d:Description": "Colonial almanack covering the beginnings of silversmithing.", "topic": "Top/Arts/Crafts/Metal_Craft/Silversmithing/History", "url": "http://www.history.org/Almanack/life/trades/tradesil.cfm"} +{"d:Title": "Creative Paperclay", "d:Description": "Offers air hardening modeling materials and clays. Also projects, lesson plans, and guidelines.", "topic": "Top/Arts/Crafts/Modeling_Compounds", "url": "http://www.paperclay.com/"} +{"d:Title": "PaperClay Products", "d:Description": "Technical information, how it works, and product descriptions.", "topic": "Top/Arts/Crafts/Modeling_Compounds", "url": "http://www.paperclay.co.uk/"} +{"d:Title": "PolymerCAFE Magazine", "d:Description": "Quarterly print magazine exploring the art and craft of polymer clay. Subscription information and a submission area.", "topic": "Top/Arts/Crafts/Modeling_Compounds", "url": "http://www.polymercafe.com/"} +{"d:Title": "Sky Grazer Designs", "d:Description": "Basic instructional area, extensive links section, image gallery of previous works, and a glossary section.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay", "url": "http://www.skygrazer.com/"} +{"d:Title": "Polymer Clay Central", "d:Description": "Detailed project information and online lesson area, as well as a featured artist section, a message board and chat forum.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay", "url": "http://www.polymerclaycentral.com/"} +{"d:Title": "The Polymer Clayspot", "d:Description": "Techniques and projects, gallery of works, help for the newbie, and an extensive FAQ area.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay", "url": "http://www.jaedworks.com/clayspot/"} +{"d:Title": "Angel's Landing", "d:Description": "Selection of items designed by artist Judi Maddigan using push molds. Includes project instructions, links to suppliers, and a FAQ section.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay", "url": "http://www.angelslanding.com/"} +{"d:Title": "Glass Attic", "d:Description": "Information and instruction on techniques, as well as various lesson pages, a listing of supply sources, information on tools, and problem solving. Also contains illustrated examples and tips on photographing works.", "priority": "1", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay", "url": "http://www.glassattic.com/"} +{"d:Title": "Fimo Jewelry and Beads", "d:Description": "Information about making polymer jewelry and beads. Includes tutorials, lessons, and images.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay", "url": "http://www.fimosieraden.nl/"} +{"d:Title": "Amy Vangsgard", "d:Description": "Painted clay relief items, description of creative process, background details, and images.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.amyvangsgard.com/"} +{"d:Title": "Gwen Gibson Designs", "d:Description": "Using custom techniques this artisan simulates the time worn surfaces of ancient artifacts. Photographs of wearable vessels, wall art, and pins. Also includes a schedule of events, contact information, and related links.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.gwengibson.com/"} +{"d:Title": "Laura's Sculptures and Art Dolls", "d:Description": "Includes informative instructions and tips on technique. Also contains photographs and background information on the creator.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.angelfire.com/biz/laurascrafts/"} +{"d:Title": "Right Brain Creations", "d:Description": "A selection of themed wedding cake toppers as well as business card holders, and magnets. Custom orders available.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.rightbraincreations.com/"} +{"d:Title": "Gin Originals", "d:Description": "A variety of items listed by type and theme. Includes: wildlife, nursery rhymes, earthen sculpture, wall art and frames, jewelry, knick-knacks, mushrooms, and underwater scenes. Artist: Ginny Sutton.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://members.tripod.com/claylaydee/"} +{"d:Title": "Handcrafted polymer clay", "d:Description": "Swap information and submissions, a gallery of masks, jewelry, beads, and business card couches.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://tanstaafl512.tripod.com/masks.html"} +{"d:Title": "Lysle Shields Art", "d:Description": "A collection of works found interesting by the site creator as well as original creations. Also features links to various swaps.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.lysle-art.com/"} +{"d:Title": "Tonja's Treasures", "d:Description": "Handmade jewelry and home decor made with polymer clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.tonjastreasures.com/"} +{"d:Title": "Painting In Space", "d:Description": "Three dimensional sculpture heavily based on color and design by artisan James Lehman.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.akrobiz.com/pc/"} +{"d:Title": "Kimle Designs", "d:Description": "Items listed by category and include: jewelry, eggs, and animals. Also includes a tips section, background information, and related links.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Artists", "url": "http://www.kimledesigns.com/"} +{"d:Title": "Chicago Area Polymer Clay Guild", "d:Description": "Meeting location and information, event listings, member pages and swap details, as well as a library section, and links area.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.capcg.org/"} +{"d:Title": "Virtual Polymer Clay Guild", "d:Description": "Information on scheduled chats, gallery of members artwork, and membership details.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.debiscott.com/"} +{"d:Title": "Metropolitan Detroit Polymer Art Guild", "d:Description": "Includes a membership and meeting schedule, newsletter, galleries listed by artist, and a tips and tools section.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.mdpag.org/"} +{"d:Title": "New York Polymer Clay Guild", "d:Description": "Meeting and workshop schedule, newsletter, member galleries, and an upcoming event list.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://nypcg.org/"} +{"d:Title": "Guild Gazette", "d:Description": "New guild material from all over the world offering national and regional links to present and forming guilds. Classifieds section, a message board, and a chat forum.[Polymer Clay Central]", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.polymerclaycentral.com/guilds/gazette.html"} +{"d:Title": "Mile High Polymer Clay Guild", "d:Description": "Member creations gallery, calendar of events and happenings, swap guidelines, and membership information.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.mhpcg.org/"} +{"d:Title": "Southern Connecticut Polymer Clay Guild", "d:Description": "Meeting information, image gallery, traveling exhibits, newsletter, swaps, and contact information.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.scpcg.org/"} +{"d:Title": "British Polymer Clay Guild", "d:Description": "Galleries of members work, membership application and information, newsletter, suppliers listings, and project pages.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.bpcg.org.uk/"} +{"d:Title": "North Texas Polymer Clay Guild", "d:Description": "Group of people interested in learning about polymer clay, meeting and membership information, guild newsletter, serves Dallas/Ft. Worth area", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://ntpcg.org/"} +{"d:Title": "Houston Polymer Clay Guild", "d:Description": "Membership information, member galleries, tape and book library, and a calendar of events.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.houstonpolyclay.org/"} +{"d:Title": "Northwest Washington Polymer Clay Guild", "d:Description": "Member pages and galleries, meeting schedule, links, workshop information, and links.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Associations", "url": "http://www.nwpolymerclayguild.org/"} +{"d:Title": "Anzac Polyclayers", "d:Description": "Discussion group and meeting place for those interested in the artform and located in Australia or New Zealand.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/AnzacPolyclayers/"} +{"d:Title": "Polymer Clay People", "d:Description": "Mailing list for the sharing of information on this artform. Membership information and options.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/PolymerClayPeople/"} +{"d:Title": "UK Poly Clay", "d:Description": "For users located outside the United States. Discussion topics, membership information and options.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/UKPolyClay/"} +{"d:Title": "PCC Message Forum", "d:Description": "On-going discussion regarding the artform. Offers tips, techniques, and inspiration from various members.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Chats_and_Forums", "url": "http://forums.delphiforums.com/polymerclay/start"} +{"d:Title": "Polymer Clay Central Lessons, Projects, and Instructions", "d:Description": "Comprehensive list of projects and tips for the beginner to the advanced. [Polymer Clay Central]", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Classes_and_Projects", "url": "http://www.polymerclaycentral.com/masterindex.html#lessonsprojects"} +{"d:Title": "Projects and Plans", "d:Description": "Tips on wrapping and cutting canes as well as links to various project pages including: a victorian treasure box, a filigree egg, faux ivory switchplates, fan buttons, holiday ornaments, and ethnic look beads. By Sarajane Helm. [Polyclay]", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Classes_and_Projects", "url": "http://www.polyclay.com/projects.htm"} +{"d:Title": "Polymer Clay Projects and Ideas", "d:Description": "Instructions for a variety of items including candle holders, switch plate covers, picture frames, gift tags, brooches, and angel ornaments. Includes photographs and detailed descriptions. [Angels Landing]", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Classes_and_Projects", "url": "http://www.angelslanding.com/pushmolds/projects.html"} +{"d:Title": "The Polymer Parrot", "d:Description": "Illustrated lessons and instructions for various techniques and projects including: covering glass, making pen covers, mokume gane, flower beads, and wish bottles. Also features a gallery of artist works and links to suppliers and web rings.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Classes_and_Projects", "url": "http://thepolyparrot.com/"} +{"d:Title": "Desiree's Polymer Clay How-To Desk", "d:Description": "Covers basic tools such as using the pasta machine and dremel polishing wheel as well as directions for making rainbow and bullseye lace canes, beads, switchplate covers, silk screening and faux gemstones.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Classes_and_Projects", "url": "http://desiredcreations.com/howTo_Desk.htm"} +{"d:Title": "Sculpey Projects", "d:Description": "Comprehensive list of detailed instructions for items such as: ornaments, cards, dolls, figures, jewelry, home decoration items, and rubber stamped items. Also includes a kids section, gift ideas for educators, seasonal items, and a tips and techniques section. [Sculpey]", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Classes_and_Projects", "url": "http://www.sculpey.com/projects"} +{"d:Title": "Silke Janas-Schl\u00f6sser Faeryfolk Gallery", "d:Description": "Fantastic creatures with a Scandinavian flair.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.silke-schloesser.de/"} +{"d:Title": "Misty Mountains", "d:Description": "Handmade one-of-a-kind fantasy dolls and marionettes from air drying and polymer clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.mistymountains.nl/"} +{"d:Title": "Fantasy Art of Marilyn Radzat", "d:Description": "Photo galleries showing fantasy pieces incorporating clays, fabric, glass and metal.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://marilynradzat.com/index.html"} +{"d:Title": "National Institute of American Doll Artists", "d:Description": "NIADA, Dedicated to the Art of the Doll", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.niada.org/"} +{"d:Title": "Follow My Dream", "d:Description": "Pauli Driver Smith's original sculpted dolls and dolls of cast resin from original molds, plus paper dolls and other things of personal interest to the artist.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://hollyhockfarms.com/dreamdolls/"} +{"d:Title": "Fantasy Fairies by Sue Heaser", "d:Description": "How to make tiny fairies with gossamer wings and petal dresses.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.polymerclaycentral.com/pcc/suefairy.html"} +{"d:Title": "Betty's Fairy Wings", "d:Description": "How to make fairy wings for dolls out of polymer clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.polymerclaycentral.com/bettywings.html"} +{"d:Title": "Just Jane", "d:Description": "Original sculpted art dolls by artist C. Jane Pinkstaff, including chimpanzee and baby dolls.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.justjane.com/"} +{"d:Title": "How to Make TLS Fairie Wings", "d:Description": "How to make paper-thin faerie wings with Transluscent Liquid Sculpy.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.polymerclaycentral.com/tlswings.html"} +{"d:Title": "Christmas Baby Workshop by Jacqueline van Hesse-Penning", "d:Description": "How to make a sitting baby doll wearing Christmas outfit and sucking a pacifier, with polymer clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.polymerclaycentral.com/xmasbaby.html"} +{"d:Title": "Marika's Dolls", "d:Description": "Dolls made from polymer clays and paper clay, including a fantasy characters made on gourds and seeds, tree men and mushroom dolls.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://members.home.nl/m.spijkers/dolls.htm"} +{"d:Title": "Marsie Originals", "d:Description": "Santas, elves, fairies and mermaids sculpted by artist Susie Neiffer.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://marsieoriginals.tripod.com/"} +{"d:Title": "Amiee's Fantasy Creations", "d:Description": "Featuring one of a kind fairies, mermaids, and elves hand scupted in polymer clay. Also a full line of note cards and with matching stationary featuring original drawings of fairies, mermaids, and dragons.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Dolls", "url": "http://www.angelfire.com/ks/amieesdolls/"} +{"d:Title": "Elvenwork", "d:Description": "Information on the artist, Katherine Dewey, including exhibits, awards, and a workshop schedule. Various galleries featuring masks, animal figures, warriors, fairies, and dragons. Also includes a tips section which includes choosing clays, preparation, and a tool selection.", "priority": "1", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Figures_and_Creatures", "url": "http://www.elvenwork.com/"} +{"d:Title": "Lyn Solinas Sculptures", "d:Description": "I create sculptures with Super Sculpey. I make dragons,wizards,faeries,fairies and fantasy figures. I created everything on the site myself including the html.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Figures_and_Creatures", "url": "http://heartofwoods.tripod.com/"} +{"d:Title": "The Clay Cupboard", "d:Description": "Galleries of work listed by theme include: bears, boxes, angels, gingerbread, Noah, nativities, Santa, snowmen, and people. Also contains information on the creator and a guestbook.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Figures_and_Creatures", "url": "http://members.tripod.com/~mmholbrook/index.html"} +{"d:Title": "Sleeping Dragon Studios", "d:Description": "Dragon sculpture in Polymer clay and stoneware.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Figures_and_Creatures", "url": "http://www.sleepingdragonstudios.com/"} +{"d:Title": "Creative Measures", "d:Description": "Showcase featuring scenes and figures. Also contains information on historic costumes for fashion dolls.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Figures_and_Creatures", "url": "http://creativemeasures.homestead.com/"} +{"d:Title": "ClayTwister", "d:Description": "Ethnic art figurines, nativity characters, babushkas and wire work displayed in an image gallery of thumbnails.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Figures_and_Creatures", "url": "http://claytwister.com/"} +{"d:Title": "Kind Clay", "d:Description": "Work by Jenny Cox featuring jewelry, beads, dolls, angels, fairies, mermaids, and wizards.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Galleries", "url": "http://kindclay.com/"} +{"d:Title": "Marcia Herson", "d:Description": "Precious Metal Clay and colorful polymer mozaics combine to make one-of-a-kind jewelry. Artist biography, recent works, and upcoming events section.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Jewelry", "url": "http://www.marciaherson.com/"} +{"d:Title": "Paola Kathuria", "d:Description": "Collection of brooches made from Fimo. Selection includes flower patterns and weaves.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Jewelry", "url": "http://www.paolability.com/polymer-clay"} +{"d:Title": "Mr. X's Secret Zone", "d:Description": "Personal site featuring tiny houses, miniature food and other works in Japan, handcrafted by 'Mrs. J.'", "priority": "1", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.kh.rim.or.jp/~shou/index_e.html"} +{"d:Title": "Chinese Cuisine in Miniatures", "d:Description": "Chinese foods and street vendor scene handcrafted by Bertha Wong.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://berthawong.8m.com/"} +{"d:Title": "Wee Folk Creations", "d:Description": "Maureen Carlson classes and project pages. Also offers supplies and how-to videos and books.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.weefolk.com/"} +{"d:Title": "Sue Heaser's Ornamental Trees 1", "d:Description": "Instructions to make Italian style ornamental potted fruit trees.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.polymerclaycentral.com/orntrees1.html"} +{"d:Title": "Gannie's Olde English Stone Cottage Lesson", "d:Description": "Instructions to make a tiny cottage of polymer clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.polymerclaycentral.com/pcc/featured/cottage.html"} +{"d:Title": "A Victorian Birdcage by Sue Heaser", "d:Description": "How to make a 1/12 birdcage out of polymer clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.polymerclaycentral.com/pcc/featured/parrot1.html"} +{"d:Title": "Garie's Clay Creations", "d:Description": "Selection of miniature teddy bears and other figures. Class information, links, and contact details.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.garieinternational.com.sg/clay/index.html"} +{"d:Title": "Claying Around", "d:Description": "Personal site featuring doll sculpting, mini food, general polymer clay techniques.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.norajean.com/"} +{"d:Title": "Clay4Play", "d:Description": "Miniature figurines of people and animals.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://www.clay4play.com/"} +{"d:Title": "Pamela J's Dollhouse Miniatures", "d:Description": "Featuring food from scrambled eggs to party appetizer trays.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Miniatures", "url": "http://pamelajminis.com/"} +{"d:Title": "Polyzine", "d:Description": "A free, monthly magazine featuring articles and projects related to all aspects of the art. Also features artist interviews, a beginners corner, submission guidelines, and an archive of previous issues.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Publications", "url": "http://www.pcpolyzine.com/"} +{"d:Title": "Swap List", "d:Description": "Listing of present and past events with descriptions, contact information and current status. [Polymer Clay Central]", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Swaps", "url": "http://www.polymerclaycentral.com/pcc/swaplist.html"} +{"d:Title": "Swap Index", "d:Description": "Listing of previous events by date and theme with full galleries. [Polymer Clay Central]", "priority": "1", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Swaps", "url": "http://www.polymerclaycentral.com/pcc/swapindex.html"} +{"d:Title": "Chicago Area Polymer Clay Guild Member Swaps", "d:Description": "Previous event galleries with themes such as: pendants, ornaments, heart beads, and fall color beads.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Swaps", "url": "http://www.capcg.org/"} +{"d:Title": "Miniature Net", "d:Description": "For the promotion of dollhouse scale miniatures. Information on the ring, membership guidelines, and a news area.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Web_Rings", "url": "http://ring.miniature.net/"} +{"d:Title": "Polymer Clay WebRing", "d:Description": "Featuring links to Polymer clay websites.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Web_Rings", "url": "http://www.webring.org/hub?ring=polymerclayminia"} +{"d:Title": "Fantasy in Polymer Clay", "d:Description": "A collection of websites on the topic of handcrafted fantasy sculptures created in polymer clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Web_Rings", "url": "http://www.webring.org/hub?ring=believeinfairyta"} +{"d:Title": "Poly Ring", "d:Description": "Accepts commercial and personal pages. Membership details and site list.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Web_Rings", "url": "http://www.webring.org/hub?ring=pclay"} +{"d:Title": "WebRing: Polymer Clay with Aloha", "d:Description": "List of sites and membership information.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Polymer_Clay/Web_Rings", "url": "http://www.webring.org/hub?ring=polymerclayartwo"} +{"d:Title": "Artique", "d:Description": "Includes an artist profile, art gallery, firing services and online registration for classes and events.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.artique.org/"} +{"d:Title": "MED'A Creations", "d:Description": "Provides information, instruction, and certification courses for silver clay. Features an artist background section, jewelry gallery, and links to technical information and related resources.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.medacreations.com/"} +{"d:Title": "Precious Metal Clay", "d:Description": "An article and previous discussion by people interested in this medium.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.potters.org/subject06959.htm"} +{"d:Title": "Society of American Silversmiths: Precious Metal Clay", "d:Description": "Definition and production process information including, shaping, firing, and finishing.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.silversmithing.com/1clay.htm"} +{"d:Title": "PMC Connection", "d:Description": "Class information, image galleries of completed works, merchandise area, and a 'meet the staff' section.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.pmcconnection.com/"} +{"d:Title": "Silver-Clay.Com", "d:Description": "In depth information on PMC and Art Clay Silver as well as an artists gallery, how-to section, equipment and merchandise.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.silver-clay.com/"} +{"d:Title": "Silver Jewelry for Non-Jewelers", "d:Description": "By Baroness Cynthia du Pr\u00e9 Argent. Describes the process involved in making a badge and pendant.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.virtue.to/articles/silver.html"} +{"d:Title": "Red Castle, Inc.", "d:Description": "Complete directions on making a pendant with picture of the finished product.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.red-castle.com/projects/2001projects/silver_pendant.htm"} +{"d:Title": "Yahoo Groups: Metalclay", "d:Description": "Professional artists, certified instructors, and hobbyists are welcome. Message group and message archive with search function going back to March 2002.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://groups.yahoo.com/group/metalclay/"} +{"d:Title": "Hadar Jacobson: Art in Metal Clay", "d:Description": "Pictures of the artist's silver clay jewelry. Information on classes in PCM and jewellery making.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.artinsilver.com/"} +{"d:Title": "Art Clay World USA", "d:Description": "Extensive information and tips on using Art Clay. Message board.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.artclayworld.com/"} +{"d:Title": "The Ganoksin Project: Enameling On Fine Silver Metal Clay", "d:Description": "Excerpts from a book of instruction by Jean Vormelker.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.ganoksin.com/borisat/nenam/enameling-silver-metal-clay.htm"} +{"d:Title": "PMC Guild", "d:Description": "Archived information about PMC from the PMC Guild which closed in 2012", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.pmcguild.com/"} +{"d:Title": "Metal Clay Academy", "d:Description": "Free comprehensive and independent information and resources for anyone interested in finding out about metal clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.metalclayacademy.com/"} +{"d:Title": "Yahoo Group - Metal Clay Gallery", "d:Description": "A gallery group, with pictures of metal clay work grouped into albums by artists.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://groups.yahoo.com/group/MetalClayGallery2/"} +{"d:Title": "Gage Designs", "d:Description": "Metal clay workshops, jewelry design mentoring and tutorials on how to work with metal clay", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.hollygage.com/"} +{"d:Title": "Art Clay World India", "d:Description": "Provides education, events, news and resources for handcrafting jewelry using silver, metal and gold clay.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.artclayworld.co.in/"} +{"d:Title": "Maggie Bergman", "d:Description": "PMC Instructor offering classes and workshops. Includes gallery, student's work and projects. Australia.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.silverclayart.com/"} +{"d:Title": "Lora Hart Jewels", "d:Description": "Gallery of the work by California based artist/instructor. Includes details of workshops, schedule, certification classes and a tips and tricks section.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.lorahart.com/"} +{"d:Title": "P\u00e2te d'Argent Cours et Formations", "d:Description": "Blog in English and French offering tutorials, photographs and class information.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://langeestla.canalblog.com/"} +{"d:Title": "Metal Clay Today Magazine", "d:Description": "This online magazine is devoted to educate and inspire all levels of metal clay artists.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.metalclaytoday.com/"} +{"d:Title": "Metal Clay - Masters Registry", "d:Description": "A structured program linked to an independent evaluation system that is the most prestigious and professional credential in the field of metal clay. A rigorous curriculum of fifty projects provides artists with a challenge that will propel them to improved technical skills and into new creative realms.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.mastersregistry.com/"} +{"d:Title": "Julia Rai", "d:Description": "Metal clay level IV master. Lists classes and images and descriptions of works.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.juliarai.co.uk/"} +{"d:Title": "The PMC Studio Ltd", "d:Description": "The UKs official distributor of PMC. Also offering an extensive range of tools, equipment and training courses to meet all ability levels.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.thepmcstudio.com/"} +{"d:Title": "Bezeal", "d:Description": "Jewellery courses in metal clay by Sarah Warden-Smith, a qualified instructor in silver metal clay. Based in Cardiff, South Wales.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.bezeal.co.uk/"} +{"d:Title": "Bluebell Design Studio", "d:Description": "PMC classes in the UK. Metal clay workshop provider.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.bluebelldesignstudio.co.uk/"} +{"d:Title": "Touchmark Studios", "d:Description": "Metal clay class provider", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.touchmark.co.uk/"} +{"d:Title": "Revontulet", "d:Description": "Metal clay workshop provider", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.revontulet.co.uk/"} +{"d:Title": "London Metal Clay", "d:Description": "Metal clay workshop provider", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.londonmetalclay.com/"} +{"d:Title": "Craftworx", "d:Description": "Metal clay workshop provider", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.craftworx.co.uk/"} +{"d:Title": "Cornwall School of Art, Craft and Jewellery", "d:Description": "Art, craft and jewellery making classes in Bodmin, Cornwall. Specialists in silver metal clay, bronze metal clay and copper metal clay, porcelain jewellery and glass jewellery.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.csacj.co.uk/"} +{"d:Title": "Metal Clay Scotland", "d:Description": "Metal clay workshop provider.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://cwalkinshaw.wix.com/metalclayscotland"} +{"d:Title": "creativeFire | by Metal Clay Artist Magazine", "d:Description": "This blog is from the creators of Metal Clay Artist Magazine. It is dedicated to tutorials and interviews relevant to the metal clay community.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://cre8tivefire.com/"} +{"d:Title": "MetalClay Arts Conservatory", "d:Description": "MetalClay Arts Conservatory features articles and tutorials for working with metal clay and encourages artists\u2019 individual artistic expression.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.metalclayartsconservatory.com/"} +{"d:Title": "Impact Artist Project", "d:Description": "The Impact Artist Project is a non-profit metal clay arts community building and outreach program designed to bring metal clay to the wider community.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.impactartistproject.org/"} +{"d:Title": "Metal Clay Materials, Tools, Supplies and Equipment", "d:Description": "Guide to which tools, supplies and equipment should be included in a metal clay toolkit.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://hubpages.com/art/metal-clay-tools"} +{"d:Title": "Bronze Clay", "d:Description": "Discusses the use of bronze metal clay to create jewelry, small sculptures, and other art.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://hubpages.com/art/bronzclay"} +{"d:Title": "Forms of Metal Clay: Lump, Slip, Syringe and Paper Type Clay", "d:Description": "Types of metal clay", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://hubpages.com/art/metal-clay-types"} +{"d:Title": "PMC Metal Clay | Creating Design Motifs", "d:Description": "Design motifs used in metal clay", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://hubpages.com/art/pmc-creating-design-motifs"} +{"d:Title": "Setting Gemstones in Metal Clay", "d:Description": "This page discusses the use of Natural and lab-grown gemstones in metal clay designs.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://hubpages.com/art/gemstones-in-metal-clay"} +{"d:Title": "About.com - Jewelry Projects", "d:Description": "Projects, definitions, information on getting started, and links to related sites.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://jewelrymaking.about.com/od/metalclayprojectsinfo/"} +{"d:Title": "Art Clay World | Europe", "d:Description": "Official European site for Art Clay, the metal clay brand from Aida Chemical Industries.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.artclayworld.eu/"} +{"d:Title": "Sky And Beyond Metal Clay Tutorials", "d:Description": "Tutorials covering a number of metal clay topics to buy.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.sky-and-beyond.com/#!landing-page-1/co1k"} +{"d:Title": "CoolToolsVideos - YouTube", "d:Description": "Metal clay and jewelry making video tutorials", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "https://www.youtube.com/channel/UCrM13BPXBCHDUhFrR23saEA"} +{"d:Title": "Metal Clay Northern Ireland", "d:Description": "Metal clay training school in Northern Ireland run by artist and maker Pat Wilson.", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "https://www.metalclayni.com/"} +{"d:Title": "OneFire Sterling PMC", "d:Description": "Website about the OneFire Sterling PMC variety of silver metal clay", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.onefiresterlingpmc.com/"} +{"d:Title": "Susan D. Design", "d:Description": "Metal clay class provider", "topic": "Top/Arts/Crafts/Modeling_Compounds/Precious_Metal_Clay", "url": "http://www.susand-design.de/"} +{"d:Title": "Dyanne Williams Mosaics", "d:Description": "Dyanne Williams is a Los Angeles mosaic artist specializing in fine art and architectural installation.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.dyannewilliamsmosaics.com/"} +{"d:Title": "Sonia King, Mosaic Artist", "d:Description": "Gallery of the artist's custom-designed mosaics created from a wide variety of materials, plus information about classes, recommended books and links to related websites.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.mosaicworks.com/"} +{"d:Title": "Mosaic Art School", "d:Description": "Mosaic workshops in Ravenna, Italy.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.sira.it/mosaic/courses.htm"} +{"d:Title": "Gary Drostle", "d:Description": "Murals and mosaics for landscape and architecture from London-based artist.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.drostle.com/"} +{"d:Title": "Mosaika Art and Design", "d:Description": "Specializes in the design, manufacture and installation of large-scale mosaic art, in hand-glazed ceramic tile, marble and glass.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.mosaikadesign.com/"} +{"d:Title": "Mosaic Creations", "d:Description": "One-of-a-kind artwork, tables, mirrors, wall hangings and lamps created from granite, marble, stained glass and vintage Italian glass.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://mosaiccreations.tripod.com/"} +{"d:Title": "Zantium Mosaics", "d:Description": "Alison and Peter Massey's Derbyshire Peak studio creates custom mosaics and painted murals. Also offers arts and crafts courses.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.zantium.co.uk/"} +{"d:Title": "Mosaics from the Cathedral of Eufrasius", "d:Description": "Byzantine mosaics from a cathedral in Croatia.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://nickerson.icomos.org/porec/euf-mosa.htm"} +{"d:Title": "Inspired Mosaics", "d:Description": "Educational, commercial and public mosaics in England. Also classes.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.inspiredmosaics.co.uk/"} +{"d:Title": "Rolling Fog Design Mosaic Art", "d:Description": "Functional mosaic products. Mosaic tables, mirrors, and personalized designs.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://rollingfog.com/"} +{"d:Title": "Mixed-Up Mosaics", "d:Description": "New York-based artists offering colorful designs in glass, marble and tile. Murals, floor treatments and tables for restaurants, night clubs and offices.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.mixed-upmosaics.com/"} +{"d:Title": "Donna Reeves Mosaics", "d:Description": "Online portfolio of mosaics for the kitchen, bathroom, garden and commercial spaces.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.donnareevesmosaics.50megs.com/"} +{"d:Title": "The Mosaic Maker", "d:Description": "Mosaic art installations for home and garden created from glass, tiles and stone.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.themosaicmaker.com/"} +{"d:Title": "True Mosaics", "d:Description": "Laurel True, artist specializing in architectural, sculptural and public art mosaics for public or private business and residences.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.truemosaics.com/"} +{"d:Title": "Mosaic Arts", "d:Description": "South African designers and makers of hand cut decorative and architectural mosaic for residential and commercial projects in glass, smalti, ceramic and marble.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.mosaicarts.co.za/"} +{"d:Title": "Jonathan Mandell Mosaics", "d:Description": "Portfolio includes both religious and nonreligious imagery. Artist creates work by commission and for gallery exhibition, and his work includes 3D pieces as well.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.jonathanmandell.com/"} +{"d:Title": "Swan Mosaic", "d:Description": "Indoor and outdoor mosaic art by artist Xuan My Ho. Xuan's style makes use of organic materials scattered throughout the art pieces.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.swanmosaic.com/"} +{"d:Title": "Nagy-arts", "d:Description": "3d mosaic designs and inlays from Claudia Nagy.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.nagy-arts.com/"} +{"d:Title": "Via Mosaiikki", "d:Description": "Custom mosaic projects for professional and private clients, plus mosaic courses offered in Espoo, Finland.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.viamosaiikki.com/"} +{"d:Title": "New Ravenna", "d:Description": "Handcrafted marble and glass mosaic floor and wall coverings.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.newravenna.com/"} +{"d:Title": "Lori Greene", "d:Description": "Mosaic art for individuals, interior designers, contractors and architects.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.greenemosaic.com/"} +{"d:Title": "Zebra Mosaic", "d:Description": "Mosaic tables, stands, bathroom additions and garden decorations created by Sophie Verrecchia in Australia.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.zebramosaic.com.au/"} +{"d:Title": "Kim Emerson Mosaics", "d:Description": "Based in San Diego, her projects range from large public art projects to garden and pool designs for private residences. Classes available.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.kimemersonmosaics.com/"} +{"d:Title": "Elizabeth Raybee Mosaics", "d:Description": "Based in Potter Valley, CA mosaic artist and teacher offers workshops and video for learning contemporary technique. Gallery of works and exhibits.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.eraybeemosaics.com/"} +{"d:Title": "J. Iskander Mosiac Studio", "d:Description": "Tulsa artist Jacqueline Iskander's gallery of recycled objects transformed into mosaics.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.jiskander.com/"} +{"d:Title": "Emma Biggs Mosaic", "d:Description": "Contemporary mosaics from this talented artist, teacher and author", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.emmabiggsmosaic.net/"} +{"d:Title": "Big Bang Mosaics", "d:Description": "Massachusetts artist Cynthia Fisher\u2019s fine art mosaics gallery, featuring commissions and installations.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://bigbangmosaics.com/"} +{"d:Title": "Cole Sonafrank's Mosiac Links", "d:Description": "Links to mosaic forums, magazines, history lessons, other resources and studios.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.ptialaska.net/~sonafrnk/MosaicLinks.html"} +{"d:Title": "The Joy of Shards Mosaics Resource", "d:Description": "A large site devoted to mosaics, including instructions for mosaic making projects, illustrated articles on mosaics in the UK and Europe plus a mosaic gallery.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.thejoyofshards.co.uk/"} +{"d:Title": "Mosaic Matters", "d:Description": "Online mosaic magazine, covers a wide range of subjects dealing with the art of mosaic. Includes global listings of classes, suppliers and events.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.mosaicmatters.co.uk/"} +{"d:Title": "Mosaic and Shard Links", "d:Description": "Directory of links to mosaic artists and studios.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://ucmmuseum.com/shardlinks.htm"} +{"d:Title": "Cathy Money Mosaics", "d:Description": "Images of the artist's mosaic works, influenced by the colours and lifestyle of Australia's Sunshine Coast.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://members.optusnet.com.au/~cmoney/"} +{"d:Title": "Mosaic Art by Ray Zovar", "d:Description": "Contemporary or representational wall hanging, furniture or freestanding art created from chips of porcelain with inlays of stained glass, exotic woods, metals, marble, and granite.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.zovar.com/"} +{"d:Title": "Mosaicmakers.co.uk", "d:Description": "Step by step guide to commissioning a mosaic from artist Gary Drostle.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.mosaicmakers.co.uk/"} +{"d:Title": "Gasch Design", "d:Description": "Tile setter and carpenter offers architectural mosaic artwork. Serving Madison, Milwaukee and Chicago.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.gaschdesign.com/"} +{"d:Title": "Infinite Image", "d:Description": "Photo tiled mosaics created from hundreds of tiny images, and text art.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.infinite-image.net/"} +{"d:Title": "Sun Dog Mosaics", "d:Description": "Donna Van Hooser has a background in illustration and creates unique pieces of art for home or business that are primarily figurative and/or decorative.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.sundogmosaics.com/"} +{"d:Title": "Herv\u00e9 Vital", "d:Description": "Mosaic creations for churches, funeral art and decoration. Site in English and French.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.mosaiste-art.com/"} +{"d:Title": "Unique Mosaics", "d:Description": "View Anabell Page's contemporary mosaic work made with stained glass, Mother-of pearl and River-bed shell.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.uniquemosaics.co.uk/"} +{"d:Title": "George Fishman Mosaics", "d:Description": "Pictorial mosaic artwork in traditional and contemporary styles for homes, churches and commercial interiors.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://georgefishmanmosaics.com/"} +{"d:Title": "Riverson Fine Art", "d:Description": "Painting, sculpture and mosaic artwork by Mississippi Delta artist Joe Moorman.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://riversonfineart.com/"} +{"d:Title": "Anton, Margo", "d:Description": "Fine art mosaics in a variety of styles. Commissions accepted.", "topic": "Top/Arts/Crafts/Mosaics", "url": "http://www.margoanton.com/"} +{"d:Title": "British Association for Modern Mosaics", "d:Description": "British association of contemporary mosaic artists, also know as BAMM. Hosts exhibitions and publishes the newsletter; GROUT.", "topic": "Top/Arts/Crafts/Mosaics/Associations", "url": "http://www.bamm.org.uk/"} +{"d:Title": "Association for the Study and Preservation of Roman Mosaics", "d:Description": "The design, construction and building sites for Roman mosaics in Britain. Articles on the relationship between mosaics and\u00a0 art, architecture, religion and history.", "topic": "Top/Arts/Crafts/Mosaics/Associations", "url": "http://www.asprom.org/"} +{"d:Title": "Society of American Mosaic Artists", "d:Description": "Non-profit organization dedicated to the promotion of mosaic art and the development of mosaic artists.", "topic": "Top/Arts/Crafts/Mosaics/Associations", "url": "http://www.americanmosaics.org/"} +{"d:Title": "Mosaic Art Source", "d:Description": "Informative weblog on mosaics.", "topic": "Top/Arts/Crafts/Mosaics/Associations", "url": "http://mosaicartsource.wordpress.com/"} +{"d:Title": "Mosaic Association of Australia&New Zealand", "d:Description": "Australian organization for both enthusiasts and professional mosaic artists.", "topic": "Top/Arts/Crafts/Mosaics/Associations", "url": "http://www.maanz.org/"} +{"d:Title": "Andersen Studios", "d:Description": "Architectural ceramics and mosaic artifacts, inspired by the gaden and designed for durability. Created in the Seattle studio of Bruce and Shannon Kelly Andersen.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.andersenstudios.com/"} +{"d:Title": "Ilana Shafir", "d:Description": "Ceramic and mixed media mosaics from this international artist based in Israel.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.shafirart.com/"} +{"d:Title": "Valerie Carmet Mosaic Artist", "d:Description": "Custom-made picassiette furniture and frames. Also info on mosaic workshops.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.valeriecarmet.com/"} +{"d:Title": "Mika Arts", "d:Description": "Handmade tile, mosiaics and mixed-media create contemporary icons in artist Laurie Mika's work.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.mikaarts.com/"} +{"d:Title": "Adam Begley Mosaic Design", "d:Description": "Traverse City mosaic artist creations for artwork or home design.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.adambegleymosaics.com/"} +{"d:Title": "Andrew Ward Surf Art", "d:Description": "Works in a variety of mediums but mainly creates critters, murals, and rock pillars of mosaics.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.andrewward-art.com/"} +{"d:Title": "Cocci and Idee", "d:Description": "Mosaic artist Sueann Sher creates pique assiette custom mosaics for interior and garden use using old china, stained glass and other materials.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.chinamosaics.com/"} +{"d:Title": "My Atlantis", "d:Description": "Started in 2004, San Francisco artist Julia di Biasi works with local communities and non-profits to create public art mosaics for charitable purposes.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.myatlantis.org/"} +{"d:Title": "Cecilia Stanford", "d:Description": "Mosaic work including multimedia construction, outdoor sculpture, ceramic and mosaic objects, ceramic and glass murals.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.ceciliastanford.com/"} +{"d:Title": "Bella Mosaic Art", "d:Description": "Mosaic artist teaching classes, selling supplies and hosting classes in her Philadelphia storefront studio.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.bellamosaic.com/"} +{"d:Title": "Mad Platter", "d:Description": "Contemporary mosaic art using antique china and seashells, grouted with beads.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.madplattermosaics.com/"} +{"d:Title": "Sarah Leib Artiste Mosaique", "d:Description": "Mosaic creations from this artist based in Amsterdam.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://sarahleib.free.fr/mosaic/index.htm"} +{"d:Title": "Julia Di Biasi", "d:Description": "Hand-painted ceramic pieces, broken and recreated into mosaic art by San Francisco artist Julia di Biasi.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.juliadibiasi.com/"} +{"d:Title": "Chris Zonta Mosaics", "d:Description": "Contemporary mosaic panels, tables, mirrors and garden pieces by this Chicago artist and teacher. Commissions accepted.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.czonta.com/"} +{"d:Title": "Little Hunter Mosaics", "d:Description": "Funky and fun one-of-a-kind mosaics for home and garden.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.littlehuntermosaics.com/"} +{"d:Title": "New Stone Age Mosaics", "d:Description": "Mosaic art for home and garden including custom mosaic tiles, garden balls and portraits in glass.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.newstoneagemosaics.com/"} +{"d:Title": "Workshed Mosaics", "d:Description": "Specializing in ceramic mosaic art. Offering courses in ceramic and tile mosaics for both adults and children. Located in Perth, Australia.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://workshedmosaics.com/"} +{"d:Title": "Kathy Richardson Designs", "d:Description": "Mosaic fountains, wall art, backsplash and other projects from San Francisco-based artist.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.krtile.com/"} +{"d:Title": "Whackyfunster", "d:Description": "Broken tile and ceramic mosaic gallery, with Xeriscape garden design and landscaping details for drought tolerant waterwise yards.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.whackyfunster.com/"} +{"d:Title": "Kashi Kari Gallery", "d:Description": "Self taught artists Abbas and Roya Khajeaian create mosaics for home, garden and public spaces.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.kashikari.com/"} +{"d:Title": "Anitra Watley Allen", "d:Description": "Handmade, sculpted mosaic tiles. Includes a step-by-step how-to for a mosaic medallion floor inset.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://anitraallen.com/"} +{"d:Title": "Dr. Tim's Fine Art", "d:Description": "Dr. Tim Lueker paints nature with tile, stone, and glass. Find a gallery, latest work, and upcoming shows.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.drtimfineart.com/"} +{"d:Title": "Philadelphia's Magic Gardens", "d:Description": "Featuring the imaginative work of mosaic tile artist Isaiah Zagar, located in Philadelphia.", "topic": "Top/Arts/Crafts/Mosaics/Ceramic_and_Broken_China", "url": "http://www.philadelphiasmagicgardens.org/"} +{"d:Title": "Prizmagic", "d:Description": "A pictorial of fine art glass mosaics from Jim Standard Studios. Features both clear mouth blown glass and custom crafted bevels.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.prizmagic.com/"} +{"d:Title": "Toby Mason: Reflective Glass Mosaics", "d:Description": "Mosaics of colored mirror, a new mosaic medium, in an online art gallery.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://users.erols.com/masont/"} +{"d:Title": "Bisazza", "d:Description": "Design ideas, color selection and technical information from Italian manufacturer of vitreous glass mosaic tiles.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.bisazzausa.com/"} +{"d:Title": "Greenwich Mural Workshop", "d:Description": "Information on community and public art mosaics, murals and banners. Also projects with schools.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.greenwichmuralworkshop.com/"} +{"d:Title": "Unicorn Art Studio", "d:Description": "Furniture and fine art mosaics as well as information on classes.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaicmaster.com/"} +{"d:Title": "Mosaicist", "d:Description": "Gallery and catalogue of mosaics used in floors, kitchen countertops, tabletops, bathrooms, ceilings, and pools and spas.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaicist.com/"} +{"d:Title": "Cassandria Blackmore", "d:Description": "Figurative and abstract glass mosaics from Seattle artist.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.blackmorestudios.com/"} +{"d:Title": "Hiserote Micromosaic", "d:Description": "Features both the restoration work and the modern creations of an artist who works 'small'.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.micromosaics.com/"} +{"d:Title": "Mosaic Designs by Jill Schwerin", "d:Description": "Tables, vases, mirrors and commissioned mosaic artwork offered.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.schwerno.com/jill/"} +{"d:Title": "Irina Charny Mosaics", "d:Description": "Gallery of colorful mosaics including panels, mirrors and sculpture.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.icmosaics.com/"} +{"d:Title": "Gordan Mandich", "d:Description": "Australian mosaic artist creating two- and three-dimensional mosaics. Murals, pictures, tables, fountains, sculptures and workshops.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mandichmosaics.com/"} +{"d:Title": "Mother Nature Mosaics", "d:Description": "Artist J. Brossart predominantly works with vitreous glass tiles in a wide range of colors.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://mothernaturemosaics.tripod.com/"} +{"d:Title": "Helen Bodycomb Mosaics", "d:Description": "Melbourne-based art studio specialising in the design and construction of contemporary, commissioned mosaic artworks.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.hbmosaics.com/"} +{"d:Title": "Glass Dog Mosaics", "d:Description": "Original mosaic art created in vitreous glass, Italian millefiori murrines, stained glass, mirror, ceramic tile and assorted tesserae by Paula Nelson of Florida.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://glassdogmosaics.com/"} +{"d:Title": "Downtown Design", "d:Description": "Creating custom glass and tile mosaics for home and architectural installations.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaicsnyc.com/"} +{"d:Title": "Maxim's Mosaic Page", "d:Description": "Features mosaics on religious subjects from Dr. B. Maxim of Australia.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://members.tripod.com/radanr/cgi-bin/"} +{"d:Title": "Brit Hammer", "d:Description": "Offers glass art and architectural glass installations for public, commercial, and residential applications.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.brithammer.com/"} +{"d:Title": "Pepsy, Mathilde&Michel L'Huillier", "d:Description": "Decorative and architectural mosaic design work.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.artisans-d-art.com/lhuillier/index-en.htm"} +{"d:Title": "Dmitry Mosaics", "d:Description": "Artist combining ancient Byzantine mosaic techniques, stained glass, mural painting and relief to create textural art works.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://dmitrymosaics.com/"} +{"d:Title": "H H Mosaics", "d:Description": "Contemporary fine art mosaics and installations in vitreous glass by Chicago artist Heather Hancock.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.hhmosaics.com/"} +{"d:Title": "Velvet Glass", "d:Description": "Original tiki and retro-themed mosaics made with Italian art glass.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.velvetglass.com/"} +{"d:Title": "Maverick Mosaics", "d:Description": "Mosaic instruction, public art and landscape mosaics.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.maverickmosaics.com/"} +{"d:Title": "Modern Mosaics", "d:Description": "Jonathan Brown combines the ancient art of mosaics with present day design. Based in Seattle, WA.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaicart.us/"} +{"d:Title": "About Glass Tile", "d:Description": "Provides info on selection, installation and purchase of mosaic glass tile.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.aboutglasstile.com/"} +{"d:Title": "Dyanne Williams Mosaics", "d:Description": "Dyanne Williams is a Los Angeles-based mosaic artist specializing in fine art and architectural installation.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.dyannewilliamsmosaics.com/"} +{"d:Title": "Christine Brallier Mosaics", "d:Description": "Fine art mosaics by California artist Christine Brallier.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.cbmosaics.com/"} +{"d:Title": "Shelby Glass Studio", "d:Description": "Glass mosaic panels, tables and mirrors from this California artist.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaic-tile-art.com/"} +{"d:Title": "Connie English", "d:Description": "Gallery of tempered glass mosaics.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.connieenglishstudio.com/"} +{"d:Title": "Heisinger Design", "d:Description": "Decorative and architectural stained glass mosaics. Fine art pieces and commissions are featured.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.heisingerdesign.com/"} +{"d:Title": "Mosaic Worlds", "d:Description": "Nathalie Vin creates contemporary mosaics and offers mosaic workshops for all levels. Commissions accepted..", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaicworlds.com/"} +{"d:Title": "Susan Crocenzi - Mosaic Artist", "d:Description": "Tempered glass, polymer clay and mixed-media mosaics from this california-based artist.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.scmosaics.com/"} +{"d:Title": "Making Mosaics", "d:Description": "Courses, commissions and community art in mosaic design based in Essex, United Kingdom.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.makingmosaics.co.uk/"} +{"d:Title": "Lin Schorr Mixed Media Mosaics", "d:Description": "Intricate mosaics on panels, furniture and boxes using tempered and stained glass, stone, metals and acrylics.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://linschorr.com/"} +{"d:Title": "ScallonArt", "d:Description": "Artist Judith Scallon creates mosaics using stained glass as her medium of choice.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.scallonart.com/"} +{"d:Title": "Criativa Arts", "d:Description": "Contemporary stained glass mosaic wall hangings primarily with nature themes.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.criativa-arts.com/"} +{"d:Title": "Stacy Alexander", "d:Description": "Featuring work from SF Bay multi-disciplinary mosaic artist and recycling enthusiast.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.ebsqart.com/Artists/cmd_801_profile.htm"} +{"d:Title": "Showcase Mosaics", "d:Description": "Mosaics from the artistic team of Carl and Sandra Bryant.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.showcasemosaics.com/"} +{"d:Title": "Carol Shelkin Mosaics Contemporary Mosaic Art", "d:Description": "Contemporary mosaic art and information on workshops in North Carolina, Philadelphia, California, Florida and Hawaii.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.carolshelkinmosaics.com/"} +{"d:Title": "Nature's Mosaics", "d:Description": "Carrie Bracker uses nature as an inspiration in her work with glass mosaics.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.naturesmosaics.com/"} +{"d:Title": "Mario Don\u00e0", "d:Description": "Products include lines of smalti, golds, silvers and tools. Based in Spilimbergo, Italy.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.donamosaici.it/"} +{"d:Title": "Barcelona Mosaic Classes", "d:Description": "Classes, courses and workshops in mosaic based in Barcelona, Spain.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.barcelona-mosaic-classes.com/barcelona_mosaic_classes/barcelona_mosaic_classes.html"} +{"d:Title": "Unique Mosaic Art by Laura Morales", "d:Description": "Mosaic creations include 2d and 3d works in glass, tile, and china.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaichearts.com/"} +{"d:Title": "Art Amiba", "d:Description": "Chicago artist Karen Ami creates mosaic art, sculpture, ceramics, public art, and mosaic installations. Karen is the founder and executive director of The Chicago Mosaic School.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.artamiba.com/"} +{"d:Title": "Margaret Almon Mosaics", "d:Description": "View background about the artist, news from the studio, gallery, and local Philadelphia area arts events.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://margaretalmon.com/"} +{"d:Title": "Irit Levy Mosaic Art", "d:Description": "Her original mosaic art work brings photos and paintings to life from her New York studio.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://iritlevy.com/"} +{"d:Title": "Mosaic Arts, and More", "d:Description": "Uniquely designed mosaic mirrors using stained glass, ceramics, beads, shells.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.mosaicartsandmore.com/"} +{"d:Title": "Monique Boutens", "d:Description": "Handmade mosaic-art with Venetian Murano glass and smalti.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://monique-boutens.exto.org/"} +{"d:Title": "Tulips Mosaics", "d:Description": "Terry Oshrin utilizes colored glass, glass beads and stones to create decorated geckos, jewelry, and tables.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.tulipsmosaics.com/"} +{"d:Title": "Mystic Mosaics Studios", "d:Description": "Offering glass mosaic installations, fine art mosaics, and mosaic jewelry.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "https://sites.google.com/site/mysticmosaics/"} +{"d:Title": "Laura K Aiken Studio", "d:Description": "Specializing in mosaic jewelry, whimsical watercolor paintings, and hosting mosaic making and painting workshops. Accepts custom orders.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://www.laurakaiken.com/"} +{"d:Title": "Mosaics by Ariel", "d:Description": "Information for Boston-based mosaic artist and educator, Ariel Finelt Shoemaker.", "topic": "Top/Arts/Crafts/Mosaics/Glass", "url": "http://mosaicsbyariel.com/"} +{"d:Title": "Yael's Mosaic Creations", "d:Description": "Online gallery of mosaic creations from artist Yael Portugheis. Includes Judaica, modern art, wall tapestry, ornamented furniture and jewelry.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://members.tripod.com/yael_por/"} +{"d:Title": "Mosaicos Antiguos", "d:Description": "Florida-based studio featuring marble and stone mosaics based on ancient designs. Custom work accepted.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.mosaicos-usa.com/"} +{"d:Title": "McCall Stone Mosaic", "d:Description": "Sherry McCall offers tile, marble and granite mosaics for architectural focal points in Santa Rosa Beach, Florida.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.stonemosaic.com/"} +{"d:Title": "Berti A&P Mosaics", "d:Description": "Handmade, artistic florentine mosaics created from semiprecious stones in Florence, Italy.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.bertimosaici.com/"} +{"d:Title": "MetaMosaics", "d:Description": "Pebble mosaics from Portland, Oregon. Contains pictures of completed projects, stone colors available, a gallery of pebble mosaics from around the world and contact information.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.metamosaics.com/"} +{"d:Title": "Sailors' Valentines", "d:Description": "Lynda Susan Hennigan recreates of traditional sailors' valentines. Octagonal boxes containing mosaic designs created from seashells often including a message of sentiment.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.lyndasusanhennigan.com/"} +{"d:Title": "Mosaic-Art of Ellel, Lancaster", "d:Description": "Janette Ireland trained in pebble mosaic fabrication and installation with Maggie Howarth, now offers insitu and pre-fabricated cobble mosaics for public and private commissions.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.mosaicart.uk.com/"} +{"d:Title": "Stone Art", "d:Description": "Mosaic rock pictures created by stone intarsia or inlay.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.rock-pictures.com/"} +{"d:Title": "Hand Mosaics", "d:Description": "Hand-cut mosaic, using traditional Roman techniques. Ancient and contemporary designs providing everlasting and eternal pieces.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.hand-mosaics.com/"} +{"d:Title": "Maggy Howarth of Cobblestone Designs", "d:Description": "Design, construction and installation of pebble mosaics from this British artist. Includes both abstract and representational subjects.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://maggyhowarth.co.uk/"} +{"d:Title": "Power of Pebbles", "d:Description": "Pebble mosaics from New Zealand with Polynesian touch for interior and exterior projects. Created by John Botica.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.powerofpebbles.com/"} +{"d:Title": "Stephen Brailo Mosaics", "d:Description": "Artist Stephen Brailo creates in the classic Byzantine style for decorative mosaic projects with classic and contemporary themes.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.brailomosaics.com/"} +{"d:Title": "Custom Mosaic Art", "d:Description": "Custom designed mosaics and medallions handcrafted from natural stones including marble, granite, onyx and travertine.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.floridacustommosaic.com/"} +{"d:Title": "Hagar Mosaic", "d:Description": "Israeli mosaic artist Hagar Hefetz creates mosaic art for architects, designers and the private sector.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.hagarmosaic.com/"} +{"d:Title": "Mosaique Tahlaos", "d:Description": "Mosaic creation and restoration using ancient marble and terracotta and inspired by Roman and Greek mosaics.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.mosaiquetahlaos.com/"} +{"d:Title": "Julie Richey Mosaics", "d:Description": "Recent work including public art installations, residential mosaics and work in progress.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.juliericheymosaics.com/"} +{"d:Title": "K Mosaics", "d:Description": "Kleanthis Moustakas uses traditional methods and natural stone to create mosaic friezes based on mythical themes. Based in Cyprus.", "topic": "Top/Arts/Crafts/Mosaics/Stone", "url": "http://www.sparringpartners.co.uk/kmosaics/"} +{"d:Title": "Stitchers Needle", "d:Description": "Offers instructions, patterns, tips, and tricks for crafters.", "topic": "Top/Arts/Crafts/Needlework", "url": "http://www.stitchersneedle.com/"} +{"d:Title": "Tink Boord-Dill Needlework", "d:Description": "Graphic images of hand-painted designs. Features new designs and free charts for needlepoint and cross stitch.", "topic": "Top/Arts/Crafts/Needlework", "url": "http://www.tinkbd.com/"} +{"d:Title": "Sharon b's In a minute ago", "d:Description": "Textile artist Sharon Boggon provides past exhibitions, textiles, hand embroidery, crazy quilts, and stitching dictionary. [not suitable for all browsers]", "topic": "Top/Arts/Crafts/Needlework", "url": "http://inaminuteago.com/"} +{"d:Title": "Needlecraft Showcase", "d:Description": "Community of needle crafters. Includes directory of retailers, products, designers and organizations.", "topic": "Top/Arts/Crafts/Needlework", "url": "http://www.stitching.com/"} +{"d:Title": "Plain&Fancy Merchant", "d:Description": "Blog showcasing needlework by Sandra Sullivan.", "topic": "Top/Arts/Crafts/Needlework", "url": "http://www.plainfancymerchant.blogspot.com/"} +{"d:Title": "Connie Bloom", "d:Description": "Features hand crafted, one-of-a-kind, fabric art pieces. Including pet memorials, animal, scenes, themes, and commissioned pieces.", "topic": "Top/Arts/Crafts/Needlework", "url": "http://www.conniebloom.com/"} +{"d:Title": "Golden Gobelin", "d:Description": "Offers some free gobelin tapestry charts.", "topic": "Top/Arts/Crafts/Needlework", "url": "http://goldengobelin.com/"} +{"d:Title": "The Smocking Arts Guild of America", "d:Description": "An organization promoting smocking, fine hand sewing and related needle arts. Provides ideas, patterns, education, communication, and quality workmanship.", "topic": "Top/Arts/Crafts/Needlework/Associations", "url": "http://www.smocking.org/"} +{"d:Title": "National Academy of Needlearts", "d:Description": "Devoted to the advancement of embroidery as an art form. Features publications, membership and certification information.", "topic": "Top/Arts/Crafts/Needlework/Associations", "url": "http://www.needleart.org/"} +{"d:Title": "Blackwork Fill-in Patterns", "d:Description": "Charted designs from sixteenth-century sources, with notes, at the Atlantian Embroiderers Guild (Society for Creative Anachronism).", "topic": "Top/Arts/Crafts/Needlework/Blackwork", "url": "http://aeg.atlantia.sca.org/projects/howto/blackwork/index.htm"} +{"d:Title": "Blackwork Embroidery Archives", "d:Description": "Collection of original floral and geometric patterns to download. Includes historical notes on blackwork in Renaissance costume, instructions for working double-running stitch, bibliography and links.", "topic": "Top/Arts/Crafts/Needlework/Blackwork", "url": "http://blackworkarchives.com/"} +{"d:Title": "Blackwork: An Introduction", "d:Description": "Survey article from Needle Pointers magazine XI:5, Winter 1983, by Carol Algie Higginbotham, EGA certified teacher of blackwork. Modern and traditional examples, bibliography, some free charts.", "topic": "Top/Arts/Crafts/Needlework/Blackwork", "url": "http://www.needlepoint.org/Archives/Blackwork/Article.php"} +{"d:Title": "Blackwork Gallery", "d:Description": "Annotated links to Renaissance portraits and paintings featuring blackwork, at the Elizabethan Practical Companion.", "topic": "Top/Arts/Crafts/Needlework/Blackwork", "url": "http://www.larsdatter.com/blackwork.htm"} +{"d:Title": "Zweigart Fabrics", "d:Description": "Manufacturer of cross stitch fabrics and needlepoint canvas. [multi lingual site]", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://www.zweigart.de/"} +{"d:Title": "Cross-stitching.com", "d:Description": "Free resources include stitching techniques, chat rooms, accessory sources, and some project charts.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://www.cross-stitching.com/"} +{"d:Title": "Samplers Collection at Victoriana", "d:Description": "A collection of eight 19th century samplers originally embroidered by Elizabeth E. Jacobs from Long Stowe, England.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://www.victoriana.com/archive/jacobs.html"} +{"d:Title": "Cross Stitch at About.com", "d:Description": "Offers forum, tips, patterns and links.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://crossstitch.about.com/"} +{"d:Title": "CyberStitchers.com", "d:Description": "Features free patterns, finishing techniques, message boards, charity listings, and a patterns directory.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://www.cyberstitchers.com/"} +{"d:Title": "Cross Stitch Forum", "d:Description": "A free forum to discuss matters related to cross stitching.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://www.crossstitchforum.com/"} +{"d:Title": "Better Cross Stitch Patterns", "d:Description": "Free cross stitch designs for beginning and accomplished stitchers, tutorials, how to tips, and photos.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://www.better-cross-stitch-patterns.com/"} +{"d:Title": "Where Haberdashery and Geekdom Combine", "d:Description": "Community is dedicated to cross-stitch.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch", "url": "http://cross-stitch.livejournal.com/"} +{"d:Title": "Blue Ribbon Designs", "d:Description": "Specializes in historical samplers with many old-fashioned ornament designs as well.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://blueribbondesigns.com/"} +{"d:Title": "Graphs by Barbara and Cheryl", "d:Description": "Detailed patterns incorporating many colors. Subjects include Christmas, floral, architectural, Jacobean, samplers, birds and antique toys.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.stitching.com/bar-cher/"} +{"d:Title": "Mirabilia", "d:Description": "Large gallery of feminine designs, many of which incorporate considerable beadwork. Women, angels, mermaids and fairies clothed in finely detailed costumes.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://mirabilia.com/"} +{"d:Title": "Nancy Spruance Designs", "d:Description": "Finely detailed Victorian house designs. Includes a miniature house series. Historical information about each house is included in the chartpaks.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.nspruance.com/"} +{"d:Title": "The Prairie Schooler", "d:Description": "Primitive cross stitch designs featuring Santa Claus, Noah's Ark, harvest-time, and Christmas. Includes the popular Schooler Santa yearly series.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.prairieschooler.com/"} +{"d:Title": "Heart In Hand", "d:Description": "Primitive style designs in both kit and leaflet form. The designer Cecilia Turner is also a member of The Trilogy.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.heartinhand.com/"} +{"d:Title": "Lanarte", "d:Description": "Original paintings are translated into cross stitch designs. Subjects include animals, children, flowers, and romantic designs.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.lanarte.com/"} +{"d:Title": "Ursula Michael Designs", "d:Description": "Heart warming collection differentiated by intricate borders, delicate florals and country vignettes with pastel thread colors. [not suitable for all browsers]", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.ursulamichael.com/"} +{"d:Title": "Stitchy Kitty", "d:Description": "Bright and humorous quick-stitch designs of pets and holidays.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.stitchykitty.com/"} +{"d:Title": "Whispered by the Wind", "d:Description": "Mari McDonald's designs include mythical women and primitive Amish-style designs as well as a quick-stitch quilt series.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://whisperedbythewind.com/"} +{"d:Title": "RK Portfolio Cross Stitch Designs", "d:Description": "Wildlife and tree designs adapted from the artwork of Randee Kilgore and Ikki Matsumoto. Includes some whimsical water pieces by 11 year old Stephanie Kilgore.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.rkpdesigns.com/"} +{"d:Title": "Brittercup Designs", "d:Description": "Featuring seasonals, bell pulls, pillows and wall hangings. Designs also include the Britty Kitty series and other outline style pieces.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.brittercupdesigns.com/"} +{"d:Title": "My Big Toe Designs", "d:Description": "Christian cross stitch designs and specialty accessories, fobs, counters, and marking pins. A variety of fabrics, embellishments and threads are used.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.mybigtoedesigns.com/"} +{"d:Title": "Casey Buonaugurio", "d:Description": "Specializes in designs of desserts, beverages and other sweet treats.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.caseybuonaugurio.com/"} +{"d:Title": "Told in a Garden", "d:Description": "Marilyn Leavitt-Imblum's designs include Amish and Celtic themes.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.tiag.com/"} +{"d:Title": "Bent Creek", "d:Description": "Whimsical counted cross stitch designs featuring the Zipper and Snapper series.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.bentcreek.com/"} +{"d:Title": "Barbara Ana Designs", "d:Description": "Fun and whimsical counted cross stitch designs.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.freewebs.com/barbara-ana-designs/"} +{"d:Title": "Yvette Ungricht", "d:Description": "Patterns for the Mormon stitcher, reinforcing LDS faith and values. Ranges from easy to advanced.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.yvetteungrichtcrossstitch.com/"} +{"d:Title": "Susan Saltzgiver Designs", "d:Description": "Assorted cross stitch designs sold as chart packs.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.susansaltzgiverdesigns.com/"} +{"d:Title": "The Needle's Notion", "d:Description": "Offers updated versions of nostalgic designs for cross stitch and needlepoint. Includes shop locator.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.needlesnotion.com/"} +{"d:Title": "JAR Designs", "d:Description": "Designs, fabrics, over-dyes, designer thread packs and needlepoint canvas.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.jardesigns.biz/"} +{"d:Title": "Historic Stitches", "d:Description": "Specializes in reproductions of antique samplers, including reproductions of English, American, French and Quaker. Also supplies original sampler designs, a series of annual Christmas ornaments, and several series of needlework accessories.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.historicstitches.com/"} +{"d:Title": "Fern Ridge Collections", "d:Description": "Offers needlework accessories, laying tools, and linen needlework, needlepoint and beaded peyote kits.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.fernridgecollections.com/"} +{"d:Title": "Eliza Wright", "d:Description": "Cross stitch designs by Eliza Wright on the Isle of Skye.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.2fishes.co.uk/"} +{"d:Title": "A. Bradley Needlearts", "d:Description": "Designs by Ashley Bradley. Includes biography, samples and where to purchase.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.abradleyneedlearts.com/"} +{"d:Title": "Amathusia", "d:Description": "Offers retail and wholesale ordering details for needlework designs by Barbara Skelton; available as kits and chart packs.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.amathusia.co.uk/"} +{"d:Title": "Filigram", "d:Description": "Marielle Zang Pujol presents her nature, animal and seasonal designs.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://filigram.com/"} +{"d:Title": "Lakeside Linens and Designs", "d:Description": "Hand-dyed color fast linen for cross stitch. Includes color swatches and original designs.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.lakesidelinens.com/"} +{"d:Title": "Permin", "d:Description": "Manufacturer of cross stitch kits. [English, German, Danish, Swedish and Norwegian]", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.permin.dk/"} +{"d:Title": "Free Cross Stitch Patterns by Bodil Byriel", "d:Description": "Download free cross stitch patterns by Bodil Byriel.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.bodilbroderi.dk/"} +{"d:Title": "Abbey Lane Designs", "d:Description": "Stitch'N'Tuck banners and designs. Provides where to buy details and a photo gallery of completed projects.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://abbeylanedesigns.tripod.com/"} +{"d:Title": "Alexa Needlepoint Designs", "d:Description": "Specializing in a line of hand-painted Christmas stockings and ornaments. Offers a list of retailers and photo gallery.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.alexaneedlepointdesigns.com/"} +{"d:Title": "Alyce Schroth Sampler Recreations", "d:Description": "Offers cross stitch patterns with designs inspired by 18th and 19th century samplers and show towels.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.alyceschroth.com/"} +{"d:Title": "AnnaLee Waite Designs", "d:Description": "Phrases, Christmas themes and florals are some of the projects offered by this designer. List of distributors and biography.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.annaleedesigns.com/"} +{"d:Title": "DorkStitch", "d:Description": "Provides free cross-stitch patterns, mostly bookmarks, with game and movie themes.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "https://www.etsy.com/shop/dorkstitch"} +{"d:Title": "Lord Libidan Video Game and Contemporary Cross Stitch", "d:Description": "Contemporary and video game cross stitch designs by Lord Libidan.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://lordlibidan.com/"} +{"d:Title": "Arelate Studio", "d:Description": "Books and needlework patterns based on Medieval designs by Nancy Spies.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.arelatestudio.com/"} +{"d:Title": "Jeannette Douglas Designs", "d:Description": "A Canadian company specializing in band samplers with themes from nature and stitching. Many speciality stitches are used.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Designers", "url": "http://www.jeannettedouglas.ca/"} +{"d:Title": "Cole's Quilts", "d:Description": "Volunteers cross-stitching panels for quilts given to children with Spinal Muscular Atrophy. Includes guidelines, photo gallery of completed quilts, and the progress of requested quilts.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/For_Charity", "url": "http://www.our-sma-angels.com/colesquilts/index.htm"} +{"d:Title": "Love Quilts", "d:Description": "Organization that creates counted cross stitch quilts for sick children. Includes guidelines, photographs, and profiles of the children.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/For_Charity", "url": "http://lovequilts2macs.homestead.com/LQHomepage.html"} +{"d:Title": "Quilts for Kids", "d:Description": "Provides quilts made from volunteers' squares to sick and disabled children. Includes photo galleries and a blog.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/For_Charity", "url": "http://www.quiltsforkids.org/"} +{"d:Title": "Bird Cross Stitch Patterns", "d:Description": "Several free bird patterns, including hummingbirds and some card designs.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.birdcrossstitch.com/"} +{"d:Title": "Celtic Tarot in Cross-Stitch", "d:Description": "Free instructions for cross-stitching 22 Celtic tarot cards.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.angelfire.com/mi/rex/celtic.html"} +{"d:Title": "Crafts N' Stitches", "d:Description": "Free cross stitch patterns.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.angelfire.com/wv2/craftsnstitches/freexstitchpatterns.htm"} +{"d:Title": "Free Cross Stitch Online", "d:Description": "Offers free cross stitch patterns for everyone to share. May be distributed in kits for remuneration.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.freecrossstitchonline.com/"} +{"d:Title": "LMc Designs", "d:Description": "Free original cross stitch patterns for both beginner and experienced stitchers.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://webspace.webring.com/people/fl/llmcm/index.html"} +{"d:Title": "Cross Stitch Design", "d:Description": "Offers a few fine art cross stitch patterns, sent in .pdf format.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://stitch-art.ucoz.com/"} +{"d:Title": "Cross Stitch Pattern Central", "d:Description": "A directory providing free cross stitch pattern links.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://freecrossstitchpatterncentral.com/"} +{"d:Title": "CreativeX", "d:Description": "Free downloadable cross stitch and needlepoint patterns. Offers a wide range of categories that include animals, holidays, beach and Victorian. Free registration is required. [English and German]", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://creativex.freeforums.org/"} +{"d:Title": "CStitching.com", "d:Description": "Free cross stitching patterns. Make a free cross stitching pattern from a photo. Cross stitching forum and community.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.cstitching.com/"} +{"d:Title": "Animal Cross Stitch Patterns", "d:Description": "A variety of free animal cross stitch patterns.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://animalcrossstitchpatterns.weebly.com/"} +{"d:Title": "Free Cross Stitch Pattern.com", "d:Description": "Download free cross stitch charts that are full patterns complete with picture and code. Includes famous paintings and child themes.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.free-cross-stitch-pattern.com/"} +{"d:Title": "Solaria Gallery Free Designs", "d:Description": "Many free designs, mostly floral and countryside themes.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.solaria.online.bg/"} +{"d:Title": "Free Cross-Stitch", "d:Description": "Charts in .zip and .PDF format including ships, landscapes and paintings.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://free-cross-stitch-catalog.com/"} +{"d:Title": "Laras Cross Stitch", "d:Description": "Offers a selection of free cross stitch designs that include for baby and artists.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Patterns", "url": "http://www.larasxstitch.com/"} +{"d:Title": "Laury's Cross Stitch", "d:Description": "Gallery of projects, links and tips. [English and Spanish]", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Personal_Pages", "url": "http://laury-cross-stitch.blogspot.com/"} +{"d:Title": "Happystitcher", "d:Description": "Gallery of cross stitch projects, advice on photocharting, conversion charts (DMC/Anchor, Anchor/DMC).", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Personal_Pages", "url": "http://cross-stitch.4t.com/"} +{"d:Title": "Todd's Cross Stitch", "d:Description": "Gallery of finished works and personal information.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Personal_Pages", "url": "http://toddsxstitch.50megs.com/"} +{"d:Title": "Cross Stitch", "d:Description": "A blog dedicated to cross stitch, with tips and projects.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Personal_Pages", "url": "http://jeanscrossstitch.blogspot.com/"} +{"d:Title": "Gizzimomo's Stitchy Place", "d:Description": "Blog where the cross stitcher showcases progress.", "topic": "Top/Arts/Crafts/Needlework/Cross_Stitch/Personal_Pages", "url": "http://gizzimomosstitchyplace.blogspot.co.uk/"} +{"d:Title": "Serendipity Designs", "d:Description": "Cross stitch and needlepoint from Serendipity, MarBek, OOE, Helen Burgess.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://serendipitydesigns.com/"} +{"d:Title": "Kaffe Fassett Studio", "d:Description": "Designers of patchwork, knitwear, needlework, and painting.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.kaffefassett.com/"} +{"d:Title": "Pegasus Originals", "d:Description": "Counted cross stitch design, needlepoint, quilting, chicken scratch, candlewicking and crafts.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.pegasusor.com/"} +{"d:Title": "Just Nan Home Page", "d:Description": "Publishes and distributes heirloom quality cross stitch and counted thread needlework designs by Nan Caldera.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.justnan.com/"} +{"d:Title": "Truswell Needlework Design", "d:Description": "Summer Louise Truswell's designs and articles. Articles include fabrics, finishing pillows, and finishing Christmas ornaments. Designs incorporate cross-stitch, hardanger, and specialty stitches.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.needleworkdesign.com/"} +{"d:Title": "Patricia Anne Designs", "d:Description": "UK designer featuring cross stitch, speciality stitches, hardanger, drawn thread, canvas and blackwork.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.patricia-ann-designs.com/"} +{"d:Title": "Elizabeth's Designs", "d:Description": "Cross stitch, specialty stitches, and Elizabeth Foster's own creative stitches.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.elizabethsdesigns.com/"} +{"d:Title": "TW Designworks", "d:Description": "The work of Teresa Wentzler, the internationally acclaimed fantasy-inspired artist and cross stitch designer. Includes cross-stitch and specialty stitches.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.twdesignworks.com/"} +{"d:Title": "The Drawn Thread", "d:Description": "Needlework charts and kits, specializing in sophisticated, traditional designs that are fun and challenging to stitch. Designer Cynthia Zittel.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.drawnthread.com/"} +{"d:Title": "American Folk Arts", "d:Description": "Linda Fontenot's charming designs, incorporating cross-stitch and Hardanger. Includes her \"Over The Moon Designs\" collection.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.americanfolkarts.com/"} +{"d:Title": "Wooly Dreams Design", "d:Description": "Lula Chang's imaginative needlepoint and cross-stitch designs.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.woolydream.com/"} +{"d:Title": "Needlework Designers E Group", "d:Description": "Mailing list for professional designers.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://groups.yahoo.com/group/needlework_designers/"} +{"d:Title": "Rosalie Peters", "d:Description": "Traditional handpainted needlepoint designs, custom design and list of shops.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.rosaliepeters.com/"} +{"d:Title": "Blue Ribbon Designs", "d:Description": "Specializes in counted cross-stitch and needle point designs. Gallery of design and finished embroideries, newsletter.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://blueribbondesigns.com/"} +{"d:Title": "Barbara Bangser Designs", "d:Description": "Offers custom needlepoint and cross-stitch design services. Catalog of designs, ordering information.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.barbarabangser.com/"} +{"d:Title": "EMS Cross Stitch Design", "d:Description": "Patterns designed by Ellen Maurer-Stroh including machine embroidery designs and cross stitch. Offers some free charts. Contains distributor details and gallery.", "topic": "Top/Arts/Crafts/Needlework/Designers", "url": "http://www.maurer-stroh.com/"} +{"d:Title": "The Embroidery Site", "d:Description": "Showcases the embroidery work of Janet Holehouse, based in Manchester, England.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.embroidery.org.uk/"} +{"d:Title": "Needlecrafter.com", "d:Description": "Library of free needlecraft designs and patterns, how-to articles, and a stitch dictionary. Primary focus is embroidery.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.needlecrafter.com/"} +{"d:Title": "JMD Designs", "d:Description": "Embroidery history and information on many styles of embroidery, traditional and contemporary, embroidery tips and tutorials.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.jmddesigns.co.nz/"} +{"d:Title": "Young Embroiderers", "d:Description": "Embroidery discovery space for children and anyone involved in textile art, craft and education.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.hiraeth.com/ytg/"} +{"d:Title": "Armenian Embroidery", "d:Description": "Instructions and pictures of Armenian embroidery. Specifically Marash embroidery.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://armenianembroidery.tripod.com/"} +{"d:Title": "Island Ireland: Mountmellick Embroidery", "d:Description": "Description and history of this style of Irish whitework embroidery. Samples.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://islandireland.com/Pages/folk/mountmellick/embroidery.html"} +{"d:Title": "Crazy Quilt Embroidery", "d:Description": "Links to selected crazy quilt embroidery sites.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://webhome.idirect.com/~gaga1/castlefield1/links.html"} +{"d:Title": "Vinciolo's Les Singvliers Et Novveaux Povrtraicts (1587)", "d:Description": "A facsimile and some modern charts of an embroidery/lace pattern book from 1587.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.pbm.com/~lindahl/vinciolo/"} +{"d:Title": "Jos Hendriks Embroidery", "d:Description": "History, characteristics and working methods of several embroidery styles, including Assisi embroidery. Free patterns.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.stitchstitch.info/"} +{"d:Title": "Flickr: Embroidery", "d:Description": "Group for posting pictures of in progress and finished works.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.flickr.com/groups/embroideredstuff/"} +{"d:Title": "Flickr: Embroidery and Science", "d:Description": "This group is to show examples of embroidery, textile and fibre art with a science and nature theme or base.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.flickr.com/groups/79266642@N00/"} +{"d:Title": "Flickr: Embroidered Motifs", "d:Description": "Photos of motifs embroidered by hand. Any technique: traditional embroidery, thread painting, red/ gold/ black work, silk ribbon embroidery, waste canvas work, Brazilian embroidery, stump work.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://flickr.com/groups/em/"} +{"d:Title": "BellaOnline: Embroidery", "d:Description": "Megan McConnell writes about all forms of embroidery, from machine embroidery, to cross stitch. Articles and forums.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.bellaonline.com/site/Embroidery"} +{"d:Title": "Embroidery Methods Guide", "d:Description": "A guide on a variety of embroidery techniques, including cross stitch, Swedish huck weaving, punch needle, silk ribbon, blackwork, Hardanger, crewel, and machine embroidery.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.embroidery-methods.com/"} +{"d:Title": "Victorian Embroidery and Crafts", "d:Description": "Embroidery and craft patterns and how-to's. Geared to hand embroidery.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.victorian-embroidery-and-crafts.com/"} +{"d:Title": "Mary Corbet's Needle 'N Thread", "d:Description": "Includes video tutorials for hand embroidery stitches, articles and reviews on needlework supplies, floss, fabric, and threads.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.needlenthread.com/"} +{"d:Title": "Flickr: Seam / Couture - Embroidery", "d:Description": "A group with an image gallery for showing off embroidery work.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.flickr.com/groups/48261323@N00/"} +{"d:Title": "Flickr: Embroidered Art", "d:Description": "Image gallery of embroidery as an art. Original designs.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.flickr.com/groups/embroidered_art/"} +{"d:Title": "Johanna's Needlework Stitches", "d:Description": "Online stitch diagrams. Stitches and tips.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.fidella.com/webstitch/"} +{"d:Title": "Pin Tangle", "d:Description": "Embroidery projects, stitch directory, classes, and stitching challenges from Sharon B.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.pintangle.com/"} +{"d:Title": "Berlin Embroidery", "d:Description": "Offers a selection of hand embroidery tips and kits.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.berlinembroidery.com/"} +{"d:Title": "Celtic Cross Stitch", "d:Description": "Celtic cross stitch basic introduction to patterns, designs and instructions", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.mycelticcrossstitch.com/"} +{"d:Title": "Kalocsa Embroidery", "d:Description": "Kalocsa embroidery needlework is famous for its creative Hungarian hand embroidery patterns and designs.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.kalocsa-embroidery.com/"} +{"d:Title": "Vera Stoll Hardanger Design", "d:Description": "Information about Hardanger Embroidery. History and tutorial help.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.hardanger-stoll.com/?page=home&language=us"} +{"d:Title": "Jacqui McDonald Hand Embroidery", "d:Description": "Whitework, Jacobean, Blackwork, Stumpwork, Goldwork, Knotgardens&Canvasswork. Classes and information.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.hand-embroidered.co.uk/"} +{"d:Title": "Sew in Love", "d:Description": "An embroidery student shares her projects.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://sew-in-love.blogspot.com/"} +{"d:Title": "Jean Fletcher's Stumpwork", "d:Description": "Samples of completed work and free patterns to download.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://members.tripod.com/~jeanfletch/"} +{"d:Title": "2smock", "d:Description": "Resource site which also sells kits.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.2smock.com/"} +{"d:Title": "Brazilian Dimensional Embroidery", "d:Description": "Yahoo group organized for the advancement and education of those interested in Brazilian dimensional embroidery.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://groups.yahoo.com/group/Braziliandimensionalembroidery/"} +{"d:Title": "Waterrose Handcrafted Obsession", "d:Description": "Blog discussing hand embroidered personal accessories and other topics. Using felt, wool, cotton and silk.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://waterrosez.blogspot.com/"} +{"d:Title": "Embroidery", "d:Description": "Illustrates and explores the imaginative ways embroidery has developed and is being used today. Archive of past articles and how to subscribe.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://embroidery.embroiderersguild.com/"} +{"d:Title": "EmbroWorld", "d:Description": "Offers free embroidery designs, tutorials, software, and articles.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://www.embroworld.com/"} +{"d:Title": "Chatelaine Designs", "d:Description": "Gallery of work embroidery and quilting work by Martina Rosenberg . Online classes.", "topic": "Top/Arts/Crafts/Needlework/Embroidery", "url": "http://chatelaine.de/"} +{"d:Title": "The Embroiderers' Guild of America, Inc", "d:Description": "Non-profit embroidery guild was founded to foster high standards of excellence in the practice of the art of embroidery, and to develop an active program of education and study to meet the needs of its members.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://egausa.org/"} +{"d:Title": "Needlework Guild of Minnesota", "d:Description": "Learn about a guild that fosters the art of needlework through educational programs, workshops, tours, a library, newsletter and monthly meetings.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.needleworkguildmn.org/"} +{"d:Title": "South Central Region EGA", "d:Description": "Region area includes Texas, Oklahoma, Arkansas, Louisiana and Mississippi. Our purpose is to foster standards of excellence in the practice of embroidery through an active program of education.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.egascr.org/"} +{"d:Title": "Cyber Stitchers", "d:Description": "The online chapter of the Embroiderers' Guild of America.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://groups.yahoo.com/group/ega/"} +{"d:Title": "Embroidery Help", "d:Description": "Yahoo group about machine problems, source, shows, or any other embroidery related subjects.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://groups.yahoo.com/group/EMBROIDERY_HELP/"} +{"d:Title": "Embroidery and Textile Tutors' Association", "d:Description": "Annual conference, workshops, newsletter and exhibitions.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.users.globalnet.co.uk/~ettassoc/"} +{"d:Title": "Embroiderers' Association of Canada", "d:Description": "To preserve traditional techniques and promote new challenges in the Art of Embroidery through education", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.eac.ca/"} +{"d:Title": "Northern Ireland Embroidery Guild", "d:Description": "Promotes traditional hand embroidery, contemporary machine embroidery and textile art through lectures, workshops and exhibitions.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.nieg.org.uk/"} +{"d:Title": "Brazos Valley Stitchers", "d:Description": "Chapter of the Embroiderers' Guild of America,located in Bryan College Station, Texas.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.brazosvalleystitchers.org/"} +{"d:Title": "The Embroiderers' Guild Of Western Australia", "d:Description": "The guild aims to foster, preserve and extend the ancient art of embroidery in all its forms and to maintain a high standard of work.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.embroiderersguildwa.org.au/"} +{"d:Title": "The Embroiderers' Guild of South Australia Inc.", "d:Description": "To promote the practice, study and appreciation of embroidery in all its forms and to encourage excellence by practice and example.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.embguildsa.org.au/"} +{"d:Title": "The Embroiderers' Guild Queensland", "d:Description": "To promote, preserve and encourage all aspects of embroidery by providing a venue for embroiderers to meet, classes to be held and heirloom pieces to be collected.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.embroiderersguildqld.org.au/"} +{"d:Title": "Embroiderers Guild, Victoria", "d:Description": "A not-for-profit organization open to all lovers of embroidery and textiles, whether beginner or expert. Based in Victoria, Australia.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.embroiderersguildvic.org/"} +{"d:Title": "Association of New Zealand Embroiderers Guild", "d:Description": "To communicate, educate, promote and preserve the artistry and craftsmanship of embroidery and in doing so enjoy the fellowship of like-minded people.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.anzeg.org.nz/"} +{"d:Title": "Ottawa Valley Guild of Stitchery", "d:Description": "Non-profit organization that promotes needlework. Provides meetings, newsletters, workshops, library, and membership in the Embroiderers\u2019 Association of Canada.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.ovgs.ca/"} +{"d:Title": "Sun Region EGA", "d:Description": "Represents the chapters in Florida. Seminar information, chapter meeting times, membership information.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.sunregionega.org/"} +{"d:Title": "Dayton OH Chapter, Embroiderers' Guild of America", "d:Description": "Dayton Ohio Chapter, Embroiderers' Guild of America", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.freewebs.com/ega-dayton/index.htm"} +{"d:Title": "Great Lakes Region, Embroiderers' Guild of America", "d:Description": "Great Lakes Region, Embroiderers' Guild of America includes the EGA chapters in the US states of Indiana, Illinois, Michigan, Minnesota, Ohio, Wisconsin", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.ega-glr.org/"} +{"d:Title": "Embroiderer's Guild of America - Internet Chapter", "d:Description": "An Embroiderer's Guild of America chapter that strictly meets in cyberspace with an international membership promoting fellowship and education.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.cyberstitchers.org/"} +{"d:Title": "Embroiderers Guild of America - Philadelphia Chapter", "d:Description": "Non profit organization to promote the study and practice of needlework. Monthly meetings take place in Wayne, PA. Chapter events, membership information, newsletter.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.philaega.org/"} +{"d:Title": "Embroiderers' Guild UK", "d:Description": "Organization to share opportunities for discovery and creativity in embroidery. Workshops, other activities, library, branches.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.embroiderersguild.com/"} +{"d:Title": "Indian River Chapter, EGA", "d:Description": "Embroiderers' Guild of America. Non profit organization to promote the study and practice of needlework.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://indianriverega.org/"} +{"d:Title": "Vintage Stitchers Chapter of the EGA", "d:Description": "Local chapter of the Embroiderer's Guild of America (EGA). Information about current programs, meeting schedule, membership, newsletter.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.vintagestitchers.org/"} +{"d:Title": "The Embroiderers' Guild NSW Inc.", "d:Description": "Aims to encourage the art of embroidery while maintaining a high standard of design and technique in embroidery. NSW, Australia.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://embroiderersguildnsw.org.au/"} +{"d:Title": "Textile Study Group", "d:Description": "Aims to impart the satisfaction of working with fabric and threads, and the excitement of exploring the design process. Affiliated with the Embroiderers' Guild, UK.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://textilestudygroup.co.uk/"} +{"d:Title": "Brazilian Dimensional Embroidery International Guild", "d:Description": "International guild with information on chapters, activities, awards, and seminars.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Associations", "url": "http://www.bdeig.org/"} +{"d:Title": "Ferring Millennium Project", "d:Description": "The Millennium is celebrated in artistic form with many of Ferring's residents and societies creating tapestries to depict Ferring in West Sussex, England, at the turn of the century", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Community_Projects", "url": "http://www.ferringvillage.co.uk/millennium-project.htm"} +{"d:Title": "Kimpton Village - Millennium Tapestry", "d:Description": "Ideas for a millennium tapestry were first discussed in September 1997. The design is a triptych depicting the villages - its features and activities.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Community_Projects", "url": "http://www.kimptonvillage.tsohost.co.uk/tap.htm"} +{"d:Title": "The Overlord Embroidery", "d:Description": "The Overlord Embroidery is a unique 83-metre-long textile tribute to the sacrifice and heroism of those who took part in Operation Overlord, the Allied invasion of Normandy, on 6 June 1944.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Community_Projects", "url": "http://www.ddaymuseum.co.uk/d-day/d-day-and-the-overlord-embroidery"} +{"d:Title": "Sunbury Embroidery Gallery - The Millenium Embroidery", "d:Description": "This project is intended as a permanent, commemorative record which celebrates the ancient riverside village of Sunbury-on-Thames and its community at the turn of the year 2000.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Community_Projects", "url": "http://www.sunburygallery.org/"} +{"d:Title": "Kurenai-kai", "d:Description": "Japanese school in Chiba, Japan. Education, supplies, exhibits of stitching on obi and kimono.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.kurenai-kai.jp/"} +{"d:Title": "The Japanese Embroidery Center", "d:Description": "Georgia, USA, studio. Classes, supplies (fabrics, threads, tools and books) and a gallery.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.japaneseembroidery.com/"} +{"d:Title": "Japanese Temari", "d:Description": "Temari book author, Barbara B. Suess, shares temari photos by level of stitching, free temari patterns and book information.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.japanesetemari.com/"} +{"d:Title": "Japanese Embroidery UK", "d:Description": "Kurenai-Kai graduate authorized tutors, supplies, classes and inspiration in the UK.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.japaneseembroideryuk.com/"} +{"d:Title": "Bunka With Flair", "d:Description": "Japanese art of stichery with rayon thread and a punch needle. Kits, accessories and teacher referrals.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.bunkawithflair.com/"} +{"d:Title": "Yahoo Group: TemariChallenge", "d:Description": "Forum for exploring the techniques and designs of temari. Experienced stitchers help answer questions that go beyond the basics.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://groups.yahoo.com/group/TemariChallenge/"} +{"d:Title": "Yahoo Group: The Japanese Embroidery List", "d:Description": "A forum for students and teachers of the Japanese Embroidery Center in Georgia, USA.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://groups.yahoo.com/group/jec/"} +{"d:Title": "Wikipedia: Sashiko Quilting", "d:Description": "Information on a functional type of stitching used to decorate clothing as well as hold it together.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://en.wikipedia.org/wiki/Sashiko"} +{"d:Title": "Temari from Russia", "d:Description": "Traditional Japanese embroidered balls - gallery, patterns, articles. Site in Russian, but most pictures and diagrams are understandable without knowledge of Russian.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.temari.ru/"} +{"d:Title": "Studio Aika", "d:Description": "Sashiko design, instruction and gallery. Kofu (traditional fabric) gallery.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://designbyaika.com/"} +{"d:Title": "Bunka Craft Embroidery Company", "d:Description": "Designer and manufacturer of bunka embroidery kits. Also offering supplies and instruction in the art of Japanese bunka shishu.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.bunkacraft.com/page/page/5871357.htm"} +{"d:Title": "Temari Addict Australia", "d:Description": "Connect with temari stitchers and share resources in Australia.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://temari-addict-australia.blogspot.com/"} +{"d:Title": "TemariKai", "d:Description": "Yahoo discussion group companion site. Features patterns, resources, a how-to guide, community albums, and mailing list.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Japanese", "url": "http://www.temarikai.com/"} +{"d:Title": "Yahoo Group Silkribbon", "d:Description": "Yahoo group to discuss projects, share tips and techniques in a creative environment. All levels welcome.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Ribbon", "url": "http://groups.yahoo.com/group/silkribbon/"} +{"d:Title": "Threads for Africa", "d:Description": "Hand dyed embroidery thread and classes by Ria. Cape Town, South Africa.", "topic": "Top/Arts/Crafts/Needlework/Embroidery/Ribbon", "url": "http://threadsforafrica.yolasite.com/"} +{"d:Title": "The Caron Collection - Feature Column", "d:Description": "A good two part article on the history of hardangersom (hardanger) with pictures from sample from the Vesterheim Norwegian-American Museum.", "topic": "Top/Arts/Crafts/Needlework/Hardanger", "url": "http://www.caron-net.com/apr99files/apr99fea.html"} +{"d:Title": "Velona Needlecrafts", "d:Description": "Hardanger fabrics color chart.", "topic": "Top/Arts/Crafts/Needlework/Hardanger", "url": "http://www.velona.com/fabrics/wichelt/hardanger22c.html"} +{"d:Title": "American Needlepoint Guild, Inc.", "d:Description": "Lady Deborah Jean hardanger design.", "topic": "Top/Arts/Crafts/Needlework/Hardanger", "url": "http://www.needlepoint.org/WhatIs/1998/WorshamLady.php"} +{"d:Title": "Sew News Magazine", "d:Description": "Visit the Sew News Web site online home for the monthly fashion how-to magazine", "topic": "Top/Arts/Crafts/Needlework/Magazines_and_E-zines", "url": "http://www.sewnews.com/"} +{"d:Title": "Needlepoint Now", "d:Description": "Magazine dedicated to the craft. Back issues archive, free tips and projects, and online courses.", "topic": "Top/Arts/Crafts/Needlework/Magazines_and_E-zines", "url": "http://www.needlepointnow.com/"} +{"d:Title": "Cross Country Stitching", "d:Description": "Counted cross stitch country designs. Charts and projects detailed instructions, and easy to read charts", "topic": "Top/Arts/Crafts/Needlework/Magazines_and_E-zines", "url": "http://www.crosscountrystitching.com/"} +{"d:Title": "Threads", "d:Description": "Sewing magazine offering information on embellishment, design, materials, and techniques.", "topic": "Top/Arts/Crafts/Needlework/Magazines_and_E-zines", "url": "http://www.threadsmagazine.com/"} +{"d:Title": "Melissa Shirley Designs", "d:Description": "Graphic images of this designer's hand-painted designs in many styles. Includes shop listing and search engine.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.melissashirleydesigns.com/"} +{"d:Title": "Cooper Oaks Designs", "d:Description": "Hand-painted canvases adapted from the artwork of Susan Wallace Barnes, Jane Cather, Linda Ragno and Susan Verble Gantner.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.cooperoaksdesign.com/"} +{"d:Title": "Needlepoint For Fun", "d:Description": "Emphasis on information with articles, examples of canvases from many designers and where to find them.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://needlepoint-for-fun.com/"} +{"d:Title": "Monomania Needlepoint Design", "d:Description": "Artist Geoff Smith creates canvases starting from original designs or from customer's photographs or ideas. Gallery features Christmas stockings and many examples of contemporary and whimsical designs.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.monomania.ca/"} +{"d:Title": "Wonderful Stitches", "d:Description": "Stitch samples and diagrams, free projects, small quilt pattern charts and reference information.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.needlework.com/html/daisybees.html"} +{"d:Title": "Red Thread Designs", "d:Description": "Gallery of canvases including tool tote bags, kimonos and other Oriental designs.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.redthreaddesigns.com/"} +{"d:Title": "In Good Company", "d:Description": "Gallery of canvases, mostly ornaments, especially mini-socks, organized by category. Contains information on computer printing of designs onto canvas.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.needlepointbyingoodcompany.com/"} +{"d:Title": "JP Needlepoint", "d:Description": "Gallery of designs, classified by subject.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.cc.utah.edu/~nahaj/needlepoint/twopassstitches.html"} +{"d:Title": "Jan Haag", "d:Description": "Needleartist with pictures and explanations of her original designs.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://janhaag.com/NPtextileart.html"} +{"d:Title": "Sharon G.", "d:Description": "Gallery, tips and information on these hand-painted canvases.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.sharong.com/"} +{"d:Title": "Margaret's Needlepoint Blog", "d:Description": "Diary with pictures of projects in progress and completed.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.cranecottage.com/stitchingblog/"} +{"d:Title": "Gay Ann Rogers", "d:Description": "Gallery of designs and biography.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.gayannrogers.com/"} +{"d:Title": "Petit Point", "d:Description": "A patrimonial Tapestry of France through textile art and petit point. Architectural heritage from Madam de Maintenon.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.petitpoint.fr/petitpoint.us.html"} +{"d:Title": "Nuts about Needlepoint", "d:Description": "Stitcher's blog, book and product reviews, technique articles, and designer's profiles in this frowing site from Janet M. Perry", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.nuts-about-needlepoint.com/"} +{"d:Title": "Napa Needlepoint", "d:Description": "Stitch guides for hand-painted canvases and projects in many techniques including Bargello, Blackwork, and cross stitch from California designer, Janet M Perry", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.napaneedlepoint.com/"} +{"d:Title": "The Chilly Hollow Needlepoint Adventure", "d:Description": "Diary, articles on techniques, links to shopping idea.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://chillyhollownp.blogspot.com/"} +{"d:Title": "Amanda Lawford", "d:Description": "Hand-painted needlepoint designs. Provides a profile and retailer locations.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint", "url": "http://www.amandalawford.com/"} +{"d:Title": "American Needlepoint Guild", "d:Description": "Non profit organization for needlepoint (including most handwork on evenweave). Events, national and chapter information, \"Needle Pointers\" magazine, correspondence courses, certification for teaching and judging.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint/Associations", "url": "http://www.needlepoint.org/"} +{"d:Title": "San Diego Chapter of the American Needlepoint Guild (ANG)", "d:Description": "Non profit organization dedicated to needle arts.", "topic": "Top/Arts/Crafts/Needlework/Needlepoint/Associations", "url": "http://www.sdang.org/"} +{"d:Title": "FreePatterns.com", "d:Description": "Features patterns in crochet, cross-stitch, quilting, crafts, plastic canvas and knitting. Requires registration.", "topic": "Top/Arts/Crafts/Needlework/Patterns", "url": "https://www.freepatterns.com/"} +{"d:Title": "RareAir Designs II", "d:Description": "Portfolio of fiberart and needlework by C. Sisson, tips and tutorials, guest artists. Non-traditional needlework techniques and designs. Gallery of designs.", "topic": "Top/Arts/Crafts/Needlework/Personal_Pages", "url": "http://www.rareairdesigns2.8k.com/"} +{"d:Title": "Lucellan Living", "d:Description": "Gallery of the needleworker's works in beadwork and needlepoint.", "topic": "Top/Arts/Crafts/Needlework/Personal_Pages", "url": "http://lucellan.com/"} +{"d:Title": "Sandy Rodgers", "d:Description": "Offers needlepoint patterns, books, and classes designed by the expert in silk and metal threads.", "topic": "Top/Arts/Crafts/Needlework/Personal_Pages", "url": "http://www.sandyrodgers.com/"} +{"d:Title": "Rose's Personal Sewing Pages", "d:Description": "Personal portfolio of a sewist. A growing gallery of finished projects in home decor and tote bags.", "topic": "Top/Arts/Crafts/Needlework/Personal_Pages", "url": "http://rkish.tripod.com/sewing-index.html"} +{"d:Title": "Hanky Bouquet", "d:Description": "Extensive virtual museum for all types of handkerchiefs from all over the world.", "topic": "Top/Arts/Crafts/Needlework/Personal_Pages", "url": "http://www.hankybouquet.net/"} +{"d:Title": "Mr X Stitch", "d:Description": "A blog on contemporary embroidery and needlecraft.", "topic": "Top/Arts/Crafts/Needlework/Personal_Pages", "url": "http://mrxstitch.com/"} +{"d:Title": "SewingEvents.com", "d:Description": "Sewing, quilting, and embroidery education in locations around the country.", "topic": "Top/Arts/Crafts/Needlework/Residential_Courses", "url": "http://www.sewingevents.com/"} +{"d:Title": "Stitcher's Hideaway", "d:Description": "Needlework retreats in New England featuring top designers. Includes instructor profiles, schedules, projects, and registration forms.", "topic": "Top/Arts/Crafts/Needlework/Residential_Courses", "url": "http://www.stitchershideaway.com/"} +{"d:Title": "The Rug Hookers Network", "d:Description": "Resources for the fiber art enthusiast to locate teachers, suppliers, designers and see projects submitted by viewers.", "topic": "Top/Arts/Crafts/Needlework/Rugmaking", "url": "http://www.rughookersnetwork.com/"} +{"d:Title": "The Latch Hook Page", "d:Description": "How to make rugs using the latch hook. Step by step instructions and photos with sample rugs illustrated.", "topic": "Top/Arts/Crafts/Needlework/Rugmaking", "url": "http://www.angelfire.com/la/latchhook/"} +{"d:Title": "Rug Hooking by Elizabeth Black", "d:Description": "Photos of custom designed pieces by Elizabeth Black and future seminar information.", "topic": "Top/Arts/Crafts/Needlework/Rugmaking", "url": "http://www.angelfire.com/ok3/EBLACKDESIGN/"} +{"d:Title": "Yahoo Groups: RaggedyRugs", "d:Description": "Forum group of rag ruggers from across the country. Offers an open forum for questions and discussion of the craft.", "topic": "Top/Arts/Crafts/Needlework/Rugmaking", "url": "http://groups.yahoo.com/group/raggedyrugs/"} +{"d:Title": "Shirley's Rugmaking", "d:Description": "Hooked and prodded rugs. Free instructions and photographs.", "topic": "Top/Arts/Crafts/Needlework/Rugmaking", "url": "http://website.lineone.net/~shirleyslater/"} +{"d:Title": "Leftsource.com", "d:Description": "Offers to create your own custom latch hook project from pictures online. Tips and instructions.", "topic": "Top/Arts/Crafts/Needlework/Rugmaking", "url": "http://www.leftsource.com/"} +{"d:Title": "Free Applique Patterns", "d:Description": "Offers free wearable applique patterns for apparel. Includes instructions.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.freeapplique.com/"} +{"d:Title": "Southern Stitches", "d:Description": "Instructions for handmade clothing for infants and children and machine embroidery designs.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.southern-stitches.com/"} +{"d:Title": "Sew What's New", "d:Description": "Online community for sewers and quilters. Includes tips, free patterns and ideas.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.sew-whats-new.com/"} +{"d:Title": "Sew USA", "d:Description": "Offers information about sewing machines: threading diagrams, owners manuals, repair instructions.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.sewusa.com/"} +{"d:Title": "Sewing at AllCrafts", "d:Description": "More than 40 free patterns, techniques and links.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.allcrafts.net/sewing.htm"} +{"d:Title": "Somewhat Stretchy", "d:Description": "How to draft sewing patterns for various styles of a custom-fit catsuit, plus a few other projects, including some very simple unrelated winter garment projects in fleece.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.stretchy.org/"} +{"d:Title": "Hip Line Media and Sew/Fit", "d:Description": "Instructional and sewing projects on DVDs, plus the Sew/Fit method on making patterns fit.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.hiplinemedia.com/"} +{"d:Title": "Alternative Windows", "d:Description": "Offers illustrated instructions on making basic soft furnishings. Features a discussion forum.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.alternative-windows.com/"} +{"d:Title": "Unique Patterns Design Ltd.", "d:Description": "A membership pattern company to help you find patterns that fit.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.uniquepatterns.com/"} +{"d:Title": "Everything Sewing", "d:Description": "Smocking and sewing projects and how to's.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.everythingsewing.net/"} +{"d:Title": "Sew What!", "d:Description": "Sewing company that offers custom home decor such as slipcovers, window treatments, kids furniture, and baby bedding. Slipcover classes also taught. Located in Peoria, AZ.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.sewwhat4u.com/"} +{"d:Title": "Sewing for Belly Dance", "d:Description": "Patterns, designs and techniques in the costumes I have made so far. This site will provide basic information for beginner sewers who dance.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.freewebs.com/sewfordance/"} +{"d:Title": "Sewing Machines and Patterns Review", "d:Description": "Sewing machines reviewed and online classes, plus store, merchant gallery and message board.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://sewing.patternreview.com/"} +{"d:Title": "Fashion CAD", "d:Description": "Pattern making software system for home dressmaking. Australia.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.cadcam.solutionsaustralia.com.au/Sewing-Dressmaking.htm"} +{"d:Title": "Cute Underwear Making", "d:Description": "Gallery of men's underwear decorated with lace and ribbon. Instructions for sewing boxer and bikini with free patterns.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://underwear.sew-ing.com/"} +{"d:Title": "Bra-makers Supply", "d:Description": "Bra making information, fabrics, supplies, classes, resources and designers.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "https://www.bramakerssupply.com/"} +{"d:Title": "Sewing from Better Homes and Gardens", "d:Description": "Directory of sewing terms and directions for free projects.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.bhg.com/crafts/sewing/"} +{"d:Title": "BurdaStyle", "d:Description": "Offers copyright-free sewing patterns, step-by-step tutorials, and allows users to upload and share their work. Also includes a forum.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "http://www.burdastyle.com/"} +{"d:Title": "Life of A Fairy Bra Mother Blog", "d:Description": "About bra design, draft, fit and construction by Beverly V Johnson.", "topic": "Top/Arts/Crafts/Needlework/Sewing", "url": "https://braandcorsetsupplies.com/life-fairy-bra-mother-blog/"} +{"d:Title": "Simply Furnishings", "d:Description": "A practical soft furnishings and interior design resource offering step-by-step sewing guides, interior design masterclass, tips and ideas for beginners, a UK supplier directory and useful links.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.simplyfurnishings.com/"} +{"d:Title": "The Sewing Institute", "d:Description": "Offers instructional sewing programs for men, women and children: courses in Clothing Construction, Wearable Art, Needle-Arts and Home D\u00e9cor.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://thesewinginstitute.com/"} +{"d:Title": "Sewing with Pride", "d:Description": "Sewing classes and pattern workshops based on the easy to use Lutterloh Pattern System. Business opportunity also available to teach sewing from home. In New Zealand.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.dressmaking.co.nz/"} +{"d:Title": "Jane Foster's Design Studio", "d:Description": "Offers classes in sewing covering special fitting, garment construction, skirts and wearable art.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://janefosterdesign.com/"} +{"d:Title": "Sewing Tuition with Sue Hazell", "d:Description": "Sewing classes to learn or improve skills. Held year-round in Oxford, London and Sheffield, Great Britain.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.sewing-tuition.co.uk/"} +{"d:Title": "Sewin Asylum", "d:Description": "Sewing and serger classes for adults and children. Also sell supplies, notions and sergers. Located in Peoria, Arizona.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.sewinasylum.com/"} +{"d:Title": "Vikki Leigh Martin's Sewing Classes", "d:Description": "Vikki Leigh Martin holds sewing and millinery classes for students of all levels in Southland Australia.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.sewingclasses.com.au/"} +{"d:Title": "Making It Sew Fun", "d:Description": "Basic to advanced sewing classes and instruction for adults, teens and kids in Chicago.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.makingitsewfun.com/"} +{"d:Title": "Fun 2 Sew", "d:Description": "Martha Pullen heirloom sewing technique. Teaching the use of the Brother, Babylock, Bernina, Pfaff and Viking machines and their respective software. Located in Fountain Valley, California.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.fun2sew.com/"} +{"d:Title": "The Silk Pincushion", "d:Description": "Sewing retreats or daily sewing classes. Beautiful fabrics and laces for sale. Located in Golden, Colorado.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://www.thesilkpincushion.com/"} +{"d:Title": "Slipcover America", "d:Description": "Education and information about how to make furniture covers. Karen Erickson travels around the country teaching classes in the art of slipcovering.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Classes_and_Projects", "url": "http://slipcoveramerica.com/"} +{"d:Title": "Ever After Costumes", "d:Description": "Photos and tips for making Daniel's Ever After costumes, gowns and dresses, including some for dolls.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Costumes", "url": "http://www.everaftercostumes.com/"} +{"d:Title": "Costumes and Clothing for Dolls", "d:Description": "Free patterns added weekly in many styles.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Costumes", "url": "http://www.bellaonline.com/subjects/4342.asp"} +{"d:Title": "Sewing -The About.com Guide", "d:Description": "Each week brings hints, tips, and simple, inexpensive projects to put your stash of fabric scraps to good use.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Directories", "url": "http://sewing.about.com/"} +{"d:Title": "Ken's Sewin' and Surfin' Page", "d:Description": "Sewing and embroidery related pages and newsgroups. Utilities for use with Pfaff Creative Designer for Windows. Massive link collection.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Directories", "url": "http://www.sewingwitch.com/sewing/"} +{"d:Title": "Bricodeco Jeditoo", "d:Description": "Large directory of many types of sewing sites.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Directories", "url": "http://bricodeco.jeditoo.com/couture/sewing.html"} +{"d:Title": "Sewing.org: Charitable Sewing", "d:Description": "Free patterns including adult bibs, isolette covers, and wheelchair totes.", "topic": "Top/Arts/Crafts/Needlework/Sewing/For_Charity", "url": "http://www.sewing.org/html/charitysew.php"} +{"d:Title": "Pillows4Patients", "d:Description": "Small pillows are made by teens in Scarsdale, NY and donated to local children's hospitals and treatment centers.", "topic": "Top/Arts/Crafts/Needlework/Sewing/For_Charity", "url": "http://pillows4patients.com/"} +{"d:Title": "Country Elegance", "d:Description": "Free designs from Christian owned and operated business specializing in craft pattern designs and kits.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://cynthia_baker.tripod.com/page4.html"} +{"d:Title": "Cookie's Creations", "d:Description": "Variety of free designs, project ideas, and schedule of events. Also offers a book.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://www.cookiescreations.com/"} +{"d:Title": "Zazzy Embroidery", "d:Description": "Designs, project pictures and other hobbies of interest. Also beginner digitizing and Noah's Ark set.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://zazzyemb.tripod.com/"} +{"d:Title": "M.E.O.W. Machine Embroiders of Oregon and Washington", "d:Description": "A non-profit, educational group comprised of persons interested in machine embroidery. Information about the organization, schedule of events, membership information.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://www.sewmeow.org/"} +{"d:Title": "EmbroideryTales", "d:Description": "Mailing list offers free designs, discussion, computer and software help, tips and hints, design search.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://groups.yahoo.com/group/00000EmbroideryTales/"} +{"d:Title": "Machine Embroidery Club", "d:Description": "A place for owners of Pfaff, Brother, Viking and New Home to talk about embroidery designs (free or for sale) and to exchange ideas.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://groups.yahoo.com/group/machineembroidery2/"} +{"d:Title": "Embroidery Stitches", "d:Description": "Free designs for download and a collection of links related to machine embroidery.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://www.stitch.com/"} +{"d:Title": "Starlight Dreams Machine Embroidery", "d:Description": "Free designs to download in PES format.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://starlightdreams.biz/"} +{"d:Title": "Secrets of Machine Embroidery", "d:Description": "Designs, projects, tutorials, Embird, threads, backings, freebies, tips and contact information.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://www.secretsof.com/embroiderytips/"} +{"d:Title": "EmbroideryDK", "d:Description": "Design club with downloads for paying members. Freebies and samples are available for non-members.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://www.embroiderydk.com/"} +{"d:Title": "Yahoo: Embracing Machine Embroidery", "d:Description": "Machine embroidery email list. Free embroidery designs for group members.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://groups.yahoo.com/group/EmbracingMachineEmbroidery/"} +{"d:Title": "Free Embroidery Stuff", "d:Description": "Includes stock designs, catalogs, software, coupons, classified ads, monthly sweepstakes, and trade journals for commercial embroiderers.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Machine_Embroidery", "url": "http://freeembroiderystuff.embroiderydesigns.com/"} +{"d:Title": "The American Sewing Guild", "d:Description": "A national non-profit organization dedicated to people who believe sewing is a rewarding and creative activity.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Organizations", "url": "http://www.asg.org/"} +{"d:Title": "Home Sewing Association", "d:Description": "Tips and trends, projects and membership information.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Organizations", "url": "http://www.sewing.org/"} +{"d:Title": "The Professional Association of Custom Clothiers", "d:Description": "Organization of custom clothiers, professional sewers, seamstresses, tailors and alterations specialists in home-based and commercial settings.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Organizations", "url": "http://www.paccprofessionals.org/"} +{"d:Title": "A Sewing Place", "d:Description": "Club for sewing enthusiasts from all over the world. Exchange ideas, tips, projects and chat.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Organizations", "url": "http://groups.yahoo.com/group/asewingplace2/"} +{"d:Title": "Tribe: Reconstructed Clothing", "d:Description": "For people who design and rock reconstructed clothing.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Organizations", "url": "http://reconstructedclothing.tribe.net/"} +{"d:Title": "Tribe: Plus Size Sewing", "d:Description": "For those of us who are plus size and enjoy sewing, knitting and creating clothes and items. Fat chicks can share tips, resources, links and review patterns for each other.", "topic": "Top/Arts/Crafts/Needlework/Sewing/Organizations", "url": "http://plussizesewing.tribe.net/"} +{"d:Title": "Book Art Museum", "d:Description": "Publisher of rare and artistic books, collection of old printing machines and equipment, paper mill, typefoundery, exhibitions, educational programs. In English and Polish.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.book.art.pl/"} +{"d:Title": "Incline Press", "d:Description": "A small, private press that prints limited-edition books by letterpress using a platen press and binds them by hand. Their books are usually illustrated, either with wood engravings or cuts, linocuts or line blocks, and are often hand-coloured with stencils", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.inclinepress.com/"} +{"d:Title": "Briar Press", "d:Description": "A searchable site includes an online letterpress museum and glossary, free downloadable eps images, and a directory of resources.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.briarpress.org/"} +{"d:Title": "Denis Gouey Bookbinding Studio", "d:Description": "Bookbinding design and restoration of books as well as preservation and presentation of important documents.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.bookbinding.com/"} +{"d:Title": "Binding Time and Space", "d:Description": "Examining a Renaissance Italian Manuscript in the Computer Age.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://loki.stockton.edu/~kinsellt/litresources/binding/latin13/intro.html"} +{"d:Title": "The Collector's Guide", "d:Description": "Conserving works in paper.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.collectorsguide.com/fa/fa010.shtml"} +{"d:Title": "Ellen and Reed's Handcrafted Books", "d:Description": "Handcrafted notebooks, journals, and photographs. Hardcover books plus books with leather and wooden covers. Located in Bellingham, Washington.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.openaccess.org/~rebooks/"} +{"d:Title": "The St. John's Bible", "d:Description": "Being created by scribes in a scriptorium in Wales, the St. John's Bible will be the first handwritten, illuminated Bible in the modern era.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.saintjohnsbible.org/"} +{"d:Title": "Bay Area Book Arts", "d:Description": "Provides resources for San Francisco area book artists, including a calendar, list of organizations, and directory of local stores and classes.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://sfbookarts.com/"} +{"d:Title": "Book Arts Web", "d:Description": "Reference site for all the book arts with tutorials, links, publications, galleries. Home page of the Book_Arts-L listserv.", "priority": "1", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.philobiblon.com/"} +{"d:Title": "If'n Books and Marks", "d:Description": "Handmade by Debora Dormody at a small bindery in Rhode Island.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.ifnbooks.com/"} +{"d:Title": "Colophon Page", "d:Description": "Internet community of collectors, dealers, creators and lovers of the fine publishing arts.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.colophon.com/"} +{"d:Title": "Making Books with Susan Kapuscinski Gaylord", "d:Description": "Tips and projects for teachers, parents, and children.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.makingbooks.com/"} +{"d:Title": "Personal Visions: Artists' Books at the Millennium: An Exhibitiion", "d:Description": "An exhibition of artists' books from the collection of the University of Delaware's Special Collections Department. The web exhibit mirrors an actual exhibition on view from January 15 until June 9, 2002.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.lib.udel.edu/ud/spec/exhibits/artistsbook/index.htm"} +{"d:Title": "Boxcar Press", "d:Description": "Boxcar Press publishes letterpress printed books and broadsides. Offers printing and photopolymer services to meet the needs of artists, designers, and others who love fine printing.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "https://www.boxcarpress.com/"} +{"d:Title": "Books By Hand", "d:Description": "Handcrafted wooden-bound books and albums, some inset with an ammonite or Whitby jet.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.booksbyhand.co.uk/"} +{"d:Title": "Gracia Haby and Louise Jennison", "d:Description": "This site offers artists' books created by two contemporary visual artists based in Melbourne, Australia.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.gracialouise.com/"} +{"d:Title": "Book Sequence Gallery", "d:Description": "Contains photo-based bookworks and photographs by Canadian artist Peter Sramek, as well as his students and other artists.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.sramek.ca/"} +{"d:Title": "Havilah Press", "d:Description": "Site highlighting the work, activities, and projects of a private press in the San Francisco Bay Area which focuses on letterpress printing with handpresses, unique editions, and hand bookbinding.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.havilahpress.com/"} +{"d:Title": "Priscilla Foster Handmade Books", "d:Description": "Priscilla Foster handmade books handcrafts fine custom scrapbooks, photo albums, wedding albums, baby books, writing journals and other custom albums.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://priscillafoster.com/"} +{"d:Title": "Foolscap Press - Bindery and Publishers", "d:Description": "A small letterpress printing, hand binding and publishing company. Publish limited edition handmade books and do custom printing, binding and portfolio case construction.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.foolscappress.com/"} +{"d:Title": "Paper Birds", "d:Description": "Handmade books and boxes made by book artist Joanne Kluba.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.paperbirds.com/"} +{"d:Title": "Sutton Hoo Press", "d:Description": "A literary fine art press, publishes contemporary literature, poetry and fiction in hand bound, letterpress limited editions.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://suttonhoopress.com/"} +{"d:Title": "Book Arts Jam", "d:Description": "The yearly Book Arts Jam features the work of more than 50 book artists, zine publishers, letterpress printers, fine binders and printmakers. The Jam takes place every fall on the campus of Foothill College in Los Altos Hills, CA.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.bookartsjam.org/"} +{"d:Title": "Dreaming Mind Handmade Books", "d:Description": "Don Drake of Dreaming Mind creates unique handmade journals, albums, guest books, and appointment books. Creator of the True Step binding system.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.dreamingmind.com/"} +{"d:Title": "Lark Sparrow Press", "d:Description": "Lark Sparrow is a private press dedicated to contemporary works of fiction and poetry.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.larksparrowpress.com/"} +{"d:Title": "Ergo Pers", "d:Description": "Publisher of books and editions of French and English poetry.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.artistsbooks.be/"} +{"d:Title": "Ukulele Books", "d:Description": "Features a series of artist books made out of ukuleles by Peter and Donna Thomas.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.baymoon.com/~ukulelebooks/"} +{"d:Title": "Bridal Bookquet", "d:Description": "Features the flower-shaped books the Bay Area Book Artists (BABA) made for a bridal wedding bookquet.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://bayimages.net/bridal-bookquet/"} +{"d:Title": "Karen Hanmer", "d:Description": "A gallery of installation, rebound, and altered books using a variety of materials and binding techniques.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.karenhanmer.com/"} +{"d:Title": "Susan Angebranndt Books", "d:Description": "Gallery of handbound artist and illustrated books.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.greenchairpress.com/"} +{"d:Title": "Bookbinding and Royal Bindings", "d:Description": "Paul Tronson, A master bookbinder, restores antiquarian books, bibles and objects to period using original tools and techniques.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://periodfinebindings.typepad.com/"} +{"d:Title": "CaiLun Info", "d:Description": "Paper and book making resources, news, supplies, information, tools, techniques, and artists.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.cailun.info/"} +{"d:Title": "Artists Books", "d:Description": "Background material to the development of book printing, type and the printing press. There are sections on both the fine printing of the private press movement and experimentation of book art.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.aragopress.arago.co.uk/"} +{"d:Title": "Confessions Of A Bookplate Junkie", "d:Description": "A weblog by Lew Jaffe about collecting bookplates. Their design, history, and information about bookplates.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://bookplatejunkie.blogspot.com/"} +{"d:Title": "Lost Crafts: The Printed Book", "d:Description": "Contents of The Printed Book by Harry G. Aldis Cambridge at the University Press printed in 1916.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://www.lostcrafts.com/Printed-Book/Book-Main.html"} +{"d:Title": "Accent on Images", "d:Description": "Online exhibition showcasing illustrated books in modern foreign languages from the fifteenth through the twentieth centuries selected from the collections of the Libraries of The Claremont Colleges.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://voxlibris.claremont.edu/sc/exhibits/accent/Lo_AccentonImages.htm"} +{"d:Title": "HFGroup - Acme Bookbinding", "d:Description": "Family owned full service bindery business based in Charlestown, Massachusetts. Sells bindery, digital, and custom bindery services.", "topic": "Top/Arts/Crafts/Paper/Book_Arts", "url": "http://acmebinding.com/"} +{"d:Title": "Hazell Designs Books", "d:Description": "Rachel Hazell is a book artist, who writes, prints and binds books.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.hazelldesignsbooks.co.uk/"} +{"d:Title": "Andrew Eason", "d:Description": "Gallery of artists' books. Includes several complete books and surveys of others. Also includes links, prices and contact details.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.andreweason.com/"} +{"d:Title": "Alice M. Vinson", "d:Description": "Artist books, mini artist books, journals, sketch books, and fine art photography.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.alicevinson.com/"} +{"d:Title": "Zephyrine Press", "d:Description": "Artist Jocelyn Bergen limited edition handmade books. Folded paper structures including concertinas and flexagons.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.zephyrine.com/"} +{"d:Title": "Artists Books Online", "d:Description": "Sells books by artists who make one off or small limited editions of books.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.artistsbooksonline.com/"} +{"d:Title": "Tara Bryan, artist", "d:Description": "Artists' books and paintings, as well as CV and contact information.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.tarabryan.com/"} +{"d:Title": "Laura Davidson", "d:Description": "Book artist and painter using mixed media techniques, creates paintings, and sculpture limited edition books.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.lauradavidson.com/"} +{"d:Title": "Kara Sjoblom", "d:Description": "San Francisco bay area artist books, zines and other artistic endeavors.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.karasjoblom.com/"} +{"d:Title": "Mystical Places Press", "d:Description": "Publishes limited edition handcrafted miniature and non-miniature artist books by Jill Timm.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.mysticalplaces.com/"} +{"d:Title": "Judith Hoffman", "d:Description": "Artist's books made of metal, paper and found objects.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://judithhoffman.net/"} +{"d:Title": "Kate Raffin Hand Bound Books", "d:Description": "Sydney, Australia book artist who specializes in photo and wedding albums, journals, address, recipe, sketch and guest books.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.kateraffin.com/"} +{"d:Title": "Eric Alstrom | BookWorks", "d:Description": "Gallery of fine bindings and artists books. Also workshop descriptions, sample workshop instructions. contact info and links. By Eric Alstrom.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://webalstrom.ftml.net/bookworks/index.html"} +{"d:Title": "Springtide Press", "d:Description": "A portfolio of artist's books and fine printing by Jessica Spring including graphic design, letterpress printing and bookbinding.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.springtidepress.com/"} +{"d:Title": "Nicole Andersen Book Arts", "d:Description": "Includes examples of the custom, hand bound albums, portfolios, and boxes they create.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.nabookarts.com/"} +{"d:Title": "Flying Fish Press", "d:Description": "Press established by book art educator and book artist Julie Chen. The press focuses on the design and production of limited edition artists books with an emphasis on three-dimensional and movable book structures and fine letterpress printing.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.flyingfishpress.com/"} +{"d:Title": "Gracie Dobush", "d:Description": "A gallery and shop featuring hand-bound books and other items created by Grace Dobush, a journalism student, bookbinder and printmaker from Ohio.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://gracedobush.com/"} +{"d:Title": "David Esslemont", "d:Description": "Artist, designer, printer and bookbinder who publishes fine books and prints in limited editions. Commissions accepted. Decorah, IA.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://www.solmentes.com/"} +{"d:Title": "Paper Ponderings", "d:Description": "Book artist - calligraphy, Letterpress and artist books. Fiona Dempster. Maleny QLD.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Book_Artists", "url": "http://paperponderings.blogspot.com/"} +{"d:Title": "Valley Ridge Art Studio", "d:Description": "Provides workshops, classes, and creative resources for book arts, bookmaking, bookbinding, handmade paper, photography, and writing.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Education", "url": "http://www.valleyridgeartstudio.com/"} +{"d:Title": "Popular Kinetics Press", "d:Description": "Offers instructions on making pop-up books and cards, ideas for making tunnel books,and information on book artist Carol Barton.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Education", "url": "http://www.popularkinetics.com/"} +{"d:Title": "University of Alabama", "d:Description": "Offering an M.F.A in the book arts.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Education", "url": "http://www.bookarts.ua.edu/"} +{"d:Title": "Douglas W. Jones on Bookbinding", "d:Description": "Bookbinding a tutorial from the center for the book at the University of Iowa.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Education", "url": "http://homepage.cs.uiowa.edu/~jones/book/"} +{"d:Title": "Camberwell College of Arts", "d:Description": "Programs of study at the college include an MA in book arts.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Education", "url": "http://www.arts.ac.uk/camberwell/"} +{"d:Title": "Guild of Book Workers", "d:Description": "GBW is the national non-profit organization for book arts. It sets national standards for bookbinders and provides information on bookbinding, printing, conservation, marbling, calligraphy, and papermaking. US based.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.guildofbookworkers.org/"} +{"d:Title": "Canadian Bookbinders and Book Artists Guild", "d:Description": "Supports the development of the book arts in Canada. Bookbinding, artists' books, papermaking, calligraphy, letterpress printing and typography, wood engraving, paper decorating, restoration, and conservation.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.cbbag.ca/"} +{"d:Title": "The Center for Book Arts", "d:Description": "Dedicated to the traditional craft of book-making, as well as contemporary interpretations of the book as an art object. New York, NY.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://centerforbookarts.org/"} +{"d:Title": "American Printing History Association", "d:Description": "Devoted to the study of printing history and its related arts, including calligraphy, typefounding, typography, papermaking, bookbinding, illustration, and publishing.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.printinghistory.org/"} +{"d:Title": "San Francisco Center for the Book", "d:Description": "The Center offers book arts classes, lectures and exhibitions for bay area artists.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.sfcb.org/"} +{"d:Title": "Caxton Club of Chicago", "d:Description": "An organization of authors, binders, collectors, conservators, dealers, designers, editors, librarians, publishers, and scholars who share a common interest in the arts pertaining to the production of books. Highlights the history, monthly meetings and events, and book-related resources.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.caxtonclub.org/"} +{"d:Title": "Book Works", "d:Description": "Book Works is non-profit organisation based in London. Their mission is to commission and produce new books in collaboration with contemporary artists and writers.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.bookworks.org.uk/"} +{"d:Title": "Private Presses of New Mexico", "d:Description": "Two centuries of literary history in New Mexico's private press movement. Features online exhibits, gallery guide, and educational materials.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.privatepress.org/"} +{"d:Title": "Miniature Book Society (MBS).", "d:Description": "This international nonprofit organization\u2019s Web site provides brief background information on miniature books and offers articles, miniature book publishers and dealers\u2019 listings, MBS membership information, and details about the annual Miniature Book Conclave.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.mbs.org/"} +{"d:Title": "Booklyn Artists Alliance", "d:Description": "Artist-run organisation organises exhibitions,publications and a touring \"Bookmobile\". Offers consultancy on developing collections of artists' books to institutions.Links to members and collecting institutions.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.booklyn.org/"} +{"d:Title": "Cincinnati Book Arts Society", "d:Description": "News, events and exhibits of the Cincinnati Book Arts Society. Visiting book artist lectures and workshops.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.cincinnatibookarts.org/"} +{"d:Title": "Hand Bookbinders of California", "d:Description": "The HBC was started in 1972 for those with interest in creating and collecting fine bindings. The group offers workshops, lectures, social activities and exhibitions.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.handbookbinders.org/"} +{"d:Title": "Multiple Art Days", "d:Description": "A fair devoted to contemporary art editions in all its forms. Performances, conversations and panel discussions on editorial trends in contemporary art with artists, writers, printers, curators, publishers, graphic designers, dealers and collectors from around the world.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.multipleartdays.com/"} +{"d:Title": "ARA Canada", "d:Description": "Canadian group promoting art bookbinding. French-Canadian site.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "http://www.aracanada.org/index_en.html"} +{"d:Title": "BC Lower Mainland CBBAG", "d:Description": "A chapter of the Canadian Bookbinders and Book Artists Guild.", "topic": "Top/Arts/Crafts/Paper/Book_Arts/Organizations", "url": "https://vanbookarts.wordpress.com/"} +{"d:Title": "Decoupage Guild Australia Inc.", "d:Description": "Featuring information regarding decoupage in Australia and around the world, upcoming exhibitions, meetings, newsletters, and details of how to join.", "topic": "Top/Arts/Crafts/Paper/Decoupage", "url": "http://www.decoupage.com.au/"} +{"d:Title": "The National Guild of Decoupeurs", "d:Description": "Photos of members' pieces along with membership and trade information.", "topic": "Top/Arts/Crafts/Paper/Decoupage", "url": "http://decoupage.org/"} +{"d:Title": "Origami World", "d:Description": "Includes image gallery, list of original models, traditional model diagrams, news, and resources.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.origami-world.de/"} +{"d:Title": "Envelope and Letterfolding", "d:Description": "Definition, history, and diagrams on how to fold mailable letters and envelopes.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.ghh.com/elf/"} +{"d:Title": "Jasper's Origami Menagerie", "d:Description": "A collection of diagrams and photos with a section dedicated to various types of animals. Includes a picture link index to diagrams of models on other websites.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.folds.net/"} +{"d:Title": "Anools-Origami", "d:Description": "Overview of the art and a gallery of personally folded items, including some very small models. Origami tools and related links.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://anools-origami.tripod.com/"} +{"d:Title": "Matthias Gutfeldt: Alpenfalten", "d:Description": "Collection of diagrams and image galleries of original models as well as other designers. Includes book list and reviews, convention highlights, and related links.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.bboxbbs.ch/home/tanjit/english/index.htm"} +{"d:Title": "Marc Kirschenbaum's Origami", "d:Description": "Original model diagrams, articles, resources and related links.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://marckrsh.home.pipeline.com/"} +{"d:Title": "Origami Gallery by Sebastian Kirsch", "d:Description": "Photographs of various completed models: animals, masks, geometric shapes, oversized folds, and tessellations.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://sites.inka.de/moebius/origami/"} +{"d:Title": "Joseph Wu's Origami", "d:Description": "Gallery of original works and models by others. Includes articles and original diagrams as well as a database of online models and links.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.origami.as/"} +{"d:Title": "OriLand", "d:Description": "Dozens of diagrammed models, some products for sale.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.oriland.com/"} +{"d:Title": "Anne's Origami", "d:Description": "Information on MIT's origami mailing list, with archives. Also a list of books owned, some with pictures, table of contents, and reviews.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://web.mit.edu/lavin/www/origami/"} +{"d:Title": "Oribina", "d:Description": "Gallery of folded paper dolls, known as the art of Oribina.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://origamidolls.tripod.com/"} +{"d:Title": "Exploratorium Magazine: Paper", "d:Description": "A set of articles on origami, including instructions for making paper airplanes and handmade paper.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.exploratorium.edu/exploring/paper/"} +{"d:Title": "K's Origami", "d:Description": "Provides animated step-by-step instructions, photographs, articles, and links to related sites.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://origami.ousaan.com/"} +{"d:Title": "Origami Instructions", "d:Description": "Learn how to make origami items, including simple items for kids. Includes history of paper folding and dollar bill origami.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.origami-instructions.com/"} +{"d:Title": "Sprite!'s Origami", "d:Description": "Illustrated instructions on folding the traditional crane, and some book reviews.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.cs.cmu.edu/~sprite/Origami/origami.html"} +{"d:Title": "Robert J. Lang Origami", "d:Description": "Model gallery, information on the scientific application of origami, copyright and choosing paper. Also home to the TreeMaker diagramming program.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.langorigami.com/"} +{"d:Title": "Jacob Ewing's Origami", "d:Description": "Collection of models designed and folded by Jacob Ewing.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.diagrami.com/"} +{"d:Title": "Letterfu", "d:Description": "Instructions for folding letters from printable templates.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.letterfu.com/"} +{"d:Title": "Lar's Origami Gallery", "d:Description": "Features different kinds of origami. Also displayed are origami-themed caricatures and cartoons.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://lar.5u.com/larigami.htm"} +{"d:Title": "Saadya-net", "d:Description": "Slideshow of sculptural origami by Saadya Sternberg, featuring masks, animals and curves.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.saadya.net/"} +{"d:Title": "Gilad's Origami Page", "d:Description": "Illustrated origami book reviews, a model gallery, diagrams and articles. Also includes a guide to wet-folding.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.giladorigami.com/"} +{"d:Title": "Creaselightning Origami", "d:Description": "Mark Bolitho's origami portfolio includes single models made from paper and other materials, as well as composite pieces of varying scale.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.creaselightning.co.uk/"} +{"d:Title": "Green Fuse Films - Exploring Origami", "d:Description": "Includes images from a forthcoming documentary film on origami as art and science, as well as a video on the Israeli Origami Center's Origametria program.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.greenfusefilms.com/"} +{"d:Title": "Origami Resource Center", "d:Description": "Contains information regarding many aspects of origami including history, health and educational benefits, Sadako, types of origami and an easy origami section for kids.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.origami-resource-center.com/"} +{"d:Title": "Jason Ku's Origami Page", "d:Description": "Gallery of models, mostly animals. Also includes some money folds.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://scripts.mit.edu/~jasonku/"} +{"d:Title": "Erik Demaine", "d:Description": "MacArthur Fellow and Alfred P. Sloan Research Fellow applies origami folding techniques to science.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://erikdemaine.org/"} +{"d:Title": "Peter Budai's Origami", "d:Description": "Beginner to intermediate diagrams, illustrations of infinite folds, articles and a glossary of origami symbols.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.budaiorigami.hu/"} +{"d:Title": "Origami and Mental Health Therapy", "d:Description": "Discusses the use of origami as a choice of therapeutic tool relating to mental health. Origami links to benefits, history and pictures also included.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://sites.google.com/site/origamimind/"} +{"d:Title": "Mike's Origami", "d:Description": "Diagrams, storybook, diagram database and an origami murder mystery to solve.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://webspace.webring.com/people/gn/numbojumbo/"} +{"d:Title": "Photuki Origami Photo Cube Software", "d:Description": "A program that generates printable waterbomb templates from photos, which can then be cut and folded into cubes and other forms.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://modulareality.org/photuki/index.htm"} +{"d:Title": "Sy's Paper Folding", "d:Description": "Original models with photos and diagrams.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://freedomi.brinkster.net/Sy/"} +{"d:Title": "TP Kong's Origami", "d:Description": "Picture gallery of personal work, easy to follow diagrams, newly developed models.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.angelfire.com/sc3/tp_kong/"} +{"d:Title": "Dave's Origami Emporium", "d:Description": "Diagrams of traditional, modular, money, and original designs. Articles, book and software lists, and origami inspired ray-tracing.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.davidpetty.me.uk/"} +{"d:Title": "Shaya's Origami Gallery", "d:Description": "Pictures of origami animals, insects, sea creatures, dragons, and other things folded by the site owner.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.blargg.com/"} +{"d:Title": "Star Wars Origami", "d:Description": "Photos and diagrams of various models inspired by the series. Includes links to other Star Wars models.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://origami.happymagpie.com/"} +{"d:Title": "Origami Kaleidoscope", "d:Description": "A variety of diagrams organised into three skill levels.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://ori500.free.fr/"} +{"d:Title": "Origami Kids", "d:Description": "Instructions including text, photos, and videos to make origami including boats, airplanes, flowers, and miscellaneous objects.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://www.origami-kids.com/"} +{"d:Title": "Origami Art", "d:Description": "Printable PDF instructions for a large variety of projects.", "topic": "Top/Arts/Crafts/Paper/Origami", "url": "http://origami-art.us/"} +{"d:Title": "West Coast Origami Guild", "d:Description": "Los Angeles, CA - Subscription information for its newsletter, and list of clubs in California, Washington and Oregon.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "http://www.folds.net/wcog/"} +{"d:Title": "Bay Area Rapid Folders (BARF)", "d:Description": "San Francisco, CA - Membership and newsletter back issue information, diagrams, gallery, and animations.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "http://www.barf.cc/"} +{"d:Title": "Chicago Area Origami Society (CHAOS)", "d:Description": "Chicago, IL - Location with map, articles, local stores, book list, and gallery.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "http://home.earthlink.net/~robertcubie/origami/chaos.html"} +{"d:Title": "Friends of Folding - Origami Edmonton", "d:Description": "Edmonton, Canada - Information, calendar and gallery. Hosts an annual folding festival since 1989.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "http://members.shaw.ca/friends.of.folding/home.html"} +{"d:Title": "The Origami Society of Toronto", "d:Description": "Toronto, Canada - Meeting location and schedule, photos of past events and diagrams.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "http://www.origamitoronto.org/"} +{"d:Title": "British Origami Society", "d:Description": "The society has over 600 members worldwide, publishes a bimonthly magazine, and has a unique library. Page includes diagrams, articles on theory and practice, and photo gallery.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "http://www.britishorigami.info/"} +{"d:Title": "OrigaMIT", "d:Description": "Cambridge, MA - Location and upcoming meetings, mailing list archive, club constitution, and links to member pages.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "http://origamit.scripts.mit.edu/"} +{"d:Title": "OrigamiUSA", "d:Description": "Membership information, upcoming events, model index, affiliate directory, e-mail list, lending library, diagrams, and online store.", "topic": "Top/Arts/Crafts/Paper/Origami/Associations", "url": "https://origamiusa.org/"} +{"d:Title": "Geometry Junkyard: Origami", "d:Description": "Resource listing of links for information about the relationship between origami and geometry.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://www.ics.uci.edu/~eppstein/junkyard/origami.html"} +{"d:Title": "Origami Tessellations", "d:Description": "Weblog by Eric Gjerde featuring tessellations, crease patterns, tessellation geometry, origami mathematics and paper folding in general.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://www.origamitessellations.com/"} +{"d:Title": "nuwen.net - Origami Polyhedra", "d:Description": "Illustrated directions for making four types of polyhedra.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://nuwen.net/poly.html"} +{"d:Title": "Meenakshi's Modular Mania", "d:Description": "Image Galleries of original modular models as well as picture links to other designs.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://www.origamee.net/"} +{"d:Title": "Teaching Mathematical Thinking Through Origami", "d:Description": "Suggestions for using origami to teach concepts in mathematics, with diagrams of models.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://faculty.purchase.edu/jeanine.meyer/origami/"} +{"d:Title": "Krystyna Burczyk's Origami", "d:Description": "Photographs of regular polyhedra models.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://www.from.okay.pl/burczyk/origami/"} +{"d:Title": "Modular Origami", "d:Description": "Images of modular origami models folded by Micha\u0142 Kosmulski. Model categories include: fractals and IFS, interesting mathematical objects, polyhedra and balls, spiked balls and stars.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://michal.kosmulski.org/origami/"} +{"d:Title": "Jim Plank's Origami: Modular", "d:Description": "Diagrams and gallery of many geometric models.", "topic": "Top/Arts/Crafts/Paper/Origami/Geometry_and_Modulars", "url": "http://web.eecs.utk.edu/~plank/plank/pics/origami/origami.html"} +{"d:Title": "Dollar Bill Ganesh (Elephant)", "d:Description": "Diagram for folding an elephant with a US dollar bill.", "topic": "Top/Arts/Crafts/Paper/Origami/Money_Folding", "url": "http://www.gootar.com/gravityboy/ganesh/origami-dollar-elephant.php"} +{"d:Title": "Hecht's Web Site: Origami Stuff", "d:Description": "Diagrams and gallery of the artist's own models, mostly of US Dollar folds, as well as some designed by others.", "topic": "Top/Arts/Crafts/Paper/Origami/Money_Folding", "url": "http://users.datarealm.com/hecht/origami/galmy.htm"} +{"d:Title": "Marivi's Origamic Architecture", "d:Description": "Many card designs, featuring models based upon the architecture of Gaudi. Also includes instructions and a section on Heraldic Origamic Architecture.", "priority": "1", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://marivi_10.tripod.com/"} +{"d:Title": "Willem's Origamic Architecture", "d:Description": "Offers patterns from Europe and Asia. Also includes holiday cards, instructions, and links.", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://members.shaw.ca/woa/"} +{"d:Title": "Handmade Papercraft Club", "d:Description": "A variety of pictures and patterns, from a hippo in a bathtub to socks. Also contains instructions (in Japanese) with diagrams.", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://www5d.biglobe.ne.jp/~m-uet/"} +{"d:Title": "Taviori", "d:Description": "Gallery, large photos, examples of light and OA, and links.", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://www.taviori.ro/"} +{"d:Title": "Jagoda's Origamic Architecture", "d:Description": "Gallery of models and patterns.", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://homepage.eircom.net/~jagoda/"} +{"d:Title": "Paper (Origamic) Architecture", "d:Description": "Buildings and complex abstracts by Ingrid Siliakus. Includes gallery and listing of past and upcoming exhibits.", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://ingrid-siliakus.exto.nl/"} +{"d:Title": "Virtual Gallery of Origamic Architecture", "d:Description": "Gallery of many models folded by Gerry Stormer, a diagram entitled \"Diego's Dreams,\" and links.", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://webpages.charter.net/gstormer/"} +{"d:Title": "Baud&Bui Origamic Architecture", "d:Description": "Includes several paper and plastic arts in addition to origamic architecture. There are several pictures and patterns available as well as a biography of Masahiro Chatani.", "topic": "Top/Arts/Crafts/Paper/Origami/Origamic_Architecture", "url": "http://baudandbui.free.fr/plier/origamic.shtml"} +{"d:Title": "Best Paper Airplanes", "d:Description": "Clear instructions for ten original paper airplanes.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.bestpaperairplanes.com/"} +{"d:Title": "The Best Paper Airplane", "d:Description": "Folding and flying instructions for a single reasonably high performance paper airplane.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.zurqui.com/crinfocus/paper/airplane.html"} +{"d:Title": "Alex's Paper Airplanes", "d:Description": "Clear instructions showing how to make over 20 paper airplanes.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.paperairplanes.co.uk/"} +{"d:Title": "Joseph Palmer's Favorite Paper Airplanes", "d:Description": "Step by step instructions for creating simple, high performance paper airplanes", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.josephpalmer.com/planes/Airplane.shtml"} +{"d:Title": "Paperang", "d:Description": "Printable design and information for plane model developed by Dr. Edmund Hui.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.paperang.com/"} +{"d:Title": "McShane's Planes", "d:Description": "An index of 6 unique paper aeroplanes, some with high performance levels, with folding instructions which require a degree of skill to follow.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.mcshane.org/planes/"} +{"d:Title": "OmniWing Paper Airplane", "d:Description": "History, conception and construction of the award winning OmniWing Paper Airplane.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.omniwing.com/"} +{"d:Title": "Paper Airplane", "d:Description": "Detailed, illustrated instructions for making a fighter jet.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://nuwen.net/airplane.html"} +{"d:Title": "Ken Blackburn's Paper Airplane Page", "d:Description": "Home page for world record paper airplanes and miscellaneous flying things.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.paperplane.org/"} +{"d:Title": "Paper airplane ideas", "d:Description": "Tips and suggestions to improve paper airplane designs.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://paperplaneideas.blogspot.com/"} +{"d:Title": "Amazing Paper Airplanes", "d:Description": "Instructions for folding paper versions of real airplanes with information on the actual planes.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.amazingpaperairplanes.com/"} +{"d:Title": "Fun Paper Airplanes", "d:Description": "Downloadable paper airplane templates, with a section on flight.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.funpaperairplanes.com/"} +{"d:Title": "World Class Paper Gliders", "d:Description": "Instructions for a paper glider design.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://mypage.direct.ca/g/guess/glider.html"} +{"d:Title": "Paper Aircraft Association", "d:Description": "Organization begun by Andy Chipling, who works with the Guinness Book of Records to produce the rules for official paper aircraft records. Includes information on paper aircraft history, and duration, distance, wingspan records.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.augq07.dsl.pipex.com/paamain/"} +{"d:Title": "Paper Airplanes HQ", "d:Description": "Video instructions for 50 paper airplane designs, including ratings for ease of assembly.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.paperairplaneshq.com/"} +{"d:Title": "Secret Paper Aeroplanes", "d:Description": "Instructions for 9 paper airplanes in video/photographic form. Also includes sections on aerodynamics and how to perform several stunts.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://paperaeroplanes.com/"} +{"d:Title": "10 Paper Airplanes", "d:Description": "Step-by-step animated instructions for 10 paper airplane designs.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.10paperairplanes.com/"} +{"d:Title": "Pat's Planes", "d:Description": "Paper airplane designs for both folded and cut out styles. Includes PDF guides, suggested projects for science fairs, reviews of software tools, and aerodynamic information.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.patsplanes.com/"} +{"d:Title": "PaperHangar", "d:Description": "Detailed diagrams and folding instructions for an assortment of models.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.paperhangar.com/"} +{"d:Title": "Paper Airplanes by Kevin Saunders", "d:Description": "Designs and templates for original planes Flyer, Spirit, XO, and Xplorer. Includes printable PDF templates and instruction sheets.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.xplanes4u.com/"} +{"d:Title": "The Paper Pilot", "d:Description": "Variety of wind tunnel tested paper airplane designs optimized for long flight times. Includes videos, tips, and instructions.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.thepaperpilot.com/"} +{"d:Title": "Paper Model Airplanes", "d:Description": "Includes printable templates for a selection of aircraft designs.", "topic": "Top/Arts/Crafts/Paper/Origami/Paper_Airplanes", "url": "http://www.paper-model-airplane.com/"} +{"d:Title": "B\u00fcttenpapier - Handmade Paper", "d:Description": "A virtual guide through the world of handmade paper. Includes paper history, museums, papermills, instructions, producers, supplies, and dictionaries.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://handpaper.freyerweb.at/"} +{"d:Title": "Awagami Factory", "d:Description": "Hand papermaking studio. Includes information about Japanese papers and techniques.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://www.awagami.or.jp/"} +{"d:Title": "Jones on Prairie Paper", "d:Description": "The Prairie Paper Project teaches a basic industrial technology, papermaking, and it provides opportunities to introduce some basic chemistry and environmental issues, as well as exploring the artistic possibilities offered by home made paper.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://www.cs.uiowa.edu/~jones/prairiepaper.html#Introduction"} +{"d:Title": "Michelle Phillips' Papermaking Instructions", "d:Description": "This site focuses on simple paper re-making.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://laceimports.com/michelle/projects/paper_instr.html"} +{"d:Title": "Handmade Paper", "d:Description": "Brief introduction to three Japanese handmade paper styles.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://www.ehime-iinet.or.jp/ehime_e/trad/trad08/trad08.htm"} +{"d:Title": "Artwork by James Q. Jacobs, Paper Casting", "d:Description": "Paper Casts are an easy and fun art project. All you need is a blender, water, newsprint, some porous cloth, a sponge, a household garbage bag and a mold. Directions are provided for a simple method.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://www.jqjacobs.net/art/paper.html"} +{"d:Title": "Dieu Donne Papermill, Inc", "d:Description": "Non-profit studio for handmade paper art.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://www.dieudonne.org/"} +{"d:Title": "Artpaperwork", "d:Description": "Gallery of contemporary art created with traditional handmade paper by French artist J.M. Letellier.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://www.artpaperwork.com/"} +{"d:Title": "Etherington&Roberts", "d:Description": "A dictionary of descriptive terminology used in papermaking.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://cool.conservation-us.org/don/dt/dt1706.html"} +{"d:Title": "Stefan's Florilegium: Papermaking", "d:Description": "This file is a collection of various messages having a common theme of papermaking.", "topic": "Top/Arts/Crafts/Paper/Papermaking", "url": "http://www.florilegium.org/?http://www.florilegium.org/files/CRAFTS/papermaking-msg.html"} +{"d:Title": "International Association of Hand Papermakers and Paper Artists", "d:Description": "The main objective of the Association is to facilitate and encourage the international exchange of ideas and information about hand paper making: Paper and Communication.", "topic": "Top/Arts/Crafts/Paper/Papermaking/Organizations", "url": "http://www.iapma.info/"} +{"d:Title": "The Friends of Dard Hunter, Inc.", "d:Description": "An international organization with a diverse membership whose interests center around handmade paper and related arts and crafts.", "topic": "Top/Arts/Crafts/Paper/Papermaking/Organizations", "url": "http://www.friendsofdardhunter.org/"} +{"d:Title": "Papermakers Guild of Western Australia", "d:Description": "Information about the Guild, papermaking and paper crafts in general.", "topic": "Top/Arts/Crafts/Paper/Papermaking/Organizations", "url": "http://members.tripod.com/papermakers_guild/"} +{"d:Title": "Hand Papermaking, Inc.", "d:Description": "Non-profit organization promoting the art of hand papermaking.", "topic": "Top/Arts/Crafts/Paper/Papermaking/Organizations", "url": "http://www.handpapermaking.org/"} +{"d:Title": "Papermakers of Victoria Inc.", "d:Description": "The purpose of the group is to provide mutual support for hand papermakers through the exchange of ideas and information, discussion and demonstration.", "topic": "Top/Arts/Crafts/Paper/Papermaking/Organizations", "url": "http://www.papermakers.org.au/"} +{"d:Title": "Paper Mache Sculpture By JC", "d:Description": "Offers paper mache sculptures.", "topic": "Top/Arts/Crafts/Paper/Papermaking/Papier_Mache", "url": "http://members.tripod.com/~james_carter/"} +{"d:Title": "Papier-mache art by Ellen Carlier", "d:Description": "Papier-mache art by Ellen Carlier.", "topic": "Top/Arts/Crafts/Paper/Papermaking/Papier_Mache", "url": "http://users.coditel.net/ellen/"} +{"d:Title": "Amytree's Quilling", "d:Description": "Customized items, education and consultation.", "topic": "Top/Arts/Crafts/Paper/Quilling", "url": "http://www.amytree.com/quilling/"} +{"d:Title": "Yahoo Quillers Group", "d:Description": "Illustrations, discussions and resources for supplies and products. Email moderator for access.", "topic": "Top/Arts/Crafts/Paper/Quilling", "url": "http://groups.yahoo.com/group/quillers/"} +{"d:Title": "Nancy's Wonderful World of Quilling", "d:Description": "Past projects, instructional demonstration, request form, and contact information.", "topic": "Top/Arts/Crafts/Paper/Quilling", "url": "http://www.angelfire.com/nj4/quilling/"} +{"d:Title": "Quilling, Art and Expression", "d:Description": "Instructions, patterns, and information on paper quilling.", "topic": "Top/Arts/Crafts/Paper/Quilling", "url": "http://www.quilling.blogspot.com/"} +{"d:Title": "North American Quilling Guild", "d:Description": "Includes membership information, gallery, resources, events calendar, and details of past annual meetings.", "topic": "Top/Arts/Crafts/Paper/Quilling", "url": "http://www.naqg.org/"} +{"d:Title": "Quilling Guild", "d:Description": "UK-based organization. Includes calendar of events, membership information, gallery, and organization details.", "topic": "Top/Arts/Crafts/Paper/Quilling", "url": "http://www.quilling-guild.co.uk/"} +{"d:Title": "Yvonne's Cultural Images", "d:Description": "Egyptian, African American and ethnic ceramics: heads and masks.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.angelfire.com/ca4/yvonnesculturalimage/intro.html"} +{"d:Title": "Holiday Art", "d:Description": "Holiday art and crafts that have come from art history and holiday traditions. Paintings, photographs, and artistic crafts..", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.holiday-art.com/"} +{"d:Title": "Professor Wonder", "d:Description": "Ideas for balloon animal crafts and magic tricks.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.professorwonder.com/"} +{"d:Title": "Who is Eros Rex?", "d:Description": "About handmade pipe cleaner artwork.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://erosrex.tripod.com/ErosRex/index.html"} +{"d:Title": "Matthew-Lambert.co.uk", "d:Description": "Things to make and do, things to look at, and tales to brush your teeth by.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.matthew-lambert.co.uk/"} +{"d:Title": "Jill's Customized Clip-Art Designs", "d:Description": "Custom, personalized, name clip art pictures. Gifts for baby showers, birthdays, and Christmas.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.angelfire.com/art/jillsclipart/designs.html"} +{"d:Title": "Flea Market Finds", "d:Description": "The restoration of finds from flea markets as well as lap quilting, wall hangings and bird feeders.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://members.tripod.com/supagurl_704200/"} +{"d:Title": "Philly Craft Show Experiences", "d:Description": "A Yahoo groups area to put your two cents in about experiences you've had as a crafter in a greater Philadelphia area craft show.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://groups.yahoo.com/group/phillycraftshowexperiences/"} +{"d:Title": "Sawyers-Specialties", "d:Description": "Hundreds of useful craft sayings, links to resources and free patterns throughout the web.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.sawyers-specialties.com/"} +{"d:Title": "Cottage Holmes Creations", "d:Description": "A craft club by post, covering as many crafts as members allow. First few are artists (painting with oils-watercolours), cross stitch, and dolls house building.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.wheelart.8m.net/"} +{"d:Title": "Hans Mittendorf", "d:Description": "Paintings, sculptures, multimedia, electronic music, and research.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://hans.mittendorf.free.fr/"} +{"d:Title": "Wendy's Crafty Hands", "d:Description": "A personal pictorial history and description of Wendy's embroidery, lacemaking, beadwork and parchmentcraft projects, to encourage anyone with a love of fibre arts.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.durell.me.uk/"} +{"d:Title": "Creative Korner 2", "d:Description": "Photos of original craft designs in jewelry, and crochet afghans.", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://creativekorner2.tripod.com/"} +{"d:Title": "Jessica Tromp", "d:Description": "Free knitting, crochet, cross-stitch, and embroidery patterns. [Dutch/English]", "topic": "Top/Arts/Crafts/Personal_Pages", "url": "http://www.jessica-tromp.nl/"} +{"d:Title": "From Marti Michell", "d:Description": "Quilting instruction with cross references to magazines and books, photos of quilts, information for guilds and shop owners, information regarding the Perfect Patchwork system.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.frommarti.com/"} +{"d:Title": "QuiltNet", "d:Description": "A gateway to an array of craft-related web pages, covering the worlds of quilting, weaving, sewing.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.quilt.net/"} +{"d:Title": "Nina's Quilt Index", "d:Description": "Quilting in Nova Scotia. A directory of quilting links.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.chebucto.ns.ca/~ae862/quilt.html"} +{"d:Title": "The Quilt Channel", "d:Description": "Comprehensive, categorized guide to quilting links.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.quiltchannel.com/"} +{"d:Title": "Vienna Quilt Shop", "d:Description": "Retail store specializing in repair and preservation of quilts. Also does appraisals, commissions, and sales of fine quilts in the Washington, D.C. area. Home of \"The Quilt Doctor\".", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.quiltdoctor.com/"} +{"d:Title": "Planet Patchwork", "d:Description": "Informational site that includes a quilt gallery, news, reviews, essays, advice, mailing lists and links to other quilting sites.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://planetpatchwork.com/"} +{"d:Title": "The Quilted Gallery", "d:Description": "Contemporary quilts, wearable art, and kaleidoscope quilt cards from an award winning Massachusetts quilt artist and teacher.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.quiltedgallery.com/"} +{"d:Title": "Teddy's Place", "d:Description": "Blocks of the month, mailing list, bookstore, consignment shop and a quilt gallery.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.angelfire.com/co3/teddysplace/"} +{"d:Title": "Quilting With Children", "d:Description": "Ideas, techniques, and resources for working with children in making quilts.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.thecraftstudio.com/qwc/"} +{"d:Title": "Quilt Designs", "d:Description": "Original-design quilts and wall hangings by fiber artist Shirley A. Shenk.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.quiltdesigns.com/"} +{"d:Title": "Quilt Mamas", "d:Description": "Offers a quilt galleries, services and Handcrafted Gifts.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://quiltmamas.com/"} +{"d:Title": "Life Quilt", "d:Description": "Creates custom quilted products from cherished fabrics to welcome the birth of a new life, celebrate a special occasion or honor the life of a loved one. Includes ideas, quilt style gallery, and prices.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.lifequilt.com/"} +{"d:Title": "Pellon Ideas", "d:Description": "A resource for quilting, crafts, embroidery, home decorating and apparel ideas and projects.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.pellonideas.com/"} +{"d:Title": "World Wide Quilting", "d:Description": "The oldest and largest quilting site on the web. Hundreds of pages of instructions, patterns, show listings, store listings, guild listings, famous quilter's pages, a bulletin board, a trading post, and classifieds for quilters.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.quilt.com/"} +{"d:Title": "Capital Quilts", "d:Description": "Quilt shop featuring cottons, supplies, books, and notions. Includes online quilt gallery and class schedule. Located in Gaithersburg, Maryland.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.capitalquilts.com/"} +{"d:Title": "Woodhaven Studio", "d:Description": "Certified appraiser of antique, contemporary, and art quilts and quilted textiles.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.quiltvalues.com/"} +{"d:Title": "One Block Only Beginner Quilting", "d:Description": "A single block method of creating lap quilts or wall quilts. Designed to teach beginners quilting skills while using Traditional block patterns. Fun for all skill levels.", "topic": "Top/Arts/Crafts/Quilting", "url": "http://www.one-block-only-beginner-quilting.com/"} +{"d:Title": "The Appliqu\u00e9 Society", "d:Description": "Founded in 1996, TAS is an international organization whose mission is to promote, teach, and to encourage appliqu\u00e9 in quilting.", "topic": "Top/Arts/Crafts/Quilting/Applique", "url": "http://www.theappliquesociety.org/"} +{"d:Title": "Hawaiian Quilting from Honu Hale", "d:Description": "History, traditions, and quiltmaking information about creating a Hawaiian quilt. Many pictures of Hawaiian quilts. Hawaiian patterns available for purchase.", "topic": "Top/Arts/Crafts/Quilting/Applique", "url": "http://www.members.tripod.com/~Honu_Hale/"} +{"d:Title": "Baltimore Applique Society (BAS)", "d:Description": "Founded in Baltimore, MD in 1993 to preserve antique quilts and promote the art of applique. International membership, nationally known professional advisors, preservation work for museum and historical society collections.", "topic": "Top/Arts/Crafts/Quilting/Applique", "url": "http://www.baltimoreapplique.com/"} +{"d:Title": "Baltimore Quilts - Elegant Applique", "d:Description": "An article with images and links to information and history of Baltimore Quilts and Applique techniques.", "topic": "Top/Arts/Crafts/Quilting/Applique", "url": "http://www.straw.com/quilting/articles/baltimore.html"} +{"d:Title": "Thorup's Molas", "d:Description": "A mola is an intricate reverse applique style, created by the Cuna tribe of the San Blas Islands. Includes gallery and instructions.", "topic": "Top/Arts/Crafts/Quilting/Applique", "url": "http://thorup.com/mola.html"} +{"d:Title": "International Quilt Association", "d:Description": "To foster the appreciation of quilts and quilting as an art form throughout the world and to provide necessary recognition to quilters as textile artists.", "topic": "Top/Arts/Crafts/Quilting/Associations", "url": "http://www.quilts.org/"} +{"d:Title": "Quilt Guilds Worldwide", "d:Description": "Lists worldwide quilting guilds, using information submitted by the members themselves.", "topic": "Top/Arts/Crafts/Quilting/Associations", "url": "http://www.quiltguilds.com/"} +{"d:Title": "Studio Art Quilt Associates", "d:Description": "A non-profit national organization, recognizes quilters.", "topic": "Top/Arts/Crafts/Quilting/Associations", "url": "http://www.saqa.com/"} +{"d:Title": "The Quilter's Guild", "d:Description": "Promotes the craft and history of quilting in the UK. Includes resource covers youth groups, regions, publications and heritage.", "topic": "Top/Arts/Crafts/Quilting/Associations", "url": "http://www.quiltersguild.org.uk/"} +{"d:Title": "The London Quilters", "d:Description": "A London based quilt group.", "topic": "Top/Arts/Crafts/Quilting/Associations/Europe", "url": "http://www.londonquilters.org.uk/"} +{"d:Title": "Belgian Quilting Association", "d:Description": "Promotes patchwork and quilting in Belgium. In English, Dutch and French.", "topic": "Top/Arts/Crafts/Quilting/Associations/Europe", "url": "http://www.belgiumquilt.be/"} +{"d:Title": "Association of Swiss Quilters", "d:Description": "Features member portfolios and quilt of the month, events, and exhibitions. In English, German and French.", "topic": "Top/Arts/Crafts/Quilting/Associations/Europe", "url": "http://www.patchquilt.ch/"} +{"d:Title": "Black Forest Guild", "d:Description": "Quilt guild for American and German quilters, established in Stuttgart, Germany.", "topic": "Top/Arts/Crafts/Quilting/Associations/Europe", "url": "http://www.blackforestquilters.de/"} +{"d:Title": "Art Quilt Network", "d:Description": "Members from America and Canada who meet twice a year in Columbus, Ohio. Features previous AQN exhibitions and links to member sites.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America", "url": "http://www.artquiltnetwork.com/"} +{"d:Title": "Canadian Quilters' Association", "d:Description": "Canadian quilters and quilt lovers are welcome. Links, resources and messageboards.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.canadianquilter.com/"} +{"d:Title": "Waterloo County Quilters Guild", "d:Description": "Monthly meetings include show and tell and frequently feature a guest speaker. They offer workshops several times a year, to promote quilting skills. Waterloo, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.wcquiltersguild.on.ca/"} +{"d:Title": "Common Threads Quilt Guild", "d:Description": "Meetings in the Ottawa /Hull area. This guild offers up its news, events, project information, links and contact details.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.commonthread.on.ca/"} +{"d:Title": "Parksville Quilt House Quilters' Guild", "d:Description": "Formed in 1979 and located in Coombs, on Vancouver Island. Provides meeting schedules and information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.parksvillequilthousequilters.com/"} +{"d:Title": "Mayflower Quilters' Guild", "d:Description": "Our goal is to support and promote quilting activities throughout the province of Nova Scotia.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://mayflowerquilters.tripod.com/"} +{"d:Title": "Victoria Quilters' Guild", "d:Description": "To promote quilting in Victoria, BC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.victoriaquiltersguild.org/"} +{"d:Title": "Ottawa Valley Quilters Guild", "d:Description": "The purpose of the Guild is to share ideas and projects, and to foster the art of quilting. Ottawa, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.ottawavalleyquiltersguild.org/"} +{"d:Title": "York Heritage Quilters Guild", "d:Description": "Meets eight times a year in North York, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.yhqg.org/"} +{"d:Title": "Quinte Quilters Guild", "d:Description": "Meetings, upcoming events, and news. Belleville, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.quintequiltersguild.ca/"} +{"d:Title": "Prince Edward County Quilters Guild", "d:Description": "Quilting group in Picton, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.pec.on.ca/quiltersguild/"} +{"d:Title": "Etobicoke Quilters' Guild", "d:Description": "To further interest in quilting by inspiration and encouragement, and by learning through sharing, thus helping quilters to enjoy their media and improve their standards. GTA, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.etobicokequiltersguild.com/"} +{"d:Title": "Region of York Quilters' Guild", "d:Description": "To bring quilters of the region together, to share knowledge of quilting, to encourage the development of old and new skills and to expand our interests into the community. Meetings in Newmarket, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.regionofyorkquiltersguild.ca/"} +{"d:Title": "Blue Mountain Quilt Guild", "d:Description": "A night out for women, the opportunity to socialize and to show and see each others' creations. Port Coquitlam, BC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.bluemountainquiltersguild.ca/"} +{"d:Title": "Sarnia Quilter's Guild", "d:Description": "The purpose of the Guild is to share ideas and projects and to foster the art of quilting. Monthly meetings with guest speakers, mini workshops and sharing members work. Sarnia, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.sarniaquiltersguild.org/"} +{"d:Title": "Kingston Heirloom Quilters", "d:Description": "Meets each month at St. Johns Anglican Church Hall in Portsmouth Village. They work together on group quilts and also have block of the month, workshops, trunk shows, raffle blocks, trips, and make baby quilts for the Kingston General Hospital.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://quiltskingston.org/khq/"} +{"d:Title": "Prairie Piecemakers Quilters' Guild", "d:Description": "An organization interested in preserving and promoting the art of quilting. Regina, Saskatchewan.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://prairiepiecemaker.com/"} +{"d:Title": "Campbell River Friendship Quilter's Guild", "d:Description": "Meets twice a month September through June at the Campbell River Community Centre. Campbell River, BC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.crfriendshipquiltguild.com/"} +{"d:Title": "Nanaimo Quilters' Guild", "d:Description": "Meets every 3rd Wednesday of the month at Brechin United Church. Nanaimo, BC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.islandquilters.ca/index.htm"} +{"d:Title": "Langley Quilters' Guild", "d:Description": "A circle of friends meeting to share and promote the love of quilting by inspiring, and being inspired by, the art, techniques and knowledge of textiles in quilting. Langley, BC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.langleyquiltersguild.com/"} +{"d:Title": "Yahoo Groups: Cdnquiltswappers", "d:Description": "Swaps be of 100% cotton, any size and amount fabric. This is a list source for primary Canadian contacts to swap quilting fabric (charms, fat quarters, blocks).", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://groups.yahoo.com/group/cdnquiltswappers"} +{"d:Title": "Oxford Quilters Guild", "d:Description": "Based in Ingersoll, Ontario. Workshops and block of the month.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.oxfordquiltersguild.com/"} +{"d:Title": "Boundary Bay Quilters Guild", "d:Description": "Boundary Bay, British Columbia, quilting group.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.boundarybayquiltersguild.ca/"} +{"d:Title": "Arnprior&District Quilters' Guild", "d:Description": "Guild with gallery of member's projects, newsletter and meetings in Arnprior, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.arnpriordistrictquiltersguild.com/"} +{"d:Title": "The Georgian Quilters Guild", "d:Description": "Meetings in Meaford, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.meaford.com/town/org_quilters.htm"} +{"d:Title": "Lions Gate Quilters Guild", "d:Description": "Quilters and quilt shows, based in North Vancouver, BC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.lionsgatequiltersguild.com/"} +{"d:Title": "Edmonton and District Quilters' Guild", "d:Description": "Meets at the Provincial Museum of Alberta. Meetings are held the 3rd Wednesday of each month September Through May.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.edqg.ca/"} +{"d:Title": "Kempenfelt Quilters' Guild", "d:Description": "KQG meets every third Thursday at Grace United Church in Barrie, Ontario. Site provides details and activities.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.kqg.ca/"} +{"d:Title": "The Sudbury&District Quilting&Stitchery Guild", "d:Description": "Meetings are held every Tuesday night from September through the end of May except during school breaks. Sudbury, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.sdqsg.com/"} +{"d:Title": "Yellowknife Quilters Guild", "d:Description": "An active and progressive group of quilters who range from traditional quilters all the way up to fabric artists. Yellowknife, Northwest Territories.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.ykquiltersguild.ca/"} +{"d:Title": "Mississauga Quilters Guild", "d:Description": "Encourages an interest in and an appreciation of quilting among its members and within the community. Programs and workshops. Mississauga, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.mississaugaquiltersguild.ca/"} +{"d:Title": "Brampton Quilters' Quilters' Guild", "d:Description": "Meetings are held on the fourth Thursday of the month. Brampton, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://bqguild.wordpress.com/"} +{"d:Title": "Dufferin Piecemakers Quilting Guild", "d:Description": "Participate in the block of the month, the block/ quilt challenge, workshops, community projects and the Quilt Show. Orangeville, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://library.grandvalley.org/actiononline/grandvalley/piecemakers/"} +{"d:Title": "Fraser Valley Quilters' Guild", "d:Description": "Quilting enthusiasts in south-west British Columbia dedicated to promoting the craft of creating quilts. Meeting n Delta, BC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.fvqg.org/"} +{"d:Title": "Kincardine Sunset Quilters' Guild", "d:Description": "Located in Kincardine, Ontario. Meetings, agenda and links found here.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://tiffanyweb.bmts.com/~quiltguild/"} +{"d:Title": "Vancouver Quilters Guild", "d:Description": "Located in Vancouver, British Columbia, the guild site provides information about meetings, location, programs, and local events.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.vancouverquiltersguild.com/"} +{"d:Title": "Rouge Valley Quilters' Guild", "d:Description": "Promotes fellowship among quilters and encourages quilters to share their knowledge about the craft and art of quilting. Workshops and guest speakers. Scarborough, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.rvqg.com/"} +{"d:Title": "Thousand Islands Quilters Guild", "d:Description": "Based in Brockville, Ontario.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.thousandislandsquiltersguild.com/"} +{"d:Title": "Sherwood Park Quilt Guild", "d:Description": "Speakers, hands on workshops, entertaining programs. Sherwood Park, Alberta.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://www.spqg.ca/"} +{"d:Title": "Thunder Bay Quilt Guild", "d:Description": "Monthly meetings at the Calvary Lutheran Church.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/Canada", "url": "http://thunderbayquilters.org/"} +{"d:Title": "The American Quilter's Society", "d:Description": "With membership comes American Quilter magazine, and discounts on quilting books.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States", "url": "http://www.americanquilter.com/"} +{"d:Title": "QuiltBee Homepage", "d:Description": "Web page for Internet quilting guild.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States", "url": "http://www.quiltersbee.com/"} +{"d:Title": "National Quilting Association", "d:Description": "A non-profit organization run by quilters for quilters. Members receive the Quilting Quarterly. Quilt judge and quilt teacher certification available through NQA.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States", "url": "http://www.nqaquilts.org/"} +{"d:Title": "Love Apple Quilters Guild", "d:Description": "New Jersey and Pennsylvania quilters show their history, photos, meeting dates, quilting tips, and information on quilt shows.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States", "url": "http://www.loveapplequilters.com/"} +{"d:Title": "Pacific Northwest African American Quilters", "d:Description": "African American quilters, artists, crafts and history.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States", "url": "http://pnwaaq.tripod.com/quilters.html/"} +{"d:Title": "The Alliance for American Quilts", "d:Description": "The Alliance seeks to further the recognition of quilts and to preserve the history of quilts and quiltmakers.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States", "url": "http://www.allianceforamericanquilts.org/"} +{"d:Title": "American Quilt Study Group", "d:Description": "Their goal is to develop a responsible and accurate body of information about quilts and their makers. It is dedicated to preserving the story of quiltmaking - past, present, and future.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States", "url": "http://www.americanquiltstudygroup.org/"} +{"d:Title": "West Alabama Quilters Guild", "d:Description": "A non-profit organization offering meetings, workshops, seminars, beginners classes and quilt shows.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Alabama", "url": "http://www.waqg.com/"} +{"d:Title": "Birmingham Quilters Guild", "d:Description": "Provides meeting and membership information, programs, and brief history of the guild.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Alabama", "url": "http://www.bhamquilters.com/"} +{"d:Title": "Heritage Quilters of Huntsville", "d:Description": "Includes committee members, upcoming programs, announcements and quilt show information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Alabama", "url": "http://www.hsvquilters.org/"} +{"d:Title": "Evening Star Quilt Guild", "d:Description": "Guild is based out of Birmingham. Meeting times, directions, newsletter and upcoming events.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Alabama", "url": "http://www.esquilters.com/"} +{"d:Title": "North Wind Quilter's Guild", "d:Description": "Guild in fishing village of Cordova located on Prince William Sound. Includes membership information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Alaska", "url": "http://cordovanorthwindquilters.blogspot.com/"} +{"d:Title": "Arizona Quilters Guild", "d:Description": "Chapters throughout Arizona. Includes show information, chapter listings, slide show and quilt auctions.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Arizona", "url": "http://www.azquiltersguild.org/"} +{"d:Title": "Tucson Quilters Guild", "d:Description": "Meeting schedule and information about their annual show.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Arizona", "url": "http://tucsonquiltersguild.com/"} +{"d:Title": "Hill 'N Hollow Quilters Guild", "d:Description": "Information on meetings, quilt show and community projects. Mountain Home, Arkansas.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Arkansas", "url": "http://www.hillnhollowquilters.com/"} +{"d:Title": "Moonlight Quilters of Sonoma County", "d:Description": "Includes block-of-the-month, quilt show and auction information, as well as membership information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.mqsc.org/"} +{"d:Title": "Santa Rosa Quilt Guild", "d:Description": "A Northern California based club established in 1976 and dedicated to preserving this country's quilting heritage. Workshops, calendar of events, newsletter and contact information provided.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.santarosaquiltguild.org/"} +{"d:Title": "Canyon Quilters of San Diego", "d:Description": "University City and La Jolla guild presents their calendar of events, as well as showcases their opportunity quilts.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.canyonquilters.com/"} +{"d:Title": "Beach Cities Quilters", "d:Description": "Southern Orange County guild offers their meeting schedule, minutes, and articles.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.beachcitiesquilters.org/"} +{"d:Title": "Amador Valley Quilters", "d:Description": "Lists information about meetings and speakers, quilt shows, and community quilt projects. Located in Pleasanton.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://amadorvalleyquilters.org/"} +{"d:Title": "Santa Clara Valley Quilter's Association", "d:Description": "Located in the Silicon Valley, this guild meets monthly in San Jose.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.scvqa.org/"} +{"d:Title": "Bay Area Quilt Guild", "d:Description": "Meetings are the first Tuesday of each month. Upcoming events and guild news are posted here.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.bayareaquiltguild.org/"} +{"d:Title": "San Francisco Quilters' Guild", "d:Description": "A non-profit organization dedicated to the art and craft of quiltmaking.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.sfquiltersguild.org/"} +{"d:Title": "The Quilters' Sew-Ciety of Redding, Inc", "d:Description": "A large guild drawing quilters from all over the northstate. Hosts nationally known lecturers and teachers on a regular basis. Quilt show is held every even year.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/California", "url": "http://www.quilterssewciety.org/"} +{"d:Title": "Colorado Quilting Council", "d:Description": "Statewide organization offering monthly meetings and an annual Quilt-A-Fair. Includes schedules of upcoming programs and events, historical documentation project, and a list of volunteer opportunities.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Colorado", "url": "http://www.coloradoquiltcouncil.com/"} +{"d:Title": "Front Range Contemporary Quilters", "d:Description": "Promoting innovative quilt making and fiber art. Includes schedule of meetings, newsletter, workshops, and exhibitions. Located in Westminster.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Colorado", "url": "http://www.artquilters.org/"} +{"d:Title": "The Connecticut Piecemakers Quilt Guild", "d:Description": "Calendar of events, member quilts and show information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Connecticut", "url": "http://www.ctpiecemakers.org/"} +{"d:Title": "West Pasco Quilters' Guild, Inc.", "d:Description": "General meetings held on the third Thursday of each month at the Veterans Memorial Park in Hudson, Florida.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Florida", "url": "http://www.westpascoquilters.org/"} +{"d:Title": "Boise Basin Quilters", "d:Description": "Information about quilt shows, club activities, and list of quilt shops.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Idaho", "url": "http://www.boisebasinquilters.org/"} +{"d:Title": "Riverwalk Quilters Guild", "d:Description": "News and event information. Naperville.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Illinois", "url": "http://www.riverwalkquilters.com/"} +{"d:Title": "Northwest Suburban Quilters Guild, Inc.", "d:Description": "Quilters, quilt lovers, and doll makers located in the northwest suburban area of Chicago. Featuring locally and nationally prominent speakers, workshops and activities for quilters.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Illinois", "url": "http://www.nsqg.net/"} +{"d:Title": "Illini Country Stitchers Quilt Guild", "d:Description": "Calendar of activities, workshops and fall retreat information. Champaign.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Illinois", "url": "http://illinicountrystitchers.com/"} +{"d:Title": "Old Capitol Quilters Guild", "d:Description": "Information regarding the guild of Iowa City. Includes membership information, upcoming meeting dates and photographs of membership quilts.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Iowa", "url": "http://www.ocqg.org/"} +{"d:Title": "Kansas Capital Quilters Guild", "d:Description": "Sponsors workshops, lectures, and an annual quilt show in September.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Kansas", "url": "http://kscapitalquilters.com/"} +{"d:Title": "Kentucky Heritage Quilt Society", "d:Description": "Provides brief history, events, quilt registry and resources.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Kentucky", "url": "http://www.khqs.info/"} +{"d:Title": "Red River Quilters", "d:Description": "A guild for the preservation of the art of quilting. Includes news, calendar, meetings, activities, and a message board.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Louisiana", "url": "http://www.redriverquilters.com/"} +{"d:Title": "Pine Tree Quilters Guild, Inc.", "d:Description": "Maine's statewide non-profit quilters' organization since 1978. Home page lists upcoming events.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Maine", "url": "http://www.mainequilts.org/"} +{"d:Title": "Southern Comforters", "d:Description": "Membership information, current events, quilt show winners and links. Bowie.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Maryland", "url": "http://www.southerncomforters.org/"} +{"d:Title": "Friendship Star Quilters", "d:Description": "Includes schedule of meetings and events, photographs, patterns, annual show information, and service projects. Gaithersburg.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Maryland", "url": "http://www.friendshipstar.org/"} +{"d:Title": "Baltimore Heritage Quilters Guild", "d:Description": "Information about meetings, workshops, and shows, photo gallery, and directories of local shops and guilds.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Maryland", "url": "http://www.baltimorequilters.com/"} +{"d:Title": "Nimble Fingers Quilt Group", "d:Description": "Includes bylaws, meetings and activities, and information about quilting projects.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Maryland", "url": "http://nimblefingers.org/"} +{"d:Title": "Tewksbury Piecemakers", "d:Description": "Lists schedules, monthly meetings, officers and board members, news and information about shows. Located in Tewksbury.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Massachusetts", "url": "http://www.tewksburypiecemakers.com/"} +{"d:Title": "Great Lakes Heritage Quilters", "d:Description": "Guild that meets in the Detroit suburb of West Bloomfield. Site contains schedule of speakers and meetings as well as information about guild activities and membership.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Michigan", "url": "http://www.glhq.org/"} +{"d:Title": "Oakland County Quilt Guild", "d:Description": "Activities include workshops, retreats, charity projects, challenge quilt projects, blockery, monthly meetings from September through June. Lake Orion", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Michigan", "url": "http://www.oaklandcountyquiltguild.com/"} +{"d:Title": "Minnesota Quilters", "d:Description": "St. Paul guild. Offers information about projects and events. Provides news, updates and membership information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Minnesota", "url": "http://www.mnquilt.org/"} +{"d:Title": "Booneslick Trail Quilter's Guild", "d:Description": "Chapter information, friendship blocks and upcoming events posted. Columbia.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Missouri", "url": "http://btqg.missouri.org/"} +{"d:Title": "Thimble&Thread Quilt Guild", "d:Description": "Thimble and Thread Quilt Guild.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Missouri", "url": "http://www.thimbleandthreadstl.org/"} +{"d:Title": "River Heritage Quilters Guild", "d:Description": "Quilts photos, show news, tips, block of the month, workshops, breast cancer benefit, bulletin board, and monthly programs. Located in Cape Girardeau.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Missouri", "url": "http://www.riverquilters.org/"} +{"d:Title": "Seabreeze Quilt Guild", "d:Description": "New Hampshire quilt guild meeting in Exeter offers block of the month, workshops, demonstrations, show and tell, and a quilt show.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/New_Hampshire", "url": "http://www.seabreezequiltguild.com/"} +{"d:Title": "Quilters' Guild of Brooklyn", "d:Description": "Information location, meeting dates, show and classes. Also provides links to quilting sites.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/New_York", "url": "http://www.quiltbrooklyn.org/"} +{"d:Title": "Towpath Quilt Guild", "d:Description": "Meets in Fayetteville, NY. Its members represent all types of quilting. A semi-annual quilt show is held. All skill levels are welcome.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/New_York", "url": "http://www.towpathquiltguild.org/"} +{"d:Title": "The Piecemakers", "d:Description": "Meets in the Cafeteria of The Holy Child Jesus School at 86th Avenue and 111th Street, Richmond Hill (Queens), New York.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/New_York", "url": "http://www.angelfire.com/ny3/rhpiecemakers/"} +{"d:Title": "East Side Quilters", "d:Description": "Meeting in Rensselaer County. Meetings are open to everyone who is interested in quilts and quilting.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/New_York", "url": "https://sites.google.com/site/eastsidequiltersny/"} +{"d:Title": "Western North Carolina Quilters Guild", "d:Description": "Provides workshops and lectures, and sponsors a show in Hendersonville. Includes meeting schecule and show information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/North_Carolina", "url": "http://www.westernncquilters.org/"} +{"d:Title": "Forsyth Piecers&Quilters", "d:Description": "Meets on the second Monday of each month at Parkway Presbyterian Church, Winston-Salem, NC.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/North_Carolina", "url": "http://www.forsythquilters.org/"} +{"d:Title": "Cabarrus Quilt Guild", "d:Description": "Includes photographs, tips, upcoming events, and links. Meets in Concord.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/North_Carolina", "url": "http://www.cabarrusquiltersguild.org/"} +{"d:Title": "Central Oklahoma Quilters Guild, Inc.", "d:Description": "Oklahoma City and vicinity. Membership, programs, guild services and activities, and Quilt Show page.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Oklahoma", "url": "http://www.centralokquilters.org/"} +{"d:Title": "Panhandle Piecers Quilting Club", "d:Description": "Guild situated in and around the city of Guymon, in the Oklahoma panhandle. Site provides meeting schedules, members list, events and a newsletter.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Oklahoma", "url": "http://panhandlepiecers.tripod.com/"} +{"d:Title": "Northwest Quilters", "d:Description": "Includes information about meetings, workshops, special projects, and shows. Portland, Oregon.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Oregon", "url": "http://www.northwestquilters.org/"} +{"d:Title": "Berks Quilters Guild", "d:Description": "Located in Berks County. Provides information about guild activities and quilt shows, as well as a forum for quilting tips and tricks.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Pennsylvania", "url": "http://www.berksquiltersguild.org/"} +{"d:Title": "Main Line Quilters", "d:Description": "Berwyn group. Membership information, programs, and Block of the Month offerings.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Pennsylvania", "url": "http://mainlinequilters.org/"} +{"d:Title": "Quilters of South Carolina", "d:Description": "State quilt guild provides information and details about its two annual retreats in the spring and fall.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/South_Carolina", "url": "http://www.quiltersofsc.org/"} +{"d:Title": "Smoky Mountain Quilters", "d:Description": "Quilting guild for the Knoxville area. Organization information, including schedule of meetings and events, photo gallery of member quilts, and links.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Tennessee", "url": "http://www.smokymtnquilters.com/"} +{"d:Title": "Cumberland Valley Quilters Association", "d:Description": "Covers meeting times, activities, bylaws, standing rules, committees, newsletters and photos. Located in Franklin.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Tennessee", "url": "http://www.cvqa.com/"} +{"d:Title": "Bear Creek Quilt Guild", "d:Description": "Keller group that meets monthly for project demonstrations, secret sisters exchanges, guild library access, and show and tell. They also sponsor a quilt show, raffle a quilt annually, offer workshops and retreats.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Texas", "url": "http://www.bearcreekquiltguild.org/"} +{"d:Title": "Quilter's Guild of Arlington", "d:Description": "Calendars of local events, mystery quilts, and member's gallery.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Texas", "url": "http://qgoa.org/"} +{"d:Title": "Trinity Valley Quilter's Guild", "d:Description": "Includes news, annual show information, and photo gallery of members' and donation quilts. Located in Fort Worth.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Texas", "url": "http://www.tvqg.org/"} +{"d:Title": "Austin Area Quilt Guild", "d:Description": "Dedicated to preserving the heritage of quilting and promoting excellence and education in the art of quilt-making. Open to anyone with an interest in quilting.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Texas", "url": "https://austinareaquiltguild.wildapricot.org/"} +{"d:Title": "Utah Quilt Guild", "d:Description": "A quilting organization with event information, groups, a festival, library and listing of stores.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Utah", "url": "http://www.utahquiltguild.org/"} +{"d:Title": "Quilters Unlimited", "d:Description": "Northern Virginia guild. Includes a list of chapters, membership application, calendar of events and a newsletter.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Virginia", "url": "http://www.quiltersunlimited.org/"} +{"d:Title": "Clark County Quilters", "d:Description": "Purpose of this guild is to contribute to the growth and knowledge of quilting techniques, patterns and history; to provide educational meetings, fun, friendship and sponsor and support quilting activities.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Washington", "url": "http://clarkcountyquilters.org/"} +{"d:Title": "Contemporary QuiltArt Association", "d:Description": "Non-profit arts organization run entirely by volunteers. Includes general information, a list of members, how to join, meetings, and an exhibitions calendar. Seattle.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Washington", "url": "http://www.contemporaryquiltart.com/"} +{"d:Title": "West Virginia Quilters, Inc.", "d:Description": "Include calendar of events, photo gallery, guilds, shops, patterns, membership and contact information.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/West_Virginia", "url": "http://www.wvquilters.org/"} +{"d:Title": "Mad City Quilt Guild", "d:Description": "Covers upcoming meetings, workshops, members of the board, membership, bylaws, member businesses and photos. Located in Middleton.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Wisconsin", "url": "http://www.madcityquilters.org/"} +{"d:Title": "Hudson Heritage Quilters", "d:Description": "Features meetings, projects, membership and events.", "topic": "Top/Arts/Crafts/Quilting/Associations/North_America/United_States/Wisconsin", "url": "http://hudsonheritagequilters.weebly.com/"} +{"d:Title": "Canberra Quilters", "d:Description": "The oldest patchwork group in Australia. Information is available about the guild, membership, activities, meetings, and exhibitions.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.canberraquilters.org.au/"} +{"d:Title": "Quilters' Guild of NSW Inc.", "d:Description": "A Sydney based organization which aims to promote the art and craft of patchwork and quilting. Membership is open to anyone with an interest in the craft, from the beginner to the professional.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.quiltersguildnsw.com/"} +{"d:Title": "Australasian Quilt Convention", "d:Description": "Some of the best tutors from around Australia and overseas, the best quilts on show, sumptuous food and enthralling entertainment.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.aqc.com.au/"} +{"d:Title": "Queensland Quilters Inc", "d:Description": "To promote the art and craft of patchwork and quilting in Queensland.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.qldquilters.com/"} +{"d:Title": "Quilters Guild of South Australia", "d:Description": "To promote the art of patchwork and quilting in South Australia. To organize and partake in exhibitions and workshops.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.saquilters.org.au/"} +{"d:Title": "Darwin Patchworkers&Quilters Inc", "d:Description": "Club meetings are held monthly for those living in the Darwin area and a monthly newsletter is posted to all members. Regular workshops are offered featuring local, national or international tutors.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.darpatchquilt.com.au/"} +{"d:Title": "Tasmanian Quilting Guild", "d:Description": "Group with a newsletter based in North Hobart.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.tasquiltguild.org.au/"} +{"d:Title": "Victorian Quilters", "d:Description": "Promotes interest in patchwork and quilting, and supports the establishment and activities of quilting groups. Membership, gatherings, exhibitions, events and member groups.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://www.victorianquilters.org/"} +{"d:Title": "Illawarra Quilters Inc.", "d:Description": "Dedicated to fostering the art of quilt making. Workshops and retreats with local and international tutors are conducted throughout each year.", "topic": "Top/Arts/Crafts/Quilting/Associations/Oceania", "url": "http://sites.google.com/site/illawarraquiltersinc/"} +{"d:Title": "QuiltChat", "d:Description": "A virtual quilters guild with an email list and an IRC channel. Site has IRC information and member profiles.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://www.quiltchat.com/"} +{"d:Title": "Quiltart", "d:Description": "An internet mailing list for quilters.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://www.quiltart.com/"} +{"d:Title": "Yahoo : Block Central's Weekly Newsletter", "d:Description": "A source for quilt blocks and patterns.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/blockcentral/"} +{"d:Title": "Yahoo : Stashbuster", "d:Description": "The purpose of this group is to support those quilters who wish to cut down on spending and reduce their stashes. Communication is encouraged about projects finished, scrap quilt patterns, stashes used up, and the avoidance of spending.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/stashbuster/"} +{"d:Title": "Yahoo: CyberQuilters", "d:Description": "Quilters who love finding and sharing free quilting information, quilt patterns, instructions, and designs that are available on the Internet.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CyberQuilters/"} +{"d:Title": "Yahoo: Husqvarna Viking Quilters", "d:Description": "The focus of this list is the art of quilting with Husqvarna Viking sewing machines.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/husqvarnavikingquilters/"} +{"d:Title": "Yahoo: Latte Quilt", "d:Description": "The purpose of this group is to assist and encourage in the use of the Latte Quilt book. Tips, techniques and pictures in the making of the blocks of this quilt will be the focus of this forum.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/LatteQuilt/"} +{"d:Title": "Yahoo: Machine Quilting Professional", "d:Description": "This group was formed to share ideas, problems and issues that impact users of all kinds of stand-up quilting machines including longarm, midarm and shortarm.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Machine_Quilting_Professional/"} +{"d:Title": "Yahoo: Quilt 2 Friendship", "d:Description": "Mailing list for chatting about quilting and swapping quilting supplies and fabric.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/quilting2friendship/"} +{"d:Title": "Yahoo: Quilt Talk", "d:Description": "A quilting e-bee for quilters who want to share their quilting experiences, share in quilting swaps.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/quilt-talk/"} +{"d:Title": "Yahoo: Quilting Ideas", "d:Description": "Chat about the latest quilting ideas, techniques and tips, books and patterns.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/quiltingideas/"} +{"d:Title": "About.com: Quilting", "d:Description": "Forum for quilting with all levels of quilters sharing information and ideas and answering questions.", "topic": "Top/Arts/Crafts/Quilting/Chats_and_Forums", "url": "http://forums.about.com/n/pfx/forum.aspx?nav=messages&webtag=ab-quilting"} +{"d:Title": "Sharon B's Stitch Index", "d:Description": "A comprehensive stitch index resource for crazy quilters.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://inaminuteago.com/stitchindex.html"} +{"d:Title": "National Gallery Crazy Quilt", "d:Description": "1938 Crazy Quilt example by Charlotte Winter in the National Gallery online.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.nga.gov/collection/gallery/iadtxtl/iadtxtl-14968.0.html"} +{"d:Title": "The History of Crazy Quilts", "d:Description": "Part I and Part II give an in-depth history of Crazy Quilting by Betty Pillsbury in collaboration with Rita Vainius", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.caron-net.com/featurefiles/featmay.html"} +{"d:Title": "Vintage Vogue", "d:Description": "Features a collection of projects, stitches, designs, tips and information by the members of the online Crazy Quilt Mailing List.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.vintagevogue.com/html/crazy_quilting.html"} +{"d:Title": "Crazy Quilting Class", "d:Description": "Extensive lessons in making a crazy quilt. Includes piecing and stitchery tips and techniques", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.caron-net.com/classes/classmayfiles/clasmay1.html"} +{"d:Title": "Uniquely Crazy", "d:Description": "Examples of Crazy quilting projects with some directions and lots of photos.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://uniquelycrazy.tripod.com/"} +{"d:Title": "CQ Mag Online", "d:Description": "Online Crazy Quilt magazine, completely by and for Crazy Quilters.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.cqmagonline.com/"} +{"d:Title": "Crazy Quilting History - a Victorian Craze", "d:Description": "A Comprehensive history of crazy quilting, with good links to sources and photos.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.womenfolk.com/quilting_history/crazy.htm"} +{"d:Title": "Judith Baker Montano's Site", "d:Description": "One of the founders and pioneers of Crazy quilting.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.judithbakermontano.com/"} +{"d:Title": "Can-bra National Crazy Quilt retreat 2004", "d:Description": "These Australian Crazy quilt bras were made to raise money for breast cancer research.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://inaminuteago.com/braimages/Canbra.html"} +{"d:Title": "CQembellishers", "d:Description": "A group for people who share a fascination and enthusiasm for crazy quilting and the arts of embellishment.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://webpages.charter.net/ssurf/index/cqe.html"} +{"d:Title": "Flickr: CrazyQuilting", "d:Description": "A place where crazy quilters can post images of their creative efforts and discuss them. To post the image it must be related to crazy quilting either at the design, work in progress or completed stage.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.flickr.com/groups/crazyquilting/"} +{"d:Title": "Yahoo Groups: Canadian Crazyquilters", "d:Description": "Swaps, round robins and friendship in an email list for crazy quilters living in Canada.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://groups.yahoo.com/group/crazyquilters-ca/"} +{"d:Title": "Flickr: CQembellishers Gallery", "d:Description": "Eye candy for crazy quilters. Share photos of your embellishing work.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.flickr.com/groups/73868858@N00/"} +{"d:Title": "Flickr: Rocky Mountain Crazy Quilters", "d:Description": "This is the crazy quilt (and other related work) of the Rocky Mountain Crazy Quilters group.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.flickr.com/groups/43307179@N00/"} +{"d:Title": "Care of Victorian Silk Quilts and Slumber Throws", "d:Description": "Preservation tips from the Smithsonian Institute.", "topic": "Top/Arts/Crafts/Quilting/Crazy_Quilts", "url": "http://www.si.edu/Encyclopedia_SI/nmah/vicquilt.htm"} +{"d:Title": "Quilts, Inc.", "d:Description": "International quilt shows listed. Exhibits, classes and merchant mall, trade-only shows and public shows.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quilts.com/"} +{"d:Title": "Quilt Festivals by Mancuso, Inc.", "d:Description": "Lists all the quilt festivals managed and promoted by Mancuso Show Management, along with the basic information for each of these events.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltfest.com/"} +{"d:Title": "ABC 70's Decade Quilt Challenge", "d:Description": "Quilt Art members participated in a challenge to design quilts that reflect the 1970s decade. Includes the results of the challenge, with photographs and artists' statements.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.angelfire.com/tx2/quiltsquiltsquilts/"} +{"d:Title": "Road to California", "d:Description": "A quilters' conference and showcase which features quilts, special exhibits, classes, lectures and evening events. Site lists dates and location information, as well as last year's winners.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.road2ca.com/"} +{"d:Title": "Empty Spools Quilting Workshop Seminars", "d:Description": "Offer 5-day quiltmaking workshops with world-renowned quilt instructors held at Asilomar Conference Grounds in Pacific Grove, California.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.emptyspoolsseminars.com/"} +{"d:Title": "QuiltUniversity.com", "d:Description": "Offers online classes, from basic quilting to graduate design work.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltuniversity.com/"} +{"d:Title": "Quilting By The Lake", "d:Description": "Annual Quilt Conference and Workshop held each summer in upstate New York with well known quilters/teachers.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltingbythelake.com/"} +{"d:Title": "Quilt Camp in The Pines", "d:Description": "Summer classes from such names as John Flynn, Lynn Graves, and Harriet Hargrave. Also has information on quilting cruises.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltcamp.com/"} +{"d:Title": "Vermont Quilt Festival", "d:Description": "New and antique quilts on display in several exhibits, lectures and workshops with quilt artists, appraisals, merchants, kids programs, and demos. Champlain Valley Expo in Essex Junction, Vermont.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.vqf.org/"} +{"d:Title": "Quilt Visions", "d:Description": "Juried exhibition of quilts celebrating the art of the quilt. It is held in San Diego, California.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltvisions.org/"} +{"d:Title": "Jackonsville QuiltFest", "d:Description": "Quilting festival held in Jacksonville Florida with a mission to preserve the heritage of quilting.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltfestjax.com/"} +{"d:Title": "Original Sewing&Quilt Expo", "d:Description": "A consumer retail sewing, quilting and embroidery event in 8 major cities in the United States. They are the one of largest event of our kind with vendors, classes and displays at convention centers.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.sewingexpo.com/"} +{"d:Title": "QuiltEscape", "d:Description": "Quilting instruction, classes and excursions by Cindy Casiato.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltescape.com/"} +{"d:Title": "Walla Walla Quilt Festival", "d:Description": "A three day event which will include vintage quilt show, vendor mall, featured quilter, and a festival challenge \"Quilted Memories.\"", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://wallawallaquiltfestival.org/"} +{"d:Title": "Indiana Heritage Quilt Show", "d:Description": "An annual quilt show consisting of judged entries, beginning to advanced quilting classes, and vendors providing quilting and related goods.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://ihqs.org/"} +{"d:Title": "Quilt National", "d:Description": "An international exhibition of innovative quilts. Takes place in Ohio.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.quiltnational.com/"} +{"d:Title": "Deb Roberts' Tours and World of Quilts Travel", "d:Description": "Customized cruises and tours designed especially around the world of quilters and quilt lovers, friends and family. Special speakers, classes and boundless inspirational opportunities.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://worldofquiltstravel.com/"} +{"d:Title": "Country Heritage Tours", "d:Description": "America's quilt tour company, offering tours across the US as well as in England and France.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://countryheritagetours.com/"} +{"d:Title": "Home of the Sisters Outdoor Quilt Show", "d:Description": "The largest outdoor quilt show in the world displaying over 1,000 quilts on the second Saturday of July, every year, in Sisters, Oregon.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.sistersoutdoorquiltshow.org/"} +{"d:Title": "Santa Barbara Quilting Retreats", "d:Description": "Quilting Workshops, retreats and classes in Santa Barbara and Ventura, California", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.santabarbaraquilting.com/"} +{"d:Title": "Hometowne Quilt Auctiions", "d:Description": "Over 500 quilts auctioned 6 times throughout the year in Lancaster County PA. Sell your quilts or buy quilts. Visit the site for dates, pictures and more information.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.hometownequiltauction.com/"} +{"d:Title": "Waterloo County&Area Quilt Festival", "d:Description": "Events include teas, fashion shows, artistic performances, lectures, workshops, displays, the Ontario Juried Quilt Show and quilts for sale.", "topic": "Top/Arts/Crafts/Quilting/Events", "url": "http://www.wcquiltersguild.on.ca/"} +{"d:Title": "Wrap Them in Love Foundation", "d:Description": "Charity that donates quilts to needy children abroad.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.wraptheminlove.com/"} +{"d:Title": "Quilt Pink", "d:Description": "Quilter's make pink and white blocks for quilts to be auctioned off to benefit breast cancer research and prevention. Includes a directory of participating quilt shops.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://quiltpink.com/"} +{"d:Title": "Binky Patrol Comforting Covers", "d:Description": "Provides information for people to volunteer making quilts and blankets to comfort children. Includes ways to help, events, patterns, recipients, and local chapters.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.binkypatrol.org/"} +{"d:Title": "Victoria's Quilts Canada", "d:Description": "A ministry that donates handmade quilts to cancer patients and facilities that serve cancer patients.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.victoriasquiltscanada.com/"} +{"d:Title": "Flickr: Tsunami Quilt", "d:Description": "The objective is to create a hanging quilt that will be auctioned on ebay on behalf of the Tsunami Victims.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.flickr.com/groups/tsunamiquilt/"} +{"d:Title": "Flickr: All that Jazz Katrina Hurricane Survivor Quilt", "d:Description": "A fund raiser for survivors of the Katrina hurricane disaster.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.flickr.com/groups/56949816@N00/"} +{"d:Title": "Flickr: Charity Quilt Group", "d:Description": "This is a group for anyone who would like to make quilt blocks, take a photo of each block and post it to the group page, and mail them to me to contribute to a quilt to be given to the Linus Project.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.flickr.com/groups/55293292@N00/"} +{"d:Title": "Quilts from Caring Hands", "d:Description": "Provides handmade quilts to children in need in the Willamette Valley area of Oregon. Includes patterns.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.quiltsfromcaringhands.com/"} +{"d:Title": "Wrap them in Love", "d:Description": "Nonprofit organization distributing quilts to needy children worldwide. Includes photo gallery and patterns.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.wraptheminlove.org/"} +{"d:Title": "Victoria's Quilts USA", "d:Description": "Volunteers making quilts for cancer patients and treatment centers. Includes guidelines, photo gallery, quest requests, and donations.", "topic": "Top/Arts/Crafts/Quilting/For_Charity", "url": "http://www.victoriasquilts.com/"} +{"d:Title": "Quilt History", "d:Description": "Quilt Heritage ListServ is a forum for quilters to discuss the historical aspects of quilting.", "topic": "Top/Arts/Crafts/Quilting/History", "url": "http://www.quilthistory.com/"} +{"d:Title": "Patches From the Past", "d:Description": "The history of quilts and the lives of the women who created them. Articles on quilting include periods, styles, book reviews and information on making reproduction quilts.", "topic": "Top/Arts/Crafts/Quilting/History", "url": "http://www.historyofquilts.com/"} +{"d:Title": "America's Quilting History", "d:Description": "Quilt history including broderie perse, Civil War quilts, friendship quilts, Victorian quilts, Depression era quilts, Black American quilts, native American quilts and Amish quilting.", "topic": "Top/Arts/Crafts/Quilting/History", "url": "http://www.womenfolk.com/historyofquilts/"} +{"d:Title": "The Quilt Index", "d:Description": "Images and contextual information on hundreds of quilts from several major collections developed by the American Quilt Alliance. Searchable image archive and frequently asked questions.", "topic": "Top/Arts/Crafts/Quilting/History", "url": "http://www.quiltindex.org/"} +{"d:Title": "Flickr: Electric Quilt Fun", "d:Description": "Show off ideas, designs and quilts you have created.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/electricquilt/"} +{"d:Title": "Flickr: Log Cabin Quilts", "d:Description": "Showcasing log cabin quilts.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/logcabinquilts/"} +{"d:Title": "Flickr: Modern Quilt-Along", "d:Description": "Participants make anything, any size, from the book The Modern Quilt Workshop: Patterns, Techniques, and Designs From the FunQuilts Studio by Weeks Ringle and Bill Kerr. There is no deadline.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/mqa/"} +{"d:Title": "Flickr: Quilted", "d:Description": "To share photos of quilted projects, completed or in progress, which are not necessarily quilts. Show off your handbags, pillows, pouches, and whatever you quilted.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/quilted/"} +{"d:Title": "Flickr: Library Quilts", "d:Description": "Many libraries have quilts hanging in their libraries and many librarians are quilters.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/54718808@N00/"} +{"d:Title": "Flickr: Quilts and Quilting", "d:Description": "Shows hand or machine quilting, finished or in progress work but quilting crafts only.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/quilts/"} +{"d:Title": "Flickr: Art and Mini Quilts", "d:Description": "The art quilt incorporates all sorts of mediums and design elements including paper and paint.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/59077003@N00/"} +{"d:Title": "Flickr: Quilt Blocks&Tops", "d:Description": "pPost pictures of your quilt blocks, either traditional designs or ones created \"off the cuff\".", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/quiltblocks/"} +{"d:Title": "Flickr: Vintage Quilts", "d:Description": "Vintage quilts and quilt tops are wonderful inspiration. Tattered quilts are ok but no reproductions.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/vintagequilts/"} +{"d:Title": "Flickr: Free Pieced Quilting", "d:Description": "This group features finished tops and quilts created with free-style letters and shapes using Tonya Ricucci's methods.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/lazygal/"} +{"d:Title": "Flickr: Denyse Schmidt Quilt-Along", "d:Description": "Photos from quilters participating in the Denyse Schmidt Quilt-Along, hosted at Hip To Piece Squares.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/dsqa/"} +{"d:Title": "Flickr: The Paper Quilt Project", "d:Description": "Each month 12 different artists will be invited to build a paper quilt. At the end of the year, we will decide together as to what to do with each paper quilt.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.flickr.com/groups/paperquiltproject/"} +{"d:Title": "Cindy's World of Quilts", "d:Description": "Featuring a gallery of hand-quilted quilts. Featuring the Ring of Quilts. Texas.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.home.earthlink.net/~cyndialee/"} +{"d:Title": "Suzanne Pratt Quilting&Swaps", "d:Description": "Gallery of quilting and needlework projects, quilt swaps, and favorite links.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://www.swmpratt.com/quilting.php"} +{"d:Title": "Madeleine Appell", "d:Description": "Gallery of art quilts and landscape quilts by contemporary quilter. Site also describes Madi's workshops.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://madiappell.com/"} +{"d:Title": "Melody Johnson", "d:Description": "Galleries of art quilts, biography, pictures of available patterns, and descriptions of workshops.", "topic": "Top/Arts/Crafts/Quilting/Image_Galleries", "url": "http://wowmelody.com/"} +{"d:Title": "Art Quilts in Miniature", "d:Description": "These are not doll quilts (another format entirely), but rather miniature quilted assemblages.", "topic": "Top/Arts/Crafts/Quilting/Miniature", "url": "http://www.quiltart.com/mini/Mini.html"} +{"d:Title": "The Virtual Quilt Newsletter", "d:Description": "A subscription-based online newsletter with in-depth information for computing quilters.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media", "url": "http://planetpatchwork.com/news.htm"} +{"d:Title": "America Quilts", "d:Description": "A companion site to the PBS program. Includes the history, art, and personal stories of quilt-making in America. Site offers tips, resources and a gallery.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media", "url": "http://www.pbs.org/americaquilts/"} +{"d:Title": "About: Quilting", "d:Description": "Free quilt patterns, resources, quilter tips, free forum chat, clip art, and how-to's.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media", "url": "http://quilting.about.com/"} +{"d:Title": "BellaOnline Quilting", "d:Description": "A resource guide to quilting on the web. Includes articles and forum.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media", "url": "http://www.bellaonline.com/site/Quilting"} +{"d:Title": "Quilter's News Network", "d:Description": "QNN is the first fully scheduled affinity market broadcast network delivered on the Internet twenty four hours a day, seven days a week.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media", "url": "http://www.qnntv.com/"} +{"d:Title": "Lost Quilts", "d:Description": "Displays or stolen quilts in the hopes some may be returned; includes information on protecting quilts.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media", "url": "http://www.lostquilt.com/"} +{"d:Title": "Topix: Quilting News", "d:Description": "News on quilting continually updated from thousands of sources around the net.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media", "url": "http://www.topix.com/hobbies/quilting"} +{"d:Title": "McCall's Quilting", "d:Description": "Quilting magazine home page. Provides back issues, instructions, quilt blocks, lessons, list of guilds, links, subscription application.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media/Magazines_and_E-zines", "url": "http://www.quiltersvillage.com/"} +{"d:Title": "Quilt Magazine", "d:Description": "By Harris Publications.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media/Magazines_and_E-zines", "url": "http://www.quiltmag.com/"} +{"d:Title": "Quilters Village", "d:Description": "The online home for four of Primedia's quilting magazines: Quilter's Newsletter Magazine, McCall's Quilting, Quiltmaker, and Quick Quilts.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media/Magazines_and_E-zines", "url": "http://www.quiltersnewsletter.com/"} +{"d:Title": "Quilting Arts", "d:Description": "Magazine dedicated to embellished or crazy quilting, including beading, silk ribbon work, punchneedle embroidery, fabric dyeing, or adorning quilts with buttons, charms, laces, or trims.", "topic": "Top/Arts/Crafts/Quilting/News_and_Media/Magazines_and_E-zines", "url": "http://www.quiltingarts.com/"} +{"d:Title": "Paper Panache", "d:Description": "Offers detailed paper-pieced foundation patterns. Free mystery blocks, guest patterns, tips, online workshops, challenges, patterns for sale and subscription option.", "topic": "Top/Arts/Crafts/Quilting/Paper_Piecing", "url": "http://www.paperpanache.com/"} +{"d:Title": "Paper Piecing Primer by Christine Thresh", "d:Description": "Good explanation and graphics to get you started with paper foundation piecing.", "topic": "Top/Arts/Crafts/Quilting/Paper_Piecing", "url": "http://www.winnowing.com/ppp.html"} +{"d:Title": "CD Designs", "d:Description": "The freezer paper people. English paper piecing, instructions, ideas, and templates.", "topic": "Top/Arts/Crafts/Quilting/Paper_Piecing", "url": "http://www.cddesigns.com/"} +{"d:Title": "Angie's Bits 'n Pieces", "d:Description": "Applique and paper-pieced patterns, foundation piecing, quilting books, beginners tutorials, and a gallery.", "topic": "Top/Arts/Crafts/Quilting/Paper_Piecing", "url": "http://ajpadilla.com/"} +{"d:Title": "Paper Piecing Patterns by Ginger", "d:Description": "Foundation papers to print for free - cats, umbrellas, Christmas patterns.", "topic": "Top/Arts/Crafts/Quilting/Paper_Piecing", "url": "http://www.quilts.treerats.net/paperpiece.php"} +{"d:Title": "Scrapquilts.com", "d:Description": "Free patterns and tips to help you turn your scrap bin into a one-of-a-kind scrap quilt.", "topic": "Top/Arts/Crafts/Quilting/Patterns", "url": "http://www.scrapquilts.com/"} +{"d:Title": "Victoriana Quilt Designs", "d:Description": "Quilt patterns and techniques, block of the month, printable labels and quilting information in the library.", "topic": "Top/Arts/Crafts/Quilting/Patterns", "url": "http://www.victorianaquiltdesigns.com/"} +{"d:Title": "Free Quilt Patterns", "d:Description": "A listing of sites with free quilt patterns.", "topic": "Top/Arts/Crafts/Quilting/Patterns", "url": "http://www.freequiltpatterns.info/"} +{"d:Title": "Center for Virtual Appalachia: Quilt Collection", "d:Description": "Large collection of labeled and indexed images of patterns, from the Quilt Pattern Collection of the Camden-Carroll Library, Morehead State University, Kentucky.", "topic": "Top/Arts/Crafts/Quilting/Patterns", "url": "http://cva.moreheadstate.edu/quilt.php"} +{"d:Title": "Quilts Patterns through Time", "d:Description": "The history of children's quilts and free baby and doll quilt patterns from the 19th and 20th centuries.", "topic": "Top/Arts/Crafts/Quilting/Patterns", "url": "http://www.womenfolk.com/baby_quilts/"} +{"d:Title": "Ulas Quilt Page", "d:Description": "This German quiltmaker exhibits her quilts which she created through worldwide fabric swaps. She also provides some paper piecing patterns.", "topic": "Top/Arts/Crafts/Quilting/Personal_Pages", "url": "http://www.lenzula.com/"} +{"d:Title": "Cindy's Dolls&Quilting Pages", "d:Description": "Website of quilts and cloth dolls. EQ4 techniques available.", "topic": "Top/Arts/Crafts/Quilting/Personal_Pages", "url": "http://members.tripod.com/~cindy_anne/index.html"} +{"d:Title": "Comfort of Quilts", "d:Description": "Numerous types of quilts and all things related to quilting can be found. California.", "topic": "Top/Arts/Crafts/Quilting/Personal_Pages", "url": "http://www.womenfolk.com/about/"} +{"d:Title": "Deanna Spingola Designs", "d:Description": "For quilters who would like to learn more about the art of strip-pieced watercolor as well as bargello style quilts. Watercolor gallery and additional links. US based.", "topic": "Top/Arts/Crafts/Quilting/Personal_Pages", "url": "http://www.spingola.com/"} +{"d:Title": "Taylor, Carol", "d:Description": "Art quilts and contemporary quilts. Includes a biography, artist's statement, studio, and contact information.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.caroltaylorquilts.com/"} +{"d:Title": "Walker, David", "d:Description": "Artist, teacher and quiltmaker offers reflections, workshop schedule and descriptions, bulletin board, quilt links and several galleries.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://davidwalker.us/"} +{"d:Title": "Hatcher, Irma Gail", "d:Description": "Teacher shows some of her quilts. Books, classes and class schedules listed.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.irmagailhatcher.com/"} +{"d:Title": "Cummings, Michael A.", "d:Description": "Showcases narrative quilts that are representations of history and culture. Gallery, biography and exhibitions. New York.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.michaelcummings.com/"} +{"d:Title": "Jubilee Artist", "d:Description": "Mixed media quilts by outsider artist based in Brooklyn, New York. Gallery of work, exhibitions and biography.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.jubileeartist.com/"} +{"d:Title": "Srivastava, Munni", "d:Description": "Textile artist from India living in London whose work is a fusion of Indian and Western techniques, hand and machine embroidery, appliqu\u00e9 and beading.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.munnisrivastava.com/"} +{"d:Title": "Crow, Nancy", "d:Description": "Quilt artist, teacher and art tour leader. Features images of quilts plus information on art tours and workshops. Ohio.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.nancycrow.com/"} +{"d:Title": "Hansen, Gloria", "d:Description": "Artist, writer, and quiltmaker shares pictures of award winning quilts, design ideas, free pattern, and information on books.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.gloriahansen.com/"} +{"d:Title": "Okyar, Sadiye", "d:Description": "A Turkish quilter exhibits her original creations. Includes techniques like paper-piecing, crazy, pineapple, Baltimore, trapunto and miniature.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.sadiyeokyar.com/"} +{"d:Title": "Courtney, Pat", "d:Description": "Showcase for quilts. Also contains resources and information relating to brain injury and TBI support.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://webspace.webring.com/people/xu/um_11345/"} +{"d:Title": "Chainey, Barbara", "d:Description": "Quilter, fabric designer and author. Includes biography, books, and lecture and workshop information.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://barbarachaineyquilts.wordpress.com/"} +{"d:Title": "Schweisguth, Anne", "d:Description": "Photographs of this lady's finished designs including table runners, jackets and bedding.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://grannieanniedesigns.com/"} +{"d:Title": "Gray, Martha", "d:Description": "Weblog with patterns and photographs. Also discusses embroidery and other needlework.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.qisforquilter.com/"} +{"d:Title": "Barber, Maggie", "d:Description": "A British designer and maker. Includes a gallery of her work and a list of her awards.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.maggiebarber.co.uk/"} +{"d:Title": "Ross, Judith", "d:Description": "Lady from New Zealand who works with silk and offers classes. Includes class descriptions, fees and requirements. Her CV and a gallery of her work.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.judithrossquilts.webs.com/"} +{"d:Title": "Frezon, Mary Beth", "d:Description": "Blog with photographs of her work and links to similar sites.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.quiltr.com/"} +{"d:Title": "Goodwin, Valerie S.", "d:Description": "Map-inspired work. Also teaches. Includes galleries of her work, information about her and the classes she runs and contact details.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.quiltsbyvalerie.com/"} +{"d:Title": "Herrick, Joan", "d:Description": "Offers machine quilting services. Includes photographs of her family and her work.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://maineweb.com/humblequilter/"} +{"d:Title": "Herring, Amanda", "d:Description": "Weblog featuring book reviews, photographs and writings about her work.", "topic": "Top/Arts/Crafts/Quilting/Quilters", "url": "http://www.thequiltedfish.typepad.com/"} +{"d:Title": "Lazy Gal Quilting", "d:Description": "She's been quilting for 19 years and is primarily book and self-taught. Her quilts aren't art, but not necessarily traditional either. She also embroiders and beads.", "topic": "Top/Arts/Crafts/Quilting/Weblogs", "url": "http://lazygalquilting.blogspot.com/"} +{"d:Title": "Going to Pieces", "d:Description": "A 40-something wife, Mom, quilter, lawyer and avid reader.", "topic": "Top/Arts/Crafts/Quilting/Weblogs", "url": "http://goingtopieces.blogspot.com/"} +{"d:Title": "Quilting Spirit", "d:Description": "A window on quilting in Hawaii. Award-winning quilt artist and former quilt shop owner.", "topic": "Top/Arts/Crafts/Quilting/Weblogs", "url": "http://quiltingspirit.blogspot.com/"} +{"d:Title": "J's Quilting Blog", "d:Description": "Retired school teacher from Manitoba, Canada, who began quilting a year ago.", "topic": "Top/Arts/Crafts/Quilting/Weblogs", "url": "http://jdebreuilsartandquilts.blogspot.com/"} +{"d:Title": "Quilting Orchardist", "d:Description": "Quilter from New Zealand, owns a small orchard, growing kiwifruit and avocados for export. Hand sewing but gradually using the machine as well.", "topic": "Top/Arts/Crafts/Quilting/Weblogs", "url": "http://www.anorchardistquilting.blogspot.com/"} +{"d:Title": "Quilt Channel", "d:Description": "Quilt related weblog entries.", "topic": "Top/Arts/Crafts/Quilting/Weblogs", "url": "http://www.quiltchannel.com/atom.xml"} +{"d:Title": "NSW Quilter's Guild Blog", "d:Description": "Weblog for the guild members.", "topic": "Top/Arts/Crafts/Quilting/Weblogs", "url": "http://www.quiltersguildnsw.blogspot.com/"} +{"d:Title": "Quilt a Round", "d:Description": "Quilt-A-Round is an interlinked community of quilt related websites. You can follow the links to the next site in the webring, or choose from a list of all member websites.", "topic": "Top/Arts/Crafts/Quilting/Web_Rings", "url": "http://members.tripod.com/~TigerRose/joinring.html"} +{"d:Title": "Crazy Quilt Web Ring", "d:Description": "Crazy quilts are the focus of this web ring.", "topic": "Top/Arts/Crafts/Quilting/Web_Rings", "url": "http://webpages.charter.net/ssurf/index/cqering.html"} +{"d:Title": "Quilting Sites", "d:Description": "A webring for all kinds of quilters.", "topic": "Top/Arts/Crafts/Quilting/Web_Rings", "url": "http://www.webring.org/hub?ring=quilters"} +{"d:Title": "Quilt Pattern Designers Collective", "d:Description": "Webring for those who are creating quilt patterns and presenting a free or commercial pattern site. Some sites have tips and lessons.", "topic": "Top/Arts/Crafts/Quilting/Web_Rings", "url": "http://www.webring.org/hub?ring=qpdc"} +{"d:Title": "Canadian Quilters Ring", "d:Description": "A showcase collection of Canadian sites devoted to quilts and furthering the art of quilting.", "topic": "Top/Arts/Crafts/Quilting/Web_Rings", "url": "http://www.webring.org/hub?ring=cqolksf"} +{"d:Title": "Longarm Quilting Ring", "d:Description": "Ring for Longarm quilters and quilt groups. Established September 1998.", "topic": "Top/Arts/Crafts/Quilting/Web_Rings", "url": "http://www.webring.org/hub?ring=longarm"} +{"d:Title": "The Quilter's Webring", "d:Description": "All-quilting sites. Patterns, instructions and photos.", "topic": "Top/Arts/Crafts/Quilting/Web_Rings", "url": "http://www.webring.org/hub?ring=quilting"} +{"d:Title": "Coxes.com: Rubber Art Stamping", "d:Description": "Includes information, including convention and swap lists, techniques, tips for newbies, stamping humor, and images of stamped artwork.", "topic": "Top/Arts/Crafts/Rubber_Stamping", "url": "http://www.coxes.com/stamping/"} +{"d:Title": "Metro Active - Stamp and Deliver", "d:Description": "Rubber stamping article by Kelly Luker.", "topic": "Top/Arts/Crafts/Rubber_Stamping", "url": "http://www.metroactive.com/papers/cruz/11.12.98/rubberstamps-9845.html"} +{"d:Title": "Art-e-zine", "d:Description": "Features artists' creativity in stamping, collage, textiles, assemblage, polymerclay and many other areas. Offers links, tutorials and free vintage images to use in artwork.", "topic": "Top/Arts/Crafts/Rubber_Stamping", "url": "http://www.art-e-zine.co.uk/"} +{"d:Title": "Handmade Greeting Cards", "d:Description": "Lessons on card making from basic to advanced techniques. Offers many free templates, detailed instructions and samples.", "topic": "Top/Arts/Crafts/Rubber_Stamping", "url": "http://www.making-greeting-cards.com/"} +{"d:Title": "Florida Stampers Mailing List", "d:Description": "Links and list subscription information.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Chats_and_Forums", "url": "http://members.tripod.com/tetodd/index-1.html"} +{"d:Title": "StampHappy at Yahoo!Groups", "d:Description": "Discussions of stamping techniques, swaps, products and ideas. Opportunity to participate in Random Acts of Kindness, card swaps, and Theme of the Month discussions. For people living in Australia and New Zealand.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Chats_and_Forums", "url": "http://groups.yahoo.com/group/stamphappy/"} +{"d:Title": "Rubber Stamping Forum at Delphi", "d:Description": "Swaps, FAQ, tips and instructions. Free registration required.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Chats_and_Forums", "url": "http://forums.delphiforums.com/rubber"} +{"d:Title": "Drs-Delphi Rubber Stampers", "d:Description": "Discussion board for new and expert stampers or just fascinated onlookers.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Chats_and_Forums", "url": "http://forums.delphiforums.com/RUBBER/start"} +{"d:Title": "Rubber Stampers Tea Room", "d:Description": "A Yahoo! Group to share ideas, links, techniques, methods, and offering daily chats.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Chats_and_Forums", "url": "http://groups.yahoo.com/group/rubberstamperstearoom/"} +{"d:Title": "Rubberstamps.org", "d:Description": "Store listing searchable by State, Province and by Country, classified ad postings from consumers and businesses, stamping poll.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Directories", "url": "http://www.rubberstamps.org/"} +{"d:Title": "Gingerwood", "d:Description": "Rubber stamping, swaps and scrapbooking links. They also have a BBS and a gallery with example cards.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Directories", "url": "http://www.gingerstamp.com/"} +{"d:Title": "Dragonhome's Place for Rubber Stamping", "d:Description": "Categorized rubber stamping links including rubber stamp stores, project tips, collages, ideas, auctions, magazines, conventions and scrapbook information.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Directories", "url": "http://www.littlebit.com/rubber1.htm"} +{"d:Title": "Heirloom Productions Rubber Stamp Festivals", "d:Description": "Provides information for exhibitors and attendees to Rubber Stamp Festivals happening in the USA.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Directories", "url": "http://www.heirloompro.com/"} +{"d:Title": "Rubber Stamp Madness", "d:Description": "Magazine for rubber stamp artists and collectors.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Magazines_and_E-zines", "url": "http://rsmadness.com/"} +{"d:Title": "HeartPrints", "d:Description": "Personal card gallery and message board.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.heartprints.net/"} +{"d:Title": "CalicoLu's Stampin' Grounds", "d:Description": "Gallery, links, digital postcards, and personal information.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.calicolu.com/rstamp.html"} +{"d:Title": "Burning Rubber", "d:Description": "Showcases projects, community pages, a gallery, and tips.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.angelfire.com/home/burningrubber/"} +{"d:Title": "Chia's Rubberstamp Art", "d:Description": "Offers swaps, samples of art, card galleries, and personal designs.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.houseofstirfry.com/chia/"} +{"d:Title": "Rubberstamping is Fun", "d:Description": "Gallery of cards and gift wraps made by Cindy.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.angelfire.com/ny/cindyder/Stampin.html"} +{"d:Title": "Pat's Stamping Place", "d:Description": "Includes galleries, round robin swap cards, and trading cards.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.patstamps.com/"} +{"d:Title": "Chris' Stampin' Studio", "d:Description": "Depicts galleries of cards, RAK's, SMAK's, projects, and photos of stamp camps with an emphasis on Stampin'Up!.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://48colors.freeservers.com/"} +{"d:Title": "TealDragon Rubber Art Stamping Page", "d:Description": "Features ideas and tips for rubber stamping.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.tealdragon.net/rs/index.htm"} +{"d:Title": "A Stamping Celebration", "d:Description": "Personal gallery of cards made, RAK, COTM, and swaps.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.2n2.org/"} +{"d:Title": "Spiral Moon Creations", "d:Description": "Features card galleries, stamped bookmarks, and links.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://spiralmooncreations.tripod.com/"} +{"d:Title": "Mick's Stamping Page", "d:Description": "Card galleries, tips, magazine reviews, and other information for stampers looking for ideas and fellowship.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.littlekeylime.com/"} +{"d:Title": "Faith Creations", "d:Description": "Card gallery using products of a company in Dallas, TX area.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://faithcreationstx.tripod.com/"} +{"d:Title": "Kim Stamps", "d:Description": "Showcases cards from swaps, trading card ideas, and patterns.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.kimstamps.com/"} +{"d:Title": "Paper Crafts 101", "d:Description": "Tips, tricks, techniques and ideas for your paper craft creations with an emphasis on rubber stamping.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.papercraftz.com/"} +{"d:Title": "Cloud 9", "d:Description": "Rubber stamping tips and techniques, family photo album, personal art gallery, and featured artists.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://netnet.net/~cloud9/"} +{"d:Title": "Stamped With Love", "d:Description": "Gallery of handstamped cards.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.stampedwithlove.com/"} +{"d:Title": "Stamps and Nonsense", "d:Description": "Pauline Laing's quirky personal stamping web site.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://members.optusnet.com.au/pauline.laing/"} +{"d:Title": "Bridget's Rubber Stamping Home", "d:Description": "Art, greeting cards, links, and nature photography.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.lakefolks.org/stamping/"} +{"d:Title": "Craft Cards", "d:Description": "Gallery of cards, by themes such as Men, Women, Children, Love, Christmas, Religious, also tips and tricks.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.craftcards.info/"} +{"d:Title": "Ruthann's Soft-Block Carving", "d:Description": "Stamp carving instructions, gallery, and links.", "topic": "Top/Arts/Crafts/Rubber_Stamping/Personal_Pages", "url": "http://www.ruthannzaroff.com/carving/index.htm"} +{"d:Title": "The Scrap Album", "d:Description": "Victorian greeting cards, valentines and scrapbooks. This site is a tribute to the albums kept by Victorian children.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "http://www.scrapalbum.com/"} +{"d:Title": "Scrapbook Basics from Better Homes and Gardens", "d:Description": "Guide to starting a scrapbook, including scrapbooking terminology.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "http://www.bhg.com/home/Scrapbooking-Basics.html"} +{"d:Title": "Scrapbook Memories", "d:Description": "Television program for scrapbookers. Projects, gallery, host biographies, sponsors, discussion area, and scrapbooking personality tests.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "http://www.scrapbookmemoriestv.com/"} +{"d:Title": "Scrapbook.com", "d:Description": "Comprehensive site that offers scrapbook ideas, bulletin boards, learning and information centers, and supplies.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "https://www.scrapbook.com/"} +{"d:Title": "ScrapbookPortal.com", "d:Description": "Directory of scrapbooking, projects, archival and technical preservation, retailers and events around the country.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "http://www.scrapbookportal.com/"} +{"d:Title": "Creative Paper Crafts", "d:Description": "Tips, articles, layouts, techniques, and information on multiple types of paper crafts.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "http://www.paperwishes.com/pwideas/"} +{"d:Title": "Katie the Scrapbook Lady", "d:Description": "Scrapbooking weblog along with some personal posts.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "http://scrapbooklady.typepad.com/"} +{"d:Title": "WebRing: I Luv 2 Scrap", "d:Description": "Ring of linked websites about scrapbooking.", "topic": "Top/Arts/Crafts/Scrapbooking", "url": "http://www.webring.org/hub/scrapbasketscrap"} +{"d:Title": "Cropping Cactus", "d:Description": "Share layouts, product reviews and inspiration.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://groups.yahoo.com/group/thecroppingcactus/"} +{"d:Title": "UKScrappers", "d:Description": "Discuss digital and paper crafting, altered arts, photography, swaps and RAK's. Also has listings of crops in the UK, challenges, contests and information on retail stores.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://www.ukscrappers.co.uk/"} +{"d:Title": "Scrappers Challenge", "d:Description": "A Yahoo group with tips and challenges to organize scrapbooking supplies and get motivated to complete projects and layouts.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ScrappersChallenge/"} +{"d:Title": "Layouts", "d:Description": "Active group to discuss tips, techniques, share layouts and receive suggestions.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Layouts/"} +{"d:Title": "Teaching Scrapbooking", "d:Description": "Discuss teaching classes and exchange ideas for class topics, handouts and tips.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://groups.yahoo.com/group/teachingsb/"} +{"d:Title": "Mega Scrap Happy", "d:Description": "Discuss journaling ideas, challenges, techniques and participate in crops and contests.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://groups.yahoo.com/group/MegaScraphappy/"} +{"d:Title": "Scrapbook.com", "d:Description": "A forum to discuss paper and digital scrapbooking, contests, challenges and swaps.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://www.scrapbook.com/forums/"} +{"d:Title": "Flickr: Swap n' Scrap", "d:Description": "A group to swap products and share completed layouts using the items received.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://www.flickr.com/groups/swapnscrap/"} +{"d:Title": "Tribe: Hott Scrapbookin Chics", "d:Description": "To discuss the art and creativity of scrapbooking.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://tribes.tribe.net/scrapbookinchics"} +{"d:Title": "Scrap Jazz", "d:Description": "Discuss project ideas, product reviews, techniques and participate in challenges.", "topic": "Top/Arts/Crafts/Scrapbooking/Chats_and_Forums", "url": "http://www.scrapjazz.com/forums/"} +{"d:Title": "Computer Scrapbooking", "d:Description": "Helpful tips and tricks for using your computer to enhance your scrapbooking. Free scrapbooking clip art, fonts and page layouts available. Mailing list.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects", "url": "http://www.computerscrapbooking.com/"} +{"d:Title": "Craty Secrets", "d:Description": "Information and ideas for projects. Includes a newsletter, projects and gallery.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects", "url": "http://www.craftysecrets.com/"} +{"d:Title": "The Scrapper Source", "d:Description": "Beginner scrapbook site featuring basic tips, links, quote page and beginner guidelines. Promotes the art of scrapbooking and creative expression.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects", "url": "http://sharonc_2000.tripod.com/december2000sbsite/"} +{"d:Title": "Pixie Dust Scrapbooks", "d:Description": "Layout samples arranged by topic.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects", "url": "http://showcase.netins.net/web/kris/"} +{"d:Title": "Scrapbooking at About.com", "d:Description": "Articles, resources and links.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects", "url": "http://scrapbooking.about.com/"} +{"d:Title": "Scrapbook Sayings", "d:Description": "Sayings and titles for assorted categories and occasions. Includes song lyrics, and famous speeches.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects", "url": "http://www.scrapsayings.com/"} +{"d:Title": "Bellaonline: Scrapbooking", "d:Description": "Scrapbooking tips, articles, layouts.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects", "url": "http://www.bellaonline.com/Site/Scrapbooking"} +{"d:Title": "Central West Scrappers", "d:Description": "Web archive for email list. Features galleries of members layouts and tips.", "topic": "Top/Arts/Crafts/Scrapbooking/Classes_and_Projects/Layouts", "url": "http://rattimoth.tripod.com/index.html"} +{"d:Title": "ShabbyPrincess", "d:Description": "Free sampler downloads, a weblog, tutorials, a gallery and a newsletter.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.shabbyprincess.com/"} +{"d:Title": "The Digichick", "d:Description": "Weblog, forums, gallery and a boutique featuring the work of community members.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.thedigichick.com/"} +{"d:Title": "Flickr: Digital Scrapbook", "d:Description": "Shared photographs of projects, tools, and kits.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.flickr.com/groups/digital_scrapbook/"} +{"d:Title": "Flickr: Let's Get Digital", "d:Description": "A group for sharing photographs of their work.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.flickr.com/groups/getdigital/"} +{"d:Title": "Free Digital Scrapbooking", "d:Description": "A selection of free elements, papers, templates, cards, kits, tutorials.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.freedigitalscrapbooking.com/"} +{"d:Title": "Digital Freebies", "d:Description": "Boutique, galleries, forum, weblog and the Friday Freebie.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.digitalfreebies.com/"} +{"d:Title": "The Digital Scrapbook", "d:Description": "Webring of personal and commercial sites.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.webring.org/hub?ring=digitalscrapbook"} +{"d:Title": "Digital Scrapbook Place", "d:Description": "Products and online classes. Freebies, ideas, and forums.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital", "url": "http://www.mymemories.com/store/digital_scrapbook_place"} +{"d:Title": "Yahoo: Digiscrap UK", "d:Description": "Group based in the UK. Moderated group with public messages.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital/Chats_and_Forums", "url": "http://groups.yahoo.com/group/digiscrapuk/"} +{"d:Title": "Yahoo: Digital Simple Scrapbook", "d:Description": "Private group offering support and inspiration.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital/Chats_and_Forums", "url": "http://groups.yahoo.com/group/digital_simple_scrapbook/"} +{"d:Title": "Yahoo: Pacific Northwest Digital Scrappers", "d:Description": "Group for those in western Washington and British Columbia.", "topic": "Top/Arts/Crafts/Scrapbooking/Digital/Chats_and_Forums", "url": "http://groups.yahoo.com/group/northwestdigiscrappers/"} +{"d:Title": "Scrapbook Expo", "d:Description": "Information regarding scrapbooking shows in the USA.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.scrapbookexpo.com/"} +{"d:Title": "Camp Crop a Lot - GA", "d:Description": "Monthly weekend retreats in Georgia. Personal retreats available.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.campcropalot.com/"} +{"d:Title": "Heart and Soul Memories", "d:Description": "Hosts weekend retreats in Illinois and Wisconsin.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.heartandsoulmemories.com/"} +{"d:Title": "Scrap Camp", "d:Description": "Bi-annual event held in Ripley, WV. All-inclusive weekend getaway.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.scrapcamp.com/"} +{"d:Title": "Mega Meet", "d:Description": "Annual conventions and events.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.megameet.com/"} +{"d:Title": "Creative Crops", "d:Description": "Information about events produced. Lists the dates, times, place of events as well as the benefits.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.creativecrops.net/"} +{"d:Title": "Scrap-N-Away", "d:Description": "Getaway located in Frazier Park, California.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.scrap-n-away.com/"} +{"d:Title": "The Scrapbook Manor", "d:Description": "All-inclusive getaway located in Walworth, WI with a bed and breakfast style.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.thescrapbookmanor.com/"} +{"d:Title": "Queen Of The Crop Getaways, LLC", "d:Description": "Specializing in Scrapbooking Getaways for Ohio Scrapbooker.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.queenofthecropgetaways.com/"} +{"d:Title": "Scrappin' Retreats", "d:Description": "Organizers of scrapbooking weekend retreats in the North Georgia area (USA), held at various resort or retreat locations.", "topic": "Top/Arts/Crafts/Scrapbooking/Events", "url": "http://www.scrappinretreats.com/"} +{"d:Title": "Creating Keepsakes", "d:Description": "Scrapbook articles, tips, ideas and message boards to help preserve your memories.", "topic": "Top/Arts/Crafts/Scrapbooking/Magazines_and_E-zines", "url": "http://www.creatingkeepsakes.com/"} +{"d:Title": "The Handcrafted Soap Makers Guild, Inc.", "d:Description": "Professional trade organization for makers of handcrafted soap and bodycare products; resources, networking, annual conference, and newsletter.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.soapguild.org/"} +{"d:Title": "Cranberry Lane Soap Instructions", "d:Description": "Step by step soap and soapmaking instructions. Cold process method in detail.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.cranberrylane.com/soapmaking.htm"} +{"d:Title": "Soapnuts Faq Library", "d:Description": "Learn how to make soap, lotions, natural body products. Recipes, facts, help and chat room.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.soapnuts.com/"} +{"d:Title": "Soap Teacher", "d:Description": "Soap making instructions.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.soapteacher.com/"} +{"d:Title": "Cole Brothers Soap", "d:Description": "Guide on making and marketing decorative soap. Comes with 250 recipes and complete step by step instructions.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.colebrothers.com/soap/"} +{"d:Title": "Pine Meadows Soapmaking Recipes", "d:Description": "Recipe listing includes soap, lotion, bath fizzies, lip balms, bath salts, body scrubs. Also includes scent blending suggestions.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.pinemeadows.net/recipes.php"} +{"d:Title": "Soap Center", "d:Description": "Soapmaking recipes, instructions, tips, and supplier links.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.halderman.net/soap/"} +{"d:Title": "Teach Soap", "d:Description": "Basic instructions for melt and pour, and cold process soap. Includes a glossary of soap making terms.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.teachsoap.com/"} +{"d:Title": "Audrey's Soap Site", "d:Description": "Instruction and recipes for making soap at home. Also includes instruction and recipes for making your own cosmetics.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.eaudrey.com/"} +{"d:Title": "Soap Making Business", "d:Description": "Resources for those who want to start or grow a handcrafted bath and body care business.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.soapmakingbusiness.com/"} +{"d:Title": "Olympic Views", "d:Description": "Beneficial for those new to soaping. Recipes, information, and links compiled by Kathy Miller.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://millersoap.com/"} +{"d:Title": "Colonial Soap Making", "d:Description": "This site offers brief history of soap from the first soaps through the colonial period with links to the Soap Factory's online catalog.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.alcasoft.com/soapfact/history.html"} +{"d:Title": "Sabrina's Soapmaker's Resources", "d:Description": "Resources and recipes for soap makers.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://ziggurat.org/soap/"} +{"d:Title": "About.com Soap Making", "d:Description": "Features information about learning to make soap.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://candleandsoap.about.com/"} +{"d:Title": "Soap Queen", "d:Description": "Discusses soaps, toiletries, recipes, and experiments around making your own goods.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://soap-queen.blogspot.com/"} +{"d:Title": "Soap Making Forum", "d:Description": "A message board forum about homemade soaps, candles, lotions and bath products. Includes an information center with how to instructions and a resources page.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.soapmakingforum.com/"} +{"d:Title": "Soap Making Essentials", "d:Description": "Learn how to make various types of soap with step by step instructions. Information about ingredients, equipment, decorative ideas, recipes and resources.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.soap-making-essentials.com/"} +{"d:Title": "Soap Making Resource", "d:Description": "Detailed step by step guide for soap making at home. Information about tools, ingredients, skin care and tutorials.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.soap-making-resource.com/"} +{"d:Title": "Nizzy's Whipped Soap", "d:Description": "Instructional guide on how to make whipped soap bars. Includes recipes, gallery, tips, and pioneer diary.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://nizzymoulds.com/Whipped/index.htm"} +{"d:Title": "Homemade Bath Products", "d:Description": "Recipes, information and discussions about handmade soaps and bath and beauty products.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://homemadebathproducts.blogspot.com/"} +{"d:Title": "Blue Aspen Originals", "d:Description": "Instructions for cold process soap making, rebatching, swirling, and recipes.", "priority": "1", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.blueaspenoriginals.org/"} +{"d:Title": "Makesoap.biz", "d:Description": "Offers soapmaking courses and holidays in the UK and France, as well as business courses for the natural cosmetics industry.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.makesoap.biz/"} +{"d:Title": "Liquid Soapmaking", "d:Description": "Instructions for making liquid soap.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.islandartisansupply.ca/liquid/"} +{"d:Title": "North Country's Soap Making Recipes and Information", "d:Description": "A large collection of soap making recipes, hints, articles, and tutorials. Includes a free lye calculator.", "topic": "Top/Arts/Crafts/Soaps", "url": "http://www.northcountrymercantile.com/soapmakinglibrary/"} +{"d:Title": "Yahoo Groups: GentleSoapers", "d:Description": "Large membership; topics include handmade soaps and skin care products for people with allergies to chemicals or fragrances.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://groups.yahoo.com/group/GentleSoapers/"} +{"d:Title": "Yahoo Groups: mpsoap", "d:Description": "Melt and pour soap group with a strong membership.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://groups.yahoo.com/group/mpsoap/"} +{"d:Title": "Yahoo Groups: Soap Craft", "d:Description": "Pagan group discusses soap, aromatherapy, toiletries, candles and other crafts.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Soap_Craft/"} +{"d:Title": "Yahoo Groups: SoapPot", "d:Description": "Share ideas about soapmaking, soap casting and other related crafts, such as aromatherapy, candles and gel candles.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SoapPot/"} +{"d:Title": "Yahoo Groups: Soap-recipes", "d:Description": "Members share recipes, hints and techniques for creating soaps and other toiletries, and packaging.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://groups.yahoo.com/group/soap-recipes/"} +{"d:Title": "Yahoo Groups: UKSoaping", "d:Description": "UK group topics include the making of soap and toiletries.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://groups.yahoo.com/group/uksoaping/"} +{"d:Title": "Yahoo Groups: userhomesoap", "d:Description": "One of the oldest soap making mailing lists,", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://groups.yahoo.com/group/userhomesoap/"} +{"d:Title": "Yahoo Groups: Soapbiz", "d:Description": "Informational group for those who are starting or running a soap business.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://finance.groups.yahoo.com/group/Soapbiz/"} +{"d:Title": "Yahoo Groups: Soapmaking101", "d:Description": "Allows soap makers of all levels to exchange ideas, recipes, problems and suggestions.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://health.groups.yahoo.com/group/soapmaking101/"} +{"d:Title": "Yahoo Groups: 1Toiletries", "d:Description": "Open discussion of all aspects of producing and marketing soaps and toiletries.", "topic": "Top/Arts/Crafts/Soaps/Chats_and_Forums", "url": "http://health.groups.yahoo.com/group/1toiletries/"} +{"d:Title": "International String Figure Association", "d:Description": "The ISFA works to gather, preserve, and distribute string figure knowledge for future generations to enjoy this ancient pastime. They have a list of publications, an invitation to become a member, a mailing list, and links to related web sites. Of particular interest are the detailed instructions for making figures collected in 1924 by Diamond Jenness in the Canadian Arctic.", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://www.isfa.org/isfa.htm"} +{"d:Title": "String Figures", "d:Description": "Brian Cox, the Incredible Stringman, tells a story called \"Going Fishing\" illustrated with string figures. Photos and text of his performance.", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://www.whimsical-workshop.mb.ca/string.html"} +{"d:Title": "The Mathematics and Origin of String Figures", "d:Description": "Trivial knot theory, history, and a few new designs by the author, Martin Probert.", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://website.lineone.net/~m.p/sf/menu.html"} +{"d:Title": "Strings On Your Fingers", "d:Description": "Michael P. Garofalo does presentations on string figures art, and performances of string figures, catches, and tricks in Northern California and Southern Oregon. Includes a recommended reading list and bibliography for string figures.", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://www.gardendigest.com/string/index.htm"} +{"d:Title": "String Figures and Shamanism", "d:Description": "An essay by Lois Stokes, with speculations on the role of string figures in Hawaiian culture.", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://www.huna.org/html/string.html"} +{"d:Title": "Me Human, You Alien", "d:Description": "How to talk to an extraterrestrial, using a handful of coins, a loop of string, a flashlight, and two magnets. This is an excerpt from a book entitled \"The Handbook of UFO Contact\" by Jonathan Vos Post.", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://www.magicdragon.com/EmeraldCity/extraterrestrials/alien1.html"} +{"d:Title": "Yahoo! Groups Stringfigures", "d:Description": "Registered members of this group are interested in describing and illustrating string figures for publication on the web at WWW Collection of Favorite String Figures. They are also developing a system of string figure notation (SFN).", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://groups.yahoo.com/group/stringfigures/"} +{"d:Title": "WWW Collection of Favorite String Figures", "d:Description": "Instructions using string figure notation and prose descriptions, along with diagrams and some video clips. Features twelve beginner-level figures, followed by others of increasing difficulty, and a directory of performers.", "topic": "Top/Arts/Crafts/String_Figures", "url": "http://www.alysion.org/string.htm"} +{"d:Title": "Interweave Press", "d:Description": "Producer of weaving and other fiber arts publications. Includes book descriptions, magazine contents, subscription information and special event registration.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.interweave.com/"} +{"d:Title": "Textile Center of Minnesota", "d:Description": "A coalition of the textile community, dedicated to promoting and preserving textile excellence. St. Paul.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.textilecentermn.org/"} +{"d:Title": "FiberLink", "d:Description": "Information and resources for knitters, spinsters, weavers, and other fiber artists.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.fibergypsy.com/"} +{"d:Title": "The Fabric Museum", "d:Description": "Virtual museum presenting exhibits on fabric art, design and history.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.fabricmuseum.org/"} +{"d:Title": "Alexandria Textiles", "d:Description": "Resource center for the fiber arts community specializing in spinning, weaving and dyeing.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.alextex.com/"} +{"d:Title": "All Fiber Arts", "d:Description": "Informational site for weaving, spinning and other fiber arts. Articles, forum.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.allfiberarts.com/"} +{"d:Title": "The Art Of Batik Painting", "d:Description": "Mrs. Leong, batik teacher in Singapore, provides illustrated instructions for batik and photos of students' work.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://lepaonac.tripod.com/"} +{"d:Title": "Textile Conservation Services", "d:Description": "Service for care, restoration, and presentation of antique and contemporary textile arts.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.caringfortextiles.com/"} +{"d:Title": "Puchka Peru Cultural Tours", "d:Description": "Textiles and folk art tours for those interested in Peruvian art and craft.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.puchkaperu.com/"} +{"d:Title": "TLD Design Center and Gallery", "d:Description": "Art gallery in Westmont, Illinois offering classes in weaving, spinning and other arts and crafts.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.tlddesigns.com/"} +{"d:Title": "Arts of Africa", "d:Description": "Artisans producing traditional and contemporary artifacts and clothing. Gallery images, list of artisans, exhibits, articles on textiles.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.africancrafts.com/"} +{"d:Title": "Shuttles, Spindles&Skeins", "d:Description": "Focus on classes and workshops. List of yarns offered.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.shuttlesspindlesandskeins.com/"} +{"d:Title": "John C. Campbell Folk School", "d:Description": "Teaching traditional crafts, music and dance in the mountains of North Carolina.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.folkschool.org/"} +{"d:Title": "Textile Repair Studio", "d:Description": "Repair, restoration and appraisal. List of services, contact information.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.quiltrepair.com/"} +{"d:Title": "Dalton Textile Tours", "d:Description": "Information on up and coming tours to China to discover the secrets of Chinese embroidery. Pictures and information on previous tours is provided.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.daltontours.com/"} +{"d:Title": "Maine Fiberarts", "d:Description": "Promoting the enjoyment and quality of Maine fiber work. Includes background, membership and contact information.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.mainefiberarts.org/"} +{"d:Title": "Phiala's String Page", "d:Description": "Information on tablet weaving and non-woven textiles including naalbinding, sprang and braiding. Offers historical information, especially medieval, with techniques included.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.stringpage.com/"} +{"d:Title": "Rug Hooking Online", "d:Description": "Features how-to articles and patterns, a gallery of full-color rugs, and links to related sites.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.rughookingonline.com/"} +{"d:Title": "Cooper-Hewitt, National Design Museum, Smithsonian Institution", "d:Description": "The only museum in the United States devoted exclusively to historic and contemporary design.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.cooperhewitt.org/"} +{"d:Title": "TextileLinks", "d:Description": "A compilation of textile-related words, phrases and links to other sites, by Rosemary Brock.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.textilelinks.com/"} +{"d:Title": "Mexican Textiles", "d:Description": "Dedicated to the presentation of indigenous costume, the people, their location and their traditions.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.mexicantextiles.com/"} +{"d:Title": "Fiberarts.org", "d:Description": "Listing of guild contacts, calendar of events and articles.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://fiberarts.org/"} +{"d:Title": "Regia Anglorum - Anglo-Saxon and Viking Crafts - Sprang", "d:Description": "The history, origins, construction and use of thread twisting.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.regia.org/sprang.htm"} +{"d:Title": "Birgitte Krag Hansen", "d:Description": "Information about feltmaking, felt courses and exhibitions. Includes picture gallery.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.feltmaking.com/"} +{"d:Title": "Spinners', Weavers'&Knitters' Housecleaning Pages", "d:Description": "Provides a forum and free marketplace.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.kbbspin.org/"} +{"d:Title": "World of Threads Festival", "d:Description": "A biannual festival highlighting international textile art and craft. Call for submissions, gallery exhibitions in Oakville Ontario Canada. Featuring contemporary art, quilting, weaving, sewing, paper making, textiles, sculpture.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://worldofthreadsfestival.com/"} +{"d:Title": "Dana's Textile Travels", "d:Description": "Dana McCown shares pictures and notes from her travels to see traditional textiles around the world. Also \"guest traveller\" submissions.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.textiletravels.com/"} +{"d:Title": "Michigan Fiber Festival", "d:Description": "Show and workshop schedule, list of vendors, registration forms.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.michiganfiberfestival.info/"} +{"d:Title": "Descendants of the Incas", "d:Description": "By the Center For Traditional Textiles of Cusco, Peru, promoting Inca weaving traditions. Contains images and stories, and descriptions of spinning, dyeing and weaving processes used.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.incas.org/"} +{"d:Title": "The Australian Forum for Textile Arts (TAFTA)", "d:Description": "Providing publications, conferences and workshops for textile craftspeople. Contains conference and magazine subscription information, editor background, news and events.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.tafta.org.au/"} +{"d:Title": "Beetle Felt", "d:Description": "Provides workshops using textiles, particularly felt and also runs a craft shop based in Chorlton, Manchester, United Kingdom. Contains workshop information, gallery and online shop.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.beetlefelt.co.uk/"} +{"d:Title": "Rose Tinted Creations", "d:Description": "One day craft courses in felt making, jewellery making and rag rug making. Artwork and upholstery commissions also undertaken. Contains course information and gallery. Cheshire, North West England.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://www.rosetintedcreations.co.uk/"} +{"d:Title": "Atelier Marin", "d:Description": "Specializes in luxurious natural fibers and hand dyed yarns. Offers expert advise, a full class schedule and a comprehensive selection of books and accessories for knitting and crochet.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://atelieryarns.com/"} +{"d:Title": "Ewa Soszko: Antique Textile Conservation", "d:Description": "Textiles from different epochs, and methods of textile conservation and restoration. Photos of the artist's work in ecclesiastical textiles and iconographic paintings.", "topic": "Top/Arts/Crafts/Textiles", "url": "http://ewasoszko.republika.pl/"} +{"d:Title": "Pohjola, Ulla", "d:Description": "Finnish textile artist presents an online gallery featuring creative embroidery. Includes exhibitions listing.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.ullapohjola.fi/"} +{"d:Title": "Moos-Brochhagen, Veronika", "d:Description": "German textile artist. Includes current exhibitions and gallery.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.textilkunst.de/"} +{"d:Title": "Marshall, John", "d:Description": "American fiber artist specializing in the traditional Japanese techniques of Katazome (stencil dyeing) and tsutsugaki (cone drawing). Extensive information and instruction on Japanese textiles and crafts.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.johnmarshall.to/"} +{"d:Title": "Surdut, Beth", "d:Description": "Handpainted silks and wearable art. Focus is on tropical florals and feathers.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.bethsurdut.com/"} +{"d:Title": "Schwabe, Alison", "d:Description": "Contemporary Australian quiltmaker, fibre artist, and teacher.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.alisonschwabe.com/"} +{"d:Title": "Stumpf, Mary Patricia", "d:Description": "Contemporary batik artist. Includes catalog of work, information on commissions.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.batikartist.com/"} +{"d:Title": "Mireault, Jean-Louis", "d:Description": "This watercolorist offers his works on silk and the story of his artistic career.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.absolutearts.com/portfolios/m/mireault/"} +{"d:Title": "Bird, Charlotte", "d:Description": "San Diego fiber artist displays her artistic quilts, wearable art clothing and happiness dolls.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.birdworks-fiberarts.com/"} +{"d:Title": "Jean-Baptiste, Daniel", "d:Description": "A collection of silk paintings by the artist.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.jean-baptiste.com/"} +{"d:Title": "Clemens, Barbara", "d:Description": "Produces handwoven clothes, handmade leather bags and quilts. Biography and gallery of works.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.barbaraclemens.de/"} +{"d:Title": "Dickinson, Ellen", "d:Description": "Gallery offered by this fiber sculptor features organically shaped pieces using basketry techniques in linen, biography and statement.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.ellendickinson.com/"} +{"d:Title": "Reynolds, Rachel", "d:Description": "Work covers a broad area of disciplines, ranging from large scale backdrops to hangings in domestic interiors. Biography, product examples and outlets.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.rachel-reynolds.co.uk/"} +{"d:Title": "Sadowski, Petra-Marita", "d:Description": "A gallery of mixed-textile fiber reliefs, collages and graphics offered by this German fiber artist. Includes biography and statement.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://gallery.passion4art.com/members/sadowski/"} +{"d:Title": "Shore, Sally", "d:Description": "Wall hangings and evening bags woven from ribbon, and scarves of pleated ribbon. Artist's statement, resume, gallery and show locations.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.ribbonweaver.net/"} +{"d:Title": "Dahl, Caroline", "d:Description": "Self-taught textile artist from San Francisco, working in embroidery, applique and piecework. Includes gallery, exhibitions and reviews.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.carolinedahl.com/"} +{"d:Title": "Yong, Kheng-Wah", "d:Description": "Artist uses wax-dyeing on cloth as a unique art media. Information on technique, biography, gallery.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://khengwah.bizland.com/"} +{"d:Title": "Silke", "d:Description": "Works in silk including interpretation of the Major Arcana. English and Spanish versions available.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.silke.com.ar/"} +{"d:Title": "Jel\u00ednkov\u00e1, Dagmar", "d:Description": "Screen printed silk textiles, tapestries and carpets. This Czech artist also paints on other media.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.dagmarjelinkova.cz/"} +{"d:Title": "Crouse-Kemp, Susan", "d:Description": "Creates two and three dimensional fiber works in quilt technique. Includes gallery, exhibition list and resume.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.sckart.com/"} +{"d:Title": "Walburn, Linda", "d:Description": "A gallery of art quilts, three-dimensional fabric art altars and digital photography. Includes statement and contact details for viewing works.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.lindawalburn.com/"} +{"d:Title": "McGahan, Angela", "d:Description": "Fiberist born in Ireland now living in England creates appliqued, embroidered, beaded works. Contains gallery, exhibit list and resume.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://angelamcgahan.com/"} +{"d:Title": "Sch\u00fclke, Bettina", "d:Description": "Austrian fiber artist. Includes images of works and resume.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.bettinaschuelke.at/"} +{"d:Title": "Falk, Gabriella", "d:Description": "Creator of tapestries, wall hangings and architectural glass features. Gallery, exhibitions, resume and commission information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.gabriellafalk.co.uk/"} +{"d:Title": "Gass, Linda", "d:Description": "Hand painted silk art quilts including aerial landscape representations, and wearable art. Portfolio, biography and contact information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.lindagass.com/"} +{"d:Title": "Sales, Michelle", "d:Description": "Sculpture artist working with thermoplastic fiber utilizing image transfers, dyeing, hand and machine embroidery, and found objects. Portfolio, exhibition list and biography.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.michellesales.com/"} +{"d:Title": "O\u2019Neill, Joanna", "d:Description": "Works include home textiles and cushions, greetings cards, hangings and framed panels. Covers biography, design process and gallery.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.richstitch.com/"} +{"d:Title": "Martin, Judith", "d:Description": "Collage quilts mixing photographs, drawings, paintings, and objects with fabrics, threads, text and handwork. Includes gallery and biography.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.judithmartin.info/"} +{"d:Title": "Epstein, Diane", "d:Description": "The work and methods of Hawaiian silk painter. Artist's statement and her gallery of wearable art.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.dianeepstein.com/"} +{"d:Title": "Sarin, Sophie", "d:Description": "Handpainted canvasses for the floor. Examples of work, commission information, history and artist methods.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.sarinfloorcloths.com/"} +{"d:Title": "Helmericks-Louder, Annie", "d:Description": "Art quilts, tapestries and landscape paintings. Gallery and exhibition vita.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.helmericks.com/"} +{"d:Title": "Tinger, John", "d:Description": "Contemporary fine art batik originals and prints. Gallery, information and photos of the technique.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.batikfineart.com/"} +{"d:Title": "Monsen, Ragnhild", "d:Description": "Scandinavian fiber artist creates tapestries and conceptual textile art, especially large-scale projects. Many pictures.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.ragnhild-monsen.com/"} +{"d:Title": "Gabrielse, Leslie", "d:Description": "Combines various fabrics through an appliqu\u00e9 technique augmented by acrylic paint, as well as drawings and collages. Gallery, artist's statement and contact information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.gabrielse.com/"} +{"d:Title": "Randall, Barbara S.", "d:Description": "Artist creates mixed media paintings, quilts and amulet bags. Includes artist's statement, gallery, past exhibitions and news.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.cajunbluesstudios.com/"} +{"d:Title": "Killer, Paddy", "d:Description": "British textile artist and illustrator creates embroidered and appliqued hangings. Includes gallery, exhibition information, news and curriculum vitae.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.paddykillerart.co.uk/"} +{"d:Title": "Fischer, Melanie", "d:Description": "Fabric based art including wall hung, sculpture, installation and prints featuring imagery dealing with childhood fantasies. Gallery and artist's statement.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.melaniefischer.com/"} +{"d:Title": "Long, Julieanne", "d:Description": "Textile artist from the UK. Profile, pictures of work including knitted and stitched paper clips, and latest exhibition details.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.textileartist.co.uk/"} +{"d:Title": "Gianello, Luciana Costa", "d:Description": "Knotted leather pile hangings, cut-fabric technique and works in plexiglass. Gallery, biography and contact information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.costagianello.it/"} +{"d:Title": "Dolan, Wendy", "d:Description": "Surface texture developed by applying fabrics, yarns and embellishing with machine and hand stitchery. Artist background, gallery and contact information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.wendydolan.co.uk/"} +{"d:Title": "Sorrell, Susan", "d:Description": "Collages incorporate fabric, beading, painting, printmaking, photography, computer images and found objects. Artist's statement, gallery, workshops and upcoming shows.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.creativechick.com/"} +{"d:Title": "Kamenetzky, Karen", "d:Description": "Original hand-dyed art quilts embellished with embroidery and beading. Artist statement and gallery.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.karenkamenetzky.com/"} +{"d:Title": "Paollart", "d:Description": "Pavla Lazarek Trizuljak creates original handpainted clothing items in softly geometric designs. Includes artist background and gallery.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.paollart.com/"} +{"d:Title": "Brackmann, Holly", "d:Description": "Explores weaving, d\u00e9vor\u00e9, dyeing, surface design and emerging textile techniques. Her knowledge has been shared by teaching, curating textile and craft exhibitions, lecturing and exhibiting internationally.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.hollybrackmann.com/"} +{"d:Title": "Ross, Jeni", "d:Description": "Tapestries, tufted rugs and wall hangings feature abstract images. Details of commissions with gallery images and biography.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.jeniross.co.uk/"} +{"d:Title": "Santoro, Alyce", "d:Description": "Fabric woven from polyester thread and pre-recorded 1/8\" audio cassette tape can be \"heard\" by running a tape head across its surface. Photographs and information about the process.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.alycesantoro.com/"} +{"d:Title": "Dahl, Carolyn", "d:Description": "Silk and fabric works, drawings on paper and fabric, and handmade paper baskets and vessels. Gallery, information on her books and TV appearances.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.carolyndahlstudio.com/"} +{"d:Title": "Rico, Lisa", "d:Description": "Northern California artist hand-paints images on cloth, and machine embroiders to add texture and enhance shadows and highlights. Gallery, exhibit information and description of process.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.lisarico.com/"} +{"d:Title": "Whitworth, Isabella", "d:Description": "Specializing in the area of silk painting, wax resist and indigo dyeing. Includes examples, techniques details of courses and related links.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.isabellawhitworth.co.uk/"} +{"d:Title": "Himich, Tanya", "d:Description": "Russian silk painter with extensive batik training. Includes background and gallery of painted garments.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://narod.kmv.ru/batic/"} +{"d:Title": "Harbour, Karen", "d:Description": "Contemporary mixed media two-dimensional pieces. Includes background, portfolio and commission information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.harbourart.co.uk/"} +{"d:Title": "Williams, Christine", "d:Description": "Textile paintings composed of antique textiles appliqued by hand onto silk organza as though using paint. Motifs are a commentary on modern life.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.christinewilliamsdesigns.com/"} +{"d:Title": "Tobon, Pilar", "d:Description": "This textile artist and sculptor directs the Women in Textile Art Organization. Includes artist background, list of exhibits, murals gallery.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.pilar-tobon.com/"} +{"d:Title": "Crocker, Ellen", "d:Description": "Multimedia Hawaiian artist working in rozome (silk painting) and quilting.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.ellencrocker.com/"} +{"d:Title": "Schmidt, Marti", "d:Description": "Reflects the tropical ambience of the Florida Gulfcoast in her surface design work. Includes discussion of various printing, dyeing and resist techniques on multiple media.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.martischmidt.com/"} +{"d:Title": "Carpenter, Wendy", "d:Description": "Mixed-textile fiber wall art focusing on installations for commercial and residential architecture. Techniques include weaving, dyeing, papermaking, and basketry.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.interfibers.com/"} +{"d:Title": "Olin-Ranstam, Lisbet", "d:Description": "Swedish maker of woven and embellished pictures. Gallery, exhibition list, artist statement and contact information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.fiberart.se/"} +{"d:Title": "Stocksdale, Joy", "d:Description": "Fabric artist and screen printer, author and educator, developer of the technique called polychromatic screen printing. Includes photos of work, resume, and exhibitions.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.joystocksdale.com/"} +{"d:Title": "Rasmussen, Erica Spitzer", "d:Description": "An artist and educator who creates mixed media and handmade paper garments, and whose work often utilizes clothing as metaphor. Photos, exhibitions, lectures/workshops.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://ericaspitzerrasmussen.com/"} +{"d:Title": "Lusnak, Karin", "d:Description": "Creates both quilts and textile sculpture using methods of stacking, layering and repetition. Features artist statement/biography/resume, photos, and schedule of exhibitions.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.karinlusnak.com/"} +{"d:Title": "Wisnoski, Barbara", "d:Description": "Montreal, Canada-based textile artist creates large-scale textural wall hangings/installations from recycled fabrics. Biography, artist statement, exhibition schedule, and portfolios.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.barbarawisnoski.com/"} +{"d:Title": "Hickman, Pat", "d:Description": "Studio artist known for her investigation and use of gut and skin-like materials, interest in the translation of fiber to metal, sculptural baskets, and research on Turkish needlelace edging \"oya\".", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.pathickman.com/"} +{"d:Title": "Tyler, Cherrilyn", "d:Description": "Pieces are based on worn surfaces that are interwoven with the poetry of Shelley or Keats floating within transparent fabrics. Includes information on book Slip Into Silk.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.petertyler.com/cherrilyn.html"} +{"d:Title": "Sage, Priscilla Kepner", "d:Description": "Lightweight air sculptures and wall relief pieces made from mylar fabric. Hand-painted and -transferred dye with machine and hand stitching. Photographs of commissioned work, current work, and work in collections.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://www.public.iastate.edu/~pksage/design/"} +{"d:Title": "Iosca, Philip", "d:Description": "Online portfolio of this textile designer working in jacquard-weave, machine knits and surface design. Portfolio, resume and contact information.", "topic": "Top/Arts/Crafts/Textiles/Artists", "url": "http://ioscaindustries.com/"} +{"d:Title": "World Textile Art", "d:Description": "International group specializing in promoting contemporary textile art by vanguard artists. Application for and information on sponsored exhibit.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://wta-online.org/"} +{"d:Title": "The Textile Society", "d:Description": "For textile art, design and history in the United Kingdom. Information on bursaries, events calendar.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://www.textilesociety.org.uk/"} +{"d:Title": "Fresno FiberArts Guild", "d:Description": "Preserving the arts of traditional needlework and other fiber forms. Includes program schedule, list of interest groups, photos and membership information.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://www.fresnofiberartsguild.org/"} +{"d:Title": "Fiber Guild of Greater Kansas City", "d:Description": "Supports the local fiber arts community around Overland Park, Kansas. Gallery and program information.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://kansascityfiberguild.org/"} +{"d:Title": "Online Spinning and Weaving Guild", "d:Description": "Web-based guild for anyone interested in the textile arts. Features user-contributed photo gallery, textile discussion area, real-time chat, and events calendar.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://herran.com/textile/"} +{"d:Title": "Alive and Stitching", "d:Description": "A group of six professionals exhibiting together to sell their works and raise the profile of the art. Artists' galleries and statements.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://www.aliveandstitching.co.uk/"} +{"d:Title": "Edge Textile Artists", "d:Description": "Group in Scotland representing a wide application of textile design and mixed media. Exhibition information and list of members.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://www.edge-textileartists-scotland.com/"} +{"d:Title": "The Guild of Silk Painters", "d:Description": "International group of artists. Includes articles, contacts, workshop information and links to promote the exchange of ideas.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://www.silkpainters-guild.co.uk/"} +{"d:Title": "Textile Study Group of New York", "d:Description": "NYC-located fiber art organization that sponsors monthly slide lectures by leading textile artists/authorities, publishes a monthly newsletter mailed to all members, and provides opportunities for members to exhibit their work.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://www.tsgny.org/"} +{"d:Title": "Australian Textile Arts and Surface Design Association", "d:Description": "A not for profit organisation fostering ideas between fibre artists using pigments, dyes, manipulative techniques and surface decoration. Includes meeting information, workshops and member gallery.", "topic": "Top/Arts/Crafts/Textiles/Associations", "url": "http://www.atasda.org.au/"} +{"d:Title": "Hendrickson, Linda", "d:Description": "Information on tablet weaving and ply-split braiding, photos of bands, braids, and baskets, workshop descriptions, mail order supplies and instructions.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.lindahendrickson.com/"} +{"d:Title": "Kumihimo", "d:Description": "Includes a bibliography and brief discussion about equipment.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://braiding.org/"} +{"d:Title": "Fifteenth-Century Fingerlooped Braid Reproductions", "d:Description": "Samples by Carolyn Priest-Dorman, with photographs and comments.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.cs.vassar.edu/~capriest/fingerloop.html"} +{"d:Title": "Fiber Expression", "d:Description": "Maryse Levenson, weaver, braidmaker and teacher. Includes resume, classes offered and scheduled, and gallery of work.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.fiberexpression.com/"} +{"d:Title": "Beginning Kumihimo", "d:Description": "A kumihimo beginner's instructional handout.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.gflower.org/kumihimo.htm"} +{"d:Title": "Fingerloop Braids", "d:Description": "Fingerloop braids from 15th-17th century Medieval Europe. Transcribed and interpreted from documents of the era with detailed instructions and profuse illustrations.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://fingerloop.org/"} +{"d:Title": "A Few Medieval Braids", "d:Description": "Instructions with illustrations for creating a basic flat braid, a basic round or square braid, a guilloche, and a fingerloop braid. Different strand configurations are shown.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.stringpage.com/braid/braid.html"} +{"d:Title": "Tada, Makiko", "d:Description": "Author, teacher, artist, and industry consultant. Features a bibliography, gallery, and event schedule.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.texte.co.jp/makiko/"} +{"d:Title": "Kumihimo", "d:Description": "Lessons, information and sources for Japanese kumihimo, maru dai braiding techniques and equipment.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://knitting.about.com/od/"} +{"d:Title": "Making a Viking Whip-cord", "d:Description": "Instructions for making a 4 strand braid using a method called interlocking or Viking Whip-cord.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://genvieve.net/sca/whipcording-howto.html"} +{"d:Title": "The Braid Society", "d:Description": "Group which focuses on narrow off-loom techniques. Includes membership information, resources and events.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.braidsociety.com/"} +{"d:Title": "Kinoshita, Masako", "d:Description": "Artist working in kumihimo as well as kute-uchi, an archaic technique she reconstructed. Includes information on workshops.", "topic": "Top/Arts/Crafts/Textiles/Braiding", "url": "http://www.lmbric.net/"} +{"d:Title": "Todd Designs", "d:Description": "Carol Todd, natural dye specialist. Contains recipes for the Dye Plant of the Month, handwoven rugs, and a gallery of hand-painted silk items.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://home.onemain.com/~crowland/index.html"} +{"d:Title": "Orchil Dye", "d:Description": "The poor person's purple. An article speculating on the history of this coloring, including bibliography and experimentation.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.ravensgard.org/gerekr/Orchil.html"} +{"d:Title": "Ancient Dyes, Natural and Synthetic", "d:Description": "Articles on the history and chemistry of indigo and several purples.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.chriscooksey.demon.co.uk/"} +{"d:Title": "Diary of a West Cork Dyer", "d:Description": "Recipes on wool, and commentary, excerpts from Kate Jepson's writings.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://homepage.tinet.ie/~ojk/page7a.html"} +{"d:Title": "Paula Burch's All About Hand Dyeing", "d:Description": "Gallery and how to tie-dye, batik, and dye by many other techniques including low water immersion, dip dyeing, and sprinkle dyeing.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.pburch.net/dyeing.shtml"} +{"d:Title": "Clarissa Cochran - Shibori", "d:Description": "Photos and description of basic shibori techniques, biography and workshop information.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.indigosilks.co.uk/"} +{"d:Title": "Discharge Dyeing", "d:Description": "Information on dye effects achieved by the removal of color already in the fabric, rather than by adding it.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.quilt.com/FAQS/DischargeDyeingFAQ.html"} +{"d:Title": "Dharma Trading: Craft Techniques", "d:Description": "Dyeing techniques and projects, mostly on black and white blanks.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.dharmatrading.com/info/"} +{"d:Title": "Bello Marini", "d:Description": "Image gallery of hand-dyed scarves and T-shirts, with instructions for dying with indigo and the best plant sources for indigotin.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.billabbie.com/bellomarini/marini.html"} +{"d:Title": "Olga Zenina", "d:Description": "Russian batik artist exploring clothes and interior decor. History and overview of tools, gallery and artist background. (See link in English.)", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www.batikworld.ru/"} +{"d:Title": "Fiber Antics", "d:Description": "Covers basics of color theory, vat immersion, direct application and fabric embellishments.", "topic": "Top/Arts/Crafts/Textiles/Dyeing", "url": "http://www3.telus.net/mnepper/"} +{"d:Title": "The Spinning Wheel Sleuth", "d:Description": "Periodical devoted to spinning wheels and related tools", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.spwhsl.com/"} +{"d:Title": "Insects that Damage Wool", "d:Description": "Description, habits, and treatment of clothes moths and carpet beetles.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.angelfire.com/mn/FiberHome/WoolBugs.html"} +{"d:Title": "HJS Studio", "d:Description": "Fiber artist Holly Schaltz teaches contemporary interpretations of handspinning and handweaving. Includes class information, teacher biography and description of her process.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.hjsstudio.com/"} +{"d:Title": "An Tir: Spinners Guild", "d:Description": "Group of spinners operating in the context of the Society for Creative Anachronism. History, bibliography.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.antir.sca.org/Guilds/Spinners/"} +{"d:Title": "Company of the Silver Spindle", "d:Description": "A Guild operating within the Society for Creative Anachronism. Photo gallery, glossary of terms and a list if spinning deities.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://kws.atlantia.sca.org/"} +{"d:Title": "Patsy Zawistoski", "d:Description": "Teacher and author offering information on her workshops, videos for sale, and online help.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.spinninguru.com/"} +{"d:Title": "Illinois Prairie Spinners", "d:Description": "Programs and other information about Illinois Prairie Spinners, a handspinning guild that meets in the western suburbs of Chicago.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://ips.chicagolandspins.org/"} +{"d:Title": "Greater Los Angeles Spinning Guild", "d:Description": "A group of spinners whose members come to spinning from a variety of fiber arts. Event calendar, membership form, newsletters, tips and tricks.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.glasg.org/"} +{"d:Title": "SpinnySpinny", "d:Description": "Articles, projects and resources on handspinning, weaving and fiber crafts, including the author's textile blog.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.spinnyspinny.com/"} +{"d:Title": "Yarnspinners Tales's Podcast", "d:Description": "Discussions of handspinning fiber, equipment and technique plus a monthly Spin In with music and stories to spin along with.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://yarnspinnerstales.com/"} +{"d:Title": "Northeast Handspinners Association", "d:Description": "Dedicated to the art and craft of handspinning in northeast US. Events calendar, information on The Gathering sponsored conference.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.nehandspinners.org/"} +{"d:Title": "Spinning Loft", "d:Description": "A teaching facility dedicated to the promotion of fiber arts. Information about classes, recommended equipment, and events at the farm.", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.thespinningloft.com/"} +{"d:Title": "Modern History Sourcebook: The Loss of Woollen Spinning, 1794", "d:Description": "Social observations on the loss of woollen spinning as a cottage industry in 1794", "topic": "Top/Arts/Crafts/Textiles/Handspinning", "url": "http://www.fordham.edu/halsall/mod/1794woolens.asp"} +{"d:Title": "Michigan Fiber Festival", "d:Description": "Sheep shearing and dog herding demonstrations. Animal shows and competitions. Marketplace and workshops. Allegan, Michigan.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.michiganfiberfestival.info/"} +{"d:Title": "Black Sheep Gathering", "d:Description": "History of the show, schedule, workshops, results from animal, fiber and fiber arts contests. Eugene, Oregon", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.blacksheepgathering.org/"} +{"d:Title": "The Wool Festival at Taos", "d:Description": "Annual event featuring sheep, wool and wool products. Workshops, demonstrations and competitions. Taos, New Mexico", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.taoswoolfestival.org/"} +{"d:Title": "Maine Fiber Frolic", "d:Description": "Schedule and contests. Workshop and online registration. Registration form. Vendor list and links. Windsor, Maine", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.fiberfrolic.com/"} +{"d:Title": "California Wool and Fiber Festival", "d:Description": "History, schedule, classes, and entry information. Livestock, vendors, demonstrations, spinning competition. Boonville, California", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.fiberfestival.com/"} +{"d:Title": "Pennsylvania Endless Mountains Fiber Festival", "d:Description": "Spinning, weaving and fiber event. Contests, demos and workshops. Includes vendor list and application. Harford, Pennsylvania", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.pafiberfestival.com/"} +{"d:Title": "New York State Sheep and Wool Festival", "d:Description": "Workshops, competitions and vendors. Schedule, registration forms, and breeder information. Rhinebeck, New York", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.sheepandwool.com/"} +{"d:Title": "Shepherd's Harvest Sheep and Wool Festival", "d:Description": "Schedule, workshops and vendor list. Results of fiber arts and fleece contests. Registration information. Lake Elmo, Minnesota", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.shepherdsharvestfestival.org/"} +{"d:Title": "Fiber Arts&Animals Festival", "d:Description": "Annual event with fiber and fiber animal demonstrations and exhibits. Workshops. Links to forms. Marshall, Michigan.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.fiberartfest.com/"} +{"d:Title": "Sacramento County Fair", "d:Description": "Wool show and sale. Fiber competitions for children and adults. Sacramento, California", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.sacfair.com/"} +{"d:Title": "Fall Fiber Festival&Montpelier Sheep Dog Trials", "d:Description": "Festival displaying sheep, alpaca, llamas, other fiber producing animals. Demonstrations, competitions, workshops, sheep dog trials. Vendor list and application. Montpelier Station, Virginia", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.fallfiberfestival.org/"} +{"d:Title": "Maryland Sheep and Wool Festival", "d:Description": "Workshops and vendors. Fleece and sheep show entry forms. Competition results. West Friendship, Maryland", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.sheepandwool.org/"} +{"d:Title": "Oregon Flock and Fiber Festival", "d:Description": "Annual fiber event. Workshops, competitions, livestock and entry forms. Canby, Oregon.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.flockandfiberfestival.com/"} +{"d:Title": "Middle Tennessee Sheep, Wool, and Fiber Festival", "d:Description": "Workshops, demonstrations, and fiber animals. Vendor links. Registration Forms. Dickson, Tennessee", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.tnfiberfestival.com/"} +{"d:Title": "DWF Fiber Fest", "d:Description": "Yearly fiber festival. Classes for all fiber arts. Vendor list. Registration information. Dallas-Fort Worth, Texas.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://dfwfiberfest.org/"} +{"d:Title": "Fiber Arts Festival (Fargo, ND)", "d:Description": "Vendors, demonstratons, sheep to shawl competition. Registration forms. Held at Rheault Farm. Fargo, North Dakota", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.fiberartsfest.com/"} +{"d:Title": "The Fiber Festival of New England", "d:Description": "Workshops and demonstrations. Sheep dog demonstrations. Fashion show. Vendor list and application forms. West Springfield, Massachusetts", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.thebige.com/ese/ESEEvents/Fiber_Festival.asp#"} +{"d:Title": "Shepherds' Extravaganza", "d:Description": "Marketplace, workshops. Sheep and fleece consignment sales. Registration forms. Puyallup, Washington", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.shepherds-extravaganza.com/"} +{"d:Title": "The Fiber Event at Greencastle", "d:Description": "Workshops and skein and fleece competitions. Vendor list and links. Registration forms. Greencastle, Indiana", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.thefiberevent.com/"} +{"d:Title": "Kentucky Sheep and Fiber Festival", "d:Description": "Natural fiber, local food event. Workshops and teacher submission forms. Vendor list and links. Fleece, skein and photography competitions. Lexington, Kentucky", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "https://www.kentuckysheepandfiber.com/"} +{"d:Title": "Upper Valley Fiber Fest", "d:Description": "All things fiber festival. Schedule of demonstrations. Vendor information, links and application forms. Troy, Ohio", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.uppervalleyfiberfest.org/"} +{"d:Title": "Indiana Fiber and Music Festival", "d:Description": "Workshops, competitions and demonstrations. Vendor information and application form. Charlestown, Indiana", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.indianafiberfest.com/"} +{"d:Title": "Yellow Rose Fiber Producers Fiesta", "d:Description": "Class information and registration form. Vendor policy and form. Seguin, Texas", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://yellowrosefiberproducers.com/"} +{"d:Title": "Shenandoah Valley Fiber Festival", "d:Description": "Class information. Vendor list, links and applications. Juried fleece sale. Fleece to scarf competition and auction. Animal exhibit, sheep dog demonstration and goat shows. Boyce, Virginia", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://shenandoahvalleyfiberfestival.com/"} +{"d:Title": "Massachusetts Sheep&Woolcraft Fair", "d:Description": "Annual fiber festival. Festival schedule, contests and demos. Sheep and fleece show. Sheep dog trials. List of vendors and vendor guidelines. Cummington, Massachusetts", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://masheepwool.org/"} +{"d:Title": "North Country Fiber Fair", "d:Description": "Fiber competitions and workshops. Vendor information and forms. Fiber animal exhibits and sheep dog demonstrations. Watertown, South Dakota", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://northcountryfiberfair.wordpress.com/"} +{"d:Title": "Vermont Sheep and Wool Festival", "d:Description": "Annual wool and fiber event. List of workshops and instructors. Vendor information. Tunbridge, Vermont", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://vtsheepandwoolfest.org/"} +{"d:Title": "Mid-Ohio Fiber Fair", "d:Description": "All fiber festival. Demonstrations and classes. Vendor and teacher application information. Newark, Ohio", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.midohiofiberfair.com/"} +{"d:Title": "Lake Area Fiber Arts Festival", "d:Description": "Festival history. Fashion show. Demonstrations, competitions, vendor information and forms. Osage Beach, Missouri", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.lakefiberarts.com/"} +{"d:Title": "Chancelor's Sheep&Wool Showcase", "d:Description": "Fiber demonstrations. Animal exhibitions including herding Border collies. Vendor list and application form. Tivoli, New York", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.friendsofclermont.org/the-chancellors-sheep-wool-showcase-2/"} +{"d:Title": "Smoky Mountain Fiber Arts Festival", "d:Description": "Classes and demonstrations. Vendor information. Townsend, Tennessee.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.townsendartisanguild.net/fiber-arts.shtml"} +{"d:Title": "New Hampshire Sheep and Wool Festival", "d:Description": "Annual sheep and wool festival. Workshops and events. List of participating vendors. Contoocook, New Hampshire.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.nhswga.com/index.php/sheep-and-wool-festival"} +{"d:Title": "Great Lakes Fiber Show", "d:Description": "Sheep show and sale. Workshops and competitions. Vendor list. Wooster, Ohio", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.greatlakesfibershow.com/"} +{"d:Title": "Estes Park Wool Market", "d:Description": "Annual show. Schedule, workshops, registration forms. Animal and fleece shows. Estes Park, Colorado", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.colorado.gov/cs/Satellite/TownofEstesPark/CBON/1251609816602"} +{"d:Title": "SLV Folk Arts and Fiber Festival (SLVFest)", "d:Description": "Workshops and demonstrations. Marketplace. Handspun yarn, roving and spinning contests. Vendor applications. Monte Vista, Colorado", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Festivals_and_Events", "url": "http://www.slvheritage.com/heritage-event-calendar/san-luis-valley-folk-art-fiber-festival/index.html@searchterm=None"} +{"d:Title": "Wool: Breeds of Sheep", "d:Description": "Maintained at Oklahoma State University. General information, photos, history of breed, bibliographies.", "priority": "1", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://www.ansi.okstate.edu/breeds/sheep/"} +{"d:Title": "Wool: Navajo-Churro Sheep", "d:Description": "Origin, history, characteristics and preservation of this rare breed. Breeder list. Published by Navajo-Churro Sheep Association.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://navajo-churrosheep.com/"} +{"d:Title": "Wormspit.com", "d:Description": "Silk work by hand: silkworms, reeling, spinning and weaving.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://www.wormspit.com/"} +{"d:Title": "Cotton: From Field to Fabric", "d:Description": "Educational overview from the National Cotton Council.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://www.cotton.org/pubs/cottoncounts/fieldtofabric/"} +{"d:Title": "Qiviut (or Qiviuk)", "d:Description": "Luxurious down fiber from the Muskox. Hints on preparing and spinning the fiber; vendors.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://www.fibergypsy.com/fibers/qiviut.shtml"} +{"d:Title": "Department of Textile Fabrics", "d:Description": "Article from the Smithsonian Institution covering the basic natural fibers.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://www.150.si.edu/siarch/handbook/textile.htm"} +{"d:Title": "Soybean Protein Fiber", "d:Description": "Background on this fiber produced from soybeans, describing the process.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://www.swicofil.com/soybeanproteinfiber.html#Introduction"} +{"d:Title": "Fibercritters", "d:Description": "An email discussion list for anyone having an interest in those animals who provide fiber for fiber artists. Information on how to join; message archives for members only.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://tech.groups.yahoo.com/group/fibercritters/"} +{"d:Title": "Libeco Lagae: From Fax to Linen", "d:Description": "Basic history, biology, growing, preparation, and end use. From The Linen House, an online store.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Spinning_Fibers", "url": "http://www.libeco.be/en/about-linen/from-flax-to-linen.aspx"} +{"d:Title": "Washing Wool by Tom Beaudet", "d:Description": "Technical overview of properties of soap versus detergent, scouring, felting, and fulling in textile mills.", "topic": "Top/Arts/Crafts/Textiles/Handspinning/Technique", "url": "http://fiberarts.org/design/articles/washwool.html"} +{"d:Title": "Woven Rope Friezes", "d:Description": "Patterns woven by Nils Kristian Rossing. Includes diagrams indicating relationship between the patterns and mathematical symmetry.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://www.maa.org/pubs/mm_supplements/farris/rope.html"} +{"d:Title": "Macrame Owls", "d:Description": "Treasury of owls formed in macrame, offered by Bill Davenport.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://www.billdavenport.com/owls/owls1.html"} +{"d:Title": "International Guild of Knot Tyers", "d:Description": "An association of people with interests in knots and knotting techniques of all kinds. Includes membership information, tips for beginners and a gallery.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://www.igkt.net/"} +{"d:Title": "Ann's Macram\u00e9", "d:Description": "Information with materials suggestions, knot instructions, projects and finishing ideas.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://macrame_1.tripod.com/"} +{"d:Title": "Macrame on Line", "d:Description": "Older issue of this e-zine dedicated exclusively to macrame. Includes review of an exhibit at a park museum, and a plant hanger pattern.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://macrameonline.tripod.com/"} +{"d:Title": "How to Macrame a Plant Hanger", "d:Description": "Tutorial with step-by-step instructions and photos detailing how to macrame a plant hanger.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://thisyearsdozen.wordpress.com/2009/05/27/how-to-macrame-a-plant-hanger/"} +{"d:Title": "Macrame Owl", "d:Description": "Humorous collection of 70's style macrame owls and wall hangings.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://www.macrameowl.com/"} +{"d:Title": "Micro-Macrame by Annika deGroot", "d:Description": "Weblog featuring macrame pieces from around the world, including the work of the author Annika deGroot.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://micromacrame.blogspot.com/"} +{"d:Title": "Macrame Lovers Blog", "d:Description": "Features macrame tips and techniques, tutorials and inspirational photos.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://www.macramelovers.com/blog"} +{"d:Title": "Smalltown", "d:Description": "Australian designer gallery of modern interpretations of macrame plant hangers and lighting fixtures.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://www.smalltown.net.au/"} +{"d:Title": "Ian Lander Jewelry", "d:Description": "Ian Lander is a macrame artist based on the East Coast. His macrame art is inspired by his travels and are heavily influenced by nature.", "topic": "Top/Arts/Crafts/Textiles/Macrame", "url": "http://www.ianlander.com/macrame/"} +{"d:Title": "Scalpay Linen", "d:Description": "Spinners, weavers and dyers of linen and wool based in the Outer Hebrides.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.scalpaylinen.com/"} +{"d:Title": "Blackdown Hills Natural Fibres", "d:Description": "Group of fibre farmers, processors and users working with Alpaca, Cashmere, Mohair and wool products.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.naturalfibres.org/"} +{"d:Title": "Weaving Art Museum and Research Institute (WAMRI)", "d:Description": "Online virtual galleries present changing exhibitions of masterpieces of textile art from the eastern Mediterranean.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://weavingartmuseum.org/"} +{"d:Title": "Santa Fe School of Weaving", "d:Description": "List of classes and workshops, also supplies available.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.sfschoolofweaving.com/"} +{"d:Title": "Ralph Griswold's Digital Weaving Archive", "d:Description": "A collection of out-of-print documents on weaving, textile manufacture, design and pattern.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.cs.arizona.edu/patterns/weaving/weavedocs.html"} +{"d:Title": "Interactive Tartan Weaver", "d:Description": "A tool which allows the user to design a tartan by choosing a stripe sequence from a selection of colours.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://houseoftartan.co.uk/interactive/weaver/index.html"} +{"d:Title": "Weaving in Beauty", "d:Description": "Information on Navajo weaving and culture including events, educational opportunities, bibliography and advice on purchasing textiles.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.weavinginbeauty.com/"} +{"d:Title": "Gill Back's Weaving", "d:Description": "Spinner and weaver with a gallery of handwoven rugs, cushion covers, wall hangings, shawls and scarfs.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://gillback.free.fr/"} +{"d:Title": "Bonnie Tarses", "d:Description": "Textile designer specializing in one-of-a-kind and custom handwovens. Trademark techniques include color horoscope weaving, words in color, and easy ikat.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.bonnietarses.com/"} +{"d:Title": "Crazy As A Loom Weaving Studio", "d:Description": "Studio tour and hand wovens including portage fluff rugs, placemats, blue jean rugs, scarves, shawls, and table runners.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://crazyasaloom.bizland.com/"} +{"d:Title": "Tim's Rudimentary Treadle Reducer", "d:Description": "A calculator to find a skeleton tie-up to reduce the number of treadles required for a weaving pattern.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.cs.earlham.edu/~timm/treadle/index.php"} +{"d:Title": "Brunold Software", "d:Description": "Db-Weben is software for handweavers. Free demo version available.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.brunoldsoftware.ch/dbw.html"} +{"d:Title": "Saori Hand-weaving of New England", "d:Description": "A site promoting the form of weaving from Japan known as Saori. Lessons available in Worcester, MA.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.saoriworcester.com/"} +{"d:Title": "Taniko Weaving", "d:Description": "Gallery and information on New Zealand Maori weaving, a twined method of creating native geometric designs by artist Judy Shorten.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.vcn.bc.ca/~celtic3/"} +{"d:Title": "Spinning Down Under", "d:Description": "Information on small loom building, weaving how-to, projects, and textile craft links.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://kero1au.tripod.com/"} +{"d:Title": "21st Century Art", "d:Description": "Online exhibition of fabric making and embellishment, with emphasis on grid-based designs.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://janhaag.com/OSDefault.htm"} +{"d:Title": "Blazing Shuttles Handweaving", "d:Description": "Kathrin Weber Scott's handweaving and hand-dyeing images and process information. Fiber Classes offered to Guilds and Art/Craft Schools.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://blazingshuttles.com/"} +{"d:Title": "Linda Hartshorn, handweaver, dyer", "d:Description": "An online portfolio of Linda's work, information on weaving classes and workshops.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://lindahartshorn.com/"} +{"d:Title": "Melentine", "d:Description": "Free weaving projects aimed at the beginning weaver.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.melentine.com/"} +{"d:Title": "Weavezine", "d:Description": "Free online weaving magazine with articles for weavers of all levels, providing inspiration and information on techniques.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.weavezine.com/"} +{"d:Title": "Loop of the Loom", "d:Description": "Hand weaving studio in New York City, specializing in Japanese Saori method. Includes schedule of upcoming events, classes and online gallery.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.loopoftheloom.com/"} +{"d:Title": "Weavolution", "d:Description": "Online social network for hand-weavers from around the world.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.weavolution.com/"} +{"d:Title": "Textile School", "d:Description": "Information about fibers, textile, products and processes", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://www.textileschool.com/"} +{"d:Title": "Loom Dancer Designs", "d:Description": "Online gallery of original Rio Grande traditional weaving by Cari Ann Sample-Malver. Works include rugs, blankets, saddle blankets and tapestries.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://loomdancer.com/"} +{"d:Title": "The Textile Museum", "d:Description": "Located in Washington, DC, the Textile Museum is dedicated to furthering the understanding of mankind's creative achievements in the textile arts. The museum's calendar, exhibitions, and shop are available.", "topic": "Top/Arts/Crafts/Textiles/Weaving", "url": "http://museum.gwu.edu/"} +{"d:Title": "Complex Weavers", "d:Description": "By-mail international guild publishes member-contributed journal on study group subjects. Lending library, gallery, information on annual meeting.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations", "url": "http://www.complex-weavers.org/"} +{"d:Title": "Cape Guild of Weavers", "d:Description": "Interest group for weaving, spinning, felting, dyeing and knitting situated in Cape Town, South Africa,", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations", "url": "http://www.freewebs.com/capeguildofweavers/index.htm"} +{"d:Title": "Association of Guilds of Weavers, Spinners, Dyers", "d:Description": "An organization of UK guilds to preserve and improve craftsmanship in textile arts, offering a quarterly journal, summer school, and certificate of achievement.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Europe", "url": "http://www.wsd.org.uk/"} +{"d:Title": "London Guild of Weavers, Spinners and Dyers", "d:Description": "Members in London and the Home Counties, UK aim to maintain and improve the standards of craftsmanship and design. Guild history, information on meetings, publications and competitions.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Europe", "url": "http://www.londonguildofweavers.org.uk/"} +{"d:Title": "Stratford-upon-Avon Guild of Weavers, Spinners&Dyers", "d:Description": "Group of weavers, spinners&dyers with varied programmes with the objectives of preservation and improvement of craftsmanship in hand weaving, spinning and dyeing.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Europe", "url": "http://wsd-stratford.org.uk/"} +{"d:Title": "The Berkshire Guild of Spinners, Weavers and Dyers", "d:Description": "Located in Sonning, England. Photos, planned programmes, background on annual challenge.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Europe", "url": "http://www.bswd.org.uk/"} +{"d:Title": "Mid-Essex Guild of Spinners, Weavers and Dyers", "d:Description": "Crafters willing to share skills and expertise. Meeting location, schedule of programme topics.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Europe", "url": "http://www.midessexguildwsd.org.uk/"} +{"d:Title": "The Clwyd Guild of Weavers, Spinners and Dyers", "d:Description": "Members in Ruthin, UK. Current meetings, photo of \"millennium tapestry rings\" project.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Europe", "url": "http://www.spindizzy.net/clwyd/"} +{"d:Title": "Northants Guild of Spinners, Weavers and Dyers", "d:Description": "A group who meet in Northampton, UK. Includes schedule of upcoming programmes and topics, photos of members' works, and map to meeting location.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Europe", "url": "http://www.northantsswd.org.uk/"} +{"d:Title": "ANWG, the Association of Northwest Weavers' Guilds", "d:Description": "Fiber artists in the northwestern US and western Canada. Individual subpages for guilds in this region, along with articles on weaving and discussion forum.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America", "url": "http://www.northwestweavers.org/"} +{"d:Title": "Carson Sierra Spinners and Weavers", "d:Description": "A resource for practicing and aspiring fiber artists in this Nevada town. Includes upcoming events, bulletin board and newsletter.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America", "url": "http://carsonsierraspinnersandweavers.blogspot.com/"} +{"d:Title": "Ontario Handweavers and Spinners", "d:Description": "Burlington, Ontario, Canada. Membership benefits, education programs, conferences and regional seminars, services, study groups and sample exchanges, history of the organization.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/Canada", "url": "http://www.ohs.on.ca/"} +{"d:Title": "Hand Weavers, Spinners and Dyers of Alberta (HWSDA)", "d:Description": "Individual members and represented guilds in Alberta, Canada offer an Annual Conference, Library Loan Program, Weaving Certificate Program.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/Canada", "url": "http://www.hwsda.org/"} +{"d:Title": "Ottawa Valley Weavers and Spinners", "d:Description": "Members in Ottawa, Ontario, Canada, interested in fibre art and crafts, particularly weaving and spinning. Information on current meetings, contacts, annual show.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/Canada", "url": "http://www.ovwsg.com/"} +{"d:Title": "Etobicoke Handweavers and Spinners Guild", "d:Description": "Etobicoke, Ontario, Canada. Contains a brief history, meeting information and general overview of activities.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/Canada", "url": "http://www.neilsonparkcreativecentre.com/index.php?module=pagemaster&PAGE_user_op=view_page&PAGE_id=5"} +{"d:Title": "Guild of Canadian Weavers", "d:Description": "Established to raise the technical, mechanical and artistic standards of hand weaving. History, membership information, certification requirements and sample newsletter.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/Canada", "url": "http://www.thegcw.org/"} +{"d:Title": "Atlantic Spinners and Handweavers", "d:Description": "Weavers, spinners and dyers living in the area of Halifax, Nova Scotia, Canada meet in a social atmosphere.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/Canada", "url": "http://ashguild.ca/"} +{"d:Title": "Edmonton Weavers' Guild", "d:Description": "Alberta, Canada. Weaving, Spinning and Dyeing; classes and workshops, study groups, annual show.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/Canada", "url": "http://edmontonweavers.org/"} +{"d:Title": "Handweavers Guild of America, Inc.", "d:Description": "National Guild headquartered in Duluth, GA, dedicated to promoting the textile arts, provides a forum for fiber artists and hosts Convergence biennial conference.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://www.weavespindye.org/"} +{"d:Title": "Mid-Atlantic Fiber Association", "d:Description": "A non-profit organization supporting the fiber arts, MAFA represents guilds from eight states in the Mid Atlantic region.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://www.mafafiber.org/"} +{"d:Title": "Prairie Textile Arts Guild", "d:Description": "Located in Bismarck, North Dakota. Includes planned meetings and past minutes, officers roster and history.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://members.tripod.com/ptag/"} +{"d:Title": "The Weavers Guild of Greater Baltimore, Inc.", "d:Description": "A diverse group of fiber enthusiasts hold special events, workshops, study groups, a grant program and technical rating program.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://www.wggb.org/"} +{"d:Title": "Weavers Guild of Greater Kansas City", "d:Description": "Serving professional and amateur weavers, spinners and other fiber enthusiasts in the Kansas City metropolitan area. Information on events, meetings, and online newsletter.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://www.kcweaversguild.org/"} +{"d:Title": "Weavers' Guild of Rochester, Inc.", "d:Description": "Rochester, New York. Calendar, Photo Gallery.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://www.weaversguildofrochester.org/"} +{"d:Title": "Chattahoochee Handweavers Guild", "d:Description": "Atlanta, Georgia area handweavers guild promoting weaving and other fiber arts. Program, class, exhibit and workshop schedules plus membership information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://www.chgweb.com/"} +{"d:Title": "Michigan League of Handweavers", "d:Description": "Photos of weaving, events and contact information for this non-profit, educational organization.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://mlhguild.org/"} +{"d:Title": "Woodstock Weavers Guild", "d:Description": "Promotes handweaving in the Northern Illinois and Southern Wisconsin area. Site features meetings, workshops and upcoming events.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://sites.google.com/site/woodstockweaversguild/"} +{"d:Title": "Potomac Fiber Arts Gallery", "d:Description": "Washington DC area. Offers study groups, degree programs, runs an artists' co-op gallery.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States", "url": "http://www.potomacfiberartsgallery.com/"} +{"d:Title": "Arizona State Federation of Weavers and Spinners Guilds", "d:Description": "Separate listings for member guilds statewide.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Arizona", "url": "http://www.azfed.org/"} +{"d:Title": "Mountain Spinners&Weaver Guild", "d:Description": "Group in Prescott, AZ. Background, calendar and contact information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Arizona", "url": "http://www.mtnspinweave.org/"} +{"d:Title": "Telarana Weavers and Spinners", "d:Description": "Group in Mesa, AZ featuring weaving, spinning, basketry and dyeing. Information on meetings, workshops and exhibits.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Arizona", "url": "http://www.telarana.org/"} +{"d:Title": "Black Sheep Handweavers Guild", "d:Description": "Los Altos, California. Guild news, calendar, online library catalog.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.blacksheepguild.org/"} +{"d:Title": "Carmel Crafts Guild", "d:Description": "Association for the development and promotion of crafts, with a focus on the fiber arts. Photos, information on monthly meetings and membership.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.carmelcraftsguild.org/"} +{"d:Title": "Conference of Northern California Handweavers", "d:Description": "Umbrella organization of guilds in Northern California hosts a biennial fiber conference. Member guilds information, educational opportunities.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.cnch.org/"} +{"d:Title": "Loom and Shuttle Guild", "d:Description": "San Francisco, California. Photo gallery, textile-related travel.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.loomandshuttleguild.org/"} +{"d:Title": "Palomar Handweavers' Guild", "d:Description": "Based in northern San Diego County, California, dedicated to education in the art and enjoyment of fiber arts. Gallery and membership information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.palomarweavers.org/"} +{"d:Title": "Santa Cruz Handweavers Guild", "d:Description": "Santa Cruz, California. Meeting information, downloadable newsletter, information on Anne Blinks Collection.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.santacruzhandweavers.org/"} +{"d:Title": "San Diego Creative Weavers Guild", "d:Description": "Group offering meetings and educational programs. Information on upcoming programs and certification course.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.sdcwg.org/"} +{"d:Title": "Central Coast Weavers", "d:Description": "A California group of weavers and other fiber artists and hobbyinsts. History, membership and meeting information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.centralcoastweavers.org/"} +{"d:Title": "Southern California Handweavers Guild", "d:Description": "A group of greater Los Angeles area fiberarts enthusiasts who \"weave to preserve the past and create for the future\". Information on programs.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.schg.org/"} +{"d:Title": "Mendocino Coast Handweavers Guild", "d:Description": "Information on meeting location and upcoming programs for this California group.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.mchg.org/"} +{"d:Title": "Foothill Fibers Guild", "d:Description": "Nevada City, California. Current events and classes offered.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.foothillfibersguild.org/"} +{"d:Title": "Sacramento Weavers' and Spinners' Guild", "d:Description": "Workshop and class schedule, membership, library and rental equipment list.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://www.sacweavespin.org/"} +{"d:Title": "Ventura County Handweavers and Spinners Guild", "d:Description": "Current guild calendar, officers, membership, library and guild equipment list.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "http://venturaweavespin.com/"} +{"d:Title": "Fiber Artisans of San Jose", "d:Description": "Guild calendar, photo gallery of activities.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/California", "url": "https://fiberartisans.org/"} +{"d:Title": "Handweavers Guild of Boulder", "d:Description": "Members in the Boulder, Colorado area. Study groups schedule, memorial scholarship funds.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Colorado", "url": "http://www.handweaversofboulder.org/"} +{"d:Title": "Mesa Fiber Arts Guild", "d:Description": "Weavers, knitters, spinners, felters and anyone else interested fiber arts meet regularly in Grand Junction, Colorado. Meeting and program information, directions.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Colorado", "url": "http://www.mesafiberartsguild.org/"} +{"d:Title": "San Juan Weavers Guild", "d:Description": "Fiber arts group offers workshops and monthly programs on weaving, spinning, and other fiber arts for members and the public. Highlighting available programs, gallery, and resources.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Colorado", "url": "http://www.sanjuanweavers.org/"} +{"d:Title": "Florida Tropical Weavers' Guild", "d:Description": "Odessa, Florida group who educate the public on the art and craft of weaving, spinning and basketry. Information on state conference.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Florida", "url": "http://www.ftwg.org/"} +{"d:Title": "Manasota Weavers Guild", "d:Description": "Serves Sarasota and Manatee, Florida Counties and environs. Meeting information, photo gallery, public service statement.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Florida", "url": "http://www.manasotaweaversguild.com/"} +{"d:Title": "Bishop Hill Fiber Guild", "d:Description": "A group of enthusiasts in West Jersey, Illinois. Calendar, events, photo gallery.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Illinois", "url": "http://bishophillfiberguild.org/"} +{"d:Title": "Illinois Prairie Weavers Guild", "d:Description": "Promoting hand weaving by coming together to teach, encourage, inspire and learn from experienced weavers. Includes membership information, photos and description of meetings.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Illinois", "url": "http://www.illinoisprairieweavers.org/"} +{"d:Title": "Champaign Urbana Spinners and Weavers Guild", "d:Description": "Organization in Illinois dedicated to promoting the fiber arts in the community. Information on study groups and programs for the guild year.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Illinois", "url": "http://www.centralillinoisfiberguild.org/"} +{"d:Title": "Cranberry Country Weavers Guild", "d:Description": "Weavers in Bridgewater, Massachusetts offer programs.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Massachusetts", "url": "http://www.cranberrycountryweavers.com/"} +{"d:Title": "Nashoba Valley Weaver's Guild", "d:Description": "Harvard, Massachusetts group, with meeting information, newsletter archives and recent activities.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Massachusetts", "url": "http://www.nvwg.org/"} +{"d:Title": "Weavers' Guild of Boston", "d:Description": "Founded in 1922, the oldest weavers guild in the United States. Meeting information, weaving classes.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Massachusetts", "url": "http://www.weaversguildofboston.org/"} +{"d:Title": "Pioneer Valley Weavers", "d:Description": "Central Massachusetts weavers' guild. Program information and links to technical and museum resources as well as informational sites on indigenous weavers.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Massachusetts", "url": "http://pioneervalleyweavers.org/"} +{"d:Title": "Jack Pine Spinners and Weavers", "d:Description": "Cass Lake, Minnesota group of spinners, weavers and wannabes. Contains news, meeting information, and history of the International Back to Back Wool Challenge.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Minnesota", "url": "http://www.paulbunyan.net/users/bsellon/"} +{"d:Title": "Zumbro River Fiber Arts Guild", "d:Description": "Fiber artists in Rochester, Minnesota. Regional conference and meeting information, photos of activities.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Minnesota", "url": "http://www.zumbroriverfiberartsguild.com/"} +{"d:Title": "Columbia Weavers and Spinners Guild", "d:Description": "Supports education in the skills of weaving, spinning and other fiber arts and promotes appreciation of these arts and crafts in central Missouri.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Missouri", "url": "http://cwsg.missouri.org/"} +{"d:Title": "Weavers' Guild of St. Louis", "d:Description": "St. Louis, Missouri. Study groups, on-line library catalog.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Missouri", "url": "http://www.weaversguildstl.org/"} +{"d:Title": "Palisades Guild of Spinners and Weavers", "d:Description": "New Jersey group dedicated to the continuation of traditional techniques, as well as to new explorations in fiber-related areas. Map to meeting place and calendar of meeting topics.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/New_Jersey", "url": "http://palisadesguild.4t.com/"} +{"d:Title": "Jockey Hollow Weavers", "d:Description": "Morris County area, New Jersey. Current programs, photo gallery of past activities.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/New_Jersey", "url": "http://jockeyhollowweavers.org/"} +{"d:Title": "Western North Carolina Fibers/Handweavers Guild, Inc.", "d:Description": "Promoting fine craftsmanship in textile arts, the guild holds monthly meetings, workshops, sponsors the Blue Ridge Handweaving Show, and holds an extensive textile library.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/North_Carolina", "url": "http://www.wncfhg.org/"} +{"d:Title": "Central Ohio Weavers Guild", "d:Description": "Program and meeting information and resources.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Ohio", "url": "http://cowg.org/"} +{"d:Title": "Weavers Guild of Greater Cincinnati", "d:Description": "Offers education in a wide range of fiber processes and techniques, including weaving, felting, spinning, basketry, knitting, and dyeing.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Ohio", "url": "http://www.weaversguildcincinnati.org/"} +{"d:Title": "Weaver's Guild of Miami Valley", "d:Description": "Contains the Guild calendar, workshops, history and activities for weavers, spinners and other fiber artists in Ohio's Miami Valley area.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Ohio", "url": "http://www.wgmv.org/"} +{"d:Title": "South Hills Textile Arts Guild", "d:Description": "A group in the suburbs of Pittsburgh, Pennsylvania, with emphasis on those textile arts using a threaded needle. Photo gallery, calendar of events and programs.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Pennsylvania", "url": "http://shtag.pghfree.net/"} +{"d:Title": "Philadelphia Guild of Handweavers", "d:Description": "Manayunk, Pennsylvania. Meeting schedule, workshops, guild news.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Pennsylvania", "url": "http://www.pghw.org/"} +{"d:Title": "Northwest Pennsylvania Spinners and Weavers Guild", "d:Description": "Edinboro. Upcoming local fiber events, newsletter online, members-only area.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Pennsylvania", "url": "http://www.npswg.org/"} +{"d:Title": "Dallas Handweavers and Spinners Guild", "d:Description": "Non-profit organization in Dallas, Texas, promoting the arts of handweaving and spinning. Information on shows, workshops, study groups.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Texas", "url": "http://dallasweavers.org/"} +{"d:Title": "Contemporary Handweavers of Houston", "d:Description": "Serves weavers, spinners and felters in the greater Houston, Texas area. Features workshop information, photo gallery, online library catalog.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Texas", "url": "http://weavehouston.org/"} +{"d:Title": "San Juan County Textile Guild", "d:Description": "An organization located in the north Puget Sound of Washington State. Information on workshops, meetings and events on the various islands.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Washington", "url": "http://www.sjctextileguild.org/"} +{"d:Title": "Seattle Weavers' Guild", "d:Description": "Founded in 1937, promotes the fiber arts and fiber artists: spinners, weavers, dyers, bandweavers and basketmakers. History, membership, programs and annual sale.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/North_America/United_States/Washington", "url": "http://www.seattleweaversguild.com/"} +{"d:Title": "Hand Weavers and Spinners Guild of NSW Inc", "d:Description": "New South Wales, Australia. Information on classes and workshops, photo gallery.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Oceania", "url": "http://www.nsweave.org.au/"} +{"d:Title": "Handweavers, Spinners and Dyers Guild of Tasmania Inc", "d:Description": "Tasmania, Australia. Biennial exhibition, guild resources, international sock competition results.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Associations/Oceania", "url": "http://www.hwsdguildtasmania.org/"} +{"d:Title": "Weaving Mailing List", "d:Description": "Mailing list - Leslie Carroll", "topic": "Top/Arts/Crafts/Textiles/Weaving/Chats_and_Forums", "url": "http://www.quilt.net/weaving.html"} +{"d:Title": "Fiber Celebration", "d:Description": "Show sponsored by Northern Colorado Weavers Guild. Prospectus, entry form, and awards.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Events", "url": "http://www.angelfire.com/co3/fibercelebration/"} +{"d:Title": "Blue Ridge Handweaving Show", "d:Description": "By the Western North Carolina Fibers/Handweavers Guild, Inc. Includes entry rules and categories and photos of winning pieces.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Events", "url": "http://www.main.nc.us/wncfhg/brhs.html"} +{"d:Title": "Convergence", "d:Description": "Biennial international conference, workshops, seminars and exhibition for artists, teachers and vendors in the fiber arts.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Events", "url": "http://www.weavespindye.org/?loc=3-00-00"} +{"d:Title": "Laura Thomas", "d:Description": "Woven Textiles: Art, Design and Consultancy. Gallery and bio including inspiration, materials, research and exhibitions.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.laurathomas.co.uk/"} +{"d:Title": "Homestead Weaving Studio", "d:Description": "Great Cyber Dish Towel Exchanges, information about weaving studio and yarns, looms, spinning wheels, spinning supplies and other items for sale. Pictures of woven rugs, throws and other items for sale. [site requires plug-ings]", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.homesteadweaver.com/"} +{"d:Title": "Louise French", "d:Description": "Profile of the handweaver, gallery, and information on classes.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.louisefrench.com/"} +{"d:Title": "Sarah D. Haskell, Weaver, Artist, Educator", "d:Description": "Weaver and weaving teacher in York, Maine. Description of classes offered, and gallery of the artist's work, many in warp brocade.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.sarahhaskell.com/"} +{"d:Title": "Orion's Plumage, studio of Rosalie Neilson", "d:Description": "The artist shares her love of color and geometric design in her warp-faced rep weaving and kumihimo braiding.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.rosalieneilson.com/"} +{"d:Title": "Britta Sorensen's Art", "d:Description": "Contains some of the artist's work at the Teachers College of Arts and Crafts. Fiber sculptures illuminated from within.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://home1.stofanet.dk/joergen-madsen/bs/"} +{"d:Title": "Hand Woven Clothing by Candiss Cole", "d:Description": "Fiber artist designs hand woven silk ikat and wool clothing - handmade from yarn to fabric, then to finished clothing.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.candisscole.com/"} +{"d:Title": "Nadine Sanders - Singing Weaver", "d:Description": "Musician and weaver. Information on workshops, teaching schedule, music recordings.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.singingweaver.com/"} +{"d:Title": "Designs in Textiles", "d:Description": "Gallery of finished works made in this weaver's studio, exhibit information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.designsintextiles.com/"} +{"d:Title": "Michael F. Rohde - Hand Woven Wall Rugs", "d:Description": "Artist's statement, list of exhibits, gallery of rug-woven wallhangings.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.michaelrohde.com/"} +{"d:Title": "Laura Fry Weaving Studio", "d:Description": "Weaver in Prince George, British Columbia shares a gallery of the artist's works, workshop schedule, essays and how-to information for weavers.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://laurafry.com/"} +{"d:Title": "Polly Barton Silk Weaver", "d:Description": "Original silk weaving, paintings with silk, exhibits and sales by Polly Barton, Ojo Caliente, New Mexico.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.pollybarton.com/"} +{"d:Title": "Bedfellows Blankets by Peggy Hart", "d:Description": "Weaver of blankets and throws on 1940s vintage dobby looms. Retail blankets and custom weaving available.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.blanketweave.com/"} +{"d:Title": "Ravenstail", "d:Description": "Maker of Chilcat weaving. Information on the technique, workshops and field trips offered, also Celtic knot work drawing.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.ravenstail.com/"} +{"d:Title": "de Larios, Ulla", "d:Description": "Pictures and explanation of process of this handweaver's works. Includes list of past exhibitions.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.ulladelarios.com/"} +{"d:Title": "Pritchard, Eleanor", "d:Description": "Textile design studio producing an annual collection of hand-woven contemporary fabrics. Includes current works, influences and design process.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.eleanorpritchard.com/"} +{"d:Title": "Eva Basile", "d:Description": "An Italian weaver's travelogue in Ghana.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.evabasile.it/"} +{"d:Title": "Fiber Designs", "d:Description": "Made of hand-dyed wool rugs. Includes artist's statement.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.fiberdesigns.com/"} +{"d:Title": "Forneris, Connie", "d:Description": "Artist's statement by this dyer and rug weaver.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.fiberdesigns.com/artiststatementright.html"} +{"d:Title": "Sullivan, Janice", "d:Description": "A portfolio of woven and painted metallic textiles for the wall. Lists classes and workshops in San Francisco and nationally.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.janicesullivantextiles.com/"} +{"d:Title": "Lancaster, Daryl", "d:Description": "Weaver and clothing designer offers gallery and workshop information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.weaversew.com/"} +{"d:Title": "Sylvie Boyer", "d:Description": "French weaver and artist creating textile sculptures and wallhangings, and offering courses to professional weavers, beginners and hobbyists.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.artisans-d-art.com/boyer/"} +{"d:Title": "Kemp, Marianne", "d:Description": "Horsehair weaving. Includes projects, gallery, statement and artist profile.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.horsehairweaving.com/"} +{"d:Title": "Petrini, Marcy", "d:Description": "Covers the weaving, teaching and writings of this weaver. Includes a beginning weaver's quiz.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.marcypetrini.com/"} +{"d:Title": "Woolgatherers", "d:Description": "Gallery of Sara von Tresckow's 20-plus years of weaving, spinning and dyeing experience.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.woolgatherers.com/"} +{"d:Title": "Sara Lamb", "d:Description": "Handweaver, dyer, spinner, teacher. Gallery of work includes woven cut-pile bags and rugs, embellishment of textiles. Links to weaver's weblog.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.saralamb.com/"} +{"d:Title": "Curiousweaver", "d:Description": "Textile blog of Karen Madigan. Includes a gallery and articles/tutorials on weaving and related textile techniques.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.curiousweaver.id.au/"} +{"d:Title": "Rosepath Weaving", "d:Description": "Nancy Kronenberg designs handwovens for the home and public spaces. Tapestry and warp faced rugs, throws and table linens are featured.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.rosepath.com/"} +{"d:Title": "Margo Selby", "d:Description": "Interior and fashion textiles, including commissioned and collaborative work.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.margoselby.com/"} +{"d:Title": "Jones Limited", "d:Description": "Experienced handweaver showcases clothing, accessories and gives show information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.janicejones.com/"} +{"d:Title": "Laura Foster Nicholson", "d:Description": "Hand woven fine art tapestries and jacquard artworks, with links to dealer's sites.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://laurafosternicholson.blogspot.com/"} +{"d:Title": "James Donald Pickone", "d:Description": "A Scottish based company producing one off and manufactured woven fabricsusing yarn combinations such as mohair and cotton chenille.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://pickone.co.uk/"} +{"d:Title": "Banner Mountain Textiles", "d:Description": "Blog site of Beryl Moody with descriptions of handwovens and information about her online sales venues.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://bannermountaintextiles.blogspot.com/"} +{"d:Title": "Clarissa Rizal", "d:Description": "Alaskan Native artist tells of her apprenticeship with Tlingit Indian master Chilkat weaver Jennie Thlunaut and displays her own Chilkat weaving and Ravenstail weaving.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.clarissarizal.com/"} +{"d:Title": "Living Threads Judaica", "d:Description": "Artist Wendy Rabinowitz uses handweaving and assemblage of weaving/mixed media based on Judaic themes and worship. Has several gallery pages of work.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.livingthreadsjudaica1.com/page/page/3184669.htm"} +{"d:Title": "Jacqueline Barber", "d:Description": "Project and equipment pictures, links, and personal information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://www.jackybarber.co.uk/"} +{"d:Title": "Peggy Osterkamp", "d:Description": "This weaving teacher concentrates on helping weavers reach goals by reducing frustration. Information on books and workshops.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "http://peggyosterkamp.com/"} +{"d:Title": "Zeck, Dana", "d:Description": "A weaver in south New Jersey. Includes background, upcoming shows, and shops where items can be found.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Personal_Pages", "url": "https://www.facebook.com/Dana-Zeck-Weaving-168975634886/"} +{"d:Title": "Weavershand", "d:Description": "An information page for weavers specifically interested in Tablet Weaving, Kumihimo, and Ply-splitting. Information about TWIST: Tablet Weavers' International Studies and Techniques.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tablet", "url": "http://www.weavershand.com/"} +{"d:Title": "Th\u00f3ra's Tablet Weaving Bibliography", "d:Description": "Bibliography of Sources for Information on Period Tablet Weaves", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tablet", "url": "http://www.cs.vassar.edu/~capriest/tweavebib.html"} +{"d:Title": "Kunst, Kanstrup", "d:Description": "Artist producing primarily landscapes. Background and photos of works.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.kanstrup-kunst.dk/"} +{"d:Title": "dill-Kocher, Laurie - Fine Art Textiles", "d:Description": "Fiber artist represented in leading private and corporate collections, specializes in Jewish themes. Resume, care suggestions, handwoven throws.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.dill-kocher.com/"} +{"d:Title": "The Ramses Wissa Wassef Art Centre", "d:Description": "Based in Harrounia, Egypt. Information about the center which teaches young villagers tapestry weaving, exhibitions, tapestries for sale.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.wissa-wassef-arts.com/"} +{"d:Title": "Folklore", "d:Description": "Fine handwoven tapestries and other objects by Olga Fisch, a Hungarian immigrant to Ecuador inspired by indigenous crafts.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.olgafisch.com/"} +{"d:Title": "Contemporary Tapestry Weaving", "d:Description": "Designed and handwoven by Ulrika Leander for private collections, corporate offices, public buildings, hospitals and churches.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.ctw-tapestry.com/"} +{"d:Title": "University of British Columbia Tapestries", "d:Description": "Information on three interesting tapestries hanging in the Woodward Biomedical Library of the University of British Columbia. They are \"Masters of Science Tapestry,\" \"Masters of Spirit Tapestry,\" and \"The Norman Bethune Tapestry.\"", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.library.ubc.ca/woodward/memoroom/exhibits/"} +{"d:Title": "Abramson, Elda", "d:Description": "Painter, tapestry weaver and tutor who sells art and organises creative holidays around the world.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.elda-abramson.com/"} +{"d:Title": "Taschner, Beata", "d:Description": "Gallery of this Vienna tapestry artist and painter. Includes gallery, artist statement and list of exhibitions.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.modern-art.ws/"} +{"d:Title": "Tea Okropiridze", "d:Description": "Includes virtual gallery, paintings, biography and contact information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://tea.giatkabladze.com/"} +{"d:Title": "Paquita Savill", "d:Description": "Specialist in tapestry, crewel work and needlepoint restorations. Includes before and after photos, contact information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.tapestryrestoration.co.uk/"} +{"d:Title": "Ellen O'Leary Tapestries", "d:Description": "Seeking to promote this important medium, and to initiate discussion on current and future issues. Includes gallery and exhibit information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.angelfire.com/art2/eoleary1/"} +{"d:Title": "van Kempen-Jarnicka, Iska", "d:Description": "Dutch painter and weaver. Includes brief biography and gallery of paintings and tapestries.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://iskajarnicka.tripod.com/"} +{"d:Title": "Gloria F. Ross Center for Tapestry Studies", "d:Description": "Organization supports studies of contemporary tapestries and tapestry-makers. Includes biography of founder Gloria F. Ross, resources and a definition of tapestry.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.tapestrycenter.org/"} +{"d:Title": "Alastair Duncan - Design Fibre ICT", "d:Description": "An artist who works primarily with fibre, weave and digital imagery as well as experience working in education. Site features projects, gallery and curriculum vitae.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.acmd.co.uk/"} +{"d:Title": "Moon Rain Centre for Tapestry Arts", "d:Description": "Nonprofit organization in Quebec dedicated to the exhibition and teaching of tapestry arts. History, gallery and contact information.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.moonrain.ca/"} +{"d:Title": "Tesinska, Jaroslava", "d:Description": "This Czech artist uses tapestry not only in the traditional way, but also as clothing and artistic objects.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://tapiserie.kub.cz/en/"} +{"d:Title": "Mire", "d:Description": "Romanian artist Mihaela Mirela Grigore. Includes biography, gallery and information on technique.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.mire.ro/"} +{"d:Title": "Soumak Tapestries by Joanna Gleason", "d:Description": "A form of weft twining is used by this artist to create tapestry-like hangings. Includes some instructions for beginners.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.gfwsheep.com/tapestry/tapestries.html"} +{"d:Title": "Rea-Menzies, Marilyn", "d:Description": "Studio of Marilyn Rea-Menzies, artist and tapestry weaver. Includes galleries showing images of tapestries, drawings and other art works, biography and a short history of tapestry", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://tapestry.co.nz/"} +{"d:Title": "Contemporary Tapestries by Stephen Knutson", "d:Description": "A fiber artist specializing in three-dimensional, hand-woven tapestries. Includes gallery, biography and information on commissioning a custom work.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.contemporarytapestries.com/"} +{"d:Title": "Smith, Rebecca", "d:Description": "A collection including florals, landscapes, portraits and abstracts. Artist's statement, gallery and information on classes.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.rebeccasmithtapestry.com/"} +{"d:Title": "Koozina, Tatyana", "d:Description": "Gallery of hand-woven tapestries from Russia. Includes artist's biography and pictures of the steps in her process.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://sura.ru/koozy/scripts/"} +{"d:Title": "Moncur, Jennie", "d:Description": "Abstract images using vibrant and flat planes of color with detailed shaded areas, as well as gun tufted rugs. Biography, gallery, pictures and history.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.jenniemoncur.com/"} +{"d:Title": "British Tapestry and Weaving", "d:Description": "Bringing British woven tapestry to a wider audience and promoting its development. Galleries, featured artist, history and links.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.thebritishtapestrygroup.co.uk/"} +{"d:Title": "Griffin, Joan", "d:Description": "Gallery and information about original contemporary pieces and instructional classes. Includes resume and procedure for commissioning a tapestry.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.joangriffintapestry.com/"} +{"d:Title": "Artist Liucija Kryzeviciene", "d:Description": "Personal web site of artist Liucija Kryzeviciene. Galleries and biography", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.liucija.com/"} +{"d:Title": "Archie Brennan and Susan Martin Maffei", "d:Description": "Galleries of Archie and Susan's tapestries and current workshops and exhibits.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.brennan-maffei.com/"} +{"d:Title": "Eilertsen, Anne Marie Nygaard", "d:Description": "Tapestry artist from Denmark. Includes statement and process, picture gallery and catalogue of available work.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.eilertsen-gobelin.eu/"} +{"d:Title": "Gallery Girard", "d:Description": "Rugs and tapestry restoration. Permanent exhibition of antique textiles. Antique dealer, decorator and restorer.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.galerie-girard.com/index_en.htm"} +{"d:Title": "TapCo Tapestry Cooperation", "d:Description": "The TapCo (Tapestry Cooperation) shows important events, news, and information of the international tapestry world.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.tapestryart.eu/"} +{"d:Title": "Hegyi, Ibolya", "d:Description": "Contains the artist's statement, an introduction, gallery and list of exhibitions.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.ibolyahegyi.hu/"} +{"d:Title": "Irina Burlaca", "d:Description": "Gallery of artworks: Tapestry, Print kerchief, Decorative artworks.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://www.irina.burlaca.com/"} +{"d:Title": "Krystyna Sadej Tapestry", "d:Description": "Dedicated to weaving and mixed media art; includes a portfolio and description of workshop and weaving lessons.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://krystynasadej.com/"} +{"d:Title": "Tapestry of Aubusson and Other Arts", "d:Description": "Contemporary tapestries from the renewed French Aubusson, along with arts in other media.", "topic": "Top/Arts/Crafts/Textiles/Weaving/Tapestry", "url": "http://marc.laruelle.pagesperso-orange.fr/"} +{"d:Title": "Abbytryagain", "d:Description": "Projects from a young wife in Portland.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://abbytrysagain.typepad.com/abbytryagain/"} +{"d:Title": "Allsorts", "d:Description": "Tales of a garden variety artsy-craftsy children's book illustrator.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://allsorts.typepad.com/"} +{"d:Title": "Applehead", "d:Description": "Hoarding treasure bits and crafting art.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://applehead.typepad.com/applehead/"} +{"d:Title": "Buzzville", "d:Description": "Sharing her craft experiences.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://buzzville.typepad.com/buzzville/"} +{"d:Title": "Cheeky Beaks", "d:Description": "Sewing, thrifting, and stitching.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://cheekybeaks.blogspot.com/index.html"} +{"d:Title": "Be*mused", "d:Description": "Quilt. Knit. Stitch. Muse.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://bemused.typepad.com/bemused/"} +{"d:Title": "Curious Bird", "d:Description": "Sharing her artistic endeavors.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://curiousbird.typepad.com/curious_bird/"} +{"d:Title": "Echoes of a Dream", "d:Description": "English woman discusses her craft projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.simmy.typepad.com/echoesofadream/"} +{"d:Title": "Angry Chicken", "d:Description": "Sharing fabulous crafty adventures.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.angrychicken.typepad.com/"} +{"d:Title": "Julieree", "d:Description": "Watch her make things.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://julieree.blogspot.com/index.html"} +{"d:Title": "Fibermania", "d:Description": "Art quilting, artful knitting, food, dieting, and the adventures and sometimes struggles in artmaking.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://fibermania.blogspot.com/"} +{"d:Title": "Herzensart", "d:Description": "Label for handmade original art toys for the child in all of us. Friederike and Sandra share their creative working process and what inspires them.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://herzensart.blogspot.com/"} +{"d:Title": "Hello My Name is Heather", "d:Description": "Fabric designer shares a variety of projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://heatherbailey.typepad.com/heather_bailey/"} +{"d:Title": "Hop Skip Jump", "d:Description": "Making softies and baking.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://hopskipjump.typepad.com/hop_skip_jump/"} +{"d:Title": "Il Bloggo", "d:Description": "Creativity in the everyday life of Hanna.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.ihanna.nu/blog/"} +{"d:Title": "High Up in the Trees", "d:Description": "Sharing murals and projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://gracialouise.typepad.com/high_up_in_the_trees/"} +{"d:Title": "House Wren Studio", "d:Description": "Notes on life and craft inside the birdhouse.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://housewrenstudio.typepad.com/"} +{"d:Title": "Inside A Black Apple", "d:Description": "Thoughts of a full time artist / craftista.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://theblackapple.typepad.com/inside_a_black_apple/"} +{"d:Title": "Ann Wood", "d:Description": "Tales of a woman who makes things, paints, and draws for her life and livelihood.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://annwood.net/blog/"} +{"d:Title": "House on Hill Road", "d:Description": "Crafty mom living in a house on hill road with her husband and her daughters in a city that is stuck somewhere on the border between the midwest and the south.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.houseonhillroad.com/my_weblog/"} +{"d:Title": "Kirin Notebook", "d:Description": "Tales of an interactive and graphic designer based in Melbourne, Australia, who's dabbling in pattern and jewellery design and printmaking.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://kirinote.blogspot.com/"} +{"d:Title": "Inspireco", "d:Description": "Adventures of a gift fairy.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://inspireco.blogspot.com/"} +{"d:Title": "Liquid Paper", "d:Description": "Sharing her crafts.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.liquidpaper.typepad.com/"} +{"d:Title": "Juju Loves Polka Dots", "d:Description": "Crafty life of a woman with a whim of iron.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.jujulovespolkadots.typepad.com/"} +{"d:Title": "2lb elephant", "d:Description": "Crafting in Australia.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://2poundelephant.typepad.com/2lb_elephant/"} +{"d:Title": "Inspiration Engine", "d:Description": "Creative thoughts and paintings.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://anahata.typepad.com/my_weblog/"} +{"d:Title": "Little Birds", "d:Description": "Sharing sewing projects and stories about her life.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://littlebirds.typepad.com/"} +{"d:Title": "Make Me", "d:Description": "Weblog of a somewhat prolific artisan/craftster.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://makeme.typepad.com/"} +{"d:Title": "Mayfly", "d:Description": "Murals and sewing projects by Alicia.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.themayfly.com/weblog/"} +{"d:Title": "A Little Imagination and a Pile of Junk", "d:Description": "Sharing mixed media artwork and other projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://littleblackkitty.typepad.com/a_little_imagination_and_/"} +{"d:Title": "Tiny Happy", "d:Description": "Crafty mother in New Zealand writes about her experiences.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.tinyhappy.typepad.com/"} +{"d:Title": "Molly Chicken", "d:Description": "Away with the fairies.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://mollychicken.blogs.com/"} +{"d:Title": "MommyCoddle", "d:Description": "Mother shares her various projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://mommycoddle.typepad.com/mommycoddle/"} +{"d:Title": "Rock, Paper, Scissors", "d:Description": "The other side of the drafting table.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://rock-paper-scissors.typepad.com/snowbear/"} +{"d:Title": "Mmm...Coffee...", "d:Description": "Tales of a coffee drinker, bookbinder, quilter, and creature maker.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.coffee-drinker.typepad.com/"} +{"d:Title": "My Little Mochi", "d:Description": "Mother shares her happenings and crafts.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://mylittlemochi.typepad.com/"} +{"d:Title": "Six and a Half Stitches", "d:Description": "Writing about craft endeavors.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://sixandahalfstitches.typepad.com/"} +{"d:Title": "Soozs", "d:Description": "Tales of a crafter in Melbourne.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://soozs.blogspot.com/"} +{"d:Title": "TheSewingDivas", "d:Description": "Group shares sewing projects and ideas.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://thesewingdivas.wordpress.com/"} +{"d:Title": "Karkovski", "d:Description": "Crafting in Denmark.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://karkovski.typepad.com/"} +{"d:Title": "SouleMama", "d:Description": "Creative mother's stories and pictures.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://soulemama.typepad.com/"} +{"d:Title": "Thimble", "d:Description": "Discussing arts and crafts.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.thimble.ca/"} +{"d:Title": "One Good Bumblebee", "d:Description": "Writing about her crafty projects and finds.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.openbook.typepad.com/"} +{"d:Title": "Yvestown", "d:Description": "Plays nicely with fabrics.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.yvestown.com/"} +{"d:Title": "Mommo", "d:Description": "A little bit of this and that.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://mommo.typepad.com/mommo/"} +{"d:Title": "Paper Relics", "d:Description": "Musing about life and sharing her photography and collages.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.paperrelics.com/journal/"} +{"d:Title": "Two Straight Lines", "d:Description": "Courtney tries to create something every day.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://twostraightlines.typepad.com/two_straight_lines/"} +{"d:Title": "Windowsill", "d:Description": "Knitting and finding beautiful things.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://windowsill.typepad.com/"} +{"d:Title": "Plump Pudding", "d:Description": "English woman writes about her projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://plump-pudding.typepad.com/plump_pudding/"} +{"d:Title": "Yarnstorm", "d:Description": "Tales of a knitter, quilter, and maker of things.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.yarnstorm.blogs.com/"} +{"d:Title": "Woof Nanny", "d:Description": "Crafting, sewing, and contemplation.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.woofnanny.blogspot.com/"} +{"d:Title": "While She Naps", "d:Description": "Soft toy artist shares pictures.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://whileshenaps.typepad.com/"} +{"d:Title": "Pink Chalk Studio", "d:Description": "Motherhood and craft projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://pinkchalkstudio.com/blog/"} +{"d:Title": "Pyglet Whispers", "d:Description": "Kids, crafts, and the usual chaos.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://pygletwhispers.blogspot.com/"} +{"d:Title": "Anna Maria Horner", "d:Description": "Offering a view into her studio.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://annamariahorner.blogspot.com/"} +{"d:Title": "Pinku", "d:Description": "Crafty woman in Japan share pictures and stories.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://pinkurocks.typepad.com/"} +{"d:Title": "Posy", "d:Description": "Pictures and descriptions of her life and many projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.posy.typepad.com/posy/"} +{"d:Title": "Rhya", "d:Description": "Life of a writer and artist who makes bizarre plush creatures.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.rhya.blogspot.com/"} +{"d:Title": "Shim and Sons", "d:Description": "Designing her own stationery and other items.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://shimandsons.typepad.com/shimandsons/"} +{"d:Title": "Red Felt Flower", "d:Description": "Brown paper packages tied up with string and a few more of her favourite things.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://redfeltflower.blogspot.com/"} +{"d:Title": "Simple Sparrow", "d:Description": "Pictures and crafts and life.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://simplesparrow.typepad.com/simple_sparrow/"} +{"d:Title": "Super Eggplant", "d:Description": "Adventures in knitting, sewing, baking, and life.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.supereggplant.com/"} +{"d:Title": "Turkey Feathers", "d:Description": "Homecrafts and everyday adventures.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://turkeyfeathers.typepad.com/turkey_feathers/"} +{"d:Title": "Tree Fall Design", "d:Description": "Sharing pretty images and tales of crafting.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://treefalldesign.typepad.com/tree_fall/"} +{"d:Title": "Sewing Stars", "d:Description": "Sharing illustrations, softies, and a great deal of other craft projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://sewingstars.typepad.com/"} +{"d:Title": "Two Little Banshees", "d:Description": "Kate from Australia shares her sewing, knitting, and quilting.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://neverenoughhours.blogspot.com/index.html"} +{"d:Title": "Bella Dia", "d:Description": "Sharing her sewing, knitting, and baking.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://belladia.typepad.com/bella_dia/"} +{"d:Title": "Artsy-Crafty Babe", "d:Description": "Tales of a mom who likes to make things.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://artsycraftybabe.typepad.com/artsycrafty_babe/"} +{"d:Title": "Craftapalooza", "d:Description": "Australian shares all things crafty.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://craftapalooza.typepad.com/"} +{"d:Title": "The Cart Before The Horse", "d:Description": "Jo James, artist from the Oregon Coast, displays her handmade folk art dolls and ornaments that she sells on Ebay.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.thecartbeforethehorse.blogspot.com/"} +{"d:Title": "Craft Test Dummies", "d:Description": "Posting for high impact crafters, polymer clay junkies, scrapbookers, quilters, and self-taught creatives.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.crafttestdummies.com/"} +{"d:Title": "This Recycled Life", "d:Description": "Inspiration and simple crafts made from recycled materials.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://thisrecycledlife.wordpress.com/"} +{"d:Title": "Scribble Nation", "d:Description": "Non-stop adventures of Mary Helen, the crafty bandit.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://scribblenation.typepad.com/"} +{"d:Title": "Zakka Life", "d:Description": "Dedicated to original, DIY craft projects.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.zakkalife.blogspot.com/"} +{"d:Title": "Reliquary Arts", "d:Description": "Two like-minded gals exploring the darker side of traditional arts and crafts.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.reliquaryarts.blogspot.com/"} +{"d:Title": "Craftzine", "d:Description": "Sharing daily sources of inspirations dedicated to the Renaissance in the world of crafts. Presenting step by step projects for paper crafts, custom designs, knitting and sewing.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://blog.craftzine.com/"} +{"d:Title": "Perch Home", "d:Description": "Projects, home, and small things.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://bessilu.typepad.com/"} +{"d:Title": "My Art Work", "d:Description": "Features photos of competed projects, including quilting and earthen ware.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://rubina-artwork.blogspot.com/"} +{"d:Title": "PaMdora's Box", "d:Description": "Artist who makes humorous art quilts and lives with her sculptor husband.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://pamdora.com/blog/"} +{"d:Title": "KissKus", "d:Description": "Uneventful adventures of a Dutch crafty mum.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.kisskus.typepad.com/"} +{"d:Title": "Lillie Dillies", "d:Description": "A personal blog on exploring the world of sewing and crafts.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.lilliedillies.com/"} +{"d:Title": "Little Pear's Workshop", "d:Description": "Cheryl provides photos of crafts she's done, as well as links to sources to make your own.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://www.littlepear.ca/"} +{"d:Title": "Love, Joleen", "d:Description": "Sharing her crafty endeavors.", "topic": "Top/Arts/Crafts/Weblogs", "url": "http://lovejoleen.typepad.com/"} +{"d:Title": "B and T World Seeds", "d:Description": "Wildcrafting. Be sensitive if you are collecting plants or seeds from the wild.", "topic": "Top/Arts/Crafts/Wildcrafting", "url": "http://www.b-and-t-world-seeds.com/wldcraft.htm"} +{"d:Title": "Wildcrafting Ethics", "d:Description": "Wildcrafting Checklist and other resources by Howie Brounstein.", "topic": "Top/Arts/Crafts/Wildcrafting", "url": "http://home.teleport.com/~howieb/treats/wildcrft.html"} +{"d:Title": "NativeTech - Making a Bark Canoe", "d:Description": "How to make a model birchbark canoe. Describes two designs, and includes a detailed cutaway painting of a full-size craft.", "topic": "Top/Arts/Crafts/Wildcrafting", "url": "http://www.nativetech.org/brchbark/canminit.htm"} +{"d:Title": "Kleinschalig Egg Show", "d:Description": "Image galleries of eggs exhibited at the annual egg-show in a windmill in Amsterdam.", "topic": "Top/Arts/Crafts/Wildcrafting", "url": "http://www.kleinschalig.nl/"} +{"d:Title": "Wildcrafting With Ila Hatter", "d:Description": "An interpretive naturalist. Includes folklore of the Native American Cherokee tradition. Closeup views of wild edible plants and medicinal herbs are shown.", "topic": "Top/Arts/Crafts/Wildcrafting", "url": "http://www.wildcrafting.com/"} +{"d:Title": "R. G. Rustics", "d:Description": "A gallery of Adirondack style birch bark and twig signs, chairs, frames, clocks and decor.", "topic": "Top/Arts/Crafts/Wildcrafting", "url": "http://www.angelfire.com/ma2/godin/"} +{"d:Title": "Maine Antler Art", "d:Description": "Registered Maine Master Guide Toby Montgomery creates lighting fixtures and homes accessories from authentic hand collected, naturally shed antlers.", "topic": "Top/Arts/Crafts/Wildcrafting", "url": "http://www.maineantlerart.com/"} +{"d:Title": "Ivan Whillock Studio", "d:Description": "Religious and secular sculpture and relief, classes, and books by Ivan Whillock. Free carving patterns to download.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.whillock.com/"} +{"d:Title": "Woodcarving Patterns", "d:Description": "Online design packages. Includes packet themes, florals, mythology, shell patterns, traditional and Wood Spirits.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.carvingpatterns.com/"} +{"d:Title": "Sonrise Woodcarving Studio", "d:Description": "Specializing in custom hand carving, fine sculpture, masterpiece woodworking, and furniture design by R. Stephan Toman, master wood sculptor.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.sonrisewoodcarving.com/"} +{"d:Title": "Lillyfee Woodcarving and Design Studio", "d:Description": "Specialists in woodcarving, restoration and conservation of furniture, architectural woodwork and sculptures.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.lillyfee.co.uk/"} +{"d:Title": "Needle n' Knife Works", "d:Description": "Wood carvings and information on carving seminars, clubs, and links.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://needleandknife.tripod.com/"} +{"d:Title": "Carvings by Dave", "d:Description": "Gallery of small basswood caricatures. Artist has carved over 1,000 golf balls. Classes held at the Keizer Art Association in Keizer, Oregon; demonstration schedule.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.angelfire.com/or2/carvingsbydave/"} +{"d:Title": "Linden Tree Studio", "d:Description": "Showcasing the woodcarvings and sculpture of Les Ramsay; Santas, animals, religious figures. Winner of awards in national and international carving competitions.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.lindentree.com/"} +{"d:Title": "Woodcarving For The Beginner", "d:Description": "Detailed tips, techniques and instruction on the craft of wood carving.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://members.shaw.ca/hwestland/"} +{"d:Title": "Ray Kinman", "d:Description": "Renowned expert in the subject of relief carving and professional woodcarver since 1976 specializes in architectural carving, doors, signs and sculpture.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.masterwoodcarver.com/"} +{"d:Title": "Gun Carving by Bill Janney", "d:Description": "Site has wood carving classes, training videos, and high speed engraver sales.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.billjanney.com/"} +{"d:Title": "Woodcarvings by Dutra", "d:Description": "Gallery of colorful handcarved friends of Santa. Multi-view closeup photos permit study by novice carvers.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://roncorreia.bizhosting.com/"} +{"d:Title": "Bird Carvings by Rand Jack", "d:Description": "Life size bird carvings in natural unpainted hardwood. Custom bird carvings of any species, highly polished to dramatize the natural grain.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.birdsbyrandjack.com/"} +{"d:Title": "Coastal Carvings Galleries", "d:Description": "Design and sculpture by native artist Jeremy Lee Humpherville. Original projects such as bentwood boxes, bowls, paddels, masks, and wall pannels.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.coastalcarvings.com/"} +{"d:Title": "M.P. Carver's Wood Carving Page", "d:Description": "Woodcarvings of various animals, with pictures and links.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.angelfire.com/pe/mpcarver/"} +{"d:Title": "Hudson Legends", "d:Description": "Decoys by Ira D Hudson, Norman Hudson, and Lloyd \"Bob\" Hudson.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://hudsonlegends.home.netcom.com/"} +{"d:Title": "CedarStump", "d:Description": "Collection of art from artists who prefer to allow the natural beauty of the wood to show.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.cedarstump.us/"} +{"d:Title": "Artes De La Tierra", "d:Description": "Contemporary wood carving designs incorporated as accent pieces of furniture. Ceramics, one of a kind pieces", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.artesdelatierra.com/"} +{"d:Title": "Lynwood Carvers and Gilders", "d:Description": "Specialists in the restoration of carved, gilt-wood furniture, frames, commissions and reproductions. Based in Eskdalemuir, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.carversandgilders.org.uk/"} +{"d:Title": "V.T.O.S. Kilkenny Woodcarving Workshop", "d:Description": "Site shows some samples of students work, as well as information about the program.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://homepage.eircom.net/~woodcarving/"} +{"d:Title": "Nora Hall Carving Designs", "d:Description": "The website of master woodcarver Nora Hall, containing instructional woodcarving videos, carving patterns, and a large photo gallery of past work.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.norahallcarvingdesigns.com/"} +{"d:Title": "Captured In Wood", "d:Description": "Woodcarvings of realistic humans, animals and waterfowl, with relief and pyrography.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://members.shaw.ca/wbsager/index.htm"} +{"d:Title": "Voldemar Simanis: Woodcarver", "d:Description": "Portfolio of master-carver and designer Voldemar Simanis includes works in boundless styles, with any wood. Art works from primitive totem poles to ornate carved furniture. Located in Victoria, BC Canada", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://woodcarver.freeservers.com/"} +{"d:Title": "Vermont Raptor Academy", "d:Description": "Master carver Floyd Scholz provides appearance schedules, gallery of works, and information on classes.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.vermontraptoracademy.com/"} +{"d:Title": "Put a Handle on it", "d:Description": "Gallery of custom designed and carved handles for knives, letter openers, and kitchen sets.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.putahandleonit.com/"} +{"d:Title": "David Western Lovespoons", "d:Description": "Gallery of handcrafted Welsh lovespoons.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.davidwesternlovespoons.com/"} +{"d:Title": "Rant and Rave", "d:Description": "A community of artisans promoting woodcarving and sculpture.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.rantandrave.ca/"} +{"d:Title": "Joe Bolf", "d:Description": "Sculptures of people, wildlife, totem poles, and gold leaf signs. Uses chainsaw or chisels.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://joebolfwoodsculpture.homestead.com/home.html"} +{"d:Title": "John White Woodcarving", "d:Description": "Offering tips, techniques and a gallery of traditional, handcarved items including clocks, signs and hewn log sculpture.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.jw-woodcarving.co.uk/"} +{"d:Title": "Camcraft 3D Inc.", "d:Description": "Custom wood art includes architectural carving, intarsia, all wood clockworks, and rocking horses.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://camcraft3d.com/"} +{"d:Title": "Sleeping Griz", "d:Description": "Showcases the work of Montana carver, Jim Rogers, specializing in animal, bird, human figure, religious, gnome and wizard sculptures, as well as custom made furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://sleepinggriz.com/"} +{"d:Title": "Wood Carving Illustrated", "d:Description": "Online resource for woodcarvers and woodworkers featuring tips, patterns, books, photo gallery, message board and contests.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.woodcarvingillustrated.com/"} +{"d:Title": "Javo's Woodcarving Site", "d:Description": "A site for those who like caricature woodcarving and want to share their knowledge.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://webspace.webring.com/people/oj/javo_sinta/woodcarving.html"} +{"d:Title": "Woodcarving by George", "d:Description": "Woodcarving school for beginners in New York City. Has class list, galleries, and contact information.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://woodcarvingnyc.com/"} +{"d:Title": "Outaouais Wood Carvers", "d:Description": "Gallery of works with examples of wood carving and wood burning. Ottawa, Ontario.", "topic": "Top/Arts/Crafts/Woodcraft/Carving", "url": "http://www.owcarvers.com/"} +{"d:Title": "Stefane Bougie", "d:Description": "Specializes in detailed and realistic wildfowls carvings.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://bougie.rocler.com/"} +{"d:Title": "Dan Gallagher Woodcarving", "d:Description": "Custom personalized carvings for private and corporate clients ranging from art pieces to architectural detail.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.carving.on.ca/"} +{"d:Title": "Ezzell Studios", "d:Description": "Custom carved doors with etched and stained glass.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://ezzellstudios.com/"} +{"d:Title": "Hand Carved Wood Doors by Richard Cornelius", "d:Description": "Custom hand carved wildlife and outdoor scenes on wood doors of all sizes, as well as custom carved fireplace mantles, headboards, mirrors and signs.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.richdoor.com/"} +{"d:Title": "Tom Pierce's Woodcarvings", "d:Description": "Gallery includes realistic songbirds and caricatures. Also a photo demonstration of steps in carving a tree stump.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://carvertools.com/tpierce/"} +{"d:Title": "Jewells 'n Wood", "d:Description": "Personalized woodcarvings of songbirds, shorebirds and wildfowl by Ken Jewell.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.jewellsnwood.com/"} +{"d:Title": "OH Boyd Wood Carver and Sculptor", "d:Description": "O.H.Boyd gallery of lifesize sculptures in wood.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.ohboyd.com/"} +{"d:Title": "Whitehead Carvings", "d:Description": "Works include relief carvings, carved doors, mantles, signs and wood sculptures by Robert Whitehead.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.whiteheadcarvings.com/"} +{"d:Title": "Custom Wood Carvings", "d:Description": "Custom designed woodcarvings of wildlife, detailed and free form sculpture, murals, doors, mantles and architectural works by David Monhollen.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.monhollen.com/"} +{"d:Title": "Stephen Tuttle's Portfolio of Works", "d:Description": "A portfolio of creative work by Stephen Tuttle including a gallery of carved wood vessels.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.stephenswork.com/"} +{"d:Title": "Tudor Art", "d:Description": "Hand-carved wooden eggs and globes by Linda Tudor.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://home.earthlink.net/~tudorart/"} +{"d:Title": "Changing Tide Creations", "d:Description": "Display of native works by Canadian first nations artist Troy Roberts.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.changingtidecreations.com/"} +{"d:Title": "Custom Carving", "d:Description": "Woodcarvings by Gabriel Bass. Online gallery of handmade woodcarvings with history and information on each work.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.customcarving.org/"} +{"d:Title": "Marc Brode, Sculptor", "d:Description": "Varied gallery includes Apollo and Hades bookends, sleeping angel, and caricatures. Top ten tips for successful carving.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://mbrode.mnsi.net/Default.htm"} +{"d:Title": "Wooden Dreams Woodcarving", "d:Description": "Gallery of Mike Bloomquist, with commentary, biography, and links.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.woodendreamz.com/"} +{"d:Title": "Alex Savransky Woodcarving", "d:Description": "Gallery of woodcarvings by Alex Savransky, including sculptures, masks, and clocks.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.petik.com/"} +{"d:Title": "WildThings Woodcarving", "d:Description": "Photographs and narrative description of woodcarvings by John Harris. Carvings include birds and Santas.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://bbwolf1.tripod.com/"} +{"d:Title": "Mark R Bosworth", "d:Description": "Highly detailed award winning miniatures, carvings and wildlife art.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://bosart.tripod.com/"} +{"d:Title": "The Carved Tree", "d:Description": "Tree carvings by Paul Sivell, specializing in turning dead, dying, damaged or fallen trees into sculptural features.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Artisan_Portfolios", "url": "http://www.thecarvedtree.co.uk/"} +{"d:Title": "Caricature Carvers of America", "d:Description": "Instructional books with biographies of authors and photo examples. Includes a schedule of national exhibitions and seminars to promote caricature woodcarving.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.cca-carvers.org/"} +{"d:Title": "National Wood Carvers Association", "d:Description": "Links to woodcarving websites for amateur and professional carvers and whittlers. Membership fee includes subscription to ChipChats printed magazine.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "https://www.chipchats.org/"} +{"d:Title": "St. Charles Area Wood Carvers", "d:Description": "Club formed in 1987 currently with 70 members meeting at the St. Peters, Missouri, Cultural Arts Center.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.iidbs.com/wdcarver"} +{"d:Title": "Pacific Flyway Decoy Association", "d:Description": "Association of carvers seeking to promote and preserve North American tradition of hand-carved wood decoys. Creators of the Wildfowl Art Festival.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.pacificflyway.org/"} +{"d:Title": "Texas Woodcarver's Guild", "d:Description": "Calendar of upcoming events, membership and officer information.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.texaswoodcarversguild.com/"} +{"d:Title": "New England Woodcarvers, Inc.", "d:Description": "A guide to wood carving clubs, shows, and schools. A list of club contacts and activities with links to other carving clubs, and resources.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.newc.org/"} +{"d:Title": "International Wildfowl Carving Association", "d:Description": "Up to date information regarding the IWCA and the competitions officially sanctioned by the IWCA.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.iwfca.com/"} +{"d:Title": "United Chainsaw Carvers Guild", "d:Description": "Promotes the art of chainsaw wood-carving world-wide. Includes directory of members, newsletter, and information about upcoming events.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.uccg.org/"} +{"d:Title": "Northern Virginia Carvers", "d:Description": "Examples of work by club members devoted to the American cultural tradition of artistry and craftsmanship in woods. Annual show held in October in Fairfax, VA. Founded in 1973.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.angelfire.com/va2/nvacarvers/"} +{"d:Title": "Mohawk Valley Art&Wood Carving Association", "d:Description": "Group founded in Canajoharie, New York in 1980 with membership now at over seventy members with specific focus on woodcarving.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Associations", "url": "http://www.woodendreamz.com/MVAWCA.htm"} +{"d:Title": "The Bear Guy's Den", "d:Description": "Site contains chainsaw carvings done by Al, Corrinne, and Mike Luer.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://thebearguy.homestead.com/THEBEARGUYSDEN.html"} +{"d:Title": "Ross MacVicar Chainsaw Artist", "d:Description": "Chainsaw carvings of North American mammals.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://www.rossmacvicar.com/"} +{"d:Title": "Chainsaw Creations", "d:Description": "Wood sculptures created by chainsaw. Showcasing the work of artist, Barry Frank.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://www.chainsaw-creations.com/"} +{"d:Title": "Original Chainsaw Carving", "d:Description": "Chainsaw carvings of bears, eagles and some Northwoods folks by Ed Landerud, of Oregon, Wisconsin.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://www.originalchainsawcarving.com/"} +{"d:Title": "Burns, Mick", "d:Description": "Features a gallery of large scale sculptures, contact details, courses offered, profile, links and events.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://www.chainsawsculpture.co.uk/"} +{"d:Title": "How to chainsaw carve an eagle", "d:Description": "Step by step instructions on chainsaw carving an eagle as well as finishing tips and tools required.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://www.builditplans.com/Carving/"} +{"d:Title": "ChainsawSculptors.com", "d:Description": "Directory for chainsaw artists to display and sell art work. Includes forums, news, instructions, and links.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://www.chainsawsculptors.com/"} +{"d:Title": "Woodies Wood Sculpture", "d:Description": "Pennsylvania chainsaw artist Brian Sprague, specializes in wood sculpting and carvings ranging from wildlife renderings to furniture, using natural hardwoods and standing trees.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chainsaw_Carving", "url": "http://www.sleepingchainsaw.com/"} +{"d:Title": "Chip Carving", "d:Description": "Tips from Swiss trained woodcarver, Wayne Barton founder of The Alpine School of Woodcarving, plus schedule of appearances at woodcarving events.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chip_Carving", "url": "http://www.chipcarving.com/"} +{"d:Title": "Chipping Away", "d:Description": "Information about chip carving including free chip carving patterns, tips, classes, supplies, and schedule of events.", "topic": "Top/Arts/Crafts/Woodcraft/Carving/Chip_Carving", "url": "http://www.chippingaway.com/"} +{"d:Title": "Pieracci", "d:Description": "They make chairs, armchairs, benches, stools, sofas, in classical, traditional and country style, according to Italian tradition.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.pieracci.it/"} +{"d:Title": "Peter Hook", "d:Description": "Handmade wooden chairs and stools.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.peterhook.com/"} +{"d:Title": "Meisenzahl Custom Woodcraft", "d:Description": "Specializing in custom woodworking plus a gallery of custom woodcraft and furniture items for sale.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.meisenzahl.com/"} +{"d:Title": "William Morris Lamps", "d:Description": "Hand crafted lamps designed after 19th century Arts and Crafts Movement.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.williammorrisstudio.com/"} +{"d:Title": "Nicky Stephens", "d:Description": "Unique, commissioned works made from European hardwoods and other materials.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.nickystephens.com/"} +{"d:Title": "Kambium", "d:Description": "Exclusive uniquely designed furniture of quality German craftsmanship representative for home and business.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.kambium.com/"} +{"d:Title": "Blaise Gaston", "d:Description": "Fine art furniture by master craftsman Blaise Gaston.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.blaisegaston.com/"} +{"d:Title": "Jeff Lind Fine Woodworking", "d:Description": "Traditionally inspired, one-of-a-kind originals and custom woodworking.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.jefflind.com/"} +{"d:Title": "Lorne Baynham Cabinet Maker", "d:Description": "Craftsman with more than 20 years experience in cabinet making and antique furniture restoration.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.lornebaynham.co.uk/"} +{"d:Title": "Jonathan Guest", "d:Description": "Furniture maker and designer, features solar kiln dried furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.jonathan-guest.co.uk/"} +{"d:Title": "George Berry's Furniture Studio", "d:Description": "Gallery of George Berry's work.Individually designed furniture,and architectural woodworking.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.woodguy.com/"} +{"d:Title": "P.W. Ltd.", "d:Description": "Commissioned and product-based furniture by designer Johnny Hawkes, artist and engineer in wood, metal and plastics.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.pwlimited.co.uk/"} +{"d:Title": "J D Lohr Woodworking", "d:Description": "Limited edition wood furniture inspired by the Arts and Crafts Movement.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.jdlohrwood.com/"} +{"d:Title": "Jas Becker", "d:Description": "Custom hand crafted furniture for home and offices in Shaker, Arts and Crafts and contemporary styles.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://jasbecker.com/"} +{"d:Title": "Becton Ltd.", "d:Description": "Features designs by M. David Becton. Also offers details of past projects and articles.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.bectonltd.com/"} +{"d:Title": "David Fay", "d:Description": "An online showcase of unique hand crafted furniture designs.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.davidfay.com/"} +{"d:Title": "Scott Boyle Custom Tables", "d:Description": "Custom game or brass inlay tables. Includes photographs of some works, and contact information.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.scottboyle.com/"} +{"d:Title": "I.A. Keer", "d:Description": "Studio-art furnishings hand crafted in styles and themes to excite your imagination.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.artoffurniture.com/"} +{"d:Title": "Craig Nutt Fine Wood Works", "d:Description": "Portfolio of wood furniture and sculpture made by Craig Nutt.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.craignutt.com/"} +{"d:Title": "Robert Spangler Furniture Maker", "d:Description": "Gallery of art crafted furniture pieces in a wide variety of styles.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://rspangler.com/"} +{"d:Title": "Robert Erickson Woodworking", "d:Description": "Tables, desks, and chairs of original design, comfortable and tailored to fit.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.ericksonwoodworking.com/"} +{"d:Title": "Daniel Mack Rustic Furnishings", "d:Description": "Designing and making residential, outdoor, architectural and art furniture from natural forms.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.danielmack.com/"} +{"d:Title": "James Gentry and Gifts", "d:Description": "Artist designer of contemporary wood furniture and gifts", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.james-gentry.com/"} +{"d:Title": "New Hampshire Furniture Masters Association", "d:Description": "Fine custom furniture makers from New Hampshire. Explore the works of our members.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.furnituremasters.org/"} +{"d:Title": "Ramwood Furniture", "d:Description": "The bold contrasts of both traditional and contemporary styles made into one-of-a-kind furnishings.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.bobbymichelson.com/"} +{"d:Title": "Fillingham Art Furniture Design", "d:Description": "Custom handmade furniture and original art.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.fillingham.com/"} +{"d:Title": "Tuulipuu", "d:Description": "Finnish furniture design.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.tuulipuu.fi/"} +{"d:Title": "Wendell Castle", "d:Description": "Wendell Castle's reputation is vast and spans more than 30 years as the originator of art furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.wendellcastle.com/"} +{"d:Title": "John Dodd Studio", "d:Description": "Foyer greetings designed to serve as backdrops for precious objects.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.johndoddstudio.com/"} +{"d:Title": "Peter Kramer", "d:Description": "Traditional hand planed fine furniture using no short cuts.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://peterkramer.com/"} +{"d:Title": "Peltola", "d:Description": "Finnish furniture, mostly beds in birch.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.peltola.fi/"} +{"d:Title": "Scott Grove", "d:Description": "Art Furniture of uniquely carved textures, rich polychrome finishes and radiant figured woods.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.scottgrove.com/"} +{"d:Title": "Rustix", "d:Description": "Handcrafted rustic wood furniture and crafts made from recycled and new materials.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.rustixs.com/"} +{"d:Title": "Gregg Lipton Furniture", "d:Description": "Furniture designs with utility and grace, a respect for the past, while reaching for the future.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.liptonfurniture.com/"} +{"d:Title": "Stick and Stone Studio", "d:Description": "A portfolio and information site of fine custom woodworking. The work is simple, yet exciting, and built to last. Some of the finest craftsmanship available to day.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.furniture-sculpture.com/"} +{"d:Title": "David Trubridge Design", "d:Description": "Designer and maker of contemporary furniture in Hawkes Bay, New Zealand.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.davidtrubridge.com/"} +{"d:Title": "Duke Christie Cabinet Maker", "d:Description": "Specializes in period joinery, carving, repair and restoration of antique furniture. Located in Scotland.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.dukechristie.com/"} +{"d:Title": "Timothy Waite Furniture Design", "d:Description": "Online portfolio of one-of-kind furniture created in Burlington, Vermont.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.timothywaite.com/"} +{"d:Title": "Heitzman Studios", "d:Description": "Custom fine furniture and sculpture by Roger Heitzman. Contemporary, art deco and art nouveau styles a specialty. Site has image gallery organized by category.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.heitzmanstudios.com/"} +{"d:Title": "Leather and Wood Craftsman, Inc.", "d:Description": "Provides leather and furniture repair, refinishing, restoration, and conditioning in Dallas metroplex and surrounding areas.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.leatherandwood.com/"} +{"d:Title": "Timothy Clark - Cabinetmaker/Chairwright", "d:Description": "Builds Shaker inspired furniture in his Vermont workshop. He builds and designs Windsor chairs, dressers, beds, rocking chairs, tables and other custom furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.timothyclark.com/"} +{"d:Title": "Ranka", "d:Description": "Finnish woodworkshop that manufactures furniture and decorative articles of Finnish wood. Custom-made pieces can be designed and carried out from scratch.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.ranka.fi/"} +{"d:Title": "Wood Hollow Custom Woodturning", "d:Description": "Custom turnings for antique repair, home restoration, and new furniture construction.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.woodhollow.net/"} +{"d:Title": "Broomley Furniture", "d:Description": "Handmade furniture and kitchen designers. Includes examples of work and a description of the design and manufacturing process.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.broomleyfurniture.co.uk/"} +{"d:Title": "Rocking Chairs", "d:Description": "Pictures of handmade rocking chairs by various makers, with links to each maker's website.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.rockingchairs.net/"} +{"d:Title": "Rhythm 'n Bones", "d:Description": "Offering handcrafted furniture and speciality items made from driftwood. Includes artist profile and statement, photo gallery and order information.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.rhythmnbones.com/"} +{"d:Title": "Blue Sky Woodcraft", "d:Description": "Master craftsman features twenty-five years of custom woodcraft.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.blueskywoodcraft.com/"} +{"d:Title": "Darvel Chairs", "d:Description": "During the 19th century the town of Darvel, UK was a centre for country chair making. This site tells the story of these remarkable chairs.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.darvel-chairs.co.uk/"} +{"d:Title": "Art Furniture by Richard Bronk", "d:Description": "Unique art furniture using a variety of woods.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.bronkart.com/"} +{"d:Title": "Tom McFadden Furniture", "d:Description": "Gallery and description of handcrafted hardwood furniture built on consignment and custom orders. Also provides artist's statement, resume, and information on techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.mcfaddenfurniture.com/"} +{"d:Title": "Tony Indino", "d:Description": "Offers custom design and carpentry services, specializing in interiors, cabinetry and fine furniture. Portfolio of work and contact information is available.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.tonyindino.com/"} +{"d:Title": "Brent Comber Originals", "d:Description": "Design studio specializing in contemporary, sculpted natural wood seating, tables, and one-of-a-kind works of art. Gallery of designs, profile, features and furniture care tips.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.brentcomber.com/"} +{"d:Title": "Philadelphia Furniture&Furnishings Show", "d:Description": "The country's largest annual retail exhibition of hand-made objects for the home and office.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.philaifs.com/"} +{"d:Title": "Rick Cook", "d:Description": "Designer and craftsman of fine contemporary handcrafted wood furniture. Port Orford, Oregon, United States.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.rickcookfurniture.com/"} +{"d:Title": "wood-worker.co.uk", "d:Description": "Contemporary and bespoke wooden beds. Based in Milton Keynes, England.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.neilbadcock.com/wood-worker/"} +{"d:Title": "John Hein, Studio Furniture Maker", "d:Description": "Gallery of hardwood furniture with a purity of form that emphasizes the aesthetic qualities of the materials.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://eticomm.net/~jhein/"} +{"d:Title": "White Wind Woodworking", "d:Description": "Providing wood furniture and cabinetry built to customer specifications.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture", "url": "http://www.whitewindwoodworking.com/"} +{"d:Title": "Furniture Articles", "d:Description": "A large collection of articles written by people in the furniture restoration and conservation field. Articles cover various kinds of finishes, refinishing tips on furniture as well as pianos and cabinets.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.antiquerestorers.com/Articles/FURNITURE_ARTICLES.htm"} +{"d:Title": "American Wood Finishing Institute", "d:Description": "Hands on training facility for wood finishing including individual seminars.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.awfi.org/"} +{"d:Title": "D and J Patio Furniture Repair", "d:Description": "Information, instruction, and supplies for do-it-yourself patio furniture repairs.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.djpatio.com/"} +{"d:Title": "Furniture Knowledge Tip Archive", "d:Description": "An archive of furniture related articles, including how to remove waxy build-up, how to remove water spots, removing dark stains, determining if the piece is really solid wood.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.furnitureknowledge.com/tiparkiv.htm"} +{"d:Title": "The Furniture Guys", "d:Description": "Information regarding the stars of a humorous furniture finishing television show, their book, and products they have available.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.furnitureguys.com/"} +{"d:Title": "StripAll Antique Sales and Refinishing", "d:Description": "Extensive information on a variety of subjects, including various methods of stripping, a FAQs page, caring for wood products, and free how to videos.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.stripall.com/"} +{"d:Title": "Antique Restoration Courses", "d:Description": "Education, training, resources, and schools for the restoration and conservation of antique furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.antiquerestorers.com/Courses/Courses.htm"} +{"d:Title": "K Restorations Antique Leathers", "d:Description": "Information, instruction, and ordering information for made to measure antique desk leathers with gold tooling for do-it-yourself mounting , suitable for antique, reproduction or modern furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.antiqueleathers.com/"} +{"d:Title": "National Repair and Restoration Hotline", "d:Description": "A nationwide referral service linking companies in need to highly qualified furniture repair and restoration professionals.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.furniture-hotline.com/"} +{"d:Title": "Furniture Wizard", "d:Description": "Contains an open discussion group, refinishing and repair techniques, home improvement tips, care and maintenance tips, and recommended books, videos, and supplies aimed at the home do-it-yourselfer.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.refinishwizard.com/"} +{"d:Title": "WoodAnswers", "d:Description": "A resource for wood finishing experts and those brand new to the craft, featuring Michael Dresdner.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.woodanswers.com/"} +{"d:Title": "Fran Malloy Furniture - Restoration and Conservation", "d:Description": "Restoration and conservation of antique and contemporary furniture, and courses in woodworking.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.franmalloy.co.uk/"} +{"d:Title": "W. Patrick Edwards", "d:Description": "Information and gallery of photos covering French marquetry, upholstery, designer furniture, consulting, teaching, and conservation.", "topic": "Top/Arts/Crafts/Woodcraft/Furniture/Refinishing", "url": "http://www.wpatrickedwards.com/"} +{"d:Title": "Inlay.com", "d:Description": "Showcase and information dedicated to all aspects of inlay crafts including intarsia, marquetry, pietre dure, stone inlay and mosaics.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://www.inlay.com/"} +{"d:Title": "Intarsia", "d:Description": "Woodworking and the art of inlay from Judy Gale Roberts and Jerry Booher including a variety of patterns and opportunity to receive Intarsia Times newsletter.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://www.intarsia.com/"} +{"d:Title": "The Intarsia Pages", "d:Description": "Index of links to sites featuring works of Intarsia.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://inlay.com/intarsia/index.htm"} +{"d:Title": "Bob Wilson's Scrollsaw Art", "d:Description": "Detailed 3-D art of handcrafted hardwoods. Online gallery of portraits, animal and bird plaques, puzzles, and ornaments.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://woodnames.tripod.com/"} +{"d:Title": "Wooden U Know", "d:Description": "Gallery of woodworking, intarsia, wood puzzles, and Native American flutes.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://woodenuknow.com/"} +{"d:Title": "Intarsia by Dave", "d:Description": "Gallery of hand crafted works made from cedar. Subjects include animals, clowns, lighthouses, and sports.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://intarsia_by_dave.tripod.com/"} +{"d:Title": "Quality Wood Products", "d:Description": "Provides information about caring for scrollsaws as well as patterns, lighting, stacking, blades, and resources.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://www.agentz.com/scrollsaw/"} +{"d:Title": "Intarsia Net", "d:Description": "A place for Intarsia Artisians to show and sell their work, as well as find and discuss information in the discussion pages and chat room.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia", "url": "http://intarsia.hostcentric.com/"} +{"d:Title": "Rigoni Arts", "d:Description": "Free and premium intarsia woodworking patterns to download.", "topic": "Top/Arts/Crafts/Woodcraft/Intarsia/Patterns", "url": "http://www.freeintarsiapatterns.com/"} +{"d:Title": "Art marquetry Spindler", "d:Description": "Original creations of pictures and wall panels in natural wood marquetry.", "topic": "Top/Arts/Crafts/Woodcraft/Marquetry", "url": "http://www.spindler.tm.fr/"} +{"d:Title": "ArtMarquetry", "d:Description": "The art of creating pictures with natural wood veneers. Includes geometric patterns known as Parquetry.", "topic": "Top/Arts/Crafts/Woodcraft/Marquetry", "url": "http://artmarquetry.com/"} +{"d:Title": "Marquetry Society of Great Britain", "d:Description": "Techniques, extensive gallery of prizewinning pictures and applied work, beginners help line.", "topic": "Top/Arts/Crafts/Woodcraft/Marquetry", "url": "http://www.marquetry.org/"} +{"d:Title": "A Marquetarian", "d:Description": "Marquetry pictures, examples of applied marquetry, and organizations devoted to the furtherance of marquetry.", "topic": "Top/Arts/Crafts/Woodcraft/Marquetry", "url": "http://www.amarquetarian.com/"} +{"d:Title": "Redbridge Marquetry Group", "d:Description": "Site offers a galley of works, finishing information and veneer descriptions.", "topic": "Top/Arts/Crafts/Woodcraft/Marquetry", "url": "http://www.redbridgemarquetrygroup.org/"} +{"d:Title": "Pyrography with Lynda Gibbs Eaves", "d:Description": "Gallery of works created and presented by the artist.[1953-2006]", "topic": "Top/Arts/Crafts/Woodcraft/Pyrography", "url": "http://pyrography.blogspot.com/"} +{"d:Title": "The Woodburner", "d:Description": "Provides an open forum for discussions dealing with instruction, techniques, and materials used in pyrography. Experience levels from novice to professional artists.", "topic": "Top/Arts/Crafts/Woodcraft/Pyrography", "url": "http://www.woodburner.com/"} +{"d:Title": "Heirloom Portraits", "d:Description": "Gallery of pyrographic works created by the artist Roger W. Bishop. Includes various examples of celebrities and historic persons.", "topic": "Top/Arts/Crafts/Woodcraft/Pyrography", "url": "http://www.rogerwbishop.com/"} +{"d:Title": "The Art of Julie Bender", "d:Description": "A selection of works presented by the artist. Includes examples of pets, portraits, and wildlife created on wood.", "topic": "Top/Arts/Crafts/Woodcraft/Pyrography", "url": "http://www.juliebender.com/"} +{"d:Title": "E- Museum of Pyrographic Art", "d:Description": "Provides information on all aspects of the subject, including the history and development of the art. Examples of vintage works and tools are presented from around the world.", "topic": "Top/Arts/Crafts/Woodcraft/Pyrography", "url": "http://pyromuse.org/"} +{"d:Title": "Dino Muradian Pyrography", "d:Description": "Gallery of works created by the artist. Includes examples of unique art created on musical instruments.", "topic": "Top/Arts/Crafts/Woodcraft/Pyrography", "url": "http://pyromuse.org/dino.html"} +{"d:Title": "Pyrography", "d:Description": "Provides a sample of works submitted by artists from around the world. Includes a brief history on the subject as well as equipment and techniques used. Requires[Java2 Platform].", "topic": "Top/Arts/Crafts/Woodcraft/Pyrography", "url": "http://www.patrickfaleur.com/pyrography/"} +{"d:Title": "Early Wood Lathes", "d:Description": "Historic, non-motorized, wood lathes and related machines from ancient Egypt to the 19th century.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.historicgames.com/lathes/lindex.html"} +{"d:Title": "Woodturning Online", "d:Description": "A source for information, projects, community and vendors.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.woodturningonline.com/"} +{"d:Title": "Woodturning Reference and Information Site", "d:Description": "A reference source of relevant and useful information, with large directories of links. Page on timbers from A - Z.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://myweb.tiscali.co.uk/althea/"} +{"d:Title": "Steve Worcester Turningwood", "d:Description": "How-to articles and links to artists, club websites and internet sources", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.turningwood.com/"} +{"d:Title": "Darrell Feltmate's Page", "d:Description": "Instructions and projects for beginner and intermediate, including sharpening, sanding system, making tools, preparing green wood, turning crotch wood, turning a hollow form, and using the hook tool.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.aroundthewoods.com/"} +{"d:Title": "The Woodturners Workshop - Brian Clifford", "d:Description": "A resource for woodturners, including an introduction to techniques, bibliography, glossary of terms, projects, gadgets, faqs, gallery, and a discourse on trees.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.turningtools.co.uk/"} +{"d:Title": "Turning Wood Into Art: The Jane and Arthur Mason Collection", "d:Description": "Museum site; the subjects explored are among those related to wood, including material esthetics, process and image, storytelling, design, and tree life. Features examples of the work of 42 woodturners.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.mintmuseum.org/mason/"} +{"d:Title": "Woodturning Cad", "d:Description": "Showing a variety of designs that can be turned on a wood lathe, the designs are done using TurboCad 3D program,", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.woodturningcad.co.uk/"} +{"d:Title": "Woodturning Videos Plus", "d:Description": "Articles on many aspects of the art of woodturning by Steven D. Russell. Also includes DVDs and ebooks for sale.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.woodturningvideosplus.com/"} +{"d:Title": "Turned.Out.Right Woodturning Web-Shop", "d:Description": "A site dedicated to the learning, sharing and advancement of the wood turning craft, includes blogs and pages about techniques used in his workshop. Also e-books for sale.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://turnedoutright.com/"} +{"d:Title": "YouTube - Portland Woodturning Symposium", "d:Description": "Video snapshot of the largest gathering of woodturners from around the world. Includes links to other woodturning videos.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.youtube.com/watch?v=YpqEHUbBg_E"} +{"d:Title": "Karl Tickle Media Productions", "d:Description": "Wood craft filming and marketing service, mainly woodturning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.ktmp.co.uk/"} +{"d:Title": "Lignotec", "d:Description": "Lathes and jigs for creative woodturning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.lignolathe.com/"} +{"d:Title": "The Daniel Collection", "d:Description": "Of fine turned wood from over 20 UK turners. Includes photos of the instant gallery at AWGB Seminar, Loughborough 2003 and 2005", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.thedanielcollection.com/"} +{"d:Title": "Jeffrey, Peter", "d:Description": "A rookie woodturner shares his enthusiasm and early efforts to help other beginners learn woodturning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://woodturingpeterjeffery.synthasite.com/"} +{"d:Title": "Woodturner's Resource", "d:Description": "Free AAW-sponsored space to discuss woodturning, and share ideas, techniques and resources. Also galleries, online videos, tips and techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.woodturnersresource.com/"} +{"d:Title": "Woodworking on the Web with Coeur du Bois", "d:Description": "The Toolpost site with helpful pages for beginners, on thread chasing and other technical matters.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.woodworking.co.uk/"} +{"d:Title": "Woodcentral Messageboards", "d:Description": "Turning forum. Also access to contest entry and results.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.woodcentral.com/woodworking/forum/turning.pl"} +{"d:Title": "Center For Art in Wood, The", "d:Description": "A Philadelphia-based not-for-profit arts institution, gallery and resource center dedicated to the art and craft of lathe-turned objects.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning", "url": "http://www.centerforartinwood.org/"} +{"d:Title": "Denis, Jean Dominique", "d:Description": "Lamps, anamorphosis, dishes, plates, turned sculptures. Offers courses in France.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.jeandominiquedenis.com/"} +{"d:Title": "Escoulen, Jean Francois", "d:Description": "Creates eccentric, seemingly off-balance, artistic pieces. Offers courses and specialist tools. In France.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.escoulen.com/"} +{"d:Title": "Kolb, Armin", "d:Description": "Spinning tops of all shapes and sizes made out of different selected materials - wood, bone, silver and emerald.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.spinningtop.de/"} +{"d:Title": "Luce, Bill", "d:Description": "From functional pieces to purely decorative bowls and hollow vessels, especially using green wood.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.billluce.com/"} +{"d:Title": "Ferrell, Paul", "d:Description": "Using burls and spalted wood, specializes in hollow-form vessels, bowls of all sizes, as well as oil lamps, decorative wooden wine tops, and ikebanas. Also Patricia Ferrell's stoneware pottery.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://brushyforkcreek.com/"} +{"d:Title": "Burke, Tony", "d:Description": "Bowls produced on a home-made lathe in the West of Ireland from woods such as tulipwood, burr elm and bog oak.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.kilconly.com/castlegrovecrafts/"} +{"d:Title": "Byle, Eilam", "d:Description": "Hollow forms and bowls, often dyed. Custom furniture and baroque flutes.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.eilambyle.com/"} +{"d:Title": "Elliott, Dennis", "d:Description": "Large one-of-a-kind vessels, wall sculptures and abstract sculptures, accented with carving to give a tactile feel.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.denniselliott.com/"} +{"d:Title": "Wiman, Fred", "d:Description": "Uses sculptural art to transform ordinary vessels into new shapes eliciting visions of far-off times and places.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.woodturningart.com/"} +{"d:Title": "de Vries, Herman", "d:Description": "Galleries and comments on turnings back to 1997, and a page on tools and tips.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.hdv.net/"} +{"d:Title": "McLellan, Ben", "d:Description": "Artful expressions in wood ranging from utilitarian bowls to artistic urns or vases, using woods found in Novia Scotia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.inklingsinwood.com/"} +{"d:Title": "de Vos, Jack", "d:Description": "Jack de Vos - hollow forms and artistic turning inspired by nature, including seed-pod series and grass tree vessels.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://jackdevos.com/"} +{"d:Title": "Mitchell, John", "d:Description": "Large bowls, vases and platters, artist's statement and biography. Sequence of pictures showing process.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.le-artisan.com/"} +{"d:Title": "Walrath, Larry", "d:Description": "Round Rock, Texas resident displays lathe work from local timbers.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://larrywalrath.com/"} +{"d:Title": "Zander, Malcolm", "d:Description": "Vases with pierced work, hollow forms, bowls and natural edge forms.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.malcolmzander.com/"} +{"d:Title": "Brolly, Michael", "d:Description": "Unique and sometimes humorous turned and sculpted work.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.michaelbrolly.com/"} +{"d:Title": "Chapman, Bob", "d:Description": "A gallery of recent work, and a little about his approach to designing and making.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.bobchapman.co.uk/"} +{"d:Title": "Parker, Robert", "d:Description": "Bowls, candles, clocks and fruit in English woods and reclaimed timbers.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.dumponthehill.co.uk/"} +{"d:Title": "Piscitelli, Robin", "d:Description": "Projects from hardwood burls, mainly natural-edged turned green.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://home.nctv.com/bigburl/"} +{"d:Title": "Howlett, Steve", "d:Description": "Abstract sculptural forms turned green, technical notes, FAQs, how-to turn trumpet form.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.stevehowlett.com/"} +{"d:Title": "Kennard, Steven", "d:Description": "Unusual carved boxes, candlesticks and candelabra.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.stevenkennard.com/"} +{"d:Title": "Miller, Kevin", "d:Description": "Closed forms, bowls, segmented canisters and some published articles.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.alaska.net/~atftb/"} +{"d:Title": "Lussier, Pierre", "d:Description": "Bowls, funeral urns, boxes and peppermills using German grinding mechanism. Based in Quebec. [French and English]", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.pierrelussier.com/"} +{"d:Title": "Apostolidis, Vasily", "d:Description": "Bowls, goblets, boxes, and artistic items mainly turned in olive and other local Greek woods. [English and Greek]", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.woodturner.gr/"} +{"d:Title": "Gosden, Colin", "d:Description": "A designer with graphical influences combining form and texture with multi axis techniques, and an emphasis on clocks.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.colingosden.co.uk/"} +{"d:Title": "Lewin, Howard", "d:Description": "Experiments in turning with dyed dowels, veneers and shavings. A selection of tools, articles and a glossary of woodworking terminology.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.customwooddesign.com/"} +{"d:Title": "Dewick, Keverne", "d:Description": "Gallery of turnings and furniture, as well as courses and furniture repair.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.kdewick.co.uk/"} +{"d:Title": "Signal, Roy", "d:Description": "Roy Signal's gallery of a variety of forms.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://san-gil.tripod.com/"} +{"d:Title": "Manabe, Tadatoshi", "d:Description": "Start-to-finish process of turning items, includes DIY projects and informative pages on tools and machines.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://homepage3.nifty.com/manasan/english/"} +{"d:Title": "Siragas, Nikos", "d:Description": "A Greek turner who demonstrates internationally. Uses local woods - olive, carob, walnut, eucalyptus and includes carving and off-centre work. Page on his own designed tools and articles he has written.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.siragas.gr/"} +{"d:Title": "Sokolowski, Ted", "d:Description": "Gallery of product lines including bowls, boxes, candlesticks and many treen items. Includes narrated slideshows - needs QuickTime.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.socantel.net/%7Esokol/"} +{"d:Title": "Valentine, Carole B.", "d:Description": "Provides information about the artist,and a photo gallery of pieces, mainly hollow forms.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.carolevalentine.com/"} +{"d:Title": "Tursini, Ralph", "d:Description": "Artistic and humble bowls, architectural turnings and instructions for bowl turning. Provides tuition.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.vermontwoodturning.com/"} +{"d:Title": "Bosch, Trent", "d:Description": "Turned and sculpted works in wood, offers tuition and own-designed tools.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.trentbosch.com/"} +{"d:Title": "Nott-Us Wood Turning", "d:Description": "Bowls, pens,tall vases, including some engraving - based on the Black Isle in the Highlands of Scotland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://nott-us.co.uk/"} +{"d:Title": "Owen, Tracy", "d:Description": "Specialises in green (or wet) turning of bowls and hollow forms to produce one off pieces; gallery of work and information on woodturning courses.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.tracyowen.com/"} +{"d:Title": "Cannon, Tom", "d:Description": "Gallery and pictorial pages showing the process of turning salvaged Michigan hardwoods into bowls, vases, and hollow forms.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.tomcannonbowls.com/"} +{"d:Title": "Bagley, Robert (Bob)", "d:Description": "Hollow forms, bowls and details of the design and layout of his workshop. Also includes computer art and photography.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.bagendstudio.com/"} +{"d:Title": "Helfant, Steve", "d:Description": "Bowls, vases, platters, boxes, wands, and tops. Includes a biography, gallery of artwork, and a list of upcoming shows.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://naturalturnings.tripod.com/"} +{"d:Title": "Coates, Andy", "d:Description": "Bowls and platters, hollowforms, vases, boxes and lamps, and artistic, sculptural pieces. Commissions undertaken. Includes a biography, history of woodturning, FAQ and a weblog.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.cobwebcrafts.co.uk/"} +{"d:Title": "King, Stuart", "d:Description": "Pots, plaques, goblets, bowls, spoons and the use of pyrography. Several articles on woodturning, bodging, Tunbridge ware and details of his lectures. He writes regularly for the Woodturning magazine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.stuartking.co.uk/"} +{"d:Title": "Caldwell, Errol", "d:Description": "Bowls, platters, closed and hollow forms, bottlestoppers,and candle holders. Also candles made by Helene Caldwell.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.theturningpoint.ca/"} +{"d:Title": "Ellsworth, David", "d:Description": "Bowls, pots, vessels, \"Solstice\", \"Homage\",and \"Sphere\" series and spirit vessels. Also Wendy Ellsworth jewellery.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.ellsworthstudios.com/"} +{"d:Title": "Thorne, Les", "d:Description": "Production turner offering courses and demonstrations. Work includes furniture components, architectural work and one off specials.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.noturningback.co.uk/"} +{"d:Title": "Dickey, Joe", "d:Description": "Makes vessels which say something about hardship, character and beauty.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.joedickey.com/"} +{"d:Title": "Gorrow, Marshall", "d:Description": "Many things that can be turned from wood are shown in the gallery pages, includes over three hundred woodturning links and article on microwave drying.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://mgorrow.tripod.com/"} +{"d:Title": "Hales, Dennis", "d:Description": "A range of work based on fruit displays,dishes and bowls, enhancing the wood by using dyes on \"green \" wood to create natural movement in bowl and dish forms.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.d.hales.clara.net/"} +{"d:Title": "Hancock, Larry", "d:Description": "Project tutorials - hats, baseball cap, crochet hook, and bowls; tips and techniques and descriptions of different gouges.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.turnedtreasures.com/"} +{"d:Title": "Jeeves, Keith", "d:Description": "Gallery of work - bowls, boxes, and hollow forms; includes article on lathe drilling jig. Based in Sydney, Australia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://members.ozemail.com.au/~kjeeves/"} +{"d:Title": "Leadbetter, Bruce", "d:Description": "Woodturner and inventor - designed and makes a ring cutting tool, eccentric cup chuck, the Leady lathe and a ball turning jig. Based in New South Wales, Australia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://members.ozemail.com.au/~kjeeves/leady/leady1.html"} +{"d:Title": "Lindquist, Melvin and Mark", "d:Description": "American pioneer turners, woodturnings and sculpture from burls and spalted wood.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.marklindquist.com/"} +{"d:Title": "Lowe, Peter", "d:Description": "Turner and sculptor using indigenous Western Australian timbers; enclosed forms, vases, bowls, platters and boxes.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://members.iinet.net.au/~peterlow/wood.html"} +{"d:Title": "McCarthy, John", "d:Description": "Artistic and functional pieces, handturned in native Irish timbers in Nohoval, Co. Cork, Ireland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.woodturning-ireland.com/"} +{"d:Title": "Styer, John A.", "d:Description": "All kinds of treen, from bookmarks to whistles. Includes a page on the philosophy of lathe art.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.lathe-meister.com/"} +{"d:Title": "Thur, Don", "d:Description": "From knots and burls in salvaged Muskoka wood to bowls and hollowed vessels in Ontario.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.knotsburls.com/"} +{"d:Title": "Liestman, Art", "d:Description": "Hollow vessels with surface enhancements, such as carving or incising lines, jig-saw patterns and coloring with dyes, inks or paint.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.artliestman.com/"} +{"d:Title": "Wood, Vic", "d:Description": "Square, flowing lidded-boxes, and 48'x 8' turned wall mural", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.ubeaut.com.au/vicwood.html"} +{"d:Title": "Worcester, Steve", "d:Description": "Square turning, bowls and oil lamps.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.turningwood.com/maderais.htm"} +{"d:Title": "Aivars Logins", "d:Description": "Turner and carver using reclaimed local timber. Includes pictures of very large 800-year old burl. In Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.sookewoodart.com/"} +{"d:Title": "Mather, Al", "d:Description": "Specialises in inside-out turnings - see page of explanation. Maine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.almather.com/"} +{"d:Title": "Stirt, Al", "d:Description": "Turned wooden bowls with added carving, decoration and fluting. Offers instruction. Vermont.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.alstirt.com/"} +{"d:Title": "Mailland, Alain", "d:Description": "French woodturner specializing in greenwood turning; produces vegetable and marine sculptured pieces.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.mailland.fr/"} +{"d:Title": "Leland, Alan", "d:Description": "Leland Studios - architectural turnings, laminated candlesticks, miniature stools and ornaments with delicate spindles. Offers instruction. North Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://alanleland.com/"} +{"d:Title": "Kent, Ron", "d:Description": "Thin oil-soaked, uplifted translucent vessels turned from Norfolk pine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.ronkent.com/"} +{"d:Title": "Alexopulos, Allen", "d:Description": "Produces custom woodturning art in Annapolis, Maryland. He strives to create unique turned objects from many tree species, \"harvested\" from trees that have already been cut down and are usually destined for disposal.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.lathescapes.com/"} +{"d:Title": "Nemetz, Allen", "d:Description": "American craftsman turning bowls, natural edge vessels, salad bowl and utensils, hogs-hair brushes and bottle corkers. Some items in include carving.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.featherworks.net/"} +{"d:Title": "Wolfe. Andi", "d:Description": "Platters, bowls, and vessels enhanced with carving and coloring on a botanical theme. Ohio, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.andiwolfe.com/woodturning/wolfe.html"} +{"d:Title": "Webber , Andy", "d:Description": "Showing some examples of his work with descriptions and explanations. Also metal turning and ropework. Berkshire, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://andywebber.com/craft/woodturning.html"} +{"d:Title": "Antanas", "d:Description": "Artistic, collectible items from Lithuania, a combination of modern and traditional Lithuanian folk- art.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://greenforest.20m.com/"} +{"d:Title": "Oudet, Pascal", "d:Description": "Artistic turning, including carving. Galleries showing development over the years and gallery of visiting artistic turners. Articles on tools and projects, including steady for trembler. Grenoble, France.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.lavieenbois.com/"} +{"d:Title": "Starbuck, Jennie", "d:Description": "Galleries of artistic woodturning using various craft techniques, including beadwork, to enhance and embellish - with associated hints pages explaining how each effect is achieved.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.artycraftywoodturning.com/"} +{"d:Title": "Doughtie, Sharon", "d:Description": "Turned bowls, boxes and vessels with texturing, carving and color, incorporating Celtic knot designs. Hawaii, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.sharondoughtie.com/"} +{"d:Title": "Jackofsky, Mike", "d:Description": "Specializing in hollow forms, mainly natural edge vessels turned from burls, and off-balance, asymmetrical pieces. California, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.mikejackofsky.com/"} +{"d:Title": "Turner, Neil", "d:Description": "Using native timbers, he creates turned and organic sculptures many using pierced work. Based in the wheatbelt of South Western Australia", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.neilturner.biz/"} +{"d:Title": "Fennell, J. Paul", "d:Description": "Thin-wall turning and piercing, designs based on leaf and sea patterns and Chinese lattice work and a description of the process. Arizona, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.jpaulfennell.com/"} +{"d:Title": "Alonso, Antonio", "d:Description": "Large turned and carved bowls, hollow forms and platters. Salt Spring, Canada", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.alonsowoodturning.com/"} +{"d:Title": "Scarpino, Betty", "d:Description": "Turnings embellished with sculpting and carving, egg series and altered plate series. USA", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.bettyscarpino.com/"} +{"d:Title": "Cronje, Beyers", "d:Description": "Using local woods to produce bowls, natural-edge bowls, hollow forms and lace bobbins. Page on laser-guided hollowing. South African.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.kantkraft.co.za/beyers_cronje.htm"} +{"d:Title": "Conlen, Bill", "d:Description": "Bowls, boxes, vases, hollow forms, platters, peppermills and pens. USA", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.bowls.bconlen.com/"} +{"d:Title": "Bloch, Peter", "d:Description": "Turned wood lampshades made of quaking aspen, and other small items. New Hampshire, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.woodshades.com/"} +{"d:Title": "Kephart, Jim", "d:Description": "Architectural and furniture parts, specialty and artistic woodturnings. Connecticut, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.jimkephartwoodturning.com/"} +{"d:Title": "Drozda, Cindy", "d:Description": "Lidded vessels, containers and boxes, all with fine finials. Connecticut, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.cindydrozda.com/"} +{"d:Title": "Kermode , Jerry", "d:Description": "Natural edge bowls and vessels, sometimes incorporating stitching using biscuit-jointer Offers tuition. In Sonoma County, CA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.jerrykermode.com/"} +{"d:Title": "Kaplan, Mike", "d:Description": "Bowls, vessels and platters from indigenous timbers which are embellished with carving and scorching; includes gallery of work. Based in South Africa.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.mikekaplandesign.com/"} +{"d:Title": "Buchner, Tom", "d:Description": "Hollow forms and bowls.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.tombuchner.com/"} +{"d:Title": "Whitman, Brad", "d:Description": "Makes bowls, plates, and art objects from fallen trees; includes an article on greenwood turning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.bradturnsgreen.com/"} +{"d:Title": "Cook. Nick", "d:Description": "Illustrations of one-off items, such as hollow forms and bowls, gift items and architectural pieces. Includes articles on various aspects of turning and also offers some supplies for sale.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.nickcookwoodturner.com/"} +{"d:Title": "van Ness, Gerritt", "d:Description": "Turner and sculptor using mixed-media materials. Lives in Bayview, Washington.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.gerritvanness.com/"} +{"d:Title": "Bailey, Dan", "d:Description": "Turns bowls of different shapes and sizes, includes pages on the process and illustrations of finished bowls. Offers roughed out bowls for sale.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.roughoutbowls.com/"} +{"d:Title": "Yamaguchi, Lynne", "d:Description": "Illustrations of her work, hollow vessels, boxes, and one-off titled pieces. Also includes a weblog with photos of the International Turning Exchange.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.lynneyamaguchi.com/"} +{"d:Title": "St.Leger, Mark", "d:Description": "Unusual forms, turned and carved, often incorporating driftwood, sometimes turned on the bias. Lives in Southwest Virginia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.markstleger.com/"} +{"d:Title": "Tulip, Helen&Geoff", "d:Description": "Creators of large centrepiece bowls and platters, Celtic carving, and gifts for all occasions; made from local Irish timber", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.tulipwoodcrafts.co.uk/"} +{"d:Title": "Edwards, Martin", "d:Description": "Wooden bowls, square bowls, platters, pens, goblets, and candlesticks Pages on finishing and care; and techniques and tips with download PDF versions.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.woodturns.co.nz/"} +{"d:Title": "Jamieson, Lyle", "d:Description": "Sculptural turner, designer of the Jamieson hollowing tool, and instructor.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.lylejamieson.com/"} +{"d:Title": "Porter, Paul", "d:Description": "Features hollow forms, bowls, billiard ball boxes and illustrates his hollowing tools and reverse chucking method.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://paulporterwoodturning.com/"} +{"d:Title": "Campbell, Marilyn", "d:Description": "Illustrating turned, sculptural vessels, using cutting and reassembly with the application of epoxy; showing the black and white series.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.marilyncampbell.ca/"} +{"d:Title": "Haskell, Bill", "d:Description": "Carved and pierced hollow forms, natural-edge bowls and classic forms. USA", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.billhaskell.com/"} +{"d:Title": "O'Brien, Patrick M.", "d:Description": "Alexandria, Virginia - bowls and hollow forms with some carving.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.ohbewood.com/"} +{"d:Title": "Graham, Robbie", "d:Description": "Using native New Zealand woods, creates off-center and multi-center pieces, including musical instruments.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.wildwoodgallery.co.nz/woodturning.htm"} +{"d:Title": "Orr, Mike", "d:Description": "Salad bowls. hollow forms and decorative items. Includes some projects. British Columbia, Canadia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://members.shaw.ca/approachingart"} +{"d:Title": "Mclaughlin, Emory", "d:Description": "Site features PDF articles and gallery of turnings.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.asthewoodturns.com/"} +{"d:Title": "Brooks, James", "d:Description": "Bowls and hollow vessels. Uncludes illustration of home-made sawmill.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.bowlsbybrooks.com/"} +{"d:Title": "Dedrick, Jim", "d:Description": "Illustrations of bowls and spindle work, some for sale. Ontario, Candada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www3.sympatico.ca/jdedrick/"} +{"d:Title": "Grunke, Ken", "d:Description": "Bowls and vases from local hardwoods (Wisconsin), includes some technical information, including turning metal on a wood lathe.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.token.crwoodturner.com/"} +{"d:Title": "Donaldson, Jamie", "d:Description": "Bowls and hollow vessels, embellished with carving and sculpture.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.jamiedonaldsonwoodturner.com/"} +{"d:Title": "Cassidy, Seamus", "d:Description": "Bowls and vases, embellished with gold leaf and burning, some with bog-oak legs. Also furniture traditionally constructed. In Ireland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://homepage.eircom.net/~woodturn2002/default.htm"} +{"d:Title": "Yvonne Arlott, Yvonne", "d:Description": "Bowls, platters, boxes, goblets, vases and sculpture, often with piercing, and barley twists.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.yvonnearlott.com/"} +{"d:Title": "Hall, Billy", "d:Description": "Wooden lamps, lampshades and lighted sculpture, which are finished to 1/32-3/32 inch thickness. North Carolina, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.glowingwoodsculptures.com/"} +{"d:Title": "Hare, Scott", "d:Description": "Features turned bowls, calabashes, natural edges, sculpted turnings, and full relief sculpture all crafted out of exotic Hawaiian woods.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.hawaii-koa-naturals.com/"} +{"d:Title": "Johnson, John", "d:Description": "Fruit, clocks, barometers, pens, light pulls, and bottle stoppers; also turned posts for kitchen manufacturers, newels and spindles for stairs and spindles for furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.johnjohnsonwoodturning.co.uk/"} +{"d:Title": "Cutler, Robert", "d:Description": "Shows bowls and boxes with intricate inlays of different woods, silver, copper and brass; also jewelry and knives. Information on special finish.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.rjcutler.com/"} +{"d:Title": "Hampel, Michael", "d:Description": "Turned and sculpted shell forms, with texturing and coloring.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.splintergarden.com/"} +{"d:Title": "Irvine, Scott", "d:Description": "Challenging the function of the basic form of a bowl has led him to unite wood with different materials such as metals, fused glass and stone.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.harmonies-in-wood.co.uk/"} +{"d:Title": "Beck, Andy", "d:Description": "Bowls,hollow forms,and other creations,some left natural but most have been textured, carved and/or coloured.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.andy-beck.org/"} +{"d:Title": "Goodyear, John", "d:Description": "Specializes in one-off sculptural and classic forms. Integration of piercing, sandblasting, router work, carving and other texturing techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://johngoodyear.ca/"} +{"d:Title": "Sanger, Mark", "d:Description": "Turned work including bowls, hollow forms, platters, candles sticks and items for restoration, made using native woods. Based in North Dorset, England.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.marksanger.co.uk/"} +{"d:Title": "Hannaby, Paul", "d:Description": "Produces individual items (bowls, vases, goblets, pens etc.) for practical and decorative purposes. Located in the Forest of Dean, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.creative-woodturning.com/"} +{"d:Title": "Ashton, Mary", "d:Description": "Biography and gallery of turned items.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.maryashton-woodturner.co.uk/"} +{"d:Title": "Mars, Rik", "d:Description": "Abstract objects using turned wood and other materials. Dutch site with English pages.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.rikmars.eu/"} +{"d:Title": "Brooks, Paul", "d:Description": "Shows mainly turned wood, but also carved, pyrography, paintings, and sculpture, all home produced in Bristol, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.paulbrooks.co.uk/"} +{"d:Title": "Bryhan, Anthony", "d:Description": "Architectural bowls, including end-grain, crotch and wet-turning. Some carving and multi-axis turning to give \"bowl in bowl\" appearance. California, USA", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.abryhan.com/sb/"} +{"d:Title": "Clyne, Angus", "d:Description": "Bowls and hollow forms, using both wet and dry turning techniques, from local wind-blown timber. Scottish based.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.angusclyne.co.uk/"} +{"d:Title": "Stevens, Gary", "d:Description": "Lathe turned and sculpted large vessels, with names like 'Floating Tiger' and 'Emerging Flower'.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://artbowl.com/"} +{"d:Title": "Key, Ray", "d:Description": "Information, biography and description of his work.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.wgdc.org.uk/designers/furniture/ray-key.html"} +{"d:Title": "Heryet, Julie", "d:Description": "Gallery of bowls, boxes, lobed and hollow forms. Descriptive list of timbers and brief biography.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.jayheryet.co.uk/"} +{"d:Title": "Fleet, Bob", "d:Description": "Bowls, platters, candlesticks and small items, such as fruit and mushrooms. Scotland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://myweb.tiscali.co.uk/onegoodturn/"} +{"d:Title": "Hill, Fred", "d:Description": "Bowls, boxes, balustrades, fruit, and clock sets.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.sumit-uk.co.uk/joomlabeta/"} +{"d:Title": "Hodgson, John", "d:Description": "Various woodturnings in Morvern, Scotland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.john-hodgson.co.uk/"} +{"d:Title": "Hofius, Michael Tracy", "d:Description": "Architectural turnings,balusters, porch columns, chalices. communion sets, cremation urns. Includes comprehensive article on sharpening tools.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://ahaubalam.tripod.com/mike/"} +{"d:Title": "Pho, Binh", "d:Description": "Distinctive works with coloring, piercing, and architectural elements; also book and how-to's dvds, tools and supplies. Illinois, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.angelfire.com/il2/binhpho/"} +{"d:Title": "Williams, John", "d:Description": "Bowls, plates and vessels. Also hints and techniques. Ontario, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.woodisfun.ca/"} +{"d:Title": "Harris, Anthony", "d:Description": "Boxes, 'eggspired' boxes, and finial boxes, all including chased threads; also bowls, closed forms, and pipes. Kansas City, USA", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://acmepipes.com/"} +{"d:Title": "Potts, Steve", "d:Description": "Wooden bowls, vessels, and vases turned from exotic and domestic woods. Includes information about shows schedule.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://mybranchingout.typepad.com/branching_out/"} +{"d:Title": "Leech, Jonathan", "d:Description": "Woodturner specialising in natural edge and burr wood fruit bowls. Jonathan works with local, sustainably sourced timber. The site includes a blog about woodturning and an online shop.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://www.jonathanleech.co.uk/"} +{"d:Title": "Lacer, Alan", "d:Description": "Boxes, goblets and flared-rim vessels. Many interesting articles on techniques and sharpening. Skew chisels and videos for sale. Wisconsin.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://woodturninglearn.net/"} +{"d:Title": "Bosco, Robert", "d:Description": "Eccentric, segmented, and decorative work from this French turner, including tremblers. Specialist in variations on the standard Chinese ball", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Artisan_Portfolios", "url": "http://robert.bosco.pagesperso-orange.fr/modeleen.htm"} +{"d:Title": "French Association for Artistic Woodturning", "d:Description": "Information about the association - composition, activities and services, and special events.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.aftab-asso.com/"} +{"d:Title": "East Central Chapter of the Irish Woodturning Guild", "d:Description": "Meeting in Dublin, gives details of membership and meetings.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.eastcentralchapter.com/"} +{"d:Title": "Irish Woodturners' Guild", "d:Description": "Information about the chapters, membership, events and local and national seminars.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.irishwoodturnersguild.com/"} +{"d:Title": "South Auckland Woodturners' Guild", "d:Description": "Information on meetings and venues, includes calendar and coming events and Pdf files of project instructions (needs Adobe Reader. Galleries of club night projects and demonstrations, and members' pages.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://sawg.org.nz/"} +{"d:Title": "Witwatersrand Woodturners", "d:Description": "Information about the club. Johannesburg, South Africa.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.icon.co.za/~chrisoco/about.html"} +{"d:Title": "Fraser Valley Woodturner's Guild", "d:Description": "Contains information on meetings, venue, back copies of newsletters, pdf's of articles and includes pictures of past meetings. Meets in Abbotsford, British Columbia. Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://fvwg.ca/"} +{"d:Title": "Greater Vancouver Woodturners Guild", "d:Description": "Includes details of meetings and calendar, with pictures of past demonstrations, instant galleries and president's challenge. Vancouver, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.gvwg.ca/"} +{"d:Title": "Golden Horshoe Woodturners", "d:Description": "Gives details of meetings, pictures of show and tell, members' gallery and some articles on techniques. Meets in Burlington, Ontario, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.ghwg.ca/"} +{"d:Title": "Grey-Bruce Woodturners Guild", "d:Description": "Includes details of membership and venue, photo albums, recent newsletters and tips and jigs. Ontario, Canada", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.gbwg.ca/"} +{"d:Title": "Kawartha Woodturners Guild", "d:Description": "Gives details of meetings, venue, newsletters, and some pictures. Peterborough, Ontario, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.kawarthawoodturners.com/"} +{"d:Title": "Woodturners Guild of Ontario", "d:Description": "Includes details of meetings and venue, back newsletters and a gallery of photos. Meets in Pickering, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://wgo.ca/"} +{"d:Title": "Kingston Woodturners", "d:Description": "Includes meetings schedule and venues; and photo-galleries of demonstrations. Ontario, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.kingstonwoodturners.com/"} +{"d:Title": "Thames Valley Woodturners", "d:Description": "Gives details of monthly meetings and venue. Gallery, tips and tricks, and copies of newsletters. London Ontario, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.thamesvalleywoodturners.com/"} +{"d:Title": "Blue Mountains Woodturners Inc.", "d:Description": "A forum for woodturners in the Blue Mountains, NSW, Australia. Meetings, demonstrations, displays, training courses and talks from woodturning related industries are part of their agenda.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://www.bluemtnswoodturners.org.au/"} +{"d:Title": "Woodturners Society of Queensland Inc.", "d:Description": "Promotes and encourages the art and craft of woodturning to their members and the public. Meets in own premises in Brisbane, Australia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations", "url": "http://wsqueensland0.tripod.com/woodturnerssocietyofqld/"} +{"d:Title": "Avon&Bristol Woodturners", "d:Description": "Gives details of demonstrators, speakers, events, competitions and facilities for tuition.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.avon-and-bristol-woodturners.org.uk/"} +{"d:Title": "East Surrey Woodturners", "d:Description": "Details of meetings, gallery of members' work, and a page of FAQs. Selsdon, Surrey", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.eastsurreywoodturners.org/"} +{"d:Title": "Forest of Bere Woodturners Association", "d:Description": "Information on monthly meetings, social events, guest speakers, and competitions. Located in Bedhampton, Hampshire UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.forestofberewoodturners.org.uk/"} +{"d:Title": "Great Britain, Association of Woodturners (AWGB)", "d:Description": "Dedicated to the advancement and promotion of woodturning, with individual members and local Branches. Provides education, information and organisation.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.woodturners.co.uk/"} +{"d:Title": "Professional Turners, The UK Register of", "d:Description": "Supported by The Worshipful Company of Turners of London, the register is composed of turners who offer a professional service to the public and whose work has been approved by competent assessors as being of good quality.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.rpturners.co.uk/"} +{"d:Title": "West Pennine Woodturners", "d:Description": "Based in Norden, nr. Rochdale, Lancs. UK. Monthly meetings with events, galleries and craft fair schedules.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.westpenninewoodturners.co.uk/"} +{"d:Title": "The Worshipful Company of Turners of London", "d:Description": "A Livery Company of the City of London. Runs annual competition for professional, amateur and ornamental turners, and holds the Register of Professional Turners. Awards bursaries.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.turnersco.com/"} +{"d:Title": "Blackcountry Woodturners", "d:Description": "Details of events, gallery of members work. Meet in Dudley.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.blackcountrywoodturners.co.uk/"} +{"d:Title": "Burcot Woodturners", "d:Description": "Details of meetings, gallery of members' work, illustrated turning projects, and tools available to borrow. Burcot, Worcestershire,", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.burcotwoodturners.org.uk/"} +{"d:Title": "Cheam Woodturners Association", "d:Description": "Details of meetings, gallery of members' work, reviews of past meetings, hints and tips. Cheam, North Surrey.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.cheamturners.co.uk/"} +{"d:Title": "Chelmer Valley Woodturners", "d:Description": "Details of meetings and events, including the biannual Turn Essex. Chelmsford, Essex.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.chelmerwood.co.uk/"} +{"d:Title": "Chestnut_Woodturning", "d:Description": "Details of meetings and venue. Hadleigh, Suffolk.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.chestnutswood.co.uk/"} +{"d:Title": "Forest of Dean Woodturners", "d:Description": "Details of meetings, some tips and photos of demonstrators.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.forestwoodturners.co.uk/"} +{"d:Title": "The Highland Woodturners Club", "d:Description": "Details of meetings and gallery of members' work. Inverness, Scotland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.highland-woodturners.org.uk/"} +{"d:Title": "Middlesex Woodturning Association", "d:Description": "Details of meetings, gallery of members' work and copies of back newsletters. South Ruislip, Middlesex.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.middxturners.com/"} +{"d:Title": "Suffolk Essex Cambridge Borders Woodturners", "d:Description": "Programme of meetings, and gallery of members' work. Meets at Peter Child's premises in Little Yeldham, Essex.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.secb.co.uk/"} +{"d:Title": "Village Turners", "d:Description": "Detaials of meetings, gllery of members' work and interesting articles. Peterborough, Cambridgeshire.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.villageturners.org.uk/"} +{"d:Title": "West Midlands Woodturners", "d:Description": "Details of meetings, gallery of club events and members' work. Water Orton, Birmingham.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.wmwoodturners.org.uk/"} +{"d:Title": "Cumbria Woodturners' Association", "d:Description": "Details of meetings and gallery of members' work. Kendal.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.turningcumbria.co.uk/"} +{"d:Title": "The Surrey Association Of Woodturners", "d:Description": "Details of meetings, programme of events and copies of previous newsletters. Camberley, Surrey.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.sawoodturners.org/"} +{"d:Title": "West Sussex Woodturners", "d:Description": "Details of meetings, back copies of newsletters, and gallery of club events. Storrington, Sussex.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.westsussexwoodturners.co.uk/"} +{"d:Title": "Hampshire Woodturners Association", "d:Description": "Details of meetings and photos of club meeting. Eastleigh.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.hants-woodturners-hwa.co.uk/"} +{"d:Title": "Wight Wood Turners", "d:Description": "Isle of Wight club. Includes profile, meeting times, members galleries, and contact details.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.wightwoodturners.org.uk/"} +{"d:Title": "Kent Woodturners", "d:Description": "Details of meetings and venue, photos of members' work and recent exhibitions and competitions. Aylesford, Kent.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.kentwoodturners.com/"} +{"d:Title": "Coombe Abbey Woodturners", "d:Description": "Details of meetings and a gallery of members' work. Coventry.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://coombeabbeywoodturners.webeden.co.uk/"} +{"d:Title": "East Herts Woodturning", "d:Description": "Details of meetings, galleries of members' work and club events. Sawbridgeworth, Herts.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.gloomwriter.org.uk/ehwt/"} +{"d:Title": "Oxfordshire Woodturners Club", "d:Description": "Details of meetings and programme, gallery of members' work and back copies of newsletter. Details of recently restored treadle lathe. Oxford.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_Kingdom", "url": "http://www.neilheppell.talktalk.net/"} +{"d:Title": "American Association of Woodturners (AAW)", "d:Description": "International, non-profit society dedicated to the advancement of woodturning by providing education, information, and organization.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.woodturner.org/"} +{"d:Title": "Arizona Woodturners Association", "d:Description": "Providing education, preservation, and inspiration. Hosts the Desert Woodturning Roundup National Turning Symposium.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.azwoodturners.org/"} +{"d:Title": "Bay Area Woodturners Association", "d:Description": "San Francisco, East Bay area association of woodturners informational site. Includes galleries, links and group and meeting information.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://bayareawoodturners.org/"} +{"d:Title": "Cape Cod Woodturners", "d:Description": "A group of people interested in using the lathe to turn items for function and art. Schedule of meetings and events in Hyannis, MA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://capecodturners.org/"} +{"d:Title": "Chicago Woodturners", "d:Description": "Located in the Suburban Chicago Area, provides a forum to promote the art and craft of woodturning through education, information and community programs.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.chicagowoodturners.com/"} +{"d:Title": "Coulee Region Woodturners", "d:Description": "Details of meetings and demonstrations, photos of members' work, meetings, demonstrations and instant galleries. La Crosse, Wisconsin.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.crwoodturner.com/"} +{"d:Title": "East Texas Woodturners' Association", "d:Description": "Providing details of meeting place, mentor program, events, membership details, and pages of tips and projects.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.easttexaswoodturners.org/"} +{"d:Title": "Glendale Woodturners Guild", "d:Description": "Serving the greater Los Angeles Metro area. Contains tips, galleries of work and helpful links.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.woodturners.org/"} +{"d:Title": "North Florida Woodturners", "d:Description": "Features a show and tell section, member information, news and newsletters.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://n-fl-woodturners.org/"} +{"d:Title": "Ohio Valley Woodturners Guild", "d:Description": "Chapter of American Association of Woodturners.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.ovwg.org/"} +{"d:Title": "Pacific Northwest Woodturning Guild", "d:Description": "Based in Portland, Oregon, gives club information, photo galleries, news, and links.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.woodturningguild.com/"} +{"d:Title": "Association of Revolutionary Turners (A.R.T.)", "d:Description": "Club meeting in Woburn, Massachusetts, includes information on meetings and mentor program, gallery of members work, tips and techniques, and a chat room.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.revolutionary-turners.com/"} +{"d:Title": "South Texas Woodturners", "d:Description": "Meeting in Victoria, Texas. Details of meetings, archive of past meetings, list of members, gallery of work.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://stwt.org/"} +{"d:Title": "Woodturners of Southwest Missouri (WSWMO)", "d:Description": "Club serving the south-central and south-western Missouri, as well as Arkansas Ozarks areas. Monthly meetings with live demonstrations and discussions.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.woodturnersofswmo.org/"} +{"d:Title": "Western New York Woodturners", "d:Description": "Two Chapters of the American Association of Woodturners located in Western NY. Gallery of members work.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.wnywoodturners.com/"} +{"d:Title": "Alaska Woodturners Association", "d:Description": "Information about the chapter, meetings, local suppliers of wood,and gallery of member's work. Before each meeting a tutorial on tool sharpening. Anchorage, Alaska.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.akwoodturners.org/"} +{"d:Title": "Alabama Woodturners Association", "d:Description": "Announcements, meeting schedule, newsletters, gallery, videos, tips and articles, buy-sell, and library. Homewood, Alabama.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.alabamawoodturners.com/"} +{"d:Title": "Azalea Woodturners", "d:Description": "Mobile, Alabama based club. Offers meeting minutes, photo gallery, library listing, members pages and newsletter.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://azaleawoodturners.com/"} +{"d:Title": "Carolina Mountain Woodturners", "d:Description": "Details of forthcoming demonstrations, events, turning tips, and galleries of members work. Own a mobile turning school. Meets in Asheville, North Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://carolinamountainwoodturners.org/"} +{"d:Title": "Washington, Woodturners of Olympia", "d:Description": "Formed in 2005 for turners in the South Puget Sound area. Washington State", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.woodturnersofolympia.org/"} +{"d:Title": "Gold Coast Woodturners", "d:Description": "Pictures of Show and Tell, gallery of members work, tips and techniques. Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.goldcoastwoodturners.org/"} +{"d:Title": "Central Connecticut Woodturners", "d:Description": "Club information, galleries of members work and details of club contribution to reconstruction work on the vessel Amistad.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.ccwoodturners.org/"} +{"d:Title": "Ocean Woodturners", "d:Description": "Details of meetings, gallery of members work, page on segmented turning and tribute to former President. Rhode Island.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.oceanwoodturners.com/"} +{"d:Title": "CNY Woodturners", "d:Description": "Details of meetings, mentor program, and gallery of members work. Some pages members only. New York.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://cnywoodturners.org/"} +{"d:Title": "Central Florida Woodturners", "d:Description": "Details of the club, list of online resources, photos of club events and members' work.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.centralfloridawoodturners.org/"} +{"d:Title": "Central Illinois Woodturners (CIW)", "d:Description": "Details of club, galleries of members work, and show and tell; mentoring program, including skills offered and detailed tips and techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.centralillinoiswoodturners.com/"} +{"d:Title": "Central Indiana Chapter of the American Association of Woodturners", "d:Description": "Turning wood and making friends - details of meetings, galleries of club pictures, FAQs and glossary.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.cicaaw.org/"} +{"d:Title": "Central New England Woodturners", "d:Description": "Details of meetings, galleries, projects and articles. Based in Worcester, Massachusetts.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.cnew.org/"} +{"d:Title": "Central Ohio Woodturners", "d:Description": "Details of meetings and demonstrations, and back copies of the newsletter.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.centralohiowoodturners.org/"} +{"d:Title": "Central Oklahoma Woodturners Association (COWA)", "d:Description": "Details of meetings, galleries of members work, and information on training program.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.okwoodturners.net/"} +{"d:Title": "Central Texas Woodturners Association (CTWA)", "d:Description": "Details of meetings, newsletters and galleries of members work.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://ctwa.org/"} +{"d:Title": "Central Virginia Woodturners (CVW)", "d:Description": "Details of meetings, calendar, club information, galleries and newsletter. Crimora, Virginia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.centralvawoodturners.org/"} +{"d:Title": "Channel Islands Woodturners", "d:Description": "Details of meetings, galleries of members work, resources, projects and photos from past demonstrations. Ventura County, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.channelislandswoodturners.org/"} +{"d:Title": "Nutmeg Woodturner's League", "d:Description": "Details of meetings, gallery of members work, skewed skew award and some back newsletters. Brookfield, Connecticut.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.nutmegwoodturners.com/"} +{"d:Title": "Chesapeake Woodturners", "d:Description": "Details of meetings and events, members pages, articles and videos. Annapolis, Maryland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.chesapeakewoodturners.com/"} +{"d:Title": "Classic City Woodturners", "d:Description": "Details of meetings and events, photos of Show and Tell at meetings. Athens, Georgia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.classiccitywoodturners.com/"} +{"d:Title": "Cumberland Woodturners", "d:Description": "Chapter of the American Association Of Woodturners. Details of meetings, galleries of members' work, and tips and techniques. Crossville, Tennessee.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.cumberlandwoodturners.com/"} +{"d:Title": "Dallas Area Woodturners", "d:Description": "Member of the American Association of Woodturners. Details of meetings with map, gallery of members' work, page of \"how-to's\" and photos of some club events. Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.dallaswoodturners.com/"} +{"d:Title": "Bucks Woodturners", "d:Description": "Gives information about meetings and includes pictures of members' work. Newtown, Pennsylvania", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.bucksturners.com/"} +{"d:Title": "El Camino Woodturners Guild", "d:Description": "Details of meetings at El Camino College in Torrance, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://groups.yahoo.com/group/ecwg/"} +{"d:Title": "First State Woodturners", "d:Description": "Gives details of coming meetings, venue, and pictures from past meetings. Serves the area around New Castle, Delaware.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://firststatewoodturners.org/"} +{"d:Title": "Florida West Coast Woodturners Club (FWCWT)", "d:Description": "Gives details of meetings and venue, and includes downloads of articles on tips and techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.fwcwt.org/"} +{"d:Title": "Georgia Association of Woodturners (GAW)", "d:Description": "Gives details of the chapter, meeting times and venue, photo galleries, information about scholarships, and their symposium \"Turning Southern Style\".", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.gawoodturner.org/"} +{"d:Title": "Golden Triangle Woodturners", "d:Description": "Gives details of meetings and venue, PDF of latest newsletter and information from the AAW Symposium. Denton, Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.goldentrianglewoodturners.org/"} +{"d:Title": "Great Plains Woodturners Club", "d:Description": "Gives meeting information and venue, and various galleries. Lincoln, Nebraska", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.greatplainswoodturners.com/"} +{"d:Title": "Gulf Coast Woodturners Association (GCWA)", "d:Description": "Includes club information, meeting venue, photo albums, recent and past newsletters, and some articles on techniques. Houston, Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.gulfcoastwoodturners.org/"} +{"d:Title": "Gwinnett Woodworkers Association (GWA)", "d:Description": "A chapter of the American Association of Woodturners. Gives details of meetings and classes held in Lilburn, Georgia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://gwinnettwoodworkers.com/"} +{"d:Title": "Honolulu Woodturners", "d:Description": "Information about the club, membership, venue, picture galleries and some tips and techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.honoluluwoodturners.org/"} +{"d:Title": "Hunt County Woodturners", "d:Description": "Gives information about meetings, venue and some pictures. Greenville, Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.huntcountywoodturners.org/"} +{"d:Title": "Kansas City Woodturners Club", "d:Description": "Local chapter of AAW located in Topeka; details of meetings, and photos of previous meeting.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.kcwoodturners.org/"} +{"d:Title": "Loess Hills Woodturners", "d:Description": "Includes details of meetings and venue, articles, tips, and some photos of demonstrators and 'show and tell'. Glenwood, Iowa.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.lhturners.org/"} +{"d:Title": "Louisville Area Woodturners", "d:Description": "Includes details of meetings and events, current and past newsletters, tips, and galleries of members' work. Louisville, Kentucky.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://louisvilleareawoodturners.com/"} +{"d:Title": "Stateline Woodturners", "d:Description": "Gives information on meetings, venue, newsletters, and galleries of members work. Springdale, Arkansas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.statelinewoodturners.com/"} +{"d:Title": "Maine Woodturners", "d:Description": "Includes details of meetings and venue, galleries of show and tell, individuals, and 'how-do-they-do-it'. South China, Maine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.mainewoodturners.org/"} +{"d:Title": "Michigan Association of Woodturners", "d:Description": "Details of meetings, gallery of members' work, copies of past newsletters and information on some techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.michiganwoodturner.org/"} +{"d:Title": "Mid-South Woodturners Guild", "d:Description": "Details of meetings and venue, members instant galleries, and copies of past newsletters. Cordova, Tennessee.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.midsouthwoodturners.com/"} +{"d:Title": "Mid-Maryland Woodturner's Club", "d:Description": "Gives details of meetings and venue, past newsletters, photos of Show'n'Tell and work by some members. Frederick, Maryland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.mmwtc.org/"} +{"d:Title": "Minnesota Woodturners Association", "d:Description": "Includes details of meetings and venues, photos of work by members, and copies of past-newsletters.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.mnwoodturners.com/"} +{"d:Title": "Montgomery County Woodturners", "d:Description": "Gives details of meetings and venue, plus photos of show and tell and copies of past newsletters. Rockville, Maryland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://montgomerycountywoodturners.org/"} +{"d:Title": "Mountaineer Woodturners", "d:Description": "Includes details of meetings and venue, galleries of work by members, and copies of past newsletters. Ripley, West Virginia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://mountaineerwoodturners.com/"} +{"d:Title": "New Jersey Woodturners", "d:Description": "Gives details of meetings and venue, photos of some past meetings, and albums of members' work. Roseland, New Jersey.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.njwoodturners.com/"} +{"d:Title": "New Mexico Woodturners", "d:Description": "Gives details of next meeting and venue, gallery of members' work and .pdfs of some past meetings. Alameda, New Mexico.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://nmwoodturners.org/"} +{"d:Title": "Nor-Cal Woodturners", "d:Description": "Includes details of meetings and venue, photos-galleries of past meetings, and PDF's of recent newsletters. Sacramento, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.norcalwoodturners.org/"} +{"d:Title": "North Carolina Woodturners Association", "d:Description": "Gives details of meetings and venue,including demonstrators for the year. Also photo gallery and archive copies of newsletter since 1991. Hickory, North Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.ncwoodturners.com/"} +{"d:Title": "Northern Rockies Woodworking Guild", "d:Description": "Details of meetings and venue, pictures of show and tell and archive newsletters. Located in Bozeman, Montana", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.nrwg.org/"} +{"d:Title": "Northwest Woodturners", "d:Description": "Gives details of meetings and venue, and includes PDFs of past newsletters. Serving Portland, northwest Oregon and southwest Washington.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.northwestwoodturners.com/"} +{"d:Title": "Olympic Peninsula Woodturners", "d:Description": "Gives details of meetings and venue, albums of show and tell, and PDFs of past newsletters. Meets in Bremerton, Washington.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.opcaaw.com/"} +{"d:Title": "Palm Beach County Woodturners (PBCW)", "d:Description": "Gives details of meetings and venue, galleries of past events, and PDFs of archive newsletters. Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.pbcw.org/"} +{"d:Title": "Piedmont Triad Woodturners Association", "d:Description": "Gives details of meetings and venue, past newsletters, and photo galleries of instant galleries at meetings. Greensboro, North Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.ptwoodturners.org/"} +{"d:Title": "Pikes Peak Woodturners", "d:Description": "Details of meetings and venue, Page of hints, past newsletters and galleries of members' work and from various symposiums. Colorado Springs, Colorado.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://ppwoodturners.org/"} +{"d:Title": "Prescott Area Woodturners", "d:Description": "Includes gallery of members' work and PDFs of past newsletters. Arizona.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.prescottareawoodturners.com/"} +{"d:Title": "Quad Cities Wood Turners Club", "d:Description": "Details of meetings and venue, current newsletter, and archive of past newsletters. Davenport, Iowa.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.qcwoodturners.org/"} +{"d:Title": "Rocky Mountain Woodturners", "d:Description": "Details of meetings and venue, gallery of members work and archive newsletters. Loveland, Colorado.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.rmwt.org/"} +{"d:Title": "San Diego Woodturners", "d:Description": "Details of meetings and venue, techniques, past newsletters and photo albums of instant galleries. Meets in San Marcos, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.sdwt.org/"} +{"d:Title": "Sarasota Woodturners", "d:Description": "Includes galleries of members' work and a page of articles. Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.sarasotawoodturners.com/"} +{"d:Title": "Southern Arizona Woodturners Association (SAZWA)", "d:Description": "Gives details of meetings and venue, club news and a page of resources. Meets in Tucson, Arizona.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://sazwa.org/"} +{"d:Title": "Space Coast Woodturners", "d:Description": "Details of meeting and venue, and PDF's of newsletters. Meets in Melbourne, Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.spacecoastwoodturners.com/"} +{"d:Title": "Suncoast Woodturners", "d:Description": "Details of meetings and venue, newsletters, turning tips and some photos of member's creations. Meets in Clearwater, Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.suncoastwoodturners.com/"} +{"d:Title": "Tennessee Association of Woodturners", "d:Description": "Gives information on meetings and venue, Saturday turning sessions and the annual symposium. Meets in Brentwood.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://tnwoodturners.org/"} +{"d:Title": "Tidewater Turners", "d:Description": "Gives club information, dates of meetings and venues, some photos and turning tips in PDF format. Virginia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://tidewaterturners.net/"} +{"d:Title": "Turners Anonymous", "d:Description": "Gives details of meetings and venue, photo albums and copies of newsletters in PDFf format. Meets in Bethel Park, Pennsylvania.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.turnersanonymous.org/"} +{"d:Title": "Utah Association of Woodturners", "d:Description": "Gives details of meetings, and copies of the newsletter. Meetings are held monthly in various places along the Wasatch Front from Provo to North Ogden.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.utahwoodturners.org/"} +{"d:Title": "West Virginia Woodturners Association (WVWA)", "d:Description": "Includes details of meetings held in Lewisburg and some gallery's of members work.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://wvwoodturners.com/"} +{"d:Title": "Western Mountain Woodturners Association", "d:Description": "Gives details of meetings and gallery of members' work. Meets in Dixfield, Maine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://wmwoodturners.org/"} +{"d:Title": "Willamette Valley Woodturners", "d:Description": "Includes details of meetings, a gallery page, tips and techniques and copies of the newsletter. Meets in Salem, Oregon.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://willamettevalleywoodturners.com/"} +{"d:Title": "Wilmington Area Woodturners Association", "d:Description": "Includes details of meetings and the NC Woodturning Symposium. Meets in Leland, North Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.wilmingtonareawoodturnersassociation.org/"} +{"d:Title": "Woodturners Guild", "d:Description": "Includes details of meetings and demos, several photo galleries,and downloads of newsletters and turning notes. Meets in Raleigh, North Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.woodturnersguild.com/"} +{"d:Title": "Wine Country Woodturners", "d:Description": "Gives some information about next meeting and includes some photographs. California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://winecountrywoodturners.org/"} +{"d:Title": "Woodturners of North Texas", "d:Description": "Gives details of meetings and venue, back newsletters, tutorial on microwave drying wood and galleries of turnings. Meets at Forth Worth, Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://wntx.org/"} +{"d:Title": "Lone Star Woodturners Association, Inc.", "d:Description": "Serving woodturners located in The Woodlands, Houston, North Harris, Montgomery, Liberty and San Jacinto counties in Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.lonestarwoodturners.com/"} +{"d:Title": "Front Range Woodturners", "d:Description": "Information on meetings and program, copies of past newsletters and pictures of previous instant galleries. Meets in Denver, Colorado.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.frontrangewoodturners.org/"} +{"d:Title": "Alamo Woodturners", "d:Description": "Gives details of meetings and venue; includes some information about the club. San Antonio, Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://alamoturners.com/"} +{"d:Title": "Keystone Turners", "d:Description": "Gives details of meetings and venue, back copies of newsletters, and galleries of members work. Chester County, Pennsylvania.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://keystonewoodturners.com/"} +{"d:Title": "Chattahoochee Woodturners", "d:Description": "Gives details of meetings and events, including discussion forum. Georgia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.chattahoocheewoodturners.com/"} +{"d:Title": "Massachusetts South Shore Woodturners", "d:Description": "Details of meetings, back newsletters and events.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.msswt.org/"} +{"d:Title": "Seattle Chapter of the American Woodturners Association", "d:Description": "Gives details of meetings and venue, some pictures and archive of past newsletters. Meets in Redmond, Washington.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.seattlewoodturners.org/"} +{"d:Title": "South Puget Sound Woodturners", "d:Description": "Includes details of meetings and venue, pictures of events and members' work, past newsletters and a page of articles. Meets in Tacoma, Washington.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.spswoodturners.org/"} +{"d:Title": "Gold Country Woodturners", "d:Description": "Gives details of meetings and events. Covers the area of Grass Valley and Nevada City, California", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://docs.google.com/View?docID=d69dtg7_098b9mh&revision=_latest"} +{"d:Title": "West Bay Area Woodturner's", "d:Description": "Chapter of AAW located on the San Francisco Peninsula, details of meetings, gallery of work and PDF of current and old newsletters.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.westbaywoodturners.com/"} +{"d:Title": "Northeast Florida Woodturners Association", "d:Description": "Publishes newsletters (past and present), photos of members' work, club location and membership information. Based in Jacksonville, Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.jaxturners.org/"} +{"d:Title": "Peach State Woodturners", "d:Description": "Includes information about meetings and a gallery of work. Located in East Metro Atlanta, Georgia area.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.peachstatewoodturners.com/"} +{"d:Title": "Finger Lakes Woodturners", "d:Description": "A section of the Rochester, New York Woodworkers Society, includes copies of past newsletters and pictures of meetings.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.fingerlakeswoodturners.com/"} +{"d:Title": "West Michigan Woodturners", "d:Description": "Includes details of the meetings and a gallery of photos.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.westmichturners.org/"} +{"d:Title": "Nevada Woodchucks", "d:Description": "Non-profit educational organization serving the Northern Nevada area. Membership and meeting information, calendar, newsletters and links to special interest groups.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.nevadawoodchucks.org/"} +{"d:Title": "Pembroke Woodturners Guild", "d:Description": "Includes information about the club, gallery,events and membership. Meets in Corfu, New York.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.pembrokewoodturnersguild.com/"} +{"d:Title": "Inland Woodturners", "d:Description": "Gives details of meetings, venue and copies of the newsletter. Riverside, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://webstorehouse.com/inlandwoodturners/"} +{"d:Title": "Northwest Washington Woodturners", "d:Description": "Chapter of the AAW serving woodturners meeting in Mount Vernon. Includes gallery, PDF's of past newsletters and calendar of meetings.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.nwwwt.org/"} +{"d:Title": "Northeastern Oklahoma Woodturners Association", "d:Description": "Gives details of meetings and venue, pictures of members' work, demonstrations and special events and a page of articles and tips. Tulsa, Oklahoma.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "https://sites.google.com/site/neoklahomawoodturners/"} +{"d:Title": "IKIWoodturners", "d:Description": "Contains information about meetings and venue. Evansville, Indiana.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://ikiwoodturners.blogspot.com/"} +{"d:Title": "Lehigh Valley Woodturners", "d:Description": "Gives details of meetings and venue, downloads of past newsletters and links to members' sites. Allentown, Pennsylvania.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.lehighvalleywoodturners.com/"} +{"d:Title": "Presque Isle Woodturners Inc.", "d:Description": "Details of meetings and venue, current newsletter, and photo albums. Erie, Pennsylvania.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.piwturners.com/"} +{"d:Title": "Orange County Woodworkers Association", "d:Description": "Gives details of meetings and venue. Meets in Tustin, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.ocwoodworkers.com/OC_Turners.html"} +{"d:Title": "Long Island WoodTurners Association", "d:Description": "Details of meetings and venue, includes tips and some members' pages. Dix Hills, New York.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "https://liwoodturners.com/"} +{"d:Title": "Southern Piedmont Woodturners, Inc.", "d:Description": "Gives details of meetings and venue, pictures of events and recent newsletters. Meets in Concord, North Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.spwoodturners.com/"} +{"d:Title": "Tri County Woodturners", "d:Description": "Includes details of meetings and venue, some photos of members' work and some technical articles. Meets in Lutz, Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.tricountywoodturners.com/"} +{"d:Title": "Smoky Mountain Woodturners", "d:Description": "Gives details of meetings and venue and archive of past newsletters. Meets in Knoxville, Tennessee.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://smwts.org/"} +{"d:Title": "Smith Mountain Lake Woodturners (SMLW)", "d:Description": "Includes details of meetings and venue, pictures of some members' work and the current club project. Virginia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://smlwoodturners.net/"} +{"d:Title": "Tri-State Woodturners", "d:Description": "Includes details of meetings and venue and copies of past newsletters. Caters for members from Chattanooga and the areas of Tennessee, Georgia, and Alabama.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.tristatewoodturners.com/"} +{"d:Title": "Village Woodturners Club", "d:Description": "Gives details of meetings and venue and includes picture gallery of demonstration and show and tell. Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://thevwc.net/"} +{"d:Title": "Coastal Bend Woodturners Club", "d:Description": "Details of meetings and events, photos of members' work, meetings and events, and list of other Texas woodturning clubs. Corpus Christi, Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://coastalbendwoodturners.net/"} +{"d:Title": "TriCities Woodturners Club", "d:Description": "Gives club information, dates of meetings and venue, and some photographs of members' work. Meets in Johnson City, Tennessee.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.tricitieswoodturners.com/"} +{"d:Title": "Delaware Valley Wood Turners (DelVal turners)", "d:Description": "A Local Chapter of the American Association of Woodturners. Gives details of meetings and schedules; includes pictures of meetings and some turners work. Philadelphia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "https://www.delvalturners.com/"} +{"d:Title": "Cumberland Valley Woodturners", "d:Description": "Details of venue, and gallery of members photos. Meets in Waynesboro, Pennsylvania.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.cumberlandvalleywoodturners.com/"} +{"d:Title": "Zumbro Valley Woodturners", "d:Description": "Southern Minnesota chapter of the American Association of Woodturners. Gives details of meetings and venue; meets in Rochester.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://zvwoodturners.com/"} +{"d:Title": "Palmetto Woodturners", "d:Description": "Includes details of meetings and venue, back copies of newsletters, and gallery of work by members. Meets in West Columbia, South Carolina.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.palmettowoodturners.org/"} +{"d:Title": "Mid-Columbia Woodturners", "d:Description": "Galleries illustrating members work and calendar of events. Eastern Oregon and Eastern Washington.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://midcolumbiawoodturners.org/"} +{"d:Title": "Minn-Dak Woodturners Association", "d:Description": "Includes meeting times, members galleries, newsletter archive and information about membership and past meetings. Covering Fargo, North Dakota and Moorhead, Minnesota.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://www.minndakwoodturner.com/"} +{"d:Title": "North Coast Woodturners", "d:Description": "Gives details of meetings, and venue; also PDFs of past newsletters and a photo gallery of members' work. Greater Cleveland, Ohio.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://northcoastwoodturners.net/"} +{"d:Title": "Woodturners of St. Louis", "d:Description": "Local chapter of the American Association of Woodturners, includes meeting details and schedules. St. Louis, Missouri.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "https://www.facebook.com/Woodturners-of-St-Louis-292734664135601/"} +{"d:Title": "Detroit Area Woodturners", "d:Description": "Club information, meetings schedule, photo gallery, and newsletters. Pages of tips, techniques and tools. Michigan.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Associations/United_States", "url": "http://detroitareawoodturners.com/"} +{"d:Title": "Florida Woodturning Symposium", "d:Description": "Giving details of registration and venue, demonstrators and exhibitors; includes photo-gallery of last year's event.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Events_and_Symposiums", "url": "http://floridawoodturningsymposium.com/"} +{"d:Title": "Rocky Mountain Woodturning Symposium", "d:Description": "Gives details of this event held in Loveland Colorado, including program, presenters, vendors, accommodation and photos of instant gallery", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Events_and_Symposiums", "url": "http://www.rmwoodturningsymposium.com/"} +{"d:Title": "TotallyTurning", "d:Description": "Woodturning Symposium arranged by the Adirondack woodturners in Albany, New York.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Events_and_Symposiums", "url": "http://www.totallyturning.com/"} +{"d:Title": "Utah Woodturning Symposium", "d:Description": "Giving details of registration, program and demonstrators at this annual symposium.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Events_and_Symposiums", "url": "http://www.utahwoodturning.com/"} +{"d:Title": "North Carolina's Symposium", "d:Description": "Bi-annual symposium, Greensboro, NC, featuring national and local demonstrators. Includes registration and schedule of participants.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Events_and_Symposiums", "url": "http://www.northcarolinawoodturning.com/"} +{"d:Title": "African Blackwood Conservation Project: Blackwood&Ornamental Turning", "d:Description": "Describes the qualities and uses of blackwood in ornamental turning", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning", "url": "http://www.blackwoodconservation.org/ot.html"} +{"d:Title": "The Society of Ornamental Turners", "d:Description": "Providing information on the subject of ornamental turning both to society members and to newcomers to the craft.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning", "url": "http://the-sot.com/"} +{"d:Title": "Ornamental Turning", "d:Description": "Showcases the craft, machinery and tools, as well as the items that result. Features links to a variety of related sites and topics of interest.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning", "url": "http://ornamentalturning.net/"} +{"d:Title": "Ornamental Turners International", "d:Description": "US based OT society, includes, forum, resources, and details of biennial symposium.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning", "url": "http://www.ornamentalturners.org/"} +{"d:Title": "Harris, James", "d:Description": "Includes a bibliography, history and other information about the craft of OT and its techniques and the materials used. Article on design principles.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://ornamentalturner.com/"} +{"d:Title": "Nash, Cal", "d:Description": "Gallery of ornamental turned items.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.calnash.com/"} +{"d:Title": "Pivko, Kurt", "d:Description": "Drop spindles for spinning decorated with ornamental turning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.dropspindle.com/"} +{"d:Title": "Salesin, Joshua", "d:Description": "Small bowls, combining detailed ornamental turning with the natural colors of exotic hardwoods.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.joshuasalesin.com/"} +{"d:Title": "Edwards, John", "d:Description": "Contains pictures of ornamental turned objects and OT lathes. Articles on OT techniques, information about the craft, lathes, equipment and a book list.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.ornamentalturning.co.uk/"} +{"d:Title": "Garrett, Dewey", "d:Description": "Photos of ornamental turnings made using his OT emulator, which he describes. Links to videos on YouTube", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.panix.com/~dgarrett/phpv1/"} +{"d:Title": "Agar, Nick", "d:Description": "Woodturner specializing in large items and sculptures. Also offering training courses and demonstrations.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.turningintoart.com/"} +{"d:Title": "Evans, Bill", "d:Description": "Gallery of ornamental turned items. These are primarily large bowls, vessels, and sculptures.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.billevansart.com/"} +{"d:Title": "Ooms, Bill", "d:Description": "Wood turner using a custom built computerised ornamental lathe. Bespoke OT related software is available for other turners use.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "http://www.billooms.com/"} +{"d:Title": "Limvere, Bear", "d:Description": "Native American flute player, promoting the art of ornamental turning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Ornamental_Turning/Artisan_Portfolios", "url": "https://bearlimvere.wordpress.com/"} +{"d:Title": "Association of Pole Lathe Turners", "d:Description": "Dedicated to spreading knowledge about pole lathe turning and greenwood working; includes event news, list of courses and demonstrators, gallery and construction plans.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.bodgers.org.uk/"} +{"d:Title": "Abbotts Living Wood", "d:Description": "Information on pole-lathe turning courses, chair-making and green wood crafts. Situated in Herefordshire, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.living-wood.co.uk/"} +{"d:Title": "Early Wood Lathes", "d:Description": "Historical site mainly concerned with games but has pages which include illustrations from manuscripts, on ancient lathes, bow lathes, pole lathes, continual rotation lathe, speciality lathes and notes on a 17th century turner.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.historicgames.com/lathes/ancientlathes.html"} +{"d:Title": "Tinder Box", "d:Description": "Demonstrates turning parts for stools, chairs, ladles, scoops, spurtles, spoons and spatulas. A great activity for open days at historical houses, museums or country fairs in New Zealand.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.tinderbox.co.nz/pole_lathe.html"} +{"d:Title": "Blood and Sawdust", "d:Description": "Articles and essays by Tom Rettie on medieval and Renaissance woodworking; including pole-lathe, flywheel lathe, and portable spring-pole.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.bloodandsawdust.com/"} +{"d:Title": "How to Build a Pole Lathe", "d:Description": "How Mark McLean built a simple robust pole lathe in Australia.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.mark-ju.net/more/lathe/how_to_build.htm"} +{"d:Title": "Green Wood Worker", "d:Description": "Dedicated to coordinating volunteers interested in demonstrating pole-lathe and other green wood working crafts, at The Amberley Working Museum, in West Sussex, England. Tuition given in woodland crafts.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.greenwoodworker.co.uk/"} +{"d:Title": "Greenwoodworking", "d:Description": "Ted Rushworth on using a pole-lathe, and useful articles, including history and plans. Includes articles by Brian Haworth of the Beamish Museum.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning", "url": "http://www.rushworth.com/"} +{"d:Title": "Becker, John", "d:Description": "Uses a pole or spring lathe at Renaissance festivals. Turns bowls, goblets, hollowed turnings, lidded containers, mugs, plates/platters, and watches on his Conover lathe.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning/Artisan_Portfolios", "url": "http://reincarnatedtrees.com/"} +{"d:Title": "Wood, Robin", "d:Description": "A turner in England specialising in making wooden bowls and plates on a traditional foot-powered lathe, including historical reproductions. Details of process and history.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Pole_and_Treadle_Lathe_Turning/Artisan_Portfolios", "url": "http://www.robin-wood.co.uk/"} +{"d:Title": "Segmented Turning", "d:Description": "Bill Kandler gives ideas and assistance in developing segmented projects with software to support all phases of project design and assembly. Includes projects tips and plans.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning", "url": "http://www.segmentedturning.com/"} +{"d:Title": "Creative Woodturners", "d:Description": "Yahoo forum for Segment and Stave Woodturners.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning", "url": "http://groups.yahoo.com/group/creativewoodturners/"} +{"d:Title": "Oeistein\u00b4s Woodturning", "d:Description": "\u00d8istein Jensen illustrates open and closed segmented turnings. Also sells pens. Lives in Norway.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning", "url": "http://www.flisespikkeriet.biz/"} +{"d:Title": "Daudelin, Dennis", "d:Description": "Segmented bowls, vessels and pens.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.daudelin.net/"} +{"d:Title": "Kearney, Tommie", "d:Description": "Segmented bowls showing his method, and photos of his workshop in a trailer.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.angelfire.com/va3/tommiesplace.com/"} +{"d:Title": "Keeling, Dennis", "d:Description": "Open segmented turnings with illustrated article on the process.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.dkeeling.com/"} +{"d:Title": "Krimmel, Max", "d:Description": "Gallery of random-segmented platters, articles on constructions methods; also articles on turning alabaster.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.maxkrimmel.com/"} +{"d:Title": "Lanham, Ray", "d:Description": "The art of turning compound-stave segmented vessels.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://coeur-de-larbre.com/"} +{"d:Title": "Tibbetts, Malcolm", "d:Description": "Segmented woodturnings with a difference; author of the book \"The Art of Segmented Woodturning\". \"Many designs require innovative assembly solutions ... there is great joy in inventing assembly techniques.\"", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.tahoeturner.com/"} +{"d:Title": "Pritchard, Bob", "d:Description": "Segmented, carved, and inlaid pieces. Link to segmented turning website. How-to pages on segmentation and inlaying.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.outofcontrol-woodturning.com/"} +{"d:Title": "Neelley, Kevin", "d:Description": "Construction details and software used. Many segmented tips and techniques. Also gallery of items for sale.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.turnedwood.com/GraphBowlDesign.html"} +{"d:Title": "Wallace, Alex", "d:Description": "Choosing woods for segmented work with consideration for colour, texture and grain, with the use of detailed accents such as parquetry.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.awallacestudio.com/"} +{"d:Title": "Badland, Barford \"Bill\"", "d:Description": "Interesting use of colouring with segmented work", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://home.earthlink.net/~bbadland/"} +{"d:Title": "Robinson, Bill", "d:Description": "A gallery of segmented items, showing various patterns and designs, including some three-dimensional effects. Also a page on the machinery he uses.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.segmentedwoodturner.co.uk/"} +{"d:Title": "Dennis, Gordon", "d:Description": "Includes plans, gallery (selling page), how-to's, and plans for wind-turbine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.segmentedturning.co.uk/"} +{"d:Title": "Jerowsky, Dan", "d:Description": "Segmented bowls, boxes and vases from local woods, accented with selected exotic hardwoods. Vancouver Island", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://djerowsky.bravepages.com/"} +{"d:Title": "Rodger, Jim", "d:Description": "Segmented vessels and hollow forms from woods native to the Western United States, accented with tropical exotics. Several articles giving tips and techniques, specifically on segmented turning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://jlrodgers.com/"} +{"d:Title": "Smith, Gregg", "d:Description": "Segmented Hawaiian Koa turned bowls, vessels, urns, calabashes, and other Hawaiian works of art.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.greggsmithwoodturner.com/"} +{"d:Title": "Hunt, Will", "d:Description": "Segmented turnings of various designs.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.willsturnery.com/"} +{"d:Title": "Brown, Russell, G.", "d:Description": "Bowls and closed forms in varying designs.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://pages.swcp.com/~rgbrown/"} +{"d:Title": "Ross, D.I.", "d:Description": "Laminated bowls and vessels, using mainly reclaimed wood.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://trlygntman.tripod.com/"} +{"d:Title": "Atkins, Derek", "d:Description": "Galleries of bowls, goblets, platters, dishes, and candlesticks.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Segmented_Turning/Artisan_Portfolios", "url": "http://www.nedoow.plus.com/"} +{"d:Title": "The Pen Shop", "d:Description": "Pen making site for discussion of all aspects of pen making. Includes forum, tutorials and pages of information.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Writing_Instruments", "url": "http://thepenshop.net/"} +{"d:Title": "PenMakersGuild", "d:Description": "The forum for advanced pen makers, only open to members. Includes a public gallery and photos of the Penturners Rendezvous", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Writing_Instruments", "url": "http://groups.yahoo.com/group/PenMakersGuild/?yguid=74663167"} +{"d:Title": "Penturners Yahoo Group", "d:Description": "Discussion forum for penturners, includes FAQs, photos,and tips and tricks.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Writing_Instruments", "url": "http://groups.yahoo.com/group/penturners/"} +{"d:Title": "International Association of Penturners", "d:Description": "Offering a forum for members to discuss finished products, marketing and shows, and pen making skills. Includes a photo gallery.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Writing_Instruments", "url": "http://www.penturners.org/"} +{"d:Title": "Pierrocrafts - Artisan Penmakers", "d:Description": "Wooden pen maker, using own designed fittings and specialty nibs and roller-balls. Reading. UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Writing_Instruments", "url": "http://www.pierrocrafts.com/"} +{"d:Title": "Penventory Project", "d:Description": "A system to help you keep track of your kits, blanks, accessories, finished pens/projects, sales, etc. It was built from a collaboration of pen turners to suit their specific needs.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Writing_Instruments", "url": "http://www.penventory.com/"} +{"d:Title": "Lynch Pens", "d:Description": "Mark Lynch and family specialize in creating handcrafted writing instruments made from exotic and domestic wood and other materials.", "topic": "Top/Arts/Crafts/Woodcraft/Woodturning/Writing_Instruments", "url": "http://www.lynchpens.com/"} +{"d:Title": "Woodweb", "d:Description": "Woodworking industry resource.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.woodweb.com/"} +{"d:Title": "Electronic Neanderthal Woodworker", "d:Description": "Traditional woodworking resources, lore, and events for the woodworker who prefers hand tools.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.cs.cmu.edu/~alf/en/en.html"} +{"d:Title": "New Yankee Workshop", "d:Description": "The Public Television favorite with Master Carpenter Norm Abram.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.newyankee.com/"} +{"d:Title": "Woodworking Australia", "d:Description": "Dedicated to woodworking in Australia, emphasizing woodturning and finishing and also featuring bulletin board and listings of all Australian and New Zealand woodworking clubs.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.ubeaut.com.au/"} +{"d:Title": "David Finck Woodworker", "d:Description": "Author and teacher, David Finck has 16 years experience designing and building acoustic guitars, fine furniture and lighting fixtures.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.davidfinck.com/"} +{"d:Title": "Woodworking", "d:Description": "Links to a wide range of items and information for the woodworker, includes chat and bulletin board. From About.com.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://woodworking.about.com/"} +{"d:Title": "Greenwood Working", "d:Description": "John Alexander teaches about techniques and tools for making seating from green wood.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.greenwoodworking.com/"} +{"d:Title": "Geoffs Woodwork", "d:Description": "Resources for students to promote interests in woodworking including cabinet making, carpentry, joinery and related topics.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.geoffswoodwork.co.uk/"} +{"d:Title": "Scrollsaw Association of The World - SAW", "d:Description": "International, non-profit organization dedicated to the advancement of scrollsawing.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.saw-online.com/"} +{"d:Title": "This Old Workshop", "d:Description": "Offers an online magazine with tool reviews and information for the home shop enthusiast.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.thisoldworkshop.com/"} +{"d:Title": "Binky's Woodworking", "d:Description": "Free woodworking plans and advice for the novice to intermediate woodworker.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.binkyswoodworking.com/"} +{"d:Title": "Bodger's New Day", "d:Description": "A personal site specializing in crafting Shaker styled furniture in a small home shop.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://johnmcgaw.com/"} +{"d:Title": "Sawdust Making 101", "d:Description": "Dollar wise tips and hints for the beginner, plus a variety of simple entry level projects.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://sawdustmaking.com/"} +{"d:Title": "Sam Allen Woodworking", "d:Description": "Author Sam Allen features his books plus free plan exchange, tool information, bulletin board, chat room, project gallery and woodworker's dictionary.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.samallen.com/"} +{"d:Title": "rec.woodworking tidbits", "d:Description": "Site links to FAQs and woodworking related sites.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.cs.rochester.edu/u/roche/wood.html"} +{"d:Title": "Rick's Scrollsaw Page", "d:Description": "Complete Scrollsaw information and techniques", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.scrollsaws.com/"} +{"d:Title": "The Golfing Cabinetmaker", "d:Description": "Personal, non commercial site offering free desktop themes for woodworkers and golfers along with a gallery of completed furniture projects, golf humor and tips.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.angelfire.com/ga/stevesuzy/index.html"} +{"d:Title": "In The Woodshop - Woodworking with Howard Ruttan", "d:Description": "Articles, photos, tips, links, and other resources to help the recreational woodworker develop skills, select tools and organize a woodshop.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.inthewoodshop.org/"} +{"d:Title": "Joe Woodworker", "d:Description": "Information on building a vacuum veneer press along with articles, links and recent projects.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.joewoodworker.com/"} +{"d:Title": "Crowley Woodworking Page", "d:Description": "Personal gallery of woodworking, woodturning, and scroll saw projects.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.crowleywoodworking.com/"} +{"d:Title": "Rustic Rick", "d:Description": "Rustic sculpture and furniture pieces by Rick Boyd.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://rusticricksculpture.tripod.com/"} +{"d:Title": "BenchMark", "d:Description": "Online hub for technical information on woodworking and related projects, machinery, and techniques.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://benchmark.20m.com/"} +{"d:Title": "Miniature Woodworking", "d:Description": "Personal German woodworker's site, designed to share knowledge with hobbyists or professionals around the world. Provides tips for carving, turning, and specifically left-hand turning.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.hgkoenig.de/"} +{"d:Title": "Women in Woodworking", "d:Description": "Offers galleries, links to information and member sites, and a forum.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.womeninwoodworking.com/"} +{"d:Title": "WoodCentral", "d:Description": "Woodworking information, discussions, chats, articles and sources for everything the woodworker needs.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.woodcentral.com/"} +{"d:Title": "Quittin' Time", "d:Description": "Construction and woodworking forums.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.quittintime.com/"} +{"d:Title": "WoodWorkWeb", "d:Description": "An Internet community providing message boards, latest news, links, and stories.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.woodworkweb.com/"} +{"d:Title": "WoodCrafts.com", "d:Description": "Site offers a classifieds section and forums.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.woodcrafts.com/"} +{"d:Title": "WoodNet", "d:Description": "Has woodworking forums, tips, plans and tool reviews.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.woodnet.net/"} +{"d:Title": "GetWoodworking.com", "d:Description": "Provides tool reviews, explanation of techniques, and step by step project plans.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.getwoodworking.com/"} +{"d:Title": "Mike Scalora's Woodworking Page", "d:Description": "Tools, projects and other woodworking wisdom including an immense list of links and other resources.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://home.scalora.org/woodworking/"} +{"d:Title": "Craft House Mana", "d:Description": "Tadatoshi Manabe combines a love of fishing with traditional woodworking of Japan.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://homepage3.nifty.com/manasan/english/diy/diy.htm"} +{"d:Title": "Sawdust and Shavings", "d:Description": "Features projects, reviews of hand and power tools, book reviews, rants and a hardwood information chart.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.sawdustandshavings.com/"} +{"d:Title": "Woodwork Forums", "d:Description": "Forum for all woodworkers both professional and amateur to seek and give help, make observations and statements.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.woodworkforums.com/"} +{"d:Title": "Woodworking Tips&Tools", "d:Description": "Offers a discussion forum on tools, DIY projects, and projects gallery.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://woodworking.withtank.com/"} +{"d:Title": "Joe Harmon Design", "d:Description": "Graduate Student Joseph Harmon of N.C. State University is building a wooden supercar. This car made of wood is designed to compete with high-end sports cars.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.joeharmondesign.com/"} +{"d:Title": "Woodtreks", "d:Description": "A video blog on \"The Art and Craft of Wood\".", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.woodtreks.com/"} +{"d:Title": "WoodWorkers WebRing", "d:Description": "The large webring of sites on every topic of woodworking.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking", "url": "http://www.webring.org/hub?ring=woodworking"} +{"d:Title": "Winter Woodworks", "d:Description": "Mark Anthony is an artisan based in Miami, making custom designed woodwork including home cabinets, tables, and yacht interiors.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://winterwoodworks.com/"} +{"d:Title": "Ferland Woodworking Co. Inc", "d:Description": "Woodworking studio located in Bellingham, Massachusetts specializing in architectural restoration, home millwork and birdhouses.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.ferlandwoodworking.com/"} +{"d:Title": "Beyond Wood", "d:Description": "Online gallery of the works of William Hunter.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.beyondwood.com/"} +{"d:Title": "Edward Wohl Woodworking and Design", "d:Description": "Custom furniture and cutting boards from select woods.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.edwardwohl.com/"} +{"d:Title": "Kit Clark Furniture", "d:Description": "Specializing in gallery furniture of all types: tables, dressers, benches, bed frames, chests, clocks and cutting boards.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.kitclarkfurniture.com/"} +{"d:Title": "RB Woodworking", "d:Description": "Woodworking shop specializing in Stickley reproductions and arts and crafts furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.rbwoodworking.com/"} +{"d:Title": "Michael Doerr Woodworking Artisan", "d:Description": "Michael Doerr specializes in handcrafted chairs, conference tables, desks, and cabinets.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.michaeldoerr.com/"} +{"d:Title": "Eldridge Wood Design", "d:Description": "Designer and builder of custom cabinetry and furniture.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://eldridgewooddesign.com/"} +{"d:Title": "Wood Joint Studio", "d:Description": "Northern California studio specializing in custom furniture and hardware. Home studio of Taimi Barty, Robert Sanderson, and Sanderson Hardware.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://woodjointstudio.com/"} +{"d:Title": "Kobylarz&Son Custom Woodworking", "d:Description": "Custom woodworking specializing in furniture and cabinetry.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.kobycustom.com/"} +{"d:Title": "Cliff Spencer Furniture Maker", "d:Description": "Gallery of handcrafted furniture and custom cabinetry by woodworker Cliff Spencer.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.cliffspencer.net/"} +{"d:Title": "Tom Pockley", "d:Description": "Custom woodturned vases and bowls.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.woodturneruk.com/"} +{"d:Title": "Atkinson Woodworking", "d:Description": "A collection of wood sculpted works by Canadian Artist David Atkinson.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.atkinsonwoodart.com/"} +{"d:Title": "World Wood Works", "d:Description": "Hawaii woodworker Tom Calhoun creates studio furniture, carvings, turnings, sculptures and marquetry. On-line gallery features tables, desks, lamps, doors, and vessels made from koa and other fine wood.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Artisan_Portfolios", "url": "http://www.worldwoodworks.com/"} +{"d:Title": "Antiquing Furniture Techniques", "d:Description": "Techniques on how to give wood furniture an antique look.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Finishing", "url": "http://www.antiquing-furniture.com/"} +{"d:Title": "Staining 1-2-3", "d:Description": "How-to site on wood staining and floor finishing.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Finishing", "url": "http://www.staining123.com/"} +{"d:Title": "Michael Dresdner", "d:Description": "A collection of wood finishing articles written by a professional woodworker. Includes pictures, books and contact form.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Finishing", "url": "http://www.michaeldresdner.com/"} +{"d:Title": "Wood Carving Illustrated", "d:Description": "How-to carving magazine for wood carvers feature message board, carver galleries, and patterns.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.woodcarvingillustrated.com/"} +{"d:Title": "American Woodworker", "d:Description": "Online version of the printed magazine featuring a variety of informative articles including tool reviews, project plans, workshop tips, mail order sources, and finishing.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://americanwoodworker.com/"} +{"d:Title": "ShopNotes", "d:Description": "Feature articles with tips designed to help you get the most out of your shop.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.shopnotes.com/"} +{"d:Title": "WOOD Online", "d:Description": "Woodworking member of the Better Homes and Gardens family of magazines featuring how-to project instructions, techniques, tool reviews, wood technology, and craftsman profiles.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://woodmagazine.com/"} +{"d:Title": "Woodshop News", "d:Description": "National consumer/trade publication that covers professional and serious amateur woodworking including furniture and cabinet making, remodeling and building, as well as crafts and galleries.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.woodshopnews.com/"} +{"d:Title": "Canadian Home Workshop Online", "d:Description": "Online version of Canadian woodworking and do-it yourself home improvement magazine, featuring articles, forums, Canadian catalogue listings, directories, events, woodworking plans, reviews and advice.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.canadianhomeworkshop.com/"} +{"d:Title": "Woodworker's Journal", "d:Description": "A look inside the current news stand issue, plus a selection of plans, tip of the week, and links to related sites.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.woodworkersjournal.com/"} +{"d:Title": "Australian Wood Review", "d:Description": "Subscription site - Browse the indexes of current and back issues, includes discussion forum and links to Australian suppliers.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.woodreview.com.au/"} +{"d:Title": "Popular Woodworking", "d:Description": "Online version of news stand publication featuring free downloadable plans, online searchable database, and subscriber services.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.popularwoodworking.com/"} +{"d:Title": "Amateur Woodworker", "d:Description": "Internet-only publication with innovative projects, finishes, joinery, wood types, games and other features.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.am-wood.com/index.html"} +{"d:Title": "Canadian Woodworking Magazine", "d:Description": "Friendly on-line discussion group, comprehensive list of carving clubs and North American woodworking shows, plus article excerpts from news stand issue.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.canadianwoodworking.com/"} +{"d:Title": "Workbench Magazine", "d:Description": "The original woodworking and home improvement magazine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://workbenchmagazine.com/"} +{"d:Title": "Woodsmith Magazine Online", "d:Description": "Fully illustrated woodworking magazine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.woodsmith.com/"} +{"d:Title": "Woodworker West", "d:Description": "Bi-monthly publication covering woodworking in the west.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.woodwest.com/"} +{"d:Title": "The Australian Woodworker", "d:Description": "Australia's longest running magazine devoted to woodworking covering topics of interest to woodturners, carvers cabinetmakers and generalists, both amateur and professional.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.skillspublish.com.au/Skills%20AWW%20Current.htm"} +{"d:Title": "Wildfowl Carving Magazine", "d:Description": "Online edition of a magazine devoted to bird carving, including sample articles, article index, product information and order form.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.wildfowl-carving.com/"} +{"d:Title": "Woodworking-News", "d:Description": "Woodworking news, tips, and resources.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.woodworking-news.com/"} +{"d:Title": "Fine Tool Journal", "d:Description": "A quarterly publication specializing in the history, use, and preservation of woodworking hand tools.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.finetoolj.com/"} +{"d:Title": "Fine Woodworking", "d:Description": "Created by woodworkers for woodworkers. Practical information about techniques, tools and materials for the professional cabinetmaker or those just starting out.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.finewoodworking.com/"} +{"d:Title": "More Woodturning", "d:Description": "Monthly Publication designed to provide guidance in woodturning techniques and up to date information on new equipment and craft show opportunities.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Magazines_and_E-zines", "url": "http://www.morewoodturningmagazine.com/"} +{"d:Title": "Woodworker's Website Association", "d:Description": "Contains magazine, forum, games, plans, chat, and member sites.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.woodworking.org/"} +{"d:Title": "Woodworker's Guild of Georgia", "d:Description": "Goal is to educate its members and the public through the promotion and development of the highest standards in every aspect of woodworking. Contains message board and calendar of events.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.woodworkersguildofga.org/"} +{"d:Title": "The Furniture Society", "d:Description": "Advancing the art of furniture making by inspiring creativity, promoting excellence, and fostering understanding of this art and its place in society. Offers public and members-only forum and website search feature.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.furnituresociety.org/"} +{"d:Title": "Cabinet Makers Association", "d:Description": "Association of cabinet shop owners formed to provide a national voice and presence for the small cabinet shop. Offers online commercial insurance application.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.cabinetmakers.org/"} +{"d:Title": "British Antique Furniture Restorers Association", "d:Description": "Organization of craftsmen and women concerned to maintain the highest standards in the field of antique furniture restoration and conservation. Includes information on their student branch and listed colleges.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.bafra.org.uk/"} +{"d:Title": "Conejo Valley Woodworkers Association", "d:Description": "Dedicated to helping members increase their woodworking skills and promote woodworking to the general public. Online monthly newsletter [PDF format].", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.cvwa.org/"} +{"d:Title": "Saskatchewan Woodworkers Guild", "d:Description": "Professional woodworkers and hobbyists sharing their interests in all phases of woodworking from carving, turning, marquetry and cabinet making to finishing and restoration. Lists classes and events. Displays member gallery.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.saskwoodguild.ca/"} +{"d:Title": "Mendocino Coast Furnituremakers", "d:Description": "Association of furniture makers dedicated to the preservation and evolution of fine furniture building. Includes galleries and schedule of displays.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.mendocinofurniture.com/"} +{"d:Title": "San Diego Fine Woodworking Society", "d:Description": "Hobbyists and professionals. Objectives are education, promotion,and public appreciate of principles and practices of fine woodworking. [Membership fee]", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.sdfwa.org/"} +{"d:Title": "Diablo Woodworkers", "d:Description": "Founded to serve interested woodworkers in the Diablo Valley of the San Francisco East Bay Area. Includes meetings, projects, woodworking classes, and activities.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.diablowoodworkers.com/"} +{"d:Title": "The Central Minnesota Woodworkers Association", "d:Description": "St. Cloud, Minnesota area group sharing a common interest in the art and business of woodworking. Meets monthly in Sauk Rapids to share information, techniques and topics of interest.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.thecmwa.com/"} +{"d:Title": "The Brandon Woodworkers Club", "d:Description": "Club devoted to all levels and types of woodworking. Offers newsletter, messageboards, and links. Located in Brandon, Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.brandonwoodworking.com/"} +{"d:Title": "American Chestnut Foundation", "d:Description": "Dedicated to the restoration of the American Chestnut through scientific breeding and cooperative research.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.acf.org/"} +{"d:Title": "Suncoast Woodcrafter Guild", "d:Description": "Provides articles, forum, club information and how to join. Based in British Columbia, Canada", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.suncoastwoodcrafters.com/"} +{"d:Title": "Victorian Woodworkers' Association (VWA)", "d:Description": "To make connections between woodworkers in Australia and the rest of the world, to expand the information available to us on all aspects of our craft, and to make available information about Australian woodwork and woodworkers to a wider audience.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.vwa.org.au/"} +{"d:Title": "Lehigh Valley Woodworkers' Guild", "d:Description": "Pennsylvania organization presents its meetings, newsletters, member photo portfolios, and links to other resources.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.lvwwg.com/"} +{"d:Title": "Guild of Oregon Woodworkers", "d:Description": "A united group of hobbyists and professionals who share a common passion for woodworking.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.guildoforegonwoodworkers.com/"} +{"d:Title": "The Wooden Word Online", "d:Description": "Website for the Washington Woodworkers Guild located in Alexandria, VA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.washingtonwoodworkersguild.org/"} +{"d:Title": "The Guild of New Hampshire Woodworkers", "d:Description": "Through regular meetings, lectures, demonstrations, juried exhibits, and a newsletter the Guild strives to bring together the diverse interests of the New Hampshire woodworking community.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.gnhw.org/"} +{"d:Title": "Northeastern Wisconsin Woodworkers Guild", "d:Description": "Purpose is to further education and fellowship for area amateur and professional woodworkers, to advance the collective interest of woodworking. Open to all woodworkers, male and female, for whom wood as a craft material is of special interest. Includes extensive list of books and videos from their library.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.newwg.org/"} +{"d:Title": "Mid-America Woodcarvers Association", "d:Description": "A non-profit organization, promoting the appreciation and art of woodcarving and associated crafts through instruction, exhibitions, demonstrations and workshops.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.midamericawoodcarvers.com/"} +{"d:Title": "Des Moines Woodworkers Association", "d:Description": "Non-profit association dedicated to fellowship, education, and community service for those of all skill levels in the field of woodworking. Many links to other information.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://www.dmwoodworkers.org/"} +{"d:Title": "Woodwork Institute", "d:Description": "Non-profit corporation, to develop and disseminate information relative to the uses, advantages and functional utility of wood products in all types of building construction. Includes news and legislative information.", "priority": "1", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Organizations", "url": "http://woodworkinstitute.com/"} +{"d:Title": "Amateur Woodworker Plans Archive", "d:Description": "Project plans archive of the Internet-only publication geared toward the amateur woodworker.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://www.am-wood.com/archive/archive.html"} +{"d:Title": "Absolutely Free Plans", "d:Description": "Links to free plans, free clip art patterns and how to information", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://absolutelyfreeplans.com/"} +{"d:Title": "Free Woodworking Plans", "d:Description": "A comprehensive collection of links to free plans with photos.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://plans.the3house.com/"} +{"d:Title": "Woodworkers for Children Charity", "d:Description": "Group of volunteers that makes wooden toys for needy children and provides free toy plans.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://www.woodentoy.org/"} +{"d:Title": "Brian Law's Woodenclocks", "d:Description": "Free plans and construction information for building clocks entirely from hardwood. Five models.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://www.woodenclocks.co.uk/"} +{"d:Title": "Bird House Plans", "d:Description": "Offering instructions in text and images on how to create bird houses for different kinds of birds.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://www.freebirdhouseplans.net/"} +{"d:Title": "Build Your Own Easel", "d:Description": "Free plans for building artist's heavy-duty studio easel for $80 in materials with common tools.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://bengrosser.com/easel/"} +{"d:Title": "WoodCraft Projects: The Bird Ornament Patterns", "d:Description": "Full scale patterns of various bird ornaments for a weekend wood/craft hobbyist. Painting instructions are included.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://www.flowhelp.com/pattern/"} +{"d:Title": "Minwax Project Plans", "d:Description": "Wide variety of woodworking projects for various skill levels featuring a new project every month.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Project_Plans", "url": "http://www.minwax.com/wood-projects/"} +{"d:Title": "Woodworking Machines General Safety Tips", "d:Description": "A Service from the Canadian Center for Occupational Health and Safety (CCOHS).", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Safety", "url": "http://gala.ccohs.ca/oshanswers/safety_haz/woodwork/gen_safe.html"} +{"d:Title": "General Industry Safety Orders, Article 59. Woodworking Machines and Equipment", "d:Description": "Information provided by the Canadian Department of Industrial Relations.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Safety", "url": "http://www.dir.ca.gov/title8/sb7g8a59.html"} +{"d:Title": "Heartwood School", "d:Description": "Teaching skills and knowledge for building energy-efficient homes with programs dealing in all aspects of the homebuilding crafts, including timber framing, cabinet making, and finish carpentry.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.heartwoodschool.com/"} +{"d:Title": "Alpine School of Woodcarving", "d:Description": "Founded and operated by Wayne Barton and specializing in the education of chip carving. Located in Park Ridge, Illinois.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.chipcarving.com/school.htm"} +{"d:Title": "American Woodcarving School", "d:Description": "Holds regular day and evening classes 52 weeks out of the year, and approximately 6 weekend workshops per year. Located in Wayne, New Jersey USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.americanwoodcarving.com/"} +{"d:Title": "Homestead Woodworking School", "d:Description": "Schedule of courses, registration procedure, location, and information concerning Homestead Woodworking School in New Hampshire.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://woodschoolnh.com/"} +{"d:Title": "New England School Of Architectural Woodworking", "d:Description": "Offering a 37 week architectural training program, and 10 week evening classes in woodworking. Located in Easthampton, MA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.nesaw.com/"} +{"d:Title": "Country Workshops Woodworking School", "d:Description": "Focusing on traditional craftsmanship with hand tools. Classes offered in chairmaking, carving, boxmaking, and spoonmaking. Located an hour from Ashville, NC", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://countryworkshops.org/"} +{"d:Title": "American Woodworking Academy", "d:Description": "Woodworking trade school featuring instruction in cabinet making, furniture building,and hands-on woodworking. Located in Fenton, Missouri.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.awacademy.com/"} +{"d:Title": "Rosewood Studio - School of Fine Woodworking", "d:Description": "Offering one-day to nine-month woodworking classes in all aspects of woodworking including furniture making and a \"tutored build\" program, where students receive one-on-one instruction on a flexible time schedule. Located in Almonte, Ontario, Canada (Ottawa).", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.rosewoodstudio.com/"} +{"d:Title": "Lonnie Bird's School of Fine Woodworking", "d:Description": "Hands-on woodworking instruction by author Lonnie Bird. Classes include furniture making, carving, joinery, and chair making. School is located in Dandridge, Tennessee.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.lonniebird.com/"} +{"d:Title": "Connecticut Valley School of Woodworking", "d:Description": "Offering one- to 5-day classes in furniture making, woodcarving, woodturning, furniture finishing and related crafts. Located in Manchester, Connecticut and associated with the local Woodcraft store.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.schoolofwoodworking.com/"} +{"d:Title": "Marc Adams School of Woodworking", "d:Description": "Instructional classes on every form of woodworking from basic design to finishing techniques. Located in Franklin, Indiana, but offers lectures and seminars across the country.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://marcadams.com/"} +{"d:Title": "Conover Workshops", "d:Description": "Teaches traditional woodworking and woodturning techniques with noted author Ernie Conover and other expert instructors.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.conoverworkshops.com/"} +{"d:Title": "Center for Furniture Craftsmanship", "d:Description": "Offers workshops, twelve-week intensives, and a nine-month comprehensive, taught by an international faculty in Rockport, Maine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.woodschool.org/"} +{"d:Title": "College of the Redwoods", "d:Description": "Fine furniture woodworking school located in Northern California, offering 1-2 week summer workshops as well as a nine-month comprehensive program. Founded in 1982 by James Krenov.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.crfinefurniture.com/"} +{"d:Title": "Homestead Heritage Woodworking School", "d:Description": "Offers classes from one- to twelve-days in hand-tool woodworking. School is part of a larger homestead training facility located near Waco, Texas.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.homesteadheritage-woodworking.com/"} +{"d:Title": "The Northwest Woodworking Studio", "d:Description": "Offers a variety of seminars and workshops. Also, five- and ten-week courses and a 2-year comprehensive program are also available. Located in Portland Oregon.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.northwestwoodworking.com/"} +{"d:Title": "Rob Cosman Workshops", "d:Description": "Noted Canadian woodworker, Rob Cosman, offers four and five day hand tool workshops in Oakville, Ontario and Calgary, Alberta.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.robcosman.com/"} +{"d:Title": "Furniture Institute of Massachusetts", "d:Description": "Both Full-time (20 months) and part-time (2- to 10-day) classes in furniture making are offered by Phil Lowe at his Beverly, MA studio.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.furnituremakingclasses.com/"} +{"d:Title": "Philadelphia Furniture Workshop", "d:Description": "Offering a broad range of seminars, workshops, and classes ranging from one to three days. Students learn on traditional joiners benches. Located in a 1920s factory building in Philadelphia, PA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://philadelphiafurnitureworkshop.com/"} +{"d:Title": "The Windsor Institute", "d:Description": "Exclusively dedicated to teaching Windsor chair-making this school founded by chair maker Mike Dunbar in 1980, offers five day classes two to three times each month. Located in Hampton, NH.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.thewindsorinstitute.com/"} +{"d:Title": "Passion for Wood", "d:Description": "Classes, group seminars, and individual training offered in various woodworking techniques. Courses of one-to-three weeks in length are offered that are both project-based and skills-based. Located in Acton, Ontario CA", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://passionforwood.com/"} +{"d:Title": "Ted Harlan Woodworking School", "d:Description": "Instruction includes wood basics, advanced joinery, lutherie, furniture, guitar making and construction. Located in Louisville, Kentucky", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.tedharlan.com/"} +{"d:Title": "Palomar College Cabinet&Furniture Technology", "d:Description": "Offers courses ranging from Furniture Design, Timber Framing, Guitar building, to Production Cabinetmaking. Four full-time and sixteen part-time instructors teach at this 2,000 student school located in San Marcos, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.palomar.edu/woodworking/"} +{"d:Title": "Chippendale International School of Furniture", "d:Description": "Full breadth curriculum in a 30-week format that takes the student from timber identification to setting up a business. Located in Edinburgh Scotland.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.chippendale.co.uk/"} +{"d:Title": "Barry Gordon Woodcarving", "d:Description": "Classes in carving contemporary and classic wooden utensils and decorative spoons. Located near Syracuse, NY,", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.barrygordon.com/"} +{"d:Title": "North Country Studio Workshops", "d:Description": "Offering woodworking classes for intermediate and advanced woodworkers. Located in Deerfield, NH", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://northcountrystudioworkshops.org/"} +{"d:Title": "Olde Mill Cabinet Shoppe", "d:Description": "Specializes in 18th c American Furniture and wood restoration supplies along with offering classes in \"Philadelphia Style\" cabinet making. Occasional classes and courses offered by Gene Landon of Fine Woodworking Magazine.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.oldemill.com/"} +{"d:Title": "Roberto-Venn School of Luthiery", "d:Description": "Since 1977, school has offered 5-month long master craftsman level classes in guitar making and repair.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.roberto-venn.com/"} +{"d:Title": "North House Folk School", "d:Description": "In addition to folk studies and crafts, this non-profit school offers various entry level woodworking, timberframing, and boatbuilding classes. Most classes are three days in length or less. Located in Grand Marais, MN.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.northhouse.org/"} +{"d:Title": "David Charlesworth Fine Furniture Making Courses", "d:Description": "Noted author David Charlesworth offers both short (5-days) and long (12-week) furniture making courses at his workshop in Hartland, Devon, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.davidcharlesworth.co.uk/"} +{"d:Title": "John Lloyd Fine Furniture", "d:Description": "Offering a series of two to five day classes in furniture making. Classes for beginners through advanced studies. Located in East Sussex.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.johnlloydfinefurniture.co.uk/"} +{"d:Title": "David Savage - Fine Furniture Maker", "d:Description": "Offering six-month to one-year length courses in contemporary furniture making and design. Located in the Village of Shebbear, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.finefurnituremaker.com/"} +{"d:Title": "Woodsmith Woodworking Seminars", "d:Description": "One-hour seminars held weekly in a 200-seat woodworking shop/classroom. Seminars are presented by the editors of Woodsmith, ShopNotes, and Workbench magazines. Located in Des Moines, Iowa.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.woodworkingseminars.com/"} +{"d:Title": "The Acanthus Workshop, LLC", "d:Description": "Woodworking education offered for all levels, from basic wood finishing to Master level classes. Located in East Coventry (Philadelphia area), PA", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.acanthus.com/"} +{"d:Title": "The Windsor Workshop", "d:Description": "Offering 5-day classes in various styles of Windsor chair making. Located in West Chiltington, Pulborough, West Sussex, UK", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.thewindsorworkshop.co.uk/"} +{"d:Title": "Andrew Crawford - fine decorative boxes", "d:Description": "Offering a range of box making classes. Located in Acton Scott, Church Stretton, Shropshire, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.fine-boxes.com/courses"} +{"d:Title": "Old English Furniture&Cabinet Co.", "d:Description": "Offering classes and workshops teaching the proficiencies and skills essential to accomplish the construction of fine furniture and cabinetry. Located in Selmer, Tennessee.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.oefcc.com/"} +{"d:Title": "Vermont Woodworking School", "d:Description": "Woodworking and furniture-making school in a modern shop in a large, historic barn in Fairfax, Vermont.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.vermontwoodworkingschool.com/"} +{"d:Title": "Masterpiece School of Furniture", "d:Description": "Teaches the philosophy and classic form of 17th and 18th century furniture making. Overview of school and courses, photos of students at work, portfolio and instructor biography.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.masterpieceschool.com/"} +{"d:Title": "Boston Woodworking Co., Ltd.", "d:Description": "Offers workshops designed to teach fundamental woodworking skills. Sunbury, Ohio.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.bostonwoodworking.com/"} +{"d:Title": "Port Townsend School of Woodworking", "d:Description": "The Port Townsend School of Woodworking offers a full range of workshops on cabinet and furniture making, as well as classes on historic preservation and traditional woodcrafts", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.ptwoodschool.com/"} +{"d:Title": "Mike Siemsen's School of Woodworking", "d:Description": "Weekend and week long woodworking classes offering a variety of projects for all skill levels. Located 35 miles North of St. Paul in Minnesota.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.schoolofwood.com/"} +{"d:Title": "Inside Passage School of Fine Woodworking", "d:Description": "Based in Roberts Creek, BC, Canada.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.insidepassage.ca/"} +{"d:Title": "John Garrison Woodworking", "d:Description": "Personal instruction in woodworking teaching that helps fit woodworking with busy lifestyle and enjoy the experience. Located just south of Cloverdale Indiana, USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.johngarrisonwoodworking.com/"} +{"d:Title": "The Wood Whisper", "d:Description": "Offers video, articles, shared projects, forums, chat, radio, and a virtual woodworking school with winter, summer, and fall sessions.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://thewoodwhisperer.com/"} +{"d:Title": "Franklin Street Fine Woodwork", "d:Description": "Offers beginning and intermediate classes and private instruction. Includes syllabus and registration forms. Tampa, Florida.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.franklinstreetfw.com/"} +{"d:Title": "Makeville Studio", "d:Description": "Offering hands-on woodworking classes for beginners and access to a woodworking studio for members. Located in Brooklyn, New York USA.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.makeville.com/"} +{"d:Title": "Willam Ng School of Fine Woodworking", "d:Description": "Offers classes in all areas of woodworking, but with an emphsis on furniture building. School is located in Anaheim, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://wnwoodworkingschool.com/"} +{"d:Title": "North Bennet Street School Programs", "d:Description": "Training people for employment since 1885 for work in fields that require craftsmanship and skillful use of hand tools and power equipment.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.nbss.edu/"} +{"d:Title": "Centre for Fine Woodworking", "d:Description": "Offering short and full-time courses in furniture making and design with a wide scope for many aspects of woodworking. Located in Nelson, New Zealand.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://cfw.co.nz/"} +{"d:Title": "American School Of French Marquetry", "d:Description": "Offering both week-long and weekend classes teaching the classical French 18th century marquetry method using a chevalet. Located in San Diego, California.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.americanschooloffrenchmarquetry.com/"} +{"d:Title": "J D Lohr School of Woodworking", "d:Description": "Practical machine woodworking class without the dogma. Course focuses on table saw, router, repeatable skills, and safety. Beginner, intermediate or advanced students welcome. Schwenksville, Pennsylvania.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.jdlohrschoolofwoodworking.com/"} +{"d:Title": "New Legacy School of Woodworking", "d:Description": "Short courses in craftsmanship. Learn hand tool woodworking to create furniture using time-tested methods. Located in North Wales, UK.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://woodworkingschool.com/"} +{"d:Title": "Le nombre d'or", "d:Description": "Offering lectures and technical training, for up to one year, for all levels of skill in restoration and conservation of wooden gilded objects. Located in Paris.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Schools_and_Instruction", "url": "http://www.nombredor.com/"} +{"d:Title": "Woodworks Cut Optimization", "d:Description": "Software to optimize rectangular cuts of wood, glass, steel and plastic. On-line manual", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.woodworks.at/"} +{"d:Title": "Delta Cad", "d:Description": "Powerful, easy to learn CAD program designed to produce accurately scaled architectural or mechanical drawings, or provide a palette for creating graphics.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.deltacad.com/"} +{"d:Title": "WoodBin Woodworking Software Central", "d:Description": "Woodworking software, articles, reviews, online utilities, featured products, and woodworking software FAQs.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.woodbin.com/"} +{"d:Title": "Easycab Cabinet Designer", "d:Description": "Shareware woodworking software program for designing cabinets, kitchens, and bathrooms.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.easycab.com/"} +{"d:Title": "Cut List Plus", "d:Description": "Automatically calculates board feet, does sheet layout and estimates project cost in both metric and U.S. standard units of measure.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://cutlistplus.com/"} +{"d:Title": "ProjectPAK Software", "d:Description": "Featuring estimating and project management software that streamlines several manufacturing and job shop functions.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.projectpak.com/"} +{"d:Title": "Cabinet Pro", "d:Description": "Cabinetmaking software providing cutlists, bidding, door reports, 3D, CAD, floorplans, and shop drawings\u00a0for custom cabinetry and door manufacturing in the woodworking industry.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.siskiyouproducts.com/"} +{"d:Title": "Woodworking Desktop Themes", "d:Description": "Free desktop themes for woodworkers and golfers from The Golfing Cabinetmaker.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.angelfire.com/ga/stevesuzy/P5.html"} +{"d:Title": "Scroll Saw Patterns.net", "d:Description": "Program for resizing existing plans and patterns. Fully functional demonstration copy offered.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://scrollsawpatterns.net/"} +{"d:Title": "Linkwood Software", "d:Description": "User friendly specialized software for the woodworking industry, including, order entry, computer aided design, cut listing, panel optimization, CNC, as well as part and product Labeling.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.linkwood.com/"} +{"d:Title": "Woodweb's Software Connection", "d:Description": "A variety of woodworking shareware, freeware and demo programs available for download.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.woodweb.com/Resources/RSSoftwareConnection.html"} +{"d:Title": "Quisine Family Menu", "d:Description": "Various software packages for kitchen and bath cabinet design and other woodworking applications.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.quisinesoftware.com/"} +{"d:Title": "Optimik", "d:Description": "New cutting optimization software with additional features and possibilities.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.optimik.com/"} +{"d:Title": "Indy Pictorial Magazine Index", "d:Description": "Software program that enables one to view all of the articles in back issues of woodworking magazines.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.rmwenterprises.com/"} +{"d:Title": "CAD Files For Woodworkers", "d:Description": "Freeware CAD files of projects, jigs, and other items related to woodworking most formated in the Drawing Exchange Format (DXF) and a few in original software format.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.woodworkersworkshop.com/cadfiles/"} +{"d:Title": "Cutting Home", "d:Description": "A family of programs intended for optimization of cutting sheet material or linear stock.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.cuttinghome.com/"} +{"d:Title": "BoardCalc", "d:Description": "This site gives details of a Palm OS calculator that handles fractions or decimal, english or metric, math or conversions, and board feet calculations.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.boardcalc.com/"} +{"d:Title": "Schuler Consulting", "d:Description": "Offers software and consulting for the international woodworking industry.", "topic": "Top/Arts/Crafts/Woodcraft/Woodworking/Software", "url": "http://www.schuler-consulting.com/"} +{"d:Title": "Design in Motion", "d:Description": "An Internet community providing daily information on the art, technology and business of motion design.", "topic": "Top/Arts/Design", "url": "http://www.designinmotion.com/"} +{"d:Title": "Design Addict", "d:Description": "A large source of information on 20th century design, which includes an index of designers and manufacturers.", "topic": "Top/Arts/Design", "url": "http://www.designaddict.com/"} +{"d:Title": "o2", "d:Description": "Non-profit, international network for sustainable design.", "topic": "Top/Arts/Design", "url": "http://www.o2.org/"} +{"d:Title": "Area of Design", "d:Description": "A collaborative project that elevates the perception of American art and design, and provides access to the United States' best designers and artists.", "topic": "Top/Arts/Design", "url": "http://www.areaofdesign.com/"} +{"d:Title": "AGIdeas", "d:Description": "Information about annual international design event held in Melbourne, Australia that presents leaders in the areas of interactive media design, visual communication design and 3D design.", "topic": "Top/Arts/Design", "url": "http://www.agideas.net/"} +{"d:Title": "The National Institute of Design (NID)", "d:Description": "Offers professional design courses. Ahmedabad, Gujarat, India.", "topic": "Top/Arts/Design/Education", "url": "http://www.nid.edu/"} +{"d:Title": "Designboom", "d:Description": "Offers online contemporary design courses as well as open competitions and an online shop. How to register, frequently asked questions, course offerings, testimonials, and news.", "topic": "Top/Arts/Design/Education", "url": "http://www.designboom.com/"} +{"d:Title": "Royal College of Art", "d:Description": "Postgraduate university specialising in art and design. Provides details of history, schools and courses, open days, applications procedures, exhibitions and events. London.", "topic": "Top/Arts/Design/Education", "url": "http://www.rca.ac.uk/"} +{"d:Title": "Chelsea College of Art&Design", "d:Description": "Pre-undergraduate, undergraduate and postgraduate course in art and design. London.", "topic": "Top/Arts/Design/Education", "url": "http://www.chelsea.arts.ac.uk/"} +{"d:Title": "MA Web Design&Content Planning", "d:Description": "Web design courses in London offering a post-graduate MA.", "topic": "Top/Arts/Design/Education", "url": "http://www.websitearchitecture.co.uk/"} +{"d:Title": "Open College of the Arts", "d:Description": "OCA is an educational charity offering degrees in a wide range of artistic subjects including Fine Art, Photography, Drawing, Creative Writing, Music, Graphic Design, Illustration, Moving Image and Textiles through distance learning methods.", "topic": "Top/Arts/Design/Education", "url": "http://www.oca.ac.uk/"} +{"d:Title": "University of Advancing Technology: Bachelor of Arts", "d:Description": "Offers a Bachelor of Arts and Associates degrees in digital animation and video, game design, interactive media and web design.", "topic": "Top/Arts/Design/Education", "url": "http://www.uat.edu/bachelor-of-arts"} +{"d:Title": "Fashion Net", "d:Description": "Directory of selected chic fashion, art, luxury, shopping, and work sites. [English and Japanese]", "topic": "Top/Arts/Design/Fashion", "url": "http://www.fashion.net/"} +{"d:Title": "Fashion Television Online", "d:Description": "Website of the television series. Includes episode information, a photo gallery, and links.", "topic": "Top/Arts/Design/Fashion", "url": "http://www.fashiontelevision.com/"} +{"d:Title": "Fashion International", "d:Description": "Photos and videos from European Fashion designers.", "topic": "Top/Arts/Design/Fashion", "url": "http://www.web62.com/fashioninternational.html"} +{"d:Title": "JD Institute of Fashion Technology", "d:Description": "Diploma in fashion designing, and all other fields of the fashion business.", "topic": "Top/Arts/Design/Fashion", "url": "http://www.jdinstitute.com/"} +{"d:Title": "Fashion Windows", "d:Description": "Internet database on fashion, visual merchandising and mannequins.", "topic": "Top/Arts/Design/Fashion", "url": "http://www.fashionwindows.com/"} +{"d:Title": "The Fan Circle International", "d:Description": "Documents the world history of hand fans, with images.", "topic": "Top/Arts/Design/Fashion/Accessories", "url": "http://www.fancircleinternational.org/"} +{"d:Title": "Burl Veneer's Tie Blog", "d:Description": "To promote the creative wearing of ties, Burl presents a photo weblog of daily fabulous neckties (no repeats), with commentary.", "topic": "Top/Arts/Design/Fashion/Accessories", "url": "http://burlveneer.blogspot.com/"} +{"d:Title": "Subtle Touch Textile Design", "d:Description": "Inspired from organic shapes and multiple cultures. Creating feminine and nostalgic images and textures applied to printed, knitted, crochet, and stitched textles.", "topic": "Top/Arts/Design/Fashion/Accessories", "url": "http://www.zentner.plus.com/st/"} +{"d:Title": "Sneaker Freaker Magazine", "d:Description": "Documenting the modern footwear craze as a global phenomenon. Articles and forums.", "topic": "Top/Arts/Design/Fashion/Accessories/Shoes", "url": "http://www.sneakerfreaker.com/"} +{"d:Title": "Shoe Design", "d:Description": "True shoe fashionista. Whether you want to preview this season\u2019s shoe trends, think about becoming a footwear designer, or anything else shoe related.", "topic": "Top/Arts/Design/Fashion/Accessories/Shoes", "url": "http://www.shoe-design.com/"} +{"d:Title": "The Secret Life of Shoes", "d:Description": "Personal journal written from the shoe perspective. Bad shoes mean a bad day. Humor, pictures, archives, stories.", "topic": "Top/Arts/Design/Fashion/Accessories/Shoes", "url": "http://secretlifeofshoes.blogspot.com/"} +{"d:Title": "Shoe Lover", "d:Description": "News and views on all things shoe.", "topic": "Top/Arts/Design/Fashion/Accessories/Shoes", "url": "http://shoelover.typepad.com/"} +{"d:Title": "Long Island Staylace Association", "d:Description": "Big archive of corset information. Almost daily updates with news related to corsets.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://www.staylace.com/"} +{"d:Title": "Corsetgirl", "d:Description": "A personal website of a girl in her twenties about corsets, corsetry, tight-lacing and feminine fashion.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://corsetgirl.blogspot.com/"} +{"d:Title": "Corset Making Supplies", "d:Description": "Corset making supplies for professional or hobby use. Busks, boning, fabrics, lacing, casings and other supplies.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://www.corsetmaking.com/"} +{"d:Title": "Sylphide", "d:Description": "A womans experience with wear corsets. Contains her personal advice and gallery.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://www.sylphide.de/"} +{"d:Title": "Corset.dk", "d:Description": "Corset news site with background information.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://www.corset.dk/"} +{"d:Title": "Annalai", "d:Description": "Personal experiences and opinions of one woman about corsets, tight-lacing, small waists and fashion.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://www.ladyannalai.com/"} +{"d:Title": "Bridges on the Body", "d:Description": "A blog about sewing all corsets of Norah Waugh's \"Corsets and Crinolines\". With photographs and other details.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://bridgesonthebody.blogspot.com/"} +{"d:Title": "Albert Corsets", "d:Description": "Features leather corsets primarily used for tightlacing, waist training, and female elegancy. Advice and photographs.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://www.staylace.com/albertcst/indexm.html"} +{"d:Title": "Foundations Revealed", "d:Description": "Information for corsetmakers. Has several free articles, but require paid subscription to get access to all content.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://www.foundationsrevealed.com/"} +{"d:Title": "Strait-Laced Dame", "d:Description": "Personal account of a woman and her tight-lacing in corsets.", "topic": "Top/Arts/Design/Fashion/Corsetry", "url": "http://straitlaceddame.tumblr.com/"} +{"d:Title": "C&S Constructions", "d:Description": "UK business offering custom tight-lacing foundations. Information packets for ordering are available for purchase.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.candsconstructions.com/"} +{"d:Title": "Arwen", "d:Description": "Custom-designed corsetry by appointment only. Newsletters and gallery photos available to subscribed members. Located in Johannesburg, South Africa.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.arwen.co.za/"} +{"d:Title": "J.C. Creations", "d:Description": "Offers made-to-measure and ready-to-wear corsets, lingerie and accessories. Publishes a newsletter and is located in Amsterdam.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://english.jc-creations.com/"} +{"d:Title": "One Wilde Knight", "d:Description": "Made-to-measure designs, specializing in costume and reenactment outfits. Orders and fittings by appointment only in Seattle, Washington studio.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.onewildeknight.com/"} +{"d:Title": "Sexy Corsets", "d:Description": "Maker of one-of-a-kind designs constructed without extensive boning. Based in Japan.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://sexycorsets.net/"} +{"d:Title": "Adixxtion Designs", "d:Description": "Features traditional and alternative custom styles and bridal gowns by appointment. List of monthly exhibitions included. Located in central England.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.adixxtion-designs.co.uk/"} +{"d:Title": "Bizarre Design", "d:Description": "Custom items in various styles and fabrics. Located in Amsterdam, Holland.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://members.chello.nl/jvanderklis/"} +{"d:Title": "Get Waisted", "d:Description": "Custom made corsetdresses.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.getwaisted.co.uk/"} +{"d:Title": "Waisted Couture Corsetry", "d:Description": "Custom made corsets for tight-lacing and fashion wear.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.waistedcouture.com/"} +{"d:Title": "Victoria Whiteland", "d:Description": "Designer of custom made Corsetry, Evening and Bridal Wear.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.vwhiteland.com/"} +{"d:Title": "Iuliana Corsets Shop", "d:Description": "Custom made corsets for steet and club wear. Located in Tokyo, Japan.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.iuliana.jp/"} +{"d:Title": "Contour Corsets", "d:Description": "Custom made corsets for daily wear.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.contourcorsets.com/"} +{"d:Title": "Corsets&More", "d:Description": "Custom made corsets for occasional and daily wear. Located in Germany.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.corsets-and-more.de/"} +{"d:Title": "Madame Sher Corsets", "d:Description": "Custom made corsets for tight-lacing and outerwear. Located in Brazil.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.madamesher.com/"} +{"d:Title": "Electra Designs", "d:Description": "Custom made corsets. Personal and remote fitting available. Located in Houston, Texas.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.electradesigns.net/"} +{"d:Title": "Blue Rose Corsetry", "d:Description": "Custom made corsets. Muslin fitting included. Located in Ohio, USA.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.bluerosecorsetry.com/"} +{"d:Title": "Gore Couture", "d:Description": "Made to measure corsets in Horror&Gore style. Located in United Kingdom.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.gore-couture.com/"} +{"d:Title": "Morua Designs", "d:Description": "Custom made corsets and Bridal gowns. Located in United Kingdom.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.moruadesigns.com/"} +{"d:Title": "Dark Garden", "d:Description": "Custom made corsets according to measurements for use as foundation garment, bridal use or Steampunk.. Also sell ready-to-wear and made-to-order corsets. Based in San Francisco, California", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.darkgarden.com/"} +{"d:Title": "Mi Camino Korsetts", "d:Description": "Custom made corsets. Located in D\u00fcsseldorf; Germany", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.micamino.de/"} +{"d:Title": "AMF Korsets", "d:Description": "Custom made leather corsets for film, video, stage, weddings, and evening wear.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.amfkorsets.com/"} +{"d:Title": "Wilde Hunt Corsetry", "d:Description": "Custom made corset available in both underbust and overbust style.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.wildehunt.com/"} +{"d:Title": "LucyCorsetry", "d:Description": "Made to measure corsets made using 10-12 panels and with 3 layers of fabrics.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://lucycorsetry.com/"} +{"d:Title": "Ardent Collection", "d:Description": "Custom designs with studio consultation and fitting. Offers slideshow presentations of fabrics. Located in Cornberg, Germany.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.the-ardent-collection.com/"} +{"d:Title": "McGrew Studios LLC", "d:Description": "Offers made-to-measure corsets, costumes and fetish wear with in-studio fittings. Located in Salt Lake City and selling in the Intermountain West.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://mcgrewstudios.com/"} +{"d:Title": "Waisted Creations", "d:Description": "Custom made corsets. Based in United Kingdom", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://waistedcreations.co.uk/"} +{"d:Title": "Ivy's Custom Corsetry", "d:Description": "Custom made corsets from Michigan; USA.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.ivyscustomcorsetry.com/"} +{"d:Title": "Jupiter Moon 3", "d:Description": "Custom made corsets made in San Antonio, Texas, USA.", "topic": "Top/Arts/Design/Fashion/Corsetry/Makers", "url": "http://www.jupitermoon3.com/"} +{"d:Title": "Fancy Ephemera Paper Dolls", "d:Description": "Brenda specializes in vintage fashion, celebrities, and brides. include Jean Harlow, Silent Movie Queen, Hedy Lamarr, Wacky Wedding Dresses, and Cinderella. Learn about Brenda's latest paper doll books published by Dover books. Brenda is a member of the Original Paper Doll Artists Guild (OPDAG)", "topic": "Top/Arts/Design/Fashion/Galleries", "url": "http://www.fancyephemera.com/"} +{"d:Title": "Historical Patterns from the 1950's", "d:Description": "An illustration of 1950's bouffant fashions via sewing pattern covers.", "topic": "Top/Arts/Design/Fashion/Galleries", "url": "http://www.angelfire.com/pq/petal/"} +{"d:Title": "Oriental Costumes", "d:Description": "Max Tilke's photo collection of traditional Middle Eastern clothing.", "topic": "Top/Arts/Design/Fashion/Galleries", "url": "http://www.indiana.edu/~librcsd/etext/tilke/"} +{"d:Title": "Lisa's Fashion History Database", "d:Description": "original full-color drawings of authentic ladies fashions from the 1800's and 1900's", "topic": "Top/Arts/Design/Fashion/Galleries", "url": "http://www.angelfire.com/il2/lisawebworld/fashiondatabase.html"} +{"d:Title": "Fashionfreax", "d:Description": "Collections of images from fashion bloggers.", "topic": "Top/Arts/Design/Fashion/Galleries", "url": "http://www.fashionfreax.net/"} +{"d:Title": "Lookbook", "d:Description": "Community fashion feed from bloggers around the world.", "topic": "Top/Arts/Design/Fashion/Galleries", "url": "http://lookbook.nu/"} +{"d:Title": "Super Hair", "d:Description": "An opinion on celebrity hairstyles. Allows for interactive participation.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.super-hair.net/"} +{"d:Title": "Hair and Makeup by Matt Yeandle", "d:Description": "Portfolio of hair and makeup artist, Matt Yeandle. Includes photos and credits.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.beautybymatt.com/"} +{"d:Title": "Hair Today and Gone Tomorrow", "d:Description": "Instructions on how to shave your head and maintain the look.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.bovil.com/hair"} +{"d:Title": "Balayage", "d:Description": "Information on hair coloring education and registration. Includes class schedule.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.balayage.com/"} +{"d:Title": "Hair Styling Articles", "d:Description": "Collection of articles on hair and hair styling. Includes product and book reviews.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.hairboutique.com/tips/hairstyling.htm"} +{"d:Title": "Fashion and Lifestyle for Men", "d:Description": "A look at hairstyles for men. Includes hair styling tips and advice.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.askmen.com/fashion/trends/13_fashion_men.html"} +{"d:Title": "Elsa Hair", "d:Description": "Hair and make-up portfolio of Elsa. Includes her bio and work.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.elsahair.com/"} +{"d:Title": "The Haircut Story Site", "d:Description": "Large collection of user-submitted anecdotes and a message board to facilitate follow-up discussion.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.haircutstory.net/"} +{"d:Title": "Armin Morbach", "d:Description": "Portfolio of hairstylist and makeup artist Armin Morbach.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.arminmorbach.de/"} +{"d:Title": "Hairweb", "d:Description": "Directory of stylists and salons, database of hairstyle photographs and virtual makeover feature.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.clickonbeauty.com/"} +{"d:Title": "Wedding Hairstyles", "d:Description": "Useful and informative articles to aid the bride-to-be in choosing her wedding hairstyle.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.wedding-hairstyle.com/"} +{"d:Title": "Hair Crazy", "d:Description": "Information on how to dye hair unnatural colours. Includes information on bleaching, dyeing, styling, photo galleries, product reviews, message board, photo stories and color charts.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://haircrazy.info/"} +{"d:Title": "Mathematical Patterns in African American Hairstyles", "d:Description": "Study of fractal relationships between honeycombs, pineapples, tesselating hexagons and African-American hair braiding.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.math.buffalo.edu/mad/special/gilmer-gloria_HAIRSTYLES.html"} +{"d:Title": "What I Learned By Shaving My Head", "d:Description": "Woman describes her experiences after adopting this unorthodox hairstyle.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.webfoot.com/advice/shave.head.html"} +{"d:Title": "Alternative Hair Show", "d:Description": "Annual hair fashion show in London, UK.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://alternativehair.org/"} +{"d:Title": "Emo Hairstyles", "d:Description": "Contains information and pictures of different emo haircuts for girls and boys.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://myemohairstyles.com/"} +{"d:Title": "Schwarzkopf", "d:Description": "New hairstyles, hair color, cuts and trendy fashion. Pictures of latest styling and cutting techniques for men and women.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.schwarzkopf-professional.com/"} +{"d:Title": "Mohawks Rock", "d:Description": "Community site for sharing tips, pictures and how-to tutorials for a variety of punk rock hairstyles.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://www.mohawksrock.com/"} +{"d:Title": "Emo Hair", "d:Description": "A blog about new teen emo subculture.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://emohairstyle.blogspot.com/"} +{"d:Title": "Hipster Hair", "d:Description": "Emo, Hipster, and Indie hairstyles.", "topic": "Top/Arts/Design/Fashion/Hair", "url": "http://hipster-hair.com/"} +{"d:Title": "Wildilocks", "d:Description": "Synthetic hairpieces, wool falls, clip in streaks, and extensions as well as hair accessories. Product details, FAQ, image gallery, and pricing included.", "topic": "Top/Arts/Design/Fashion/Hair/Extensions", "url": "http://www.wildilocks.com/"} +{"d:Title": "Gothic Hair Extensions", "d:Description": "Alternative Gothic hair extensions and a guide to Goths.", "topic": "Top/Arts/Design/Fashion/Hair/Extensions", "url": "http://www.gothichairextensions.com/"} +{"d:Title": "Men Today Are Too Smooth", "d:Description": "Humor column by Melvin Durai, a U.S.-based Indian humorist, who writes on American and Indian topics.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.melvindurai.com/facialhair.htm"} +{"d:Title": "Beard Research", "d:Description": "The challenge, the experiment and the result of shaving on only half of a beard.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://mudhead.uottawa.ca/~pete/beard.html"} +{"d:Title": "Goatee Style", "d:Description": "The art, life and humor of a guy and his goatee.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.goateestyle.com/"} +{"d:Title": "World Beard and Moustache Championships", "d:Description": "Schedule, categories, registration and tickets and accommodation information.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.worldbeardchampionships.com/"} +{"d:Title": "National Beard Registry", "d:Description": "Photo gallery of men's beards. Registrants can submit a photo of their beard.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.nationalbeardregistry.org/beards/beards.asp"} +{"d:Title": "Ministry of Moustaches", "d:Description": "Hirsute haven for gentlemen who crave moustachioed buffoonery and upper lip hedonism.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.ministryofmoustaches.0catch.com/"} +{"d:Title": "Goatee.org", "d:Description": "How to grow, groom, and shave goatee beards. Reviews, information, and guides on grooming, shaving, and men's care.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.goatee.org/"} +{"d:Title": "The Whisker Club", "d:Description": "A beard and moustache club dedicated to having fun and helping the community.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.whiskerclub.org/"} +{"d:Title": "Beards", "d:Description": "Different beard styles, information, growing tips, gallery of beard pictures.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://beards.org/"} +{"d:Title": "The Handlebar Club", "d:Description": "An international club for men with handlebar moustaches. Founded in 1947. Based at the Windsor Castle pub in London, England.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.handlebarclub.co.uk/"} +{"d:Title": "The Beard Community", "d:Description": "Forum regarding beards, moustaches, handlebars, goatees, tailbacks.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://beardcommunity.com/"} +{"d:Title": "Wikipedia: Beard", "d:Description": "History of beards throughout history and their religious significance.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "https://en.wikipedia.org/wiki/Beard"} +{"d:Title": "Beard Care Blog", "d:Description": "Beard care tips, advice on proper beard grooming and how to maintain a healthy beard.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://www.beardcareproducts.com/articles.html"} +{"d:Title": "Beardoholic", "d:Description": "Guides on beard grooming and tips about beard care. Beard styles with photo gallery, woman's perspective on beards and a collection of 100 famous bearded men.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://beardoholic.com/"} +{"d:Title": "Working Beards", "d:Description": "A movement with a goal to increase awareness of benefits of having a beard in any workplace. Supporters can submit a photo of their beard in their workplace as a sign of support.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair", "url": "http://workingbeards.com/"} +{"d:Title": "Yahoo! Groups: Growing Long Beards", "d:Description": "Discussion group. Some of the features require membership.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair/Chats_and_Forums", "url": "http://groups.yahoo.com/group/growinglongbeards/"} +{"d:Title": "Beard Board", "d:Description": "Discussion forum. Contains public and private boards and polls.", "topic": "Top/Arts/Design/Fashion/Hair/Facial_Hair/Chats_and_Forums", "url": "http://jefffsbeardboard.yuku.com/"} +{"d:Title": "The History of My Mullet", "d:Description": "One man's chronological quest for mullitude.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://www.umop.com/mullet.htm"} +{"d:Title": "Mulletjunky", "d:Description": "Classifications and photos of the mullet.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://mulletjunky.com/"} +{"d:Title": "Mullet Mayhem", "d:Description": "Introduction, mullet of the week, games, hunting association, and links.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://www.angelfire.com/ma3/mulletmayhem/"} +{"d:Title": "Mullets Galore", "d:Description": "Mullet of the week, image archive, hunts, vocabulary, merchandise, FAQ, and links.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://www.mulletsgalore.com/"} +{"d:Title": "Dav's Mullet", "d:Description": "Pictorial of mullets classified into groups. Includes sporting, ponytail, yearbook, and female mullets.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://www.angelfire.com/sd/DavsHomePage/"} +{"d:Title": "Mullet HQ", "d:Description": "Mullet classifications, terminology, and a few pictures.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://www.angelfire.com/80s/mullethq/"} +{"d:Title": "Mullet Hunters Society", "d:Description": "Mullet pictures, classifications, and links.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://www.angelfire.com/punk2/mullettown/"} +{"d:Title": "I Love Mullets", "d:Description": "Mullet-related poetry and other ramblings.", "topic": "Top/Arts/Design/Fashion/Hair/Mullets", "url": "http://www.ilovemullets.com/"} +{"d:Title": "Fashionclick", "d:Description": "Bilingual (Spanish and English) online trend and style magazine covering men\u2019s and women\u2019s collections and accessories.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionclick.com/"} +{"d:Title": "Visionaire", "d:Description": "Summary of each art and fashion limited edition published three or four times annually since 1991. Ordering and reservation information and archive availability provided.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.visionaireworld.com/"} +{"d:Title": "SHOWstudio", "d:Description": "Nick Knight\u2019s collaborative photography, video and new media site.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.showstudio.com/"} +{"d:Title": "It Fashion", "d:Description": "Cool-hunting in fashion, art and culture. Updates monthly.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.itfashion.com/"} +{"d:Title": "Metrofashion", "d:Description": "Based in San Francisco, photos and articles target corporate buyers with trend forecasting based on seasonal ready-to-wear presentations.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.metrofashion.com/"} +{"d:Title": "Bibi", "d:Description": "Complement to bridal apparel publication for South Asian\u2013Americans. Includes celebrity interviews, trend, beauty and style advice, for both men and women.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.bibimagazine.com/"} +{"d:Title": "DressLab", "d:Description": "Music and art with collection reviews, styling tips, and a shop guide. Flash required.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.dresslab.com/"} +{"d:Title": "FashionOffice.org", "d:Description": "Austrian online magazine providing a glimpse into current trends worldwide.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionoffice.org/"} +{"d:Title": "Japanese Streets", "d:Description": "English-language site exploring street trends and interviews with designers and artists. Includes an online community.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.japanesestreets.com/"} +{"d:Title": "Papermag", "d:Description": "New York art exhibits, club listings, literary events, movie reviews and shows. Includes night-life guide.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.papermag.com/"} +{"d:Title": "Index", "d:Description": "Interviews with designers and other industry insiders, with selected past articles.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.indexmagazine.com/"} +{"d:Title": "Hint", "d:Description": "Articles, interviews, columns, archives, message boards, links and information on advertising.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.hintmag.com/"} +{"d:Title": "Factio Magazine", "d:Description": "Features designer profiles and brief stories on shows, fashion culture and beauty.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.factio-magazine.com/"} +{"d:Title": "V", "d:Description": "Online counterpart to the large-format fashion, art and culture magazine.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.vmagazine.com/"} +{"d:Title": "Fashion UK", "d:Description": "London-based fashion news and opinion, with an active user forum.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fuk.co.uk/"} +{"d:Title": "Influenza Online", "d:Description": "A Los Angeles-based fashion and entertainment publication. Requires Adobe Reader for some articles.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.influenzaonline.net/"} +{"d:Title": "Adversus", "d:Description": "Italian-based fashion, beauty and lifestyle site.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.adversus.com/"} +{"d:Title": "Senze", "d:Description": "High-quality fetish fashion magazine from Sweden featuring predominantly shoots, with art and lifestyle stories.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.senze.se/"} +{"d:Title": "Sassybella.com", "d:Description": "An Australian fashion and beauty site, with trend reports, shopping tips and columns.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.sassybella.com/"} +{"d:Title": "Luxuryculture.com", "d:Description": "Flash-based site featuring luxury brands, travel, hotels, fashion, design and art.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.luxuryculture.com/"} +{"d:Title": "False Modesty", "d:Description": "Fashion and design on a global, trans-cultured scale.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.falsemodesty.com/"} +{"d:Title": "InterneTrends.com", "d:Description": "Collections of photographs from European runways, with some special features, downloads and a quiz.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.internetrends.com/"} +{"d:Title": "Fashion Projects", "d:Description": "Biannual publication about fashion and its relation to other visual disciplines. Features articles from print edition.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://fashionprojects.org/"} +{"d:Title": "Iconique", "d:Description": "Fashion, lifestyle, beauty and trends magazine featuring virtual catwalk shows, news, editorials and weblog.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.iconique.com/"} +{"d:Title": "Focus on Style", "d:Description": "Down-to-earth beauty, style and shopping advice, catwalk reports and makeover tips from fashion expert Sharon Haver.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.focusonstyle.com/"} +{"d:Title": "The Style Spy", "d:Description": "The \"ultimate survival guide\" to what\u2019s new and hot in Vancouver, BC, from fashion and style to beauty and living.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.thestylespy.com/"} +{"d:Title": "UCE Life Online", "d:Description": "North American magazine featuring fashion, design and culture. Verification required.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.ucemag.com/"} +{"d:Title": "SoJones", "d:Description": "Urban and hip-hop fashion, including industry news and rumours.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.sojones.com/"} +{"d:Title": "Splendora", "d:Description": "Site with fashion weblog, columns, classifieds and shopping information.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.splendora.com/"} +{"d:Title": "Everything Chic", "d:Description": "UK fashion portal featuring store reviews, fashion resources, editorials on the latest trends and shopping tips.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.everythingchic.com/"} +{"d:Title": "The Dedicated Follower of Fashion", "d:Description": "Explores the link between rock music and style. Includes trends, video picks, rock cartoons and events' schedule.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionfollower.com/"} +{"d:Title": "Sfilate", "d:Description": "Italian-based website reviewing fashion and accessories, including catwalk news.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.sfilate.com/"} +{"d:Title": "LouLou", "d:Description": "Canadian women\u2019s magazine covering topics such as shopping, fashion and beauty trends.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.louloumagazine.com/"} +{"d:Title": "Dazed&Confused", "d:Description": "Online edition of London-based youth fashion and culture magazine.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.dazeddigital.com/"} +{"d:Title": "Aventura", "d:Description": "South Florida-targeted magazine focusing on fashion, travel, and the affluent lifestyle.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.aventuramagazine.com/"} +{"d:Title": "Chic Today", "d:Description": "Online lifestyle and fashion magazine that seeks to redefine the boundaries of inner chicness. Flash required.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.chictoday.com/"} +{"d:Title": "StyleBible", "d:Description": "Philippine-centred site covering trends, parties, street style, shopping and fashion shows. Includes insider blogs and a shopping directory.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.stylebible.ph/"} +{"d:Title": "Look At", "d:Description": "Paris-based fashion site with photographic shoots, geared to inspiring readers.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.look-at.com/"} +{"d:Title": "Push It", "d:Description": "Fashion, editorial photography, editorials and music. Flash required.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.pushitmagazine.com/"} +{"d:Title": "Filler Magazine", "d:Description": "Fashion from the New York scene, along with music, film and the arts.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://fillermagazine.com/"} +{"d:Title": "Modelinia", "d:Description": "Fashion and modelling as seen through the eyes of models themselves.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.modelinia.com/"} +{"d:Title": "You Wish You Looked This Good", "d:Description": "An irreverent spin on the fashion world, detailing all things fanciful, ridiculous and hilarious in the world of models and make-up.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://youwishyoulookedthisgood.blogspot.com/"} +{"d:Title": "MAG: The Weekly", "d:Description": "Weekly fashion and celebrity magazine from Pakistan.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://magtheweekly.com/"} +{"d:Title": "Look", "d:Description": "Latest fashion, celebrity style and beauty news including details of discounts, new products and celebrity style trends.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.look.co.uk/"} +{"d:Title": "The Gloss", "d:Description": "Tips on fashion, beauty and shopping for everyday life.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://thegloss.com/"} +{"d:Title": "Hempista", "d:Description": "Fashion, beauty and lifestyle e-zine based around hemp and hemp-based products.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.hempista.com/"} +{"d:Title": "Style Mouse", "d:Description": "A fashion blog, where users can click on the videos and get further information on the items shown.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.apparelsearch.com/Stylemouse/"} +{"d:Title": "TrendLuxury", "d:Description": "A guide to the latest trends, luxury lifestyle and shopping.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://trendluxury.com/"} +{"d:Title": "Indie Fashion Daily", "d:Description": "Covering indie fashion entrepreneurs, innovators, visionaries, with a Los Angeles-centric outlook.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://indiefashiondaily.com/"} +{"d:Title": "MODTV", "d:Description": "Video-driven site with reports from the world of supermodels and international designer fashion shows.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.mod-tv.com/"} +{"d:Title": "Contra", "d:Description": "Toronto-based multimedia publication exploring urban culture.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.thinkcontra.com/"} +{"d:Title": "Indiecouture Magazine", "d:Description": "Online complement to magazine dealing with fashion, culture and emerging designers.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.indiecouturenyc.com/"} +{"d:Title": "Fashion Central", "d:Description": "Pakistani website, with fashion, beauty and celebrity news.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashioncentral.pk/"} +{"d:Title": "Contentmode", "d:Description": "Quarterly, independently produced digital fashion magazine, with regularly updated blog.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.contentmode.com/"} +{"d:Title": "Fashion Elan", "d:Description": "Designer interviews and fashion news, from Pakistan.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionelan.com/"} +{"d:Title": "The Genteel", "d:Description": "Unearthing the forces shaping international fashion and design, through the lenses of business, culture, and society.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.thegenteel.com/"} +{"d:Title": "The Bite", "d:Description": "Quarterly Flash-based fashion, beauty and travel magazine, with more regular updates via a blog.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.thebitemag.com/"} +{"d:Title": "DIY Fashion", "d:Description": "Fashion, style, and beauty headlines as well as do-it-yourself tips.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.diyfashion.com/"} +{"d:Title": "Fashion We Like", "d:Description": "Interviews with industry insiders and editorial features.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionwelike.com/"} +{"d:Title": "Pakistan Fashion", "d:Description": "Covering fashion from Pakistan and India.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://style.pk/"} +{"d:Title": "2BeMag", "d:Description": "Flash-based magazine featuring modelling, photography, video art and design.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://2bemag.com/"} +{"d:Title": "2Luxury2", "d:Description": "Online magazine covering luxury brands and news.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://2luxury2.com/"} +{"d:Title": "Viral Fashion", "d:Description": "Online magazine with monthly photo editorials and new articles weekly about nightlife, fashion, beauty, and accessories.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://viralfashion.com/"} +{"d:Title": "Style Quotidien", "d:Description": "A web magazine featuring original photography and coverage of fashion, beauty, art, entertainment, lifestyle and the environment. Updated daily.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://stylequotidien.com/"} +{"d:Title": "Thecoolture", "d:Description": "Features on luxury, fashion, getaways, and the arts.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.thecoolture.com/"} +{"d:Title": "FashionIndie", "d:Description": "Articles on fashion, beauty, culture, and entertainment since 2006.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://fashionindie.com/"} +{"d:Title": "Catwalk Yourself", "d:Description": "Coverage of shows and fashion weeks, with encyclop\u00e6dia section covering the history of fashion.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.catwalkyourself.com/"} +{"d:Title": "Now Fashion", "d:Description": "Online magazine presenting fashion shows in real time.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://nowfashion.com/"} +{"d:Title": "Mz Mahogany Chic", "d:Description": "Beauty, fashion, make-up, and natural hair articles, and celebrity interviews.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://mzmahoganychic.com/"} +{"d:Title": "Stylish Eve", "d:Description": "Online magazine covering fashion trends, jewellery, beauty, and home d\u00e9cor.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.stylisheve.com/"} +{"d:Title": "Bullett", "d:Description": "Digital version of quarterly, featuring art, fashion and celebrity interviews.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://bullettmedia.com/"} +{"d:Title": "Fashion Wrap Up", "d:Description": "Quick hits on fashion, style, celebrity, culture, and beauty news.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionwrapup.com/"} +{"d:Title": "Mojeh", "d:Description": "Web counterpart to Dubai-based bi-monthly with brief daily updates.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://mojeh.com/"} +{"d:Title": "Korean Fashion Online", "d:Description": "Fashion trends, celebrity news and tips from South Korea.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.koreanfashiononline.com/"} +{"d:Title": "Flux", "d:Description": "Online version of the well-known UK-based emerging fashion, art, travel and culture magazine.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fluxmagazine.com/"} +{"d:Title": "Vis a Vis", "d:Description": "Covering fashion, beauty, travel, cuisine and pop culture.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://designsolutionsmd.com/visavis/"} +{"d:Title": "Dashin Fashion", "d:Description": "Online kids\u02c6 fashion magazine covering designers from around the globe.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.dashinfashion.com/"} +{"d:Title": "Fellt", "d:Description": "Australian site bringing together five influential fashion bloggers.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://fellt.com/"} +{"d:Title": "Luxury Activist", "d:Description": "International Lifestyle webzine about luxury, fashion, beauty, Arts, architecture, design and high-tech.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://luxuryactivist.com/"} +{"d:Title": "The Jewellery Editor", "d:Description": "Commentary and expert opinions from Maria Doulton and her team of experts.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.thejewelleryeditor.com/"} +{"d:Title": "Sova", "d:Description": "Independent mono-thematic, self-published photography and art magazine with the aim of featuring evolving artists from all around the globe.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.sova-magazine.com/"} +{"d:Title": "The Trend Spotter", "d:Description": "Covering the latest fashion trends, street styles, designers, events, inspirations and catwalks of the world.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.thetrendspotter.net/"} +{"d:Title": "Latest Lifestyles", "d:Description": "Pakistani fashion magazine, with gossip and home d\u00e9cor sections.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.latestlifestyles.com/"} +{"d:Title": "i-D", "d:Description": "Contemporary fashion and style guide including collections, videos, interviews, stories and images.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://i-d.vice.com/en_gb/"} +{"d:Title": "Fashions Town", "d:Description": "Pakistani site covering fashion, beauty, celebrities, home d\u00e9cor and health.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionstown.com/"} +{"d:Title": "Collection Magazine", "d:Description": "Pan-Arab luxury magazine covering jewellery and fashion.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://collection-magazine.com/"} +{"d:Title": "PhotosessionZ", "d:Description": "Covering fashion and fashion photography, including what to wear, trends and styles, and interviews with fashion personalities.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://photosessionz.com/"} +{"d:Title": "It's All Style To Me", "d:Description": "Blog with an insider\u2019s view of the latest in fashion and style.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.itsallstyletome.com/"} +{"d:Title": "Clich\u00e9", "d:Description": "Online magazine showcasing the hipster side of fashion, music and entertainment.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.clichemag.com/"} +{"d:Title": "Modelixir", "d:Description": "Stories on fashion, shopping, travel and health.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.modelixir.com/"} +{"d:Title": "Jewellery Monthly", "d:Description": "News, articles and information for the jewellery industry.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.jewellerymonthly.com/"} +{"d:Title": "Fashions Runway", "d:Description": "Pakistani fashion website, with celebrity coverage and beauty guide.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionsrunway.com/"} +{"d:Title": "Fashion Press Center", "d:Description": "Website dedicated to new-generation fashion designers.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionpresscenter.com/"} +{"d:Title": "Wondermika", "d:Description": "News and tips on fashion, beauty and lifestyle plus online shopping reports.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://wondermika.com/"} +{"d:Title": "Glosty", "d:Description": "Fashion enthusiasts can write their own articles and share them via this site.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://glosty.co/"} +{"d:Title": "Glamshutter", "d:Description": "Mumbai-based fashion, lifestyle and travel blog.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://blog.glamshutter.com/"} +{"d:Title": "FashionTube", "d:Description": "Video site dedicated exclusively to fashion films. Users can view, upload and share fashion-related videos.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://fashiontube.com/"} +{"d:Title": "The Forumist", "d:Description": "Interactive magazine in print and digital editions covering fashion, art, music, and street and pop culture.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://theforumist.com/"} +{"d:Title": "Toronto Is Fashion", "d:Description": "Blog about fashion with news, events, views, a directory and other information on the Toronto fashion scene.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.torontoisfashion.com/"} +{"d:Title": "Deux Hommes", "d:Description": "Digital publication that features emerging designers in the luxury sportswear market.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.deuxhomm.es/"} +{"d:Title": "Florum Fashion Magazine", "d:Description": "Green beauty and fashion magazine based in San Francisco.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.florumfashion.com/"} +{"d:Title": "Viewfashionbook.com", "d:Description": "Library of catwalk images from the world\u2019s major fashion weeks.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://viewfashionbook.com/"} +{"d:Title": "Fashion Ki Batain", "d:Description": "Fashion and beauty with a south Asian perspective.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.fashionkibatain.com/"} +{"d:Title": "Sixated", "d:Description": "Style solutions, shopping suggestions and trend information, all with \u201ctop six\u201d lists.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://sixated.com/"} +{"d:Title": "The Los Angeles Fashion Magazine", "d:Description": "Web counterpart of print glossy covering the greater Los Angeles area fashion scene.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://thelafashion.com/"} +{"d:Title": "Kitten", "d:Description": "Fashion magazine featuring fresh faces and glossy editorials.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://kittenmagazine.com/"} +{"d:Title": "LuxuryFacts", "d:Description": "Luxury brands and services, including food, fashion, travel and automobiles.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.luxuryfacts.com/"} +{"d:Title": "Reed Fashion Magazine", "d:Description": "Kenya-based fashion and modelling magazine, covering men\u2019s and women\u2019s styles.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://reedfashionmag.com/"} +{"d:Title": "Moms and Tots", "d:Description": "The parenting magazine for the stylish new Mom.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.momsandtotsmag.com/"} +{"d:Title": "Art&Fashion", "d:Description": "UK-based online magazine, featuring fashion, design and luxury lifestyle, celebrating outstanding people and emerging talents.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines", "url": "http://www.artfashionmag.com/"} +{"d:Title": "GQ UK", "d:Description": "Men's publication supplement and style plus entertainment guide.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.gq-magazine.co.uk/"} +{"d:Title": "AskMen", "d:Description": "Style tips, trends, health, dining and travel guides, forums and how-to image maintenance.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.askmen.com/fashion/"} +{"d:Title": "V Man", "d:Description": "Decidedly and specifically male counterpart to V magazine reviews current and back issues and provides subscription information.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.vman.com/"} +{"d:Title": "Men's Flair", "d:Description": "Men's fashion magazine offering fashion advice, trend reviews, grooming tips, celebrity styles, and a shopping guide.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.mensflair.com/"} +{"d:Title": "Kinowear", "d:Description": "Site featuring blog offering practical advice on men\u2019s fashion, style, grooming and image development.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.kinowear.com/"} +{"d:Title": "Essential Homme", "d:Description": "Fashion and grooming magazine for young men, with style and beauty tips.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.essential-homme.com/"} +{"d:Title": "Manner of Man", "d:Description": "Blog providing a unique perspective and voice on men's issues, art, architecture, design, and personal style.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.mannerofman.com/"} +{"d:Title": "Blokely", "d:Description": "Site featuring articles on grooming, style, sport and politics.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.blokely.com/"} +{"d:Title": "Gentleman\u2019s Gazette", "d:Description": "Magazine for men interested in elegant clothing, accessories and savoir vivre.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.gentlemansgazette.com/"} +{"d:Title": "Maketh the Man", "d:Description": "Blog covering quality menswear and style inspiration for men.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.makethman.com/"} +{"d:Title": "Dappered", "d:Description": "Website covering affordable men\u2019s style, including clothing, shoes and watches.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://dappered.com/"} +{"d:Title": "GQ India", "d:Description": "Indian edition of men\u2019s magazine, covering fashion and style.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.gqindia.com/"} +{"d:Title": "Lucire Men", "d:Description": "Men\u2019s fashion, culture and gadgets, with a global perspective.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://luciremen.com/"} +{"d:Title": "Men\u2019s Style Digest", "d:Description": "Fashion, grooming and trends in a nutshell.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.menstyledigest.com/"} +{"d:Title": "Modern Gentleman", "d:Description": "Articles on style and etiquette by Steve Mitchell, a.k.a. the Mitchelli.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.themitchelli.com/"} +{"d:Title": "D\u2019Marge", "d:Description": "Australia\u2019s largest men\u2019s style blog, covering fashion, cars, style icons, exotic destinations and other cool products.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.dmarge.com/"} +{"d:Title": "Men's Style Pro", "d:Description": "Style and lifestyle blog dedicated menswear, fitness and technology.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.mensstylepro.com/"} +{"d:Title": "MNSWR", "d:Description": "Exploring and celebrating the tradition of craft in menswear: style, inspiration and grooming for the modern gent.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.mnswr.com/"} +{"d:Title": "Menswear Style", "d:Description": "Daily online men\u2019s fashion, grooming and lifestyle publication from London.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.menswearstyle.co.uk/"} +{"d:Title": "The Upswing Report", "d:Description": "A young gentleman\u2019s guide to fashion, business, and lifestyle.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.theupswingreport.com/"} +{"d:Title": "Visual", "d:Description": "News on men\u2019s fashion, film and lifestyle.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://vslmag.com/"} +{"d:Title": "Mocha Man Style", "d:Description": "A lifestyle site for sophisticated men interested in fashion, grooming, cars, tech, travel, and music.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.mochamanstyle.com/"} +{"d:Title": "Esquire Singapore", "d:Description": "Articles on fashion, personality, culture, the arts, politics, travel, the media, and women.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Men", "url": "http://www.esq.sg/"} +{"d:Title": "Supermodels Unlimited", "d:Description": "Subscription site for quarterly magazine. Visitors can enter its competitions online and get article previews.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Models", "url": "http://www.supermodelsunlimited.com/"} +{"d:Title": "Male Model Scene", "d:Description": "Media-sourced updates of work by the top male models.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Models", "url": "http://www.malemodelscene.net/"} +{"d:Title": "I-N-Magazine", "d:Description": "Models and catwalk reports from the Black Sea region and Moscow, St Petersburg and Kiev.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Models", "url": "http://i-n-magazine.com/"} +{"d:Title": "Every Model Magazine", "d:Description": "Modelling industry publication designed to reach professionals.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Models", "url": "http://www.everymodelmagazine.co.uk/"} +{"d:Title": "Marie Claire", "d:Description": "Trends, figure-flattering tips, health and fitness, careers, contests, and subscription information to the magazine and a free newsletter.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.marieclaire.com/"} +{"d:Title": "Elle US", "d:Description": "Beauty, style trends, editor's picks, shopping, astrology, reader forums and supplemental content from the print publication.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.elle.com/"} +{"d:Title": "Juli B", "d:Description": "An email-based magazine newsletter about luxury and design, with monthly archives.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.julib.com/"} +{"d:Title": "Women's Wear Daily", "d:Description": "Limited without subscription version of the industry retailer publication.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.wwd.com/"} +{"d:Title": "British Vogue", "d:Description": "Photos of seasonal collections and industry news rounded out with beauty advice and celebrity interviews.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.vogue.co.uk/"} +{"d:Title": "Flare", "d:Description": "Monthly fashion articles and reports, beauty tips, and celebrity profiles. Supplement to Canadian publication.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.flare.com/"} +{"d:Title": "Vogue Australia", "d:Description": "Show coverage and style trends including Australian ready-to-wear collections.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.vogue.com.au/"} +{"d:Title": "Elle Canada", "d:Description": "Subscription information, editor's note and table of contents from current issue, and links to MochaSofa portal services such as a discussion forum and contests.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.ellecanada.com/"} +{"d:Title": "Mix It Up", "d:Description": "New Zealand fashion and beauty site helping those on a budget who still wish to look great.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.mixitup.co.nz/"} +{"d:Title": "Papierdoll", "d:Description": "News and features on fashion and beauty, plus a users' forum.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.papierdoll.net/"} +{"d:Title": "Glamour UK", "d:Description": "Daily gossip, fashion, beauty, celebrities, games, chat, shopping and horoscopes in UK edition of this Cond\u00e9 Nast title.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.glamourmagazine.co.uk/"} +{"d:Title": "InStyle.com", "d:Description": "Offers celebrity style news, photos, trend reports and product finder.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.instyle.com/"} +{"d:Title": "Harper's Bazaar", "d:Description": "Trends, advice, editorial photo features, events snapshots, health articles and celebrity interviews.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.harpersbazaar.com/"} +{"d:Title": "Lucire", "d:Description": "Original online edition to international fashion magazine, featuring fashion, beauty, lifestyle and travel stories, most exclusive to the web.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.lucire.com/"} +{"d:Title": "Elle UK", "d:Description": "Beauty, fashion, shopping, horoscopes, lifestyle and travel, reader forums and content from the print publication.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.elleuk.com/"} +{"d:Title": "Maternity&Style", "d:Description": "Online magazine featuring trends in maternity fashion, beauty, and style.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.maternityandstyle.com/"} +{"d:Title": "Nirvana Lifestyle", "d:Description": "Magazine targeting south Asian women, dealing with fashion, lifestyle, entertainment and celebrities.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.nirvanastyle.com/"} +{"d:Title": "Fashion Reporters", "d:Description": "Exclusive runway show reviews from around the world, plus interviews with some of the industry\u2019s movers and shakers.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.fashionreporters.com/"} +{"d:Title": "StyleFrizz", "d:Description": "Blog with fashion and style news, trends, articles. Daily updated.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://stylefrizz.com/"} +{"d:Title": "The Style PA", "d:Description": "Hints, tips, tricks and trends for effortless style, including ideas for budget fashionistas.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.thestylepa.com/"} +{"d:Title": "Sapna Magazine", "d:Description": "A monthly US-based online and print fashion and lifestyle magazine targeting women aged 18\u201334 belonging to the South Asian diaspora.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.sapnamagazine.com/"} +{"d:Title": "StyleCaster", "d:Description": "Community-driven fashion website, including shopping and events\u2019 sections.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.stylecaster.com/"} +{"d:Title": "Fustany", "d:Description": "Fashion magazine aimed at Middle Eastern women covering fashion trends, beauty tips, runway shows, celebrities, and weddings.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.fustany.com/"} +{"d:Title": "Agenda Magazine", "d:Description": "Monthly LA-based fashion and lifestyle webzine. Beauty, health and indie music also covered.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.agendamag.com/"} +{"d:Title": "Fashion Weekly", "d:Description": "Online fashion magazine based in Toronto. Features beauty, style and news.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.fashweekly.com/"} +{"d:Title": "Retro Chick", "d:Description": "Helping readers discover their own style by finding the best in affordable vintage and ethical fashion.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.retrochick.co.uk/"} +{"d:Title": "Wear Nice Clothes", "d:Description": "Students comment on fashion trends.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://wearniceclothes.blogspot.com/"} +{"d:Title": "Chic Profile", "d:Description": "Beauty tips and new releases in make-up, with some news on fashion and style.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.chicprofile.com/"} +{"d:Title": "Harper's Bazaar UK", "d:Description": "Based in London, a companion publication with recommended books and products, events, e-cards, wallpapers and article previews from the current print issue.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.harpersbazaar.co.uk/"} +{"d:Title": "Fashion Magazine", "d:Description": "The web component of Canada\u2019s general fashion title, with fashion, beauty, gift ideas and online competitions.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.fashionmagazine.com/"} +{"d:Title": "Fashiontribes", "d:Description": "Blog covering fashion, beauty and modelling news.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://fashiontribes.typepad.com/"} +{"d:Title": "Ms Fabulous", "d:Description": "Blog covering independent fashion design, shows and shopping specials.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.msfabulous.com/"} +{"d:Title": "Style Bust", "d:Description": "Weblog examining trends in fashion, beauty and home d\u00e9cor.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://stylebust.com/"} +{"d:Title": "Runway", "d:Description": "Magazine covering fashion, beauty, celebrity news, shopping and romance.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.runwaylive.com/"} +{"d:Title": "InStyle.co.uk", "d:Description": "Gets up close and personal with the stars. Features celebrity style tips and expert fashion, beauty and shopping advice.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.instyle.co.uk/"} +{"d:Title": "Fuse Bay Area Fashion", "d:Description": "Flash-based fashion, beauty and health magazine aimed at mature women.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.fusefashionmag.com/"} +{"d:Title": "1am", "d:Description": "New Zealand-based magazine covering fashion.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://1am.co.nz/new"} +{"d:Title": "Stylenik", "d:Description": "San Francisco-based style publication focusing on laid-back glamour for grown-ups.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://stylenik.com/"} +{"d:Title": "Fox in Flats", "d:Description": "Sharing tips, ideas and inspiration to make it easier to navigate motherhood in style.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.foxinflats.com.au/"} +{"d:Title": "Miss Maven", "d:Description": "Women\u2019s online magazine covering beauty, fashion, and lifestyle.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://missmaven.com/"} +{"d:Title": "Harper\u2019s Bazaar Australia", "d:Description": "Australian fashion, beauty and celebrity news.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.harpersbazaar.com.au/"} +{"d:Title": "Stylemom", "d:Description": "Lifestyle magazine with news on celebrity mothers and babies, featuring budget tips and discounts.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://stylemom.com/"} +{"d:Title": "Corals&Cognacs", "d:Description": "Fashion blog based in Chicago.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.coralsandcognacs.com/"} +{"d:Title": "Vonmode", "d:Description": "Editorials promoting models, photographers, stylists, make-up artists and agencies.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://vonmode.com/"} +{"d:Title": "Paris Ch\u00e9rie", "d:Description": "A bilingual site in Chinese and English designed to bring Parisian fashion to a Chinese audience.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://parischerie.com/"} +{"d:Title": "LDN Fashion", "d:Description": "London fashion news and features.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.ldnfashion.com/"} +{"d:Title": "Chic Galleria", "d:Description": "An online fashion and lifestyle magazine. Covers products, lifestyle tips, and recipes.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.chicgalleria.com/"} +{"d:Title": "Stylehunter.com", "d:Description": "Australian site covering fashion, beauty and lifestyle.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.stylehunter.com/"} +{"d:Title": "My Fashion Magazine", "d:Description": "Graphics-based, fortnightly on-line fashion and beauty magazine.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.my-fashionmagazine.com/"} +{"d:Title": "LadyLux", "d:Description": "Luxury fashion, technology, eco-green and lifestyle trends.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.ladylux.com/"} +{"d:Title": "Fashionisers.com", "d:Description": "Blog with fashion campaigns, styling advice and beauty tips.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.fashionisers.com/"} +{"d:Title": "Transtyle.jp", "d:Description": "Capturing the street style of young Japanese women, including the kawaii and harajuku trends.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://transtyle.jp/eng/"} +{"d:Title": "N Style", "d:Description": "Focusing on fashion and design from the Nordic countries.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.nordicstylemag.com/"} +{"d:Title": "Marie France Asia", "d:Description": "Catering to women across Asia, covering beauty, fashion, health, travel, design and food.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.mariefranceasia.com/"} +{"d:Title": "Savoir Flair", "d:Description": "Magazine covering women\u2019s fashion, beauty, celebrity news, shopping and romance in the Middle East.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://savoirflair.com/"} +{"d:Title": "Elle India", "d:Description": "Indian edition of internationally known title with content on beauty, fashion, lifestyle and astrology.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://elle.in/"} +{"d:Title": "Verve Magazine", "d:Description": "Online counterpart to India\u2019s international fashion magazine, with sections on people, style, life, spirituality and shopping.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.vervemagazine.in/"} +{"d:Title": "Creem", "d:Description": "A quarterly print fashion, art, music, lifestyle, and design publication with daily online updates.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://creemmag.com/"} +{"d:Title": "Second City Style", "d:Description": "A Chicago-based fashion magazine for stylish, savvy women.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.secondcitystyle.com/"} +{"d:Title": "Anokhi", "d:Description": "Web counterpart to a glossy fashion and entertainment magazine geared toward south Asian women.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://anokhimedia.com/"} +{"d:Title": "Carbon", "d:Description": "Independent fashion magazine based out of Tempe, Arizona, reporting on new brands, designers and trends.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://thecarbonmagazine.com/"} +{"d:Title": "Yve Style", "d:Description": "Articles about fashion, a healthy lifestyle, and beauty tips and tricks.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.yve-style.com/"} +{"d:Title": "Valeur", "d:Description": "Fashion collections, editorials, and music reviews.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.valeurmagazine.com/"} +{"d:Title": "Thread", "d:Description": "New Zealand-based site has articles on style and culture.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://threadnz.com/"} +{"d:Title": "Fashion Allure", "d:Description": "Trends, celebrity style, collections, models, beauty and accessories.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://fashionallure.com/"} +{"d:Title": "La Moda Dubai", "d:Description": "Breaking fashion and lifestyle news aimed at the Arabian Gulf.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.lamodadubai.ae/"} +{"d:Title": "Trendystyle", "d:Description": "Fashion, make-up and hair trends, aimed at Asian women.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.trendystyle.com.hk/"} +{"d:Title": "Beautiful You", "d:Description": "Featuring beauty and health tips, and fashion trends.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.beautifulyou.com.pk/"} +{"d:Title": "Lipstick Lifestyle", "d:Description": "Canada\u2019s online authority for travel, food and drink, spas, and beauty and health.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://lipsticklifestyle.com/"} +{"d:Title": "Kaftan Mag", "d:Description": "Moroccan-based fashion and beauty website.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.kaftanmag.com/"} +{"d:Title": "Fashion Bomb", "d:Description": "An online portal for women to find celebrity looks, suggestions on what to wear to various events, and profiles of designers.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://fashionbombdaily.com/"} +{"d:Title": "The Demureist", "d:Description": "New York-based site for modern, cultured women of depth.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.thedemureist.com/"} +{"d:Title": "Hazine", "d:Description": "Luxury pr\u00eat-\u00e0-porter with runway and street-style sections.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://hazine.com/"} +{"d:Title": "Style Reins", "d:Description": "UK site focused on equestrian style.", "topic": "Top/Arts/Design/Fashion/Magazines_and_E-zines/Women", "url": "http://www.stylereins.com/"} +{"d:Title": "Ruffo Research", "d:Description": "Collections created during designers' tenure with fellow Belgian designer, Raf Simons, at the experimental label. Haider Ackermann, Sophia Kokosalaki, and Veronique Branquinho.", "topic": "Top/Arts/Design/Fashion/People", "url": "http://firstview.com/collection.php?s_d=1267"} +{"d:Title": "Lucire Feature: Paris Haute Couture Fashion Week", "d:Description": "Jack Yan runs down Abi Nader's Fall 2002 haute couture collection.", "topic": "Top/Arts/Design/Fashion/People/A/Abi_Nader,_Robert", "url": "http://www.lucire.com/2002/fall2002/1130fe0.shtml"} +{"d:Title": "FirstView: Haider Ackermann", "d:Description": "Archives, and subscription access to recent collections.", "topic": "Top/Arts/Design/Fashion/People/A/Ackermann,_Haider", "url": "http://firstview.com/collection.php?s_d=577"} +{"d:Title": "Index Magazine", "d:Description": "Glenn Belverio interviews the designer about his collection \"politics\" and trades some humorous stories.", "topic": "Top/Arts/Design/Fashion/People/A/Adrover,_Miguel", "url": "http://www.indexmagazine.com/interviews/miguel_adrover.shtml"} +{"d:Title": "Miguel Adrover", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/A/Adrover,_Miguel", "url": "http://firstview.com/collection.php?s_d=999"} +{"d:Title": "New York Magazine: The Cut", "d:Description": "Designer profile and runway photos provided.", "topic": "Top/Arts/Design/Fashion/People/A/Adrover,_Miguel", "url": "http://nymag.com/thecut/fashion/designers/miguel-adrover/"} +{"d:Title": "Hardy Amies", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/A/Amies,_Hardy", "url": "http://firstview.com/collection.php?s_d=2409"} +{"d:Title": "Hardy Amies", "d:Description": "Couture and fashion house for men and women includes information on stores and stockists.", "topic": "Top/Arts/Design/Fashion/People/A/Amies,_Hardy", "url": "http://www.hardyamies.com/"} +{"d:Title": "Infomat: Who's Who?", "d:Description": "Career profile and biography of the designer provided.", "topic": "Top/Arts/Design/Fashion/People/A/Andre,_Adeline", "url": "http://www.infomat.com/whoswho/adelineandre.html"} +{"d:Title": "Fashionlines", "d:Description": "Short profile and several photographs of the designer's work.", "topic": "Top/Arts/Design/Fashion/People/A/Andre,_Adeline", "url": "http://www.fashionlines.com/2003/oct/fashionCoutureAutumnAdeline.php"} +{"d:Title": "Arkadius", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/A/Arkadius", "url": "http://firstview.com/collection.php?s_d=131"} +{"d:Title": "Forbes.com: Fashion's New Aristocrats", "d:Description": "Davide Dukcevich profiles \"an ambitious control freak... built a $1 billion brand by liberating customers' bodies from fashion frills\".", "topic": "Top/Arts/Design/Fashion/People/A/Armani,_Giorgio", "url": "http://www.forbes.com/2001/06/20/0620fashionintro_5.html"} +{"d:Title": "Giorgio Armani", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/A/Armani,_Giorgio", "url": "http://firstview.com/collection.php?s_d=546"} +{"d:Title": "Amaya Arzuaga", "d:Description": "Collections galleries, news and publicity, and contact information provided.", "topic": "Top/Arts/Design/Fashion/People/A/Arzuaga,_Amaya", "url": "http://www.amayaarzuaga.com/"} +{"d:Title": "Amaya Arzuaga", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/A/Arzuaga,_Amaya", "url": "http://firstview.com/collection.php?s_d=71"} +{"d:Title": "BCBG: Max Azria", "d:Description": "Profile and collection photos.", "topic": "Top/Arts/Design/Fashion/People/A/Azria,_Max", "url": "http://www.bcbg.com/"} +{"d:Title": "BCBG Max Azria", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/A/Azria,_Max", "url": "http://firstview.com/collection.php?s_d=169"} +{"d:Title": "Badgley Mischka", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Badgley,_Mark", "url": "http://firstview.com/collection.php?s_d=153"} +{"d:Title": "Burberry", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Bailey,_Christopher", "url": "http://firstview.com/collection.php?s_d=215"} +{"d:Title": "Balmain", "d:Description": "Summary and flash presentation of collections,", "topic": "Top/Arts/Design/Fashion/People/B/Balmain,_Pierre", "url": "http://www.balmain.com/"} +{"d:Title": "Neil Barrett", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Barrett,_Neil", "url": "http://firstview.com/collection.php?s_d=1064"} +{"d:Title": "John Bartlett", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Bartlett,_John", "url": "http://firstview.com/collection.php?s_d=708"} +{"d:Title": "Luella Bartley", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Bartley,_Luella", "url": "http://firstview.com/collection.php?s_d=877"} +{"d:Title": "Infomat: Who's Who?", "d:Description": "History provided of the name under which the first shop of Madame Gres was opened.", "topic": "Top/Arts/Design/Fashion/People/B/Barton,_Alix", "url": "http://www.infomat.com/whoswho/alixgres.html"} +{"d:Title": "Armand Basi", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Basi,_Armand", "url": "http://firstview.com/collection.php?s_d=134"} +{"d:Title": "Geoffrey Beene", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Beene,_Geoffrey", "url": "http://firstview.com/collection.php?s_d=531"} +{"d:Title": "Dirk Bikkembergs", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Bikkembergs,_Dirk", "url": "http://firstview.com/collection.php?s_d=390"} +{"d:Title": "Transcript of an Interview with Mr. Blackwell", "d:Description": "Famed fashion designer discusses his autobiography.", "topic": "Top/Arts/Design/Fashion/People/B/Blackwell,_Richard", "url": "http://www.peteranthonyholder.com/cjad11.htm"} +{"d:Title": "Movie Magazine International Book Report: From Rags to Bitches", "d:Description": "Monica Sullivan reviews the designer's autobiography whose release coincided with the 36th anniversary of his annually published best and worst dressed lists.", "topic": "Top/Arts/Design/Fashion/People/B/Blackwell,_Richard", "url": "http://www.shoestring.org/mmi_revs/blackwell.html"} +{"d:Title": "Pittsburgh Post-Gazette: The Best and Worst of Blackwell", "d:Description": "Barbara Cloud does her best to dislike the charismatic critic through a profile and consideration of the longevity of his career in Hollywood.", "topic": "Top/Arts/Design/Fashion/People/B/Blackwell,_Richard", "url": "http://www.post-gazette.com/columnists/20000416cloud.asp"} +{"d:Title": "Salon: People - A Blackwellian Nightmare", "d:Description": "Martha Barnette meets with the designer on assignment from \"Allure\" magazine upon the 40th anniversary of his annual \"Worst Dressed\" lists.", "topic": "Top/Arts/Design/Fashion/People/B/Blackwell,_Richard", "url": "http://www.salon.com/2000/01/11/blackwell/"} +{"d:Title": "100 Great Black Britons: Biographies", "d:Description": "Profile of the designer provided in addition to his Madison Avenue, New York aspirations.", "topic": "Top/Arts/Design/Fashion/People/B/Boateng,_Ozwald", "url": "http://www.100greatblackbritons.com/bios/oswald_boateng.html"} +{"d:Title": "Ozwald Boateng", "d:Description": "Official site with bespoke tailoring information, collections, news, and history.", "priority": "1", "topic": "Top/Arts/Design/Fashion/People/B/Boateng,_Ozwald", "url": "http://www.ozwaldboateng.co.uk/"} +{"d:Title": "Ozwald Boateng", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Boateng,_Ozwald", "url": "http://firstview.com/collection.php?s_d=1752"} +{"d:Title": "The Guardian: Boateng for Givenchy", "d:Description": "Hadley Freeman reports the changes at the French label, now with Brits heading both the men's and womenswear.", "topic": "Top/Arts/Design/Fashion/People/B/Boateng,_Ozwald", "url": "http://www.theguardian.com/uk/2003/dec/09/fashion.arts"} +{"d:Title": "Hugo Boss", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Boss,_Hugo", "url": "http://firstview.com/collection.php?s_d=615"} +{"d:Title": "Hugo by Hugo Boss", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Boss,_Hugo", "url": "http://firstview.com/collection.php?s_d=1681"} +{"d:Title": "Jahsonic", "d:Description": "Biography provided of the designer with emphasis on education and label development.", "topic": "Top/Arts/Design/Fashion/People/B/Branquinho,_Veronique", "url": "http://www.jahsonic.com/VeroniqueBranquinho.html"} +{"d:Title": "Barbara Bui", "d:Description": "Archived collection galleries listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Bui,_Barbara", "url": "http://firstview.com/collection.php?s_d=160"} +{"d:Title": "Jason Bunin", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/B/Bunin,_Jason", "url": "http://firstview.com/collection.php?s_d=2162"} +{"d:Title": "Fashion File", "d:Description": "Watch two runway clips from separate Costume National presentations.", "topic": "Top/Arts/Design/Fashion/People/C/Capasa,_Ennio", "url": "http://fashionfile.com/designers/CostumeNationale.html"} +{"d:Title": "Costume National", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Capasa,_Ennio", "url": "http://firstview.com/collection.php?s_d=326"} +{"d:Title": "Pierre Cardin", "d:Description": "Biography, licenses, and designs of the man who eventually was reinstated after having his Syndicale membership revoked in 1959 for showing his collection in a French department store.", "topic": "Top/Arts/Design/Fashion/People/C/Cardin,_Pierre", "url": "http://www.pierrecardin.com/"} +{"d:Title": "David Cardona", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cardona,_David", "url": "http://firstview.com/collection.php?s_d=357"} +{"d:Title": "Carven", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Carven", "url": "http://firstview.com/collection.php?s_d=248"} +{"d:Title": "Robert Cary-Williams", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cary-Williams,_Robert", "url": "http://firstview.com/collection.php?s_d=1236"} +{"d:Title": "Joe Casely Hayford", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Casely-Hayford,_Joe", "url": "http://firstview.com/collection.php?s_d=703"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer provided.", "topic": "Top/Arts/Design/Fashion/People/C/Cashin,_Bonnie", "url": "http://www.imdb.com/name/nm0143642/"} +{"d:Title": "Marni", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Castiglione,_Consuelo", "url": "http://firstview.com/collection.php?s_d=951"} +{"d:Title": "DSquared2", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Caten,_Dan", "url": "http://firstview.com/collection.php?s_d=343"} +{"d:Title": "DSquared2", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Caten,_Dean", "url": "http://firstview.com/collection.php?s_d=343"} +{"d:Title": "Roberto Cavalli", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cavalli,_Roberto", "url": "http://firstview.com/collection.php?s_d=1240"} +{"d:Title": "Just Cavalli", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cavalli,_Roberto", "url": "http://firstview.com/collection.php?s_d=739"} +{"d:Title": "Cerruti", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cerruti,_Nino", "url": "http://firstview.com/collection.php?s_d=264"} +{"d:Title": "Rosa Cha", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cha,_Rosa", "url": "http://firstview.com/collection.php?s_d=1258"} +{"d:Title": "Fashion File: Designers", "d:Description": "Critical commentary accompanies video clips from two separate runway presentations.", "topic": "Top/Arts/Design/Fashion/People/C/Chalayan,_Hussein", "url": "http://fashionfile.com/designers/HusseinChalayan.html"} +{"d:Title": "Turkish-Cypriot Online Museum of Fine Arts", "d:Description": "Educational and career background with photographs precede a reproduction of Elle magazine article, \"Hussein Chalayan - A Millennial Maverick\".", "topic": "Top/Arts/Design/Fashion/People/C/Chalayan,_Hussein", "url": "http://www.cypnet.co.uk/ncyprus/culture/mofa/design/chalayan/"} +{"d:Title": "Hussein Chalayan", "d:Description": "Most current collection gallery, backstage shots, and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Chalayan,_Hussein", "url": "http://firstview.com/collection.php?s_d=618"} +{"d:Title": "Chanpaul", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Chan,_Paul", "url": "http://firstview.com/collection.php?s_d=271"} +{"d:Title": "Chanel", "d:Description": "History of label and profiles of Coco Chanel and current creative director, Karl Lagerfeld.", "topic": "Top/Arts/Design/Fashion/People/C/Chanel,_Gabrielle_Coco", "url": "http://www.chanel.com/"} +{"d:Title": "Cheung, Lu Lu", "d:Description": "Fashion designer in Hong Kong. Events and campaigns.", "topic": "Top/Arts/Design/Fashion/People/C/Cheung,_Lu_Lu", "url": "http://www.lulucheung.com.hk/"} +{"d:Title": "Benjamin Cho", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cho,_Benjamin", "url": "http://firstview.com/collection.php?s_d=179"} +{"d:Title": "AbsoluteArts: Indepth Arts News", "d:Description": "A review of London's Victoria and Albert Museum's exhibition, \"Ossie Clark: British Fashion Designers of the 60s and 70s\".", "topic": "Top/Arts/Design/Fashion/People/C/Clark,_Ossie", "url": "http://www.absolutearts.com/artsnews/2003/07/14/31209.html"} +{"d:Title": "Cacharel", "d:Description": "Recent collections for the label by Suzanne Clements and Inacio Ribeiro, history, stockists, and wallpaper downloads available.", "topic": "Top/Arts/Design/Fashion/People/C/Clements,_Suzanne", "url": "http://www.cacharel.com/"} +{"d:Title": "Cacharel", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Clements,_Suzanne", "url": "http://firstview.com/collection.php?s_d=222"} +{"d:Title": "Clements Ribeiro", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Clements,_Suzanne", "url": "http://firstview.com/collection.php?s_d=305"} +{"d:Title": "Kenneth Cole", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cole,_Kenneth", "url": "http://firstview.com/collection.php?s_d=769"} +{"d:Title": "Rachel Comey", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Comey,_Rachel", "url": "http://firstview.com/collection.php?s_d=1190"} +{"d:Title": "Jasper Conran", "d:Description": "Men's, women's, children's, and home collections plus a list of designer's film and arts commissions.", "topic": "Top/Arts/Design/Fashion/People/C/Conran,_Jasper", "url": "http://www.jasperconran.com/"} +{"d:Title": "Emma Cook", "d:Description": "Flash collections galleries, archived presentation video, stockists, and contact information provided.", "topic": "Top/Arts/Design/Fashion/People/C/Cook,_Emma", "url": "http://www.emmacook.co.uk/"} +{"d:Title": "Zero: Maria Cornejo", "d:Description": "Biography and career track, collection gallery, retailer and studio information.", "topic": "Top/Arts/Design/Fashion/People/C/Cornejo,_Maria", "url": "http://zeromariacornejo.com/"} +{"d:Title": "Zero by Maria Cornejo", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Cornejo,_Maria", "url": "http://firstview.com/collection.php?s_d=1859"} +{"d:Title": "Calvin Klein", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Costa,_Francisco", "url": "http://firstview.com/collection.php?s_d=227"} +{"d:Title": "Enrico Coveri", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/C/Coveri,_Enrico", "url": "http://firstview.com/collection.php?s_d=445"} +{"d:Title": "Infomat: Who's Who?", "d:Description": "Biography provided of the youngest ever recipient of the Council of Fashion Designers of America Best New Menswear Designer for 1998 Award.", "topic": "Top/Arts/Design/Fashion/People/D/Dalal,_Sandy", "url": "http://www.infomat.com/whoswho/sandydalal.html"} +{"d:Title": "Sandy Dalal", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/Dalal,_Sandy", "url": "http://firstview.com/collection.php?s_d=1751"} +{"d:Title": "Xavier Delcour", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/Delcour,_Xavier", "url": "http://firstview.com/collection.php?s_d=1770"} +{"d:Title": "Alessandro Dell' Acqua", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/Dell'Acqua,_Allesandro", "url": "http://firstview.com/collection.php?s_d=41"} +{"d:Title": "Nicolas Del Verme", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/Del_Verme,_Nicola", "url": "http://firstview.com/collection.php?s_d=1074"} +{"d:Title": "Oscar De La Renta", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/De_la_Renta,_Oscar", "url": "http://firstview.com/collection.php?s_d=1108"} +{"d:Title": "Victor De Souza", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/De_Souza,_Victor", "url": "http://firstview.com/collection.php?s_d=1518"} +{"d:Title": "Collette Dinnigan", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/Dinnigan,_Collette", "url": "http://firstview.com/collection.php?s_d=314"} +{"d:Title": "Christian Dior", "d:Description": "Official site for the house. Designer John Galliano's collection offered for view in both image gallery and video formats.", "topic": "Top/Arts/Design/Fashion/People/D/Dior,_Christian", "url": "http://www.dior.com/"} +{"d:Title": "Infomat: Who's Who?", "d:Description": "Biography of designer offered in addition to company economic data.", "topic": "Top/Arts/Design/Fashion/People/D/Dolce,_Domenico", "url": "http://www.infomat.com/whoswho/domenicodolce.html"} +{"d:Title": "Dolce&Gabbana Collections", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/D/Dolce,_Domenico", "url": "http://firstview.com/collection_designer.php?s_d=396#.WJikWdjfWhB"} +{"d:Title": "Udo Edling", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/E/Edling,_Udo", "url": "http://firstview.com/collection.php?s_d=1788"} +{"d:Title": "Perry Ellis", "d:Description": "Collections galleries for the label currently helmed by Patrick Robinson as chief designer.", "topic": "Top/Arts/Design/Fashion/People/E/Ellis,_Perry", "url": "http://www.perryellis.com/"} +{"d:Title": "Daniel Faret", "d:Description": "Menswear galleries, press, and contact information provided for the designer.", "topic": "Top/Arts/Design/Fashion/People/F/Faret,_Daniel", "url": "http://www.danielfaret.com/"} +{"d:Title": "Daniel Faret", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Faret,_Daniel", "url": "http://firstview.com/collection.php?s_d=1774"} +{"d:Title": "Nicole Farhi", "d:Description": "Collections for men and women, home collection, designer profile, and ad campaign links available.", "topic": "Top/Arts/Design/Fashion/People/F/Farhi,_Nicole", "url": "http://www.nicolefarhi.com/"} +{"d:Title": "Nicole Farhi", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Farhi,_Nicole", "url": "http://firstview.com/collection.php?s_d=1076"} +{"d:Title": "Fendi", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Fendi,_Silvia_Venturini", "url": "http://firstview.com/collection.php?s_d=486"} +{"d:Title": "Salvatore Ferragamo", "d:Description": "Current and archive collection galleries, stockists, ad campaigns, and information about the Ferragamo shoe museum in Florence.", "topic": "Top/Arts/Design/Fashion/People/F/Ferragamo,_Salvatore", "url": "http://www.salvatoreferragamo.it/"} +{"d:Title": "Gianfranco Ferre", "d:Description": "The official site.", "topic": "Top/Arts/Design/Fashion/People/F/Ferre,_Gianfranco", "url": "http://www.gianfrancoferre.com/"} +{"d:Title": "Gianfranco Ferre", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Ferre,_Gianfranco", "url": "http://firstview.com/collection.php?s_d=538"} +{"d:Title": "GFF by Gianfranco Ferre", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Ferre,_Gianfranco", "url": "http://firstview.com/collection.php?s_d=535"} +{"d:Title": "Alberta Ferretti", "d:Description": "Runway gallery, accessories collection, and ad campaign photos.", "topic": "Top/Arts/Design/Fashion/People/F/Ferretti,_Alberta", "url": "http://www.albertaferretti.com/"} +{"d:Title": "Philosophy", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Ferretti,_Alberta", "url": "http://firstview.com/collection.php?s_d=1161"} +{"d:Title": "Alberta Ferretti", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Ferretti,_Alberta", "url": "http://firstview.com/collection.php?s_d=36"} +{"d:Title": "Fashion File", "d:Description": "Runway clip provided from the Spring 2003 collection.", "topic": "Top/Arts/Design/Fashion/People/F/Figus,_Angelo", "url": "http://fashionfile.com/designers/AngeloFigus.html"} +{"d:Title": "Gucci", "d:Description": "Galleries until his departure from the label after Fall 2004 collection listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Ford,_Tom", "url": "http://firstview.com/collection.php?s_d=562"} +{"d:Title": "Istvan Francer", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Francer,_Istvan", "url": "http://firstview.com/collection.php?s_d=2127"} +{"d:Title": "Giuliano Fujiwara", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/F/Fujiwara,_Giuliano", "url": "http://firstview.com/collection.php?s_d=1797"} +{"d:Title": "Infomat: Who's Who?", "d:Description": "Profile of designer, collaboration history, and company economic data provided.", "topic": "Top/Arts/Design/Fashion/People/G/Gabbana,_Stefano", "url": "http://www.infomat.com/whoswho/stefanogabbana.html"} +{"d:Title": "Dolce&Gabbana Collections", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Gabbana,_Stefano", "url": "http://firstview.com/collection_designer.php?s_d=396#.WJikWdjfWhB"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Solo film project listed for the designer who also worked for Jean Louis.", "topic": "Top/Arts/Design/Fashion/People/G/Galanos,_James", "url": "http://www.imdb.com/name/nm0301615/"} +{"d:Title": "Christian Dior", "d:Description": "Designer John Galliano's collection offered for view in both image gallery and video formats.", "topic": "Top/Arts/Design/Fashion/People/G/Galliano,_John", "url": "http://www.dior.com/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of international projects and appearances for the designer.", "topic": "Top/Arts/Design/Fashion/People/G/Gaultier,_Jean_Paul", "url": "http://www.imdb.com/name/nm0310116/"} +{"d:Title": "Balenciaga", "d:Description": "Collection and archives photos and contact information for this label designed by Nicolas Ghesquiere.", "topic": "Top/Arts/Design/Fashion/People/G/Ghesquiere,_Nicolas", "url": "http://www.balenciaga.com/"} +{"d:Title": "Callaghan", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Ghesquiere,_Nicolas", "url": "http://firstview.com/collection.php?s_d=226"} +{"d:Title": "Balenciaga", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Ghesquiere,_Nicolas", "url": "http://firstview.com/collection.php?s_d=156"} +{"d:Title": "New York Metro: From Paris with Love", "d:Description": "Daisy Garnett interviews the designer in New York three weeks before his Spring 2003 presentation for the house of Balenciaga, which he's credited as resuscitating.", "topic": "Top/Arts/Design/Fashion/People/G/Ghesquiere,_Nicolas", "url": "http://nymag.com/nymetro/shopping/fashion/spring03runways/n_8331/index1.html"} +{"d:Title": "Elspeth Gibson", "d:Description": "Collections and profile.", "topic": "Top/Arts/Design/Fashion/People/G/Gibson,_Elspeth", "url": "http://www.elspethgibson.com/"} +{"d:Title": "Elspeth Gibson", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Gibson,_Elspeth", "url": "http://firstview.com/collection.php?s_d=436"} +{"d:Title": "Romeo Gigli", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Gigli,_Romeo", "url": "http://firstview.com/collection.php?s_d=1253"} +{"d:Title": "Fashion: Collections", "d:Description": "Biography of designer presented with pictures of highlights from his Spring 2003 collection.", "topic": "Top/Arts/Design/Fashion/People/G/Gn,_Andrew", "url": "http://www.fashion.at/collections/andrewgn12-2002b.htm"} +{"d:Title": "Andrew Gn", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Gn,_Andrew", "url": "http://firstview.com/collection.php?s_d=88"} +{"d:Title": "Maria Grachvogel", "d:Description": "Women's and bridal collections, profile, and retailer information provided.", "topic": "Top/Arts/Design/Fashion/People/G/Grachvogel,_Maria", "url": "http://www.mariagrachvogel.com/"} +{"d:Title": "Maria Grachvogel", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Grachvogel,_Maria", "url": "http://firstview.com/collection.php?s_d=937"} +{"d:Title": "Martin Grant", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/G/Grant,_Martin", "url": "http://firstview.com/collection.php?s_d=954"} +{"d:Title": "Wikipedia: Gucci", "d:Description": "Building the company from an accessories shop, to an equestrian specialty, to the high fashion status symbol the label has come to represent, a profile of Guccio and his sons provided.", "topic": "Top/Arts/Design/Fashion/People/G/Guccio,_Gucci", "url": "http://en.wikipedia.org/wiki/Gucci"} +{"d:Title": "BBC Desert Island Discs: Barbara Hulanicki", "d:Description": "Kirsty Young talks to Barbara Hulanicki, fashion designer and creator of Biba.", "topic": "Top/Arts/Design/Fashion/People/H", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/3b477bba#b03kpkyj"} +{"d:Title": "Kevan Hall", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Hall,_Kevan", "url": "http://firstview.com/collection.php?s_d=771"} +{"d:Title": "Halston", "d:Description": "Hall presented solo collections for the label from 1997 to 2001.", "topic": "Top/Arts/Design/Fashion/People/H/Hall,_Kevan", "url": "http://firstview.com/collection.php?s_d=580"} +{"d:Title": "New York Metro: Getting a Bead on Halston Designers", "d:Description": "Keil and Mitchell detail Randolph Duke's departure from the label, paving the way for Hall's re-employment and ascension to creative director at Halston.", "topic": "Top/Arts/Design/Fashion/People/H/Hall,_Kevan", "url": "http://nymag.com/nymetro/news/people/columns/intelligencer/3329/"} +{"d:Title": "Ji Haye", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Haye,_Ji", "url": "http://firstview.com/collection.php?s_d=694"} +{"d:Title": "Alexandre Herchcovitch", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Herchcovitch,_Alexandre", "url": "http://firstview.com/collection.php?s_d=47"} +{"d:Title": "Proenza Schouler", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Hernandez,_Lazaro", "url": "http://firstview.com/collection.php?s_d=1186"} +{"d:Title": "New York Metro: Two Stylish", "d:Description": "Amy Larocca profiles the label and the designers behind it from their meeting at Parsons to the debut Proenza Schouler collection.", "topic": "Top/Arts/Design/Fashion/People/H/Hernandez,_Lazaro", "url": "http://nymag.com/nymetro/shopping/fashion/features/n_8809/"} +{"d:Title": "Oliver Christian Herold", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Herold,_Oliver_Christian", "url": "http://firstview.com/collection.php?s_d=1998"} +{"d:Title": "Carolina Herrera", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Herrera,_Carolina", "url": "http://firstview.com/collection.php?s_d=246"} +{"d:Title": "Paula Hian", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Hian,_Paula", "url": "http://firstview.com/collection.php?s_d=1140"} +{"d:Title": "Viktor&Rolf", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Horsting,_Viktor", "url": "http://firstview.com/collection.php?s_d=1526"} +{"d:Title": "Ann Huybens&The Flemish Primitives", "d:Description": "Philippe Blondez opens with a mini essay on the designer who defines herself as \"&\". Contact, profile, and new collection presented.", "topic": "Top/Arts/Design/Fashion/People/H/Huybens,_Ann", "url": "http://www.annhuybens.com/"} +{"d:Title": "Ann Huybens", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/H/Huybens,_Ann", "url": "http://firstview.com/collection.php?s_d=103"} +{"d:Title": "Akira Isogawa", "d:Description": "Philosophy and profile of Sydney presenter who shows in Paris also. Includes gallery and archive.", "topic": "Top/Arts/Design/Fashion/People/I/Isogawa,_Akira", "url": "http://www.akira.com.au/"} +{"d:Title": "Akira Isogawa", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/I/Isogawa,_Akira", "url": "http://firstview.com/collection.php?s_d=32"} +{"d:Title": "Marc Jacobs", "d:Description": "Signature and diffusion line galleries, ad camapigns, company profile, and charities information.", "topic": "Top/Arts/Design/Fashion/People/J/Jacobs,_Marc", "url": "http://www.marcjacobs.com/"} +{"d:Title": "Index Magazine", "d:Description": "Mary Clarke interviews the designer about his move to paris to design for Louis Vuitton and his grunge roots as the designer for Perry Ellis in the early 1990s.", "topic": "Top/Arts/Design/Fashion/People/J/Jacobs,_Marc", "url": "http://www.indexmagazine.com/interviews/marc_jacobs.shtml"} +{"d:Title": "Marc Jacobs", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/J/Jacobs,_Marc", "url": "http://firstview.com/collection.php?s_d=916"} +{"d:Title": "Peter Jensen", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/J/Jensen,_Peter", "url": "http://firstview.com/collection.php?s_d=1152"} +{"d:Title": "Elisa Jimenez", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/J/Jiminez,_Elisa", "url": "http://firstview.com/collection.php?s_d=1877"} +{"d:Title": "Betsey Johnson", "d:Description": "Official page with current collection.", "topic": "Top/Arts/Design/Fashion/People/J/Johnson,_Betsey", "url": "http://www.betseyjohnson.com/"} +{"d:Title": "Betsey Johnson", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/J/Johnson,_Betsey", "url": "http://firstview.com/collection.php?s_d=183"} +{"d:Title": "Adam Jones", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/J/Jones,_Adam", "url": "http://firstview.com/collection.php?s_d=13"} +{"d:Title": "Donna Karan", "d:Description": "Official site includes store locations and information, and designer biography.", "topic": "Top/Arts/Design/Fashion/People/K/Karan,_Donna", "url": "http://www.donnakaran.com/"} +{"d:Title": "DKNY", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Karan,_Donna", "url": "http://firstview.com/collection.php?s_d=392"} +{"d:Title": "Donna Karan", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Karan,_Donna", "url": "http://firstview.com/collection.php?s_d=400"} +{"d:Title": "New York Magazine: The Cut", "d:Description": "Designer profile offered in addition to runway photos.", "topic": "Top/Arts/Design/Fashion/People/K/Karan,_Donna", "url": "http://nymag.com/thecut/fashion/designers/donna-karan/"} +{"d:Title": "Modern Japan", "d:Description": "Profile of the Japanese designer whose style is often referred to as \"academic\".", "topic": "Top/Arts/Design/Fashion/People/K/Kawakubo,_Rei", "url": "http://www.japan-zone.com/modern/kawakubo_rei.shtml"} +{"d:Title": "Dice Kayek", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Kayek,_Dice", "url": "http://firstview.com/collection.php?s_d=384"} +{"d:Title": "Modern Japan", "d:Description": "Profile of the man behind the Kenzo label, educational and career track provided.", "topic": "Top/Arts/Design/Fashion/People/K/Kenzo,_Takada", "url": "http://www.japan-zone.com/modern/takada_kenzo.shtml"} +{"d:Title": "Lainey Keogh", "d:Description": "Irish designer renowned for her knitwear offers Flash galleries, press, and stockist information.", "topic": "Top/Arts/Design/Fashion/People/K/Keogh,_Lainey", "url": "http://www.laineykeogh.com/"} +{"d:Title": "Daryl K", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Kerrigan,_Daryl", "url": "http://firstview.com/collection.php?s_d=356"} +{"d:Title": "Anne Klein", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Anne", "url": "http://firstview.com/collection.php?s_d=109"} +{"d:Title": "Calvin Klein", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Calvin", "url": "http://firstview.com/collection.php?s_d=227"} +{"d:Title": "CK Calvin Klein", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Calvin", "url": "http://firstview.com/collection.php?s_d=2231"} +{"d:Title": "New York Metro: Flesh for Fantasy", "d:Description": "Simon Dumenco's article subtitled 'Calvin Klein's ads had plenty to look at. More important, they were something to talk about'.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Calvin", "url": "http://nymag.com/nymetro/news/anniversary/35th/n_8554/"} +{"d:Title": "New York Magazine: Calvin Klein -- 35th Anniversary", "d:Description": "Excerpt offered from 'The Triumph of Calvinism', New York Magazine, September 18, 1995.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Calvin", "url": "http://nymag.com/news/articles/03/03/35th/popups/calvinklein.htm"} +{"d:Title": "New York Metro: Brand X - Calvin Rocks", "d:Description": "Simon Dumenco's New York Magazine article alluding to the rivalry with the Hilfiger brand and their respective pop cultural icon endorsements.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Calvin", "url": "http://nymag.com/nymetro/news/media/features/1445/"} +{"d:Title": "Lloyd Klein", "d:Description": "Biography, brand history, publishing projects, photo galleries, fashion collections current and archival, and contact information.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Lloyd", "url": "http://www.lloydklein.com/"} +{"d:Title": "Lloyd Klein", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Klein,_Lloyd", "url": "http://firstview.com/collection.php?s_d=851"} +{"d:Title": "Sophia Kokosalaki", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Kokosalaki,_Sophia", "url": "http://firstview.com/collection.php?s_d=1350"} +{"d:Title": "Celine", "d:Description": "Creative director Michael Kors presents his collection for the label and offers backstage video, accessories gallery, and stockist information.", "topic": "Top/Arts/Design/Fashion/People/K/Kors,_Michael", "url": "http://www.celine.com/"} +{"d:Title": "Michael Kors", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Kors,_Michael", "url": "http://firstview.com/collection.php?s_d=990"} +{"d:Title": "Michiko Koshino", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/K/Koshino,_Michiko", "url": "http://firstview.com/collection.php?s_d=998"} +{"d:Title": "Christian Lacroix", "d:Description": "Official site features original sketches of designs for the theater by Christian Lacroix.", "topic": "Top/Arts/Design/Fashion/People/L/Lacroix,_Christian", "url": "http://www.christian-lacroix.fr/"} +{"d:Title": "Pucci", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Lacroix,_Christian", "url": "http://firstview.com/collection.php?s_d=440"} +{"d:Title": "Fendi", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Lagerfeld,_Karl", "url": "http://firstview.com/collection.php?s_d=486"} +{"d:Title": "Lagerfeld Gallery", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Lagerfeld,_Karl", "url": "http://firstview.com/collection.php?s_d=803"} +{"d:Title": "Derek Lam", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Lam,_Derek", "url": "http://firstview.com/collection.php?s_d=376"} +{"d:Title": "Helmut Lang", "d:Description": "Men's and women's accessories and apparel \"workscenes\" presented, stockists, limited editions' availability, and high resolution press images.", "topic": "Top/Arts/Design/Fashion/People/L/Lang,_Helmut", "url": "http://www.helmutlang.com/"} +{"d:Title": "Helmut Lang", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Lang,_Helmut", "url": "http://firstview.com/collection.php?s_d=595"} +{"d:Title": "Jens Laugesen", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Laugesen,_Jens", "url": "http://firstview.com/collection.php?s_d=688"} +{"d:Title": "Ralph Lauren", "d:Description": "Official site. Offers the world of Ralph Lauren, including clothing for men, women and children, bedding and bath luxuries, and gifts.", "topic": "Top/Arts/Design/Fashion/People/L/Lauren,_Ralph", "url": "http://www.ralphlauren.com/"} +{"d:Title": "Ralph Lauren", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Lauren,_Ralph", "url": "http://firstview.com/collection.php?s_d=1199"} +{"d:Title": "Fashionlines: Balmain the Beautiful", "d:Description": "Timothy Nagy reviews the Spring 2004 ready to wear at Balmain, the debut collection of the newly installed designer.", "topic": "Top/Arts/Design/Fashion/People/L/Lebourg,_Christophe", "url": "http://www.fashionlines.com/2003/dec/fashionParisRTWBalmain.php"} +{"d:Title": "Nicolas Le Cauchoix", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Le_Cauchois,_Nicholas", "url": "http://firstview.com/collection.php?s_d=1075"} +{"d:Title": "Markus Lupfer", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/L/Lupfer,_Markus", "url": "http://firstview.com/collection.php?s_d=950"} +{"d:Title": "Julien Macdonald", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Macdonald,_Julien", "url": "http://firstview.com/collection.php?s_d=735"} +{"d:Title": "Andrew Mackenzie", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Mackenzie,_Andrew", "url": "http://firstview.com/collection.php?s_d=1766"} +{"d:Title": "Bob Mackie", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Mackie,_Bob", "url": "http://firstview.com/collection.php?s_d=199"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer whose first top billed solo costuming credit is for 1972's \"Lady Sings the Blues\".", "topic": "Top/Arts/Design/Fashion/People/M/Mackie,_Bob", "url": "http://www.imdb.com/name/nm0533496/"} +{"d:Title": "Bottega Veneta", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Maier,_Tomas", "url": "http://firstview.com/collection.php?s_d=204"} +{"d:Title": "Catherine Malandrino", "d:Description": "Profile, high resolution images, collections, and archives offered with contact information.", "topic": "Top/Arts/Design/Fashion/People/M/Malandrino,_Catherine", "url": "http://www.catherinemalandrino.com/"} +{"d:Title": "Catherine Malandrino", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Malandrino,_Catherine", "url": "http://firstview.com/collection.php?s_d=256"} +{"d:Title": "Forbes.com: Fashion's New Aristocrats", "d:Description": "Davide Dukcevich profiles the \"not surprising[ly]\" a designer and founder of Milan's MaxMara and Sportmax collections.", "topic": "Top/Arts/Design/Fashion/People/M/Maramotti,_Achille", "url": "http://www.forbes.com/2001/06/20/0620fashionintro_3.html"} +{"d:Title": "Isabel Marant", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Marant,_Isabel", "url": "http://firstview.com/collection.php?s_d=649"} +{"d:Title": "Jahsonic", "d:Description": "Brief consideration of the designer's work in context offered.", "topic": "Top/Arts/Design/Fashion/People/M/Margiela,_Martin", "url": "http://www.jahsonic.com/MartinMargiela.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the designer.", "topic": "Top/Arts/Design/Fashion/People/M/Margiela,_Martin", "url": "http://imdb.com/name/nm0546676/"} +{"d:Title": "Martin Margiela", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Margiela,_Martin", "url": "http://firstview.com/collection.php?s_d=956"} +{"d:Title": "Antonio Marras", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Marras,_Antonio", "url": "http://firstview.com/collection.php?s_d=123"} +{"d:Title": "J Maskrey", "d:Description": "Collections, accessories, and skin jewelry presented with celebrity client photos, profile, and stockists.", "topic": "Top/Arts/Design/Fashion/People/M/Maskrey,_J", "url": "http://www.jmaskrey.com/"} +{"d:Title": "J Maskrey", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Maskrey,_J", "url": "http://firstview.com/collection.php?s_d=658"} +{"d:Title": "Pierre Henri Mattout", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Mattout,_Pierre_Henri", "url": "http://firstview.com/collection.php?s_d=1772"} +{"d:Title": "Stella McCartney", "d:Description": "Biography and news with photographs of current and previous collections.", "topic": "Top/Arts/Design/Fashion/People/M/McCartney,_Stella", "url": "http://www.stellamccartney.com/"} +{"d:Title": "Proenza Schouler", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/McCollough,_Jack", "url": "http://firstview.com/collection.php?s_d=1186"} +{"d:Title": "Alexander McQueen", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/McQueen,_Alexander", "url": "http://firstview.com/collection.php?s_d=44"} +{"d:Title": "Sebastien Meunier", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Meunier,_Sebastien", "url": "http://firstview.com/collection.php?s_d=1766"} +{"d:Title": "Gene Meyer", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Meyer,_Gene", "url": "http://firstview.com/collection.php?s_d=527"} +{"d:Title": "B. Michael", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Michael,_B.", "url": "http://firstview.com/collection.php?s_d=150"} +{"d:Title": "Nicole Miller", "d:Description": "Features the current collection, online stores, and special section for brides.", "topic": "Top/Arts/Design/Fashion/People/M/Miller,_Nicole", "url": "http://www.nicolemiller.com/"} +{"d:Title": "Nicole Miller", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Miller,_Nicole", "url": "http://firstview.com/collection.php?s_d=1077"} +{"d:Title": "Antonio Miro", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Miro,_Antonio", "url": "http://firstview.com/collection.php?s_d=124"} +{"d:Title": "Badgley Mischka", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Mishka,_James", "url": "http://firstview.com/collection.php?s_d=153"} +{"d:Title": "Elegant Lifestyle: The Grand French Haute Couture Houses - Louis Feraud", "d:Description": "Announcement of the designer ascending the helm of the couture house founded in 1955.", "topic": "Top/Arts/Design/Fashion/People/M/Mispelaere,_Yvan", "url": "http://www.elegant-lifestyle.com/haute_couture_feraud.htm"} +{"d:Title": "Benoit Missolin", "d:Description": "Designer profile and collections galleries.", "topic": "Top/Arts/Design/Fashion/People/M/Missolin,_Benoit", "url": "http://www.benoitmissolin.com/"} +{"d:Title": "Angela Missoni", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Missoni,_Angela", "url": "http://firstview.com/collection.php?s_d=1018"} +{"d:Title": "Modern Japan", "d:Description": "Educational training and career track of the Japanese designer provided.", "topic": "Top/Arts/Design/Fashion/People/M/Miyake,_Issey", "url": "http://www.japan-zone.com/modern/miyake_issei.shtml"} +{"d:Title": "Isaac Mizrahi", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Mizrahi,_Isaac", "url": "http://firstview.com/collection.php?s_d=647"} +{"d:Title": "Blufin: Anna Molinari, Blumarine, Blugirl", "d:Description": "Collections galleries and press releases for each of her three collections provided.", "topic": "Top/Arts/Design/Fashion/People/M/Molinari,_Anna", "url": "http://www.blufin.it/"} +{"d:Title": "Blugirl", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Molinari,_Anna", "url": "http://firstview.com/collection.php?s_d=194"} +{"d:Title": "Blumarine", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Molinari,_Anna", "url": "http://firstview.com/collection.php?s_d=195"} +{"d:Title": "Frankie Morello", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Morello,_Frankie", "url": "http://firstview.com/collection.php?s_d=499"} +{"d:Title": "Modern Japan", "d:Description": "Details offered of her meeting with Coco Chanel and the fulfillment of her dream to make couture clothing.", "topic": "Top/Arts/Design/Fashion/People/M/Mori,_Hanae", "url": "http://www.japan-zone.com/modern/mori_hanae.shtml"} +{"d:Title": "Dominique Morlotti", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Morlotti,_Dominique", "url": "http://firstview.com/collection.php?s_d=1795"} +{"d:Title": "Hamish Morrow", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Morrow,_Hamish", "url": "http://firstview.com/collection.php?s_d=581"} +{"d:Title": "Krizia", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Morrow,_Hamish", "url": "http://firstview.com/collection.php?s_d=794"} +{"d:Title": "Roland Mouret", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Mouret,_Roland", "url": "http://firstview.com/collection.php?s_d=1252"} +{"d:Title": "Thierry Mugler", "d:Description": "Couturier offers collections flashback, answers questions, and details his involvement with fragrances development.", "topic": "Top/Arts/Design/Fashion/People/M/Mugler,_Thierry", "url": "http://www.mugler.com/"} +{"d:Title": "Jean Muir", "d:Description": "Press and biographical information of British fashion designer who opened her house in 1965.", "topic": "Top/Arts/Design/Fashion/People/M/Muir,_Jean", "url": "http://www.jeanmuir.info/"} +{"d:Title": "Jean Muir", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Muir,_Jean", "url": "http://firstview.com/collection.php?s_d=679"} +{"d:Title": "Pia Myrvold", "d:Description": "Collections and archive galleries and designer's work in other media presented.", "topic": "Top/Arts/Design/Fashion/People/M/Myrvold,_Pia", "url": "http://www.pia-myrvold.com/"} +{"d:Title": "Pia Myrvold", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/M/Myrvold,_Pia", "url": "http://firstview.com/collection.php?s_d=1162"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Brief filmography provided for the designer.", "topic": "Top/Arts/Design/Fashion/People/N/Norell,_Norman", "url": "http://www.imdb.com/name/nm0635261/"} +{"d:Title": "Fashion File", "d:Description": "Tim Banks profiles and presents video interview with the designer at his Spring 2002 presentation.", "topic": "Top/Arts/Design/Fashion/People/N/Noronha,_Warren", "url": "http://fashionfile.com/designers/WarrenNoronha.html"} +{"d:Title": "Nudie's Rodeo Tailor", "d:Description": "Biography, museums, and message board of the \"rhinestone tailor\".", "topic": "Top/Arts/Design/Fashion/People/N/Nudie", "url": "http://www.nudiesrodeotailor.com/"} +{"d:Title": "Jessica Ogden", "d:Description": "Current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/O/Ogden,_Jessica", "url": "http://firstview.com/collection.php?s_d=691"} +{"d:Title": "Todd Oldham Studio", "d:Description": "Home design project and commissions of the designer previewed as well as film project collaborations.", "topic": "Top/Arts/Design/Fashion/People/O/Oldham,_Todd", "url": "http://toddoldhamstudio.com/"} +{"d:Title": "Form and Content: The New York Times - Todd Oldham/Target", "d:Description": "Bonnie Schwartz details the designer's collaboration with Target nationwide store chain.", "topic": "Top/Arts/Design/Fashion/People/O/Oldham,_Todd", "url": "http://www.formandcontent.net/bonnie/online/nyt_todd/print.htm"} +{"d:Title": "Todd Oldham", "d:Description": "Collection archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/O/Oldham,_Todd", "url": "http://firstview.com/collection.php?s_d=1441"} +{"d:Title": "Maria Chen", "d:Description": "Current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/P/Pascual,_Maria_Chen", "url": "http://firstview.com/collection.php?s_d=934"} +{"d:Title": "Chloe", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/P/Philo,_Phoebe", "url": "http://firstview.com/collection.php?s_d=284"} +{"d:Title": "Bruno Pieters", "d:Description": "Antwerp Academy graduate presents press and venue information, biography, and Flash highlights from his womenswear collection.", "topic": "Top/Arts/Design/Fashion/People/P/Pieters,_Bruno", "url": "http://www.brunopieters.com/"} +{"d:Title": "Bruno Pieters", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/P/Pieters,_Bruno", "url": "http://firstview.com/collection.php?s_d=212"} +{"d:Title": "Zac Posen", "d:Description": "Designer profile and links to collection highlights provided in addition to retailer information.", "topic": "Top/Arts/Design/Fashion/People/P/Posen,_Zac", "url": "http://www.zacposen.com/"} +{"d:Title": "Zac Posen", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/P/Posen,_Zac", "url": "http://firstview.com/collection.php?s_d=1583"} +{"d:Title": "Forbes.com: Fashion's New Aristocrats", "d:Description": "Davide Dukcevich profiles the collection whose \"modern, quirky style has been perhaps the most hip and most copied in fashion in recent years\".", "topic": "Top/Arts/Design/Fashion/People/P/Prada,_Miuccia", "url": "http://www.forbes.com/2001/06/20/0620fashionintro_6.html"} +{"d:Title": "Miu Miu", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/P/Prada,_Miuccia", "url": "http://firstview.com/collection.php?s_d=1019"} +{"d:Title": "Prada", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/P/Prada,_Miuccia", "url": "http://firstview.com/collection.php?s_d=1177"} +{"d:Title": "Mary Quant: Official Site", "d:Description": "History of the collection, press, cosmetics, and stockist information provided.", "topic": "Top/Arts/Design/Fashion/People/Q/Quant,_Mary", "url": "http://www.maryquant.co.uk/"} +{"d:Title": "Paco Rabanne", "d:Description": "History of the label, profile of designer, and collections gallery.", "topic": "Top/Arts/Design/Fashion/People/R/Rabanne,_Paco", "url": "http://www.pacorabanne.com/"} +{"d:Title": "Paco Rabanne", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Rabanne,_Paco", "url": "http://firstview.com/collection.php?s_d=1115"} +{"d:Title": "Tracy Reese", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Reese,_Tracy", "url": "http://firstview.com/collection.php?s_d=1460"} +{"d:Title": "Zandra Rhodes", "d:Description": "Biography, images of women's and jewelry collections and interior and exterior designs presented.", "topic": "Top/Arts/Design/Fashion/People/R/Rhodes,_Zandra", "url": "http://www.zandrarhodes.com/"} +{"d:Title": "Zandra Rhodes", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Rhodes,_Zandra", "url": "http://firstview.com/collection.php?s_d=1587"} +{"d:Title": "Telegraph: Arts - Sculpture You Can Wear", "d:Description": "Richard Dorment reports on the inaugural exhibition at the Rhodes's newly opened Fashion and Textile Museum, which presents dresses donated by a host of top designers. Free registration required.", "topic": "Top/Arts/Design/Fashion/People/R/Rhodes,_Zandra", "url": "http://www.telegraph.co.uk/culture/art/3598693/Sculpture-you-can-wear.html"} +{"d:Title": "Cacharel", "d:Description": "Recent collections for the label by Suzanne Clements and Inacio Ribeiro, history, stockists, and wallpaper downloads available.", "topic": "Top/Arts/Design/Fashion/People/R/Ribeiro,_Inacio", "url": "http://www.cacharel.com/"} +{"d:Title": "Cacharel", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Ribeiro,_Inacio", "url": "http://firstview.com/collection.php?s_d=222"} +{"d:Title": "Clements Ribeiro", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Ribeiro,_Inacio", "url": "http://firstview.com/collection.php?s_d=305"} +{"d:Title": "John Richmond", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Richmond,_John", "url": "http://firstview.com/collection.php?s_d=712"} +{"d:Title": "Narciso Rodriguez", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Rodriguez,_Narciso", "url": "http://firstview.com/collection.php?s_d=1054"} +{"d:Title": "Moritz Rogosky", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Rogosky,_Moritz", "url": "http://firstview.com/collection.php?s_d=1767"} +{"d:Title": "Cynthia Rowley", "d:Description": "Current collection and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Rowley,_Cynthia", "url": "http://firstview.com/collection.php?s_d=338"} +{"d:Title": "Cynthia Rowley", "d:Description": "Accessories and collections, biography, stockists, and cosmetics line information.", "topic": "Top/Arts/Design/Fashion/People/R/Rowley,_Cynthia", "url": "http://www.cynthiarowley.com/"} +{"d:Title": "Lucire Feature: His \"Indoor\" Fashion Voice", "d:Description": "Designer career recounting with observation details from Chado Spring 2004 presentation authored by features\u2019 editor Phillip D. Johnson.", "topic": "Top/Arts/Design/Fashion/People/R/Rucci,_Ralph", "url": "http://www.lucire.com/2003/spring2004/1218fe0.htm"} +{"d:Title": "Lucire Feature: Chado Ralph Rucci", "d:Description": "Phillip D. Johnson details how the designer won over The New York Times\u2019 chief fashion critic, Cathy Horyn, with his Fall 2002 collection.", "topic": "Top/Arts/Design/Fashion/People/R/Rucci,_Ralph", "url": "http://www.lucire.com/2002/fall2002/0525fe0.shtml"} +{"d:Title": "Fashion File: Collections", "d:Description": "Brief introductions accompany video clips of seasonal haute couture and ready to wear presentations.", "topic": "Top/Arts/Design/Fashion/People/R/Rucci,_Ralph", "url": "http://www.fashionfile.com/designers/ChadoRalphRucci.html"} +{"d:Title": "Chado Ralph Rucci", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Rucci,_Ralph", "url": "http://firstview.com/collection.php?s_d=265"} +{"d:Title": "Sonia Rykiel", "d:Description": "Collections, accessories, press campaigns, and links to magazine editorials.", "topic": "Top/Arts/Design/Fashion/People/R/Rykiel,_Sonia", "url": "http://www.soniarykiel.com/"} +{"d:Title": "Sonia Rykiel", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/R/Rykiel,_Sonia", "url": "http://firstview.com/collection.php?s_d=1349"} +{"d:Title": "Elie Saab", "d:Description": "Flash image gallery of collections and archives with collection inspirations and biographical information.", "topic": "Top/Arts/Design/Fashion/People/S/Saab,_Elie", "url": "http://www.eliesaab.com/"} +{"d:Title": "Russel Onan Sage", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Sage,_Russell", "url": "http://firstview.com/collection.php?s_d=1268"} +{"d:Title": "BBC News: Yves Saint Laurent Shuts Its Doors", "d:Description": "The announcement that the YSL haute couture atelier will close after 40 years in operation includes the designer's sentiments in quotations and comes months after his announcement to sell the ready to wear division.", "topic": "Top/Arts/Design/Fashion/People/S/Saint_Laurent,_Yves", "url": "http://news.bbc.co.uk/1/hi/world/europe/2383729.stm"} +{"d:Title": "Jil Sander", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Sander,_Jil", "url": "http://firstview.com/collection.php?s_d=695"} +{"d:Title": "Lucire Feature: New York Fashion Week Spring 2004", "d:Description": "Phillip D. Johnson reviews her collection and tells us why she's poised to be a major player on the New York scene.", "topic": "Top/Arts/Design/Fashion/People/S/Sarafpour,_Behnaz", "url": "http://www.lucire.com/2003/spring2004/1102fe0.htm"} +{"d:Title": "Behnaz Sarafpour", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Sarafpour,_Behnaz", "url": "http://firstview.com/collection.php?s_d=172"} +{"d:Title": "Lucire: Marooned", "d:Description": "A look back at photographs from the Fall 2002 haute couture presentations with emphasis on the younger designers, Sathal among them, and their use of the color brown in the collections.", "topic": "Top/Arts/Design/Fashion/People/S/Sathal,_Fred", "url": "http://www.lucire.com/2002/fall2002/0120fe1.shtml"} +{"d:Title": "Fred Sathal", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Sathal,_Fred", "url": "http://firstview.com/collection.php?s_d=1742"} +{"d:Title": "Jonathan Saunders", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Saunders,_Jonathan", "url": "http://firstview.com/collection.php?s_d=720"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the designer.", "topic": "Top/Arts/Design/Fashion/People/S/Schiaparelli,_Elsa", "url": "http://imdb.com/name/nm0771277/"} +{"d:Title": "Slate: Aftershock - What Happened to the Provocative Elsa Schiaparelli?", "d:Description": "Josh Patner blindsides the \"is fashion art?\" question and scatters pieces throughout his review of \"Shocking! The Art and Fashion of Elsa Schiaparelli\" at the Philadelphia Museum of Art.", "topic": "Top/Arts/Design/Fashion/People/S/Schiaparelli,_Elsa", "url": "http://slate.com/id/2091431/"} +{"d:Title": "Telegraph: Arts - Chic Value", "d:Description": "Vicki Woods hails the designer as innovatrix and, along with Coco Chanel, \"one of the two great genius couturiers of the first half of the 20th century\". Free registration required.", "topic": "Top/Arts/Design/Fashion/People/S/Schiaparelli,_Elsa", "url": "http://www.telegraph.co.uk/culture/art/3605196/Chic-value.html"} +{"d:Title": "Philadelphia Museum of Art: Shocking! - The Art and Fashion of Elsa Schiaparelli", "d:Description": "Supplement to museum exhibition promotes her greater artistic significance with focus on her personal and professional relationships and lists her twelve commandments.", "topic": "Top/Arts/Design/Fashion/People/S/Schiaparelli,_Elsa", "url": "http://www.philamuseum.org/exhibitions/2004/64.html"} +{"d:Title": "Guardian Unlimited Books: Observer Review - Shocking!", "d:Description": "Peter Conrad recounts anecdotal details for his review of the Philadelphia Museum of Art's exhibition catalogue by curator Dilys E. Blum.", "topic": "Top/Arts/Design/Fashion/People/S/Schiaparelli,_Elsa", "url": "http://www.theguardian.com/theobserver/2003/nov/23/art"} +{"d:Title": "Mila Schon", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Schon,_Mila", "url": "http://firstview.com/collection.php?s_d=1004"} +{"d:Title": "Dirk Schonberger", "d:Description": "Current and archived men's and women's collections galleries and contact information provided.", "topic": "Top/Arts/Design/Fashion/People/S/Schonberger,_Dirk", "url": "http://www.dirkschonberger.com/"} +{"d:Title": "Dirk Schonberger", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Schonberger,_Dirk", "url": "http://firstview.com/collection.php?s_d=391"} +{"d:Title": "Jeremy Scott", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Scott,_Jeremy", "url": "http://firstview.com/collection.php?s_d=689"} +{"d:Title": "The Cut", "d:Description": "Designer profile offered in addition to runway photos.", "topic": "Top/Arts/Design/Fashion/People/S/Scott,_Jeremy", "url": "http://nymag.com/thecut/fashion/designers/jeremy-scott/"} +{"d:Title": "Junko Shimada", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Shimada,_Junko", "url": "http://firstview.com/collection.php?s_d=738"} +{"d:Title": "Junko Shimada", "d:Description": "Flash collection gallery and archive, links to her secondary \"Junk\" line with stockist and contact information.", "topic": "Top/Arts/Design/Fashion/People/S/Shimada,_Junko", "url": "http://junkoshimada.pagesperso-orange.fr/"} +{"d:Title": "Denis Simachev", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Simachev,_Denis", "url": "http://firstview.com/collection.php?s_d=2006"} +{"d:Title": "Raf Simons", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Simons,_Raf", "url": "http://firstview.com/collection.php?s_d=1193"} +{"d:Title": "Index Magazine", "d:Description": "Klaus Biesenbach interviews the designer who distinguishes Paris life from Berlin life and reaffirms his composure and intensity even after three stellarly reviewed collections for the Dior Homme label.", "topic": "Top/Arts/Design/Fashion/People/S/Slimane,_Hedi", "url": "http://www.indexmagazine.com/interviews/hedi_slimane.shtml"} +{"d:Title": "Fashionlines: Exclusive Interview", "d:Description": "Timothy Nagy hears the designer renounce inspiration for intuition yet won't deviate from some romantic collection inference that Slimane neither confirms nor denies.", "topic": "Top/Arts/Design/Fashion/People/S/Slimane,_Hedi", "url": "http://www.fashionlines.com/2003/nov/fashionHedi.php"} +{"d:Title": "Time Magazine Europe: Designing Men", "d:Description": "Lauren Goldstein profiles Nicolas Ghesqui\u00e8re at Balenciaga and Hedi Slimane at Dior Homme.", "topic": "Top/Arts/Design/Fashion/People/S/Slimane,_Hedi", "url": "http://www.time.com/time/europe/magazine/printout/0,13155,901020422-451042,00.html"} +{"d:Title": "Dior Homme", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Slimane,_Hedi", "url": "http://firstview.com/collection.php?s_d=2829"} +{"d:Title": "Francesco Smalto", "d:Description": "Menswear galleries presented with label profile and stockist information.", "topic": "Top/Arts/Design/Fashion/People/S/Smalto,_Francesco", "url": "http://www.smalto.com/"} +{"d:Title": "Francesco Smalto", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Smalto,_Francesco", "url": "http://firstview.com/collection.php?s_d=1756"} +{"d:Title": "Paul Smith", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Smith,_Paul", "url": "http://firstview.com/collection.php?s_d=1139"} +{"d:Title": "Wink", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Smith,_Wynn", "url": "http://firstview.com/collection.php?s_d=1560"} +{"d:Title": "Viktor&Rolf", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Snoeren,_Rolf", "url": "http://firstview.com/collection.php?s_d=1526"} +{"d:Title": "Time Magazine Europe: Geek Chic", "d:Description": "Lauren Goldstein details the path to success for the design team after their Paris Spring 2004 presentation.", "topic": "Top/Arts/Design/Fashion/People/S/Snoeren,_Rolf", "url": "http://www.time.com/time/europe/magazine/article/0,13005,901031020-517716,00.html"} +{"d:Title": "Strenesse", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Strehle,_Gabrielle", "url": "http://firstview.com/collection.php?s_d=1373"} +{"d:Title": "Jill Stuart", "d:Description": "Designer's collection gallery and archives, ad campaigns, profile, and stockist information.", "topic": "Top/Arts/Design/Fashion/People/S/Stuart,_Jill", "url": "http://www.jillstuart.com/"} +{"d:Title": "Jill Stuart", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Stuart,_Jill", "url": "http://firstview.com/collection.php?s_d=696"} +{"d:Title": "New York Magazine: The Cut", "d:Description": "Designer profile offered in addition to runway photos.", "topic": "Top/Arts/Design/Fashion/People/S/Stuart,_Jill", "url": "http://nymag.com/thecut/fashion/designers/jill-stuart/"} +{"d:Title": "Imitation of Christ", "d:Description": "Most current menswear collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Subkoff,_Tara", "url": "http://firstview.com/collection.php?s_d=631"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the actress turned designer presented.", "topic": "Top/Arts/Design/Fashion/People/S/Subkoff,_Tara", "url": "http://www.imdb.com/name/nm0836964/"} +{"d:Title": "Pauric Sweeney", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Sweeney,_Pauric", "url": "http://firstview.com/collection.php?s_d=2290"} +{"d:Title": "Katarzyna Szczotarska", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/S/Szczotarska,_Katarzyna", "url": "http://firstview.com/collection.php?s_d=756"} +{"d:Title": "BBC Desert Island Discs: Alexandra Shulman", "d:Description": "Alexandra Shulman, editor of British Vogue, is interviewed by Kirsty Young.", "topic": "Top/Arts/Design/Fashion/People/Stylists_and_Editors", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/d4eab3c7#b02x5j67"} +{"d:Title": "New York Movies: The Summer of Her Discontent", "d:Description": "Kevin Gray pens a softened profile of the editor, in humanizing marked contrast to her purported \"icy\" demeanor, amid tabloid style media reportage of her personal affairs.", "topic": "Top/Arts/Design/Fashion/People/Stylists_and_Editors", "url": "http://nymag.com/nymetro/news/people/features/1460/"} +{"d:Title": "Undercover", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/T/Takahashi,_Jun", "url": "http://firstview.com/collection.php?s_d=1838"} +{"d:Title": "Infomat: Who's Who?", "d:Description": "Profile and label history provided for the New York designer.", "topic": "Top/Arts/Design/Fashion/People/T/Toi,_Zang", "url": "http://www.infomat.com/whoswho/zangtoi.html"} +{"d:Title": "Philip Treacy", "d:Description": "London milliner. Galleries of haute couture and ready to wear hat collections and handbags.", "topic": "Top/Arts/Design/Fashion/People/T/Treacy,_Philip", "url": "http://www.philiptreacy.co.uk/"} +{"d:Title": "Philip Treacy", "d:Description": "Most current hat collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/T/Treacy,_Philip", "url": "http://firstview.com/collection.php?s_d=1159"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Design/Fashion/People/T/Trigere,_Pauline", "url": "http://www.imdb.com/name/nm0872738/"} +{"d:Title": "Emanuel Ungaro", "d:Description": "Designer biography and list of work for film industry. Flash photo gallery offers detail shots and a short description for each look.", "topic": "Top/Arts/Design/Fashion/People/U/Ungaro,_Emanuel", "url": "http://www.ungaro.com/"} +{"d:Title": "Valentino", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/V/Valentino", "url": "http://firstview.com/collection.php?s_d=1496"} +{"d:Title": "Wikipedia", "d:Description": "Article details the designer's efforts to aid the French Resistance during the second World War.", "topic": "Top/Arts/Design/Fashion/People/V/Valois,_Rose", "url": "http://en.wikipedia.org/wiki/Vera_Leigh"} +{"d:Title": "Spartacus: Vera Leigh", "d:Description": "Profile provided of the milliner who died in the Nazi Concentration Camp at Natzweiler.", "topic": "Top/Arts/Design/Fashion/People/V/Valois,_Rose", "url": "http://spartacus-educational.com/SOEleigh.htm"} +{"d:Title": "Carmen Marc Valvo", "d:Description": "Celebrity and runway galleries offered in addition to stockist and contact information.", "topic": "Top/Arts/Design/Fashion/People/V/Valvo,_Carmen_Marc", "url": "http://www.carmenmarcvalvo.com/"} +{"d:Title": "Walter Van Beirendonck", "d:Description": "Collections gallery and archives, biography, and stockist information offered with a publications guide.", "topic": "Top/Arts/Design/Fashion/People/V/Van_Beirendonck,_Walter", "url": "http://www.waltervanbeirendonck.com/"} +{"d:Title": "Walter Van Beirendonck", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/V/Van_Beirendonck,_Walter", "url": "http://firstview.com/collection.php?s_d=1544"} +{"d:Title": "Dries van Noten: Press Site", "d:Description": "Features galleries of recent men's and women's presentations and venue information.", "topic": "Top/Arts/Design/Fashion/People/V/Van_Noten,_Dries", "url": "http://www.driesvannoten.be/"} +{"d:Title": "Istante", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/V/Versace,_Donatella", "url": "http://firstview.com/collection.php?s_d=652"} +{"d:Title": "Versace", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/V/Versace,_Donatella", "url": "http://firstview.com/collection.php?s_d=1678"} +{"d:Title": "Gianni Versace", "d:Description": "Ad campaign, women's and men's line of clothing, accessories, and retail locations.", "topic": "Top/Arts/Design/Fashion/People/V/Versace,_Gianni", "url": "http://www.versace.com/"} +{"d:Title": "The Made in Italy Online Tribute to Gianni Versace", "d:Description": "Reflections on the late designer by Carla Fendi, Nicola Trussardi, and interactive respondents. Biography and news and the focus is on reaction from Rome.", "topic": "Top/Arts/Design/Fashion/People/V/Versace,_Gianni", "url": "http://www.made-in-italy.com/tribute/versace/"} +{"d:Title": "Madeleine Vionnet: Oh to Be Worthy", "d:Description": "M Faddis profiles the designer and her shop in the Place Vendome of Paris.", "topic": "Top/Arts/Design/Fashion/People/V/Vionnet,_Madeleine", "url": "http://www.ac.aup.fr/ggilbert/contentpages/Vionnet.html"} +{"d:Title": "Diane von Furstenberg", "d:Description": "Virtual go behind the studio scenes, browse the collections, read DVF's journal entries.", "topic": "Top/Arts/Design/Fashion/People/V/Von_Furstenberg,_Diane", "url": "http://www.dvf.com/"} +{"d:Title": "Honeymoons/Romantic Getaways: Exclusive Interview", "d:Description": "Susan Breslow Sardone sits down with the designer famous for her \"attitude is everything\" proclamation to talk about her recent wedding.", "topic": "Top/Arts/Design/Fashion/People/V/Von_Furstenberg,_Diane", "url": "http://honeymoons.about.com/library/weekly/aa081301.htm"} +{"d:Title": "Diane von Furstenberg", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/V/Von_Furstenberg,_Diane", "url": "http://firstview.com/collection.php?s_d=383"} +{"d:Title": "Fashion File", "d:Description": "Four video clips from separate collections by the designer during his tenure at Jil Sander.", "topic": "Top/Arts/Design/Fashion/People/V/Vukmirovic,_Milan", "url": "http://fashionfile.com/designers/JilSander.html"} +{"d:Title": "Karen Walker", "d:Description": "Profile, photos of collection and archives, stockists, and press for this New Zealand designer who also shows in London.", "topic": "Top/Arts/Design/Fashion/People/W/Walker,_Karen", "url": "http://www.karenwalker.com/"} +{"d:Title": "Karen Walker", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/W/Walker,_Karen", "url": "http://firstview.com/collection.php?s_d=751"} +{"d:Title": "Vera Wang", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/W/Wang,_Vera", "url": "http://firstview.com/collection.php?s_d=1506"} +{"d:Title": "Fashionlines: A Personal Perspective", "d:Description": "Christine Suppes runs down Westwood's design directions and popular influences from her labels' beginnings.", "topic": "Top/Arts/Design/Fashion/People/W/Westwood,_Vivienne", "url": "http://www.fashionlines.com/2004/july/fashionWestwood.php"} +{"d:Title": "Victoria and Albert Museum", "d:Description": "Introduction to Claire Wilcox curated career retrospective exhibition highlights quotations and links to interactive features.", "topic": "Top/Arts/Design/Fashion/People/W/Westwood,_Vivienne", "url": "http://www.vam.ac.uk/vastatic/microsites/1231_vivienne_westwood/"} +{"d:Title": "Capucci", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/W/Willhelm,_Bernhard", "url": "http://firstview.com/collection.php?s_d=234"} +{"d:Title": "Matthew Williamson", "d:Description": "Designer's official website featuring key looks from his collections and stockist information.", "topic": "Top/Arts/Design/Fashion/People/W/Williamson,_Matthew", "url": "http://www.matthewwilliamson.com/"} +{"d:Title": "Matthew Williamson", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/W/Williamson,_Matthew", "url": "http://firstview.com/collection.php?s_d=969"} +{"d:Title": "Yohji Yamamoto", "d:Description": "Links to galleries and information on the several different lines for both men and women.", "topic": "Top/Arts/Design/Fashion/People/Y/Yamamoto,_Yohji", "url": "http://www.yohjiyamamoto.co.jp/"} +{"d:Title": "Modern Japan", "d:Description": "Profile of the only Japanese designer to receive the award of French Chevalier de l'Ordre des Arts et Lettres.", "topic": "Top/Arts/Design/Fashion/People/Y/Yamamoto,_Yohji", "url": "http://www.japan-zone.com/modern/yamamoto_yohji.shtml"} +{"d:Title": "Valentin Yudashkin", "d:Description": "Flash galleries of the Russian designer's collections with textiles and collaboration information.", "topic": "Top/Arts/Design/Fashion/People/Y/Yudashkin,_Valentin", "url": "http://www.yudashkin.com/"} +{"d:Title": "Valentin Yudashkin", "d:Description": "Most current collection gallery and archives listed at firstVIEW.", "topic": "Top/Arts/Design/Fashion/People/Y/Yudashkin,_Valentin", "url": "http://firstview.com/collection.php?s_d=1495"} +{"d:Title": "Ermenegildo Zegna", "d:Description": "Menswear collections, made-to-measure information, stockists, accessories, and profile provided for the label.", "topic": "Top/Arts/Design/Fashion/People/Z/Zegna,_Ermenegildo", "url": "http://www.zegna.com/"} +{"d:Title": "The Bag Blog", "d:Description": "All about bags, pocketbooks, and purses.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.thebagblog.com/"} +{"d:Title": "I Want - I Got", "d:Description": "Reviewing clothes and products.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://iwantigot.geekigirl.com/"} +{"d:Title": "Diane, a Shaded View on Fashion", "d:Description": "Reviews and fashion news.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.ashadedviewonfashion.com/"} +{"d:Title": "EB Rue", "d:Description": "Sharing fashion and makeup finds.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.ebrue.blogspot.com/"} +{"d:Title": "Hypebeast", "d:Description": "News on sneakers, fashion, and trends.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.hypebeast.com/"} +{"d:Title": "Style Bubble", "d:Description": "Musings, thoughts, takes, observations on the joys and trials and tribulations on the art of fashion/style.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://stylebubble.typepad.com/"} +{"d:Title": "My Fashion Life", "d:Description": "News and commentary about the fashion world.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.myfashionlife.com/"} +{"d:Title": "Clothes-Pin", "d:Description": "Highlighting contemporary, emerging, and independent designers of clothing and accessories.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.clothes-pin.blogspot.com/"} +{"d:Title": "Cult of Couture", "d:Description": "Fashion news, photographs and articles.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://cultofcouture.blogspot.com/"} +{"d:Title": "Fasshonaburu", "d:Description": "Writing about fashion, online shopping, the latest sales and designers, and the occasional tiny animal accessory.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.fasshonaburu.com/"} +{"d:Title": "Fashion Week News", "d:Description": "News and information regarding various fashion weeks around the world.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.fashionweeknews.com/"} +{"d:Title": "Cool T-Shirt Designs", "d:Description": "Reviews artistic t-shirts.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://cooltshirtdesigns.wordpress.com/"} +{"d:Title": "Spork Fashion", "d:Description": "Devoted to reviews of clothing, shoes, and accessories.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.sporkfashion.com/"} +{"d:Title": "Painfully Hip", "d:Description": "Fashion forward finds for the weak of wallet.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.painfullyhip.com/"} +{"d:Title": "Acabado Imperfecto", "d:Description": "Discussing the beauty of imperfection. All about fashion, handmade crafts and design, textiles, and techniques.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://sewingwords.blogspot.com/"} +{"d:Title": "The Fashionisto", "d:Description": "The latest in menswear collections and sales.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://thefashionisto.com/"} +{"d:Title": "PurseBlog", "d:Description": "Daily reviews and recommendations of designer handbags and purses.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.purseblog.com/"} +{"d:Title": "Smart", "d:Description": "Helping you incorporate the best items from each month's fashion magazines into your wardrobe.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://theovereducatedvalet.blogspot.com/"} +{"d:Title": "FashionHippo", "d:Description": "Presents styles of the season for every budget. Trends for urbanistas. San Francisco based.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.fashionhippo.com/"} +{"d:Title": "Shining Trends", "d:Description": "Putting together looks.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.shiningtrends.com/"} +{"d:Title": "Dressed and Pressed", "d:Description": "Thoughts and comment on fashion and individual style.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://dressedandpressed.blogspot.com/"} +{"d:Title": "Glam Boulevard", "d:Description": "Weblog about fashion news, faux pas, trend spotting and \u201cit\u201d products, with a dose of industry gossip thrown in.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.glamboulevard.com/"} +{"d:Title": "Fashionista", "d:Description": "Weblog-based site on favourite fashion trends, opinions, clothes, photos, style, celebrities, industry gossip, and models.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.fashionista.com/"} +{"d:Title": "Clothing Wire", "d:Description": "Blog covering apparel news, with a particular emphasis on T-shirts, plus merchandise releases and discounts.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://clothingwire.com/"} +{"d:Title": "I Magazine", "d:Description": "Blog-based site focused on indie fashion, music and arts, all around the world.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://imagz.blogspot.com/"} +{"d:Title": "Laguna Beach Bikini", "d:Description": "Blog dealing with what women on the street around the world are wearing.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.lagunabeachbikini.com/"} +{"d:Title": "Runway2Reality", "d:Description": "Philippines-based blog covering fashion and catwalk news.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.runway2reality.com/"} +{"d:Title": "Ozonweb", "d:Description": "Blog counterpart of Ozon magazine, on urban youth culture, modern fashion, art and music.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.ozonweb.com/"} +{"d:Title": "The Beauty Girl", "d:Description": "Providing fashion and style news, as well as how-to beauty videos.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.thebeautygirl.com/"} +{"d:Title": "Bits and Bobbins", "d:Description": "Making something out of nothing.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://bitsandbobbins.com/"} +{"d:Title": "Flic Manning", "d:Description": "Personal blog with a fashion theme.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://flicmanning.com/"} +{"d:Title": "Pop Sugar: Fashion", "d:Description": "Musings about clothes and accessories.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.popsugar.com/fashion/"} +{"d:Title": "Trend Hunter: Fashion", "d:Description": "Digest of fashion trends, modern style, and cult couture.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.trendhunter.com/fashion"} +{"d:Title": "Style Eyes Ethical Fashion Blog", "d:Description": "An ordinary girl (with a love of clothes) and her ordinary life.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://ethicalfashionblog.com/"} +{"d:Title": "Amaliah", "d:Description": "Curated modest fashion for women.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "https://www.amaliah.co.uk/"} +{"d:Title": "Glamour - Fashion", "d:Description": "Glamour editor and self-proclaimed fashion junkie writes about style and fashion finds.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.glamour.com/fashion"} +{"d:Title": "WT VOX", "d:Description": "Fashion news, innovation and wearable technology.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "https://wtvox.com/"} +{"d:Title": "Pursed Lips", "d:Description": "Fashion trends from an individualist perspective.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.pursedlips.net/"} +{"d:Title": "JustMax", "d:Description": "Dallas, Texas based fashion blog and shopping guide. Site by Maxine Trowbridge.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.justmax.com/"} +{"d:Title": "A Dress A Day", "d:Description": "A blog about dresses by Erin McKean, founder, Wordnik. Lexicographer.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "https://dressaday.com/"} +{"d:Title": "Hide Your Arms", "d:Description": "Blog featuring T-shirts, hoodies, and news from the independent clothing industry.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://hideyourarms.com/"} +{"d:Title": "ModlyChic", "d:Description": "Personal style blog with helpful fashion tips. Katy Rose, in Chicago, Illinois.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://modlychic.com/"} +{"d:Title": "Little Tin Soldier", "d:Description": "A modern tale of art meets girl. Fashion and lifestyle blog.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://littletinsoldier.com/"} +{"d:Title": "Blonde Bedhead", "d:Description": "Andrea writes about fashion and style from the midwest, US.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.blondebedhead.com/"} +{"d:Title": "Re-Mix-Her", "d:Description": "Caitlin Wilson, personal style and beauty blog from Omaha, US.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.re-mix-her.com/"} +{"d:Title": "Beauty Mark Lady", "d:Description": "Katerina Vysokovskaya's personal style blog. New York, US.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.beautymarklady.com/"} +{"d:Title": "Fashion Foie Gras", "d:Description": "Journalist in London, UK, chasing fashion, food and travel around the globe.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.fashionfoiegras.com/"} +{"d:Title": "Real Life Runway", "d:Description": "Style and fashion advice from Canadian fashion blogger, Sarah Francis.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.realliferunway.com/"} +{"d:Title": "Pretty Luscious Things", "d:Description": "Cardiff, Wales, based fashion, beauty and lifestyle blog.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.prettylusciousthings.com/"} +{"d:Title": "CurvEnvy", "d:Description": "A fashion blog dedicated towards positive self perception and self-acceptance. Sandee Joseph, New York, NY.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.curvenvy.com/"} +{"d:Title": "Inspirations&Celebrations", "d:Description": "Contemporary upbeat lifestyle website featuring fashion and style trends, health and beauty tips. California.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://inspirationsandcelebrations.net/"} +{"d:Title": "WithLoveGabrielle.com", "d:Description": "Canadian lifestyle and fashion guide. Marta Tryshak from Toronto, Ontario.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://withlovegabrielle.com/"} +{"d:Title": "The Fabulous Geek", "d:Description": "A fashion blog from R.A. Cruz in Manila, Philippines.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://thefabulousgeek.blogspot.com/"} +{"d:Title": "Style + Life + Fashion", "d:Description": "By Kimberley West on air host and stylist.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.stylelifefashion.com/"} +{"d:Title": "GlamorChic.com", "d:Description": "Manuela, a Canadian fashion designer from Toronto, writes about trends in fashion in a glamorous and chic way.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://glamorchic.com/"} +{"d:Title": "Sprinkles of Style", "d:Description": "Site from Layla, fashion editor. Based in the UK.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://sprinklesofstyle.co.uk/"} +{"d:Title": "Michael 84", "d:Description": "Men's fashion and lifestyle blog with style guide and fashion buying tips. Michael Adams, Newcastle, UK.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.michael84.co.uk/"} +{"d:Title": "Fashionista-Chicago", "d:Description": "Fashionable style guide for Chicago, Illinois, US.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://fashionista-chicago.com/"} +{"d:Title": "Second Floor Flat", "d:Description": "A fashion and lifestyle blog written by, Robin Reetz, in the fashion industry. Brooklyn, NY.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://secondfloorflat.com/"} +{"d:Title": "Clochet", "d:Description": "A personal fashion blog, with daily outfits, wish lists and street style shots. By Izortze Setien.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.clochet.com/"} +{"d:Title": "PS Lily Boutique", "d:Description": "A fashion blog based in Los Angeles. By Lily S.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.pslilyboutique.com/"} +{"d:Title": "XX Chromosomes", "d:Description": "A blog about fashion, movies, design, books, traveling and San Francisco.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.xxchromosomes.com/"} +{"d:Title": "AA Kinal", "d:Description": "Editorial style posts based on fashion discoveries and thoughts on style, art, design, vintage architecture, travel. Adrianna Kinal, Los Angeles, US.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://aakinal.com/"} +{"d:Title": "Purely Me by Denina Martin", "d:Description": "Style blog about fashion, travel, optimism and life.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://deninamartin.com/"} +{"d:Title": "Break My Style", "d:Description": "Fashion blogger from the Philippines, Laureen.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.breakmystyle.com/"} +{"d:Title": "To Hell in a Handbag", "d:Description": "His and her lifestyle blog with Rachel Olivia, a stylist and online boutique owner in the Southern US.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://tohellinahandbag.net/"} +{"d:Title": "LikelyYou", "d:Description": "Online fashion and style magazine by Alina.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://likelyyou.com/"} +{"d:Title": "MyFavLooks", "d:Description": "A community featuring posts about clothes, shoes, and accessories, as well as fashion and beauty tips.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.myfavlooks.com/"} +{"d:Title": "Lolita", "d:Description": "Katarina Jeremic, art director living in Stockholm, Sweden. Food, fashion photography, graphic design, interior art and inspiration.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.lolitas.se/"} +{"d:Title": "VieCouture", "d:Description": "A blend of daily casuals to the catwalk extravagant.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.viecouture.com/"} +{"d:Title": "Mustings from Marilyn", "d:Description": "Random thoughts about vintage fashion and eco-friendly living from a practical fashionista.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://blog.finnfemme.com/"} +{"d:Title": "Hijabtrendz", "d:Description": "Fashion trends, tips and advice for the modern Muslim woman. Hijab fashion and lifestyle blog.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.hijabtrendz.com/"} +{"d:Title": "The Fashion Police", "d:Description": "A look at the good, bad and downright ugly side of the world of fashion.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.thefashionpolice.net/"} +{"d:Title": "Timo Rissanen", "d:Description": "Designing and making clothes without wasting fabric, and commentary on the state of fashion design practice. Research, teaching and creative practice across fashion, art and sustainability.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "https://timorissanen.com/"} +{"d:Title": "Fashionistas Talk", "d:Description": "Lida Mankovskaya, lawyer and fashion blogger. San Francisco, California.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.fashionistatalk.com/"} +{"d:Title": "Closet of Style", "d:Description": "Catherine Horgan, personal stylist. Style and wardrobe expertise.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.mystylefiles.com/"} +{"d:Title": "Chic Steals", "d:Description": "Weekly postings and tips on how to get that designer look for less, on sale, or by DIY.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://www.chic-steals.com/"} +{"d:Title": "The Second Breakfast", "d:Description": "A blog about personal style: fashion, food and self-acceptance. Midwest, US.", "topic": "Top/Arts/Design/Fashion/Weblogs", "url": "http://thesecondbreakfast.blogspot.com/"} +{"d:Title": "Face Hunter", "d:Description": "Eye candy for the style hungry. Pictures from Paris.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://facehunter.blogspot.com/"} +{"d:Title": "Hel Looks", "d:Description": "Selected street fashion from Helsinki, Finland.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://www.hel-looks.com/"} +{"d:Title": "The Sartorialist", "d:Description": "Sharing pictures and comments on men's and women's fashion.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://thesartorialist.blogspot.com/"} +{"d:Title": "Istanbul Street Style", "d:Description": "Collection of street photographs celebrating avant-garde style and individualism flourishing in modern Istanbul.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://istanbulstreetstyle.blogspot.com/"} +{"d:Title": "Trendycrew", "d:Description": "Street fashion pictures from Barcelona, Spain.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://www.trendycrew.com/"} +{"d:Title": "La Carmina", "d:Description": "All about wild Japanese street fashion, with a focus on Gothic Lolita.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://www.carmenyuen.com/"} +{"d:Title": "Tokyo Street Style", "d:Description": "Weekly fashion marketing research by Japan Fashion Association.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://www.style-arena.jp/en/"} +{"d:Title": "Stil in Berlin", "d:Description": "Explores the style of Berlin.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://www.stilinberlin.de/"} +{"d:Title": "The Emporialist", "d:Description": "A Romanian street fashion magazine.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://theemporialist.blogspot.ro/"} +{"d:Title": "Style Scanner", "d:Description": "Glasgow street fashion and style blog. People art and music. By Marina Maclean.", "topic": "Top/Arts/Design/Fashion/Weblogs/Street_Fashion", "url": "http://stylescanner.blogspot.com/"} +{"d:Title": "Verner Panton", "d:Description": "Tribute to the 20th century designer of furniture, lighting, wall art and fabrics. He is known for designing inflatable furniture and single molded plastic chairs. Includes biography and details of his works with photographs.", "topic": "Top/Arts/Design/Furniture", "url": "http://www.vernerpanton.com/"} +{"d:Title": "Funfurde", "d:Description": "Reviewing furniture design.", "topic": "Top/Arts/Design/Furniture", "url": "http://funfurde.blogspot.com/"} +{"d:Title": "Core77", "d:Description": "Magazine and resource offering calendar of events, firm listings, jobs section, forums, articles and competitions.", "topic": "Top/Arts/Design/Industrial", "url": "http://www.core77.com/"} +{"d:Title": "Stanford Product Design", "d:Description": "The program at Stanford University that teaches art to engineers and engineering to artists, resulting in well rounded product designers.", "topic": "Top/Arts/Design/Industrial", "url": "http://design.stanford.edu/PD/"} +{"d:Title": "Design Sojourn", "d:Description": "Features strategic industrial design advice: how to design clever products and master the business of design.", "topic": "Top/Arts/Design/Industrial", "url": "http://www.designsojourn.com/"} +{"d:Title": "Marrazzo, Massimo", "d:Description": "Portfolio consisting of furniture and architecture projects, industrial design and innovative high tech products.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.biodomotica.com/"} +{"d:Title": "Carter, Brian", "d:Description": "Presents his award winning product, interface, and web design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.eniya.com/"} +{"d:Title": "Andriani, Pierre-Paul", "d:Description": "Design, Corsica, Ducati Ring, 748 Limited.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.ppandriani.com/"} +{"d:Title": "Chavan, Sameer", "d:Description": "Portfolio of an industrial designer/consultant.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.sameerchavan.com/"} +{"d:Title": "Fifield, Tobias", "d:Description": "Freelance designer based in San Francisco, California, United States. Portfolio and resume.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.coroflot.com/tobias_fifi"} +{"d:Title": "Golui, Sanbid", "d:Description": "Designer based in New Delhi, India. Downloadable resume and personal profile, as well as projects in industrial, web, graphic and multimedia design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://sanbid.tripod.com/"} +{"d:Title": "Ng, Martin", "d:Description": "Graduate of the University of Strathclyde, Glasgow. Site displaying design portfolios as well as examples of professional web design projects", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.angelfire.com/retro/martinng/"} +{"d:Title": "Wiley, Paul", "d:Description": "Illustrations and explorations in industrial design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.paulwiley.com/"} +{"d:Title": "Fejack", "d:Description": "Belgian industrial designer with MA in Three Dimensional Design from the University of Kent, UK.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.fejack.net/"} +{"d:Title": "Rampton, Kurt", "d:Description": "Online design portfolio featuring graphic design, product design, and web design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://kurtrampton.com/"} +{"d:Title": "Cherukuvada, Himasunder", "d:Description": "Australian product designer and engineer. Portfolio includes creative design works, new concepts, manufacturing technologies, CAD/CAM/CAE modelling, and sketching.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.himasunder.com/"} +{"d:Title": "Madden, Philip", "d:Description": "Portfolio of Philip Madden, Graduate of the University of Cincinnati's Industrial Design program. Contains consumer products, furniture, and soft goods.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.philipmadden.com/"} +{"d:Title": "Bello, Andrea", "d:Description": "Italian designer specializing in consumer products, accessories and home furniture.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.disegnobello.com/"} +{"d:Title": "Ball, John Greg", "d:Description": "Portfolio of Canadian Industrial Designer displaying a wide range of content, varying from art to product design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://johngregball.com/"} +{"d:Title": "David, Lieven", "d:Description": "A young, Belgium based Industrial Designer.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://users.telenet.be/lieven.david/"} +{"d:Title": "Sproule, Stuart", "d:Description": "Canadian Industrial Designer featuring conceptually motivated product designs and installations.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.stuartsproule.com/"} +{"d:Title": "De La Parra, Araceli", "d:Description": "Online Industrial Design portfolio featuring consumer product concepts.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.4-id.org/"} +{"d:Title": "Boles, Tyson", "d:Description": "An Industrial Designer/Artist whose work is a mixture of product design and artistic exploration of media.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.tysonboles.com/"} +{"d:Title": "Turitsin, Denis", "d:Description": "Online portfolio featuring industrial, graphic, and web design content.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://designer.perm.ru/"} +{"d:Title": "Mutlu, Bilge", "d:Description": "Researcher in the design, development, and evaluation of socially interactive robots. Includes links to research projects and personal online content.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.bilgemutlu.com/"} +{"d:Title": "Eike, Mark", "d:Description": "A degreed industrial and mechanical design consultant with 20+ years of product design/development experience.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.eikedesign.com/"} +{"d:Title": "Schurer, Daniel", "d:Description": "Product designer based in Ho Chi Minh City, Vietnam.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.schuerer.com/"} +{"d:Title": "Weber, Florence", "d:Description": "Young belgian industrial designer, who recently graduated from brussels design school.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.floweber.com/"} +{"d:Title": "Tofilo, Joanna", "d:Description": "Industrial designer, scenography artist and jewellery maker in Warsaw, Poland.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.joannatofilo.com/"} +{"d:Title": "Ortiz Ferrer, Tomas", "d:Description": "Online portfolio featuring product, furniture, and packaging design of an Italy based designer.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.ortizferrer.com/"} +{"d:Title": "Gopp, Lukas", "d:Description": "Lukas Gopp is a young austrian product designer. Online portfolio features consumer products and graphic design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://lukasgopp.com/"} +{"d:Title": "Miranda, Rafael Simoes", "d:Description": "Personal website and industrial design portfolio including furniture, eletronics, and accessories.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.rsmiranda.com/"} +{"d:Title": "Jung, Robert", "d:Description": "Portfolio and personal homepage of Robert Jung, an industrial design-student at the Tu-Dresden.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.jungdesigner.com/"} +{"d:Title": "Birgisson, Hrafnkell", "d:Description": "The Icelandic designer presents a collection of his recent designs. Hrafnkell lives and works in Berlin and Reykjavik.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.hrafnkell.com/"} +{"d:Title": "FlashPoint Design", "d:Description": "Seamless product development from conceptualization to tooling and beyond. Extensive portfolio featuring roto and injection molded, and vacuum formed products, as well as, websites and graphics.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.flashdesigntool.com/"} +{"d:Title": "Tomasz, Maros", "d:Description": "Online portfolio of an industrial designer.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.marosdesign.com/"} +{"d:Title": "Shaw, Andy", "d:Description": "Online portfolio featuring transportation design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://andy3d.blogspot.com/"} +{"d:Title": "Schertenleib&Streun", "d:Description": "Vincent Schertenleib and Sergio Streun, two young swiss industrial designers.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.366cm.com/"} +{"d:Title": "Hirzel, Jakob", "d:Description": "Portfolio featuring car design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.jakobhirzel.de/"} +{"d:Title": "Sit, Farrah", "d:Description": "Insightful industrial design, tabletop and web design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.farrahsit.com/"} +{"d:Title": "Taylor-Kerman, Debbie", "d:Description": "Debbie Taylor-Kerman, artist and designer, licenses her art for products ranging from dinnerware to greeting cards.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.debbietaylorkerman.com/"} +{"d:Title": "Charpin, Pierre", "d:Description": "Online portfolio of furniture and industrial design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.pierrecharpin.com/"} +{"d:Title": "Desquiens, David", "d:Description": "Portfolio of young French industrial designer; specialist in packaging design. Currently looking for a job in the U.S.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.globules.fr/"} +{"d:Title": "Streng, Christopher", "d:Description": "Online portfolio featuring Interior Design, Architecture, Product Design, Furniture Design , and Branding.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://strengdesign.net/"} +{"d:Title": "Jaeger, Stefan", "d:Description": "Website of Germany based freelance product designer, who provides product development and related services like 3D-animation and visualization.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://www.jaeger-id.com/"} +{"d:Title": "Juge, Christophe", "d:Description": "Online portfolio featuring industrial design and other design work including graphic and web design.", "topic": "Top/Arts/Design/Industrial/Portfolios", "url": "http://c.juge-design.pagesperso-orange.fr/"} +{"d:Title": "Czech Design", "d:Description": "Contains history of interiors in Czechoslovakia as well as current articles on the topic. List of designers with biographies, links to their sites and galleries. Also shown are competitions and exhibits. [Czech, English, Italian]", "topic": "Top/Arts/Design/Interior_Design", "url": "http://www.czechdesign.cz/"} +{"d:Title": "Architecture and Interior Design for 20th Century America", "d:Description": "Photographs by Samuel Gottscho and William Schleisner Collection, 1935-1955, contains approximately 29,000 photographs of buildings, interiors, and gardens of renowned architects and interior designers.", "topic": "Top/Arts/Design/Interior_Design", "url": "http://memory.loc.gov/ammem/collections/gottscho/"} +{"d:Title": "Way of Design, Interior Design from an Oriental Perspective", "d:Description": "Online book by Filipino designer-author Jun Alday.", "topic": "Top/Arts/Design/Interior_Design", "url": "http://www.wayofdesign.com/ebook/"} +{"d:Title": "Architonic", "d:Description": "Shown are three sections that showcase various elements of design. Product Catalogue: products for architecture and interior design. Design Museum: classic design from auction houses. Material Research: materials and consultation. Based in Zurich.", "topic": "Top/Arts/Design/Interior_Design", "url": "https://www.architonic.com/"} +{"d:Title": "Use What You Have Interiors", "d:Description": "Five day training program for professionals focusing on redesign and redecoration using the furnishing you already own. Located in New York City.", "topic": "Top/Arts/Design/Interior_Design/Education", "url": "http://www.redecorate.com/"} +{"d:Title": "Redesign Training Program", "d:Description": "Hands-on Interior \"Re-design\" professional training. Instructor-led limited-space five day seminars. Located in Thousand Oaks, California.", "topic": "Top/Arts/Design/Interior_Design/Education", "url": "http://www.redesigntraining.com/"} +{"d:Title": "UCLA Extension - Lifelong Learning", "d:Description": "Certificate program offered in Interior design. Located in downtown Los Angeles.", "topic": "Top/Arts/Design/Interior_Design/Education", "url": "http://www.uclaextension.org/"} +{"d:Title": "Become an Interior Decorator", "d:Description": "Guide shows how to paid to decorate with advice from experts. Order on-line.", "topic": "Top/Arts/Design/Interior_Design/Education", "url": "http://www.fabjob.com/decorator.asp"} +{"d:Title": "Sanskruti Correspondence School", "d:Description": "A two manual correspondence course in interior designing. Explanation of course, admission requirements, author information and contacts. Located in India.", "topic": "Top/Arts/Design/Interior_Design/Education", "url": "http://www.sanskruti2000.com/"} +{"d:Title": "Rhodec International", "d:Description": "Offers accredited interior design training and qualifications by distance learning. Profile, courses and prospectus with information about fees and enrolment.", "topic": "Top/Arts/Design/Interior_Design/Education", "url": "http://www.rhodec.edu/"} +{"d:Title": "Domus Academy", "d:Description": "Postgraduate school of design based in Milan since 1983 offering six different 12-14 month intensive master courses. Course information, location, scheduling and contacts. Presented in English and Italian.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.domusacademy.it/"} +{"d:Title": "Arizona State University", "d:Description": "Offers the Bachelor and Master of Science in design degree. Faculty information including their research and publications, course information, student services, events, and contact information are all included.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://design.asu.edu/"} +{"d:Title": "Kingston University", "d:Description": "The interior design programme's breadth of study enables career opportunities that include working as a professional interior designer. Located in the suburb of Kingston upon Thames, just 25 minutes from central London.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.kingston.ac.uk/design/"} +{"d:Title": "New England School of Art and Design", "d:Description": "Offering MA, BFA and diploma programs in interior design, as well as certificate program in decorative arts. Part of Suffolk University in Boston.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.suffolk.edu/nesad/"} +{"d:Title": "Cleveland Institute of Art", "d:Description": "A college of art and design offering Bachelor of Fine Arts degrees in fifteen majors in Craft, Design, Fine Arts and Digital Arts.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.cia.edu/"} +{"d:Title": "Interior Designers Institute, Newport Beach", "d:Description": "Interior Designers Institute is a private accredited college offering Bachelor of Arts and Associate of Arts degrees in Interior Architecture and Design.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.idi.edu/"} +{"d:Title": "University of Wisconsin, Stout", "d:Description": "Offers a Bachelor of Fine Arts (BFA) degree through the College of Arts and Sciences.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.uwstout.edu/programs/bfaa/"} +{"d:Title": "Milwaukee Institute of Art&Design", "d:Description": "Degree program in Interior Architecture plus Design.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.miad.edu/"} +{"d:Title": "Interior Design School Review", "d:Description": "Lists U.S. and Canadian schools offering degree programs in interior design and decorating. Also includes original articles and features.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.interiordesignschoolreview.com/"} +{"d:Title": "Interior Design&Decorating Schools", "d:Description": "Directory of interior design and decorating schools from the US, Canada, and overseas.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.interior-design-decorating-schools.org/"} +{"d:Title": "Cornish College of the Arts, Seattle", "d:Description": "Offers four year nationally accredited BFA degree program.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.cornish.edu/"} +{"d:Title": "California State University, Long Beach", "d:Description": "Interior Architectural Design offers three degree programs: Bachelor of Fine Arts, Master of Arts and a Master of Fine Arts. Faculty, course and facilities information are found here.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.csulb.edu/depts/design/"} +{"d:Title": "Design Institute of San Diego", "d:Description": "Interior design education, and location, housing and facility information. CIDA Accreditation", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.disd.edu/"} +{"d:Title": "Decorator Training Institute", "d:Description": "A CID accredited program. Program can be completed in weeks rather than months. Three day seminars and long distance learning.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.decoratortraininginstitute.com/"} +{"d:Title": "Design and Architecture Senior High School", "d:Description": "The four-year curriculum features five strands, or areas of major interest: Architecture, Interior Design, Fashion Design, Industrial Design and Communications Design. The goal of instruction is to prepare students to enter post-secondary design schools, or universities offering professional design education.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.dashschool.org/"} +{"d:Title": "Florence Design Academy", "d:Description": "Information on courses, teachers, classes and equipment. Semester short courses. Located in Florence, Italy.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.florencedesignacademy.com/a_interior_eng.html"} +{"d:Title": "Inchbald School of Design", "d:Description": "Courses in interior and garden design ranging from a two year MA to a variety of shorter courses for, professionals, career-changers and novices.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.inchbald.co.uk/"} +{"d:Title": "Interior Decorating Schools", "d:Description": "Lists colleges of design offering training in Interior Design and Decorating.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.interior-decorating-schools.com/"} +{"d:Title": "The Interior Design School", "d:Description": "Located in London. Offers a diploma and short courses.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.theinteriordesignschool.co.uk/"} +{"d:Title": "K L C School of Design, London", "d:Description": "Offers both full and part time courses leading to a diploma. In addition, there is also a long distance learning department.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.klc.co.uk/"} +{"d:Title": "Metropolitan Institute of Interior Design, Plainview, New York", "d:Description": "Offers elective classes and a certificate program that meets the educational requirements for the NCIDQ exam as well as a Feng Shui Program. Includes profile, information on curriculum, admissions, tuition and fees, staff list, contact details and map.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.met-design.com/"} +{"d:Title": "Whitehouse Institute of Design", "d:Description": "Offers a diploma of interior decoration course that will provide students with creative design and professional drawing skills in conjunction with commercial realism and management skills. Located in Sydney, Australia.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.whitehouse-design.edu.au/"} +{"d:Title": "Art Institute of Seattle", "d:Description": "BA offered and Associates of Applied Arts degree in a 27 month course. Admissions, financial aid, news, student services, career services, events, and the ability to apply online are all included.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.artinstitutes.edu/seattle"} +{"d:Title": "Parsons School of Design", "d:Description": "Parsons offers undergraduate degree programs in a variety of design disciplines on the AAS, BFA, BBA, and BA/BFA degree levels. Located in NYC", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.newschool.edu/parsons/"} +{"d:Title": "Paier College of Art", "d:Description": "Courses dealing with space design, color, lighting structure, furniture design, history of interiors, history of art, business procedures and other pertinent subjects. Degree in Interior Design. Located in Hamden, CT just north of New Haven.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.paiercollegeofart.edu/pages/programs/interior.html"} +{"d:Title": "Bellevue College", "d:Description": "Offers a curriculum that leads to a three year degree: the Associate of Arts in Interior Design. A look at the type of students enrolled in the program, career path, and a FAQ are all included.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://bellevuecollege.edu/artshum/interiordesign/"} +{"d:Title": "Harrington College of Design", "d:Description": "Programs of study, admissions, student gallery and information on resources. Located in Chicago.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://www.harrington.edu/"} +{"d:Title": "Isad - Istituto Superiore di Architettura e Design", "d:Description": "Two year design school located in Milan, Italy. Catalog, living in Milan information and application. Site has an English version.", "topic": "Top/Arts/Design/Interior_Design/Education/Colleges_and_Departments", "url": "http://isad-school.com/"} +{"d:Title": "Hanssem International Interior Design Competition", "d:Description": "Information on interior design competition available in Korean, English and Chinese.", "topic": "Top/Arts/Design/Interior_Design/Events/Competitions", "url": "http://www.hanssemcompe.com/"} +{"d:Title": "Absolutely Beautiful Things", "d:Description": "Collection of pictures which inspire the author to create beautiful interiors.", "topic": "Top/Arts/Design/Interior_Design/Weblogs", "url": "http://absolutelybeautifulthings.blogspot.com/"} +{"d:Title": "Style Court", "d:Description": "Sharing interior design inspirations and tips.", "topic": "Top/Arts/Design/Interior_Design/Weblogs", "url": "http://stylecourt.blogspot.com/"} +{"d:Title": "Desire to Inspire", "d:Description": "An Australian and a Canadian reunited to explore inspirational furniture and indoor designs.", "topic": "Top/Arts/Design/Interior_Design/Weblogs", "url": "http://www.desiretoinspire.net/"} +{"d:Title": "Freshome", "d:Description": "Blog about home design, decorating, home improvement, and architecture.", "topic": "Top/Arts/Design/Interior_Design/Weblogs", "url": "http://freshome.com/"} +{"d:Title": "Idea Magazine", "d:Description": "Strategies, research, news and reviews in art and design. [English and Italian]", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.ideamagazine.net/"} +{"d:Title": "Metropolis Magazine", "d:Description": "Interdisciplinary design information. Online articles and how to subscribe.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.metropolismag.com/"} +{"d:Title": "Design You Trust", "d:Description": "Online magazine&community about design&photography.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://designyoutrust.com/"} +{"d:Title": "Design Boom", "d:Description": "Europe's trendy design ezine with topics on art, architecture, fashion, photography and graphics.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.designboom.com/"} +{"d:Title": "Colors", "d:Description": "Each issue of this magazine focuses on a particular topic.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.colorsmagazine.com/"} +{"d:Title": "IOnOne design", "d:Description": "Online magazine of design arts, with articles and shopping.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://ionone.com/design.htm"} +{"d:Title": "Trend Hunter", "d:Description": "Gadgets, art, design and style in a modern social context.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.trendhunter.com/"} +{"d:Title": "Destructed", "d:Description": "A quarterly released art and design magazine covering unique issues in the design world. It also showcases work by new artists and designers.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.destructed.info/"} +{"d:Title": "Blanket Magazine", "d:Description": "An art, design, and photography magazine that covers emerging artists and creatives.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://blanketmagazine.com/"} +{"d:Title": "Dexigner", "d:Description": "Online magazine and community for design news, events, competitions, and resources.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "https://www.dexigner.com/"} +{"d:Title": "Creative Fluff Magazine", "d:Description": "An online magazine about art, design, culture&film with a panel of guest critics, designers&artists.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.creativefluff.com/"} +{"d:Title": "Dezeen Magazine", "d:Description": "Online magazine&showcase of architecture, design and interior projects from around the world.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.dezeen.com/"} +{"d:Title": "David Report", "d:Description": "Online magazine about trends in the intersection of design, culture and business", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.davidreport.com/"} +{"d:Title": "Design42Day", "d:Description": "International web magazine about industrial design, architecture, fashion, visual arts,&new age transportation.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.design42day.com/"} +{"d:Title": "Harvard Design Magazine", "d:Description": "From the Graduate School of Design, a magazine featuring critical explorations of key contemporary issues and practices connected to the built environment.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.gsd.harvard.edu/#/projects/publications/harvard_design.html"} +{"d:Title": "Trendland", "d:Description": "Online magazine reviewing trends in fashion, art, design, photography, interior design and culture. Based in New York.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://trendland.com/"} +{"d:Title": "Design Curial", "d:Description": "News and information on fashionable architectural, art and interiors products.", "topic": "Top/Arts/Design/Magazines_and_E-zines", "url": "http://www.designcurial.com/"} +{"d:Title": "CSD. Chartered Society of Designers", "d:Description": "World wide chartered membership organisation and charity, dedicated to furthering design practices. Information on membership, events, training and job opportunities. Based in UK.", "topic": "Top/Arts/Design/Organizations", "url": "http://www.csd.org.uk/"} +{"d:Title": "University&College Designers Association", "d:Description": "UCDA inspires designers working in academia in North America and around the world by supporting the professional and personal growth of its members. Advocates for designer and educators roles within their institution.", "topic": "Top/Arts/Design/Organizations", "url": "https://www.ucda.com/"} +{"d:Title": "Design Observer", "d:Description": "Features news and critical essays on design, urbanism, social innovation and popular culture.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.designobserver.com/"} +{"d:Title": "Cool Hunting", "d:Description": "Finding things in the intersection of design, culture and technology that excite the imagination and inspire creativity.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.coolhunting.com/"} +{"d:Title": "MoCo Loco", "d:Description": "Featuring modern contemporary design news and views.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.mocoloco.com/"} +{"d:Title": "ImedaGoze", "d:Description": "Review of lifestyle items, fashion, interior design, home accessories, furnishings, children's wear, art/design related events, textile, floral and graphic design.", "topic": "Top/Arts/Design/Weblogs", "url": "http://imedagoze.blogspot.com/"} +{"d:Title": "Josh Spear", "d:Description": "Reviewing design, art, fashion, music, and trends.", "topic": "Top/Arts/Design/Weblogs", "url": "http://joshspear.com/"} +{"d:Title": "Designers' Block", "d:Description": "Extracts and ideas from a designer's life.", "topic": "Top/Arts/Design/Weblogs", "url": "http://designersblock.blogspot.com/"} +{"d:Title": "Love Made Visible", "d:Description": "Sharing thoughts and visuals on fashion design and interior design.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.pomegranita.com/"} +{"d:Title": "Oh Joy!", "d:Description": "Freelance home accessories, textile, and graphic designer shares her random findings of design, style, and other cool things.", "topic": "Top/Arts/Design/Weblogs", "url": "http://ohjoy.blogs.com/"} +{"d:Title": "NOTCOT", "d:Description": "Visual filtration of ideas, aesthetics, and amusement.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.notcot.com/"} +{"d:Title": "Reuben Miller", "d:Description": "Selections of design, art, illustration, style, accessories, cool finds, package design and architecture.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.reubenmiller.typepad.com/"} +{"d:Title": "It's Nice That", "d:Description": "Ideas, originality, imagination and creativity in any context.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.itsnicethat.com/"} +{"d:Title": "Dog Opus", "d:Description": "Presenting graphic design news and history, with additional coverage on technology, science, arts, music, and current design events.", "topic": "Top/Arts/Design/Weblogs", "url": "http://dogopus.com/blog/"} +{"d:Title": "Old Glutton", "d:Description": "Showcasing fresh finds at the intersection of food and graphic design.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.oldglutton.blogspot.com/"} +{"d:Title": "Raven's Nest", "d:Description": "Exploring landscape architecture, garden and interior design, architecture, green and sustainable design.", "topic": "Top/Arts/Design/Weblogs", "url": "http://ravengrrl.blogspot.com/"} +{"d:Title": "Roadside Scholar", "d:Description": "Offering showcases of evocative art, handmade crafts as well as products, along with the stories of their designers.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.roadsidescholar.com/"} +{"d:Title": "The Cool Hunter", "d:Description": "Styles and trends covering genres such as fashion, music, urban living, designer and cultural trends.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.thecoolhunter.net/"} +{"d:Title": "Tree Hugger", "d:Description": "Partial to a modern aesthetic, it shares sustainable design, green news and solutions.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.treehugger.com/"} +{"d:Title": "Oh My So Cute", "d:Description": "Features indie goods from apparel, accessories, jewelries, babywear, popart, books, stationery, crafts, plush, toys, papergoods and household items.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.ohmysocute.com/"} +{"d:Title": "Scoutie Girl", "d:Description": "An indie designer digging up fabulous finds by indie designers, artists, and crafters.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.scoutiegirl.com/"} +{"d:Title": "2Modern", "d:Description": "Featuring modern furniture, innovative architecture, cool accessories, design events, modern lighting, hip fashion trends.", "topic": "Top/Arts/Design/Weblogs", "url": "http://2modern.blogs.com/"} +{"d:Title": "Design Scout", "d:Description": "A daily guide on what is happening in the Design business in Scandinavia.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.designscout.dk/"} +{"d:Title": "Rebang", "d:Description": "Featuring critical discussions on product design, virtual design, transreality technologies, mixed reality convergence.", "topic": "Top/Arts/Design/Weblogs", "url": "http://blog.rebang.com/"} +{"d:Title": "Rolling Rains", "d:Description": "A running dialogue on travel, disability and universal design.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.rollingrains.com/"} +{"d:Title": "Neo Nomad", "d:Description": "Featuring research and problem solving to design environments, products and services that work for people and drive business results.", "topic": "Top/Arts/Design/Weblogs", "url": "http://blog.neo-nomad.net/"} +{"d:Title": "Design Notes", "d:Description": "Sharing life filtered through events, interaction and information design.", "topic": "Top/Arts/Design/Weblogs", "url": "http://designnotes.info/"} +{"d:Title": "Designspotter", "d:Description": "A platform for sharing design objects and creative experiments. It provides readers with an overview on modern contemporary design.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.designspotter.com/"} +{"d:Title": "Designer's Library", "d:Description": "Sharing design finds and trends.", "topic": "Top/Arts/Design/Weblogs", "url": "http://designerslibrary.typepad.com/designers_library/"} +{"d:Title": "Print&Pattern", "d:Description": "Thoughts and finds of a designer and trend forecaster with a passion for pattern in all its forms.", "topic": "Top/Arts/Design/Weblogs", "url": "http://printpattern.blogspot.com/"} +{"d:Title": "Swissmiss", "d:Description": "Thoughts and finds from a Swiss designer gone NYC.", "topic": "Top/Arts/Design/Weblogs", "url": "http://swissmiss.typepad.com/"} +{"d:Title": "Nordic Design Blog", "d:Description": "Posting about creative design and life in Nordic countries.", "topic": "Top/Arts/Design/Weblogs", "url": "http://nordicdesignblog.com/"} +{"d:Title": "Serial Consign", "d:Description": "Offering interviews of designers and innovative projects in the field of design research.", "topic": "Top/Arts/Design/Weblogs", "url": "http://serialconsign.com/"} +{"d:Title": "The Terminally Juvenile", "d:Description": "Offering a delicate selection of inspiring, clever, beautiful and interesting toys.", "topic": "Top/Arts/Design/Weblogs", "url": "http://theterminallyjuvenile.blogspot.com/"} +{"d:Title": "Trend Insights", "d:Description": "Spotting objects that stimulate creativity and inspiration, this blog presents trends in design, architecture and innovation.", "topic": "Top/Arts/Design/Weblogs", "url": "http://tinsiders.blogspot.com/"} +{"d:Title": "The Zaum of Mr Brown", "d:Description": "Highlighting artistic finds ranging from cover art, packaging design and photography.", "topic": "Top/Arts/Design/Weblogs", "url": "http://zaumbrown.blogspot.com/"} +{"d:Title": "Architectradure", "d:Description": "Sharing inspirations in product design, architecture, contemporary art and technology.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.architectradure.com/"} +{"d:Title": "Design You Trust", "d:Description": "Daily design blog and community sharing design trends, news and events, portfolios, fashion design and creative advertisements.", "topic": "Top/Arts/Design/Weblogs", "url": "http://designyoutrust.com/"} +{"d:Title": "Round design", "d:Description": "Offering a relaxed informal conversation about design and architecture from theoretical to more practical examples in design and technology.", "topic": "Top/Arts/Design/Weblogs", "url": "http://rounddesign.blogspot.com/"} +{"d:Title": "MissMalaprop", "d:Description": "Spotlights independent designers and artists, eco-friendly and sustainable products, and people working to make the world a better place.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.missmalaprop.com/"} +{"d:Title": "Lena Corwin", "d:Description": "Posting observations and inspirations related to design, patterns, fabric, fashion, crafts.", "topic": "Top/Arts/Design/Weblogs", "url": "http://blog.lenacorwin.com/"} +{"d:Title": "Black White Bliss", "d:Description": "Collective of all things black, white and a few shades in between.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.blackwhitebliss.com/"} +{"d:Title": "Wilbert Baan", "d:Description": "User experience designer. Blog to share projects and ideas. Oegstgeest, Netherlands.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.wilbertbaan.nl/"} +{"d:Title": "Poppytalk", "d:Description": "Discussing trends in design by sharing handmade work and graphic design visuals.", "topic": "Top/Arts/Design/Weblogs", "url": "http://www.poppytalk.com/"} +{"d:Title": "Electrohype 2000", "d:Description": "Art in The Realm of Machines. Conference and exhibition about computerbased art held in Malm\u00f6 (Sweden) in October 2000.", "topic": "Top/Arts/Digital/Events", "url": "http://www.electrohype.org/"} +{"d:Title": "Boston Cyberarts", "d:Description": "A festival incorporating exhibitions and performances by artists who use computer technology as an integral part of their work: contains articles and interviews about artists; gallery; kids can submit digital self-portraits.", "topic": "Top/Arts/Digital/Events", "url": "http://www.bostoncyberarts.org/"} +{"d:Title": "Onedotzero", "d:Description": "A digital creativity initiative, exploring new forms and hybrids of moving image. Includes an annual festival, commissioning and production of cutting edge digital video and new media.", "topic": "Top/Arts/Digital/Events", "url": "http://www.onedotzero.com/"} +{"d:Title": "File/Electronic Language International Festival", "d:Description": "Brazilian electronic art festival.", "topic": "Top/Arts/Digital/Events", "url": "http://www.file.org.br/"} +{"d:Title": "Digital Arts and Culture 1998", "d:Description": "The first of the international conference series, held in Bergen 26-28 November 1998. Conference information and full text of papers.", "topic": "Top/Arts/Digital/Events", "url": "http://cmc.uib.no/dac98/"} +{"d:Title": "Digital Arts and Culture 2000", "d:Description": "Held in Bergen in August 2000. Presentation abstracts and brief descriptions of performances.", "topic": "Top/Arts/Digital/Events", "url": "http://cmc.uib.no/dac/"} +{"d:Title": "Digital Arts and Culture 2001", "d:Description": "Digital Arts and Culture Conference Overview. Rhode Island, Providence (USA). April 27-29, 2001.", "topic": "Top/Arts/Digital/Events", "url": "http://www.stg.brown.edu/conferences/DAC/"} +{"d:Title": "Not Still Art Festival", "d:Description": "Features abstract and non-narrative electronic motion imaging in relationship to music and sound.", "topic": "Top/Arts/Digital/Events", "url": "http://www.improvart.com/nsa/"} +{"d:Title": "SIGGRAPH 2003", "d:Description": "Conference in computer graphics and interactive techniques.", "topic": "Top/Arts/Digital/Events", "url": "http://www.siggraph.org/s2003"} +{"d:Title": "DLux Media Arts", "d:Description": "Features Australian and International single channel, short experimental digital cinema and video art works, web and sound art.", "topic": "Top/Arts/Digital/Events", "url": "http://www.dlux.org.au/"} +{"d:Title": "Subtle Technologies", "d:Description": "A multidisciplinary event where artists and scientists come together to discuss, demonstrate and exhibit their work.", "topic": "Top/Arts/Digital/Events", "url": "http://www.subtletechnologies.com/"} +{"d:Title": "Media Forum 2001", "d:Description": "Archives of the Media Forum, an event held in the framework of XXIII Moscow International Film Festival. Descriptions of artwork shown and links to participants' websites.", "topic": "Top/Arts/Digital/Events", "url": "http://mediaforum.mediaartlab.ru/"} +{"d:Title": "Design Observer", "d:Description": "Features writings about design and culture.", "topic": "Top/Arts/Digital/Events", "url": "http://www.designobserver.com/"} +{"d:Title": "Pescara Electronic Artists Meeting", "d:Description": "Electronic music, video, robot art, software art, web art, electronic installations and performances.", "topic": "Top/Arts/Digital/Events", "url": "http://www.artificialia.com/peam/index.html"} +{"d:Title": "Microwave International Media Art Festival", "d:Description": "Festival in Hong Kong that promotes cultural exchange and appreciation of new media art.", "topic": "Top/Arts/Digital/Events", "url": "http://www.microwavefest.net/"} +{"d:Title": "Lovebytes Digital Arts Festival", "d:Description": "International program exploring digital art and culture including film, design, music and interactive media.", "topic": "Top/Arts/Digital/Events", "url": "http://www.lovebytes.org.uk/"} +{"d:Title": "Alternative Party", "d:Description": "Yearly festival of digital culture including visualists, musicians, designers, programmers, researchers, enthusiasts, held in Helsinki.", "topic": "Top/Arts/Digital/Events", "url": "http://www.altparty.org/"} +{"d:Title": "Transmediale", "d:Description": "Annual festival concerning the role of digital technologies in contemporary society.", "topic": "Top/Arts/Digital/Events", "url": "http://www.transmediale.de/"} +{"d:Title": "Coded Cultures", "d:Description": "New media arts festival in Vienna and Tokyo.", "topic": "Top/Arts/Digital/Events", "url": "http://codedcultures.com/"} +{"d:Title": "Institute of Artificial Art Amsterdam", "d:Description": "An organization which works toward the complete automatization of art production. Sample visual, musical and performance pieces, as well as publications on the theory of machine-generated art.", "topic": "Top/Arts/Digital/Evolutive", "url": "http://iaaa.nl/"} +{"d:Title": "Galanter, Philip", "d:Description": "Portfolio of art created using generative software, academic papers on generative art and complexity science and software for these, notes for a course taught on generative art practice, links and topic bibliography.", "topic": "Top/Arts/Digital/Evolutive", "url": "http://www.philipgalanter.com/"} +{"d:Title": "Blinkenlights", "d:Description": "Online gallery of public interactive light installation by Chaos Computer Club turning a building at the heart of Berlin into a huge computer screen. People can play Pong using their mobile phone and create animations with downloadable tool.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.blinkenlights.net/"} +{"d:Title": "Meta Stream", "d:Description": "Real time performance that contains live Real Video stream (animations and live camera mix), experimental sound and noise music in Real Audio and real-time web-page editing. The team is based in Riga (Latvia).", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://ozone.re-lab.net/meta/"} +{"d:Title": "Soap Operas for Laptop Computers", "d:Description": "GH Hovagimyan discusses his digital installation performance works for both laptops and seated computers.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.biddingtons.com/content/creativehovagimyan.html"} +{"d:Title": "wannaboogie.com", "d:Description": "An experiment in the collaboration of dance, web and interactive art in two parts; a streaming dance performance, and an interactive choreography work.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.eject.com.au/boogie/"} +{"d:Title": "Book of Roofs Media/Installation", "d:Description": "Brazilian artist Josely Carvalho focuses on shelter as a metaphor for that which houses the human soul. Digital video interactive project and website installation.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.book-of-roofs.net/"} +{"d:Title": "Obsolescence of Graphical User Interface", "d:Description": "An art exhibition concerning the obsolescence of graphical user interface: paintings, photos, poetry, digital images.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://galleria.clab.it/"} +{"d:Title": "Tempelhof", "d:Description": "a digital composition in film and music by Tom America and Rob Moonen. A work in progress, the site is a log book. Berlin 2004, muzieklab", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.inberlin.nl/"} +{"d:Title": "V-Med 2.0 - the sea of memory", "d:Description": "An interactive installation conceived and realised by Electronic Shadow (Naziha Mestaoui and Yacine A\u00eft Kaci), the duo of hybrid creation between real and virtual.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.electronicshadow.com/"} +{"d:Title": "SimpleTEXT", "d:Description": "A collaborative audio/visual public performance that relies on audience participation through input from mobile devices such as phones, PDAs or laptops.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.mee.tcd.ie/~bruckerj/simpletext/"} +{"d:Title": "Project Game Over", "d:Description": "Features a series of collaborative animation movies recreating some of the first video games using human-beings as the pixels. Created by Swiss artist Guillaume Reymond.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.notsonoisy.com/gameover/"} +{"d:Title": "Vaina Systems", "d:Description": "Several digital installation projects and hardware/software tools for artists.", "topic": "Top/Arts/Digital/Installations_and_Performances", "url": "http://www.motorhueso.net/vainasystems/"} +{"d:Title": "Cahen, Xavier", "d:Description": "Poetical and social self-portrait of Xavier Cahen. Website shows his self-portrait as a flash/video sequence and it is the way to lead the viewer into the artist's world.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.levels9.com/"} +{"d:Title": "Kac, Eduardo", "d:Description": "Multimedia, communications and biological artist. Includes biographical information, links to various online artwork and publications.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.ekac.org/"} +{"d:Title": "Eddie d", "d:Description": "Information on and examples of the work of this Dutch video and media artist, which has produced several interactive installations.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.eddied.nu/"} +{"d:Title": "Stern, Nathaniel", "d:Description": "Artist who uses digital and traditional media to explore the self as unfolding and in process with interactive installation, immersive environments, multimedia performance and digital collage.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://nathanielstern.com/"} +{"d:Title": "Griem, Oliver", "d:Description": "Video, installation, performance and sound works of a German media-artist living and working in Korea.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.fischkalb.com/"} +{"d:Title": "Paglen, Trevor", "d:Description": "Sound/video/installation artist, writer, and cultural geographer working out of the Department of Geography at the University of California at Berkeley.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.paglen.com/"} +{"d:Title": "Langebartels, Rolf", "d:Description": "The website of Berlin artist Rolf Langebartels and Art Association Giannozzo - a collection of items relating to Sound Art.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.floraberlin.de/"} +{"d:Title": "Stone, Sandy", "d:Description": "Personal, performance, and professional information about the artist.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.sandystone.com/"} +{"d:Title": "Keisuke Oki art_data", "d:Description": "Tokyo-based digital artist. Photographs, videos and writings on his artworks: installation and interactive programs.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://homepage3.nifty.com/oob/"} +{"d:Title": "Wilson, Stephen", "d:Description": "Interactive installations exploring technologies such as body sensing, gps, information visualization, conceptual mapping", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://userwww.sfsu.edu/~swilson/"} +{"d:Title": "Haig, Ian", "d:Description": "Works across media from interactive installation, video, web art and sculpture. Body obsessed themes. Melbourne, Australia.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.ianhaig.net/"} +{"d:Title": "Hahn, Alexander", "d:Description": "Electronic media artist Alexander Hahn, working with 3-D, video and virtual reality technologies.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.alexanderhahn.com/"} +{"d:Title": "coin-operated", "d:Description": "Artist Jonah Brucker-Cohen, whose main focus is on performance-centered subversive networks and interfaces.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.mee.tcd.ie/~bruckerj/projects/"} +{"d:Title": "Merritt, David J.", "d:Description": "Portfolio and resume. Includes digital drawings, performance documents, and thoughts by the artist.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://www.davidjmerritt.com/"} +{"d:Title": "Stelarc", "d:Description": "Australian-based performance artist whose work explores and extends the concept of the body and its relationship with technology through human/machine interfaces incorporating the Internet and Web, sound, music, video and computers.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://stelarc.org/"} +{"d:Title": "Igoe, Tom", "d:Description": "NYC-based artist and lecturer: stage lighting and video design, interactive installations, and physical computing.", "topic": "Top/Arts/Digital/Installations_and_Performances/Artists", "url": "http://tigoe.net/"} +{"d:Title": "artn.com", "d:Description": "An artists' collective which uses new technology to explore aesthetic issues. Since 1983, (art)n has created innovative collaborative installations seen by millions of people around the globe in museums and on the Internet.", "topic": "Top/Arts/Digital/Installations_and_Performances/Collectives,_Collaboratives_and_Organizations", "url": "http://artn.com/"} +{"d:Title": "Critical Art Ensemble", "d:Description": "Performance and installation art collective exploring the intersections of art, technology, radical politics and critical theory.", "topic": "Top/Arts/Digital/Installations_and_Performances/Collectives,_Collaboratives_and_Organizations", "url": "http://www.critical-art.net/"} +{"d:Title": "Time's Laboratory for the Construction of Experimental Situations", "d:Description": "Austrian based arts collective, 'Time's Up.' Interactive installations dealing with biomechanics, control, perception and their resulting chain reactions.", "topic": "Top/Arts/Digital/Installations_and_Performances/Collectives,_Collaboratives_and_Organizations", "url": "http://www.timesup.org/"} +{"d:Title": "Xurban", "d:Description": "Offers a collection of politically and philosophically aware digital artworks.", "topic": "Top/Arts/Digital/Installations_and_Performances/Collectives,_Collaboratives_and_Organizations", "url": "http://www.xurban.net/"} +{"d:Title": "YDreams", "d:Description": "Collaborative working in both commercial and artistic fields: augmented interactive installations, environmental sensing and mobile computing.", "topic": "Top/Arts/Digital/Installations_and_Performances/Collectives,_Collaboratives_and_Organizations", "url": "http://www.ydreams.com/"} +{"d:Title": "Electroland - Urban Spectacle", "d:Description": "Electroland creates experimental projects that celebrate public space through image culture, spectacle, technology and architecture.", "topic": "Top/Arts/Digital/Installations_and_Performances/Collectives,_Collaboratives_and_Organizations", "url": "http://electroland.net/"} +{"d:Title": "Experimenta", "d:Description": "Australian organisation stages screenings, installations and performances in cinemas, galleries, and online, featuring the work of artists working with film, video, digital, and interactive media.", "topic": "Top/Arts/Digital/Installations_and_Performances/Collectives,_Collaboratives_and_Organizations", "url": "http://experimenta.org/"} +{"d:Title": "The Beall Center for Art + Technology, University of California", "d:Description": "The Beall Center features exhibitions by contemporary artists working with emerging technologies. The website offers a calendar of upcoming exhibitions and membership information.", "topic": "Top/Arts/Digital/Installations_and_Performances/Galleries", "url": "http://beallcenter.uci.edu/"} +{"d:Title": "The Alt-X Online Publishing Network", "d:Description": "Where the digerati meet the literati.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://www.altx.com/"} +{"d:Title": "K3000", "d:Description": "Webpage of the Zurich based group of artists, journalists and activists including k-bulletin, an irregulary edited cultural fanzine.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://www.k3000.ch/"} +{"d:Title": "Digitalsouls.com", "d:Description": "Features online presentations of the work of international new media artists.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://www.digitalsouls.com/"} +{"d:Title": "InterCommunication", "d:Description": "Internet edition of a journal exploring the frontiers of art and technology. English and Japanese.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://www.ntticc.or.jp/pub/ic_mag/iecontents_e.html"} +{"d:Title": "Cyanobacteria Publications", "d:Description": "Cultivating experimental methods of creation in various arts (1993-1997).", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://www.thing.net/~grist/homecyan.htm"} +{"d:Title": "Zn New Media", "d:Description": "A journal of new media experimental visual literary theory.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://www.warnell.com/zinen/"} +{"d:Title": "Crossings: Electronic Journal of Art and Technology", "d:Description": "An online peer-reviewed multidisciplinary academic journal that explores the areas where technology and art intersect.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://crossings.tcd.ie/"} +{"d:Title": "NewMediaStudies.com", "d:Description": "A site for the study of new media, containing book reviews, website reviews, web design guides, and Internet information.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://www.newmediastudies.com/"} +{"d:Title": "BlenderArt", "d:Description": "An online distributed PDF magazine that provides tutorials, articles, tips and tricks, and insight to the open source software Blender 3D community.", "topic": "Top/Arts/Digital/Magazines_and_E-zines", "url": "http://blenderart.org/"} +{"d:Title": "Experimental Intermedia", "d:Description": "Experimental Intermedia has been supporting musicians and artists experimenting with \"intermedia\" since 1968.", "topic": "Top/Arts/Digital/Net_Art", "url": "http://www.experimentalintermedia.org/"} +{"d:Title": "Turbulence", "d:Description": "Facilitates work exploring characteristics of the Web medium, making use of multimedia and online technologies.", "topic": "Top/Arts/Digital/Net_Art", "url": "http://www.turbulence.org/"} +{"d:Title": "Ljubljana Digital Media Lab", "d:Description": "Open-access media laboratory, an initiative of the Open Society Institute, Slovenia, supporting education and research in many fields related to net.art. Operates a guest program presenting international and local artists.", "topic": "Top/Arts/Digital/Net_Art", "url": "http://www.ljudmila.org/"} +{"d:Title": "Eduardo Morais", "d:Description": "Network of projects. A foyer leading to art, design, essays and web experiments.", "topic": "Top/Arts/Digital/Net_Art", "url": "http://www.eduardomorais.com/"} +{"d:Title": "Mouchette", "d:Description": "The Thirteen year-old Mouchette gives users an opportunity on each page to share their impressions of the site. Recent projects include \"Suicide Kit\" and \"Flesh&Blood.\"", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://mouchette.org/"} +{"d:Title": "DigitalThreat", "d:Description": "Online collaborative of San Francisco based artists experimenting in digital design and technological diversions.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.digitalthreat.com/"} +{"d:Title": "Frank, Adam", "d:Description": "Displays a growing collection of experimental fine art made specifically for the web.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.adamfrank.com/"} +{"d:Title": "Napier, Mark: Potatoland.org", "d:Description": "Includes the Shredder, Digital Landfill, Riot, Pulse, and cBots.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.potatoland.org/"} +{"d:Title": "Zeleznikar, Jaka", "d:Description": "Information about the artist and links to his digital art (net art) works.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.jaka.org/"} +{"d:Title": "Hise, Steev", "d:Description": "The Detritus Sound Consensus Bakery netcasts an algorithmically generated sound collage.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://soundbakery.detritus.net/"} +{"d:Title": "Goldberg, Ken: Ouija 2000", "d:Description": "Commissioned by the art museum at UoC, Berkeley. Equates occult mysticism with the web, illustrating the mystification of new technologies and an uncritical reliance on the Internet as a source of information.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://ouija.berkeley.edu/"} +{"d:Title": "Deck, Andy: Artcontext", "d:Description": "A hybrid of telecommunication, drawing, and conceptual art. Within the projects of Artcontext people can behave creatively and collaboratively, communicating and at the same time, substantially modifying and revising what will be the experience of the site for future participants.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.artcontext.org/"} +{"d:Title": "RE:MOVE", "d:Description": "Black and white Shockwave projects allowing the visitor to create images as well as view previous images.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.re-move.org/"} +{"d:Title": "Bunting, Heath: Irational.org", "d:Description": "'Irationalists' create work that pushes the boundaries between the corporate realms of business, art and engineering.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.irational.org/"} +{"d:Title": "Dextro and Lia: Turux", "d:Description": "Experiments using Director.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.turux.org/"} +{"d:Title": "0100101110101101.org", "d:Description": "Net.art pranksters and hacktivists. Hosts Art.Teleportacia by Olia Lialina, and remixes of other sites.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.0100101110101101.org/"} +{"d:Title": "Faesser, Roland", "d:Description": "Contemporary digital images with a bent to a bizarre and peculiar reality, performance and motion.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.faesser.com/"} +{"d:Title": "Mark Amerika: Phon:E:Me", "d:Description": "State-of-the-art web project, sponsored by the Walker Art Center and the Australia Council for the Arts.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://phoneme.walkerart.org/"} +{"d:Title": "Greenwood, Steven", "d:Description": "Net and media art projects.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.telesthetic.org/"} +{"d:Title": "Deck, Andy", "d:Description": "Experimental web interfaces using Java. Collaborative drawings, search engines, games and puzzles that run in your Java-enabled browser.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.andyland.net/"} +{"d:Title": "BlebNet", "d:Description": "Includes projects Rhiz-o-mat, Anomie, aesthetic transfixion.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://bleb.net/"} +{"d:Title": "This is friendchip", "d:Description": "Interactive sound machines, self generating animations, sites for kraftwerk, scanner, and 13amp.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.friendchip.com/"} +{"d:Title": "Baldwin, Lew: Redsmoke", "d:Description": "Redsmoke was launched in 1995 as a vehicle for a fictitious rock band. Includes Platters, an episodic story about a man who finds evidence of a programmed human worker.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.redsmoke.com/"} +{"d:Title": "Simon, John F.: Every Icon", "d:Description": "Generates every possible combination of black-and-white squares in a grid of 32 x 32 beginning with all white squares and ending with all black. On an average computer, it would take several hundred trillion years for the process to conclude.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.numeral.com/"} +{"d:Title": "Exonemo", "d:Description": "Formed in 1996 by Kensuke Sembo and Yae Akaiwa.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.exonemo.com/"} +{"d:Title": "Benjamin, Ben: Superbad", "d:Description": "The net.art output of a San Francisco based graphic designer using DHTML.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.superbad.com/"} +{"d:Title": "Sobell, Nina and Hartzell, Emily: ParkBench", "d:Description": "Performance net.art, experimenting with the Web to discover its potential for creative, collaborative expression, and to explore and sculpt the boundaries between physical space and cyberspace.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.cat.nyu.edu/parkbench/"} +{"d:Title": "lfoundation", "d:Description": "Minimalist interactive net experiments.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.lfoundation.org/"} +{"d:Title": "Fakeshop", "d:Description": "Live performances in real time and later exhibiting extracts from these performances in what founder Jeff Gompertz describes as a series of 'multimedia tableaux vivants.'", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.fakeshop.com/"} +{"d:Title": "Weintraub, Annette: Sampling Broadway", "d:Description": "Virtual tour of downtown Manhattan. Compares the city to media space by contrasting images of Broadway with animations, text, narration, and sounds of the street.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.turbulence.org/Works/broadway/"} +{"d:Title": "Cooper, Shane", "d:Description": "Contains several interactive pieces, including a simulated webcam and chatroom, and an anonymous voice synthesis announcement system.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.shanecooper.com/"} +{"d:Title": "Knaven, Michiel", "d:Description": "Art projects including \"a polyphonic chronicle\", an imaginary journey, started in 1993, within the fields of music and visual arts.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.michaelmedia.org/"} +{"d:Title": "Despised", "d:Description": "Experimental project showing the viewer the society in the eyes of the editor.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://despised.de/"} +{"d:Title": "Harvey, Auriea and Samyn, Michael: Entropy8Zuper!", "d:Description": "Immersive net.art using Flash and Java.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.entropy8zuper.org/"} +{"d:Title": "O-O, Institutio Media", "d:Description": "An experimental attempt to transfer an institution into the Internet and study its functioning in the Web.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.o-o.lt/"} +{"d:Title": "A Virtual Memorial", "d:Description": "Memorial project created by Agricola de Cologne within his NewMedia art project network.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.a-virtual-memorial.org/"} +{"d:Title": "Spook...", "d:Description": "Distributed web based artwork exploring issues of surveillance, tracking and covert activity on the web in an interactive website based on the conventions of computer games.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.stunned.org/spook/"} +{"d:Title": "Sounds and Colors", "d:Description": "Intended to become a worldwide sound/color collage. Provides an array of sounds, colors and letters to be associated in pairs or triples.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://adaweb.walkerart.org/partners/protected/index.html"} +{"d:Title": "Eggplant", "d:Description": "Migratory web poetics of Fung Lin Hall.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.mutanteggplant.com/"} +{"d:Title": "Liu See-le, Victor: The Slow Arrow of Beauty", "d:Description": "Java applet which scours the Internet for related textual content, and presents the information in several simultaneous cascades.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.n-gon.com/SlowArrow/"} +{"d:Title": "Kunstform", "d:Description": "German online art experience.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.kunstform.de/"} +{"d:Title": "subMETA", "d:Description": "Random playground with mysterious/abstract interactions that create beautiful \"accidents\" and collisions.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://submeta.free.fr/"} +{"d:Title": "dream7", "d:Description": "Where digital dreams come true.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.dream7.com/"} +{"d:Title": "360degrees", "d:Description": "Participatory examination of the American criminal justice system that uses first-person stories, interactive data, and discussion to take a critical look at who is in prison today and why.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.360degrees.org/"} +{"d:Title": "Snarg", "d:Description": "Images melt into each other like a slideshow. Click the # and other images move and morph, responding unpredictably to playful and mouseclicks. Sound, interaction.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.snarg.net/"} +{"d:Title": "s.EXE Interactives - Visual Sequencer", "d:Description": "Visual sequencer by Christina Goestl, Ren\u00e9e Mussai, Clitoressa and Friends. Individual interaction on web; documentation of installation exhibited at Ars Electronica 2001.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.clitoressa.net/"} +{"d:Title": "Berzowska, Joanna: Computational Expressionism", "d:Description": "A gallery of applets that allow the user to create images in tandem with the arist's algorithmical presets, and an \"active essay\" outlining the theory and giving embedded examples.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.berzowska.com/xy/index.html"} +{"d:Title": "Institut f\u00fcr Telenautik", "d:Description": "The experimental broadcasting studio of Artschool Hamburg. Live streaming with the possibility of international contribution.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://telenautik.hfbk-hamburg.de/"} +{"d:Title": "Mutagenesis", "d:Description": "Explore six membrane-spanning alpha-helical domains.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://mutagenesis.tripod.com/"} +{"d:Title": "200ok&Linktanks", "d:Description": "Complex Shockwave art projects.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.200ok.de/"} +{"d:Title": "Flong", "d:Description": "Interactive art, audiovisual performance and other experimental projects by Golan Levin and collaborators.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.flong.com/"} +{"d:Title": "Workly.com", "d:Description": "Open source experimental texture generation created with java.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.workly.com/"} +{"d:Title": "Hunziker, Esther: Unfocus", "d:Description": "Quicktime and shockwave required for this X-Cult hosted project.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.xcult.ch/unfocus/"} +{"d:Title": "Bodytag", "d:Description": "Artist Glen Murphy documents his explorations in visual computation.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.bodytag.org/"} +{"d:Title": "Theodorou, Lina", "d:Description": "Selection of internet art work by contemporary artist Lina Theodorou.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://linatheodorou.com/"} +{"d:Title": "hektor.net", "d:Description": "Spoken word and video/flash poetry with an unusual edge.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://hektor.net/"} +{"d:Title": "Vectorpark", "d:Description": "Fun and addictive flash artwork by artist Patrick Smith.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.vectorpark.com/"} +{"d:Title": "Erational", "d:Description": "A large body of work, ranging from flash experimentation to software art.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.erational.org/"} +{"d:Title": "At Any Time", "d:Description": "An interesting net experience that will take you roughly 2 minutes to complete.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.atanytime.org.uk/"} +{"d:Title": "Lialina, Olia: My Boyfriend Came Back From The War", "d:Description": "By Russian net artist, critic and curator Olia Lialina.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://myboyfriendcamebackfromth.ewar.ru/"} +{"d:Title": "Chronotext", "d:Description": "A growing collection of software experiments exploring the relation between text, space and time. By Ariel Malka.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.chronotext.org/"} +{"d:Title": "Benjah 01xy", "d:Description": "A collection of experiments and broken looking spasmodic animations.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://benjah.free.fr/"} +{"d:Title": "The Central City", "d:Description": "Interactive labyrinth based on the organic and emergent patterns of cities. By Stanza.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.thecentralcity.co.uk/"} +{"d:Title": "Aporia", "d:Description": "Animated pseudo-code, video and poetry in a self described metaphysical pit of the new millennium.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.aporia.com/"} +{"d:Title": "The Cities of Anacropolis", "d:Description": "Based on a concept of urban development and the principle \"nothing is constant except change\".", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.anacropolis.dk/"} +{"d:Title": "Feldwege", "d:Description": "A series of linked animations and interactive experiences.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.feldwege.de/"} +{"d:Title": "Crowds and Power", "d:Description": "Crowds and Power uses mediated images to explore the relationship between space, memory, and territory. Windows containing image fragments emphasize the displacement of individuals and the transformation of urban space.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.ghostcity.com/crowdsandpower"} +{"d:Title": "The Body Internet", "d:Description": "Interdisciplinary artist, Kenneth Hemmerick, offers a gallery dealing with information found on the Internet.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://bodyinternet.tripod.com/"} +{"d:Title": "Loseby, Jessica : net.art Sketchbook", "d:Description": "Collected works of UK artist whose work centres around the cyber-domestic aesthetic, combining words, animations and sometimes sound. Flash and Shockwave.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.rssgallery.com/"} +{"d:Title": "The Plaintext Players", "d:Description": "An online performance group using text-based virtual worlds to pioneer a new improvisational art form.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://yin.arts.uci.edu/~players/index.html"} +{"d:Title": "Davis, Joshua", "d:Description": "'PrayStation', 2003.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.joshuadavis.com/"} +{"d:Title": "The HypArt Project", "d:Description": "A single picture is created by several people. The image is divided into squares and each artist contributes one of them to the final picture.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.hypart.de/cgi-bin/HypArt.sh"} +{"d:Title": "Stromajer, Igor: Sprinkling Menstrual Navigator", "d:Description": "Navigational movie from the creator of the Intima Virtual Base.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.intima.org/smn/"} +{"d:Title": "Allan, James", "d:Description": "Net art and performance residue.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.jamesallan.com/"} +{"d:Title": "Brace, Brad", "d:Description": "Contemporary visual art projects.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://bradbrace.net/"} +{"d:Title": "Jevbratt, Lisa: 1to1", "d:Description": "Interfaces/visualizations which explore the formal qualities of the web in relation to the larger network of which it is part: the Internet.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://jevbratt.com/1_to_1/"} +{"d:Title": "Zanni, Carlo", "d:Description": "Central page for desktop artist.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://mycountryisalivingroom.com/"} +{"d:Title": "Joseph, Chris", "d:Description": "Digital fiction and art, including visual poetry, interactive video and non-linear fiction.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects", "url": "http://www.chrisjoseph.org/"} +{"d:Title": "Deface", "d:Description": "Interactive site enabling users to undermine the notion of authorship.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.acidlife.com/deface/"} +{"d:Title": "Synergy", "d:Description": "Collaborative online art playspace.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.sito.org/synergy/"} +{"d:Title": "Million Masterpiece", "d:Description": "A collaborative arts project and online drawing community. Anyone can add their image to the million images that make up the entire picture.", "priority": "1", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.millionmasterpiece.com/"} +{"d:Title": "Draw ball", "d:Description": "A collaborative drawing site. One circular canvas that can be drawn on by any visitor.", "priority": "1", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://drawball.com/"} +{"d:Title": "Drawsum", "d:Description": "A collaborative art project with one large shared canvas where anyone can draw uncensored.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.drawsum.com/"} +{"d:Title": "iScribble", "d:Description": "Various live multi-user drawing boards allow users to paint images using a mouse or tablet together.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.iscribble.net/"} +{"d:Title": "The Zoomquilt", "d:Description": "An endless zoom illusion created with Flash by many collaborating artists.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.zoomquilt.org/"} +{"d:Title": "WebCanvas", "d:Description": "A large collaborative painting where anyone can paint and watch others paint.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://webcanvas.com/"} +{"d:Title": "FlockDraw", "d:Description": "Free, collaborative group whiteboard. Has a gallery of previous artworks, and live chat.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://flockdraw.com/"} +{"d:Title": "Superfreedraw", "d:Description": "Every visitor can draw onto an endless virtual canvas. There is no registration or censorship.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.superfreedraw.com/"} +{"d:Title": "Draw Canvas", "d:Description": "Collabrative online drawing community where you can work with others to create unbounded tile art online.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.drawcanvas.com/"} +{"d:Title": "We Are Unreasonable People", "d:Description": "A group from Porto. Site features many different exhibitions: photography, computer graphics, poetry, music, net-art.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.cafeina.org/unreasonable/"} +{"d:Title": "CrowdPainting", "d:Description": "Collaboratively draw on one ever-expanding canvas.No one can paint over the paintings of others.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://www.crowdpainting.com/"} +{"d:Title": "Roplixoo", "d:Description": "A pixel art canvas where each visitor can set the colour of one pixel at a time.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://roplixoo.com/"} +{"d:Title": "COLLAB", "d:Description": "Dedicated to cooperative drawing with other digital artists on single-piece works, or digital quilting and tiling.", "topic": "Top/Arts/Digital/Net_Art/Artists_and_Projects/Collaborative_Projects", "url": "http://collab.virtueone.com/"} +{"d:Title": "Haines Gallery", "d:Description": "Net artists including Michael Daines and the duo known as MTAA address different questions about the value of art and the continued cultural obsession with objectification.", "topic": "Top/Arts/Digital/Net_Art/Galleries", "url": "http://hainesgallery.com/"} +{"d:Title": "Through the Looking Glass", "d:Description": "Show at the Beachwood Center in Ohio, organized by Patrick Lichty.", "topic": "Top/Arts/Digital/Net_Art/Galleries", "url": "http://www.voyd.com/ttlg/"} +{"d:Title": "Cracking the Maze", "d:Description": "An art show for video game patches collected by Anne-Marie Schleiner.", "topic": "Top/Arts/Digital/Net_Art/Galleries", "url": "http://switch.sjsu.edu/CrackingtheMaze/"} +{"d:Title": "Net_Condition", "d:Description": "Archived exhibition at the Center for Art and Media Technology (ZKM) in Karlsruhe, Germany.", "topic": "Top/Arts/Digital/Net_Art/Galleries", "url": "http://on1.zkm.de/netCondition.root/netcondition/start/language/default_e"} +{"d:Title": "Gallery 9", "d:Description": "Interface meets artifice at the Walker Art Center's online gallery. Artist commissions, digital arts study collection, exhibitions, context.", "topic": "Top/Arts/Digital/Net_Art/Galleries", "url": "http://gallery9.walkerart.org/"} +{"d:Title": "BitStreams", "d:Description": "Exhibition of digital artwork at the Whitney Museum of American Art in New York.", "topic": "Top/Arts/Digital/Net_Art/Galleries", "url": "http://whitney.org/www/bitstreams/"} +{"d:Title": "Re-lab", "d:Description": "An electronic art and media center in Riga, Latvia. Projects range from new media festivals to internet broadcasting.", "topic": "Top/Arts/Digital/Resources", "url": "http://rixc.lv/"} +{"d:Title": "Nettime", "d:Description": "A moderated mailing list for net criticism, net art, collaborative text filtering and cultural politics of the nets.", "topic": "Top/Arts/Digital/Resources", "url": "http://www.nettime.org/"} +{"d:Title": "Rhizome", "d:Description": "An online resource for people who are interested in new media art, the intersection of new technologies and contemporary art.", "topic": "Top/Arts/Digital/Resources", "url": "http://rhizome.org/"} +{"d:Title": "Artists using Science and Technology", "d:Description": "Ylem is an international organization of artists, scientists, authors, curators, and art enthusiasts who explore the intersection of the arts and sciences.", "topic": "Top/Arts/Digital/Resources", "url": "http://www.ylem.org/"} +{"d:Title": "Digital Art Source", "d:Description": "A guide for students and professionals interested in art-related websites utilizing or exploring digital media and computers.", "topic": "Top/Arts/Digital/Resources", "url": "http://www.digitalartsource.com/"} +{"d:Title": "The Foundation for Art&Creative Technology", "d:Description": "Britain's principal electronic arts agency, specialising in the development, support and commissioning of moving image art and media.", "topic": "Top/Arts/Digital/Resources", "url": "http://www.fact.co.uk/"} +{"d:Title": "Booga Holler", "d:Description": "Alternative art and entertainment, featuring 3D animation and interactive games.", "topic": "Top/Arts/Digital/Resources", "url": "http://www.boogaholler.com/"} +{"d:Title": "Icontext", "d:Description": "a public system for creating 50x50 icons. Already 100's of icons have been made and they are available for use.", "topic": "Top/Arts/Digital/Resources", "url": "http://artcontext.com/icontext/"} +{"d:Title": "Networks and avatars", "d:Description": "The virtual body as an interface. A presentation made by Tina LaPorta at the conference Alterities : Interdisciplinarity and Feminine Practices of Space (Ecole Suprieure Nationale des Beaux Arts Paris).", "topic": "Top/Arts/Digital/Virtual_Reality", "url": "http://heelstone.com/meridian/templates/laporta/"} +{"d:Title": "Cyber Art Web", "d:Description": "Promotes artists by \"webringing\" web sites which contain: galleries, interactive exhibits; search tools for artists, artist tools for the web; web zines: art news, happenings, reviews; multimedia; artistic web design; and cutting edge tools for the web.", "topic": "Top/Arts/Digital/Web_Rings", "url": "http://members.tripod.com/~surfernews/cyb/"} +{"d:Title": "Awesome Art&Artists Webring", "d:Description": "Links, art, artists, illustrators and designers. Members of this ring are selected based on professionalism, creativity, originality and/or quality work of art.", "topic": "Top/Arts/Digital/Web_Rings", "url": "http://www.webring.org/hub?ring=artistsofthewebn"} +{"d:Title": "Digital Color", "d:Description": "Member artists working primarily with digital art.", "topic": "Top/Arts/Digital/Web_Rings", "url": "http://www.webring.org/hub?ring=dcring777"} +{"d:Title": "Artists of the Web", "d:Description": "One of the largest digital art rings on the web. A group of sites that are owned by artists of many fields.", "topic": "Top/Arts/Digital/Web_Rings", "url": "http://www.webring.org/hub?ring=artistsoftheweb"} +{"d:Title": "Artfacts.Net", "d:Description": "International online gallery and museum guide for modern, contemporary and emerging art.", "topic": "Top/Arts/Directories", "url": "http://www.artfacts.net/"} +{"d:Title": "Performing Arts and Artists Worldwide", "d:Description": "A developing worldwide directory of official sites of the arts, performing arts, film, and music industry, and all aspects of official production related sites.", "topic": "Top/Arts/Directories", "url": "http://www.paaw.com/"} +{"d:Title": "AskART.Com", "d:Description": "Information on about 52,000 American artists including biographies, bodies of work, valuation and appraisal techniques, auction records, publications, and artists representatives, as well as literature and museum information for american painters and sculptors.", "topic": "Top/Arts/Directories", "url": "http://askart.com/AskART/index.aspx"} +{"d:Title": "Artcyclopedia", "d:Description": "Guide to museum-quality art on the Internet. Search hundreds of art museum sites for exhibits and artists.", "topic": "Top/Arts/Directories", "url": "http://www.artcyclopedia.com/"} +{"d:Title": "Artnet.com", "d:Description": "An arts portal, with artist listing, galleries, and auctions.", "topic": "Top/Arts/Directories", "url": "http://www.artnet.com/"} +{"d:Title": "EServer", "d:Description": "A member-run cooperative that publishes over twenty thousand works, as well as providing directories covering the arts and humanities.", "topic": "Top/Arts/Directories", "url": "http://eserver.org/"} +{"d:Title": "Notebook Directories", "d:Description": "A hierarchical list of arts resources and links sorted by field and subject.", "topic": "Top/Arts/Directories", "url": "http://www.noteaccess.com/DIRECTORIES/index.htm"} +{"d:Title": "Zeroland", "d:Description": "An international directory of selected arts web resources including literary e-texts, classical music midi files and art images.", "topic": "Top/Arts/Directories", "url": "http://www.zeroland.co.nz/"} +{"d:Title": "World Artist Directory", "d:Description": "Artists' search engine, art news, the Art Teacher, and the Real-time Art Critique Gallery.", "topic": "Top/Arts/Directories", "url": "http://worldartistdirectory.com/"} +{"d:Title": "Art Channel", "d:Description": "Network of artists, museums, galleries and other resources.", "topic": "Top/Arts/Directories", "url": "http://www.art4net.com/"} +{"d:Title": "Oriscus:", "d:Description": "\"The Arts, Technology and Meaning.\" Internet resources in the arts, technology, music, musical instruments and philosophy.", "topic": "Top/Arts/Directories", "url": "http://www.oriscus.com/"} +{"d:Title": "EURAN European Art Network", "d:Description": "Web directory of art-related sites.", "topic": "Top/Arts/Directories", "url": "http://www.euran.com/"} +{"d:Title": "ArtBoomer.com", "d:Description": "Offers global art resources. A directory of artists and art professionals, as well as a visual means to enhance global exposure.", "topic": "Top/Arts/Directories", "url": "http://www.artboomer.com/"} +{"d:Title": "d'Art", "d:Description": "Directory and database also includes artists' galleries, community, and research fora.", "topic": "Top/Arts/Directories", "url": "http://www.fine-art.com/"} +{"d:Title": "Art Search", "d:Description": "Searchable visual art, music, and theater directory.", "topic": "Top/Arts/Directories", "url": "http://www.artsearch.us/"} +{"d:Title": "art in context", "d:Description": "Online reference library for information about artists, museums and galleries.", "topic": "Top/Arts/Directories", "url": "http://www.artincontext.org/"} +{"d:Title": "Florida Artists Registry", "d:Description": "Statewide directory of artists, galleries, museums and art organizations, offering free site submissions. Calendar of art events, links.", "topic": "Top/Arts/Directories", "url": "http://www.floridaartistsregistry.com/"} +{"d:Title": "Art and Technology at About.com", "d:Description": "Multi-disciplined arts arena with links and features.", "topic": "Top/Arts/Directories", "url": "http://painting.about.com/"} +{"d:Title": "ArtWebLinks.com", "d:Description": "A searchable directory of artists, galleries and other art related websites from around the world.", "topic": "Top/Arts/Directories", "url": "http://www.artweblinks.com/"} +{"d:Title": "The Fanlistings", "d:Description": "Official headquarters to join or create sites for favorite celebrities or subjects. Provides details for membership, awards, lists, community, and forum.", "topic": "Top/Arts/Directories", "url": "http://www.thefanlistings.org/"} +{"d:Title": "Art Offer", "d:Description": "Directory and search engine of artists, galleries and exhibitions. In English and German.", "topic": "Top/Arts/Directories", "url": "http://www.artoffer.com/"} +{"d:Title": "Net-Art", "d:Description": "Search engine and directory of visual art and photography sites. Also in Italian.", "topic": "Top/Arts/Directories", "url": "http://www.net-art.it/search/"} +{"d:Title": "Art Deadlines List", "d:Description": "A list of competitions, contests, call for entries/papers, grants, scholarships, fellowships, jobs, and internships, in the arts or related areas.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://artdeadlineslist.com/"} +{"d:Title": "ArtDeadline.Com", "d:Description": "Searchable database for artists seeking juried exhibitions, call for entries, grants, residencies, casting calls, and jobs.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://artdeadline.com/"} +{"d:Title": "Art Starts in Schools", "d:Description": "An information resource and database of artists who work with young people in schools. Also information on arts education.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.artstarts.com/"} +{"d:Title": "Art-Support.com", "d:Description": "Articles and information on how to exhibit and sell your artwork. Links to galleries, museums, non-profit organizations and other resources.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://art-support.com/"} +{"d:Title": "CraftSmarts", "d:Description": "Interactive Web site to help arts and crafts professionals deal with the business issues they face every day. Answers to common questions, trade show schedules, links to other sites, and a message board.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.jiverson.com/"} +{"d:Title": "ArtSource", "d:Description": "Offers networked resources on art and architecture. Links to resources around the net, as well as original materials submitted by librarians, artists, and others.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.ilpi.com/artsource/"} +{"d:Title": "Incompetech", "d:Description": "Personal site with directories and other features about written and visual arts.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.incompetech.com/"} +{"d:Title": "Artful Gift", "d:Description": "Resource site for artisans that includes sources of supplies and events. Site showcases art in many venues.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.artfulgift.com/"} +{"d:Title": "the-artists.org", "d:Description": "The major twentieth Century and contemporary visual artists, with portraits, biographies and links to resources with artworks, articles, books, posters, graphics and prints.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.the-artists.org/"} +{"d:Title": "ArtsConnectEd", "d:Description": "Online access to the collections and educational resources of the Minneapolis Institute of Arts and Walker Art Center.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.artsconnected.org/"} +{"d:Title": "Studio Arts", "d:Description": "Art links and listings.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.studioarts.co.uk/links.htm"} +{"d:Title": "Art Critique Gallery and Forums", "d:Description": "Post works for critical review or comment on artists work.", "priority": "1", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://artcritiquegallery.com/"} +{"d:Title": "Sample list of Art Festivals", "d:Description": "Events where artist can sell.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.bobslists.com/art-festivals.htm"} +{"d:Title": "Creativity Explored", "d:Description": "Visual art resource center for artists who have developmental disabilities.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.creativityexplored.org/"} +{"d:Title": "British Arts", "d:Description": "A UK arts resource directory. Arts funding, arts boards, art opportunities.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.britisharts.co.uk/"} +{"d:Title": "Axis", "d:Description": "An online resource for UK contemporary art. Provides information about artists, curators, and the art scene, as well as a webzine and discussion articles.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.axisweb.org/"} +{"d:Title": "Artist's Market", "d:Description": "F+W Media, Inc presents the online version of both the Artist\u2019s and Graphic Designer\u2019s Market and Photographer\u2019s Market books. Monthly or annual subscriptions available.", "topic": "Top/Arts/Directories/Artists'_Resources", "url": "http://www.artistsmarketonline.com/"} +{"d:Title": "CommissionPortrait.com", "d:Description": "Commission a portrait painter or sculptor. Search artists portfolios of past commissions to help you with choices of styles and media.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.commissionaportrait.com/"} +{"d:Title": "TCD Galleries Intersection", "d:Description": "Diverse galleries; fractals, photography, guest galleries, digital art.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.tcdesign.net/galleries.html"} +{"d:Title": "Digital Consciousness", "d:Description": "A public database of biographies and art in diverse media and style.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://digitalconsciousness.com/"} +{"d:Title": "culturebase.net", "d:Description": "Unique online information source on contemporary international artists from all fields.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.culturebase.net/"} +{"d:Title": "Absolutearts.com", "d:Description": "Daily international art news, galleries, and artists portfolios.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.absolutearts.com/"} +{"d:Title": "Art and Leisure", "d:Description": "Supporting leisurely lifestyles and artistic pursuits.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://artandleisure.com/"} +{"d:Title": "Art Forum Lucidcafe", "d:Description": "International directory of artists and art-related enterprises.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.lucidcafe.com/gallery/artforum.html"} +{"d:Title": "Art on the Net", "d:Description": "Virtual space for artists to share their art with others on the Internet.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.art.net/"} +{"d:Title": "Artist-info.com", "d:Description": "Contemporary art database, search for American and European artists, museums and galleries by city.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artist-info.com/"} +{"d:Title": "Artists Directory", "d:Description": "A listing of artists with biographies, and of guilds. Public is invited to submit biographies and site suggestions.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artistsguilds.com/"} +{"d:Title": "Artists Portfolio Site, The", "d:Description": "Online portfolios for artists including sub-domain, up to 20 images, favourite links, ecard option, visitor counter and guestbook.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artistportfolio.net/"} +{"d:Title": "The Artists Window Online", "d:Description": "Artist portfolios and web pages indexed by name, location, medium and style. Artists may post online.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.theartistswindowonline.com/"} +{"d:Title": "ArtistsRegister.com", "d:Description": "An online artists register operated by the Western Regional Arts Federation (WESTAF)", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://artistsregister.com/"} +{"d:Title": "Artline", "d:Description": "Guide to fine art, art galleries, art associations, and art fairs.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artline.com/"} +{"d:Title": "Artring.net", "d:Description": "Links to sites of painters and photographers.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artring.net/"} +{"d:Title": "Artspace2000", "d:Description": "Bringing buyers and artists, commercial galleries, sculptures, photographers and illustrators together.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artspace2000.com/"} +{"d:Title": "ArtVitae.com", "d:Description": "Multilingual artist portfolio and curriculum vitae service.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artvitae.com/"} +{"d:Title": "AZooNY.com", "d:Description": "An artist and artwork searchable site. Search for artists, prints, paintings, drawings, and other fine art.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://azoony.com/"} +{"d:Title": "EC Online Gallery", "d:Description": "Artists working in all major mediums and who have their own independent websites.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.electroniccottage.com/"} +{"d:Title": "eyestorm", "d:Description": "Contemporary art and photography.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.eyestorm.com/"} +{"d:Title": "Hybrid Studios", "d:Description": "Directory for the creative/performance world.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.hybridstudios.co.uk/"} +{"d:Title": "Mythings Art Culture Craft", "d:Description": "Contemporary artists in The Netherlands.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.mythings.nl/"} +{"d:Title": "Outofsight", "d:Description": "Artists mostly from New Zealand. Assemblage, digital art, painting, and illuminated miniature houses.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://outofsight.co.nz/"} +{"d:Title": "Passion4Art", "d:Description": "Online community of artists, with displays of their work.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://passion4art.com/"} +{"d:Title": "the printgate.com", "d:Description": "Prints exhibitions, public auctions, online dealers, and galleries.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.lagravure.com/"} +{"d:Title": "Renowned\u00a0Artists Galleries", "d:Description": "Galleries and biographies of renowned\u00a0Artists. The artists are listed in the order of their birth from 1450 to 1968.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://digitalconsciousness.com/renowned/"} +{"d:Title": "Silence is Defeat", "d:Description": "A public database of contemporary art. The artwork and biographies of emerging and established artists are exhibited through galleries and artist pages.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://silenceisdefeat.org/~dc/index.phtml"} +{"d:Title": "re-title.com", "d:Description": "An international listings guide and a directory of contemporary artists worldwide.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.re-title.com/"} +{"d:Title": "Artnews.org", "d:Description": "International forum for contemporary art with online catalogues for artists, galleries and curators.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artnews.org/"} +{"d:Title": "Jury Online Arts Festival", "d:Description": "Provides listings for contemporary fine arts and crafts galleries.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.jolaf.com/"} +{"d:Title": "Surrealizations", "d:Description": "Directory of artists, upcoming art shows, and other resources dealing with surrealism.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.surrealists.org/"} +{"d:Title": "Artists Online", "d:Description": "Guide to art and artists on the Web.", "topic": "Top/Arts/Directories/Artist_Listings", "url": "http://www.artistsonline.com/"} +{"d:Title": "Artfact", "d:Description": "Database of unabridged art and antiques auction records including artist biographies and example works.", "topic": "Top/Arts/Directories/Market_Guides", "url": "http://www.artfact.com/"} +{"d:Title": "Australian Art Auction Records", "d:Description": "Database of Australian and New Zealand art auction sales results available via subscription.", "topic": "Top/Arts/Directories/Market_Guides", "url": "http://www.artrecord.com/"} +{"d:Title": "FindArtInfo.com", "d:Description": "Contains price information for artworks including paintings, etchings, engravings, watercolors, drawings and sculptures based on recent autions and similar pieces.", "topic": "Top/Arts/Directories/Market_Guides", "url": "http://www.findartinfo.com/"} +{"d:Title": "ArtPrice.com", "d:Description": "Auction results, calendar of sales, indices, biographies.", "topic": "Top/Arts/Directories/Market_Guides", "url": "http://www.artprice.com/"} +{"d:Title": "RSUB", "d:Description": "An online entryway to outre and avant garde digital arts and culture.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://www.rsub.net/"} +{"d:Title": "ASCI - Art and Science Collaborations", "d:Description": "Art and technology member organization, a network for artists who either use or are inspired by science and technology.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://www.asci.org/"} +{"d:Title": "wwwArtchannel", "d:Description": "System for antiques, architecture, crafts, dance, design, fashion, film, fine art, literature, multimedia, music, photography, show biz, television and theatre communities.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://www.art-channel.net/"} +{"d:Title": "MyArtClub.Com", "d:Description": "Offers a self service website for artists and art clubs to promote their art to the community.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://www.myartclub.com/"} +{"d:Title": "Slought", "d:Description": "A non-profit organization about cultural and socio-political change in Philadelphia, the world, and the cloud. Works with artists, communities, and institutions worldwide.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "https://slought.org/"} +{"d:Title": "Post.thing.net", "d:Description": "Bulletin board system and community for production and presentation of net specific art and the discourse surrounding it.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://post.thing.net/"} +{"d:Title": "Net-Art", "d:Description": "Virtual community of artists, galleries and art lovers. Free artists exhibition, chat, forum, resources, tools, and services for the arts. Also in Italian.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://www.net-art.it/"} +{"d:Title": "Art in the Public Interest", "d:Description": "Information exchange, research and critical dialogue in community-based arts. Includes the Community Arts Training Directory, APInews monthly newsletter, essays, and links.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://apionline.org/"} +{"d:Title": "InLiquid.com", "d:Description": "Art and design network with galleries, magazine and art forum for the discussion of contemporary art issues.", "topic": "Top/Arts/Directories/Networks_and_Communities", "url": "http://inliquid.org/"} +{"d:Title": "Italian Painters", "d:Description": "Directory of Italian art, including exhibitions, galleries, current painters and art history. Also includes a featured online exhibit, and tours of Venice and Rome.", "topic": "Top/Arts/Directories/Regional/Europe", "url": "http://www.italianpainters.it/"} +{"d:Title": "From Russia with Art", "d:Description": "Databank of fine arts, containing 500+ Russian artists. Representing all forms and styles of contemporary fine arts.", "topic": "Top/Arts/Directories/Regional/Europe", "url": "http://www.artinfo.ru/"} +{"d:Title": "Swedish art", "d:Description": "Collected links to contemporary Swedish artists' home pages.", "topic": "Top/Arts/Directories/Regional/Europe", "url": "http://swedishart.50webs.com/indexeng.htm"} +{"d:Title": "Art Guide Northwest", "d:Description": "The vibrant art scene in Seattle and the Pacific Northwest. Articles of interest to the collector, a calendar of art events and links to over 400 galleries and museums.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.artguidenw.com/"} +{"d:Title": "Van Dop Arts and Cultural Guide to British Columbia", "d:Description": "A guide to arts, heritage and culture. Showcases artist's studios, galleries, theatres, heritage sites, and museums.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.art-bc.com/"} +{"d:Title": "@NY Readio", "d:Description": "New York City online magazine about art galleries, with listings and reviews.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.readio.com/artgallery/newyorkartgalleries1.html"} +{"d:Title": "wnyfilm.com", "d:Description": "Western New York guide to film, video, music, and theater.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://wnyfilm.com/"} +{"d:Title": "Artists In Canada", "d:Description": "National directory of links to visual artists, galleries, and art resources.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.artistsincanada.com/"} +{"d:Title": "Eastside Arts Coalition", "d:Description": "Seattle directory includes performing, visual, literary, cinema and multi-media arts in surrounding communities.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.eastsidearts.org/"} +{"d:Title": "canadaart.INFO", "d:Description": "Canadian Art Directory includes descriptions and links to hand-craft, performance, visual, and written word artists and art organizations.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.canadaart.info/"} +{"d:Title": "Spokane Arts", "d:Description": "Focuses on arts and cultural events in Spokane, Washington. Many free services and a few just a little more than free", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.spokanearts.org/"} +{"d:Title": "Brickbottom Artists Association", "d:Description": "A live/work community of artists in Somerville, Massachusetts.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.brickbottomartists.com/"} +{"d:Title": "Arts Agency", "d:Description": "Internet portrait of the cultural community and an Artworld Directory for artists, arts organizations, cultural events and festivals in Toronto, Ontario, Canada.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.artsagency.com/"} +{"d:Title": "Texas Commission on the Arts", "d:Description": "Their purpose is to shepherd the arts and cultural industry in the state of Texas to doing business electronically.", "topic": "Top/Arts/Directories/Regional/North_America", "url": "http://www.arts.texas.gov/"} +{"d:Title": "Artfind", "d:Description": "Searchable directory of New Zealand artists, artwork, galleries and exhibitions.", "topic": "Top/Arts/Directories/Regional/Oceania", "url": "http://www.artfind.co.nz/"} +{"d:Title": "ArtisTree", "d:Description": "A grass roots model for integrating arts, education, and entertainment; enriching children of all ages through dance instruction, art, and theatre.", "topic": "Top/Arts/Education", "url": "http://artistree.org/"} +{"d:Title": "Galaxy - Arts in Education Program", "d:Description": "Biographical listing of performers and artists involved in Galaxy school outreach arts program; information on how schools and artists can participate.", "topic": "Top/Arts/Education", "url": "http://www.galaxy.ciu10.com/"} +{"d:Title": "University of Stellenbosch - Department of Fine Arts", "d:Description": "Providing courses in fine arts, music, drama, languages and other subject areas. Located in South Africa.", "topic": "Top/Arts/Education/Colleges_and_Departments/Africa", "url": "http://academic.sun.ac.za/fine_arts/"} +{"d:Title": "Michaelis School of Fine Art", "d:Description": "Offers a four year Bachelor of Fine Art programme, as well as Postgraduate Diploma in Art, Master of Philosophy , Master of Fine Art and Master of Arts in Fine Art programmes. Located in Cape Town, South Africa.", "topic": "Top/Arts/Education/Colleges_and_Departments/Africa", "url": "http://www.michaelis.uct.ac.za/"} +{"d:Title": "Kurashiki University of Science and the Arts", "d:Description": "Fine and applied arts offerings. Located in Japan.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.kusa.ac.jp/arts/"} +{"d:Title": "Himachal Pradesh University", "d:Description": "Course outline for masters programmes in music, performing arts and visual arts. Located in India.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://hpuniv.nic.in/visual.htm"} +{"d:Title": "Tokyo National University of Fine Arts&Music", "d:Description": "Undergradurate and graduate courses offered in visual arts, design, music, architecture, art history and photography.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.geidai.ac.jp/english/"} +{"d:Title": "Visva-Bharati", "d:Description": "Courses offered in fine arts, music, dance, drama and the humanities. West Bengal, India.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.visva-bharati.ac.in/"} +{"d:Title": "Kyushu Sangyo University", "d:Description": "Providing courses in fine art, photography and design. Located in Fukuoka City, Japan.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.ip.kyusan-u.ac.jp/E/faculty/fine_arts/index.html"} +{"d:Title": "Panjab University", "d:Description": "Courses in fine arts, music and Indian theatre. Located in Chandigarh, India.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://finearts.puchd.ac.in/"} +{"d:Title": "ChuGye University for the Arts", "d:Description": "Includes College of Music, College of Fine Arts and College of Literature. Also offers a Graduate School of Arts Management. South Korea.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.chugye.ac.kr/eng_site/index_nui.html"} +{"d:Title": "Lasalle-Sia College of the Arts", "d:Description": "South-east Asia's foremost art institute offering diploma and degree courses in Design, Fine Art, Multimedia, Dance, Drama and Music.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.lasalle.edu.sg/"} +{"d:Title": "Arch Academy", "d:Description": "Offering courses for fashion, jewellery and interior design. Details on admission.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.archedu.org/"} +{"d:Title": "Kyoto City University of Arts", "d:Description": "Fine arts, design, crafts and music programs offered. Japan.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.kcua.ac.jp/en/"} +{"d:Title": "University of Peshawar", "d:Description": "BFA and MFA programs offered. Located in Pakistan.", "topic": "Top/Arts/Education/Colleges_and_Departments/Asia", "url": "http://www.upesh.edu.pk/academics/faculties/departments/?q=11&sid=22"} +{"d:Title": "College of Design and School of Art Burgos", "d:Description": "Provides information about the school, programs and classes.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe", "url": "http://www.escueladearteburgos.com/"} +{"d:Title": "Aalto University - School of Art and Design", "d:Description": "Provides education and pursues research in the fields of design, audiovisual communication, art education and arts. [Finnish, Swedish, English]", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/Finland", "url": "http://www.taik.fi/"} +{"d:Title": "Nuova Accademia di Belle Arti di Milano", "d:Description": "Academy in Milan, Italy offering degrees and programs in printing, sculpture, theatre design, product design, communication design, fashion and textile design.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/Italy", "url": "http://www.naba.it/"} +{"d:Title": "Accademia Italiana", "d:Description": "International school of art, fashion, interior, furniture, textile and graphic design located in Florence.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/Italy", "url": "http://www.accademiaitaliana.com/"} +{"d:Title": "Istituto Michelangelo", "d:Description": "Details of the school, the area and the courses offered, with pricing information.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/Italy", "url": "http://www.michelangelo-edu.it/"} +{"d:Title": "Style Design College", "d:Description": "Offers courses in photography, fashion and interior design and Photography. Milan, Italy", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/Italy", "url": "http://www.styledesigncollege.it/"} +{"d:Title": "Studio Art Centers International", "d:Description": "International art school in Florence, Italy, offers courses in art history, art conservation, design, Italian language and studies. Semester or Year Abroad, summer classes, Post-Baccalaureate Certificate,Two-Year Diploma, and Masters degrees offered.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/Italy", "url": "http://www.saci-florence.edu/"} +{"d:Title": "Manchester Metropolitan University Faculty of Art and Design", "d:Description": "Offers degree and diploma courses and postgraduate courses and research. Student handbook, departments, courses.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.artdes.mmu.ac.uk/"} +{"d:Title": "Kingston University Faculty of Art, Design&Architecture", "d:Description": "Offers studies in architecture, landscape, surveying, fine art, design, art and design history, and music.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.kingston.ac.uk/design/"} +{"d:Title": "University of Essex - Department of Art History and Theory", "d:Description": "Offering graduate and undergraduate studies, staff information, and research interests.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www2.essex.ac.uk/arthistory/"} +{"d:Title": "University of Leicester - Department of History of Art", "d:Description": "Offers programs in art history, film studies, and visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.le.ac.uk/arthistory/"} +{"d:Title": "University of Cambridge - Department of History of Art", "d:Description": "Features an online prospectus and information about the history and criticism of art and architecture courses.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.hoart.cam.ac.uk/"} +{"d:Title": "Open University, Faculty of Arts", "d:Description": "Home of all the individual arts faculties. Advice, information, research, services and recruitment details available.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.open.ac.uk/Arts/"} +{"d:Title": "School of Media Arts and Design", "d:Description": "Degree courses in ceramics, fashion, media, film, photography, music, graphics, illustration, animation, television, radio and mixed media. Located at the University of Westminster, Harrow, London, UK.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.wmin.ac.uk/mad/page-130"} +{"d:Title": "De Montfort University Department of History of Art and Material Culture", "d:Description": "Course information, research and commercial projects, and staff profiles.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.dmu.ac.uk/faculties/art_and_design/index.jsp?ComponentID=3964&SourcePageID=3830#1"} +{"d:Title": "University of the Arts London", "d:Description": "Features introduction, news, events and shows, courses, job opportunities, students.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.arts.ac.uk/"} +{"d:Title": "Cambridge School of Art", "d:Description": "Offering courses in illustration, graphic design, photographic and digital media, typography, book arts and art history.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.anglia.ac.uk/ruskin/en/home/faculties/alss/deps/csoa.html"} +{"d:Title": "Duncan of Jordanstone College of Art&Design", "d:Description": "Information on the schools of architecture, tv and imaging, fine art, and design. Dundee, Scotland, UK.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.dundee.ac.uk/facdjcad/"} +{"d:Title": "The Nottingham Trent School of Art and Design", "d:Description": "Offering undergraduate and postgraduate courses in a variety of disciplines. Includes information and links for research and international students. Nottingham, England.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.ntu.ac.uk/art/"} +{"d:Title": "Open University - Department of Art History", "d:Description": "Specializes in research and teaching relating to the history of art and architecture from the Renaissance to the present day.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.open.ac.uk/Arts/arthistory/"} +{"d:Title": "University of Glasgow", "d:Description": "Theatre, Film and Television Studies Department provides information on its undergraduate and postgraduate courses.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.gla.ac.uk/departments/theatrefilmtelevisionstudies/"} +{"d:Title": "University of Sussex - Department of Art History", "d:Description": "Offers programs in art history Byzantine art, and text.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.sussex.ac.uk/arthistory/"} +{"d:Title": "University of Exeter School of Drama and Music", "d:Description": "Undergraduate and postgraduate programmes, department history, news, events, staff, activities and online resources. Exeter, UK.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://spa.exeter.ac.uk/drama/"} +{"d:Title": "University of Brighton Faculty of Arts", "d:Description": "Provides education in art, design and humanities, arts courses, arts research, and community projects.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://arts.brighton.ac.uk/"} +{"d:Title": "Chester College Department of Fine Art", "d:Description": "Offering fine art, art history, design and a digital media programs. Located in Chester, UK.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.chester.ac.uk/art-design"} +{"d:Title": "University of East London - Architecture and Visual Arts", "d:Description": "Offering education in fine art, architecture, design, and art and film history.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.uel.ac.uk/ava/"} +{"d:Title": "Loughborough University School of the Arts", "d:Description": "Offers undergraduate and postgraduate programmes. Prospectus, student handbook, staff portfolios, student projects, galleries.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.lboro.ac.uk/departments/sota/"} +{"d:Title": "Liverpool School of Art and Design", "d:Description": "Offers courses in fine art, art history, graphic arts, multimedia, architecture, interior design, fashion and textiles, product design and contexual studies.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.ljmu.ac.uk/artschool/"} +{"d:Title": "University of East Anglia - Art History and World Art Studies", "d:Description": "Offering degree programs and courses in art history.", "topic": "Top/Arts/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "https://www.uea.ac.uk/art-history"} +{"d:Title": "Canadian College of Performing Arts", "d:Description": "Offers certificate programs in the performing arts. Located in British Columbia.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.ccpacanada.com/"} +{"d:Title": "University of Victoria Fine Arts", "d:Description": "Offers Graduate and Undergraduate degrees of Visual Arts, Art History, Performing Arts, and Music, in addition to Diploma and Undergraduate studies of Writing. Located in Victoria British Columbia.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://finearts.uvic.ca/"} +{"d:Title": "University of Manitoba - School of Art", "d:Description": "Offers professional education in the visual arts. Located in Winnipeg, Canada.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.umanitoba.ca/schools/art/"} +{"d:Title": "McGill Faculty of Arts", "d:Description": "The Faculty of Arts section at McGill University. The school is focused more toward Liberal Arts. Located near Montreal, Quebec.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.mcgill.ca/arts/"} +{"d:Title": "Concordia University", "d:Description": "Information on graduate studies in art education, art history, art therapy, cinema, studio arts and music. Montreal, Canada.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://mfa.concordia.ca/"} +{"d:Title": "Algonquin College School of Media and Design", "d:Description": "Located in Ottawa, Canada, Algonquin College's School of Media and Design offers Diploma Programs in Animation, Print Media, Graphic Design, Web Design, and Theatre Arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.algonquincollege.com/MediaAndDesign/"} +{"d:Title": "Ontario College of Art and Design", "d:Description": "Post-secondary institution in Toronto, Ontario, dedicated to the education of professional artists and designers.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.ocad.ca/"} +{"d:Title": "University of British Columbia Faculty of Arts", "d:Description": "Located in Vancouver, provides information about the faculty's programs, faculty, staff and alumni.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.arts.ubc.ca/"} +{"d:Title": "NSCAD Division of Design", "d:Description": "Principally educates students to become professional designers for print, products and interactive media. Located in Halifax, NS.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.nscadesign.ca/"} +{"d:Title": "Fine Arts at North Island College", "d:Description": "Offers a 2 year, Art and Design Diploma, and a 10 month Professional Photography program through the Comox Valley Campus on Vancouver Island.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.nic.bc.ca/programs/fine_arts_and_design/"} +{"d:Title": "Centre for Arts and Technology", "d:Description": "Provides training and courses in the arts and media production industry. Located in Kelowna, Halifax.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.digitalartschool.com/"} +{"d:Title": "Emily Carr University of Art and Design", "d:Description": "Located in British Columbia, Emily Carr offers training toward a Bachelor of Design, Fine Arts, or Media Arts Degree.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.ecuad.ca/"} +{"d:Title": "Nova Scotia College of Art and Design", "d:Description": "A college dedicated to the visual arts, featuring a virtual tour, college and community information, academic programs, and a description of services.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://nscad.ca/"} +{"d:Title": "University of Alberta- Department of Art and Design", "d:Description": "Offers both Bachelor and Master degrees in Arts, Fine Arts, Design, in addition to Bachelor with Honors and PhD graduate programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/Canada", "url": "http://www.artdesign.ualberta.ca/"} +{"d:Title": "The Art Institutes", "d:Description": "Post-secondary, career-oriented programs with locations in several U.S. cities, as well as online courses.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.artinstitutes.edu/"} +{"d:Title": "Jacksonville State University", "d:Description": "Offering programs in graphic design, photography, art history, music and visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Alabama", "url": "http://art.jsu.edu/"} +{"d:Title": "University of Alabama at Birmingham - Department of Art and Art History", "d:Description": "Includes course descriptions, faculty and staff directory, and gallery of student art.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Alabama", "url": "http://www.uab.edu/art/"} +{"d:Title": "University of Alaska Fairbanks", "d:Description": "Offers, BA, BFA and MFA degree programs with studios in metalsmithing, printmaking, drawing, sculpture, painting, ceramics, computer art and Native art.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Alaska", "url": "http://www.art.uaf.edu/"} +{"d:Title": "Herberger College of the Arts", "d:Description": "Information provided by School of Music, School of Art, Department of Dance and Department of Theatre.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Arizona", "url": "http://art.asu.edu/"} +{"d:Title": "Southern Arkansas University", "d:Description": "Offering BSE degree in art education for those seeking to be K-12 teachers, as well as BA degree with emphasis in studio or graphic design for those who seek careers in professional fields of art.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Arkansas", "url": "http://www.saumag.edu/art/"} +{"d:Title": "Ex'pression College", "d:Description": "Accelerated Bachelor of Applied Science degrees. Programs, admission information and curriculum overview. Campuses located in San Jose and Emeryville.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.expression.edu/"} +{"d:Title": "Academy of Art University", "d:Description": "Degree based curriculum in such areas as advertising, fashion, fine arts, graphic design, illustration, interior design, film, television and video, and photography. Located in San Francisco, CA.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.academyart.edu/"} +{"d:Title": "San Francisco State University College of Creative Arts", "d:Description": "Press releases and information on faculty, events and programs in Art, Broadcast and Electronic Communication Arts, Cinema, Dance, Design and Industry, Inter-Arts Center, Music and Theatre Arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.sfsu.edu/~allarts/"} +{"d:Title": "University of California at San Diego", "d:Description": "Offers MFA degrees in acting, directing, design (costume, lighting, scenic), stage management, and playwriting, as well as a PhD in theatre, and a BA in dance.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www-theatre.ucsd.edu/"} +{"d:Title": "Art Center College of Design", "d:Description": "Private institution overview with information on admissions, visits, academic classes and programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.artcenter.edu/"} +{"d:Title": "Platt College, San Diego School of Design", "d:Description": "Degree and diploma programs in graphic design, multimedia, web design, 3D animation and digital video production.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.platt.edu/"} +{"d:Title": "Otis College of Art and Design", "d:Description": "Offering Bachelors in Fine Arts degrees in Digital Media, Fashion Design, Environmental Design, Toy Design, Fine Arts, Communication Arts, and Foundation, in addition to offering Masters in Fine Arts degrees in Writing and Fine Arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.otis.edu/"} +{"d:Title": "College Of Fellows Of The American Theater", "d:Description": "Features objectives, news, and member list.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.thecollegeoffellows.org/"} +{"d:Title": "De Anza College.", "d:Description": "Offers a variety of courses within degree and certificate patterns.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.deanza.fhda.edu/creativearts/"} +{"d:Title": "Art Academy of San Diego", "d:Description": "Featuring fine and digital arts classes and workshops. San Diego, California.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.artacademyofsandiego.com/"} +{"d:Title": "California State University at Howard", "d:Description": "Information on undergraduate and graduate programs, majors, minors, faculty, extension, certificate programs and art degree options. Located in Hayward, California.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://class.csueastbay.edu/art/"} +{"d:Title": "San Diego State University", "d:Description": "Information on programs, courses and degrees offered through the College of Arts and Letters.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://cal.sdsu.edu/"} +{"d:Title": "California College of the Arts", "d:Description": "Degree courses in architecture, fashion design, writing, film/video/performance and the visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.cca.edu/"} +{"d:Title": "Fashion Institute of Design and Merchandising", "d:Description": "Private college with campuses in Los Angeles, San Francisco, San Diego and Orange County, California.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://fidm.edu/"} +{"d:Title": "California State University at Fresno", "d:Description": "Department of Art and Design provides information on curriculum, faculty, art education, art history, graphic design, interior design, studio art and graduate programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.fresnostate.edu/artshum/artanddesign/"} +{"d:Title": "Merced College", "d:Description": "Programs offered in drama, music, photography and visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/California", "url": "http://www.mccd.edu/academics/finearts/visual-art.html"} +{"d:Title": "Colorado State University", "d:Description": "BFA and MFA degree programs in art education, art history, graphic design and the visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Colorado", "url": "http://art.colostate.edu/"} +{"d:Title": "Southern Connecticut State University", "d:Description": "Provides information on majors in Art Education, Art History and Studio Art as well as minors in Studio Art and Art History.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Connecticut", "url": "http://www.southernct.edu/academics/schools/arts/departments/art/"} +{"d:Title": "Western Connecticut State University", "d:Description": "Communications and Theatre Arts Department provides information on academic programs, career resources, student activities and theatre presentations.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Connecticut", "url": "http://www.wcsu.edu/svpa/art.asp"} +{"d:Title": "Gateway Community College", "d:Description": "Course listings provided for Art History, Design, Drawing, Painting, Photography, Printmaking and Sculpture.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Connecticut", "url": "http://www.gatewayct.edu/Programs-Courses/Divisions-Departments/Humanities"} +{"d:Title": "Connecticut College", "d:Description": "Information on major and minor programs, departmental events and awards, gallery program, grants, fellowships and internships.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Connecticut", "url": "http://www.conncoll.edu/arts-culture/"} +{"d:Title": "Quinebaug Valley Community College", "d:Description": "Offers a two-year program that prepares students for degrees in Studio Art, Graphic Arts or Photography. Certificates are also offered in these areas of study for those wishing to hone existing skills or for students with prior degrees.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Connecticut", "url": "http://qvcc.edu/associate-degrees/visual-arts/"} +{"d:Title": "University of Delaware", "d:Description": "Information on BFA, BA and MFA programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Delaware", "url": "http://www.udel.edu/art/"} +{"d:Title": "Barry University, School of Arts and Sciences", "d:Description": "Art, Photography, Music, Theatre and Dance programs. Located in Miami.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Florida", "url": "http://www.barry.edu/finearts/"} +{"d:Title": "The Art Institute of Fort Lauderdale", "d:Description": "Offers Bachelor degrees in graphic arts, fashion, culinary arts, fine arts and web design.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Florida", "url": "https://www.artinstitutes.edu/fort-lauderdale"} +{"d:Title": "Art Institute of Atlanta", "d:Description": "Bachelor's and Associate's degree programs in design, media arts and culinary arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Georgia", "url": "http://www.artinstitutes.edu/atlanta/"} +{"d:Title": "University of Hawaii at Manoa", "d:Description": "Offers programs of study at the graduate and undergraduate levels.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Hawaii", "url": "http://www.hawaii.edu/art/"} +{"d:Title": "Boise State University", "d:Description": "Offers classes in art education, art history, architecture, painting, ceramics, printmaking, art metals, graphic design, photography, sculpture, illustration and drawing.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Idaho", "url": "https://art.boisestate.edu/"} +{"d:Title": "Columbia College Chicago", "d:Description": "Providing visual, performing, media and communications degrees and programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Illinois", "url": "http://www.colum.edu/"} +{"d:Title": "The American Academy of Art", "d:Description": "Four year programs in commercial and fine arts. Course information and application form. Chicago.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Illinois", "url": "http://www.aaart.edu/"} +{"d:Title": "Southern Illinois University at Carbondale", "d:Description": "Cinema and photography course information provided.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Illinois", "url": "http://cp.siu.edu/"} +{"d:Title": "School of the Art Institute of Chicago", "d:Description": "Providing degrees at the undergraduate, post-baccalaureate, and graduate levels, in a variety of arts and design fields.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Illinois", "url": "http://www.saic.edu/"} +{"d:Title": "Herron School of Art", "d:Description": "Offers degree programs in art education, art history, fine arts and visual communications. Indianapolis.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Indiana", "url": "http://www.herron.iupui.edu/"} +{"d:Title": "Valparaiso University - Department of Art", "d:Description": "Offering arts and media courses. Program, contact, and admission information.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Indiana", "url": "http://www.valpo.edu/art/"} +{"d:Title": "Iowa State University | College of Design", "d:Description": "Providing programs in architecture, graphic design, interior design, landscape architecture, art and design.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Iowa", "url": "http://www.design.iastate.edu/"} +{"d:Title": "St. Ambrose University", "d:Description": "Degree programs in Fine Art, Graphic Design and Art Education.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Iowa", "url": "http://www.sau.edu/"} +{"d:Title": "University of Iowa | School of Art and Art History", "d:Description": "Art education, photography, painting and drawing, metalsmithing and jewelry, intermedia, printmaking and sculpture.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Iowa", "url": "https://art.uiowa.edu/"} +{"d:Title": "Emporia State University", "d:Description": "Programs include art education, ceramics, digital imaging and animation, graphic design, drawing, glass forming, metals, painting, photography, printmaking, sculpture and fibers.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Kansas", "url": "http://www.emporia.edu/art/"} +{"d:Title": "Eastern Kentucky University", "d:Description": "Offering art education, art history, ceramics, graphic design, interior design, jewelry / metals, painting, photography, printmaking and sculpture.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Kentucky", "url": "http://www.art.eku.edu/"} +{"d:Title": "University of Kentucky at Lexington", "d:Description": "The College of Fine Arts provides programs in music, theatre, art and arts administration.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Kentucky", "url": "http://www.uky.edu/FineArts/"} +{"d:Title": "Morehead State University", "d:Description": "Provides an undergraduate program which prepares students for a career in art education, graphic design, studio practice, art related professions or advanced study.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Kentucky", "url": "http://www.moreheadstate.edu/art/"} +{"d:Title": "Allen R. Hite Art Institute at the University of Louisville", "d:Description": "Degree programs offered, including a PhD program in art history. Information on BA and BFA courses, graduate studies, visiting arts and registration.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Kentucky", "url": "http://louisville.edu/art/"} +{"d:Title": "University of New Orleans - Department of Fine Art", "d:Description": "Offers an undergraduate curriculum leading to a Bachelor of Arts degree.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Louisiana", "url": "http://finearts.uno.edu/"} +{"d:Title": "University of Southern Maine", "d:Description": "Degree programs in Art History, Art Education, fine and applied arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Maine", "url": "http://www.usm.maine.edu/art/"} +{"d:Title": "University of Maine at Orono", "d:Description": "Courses in Film/Video,Multimedia and visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Maine", "url": "http://www.umaine.edu/art/"} +{"d:Title": "Bates College", "d:Description": "Offers courses in the history of art and in studio practice.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Maine", "url": "http://abacus.bates.edu/catalog/?s=current&a=renderDept&d=ART"} +{"d:Title": "The New England School of Art&Design", "d:Description": "Fine arts, graphic design and interior design programs. Part of Suffolk University.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Massachusetts", "url": "http://www.suffolk.edu/nesad/"} +{"d:Title": "School of The Museum of Fine Arts, Boston", "d:Description": "Offering degree and all-studio certificate programs, as well as continuing education classes.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Massachusetts", "url": "http://www.smfa.edu/"} +{"d:Title": "Tufts University", "d:Description": "Undergraduate and graduate programs offered in the areas of architecture, museum studies, multimedia, art history and fine arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Massachusetts", "url": "http://ase.tufts.edu/art/"} +{"d:Title": "Wheaton College", "d:Description": "Offering programs in art, music, theatre studies and dance. Located in Norton.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Massachusetts", "url": "http://www.wheatoncollege.edu/arts/"} +{"d:Title": "Merrimack Liberal Arts College in New England", "d:Description": "Selective, independent, four-year Catholic college offering liberal arts, business, science and engineering programs within a residential New England campus setting.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Massachusetts", "url": "http://www.merrimack.edu/"} +{"d:Title": "Lesley University", "d:Description": "Degree and certificate programs in graphic design, illustration, animation, photography and arts education.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Massachusetts", "url": "http://www.lesley.edu/college-art-and-design/"} +{"d:Title": "Grand Valley State University", "d:Description": "Course listings for art education, metalsmithing, graphic design and the visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Michigan", "url": "http://www.gvsu.edu/art/"} +{"d:Title": "College for Creative Studies", "d:Description": "Private, fully accredited, four-year college that offers bachelor of fine arts degrees in animation and digital media, crafts, communication design, fine arts, industrial design, interior design and photography. Located in Detroit, also offers continuing education and community outreach opportunities.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Michigan", "url": "http://www.collegeforcreativestudies.edu/"} +{"d:Title": "Alma College", "d:Description": "BFA degree program with concentration in ceramics, drawing, graphic design, painting, photography, printmaking, sculpture and three-dimensional design.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Michigan", "url": "http://www.alma.edu/arts/"} +{"d:Title": "University of Missouri at Columbia", "d:Description": "Undergraduate and graduate programs in drawing, painting, design, graphic design, sculpture, printmaking, ceramics, fibers and photography.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Missouri", "url": "http://art.missouri.edu/"} +{"d:Title": "Sam Fox School of Design&Visual Arts, Washington University", "d:Description": "BFA major areas in ceramics, fashion design, visual communications, painting, photography, printmaking/drawing and sculpture. Located in St.Louis, MO.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Missouri", "url": "http://sfac.wustl.edu/"} +{"d:Title": "Montana State University - School of Art", "d:Description": "Offers BFA and MFA programs, course, application, and contact information.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Montana", "url": "http://art.montana.edu/"} +{"d:Title": "Wayne State College | School of Arts and Humanities", "d:Description": "Information on programs in the departments of Art and Design, Music, Language and Literature, and Communication Arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Nebraska", "url": "http://www.wsc.edu/schools/ahu/"} +{"d:Title": "Nebraska Wesleyan University", "d:Description": "Information on majors, minors, graduate and preprofessional studies.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Nebraska", "url": "http://www.nebrwesleyan.edu/academics/academic-departments-and-programs/art-department"} +{"d:Title": "Hixson-Lied College of Fine and Performing Arts", "d:Description": "Part of the University of Nebraska.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Nebraska", "url": "http://arts.unl.edu/"} +{"d:Title": "The Art Institute of Las Vegas", "d:Description": "Associate's and Bachelor's degree programs in drafting technology, graphic design, interior design, multimedia and web design, and media arts and animation.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Nevada", "url": "http://ailv.artinstitutes.edu/"} +{"d:Title": "New Hampshire Institute of Art", "d:Description": "A nationally accredited art college in Manchester, NH offering a Bachelor of Fine Arts degree, Certificate Programs and a strong Continuing Education program.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Hampshire", "url": "http://www.nhia.edu/"} +{"d:Title": "University of New Hampshire", "d:Description": "The Department of Art and Art History offers programs leading to a Bachelor of Arts degree in either Studio Art or Art History, and a Bachelor of Fine Arts degree in Studio Art.\u00a0 Certification for art teaching in the public schools is also offered.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Hampshire", "url": "http://cola.unh.edu/arts"} +{"d:Title": "Colby-Sawyer College", "d:Description": "Programs in studio arts offered.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Hampshire", "url": "http://colby-sawyer.edu/studio-art"} +{"d:Title": "Ramapo College School of Contemporary Arts", "d:Description": "Instruction in the visual, communication, theatrical, and musical arts, including art history, communication, music, photography, theater and video/radio production", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://www.ramapo.edu/catalog_03_04/academicPrograms/CA/index.html"} +{"d:Title": "Rowan University College of Fine and Performing Arts", "d:Description": "Information on programs provided by the Department of Art, Department of Music and the Department of Theatre and Dance.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://www.rowan.edu/colleges/fpa/"} +{"d:Title": "Montclair State University", "d:Description": "Undergraduate and graduate programs offered with areas of concentration in studio arts, art education and art history.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://www.montclair.edu/arts/"} +{"d:Title": "Drew University", "d:Description": "Areas of study include art history, photography, studio arts and 3D design.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://www.drew.edu/art/"} +{"d:Title": "Bloomfield College", "d:Description": "Creative Arts and Technology Department provides information on courses in music, theatre, multimedia, digital video, animation, graphics and fine art.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://www.bloomfield.edu/academics/degrees-programs/creative-arts-technology"} +{"d:Title": "Saint Petersburg College", "d:Description": "Major and minor programs in art history, music and studio arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://www.spcollege.edu/hfa/"} +{"d:Title": "Georgian Court University", "d:Description": "Provides information on programs in the arts, design, art education and art history", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://georgian.edu/academics/undergraduate/art-visual-studies/"} +{"d:Title": "Seton Hall University", "d:Description": "Undergraduate offering includes programs in fine arts, graphic design, art history, music performance, music history, art education and music education.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Jersey", "url": "http://www.shu.edu/arts-sciences/"} +{"d:Title": "University of New Mexico - Art Education Program", "d:Description": "The program prepares and supports art professionals in their examination of multiple approaches to art education.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Mexico", "url": "http://www.unm.edu/~arted/"} +{"d:Title": "Institute of American Indian Arts", "d:Description": "Post secondary education of Native American Indians. Offers degrees in creative writing, studio arts, visual communications and museum studies. Includes museum of contemporary Native American fine art with online store.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_Mexico", "url": "https://iaia.edu/"} +{"d:Title": "University at Buffalo - Department of Art", "d:Description": "Features program, admission, faculty and events.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_York", "url": "http://ubart.buffalo.edu/"} +{"d:Title": "Mannes College of Music", "d:Description": "Located in New York and training classical musicians of all ages through its College, Extension and Preparatory Divisions. Includes academic information and a concert calendar.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_York", "url": "http://www.newschool.edu/mannes/"} +{"d:Title": "Syracuse University", "d:Description": "College of Visual and Performing Arts offers BFA and MFA degrees in Photography, Film, Video and Computer Graphics.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/New_York", "url": "http://vpa.syr.edu/academics/art/"} +{"d:Title": "Fayetteville State University", "d:Description": "Provides information on the performing and fine arts programs for school located in Fayetteville, North Carolina.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/North_Carolina", "url": "http://www.uncfsu.edu/fah/"} +{"d:Title": "University of North Dakota", "d:Description": "Offers degree programs in visual arts, photography, art history and art education. Located in Grand Forks.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/North_Dakota", "url": "http://www.und.edu/dept/art/"} +{"d:Title": "Minot State University", "d:Description": "BA and BS degrees with majors and minors in art, art education, graphic design and multimedia.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/North_Dakota", "url": "http://www.minotstateu.edu/msuart/"} +{"d:Title": "North Dakota State University", "d:Description": "Offering programs in music, theatre arts and visual arts. Located in Fargo.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/North_Dakota", "url": "https://www.ndsu.edu/performingarts/"} +{"d:Title": "College of Design, Architecture, Art and Planning", "d:Description": "Undergraduate and graduate programs in Fine Arts, Art History, Architecture, Planning, Interior Design, and Fashion Design. Located at the University of Cincinnati.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Ohio", "url": "http://www.daap.uc.edu/"} +{"d:Title": "Ohio State University Department the Art", "d:Description": "General education courses for non-art majors, courses for elementary classroom teachers, Bachelors, Masters, Ph.D. and Certificate programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Ohio", "url": "http://art.osu.edu/"} +{"d:Title": "School of Art at Ohio University", "d:Description": "Undergraduate programs in art education, art history, graphic design and visual arts; graduate programs in art education, art history and visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Ohio", "url": "http://www.finearts.ohio.edu/art/"} +{"d:Title": "Bowling Green State University School of Art", "d:Description": "Offering undergraduate degrees in the areas of Art Education, Art History, Graphic Design, Computer Art, two-dimensional studies and three-dimensional studies.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Ohio", "url": "http://art.bgsu.edu/"} +{"d:Title": "University of Oklahoma | College of Fine Arts", "d:Description": "Offers degree programs in art, drama, music and dance.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Oklahoma", "url": "http://www.ou.edu/finearts/"} +{"d:Title": "Northeastern State University", "d:Description": "Offers studies in literature, creative writing, languages, mass media, fine and performing arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Oklahoma", "url": "http://academics.nsuok.edu/Default.aspx?alias=academics.nsuok.edu/liberalarts"} +{"d:Title": "Cameron University", "d:Description": "Offers degrees in the areas of art, communications, languages, music, history, english and theatre.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Oklahoma", "url": "http://www.cameron.edu/liberal_arts"} +{"d:Title": "The University of Tulsa | Henry Kendell College of Arts and Sciences", "d:Description": "BA and BFA programs in Ceramics, Graphic Design, Painting, Photography, Printmaking, or Sculpture; BA program in Art History; and MA, MFA and MTA programs in Ceramics, Graphic Design, Painting, Photography, Printmaking or Sculpture.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Oklahoma", "url": "https://artsandsciences.utulsa.edu/academics/departments-schools/art/"} +{"d:Title": "Tyler School of Art", "d:Description": "Programs in Architecture, Art and Art Education, Art History, Crafts, Fibers and Fabric Design, Jewelry, Metals/CAD-CAM, Graphic Arts and Design, Painting, Drawing and Sculpture.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Pennsylvania", "url": "http://www.temple.edu/tyler/"} +{"d:Title": "Pennsylvania College of Art and Design", "d:Description": "Art college, offering programs in fine art, graphic design, illustration, and photography. Located in Lancaster, Pennsylvania.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Pennsylvania", "url": "http://pcad.edu/"} +{"d:Title": "Carnegie-Mellon University - School of Design", "d:Description": "Provides information about the program, courses, news and events.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Pennsylvania", "url": "http://www.design.cmu.edu/"} +{"d:Title": "Art Institute of Pittsburgh", "d:Description": "Offers programs in advertising, culinary arts, entertainment design, media arts, fashion, industrial design, web design and visual effects.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Pennsylvania", "url": "https://www.artinstitutes.edu/pittsburgh"} +{"d:Title": "Carnegie-Mellon University - School of Art", "d:Description": "Offers program information, philosophy, mission, news and events.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Pennsylvania", "url": "http://www.cmu.edu/art/"} +{"d:Title": "Rhode Island School of Design", "d:Description": "Offering architecture, art history, graphic design, film, animation, video, photography and visual arts programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Rhode_Island", "url": "http://www.risd.edu/"} +{"d:Title": "Clemson University", "d:Description": "Undergraduate and graduate programs offered.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/South_Carolina", "url": "http://www.clemson.edu/caah/art/"} +{"d:Title": "University of South Carolina", "d:Description": "Academic programs in English, theatre, dance, film studies and studio art.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/South_Carolina", "url": "http://www.cas.sc.edu/art/"} +{"d:Title": "South Carolina State University", "d:Description": "Visual and performing arts programs in Art Education, Music Education, Drama, Drama Education, Commercial Music with an emphasis in Music Technology, Music Performance,Studio Art, Digital Media, Printmaking and Ceramics/Sculpture.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/South_Carolina", "url": "http://www.scsu.edu/academicdepartments/departmentofvisualperformingarts.aspx"} +{"d:Title": "Northern State University", "d:Description": "Information on programs, faculty, gallery and scholarship programs. Located in Aberdeen.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/South_Dakota", "url": "http://www.northern.edu/academics/schools/SFA/Pages/default.aspx"} +{"d:Title": "University of South Dakota", "d:Description": "Offers BFA and BFA/Art Education undergraduate degrees as well as a MFA degree. Emphasis areas include art education, photography, painting, printmaking, sculpture, graphic design, multimedia, ceramics and art history.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/South_Dakota", "url": "http://www.usd.edu/arts-and-sciences"} +{"d:Title": "Watkins College of Art and Design", "d:Description": "Fine arts curriculum including film, photography, graphic design, and interior design. Courses described, tuition, and on-line applications.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Tennessee", "url": "http://www.watkins.edu/"} +{"d:Title": "Nossi College Of Art", "d:Description": "Offering courses in commercial art, commercial digital photography and graphic design. Located in Goodlettsville.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Tennessee", "url": "http://www.nossi.edu/"} +{"d:Title": "University of Houston", "d:Description": "Offers bachelor and master of fine arts degrees in five studio areas, and a Bachelor of Arts in Art History and Art Education.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Texas", "url": "http://www.art.uh.edu/"} +{"d:Title": "Wade College", "d:Description": "Two-year college offering an Associate of Arts degree in Merchandising and Design. Located in Dallas.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Texas", "url": "http://www.wadecollege.edu/"} +{"d:Title": "Art Institute of Houston", "d:Description": "Programs in media arts, graphic design, interior design, animation and culinary arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Texas", "url": "http://www.artinstitutes.edu/houston/"} +{"d:Title": "The Art Institute of Dallas", "d:Description": "Bachelor's and Associate's degree programs in design, media arts, culinary arts and fashion.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Texas", "url": "http://www.artinstitutes.edu/dallas/"} +{"d:Title": "School of Arts and Humanities", "d:Description": "Information on undergraduate and graduate studies in the arts and humanities at the University of Texas at Dallas.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Texas", "url": "http://www.utdallas.edu/ah/"} +{"d:Title": "University of Utah", "d:Description": "Undergraduate and graduate studies in art history and studio art, including art education, ceramics, graphic design, illustration, painting and drawing, photography, printmaking and sculpture.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Utah", "url": "http://www.art.utah.edu/"} +{"d:Title": "Utah Valley University", "d:Description": "Offering programs in art, visual communications, dance, theatre, English and literature.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Utah", "url": "http://www.uvu.edu/hass/"} +{"d:Title": "University of Vermont", "d:Description": "Degree programs in Art Education, Art History and studio art.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Vermont", "url": "http://www.uvm.edu/~artdept/"} +{"d:Title": "Green Mountain College", "d:Description": "Visual and performing arts courses, including theatre, music and the visual arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Vermont", "url": "http://www.greenmtn.edu/"} +{"d:Title": "Saint Michael's College", "d:Description": "Art, Dance, Music, Speech Communication and Theatre programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Vermont", "url": "https://www.smcvt.edu/Academics/Majors-Minors-and-Curriculum/Art.aspx"} +{"d:Title": "Hollins University", "d:Description": "Course offerings, major requirements, faculty listings, internship, exhibition, and study abroad information.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Virginia", "url": "http://www.hollins.edu/academics/art/studioart.shtml"} +{"d:Title": "University of Washington School of Art", "d:Description": "Offers art and art history programs.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Washington", "url": "http://art.washington.edu/"} +{"d:Title": "The Art Institute of Seattle", "d:Description": "Programs through School of Design, School of Fashion, School of Media Arts and School of Culinary Arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Washington", "url": "http://www.artinstitutes.edu/seattle"} +{"d:Title": "Corcoran School of the Arts and Design", "d:Description": "Offering bachelors and associates degrees in digital media, graphic design, photojournalism, interior design and fine art.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Washington,_DC", "url": "http://corcoran.gwu.edu/"} +{"d:Title": "West Virginia University: Division of Art", "d:Description": "Undergraduate and graduate degree programs. Includes calendar, faculty, lectures and employment opportunities.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/West_Virginia", "url": "http://art.ccarts.wvu.edu/"} +{"d:Title": "University of Wisconsin at Eau Claire", "d:Description": "Degree programs in Art Education, Art History, studio courses, Graphic Design and Illustration.", "topic": "Top/Arts/Education/Colleges_and_Departments/North_America/United_States/Wisconsin", "url": "http://www.uwec.edu/art/"} +{"d:Title": "University of New South Wales | College of Fine Arts", "d:Description": "Offering studies in the visual arts, design, digital media, art education, art history and theory.", "topic": "Top/Arts/Education/Colleges_and_Departments/Oceania", "url": "http://www.cofa.unsw.edu.au/"} +{"d:Title": "University of Queensland", "d:Description": "Undergraduate and post graduate options outlined. Queensland, Australia.", "topic": "Top/Arts/Education/Colleges_and_Departments/Oceania", "url": "http://www.arts.uq.edu.au/"} +{"d:Title": "Victorian College of the Arts", "d:Description": "Art, dance, drama, music, film and television courses offered. Part of the University of Melbourne in Australia.", "topic": "Top/Arts/Education/Colleges_and_Departments/Oceania", "url": "http://www.vca.unimelb.edu.au/"} +{"d:Title": "National Art School", "d:Description": "Offers several programs - from the 3 year full time Bachelor of Fine Art to 1 week studio intensives. Located in Sydney, Australia.", "topic": "Top/Arts/Education/Colleges_and_Departments/Oceania", "url": "http://www.nas.edu.au/"} +{"d:Title": "University of Western Australia", "d:Description": "Degree and diploma programs offered through the Faculty of Architecture, Landscape and Visual Arts.", "topic": "Top/Arts/Education/Colleges_and_Departments/Oceania", "url": "http://www.alva.uwa.edu.au/"} +{"d:Title": "Australian National University Institute of the Arts", "d:Description": "Includes the School of Music, School of Art and Australian Centre for Arts and Technology.", "topic": "Top/Arts/Education/Colleges_and_Departments/Oceania", "url": "http://www.anu.edu.au/ITA/CSA/"} +{"d:Title": "North Texas Institute for Educators on the Visual Arts", "d:Description": "Provides extensive training in the theory and implementation of discipline-based art education for school district teams comprised of art specialists, art supervisors, classroom teachers, principals, superintendents and school board members, as well as for museum educators and docents", "topic": "Top/Arts/Education/Educators", "url": "http://www.art.unt.edu/ntieva/"} +{"d:Title": "Artsonia", "d:Description": "Student art gallery showcasing projects from around the world, along with newsletter, school profiles and information for teachers.", "topic": "Top/Arts/Education/Educators", "url": "http://www.artsonia.com/"} +{"d:Title": "Notes for a Perspective on Arts Education", "d:Description": "Covering topics such as Basic Beliefs in Contemporary Art Education, A History of Educational Thought and Recent Art History, among others.", "topic": "Top/Arts/Education/Educators", "url": "http://www.noteaccess.com/APPROACHES/ArtEd/History/index.htm"} +{"d:Title": "McBride, Kelli", "d:Description": "College Writing and Literature Instructor. Presenting handouts, assignments, syllabi, and poetry.", "topic": "Top/Arts/Education/Educators", "url": "http://www.kellimcbride.com/"} +{"d:Title": "Deck, Shelley", "d:Description": "Canadian artist and arts teacher. Includes portfolios, curriculum vitae and details of her master's project.", "topic": "Top/Arts/Education/Educators", "url": "http://www.shelleydeck.com/"} +{"d:Title": "The Chronicle of Higher Education: Career Network", "d:Description": "Job postings for faculty and research positions in art, art history, literature, music, theater and other humanities.", "topic": "Top/Arts/Education/Educators/Employment", "url": "http://chronicle.com/jobs/"} +{"d:Title": "ArtsConnectEd", "d:Description": "Gateway to the art collections, educational materials, and other online resources of The Minneapolis Institute of Arts and Walker Art Center, supporting the needs of the K-12 art education community.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.artsconnected.org/"} +{"d:Title": "Young Audiences", "d:Description": "Nonprofit organization which works with educational systems, the arts community and private and public sectors to provide arts education to children.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.youngaudiences.org/"} +{"d:Title": "Home School Arts", "d:Description": "Online lessons in pencil, pen and ink, watercolor, pastel, perspective, acrylic, oil and others.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.homeschoolarts.com/"} +{"d:Title": "Art Teacher on the Net", "d:Description": "Newsletter, project ideas and projects, teacher exchange and links to resources.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.artmuseums.com/"} +{"d:Title": "KinderArt", "d:Description": "Directory of arts education resources, including art lesson plans, reference material, forum for exchanging ideas and onlink kid's art gallery.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.kinderart.com/"} +{"d:Title": "Crayola Creativity Central", "d:Description": "Inspiring ideas, helpful information, lesson plans, art advocacy information and resources for art educators and parents.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.crayola.com/educators/"} +{"d:Title": "Doodle's Studio: Teacher Resources", "d:Description": "Museum links, art resource sites, art and school suppliers, and other teacher resources.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.doodlestudio.com/TeacherParent/Filecab.html"} +{"d:Title": "Sassafrass Grove Arts Page", "d:Description": "Resources for teaching art and music, with links to art history, tools and techniques, creativity and reference sites.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.angelfire.com/mo/sasschool/musart.html"} +{"d:Title": "The San Francisco Art and Film Program for Teenagers", "d:Description": "Special programs and events in arts education originally developed to address the diminishing presence of arts and humanities in the public school curriculum.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.chaseartfilm.com/"} +{"d:Title": "Spiral Art Education Lesson Plans", "d:Description": "Art projects based on contemporary art for the K-12 classroom. Each project includes lesson plans and student samples as well as information on related artists and issues", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://spiral.aa.uic.edu/"} +{"d:Title": "Art Start", "d:Description": "San Diego artist and teacher Susan Bainbridge teaches classes and runs art camps for children. Reviews, links to her own and student works, and links to other art sites for children.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.artstart1.com/"} +{"d:Title": "PBS TeacherSource: The Arts", "d:Description": "PBS television and Web resources, including lesson plans and activities for preschool through high school correlated to state and national standards.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.pbs.org/teachers/arts/"} +{"d:Title": "The Art Room", "d:Description": "Virtual classroom providing the opportunity to create, discover, invent and learn.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.artjunction.org/"} +{"d:Title": "ArtsEdNet", "d:Description": "A variety of teaching and learning materials, including lesson plans and curriculum ideas, divided into grade level from elementary through high school.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.getty.edu/education/"} +{"d:Title": "Division of Arts Education", "d:Description": "Statement plus information on arts education programs for K-12 in the Montgomery County Public School system in Maryland, U.S.A.", "topic": "Top/Arts/Education/Educators/K_through_12", "url": "http://www.montgomeryschoolsmd.org/curriculum/art/"} +{"d:Title": "Orange is Tertiary: The Theory of Colour", "d:Description": "How to teach and understand colour.", "topic": "Top/Arts/Education/Educators/Teaching_Resources", "url": "http://www.abelard.org/colour/col-hi.htm"} +{"d:Title": "Art Search", "d:Description": "Directory of links to art education resources, including history of art, philosophies of art education, discipline-based art education, lesson plans, art materials, job openings in American schools, and other information.", "topic": "Top/Arts/Education/Educators/Teaching_Resources", "url": "http://lrs.ed.uiuc.edu/students/hamad/secondpage.htm"} +{"d:Title": "Activities for Children", "d:Description": "References and resources for art projects.", "topic": "Top/Arts/Education/Educators/Teaching_Resources", "url": "http://www.noteaccess.com/MATERIALS/Activities.htm"} +{"d:Title": "ArtsEdge", "d:Description": "News, teaching materials and professional resources from organization established under a cooperative agreement between the Kennedy Center for the Performing Arts, the National Endowment for the Arts, and the U.S. Department of Education.", "topic": "Top/Arts/Education/Educators/Teaching_Resources", "url": "http://artsedge.kennedy-center.org/"} +{"d:Title": "Tennessee Arts Academy", "d:Description": "Intensive week-long training sessions offered in the summer in Nashville for K-12 arts teachers, supervisors and principals. Topics include theatre, visual arts, music, and administration.", "topic": "Top/Arts/Education/Educators/Teaching_Resources", "url": "http://www.tennesseeartsacademy.org/"} +{"d:Title": "Ann Saxton's Art Scheme of Work", "d:Description": "Lesson plans covering keystage 1 and keystage 2, topic driven for primary schools in the UK.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://www.annsaxton.co.uk/"} +{"d:Title": "Sculpture and Collage Lessons for K-12 - KinderArt", "d:Description": "Free sculpture and collage lesson plans.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://www.kinderart.com/sculpture/"} +{"d:Title": "k6artlessonplans.com", "d:Description": "Plans for art education, kindergarten to sixth grade. Monthly subscription.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://k6artlessonplans.com/"} +{"d:Title": "Art Lessons and Ideas for Teachers", "d:Description": "Art lesson plans and curriculum ideas for elementary school and art education (In English and Japanese)", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://www006.upp.so-net.ne.jp/artcommunal/lessonplans.htm"} +{"d:Title": "Impressionism and Post-Impressionism", "d:Description": "Designed to help students' understanding of objects in The Art Institute of Chicago. They can be used as a resource both before and after a museum visit and include a list of the fulfilled Illinois Learning Standards.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://www.artic.edu/aic/collections/exhibitions/Impressionism/index"} +{"d:Title": "Modern and Contemporary Art", "d:Description": "Designed to help students' understanding of objects in The Art Institute of Chicago. They can be used as a resource both before and after a museum visit and include a list of the fulfilled Illinois Learning Standards.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://www.artic.edu/aic/collections/exhibitions/Modern/index"} +{"d:Title": "African American Art", "d:Description": "Designed to help students' understanding of objects in The Art Institute of Chicago. They can be used as a resource both before and after a museum visit and include a list of the fulfilled Illinois Learning Standards.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://www.artic.edu/aic/collections/exhibitions/AfricanAmerican/index"} +{"d:Title": "The Incredible Art Department: Favorite Lessons", "d:Description": "Art lessons for early childhood, elementary, secondary, and college-level students.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Lesson_Plans", "url": "http://www.incredibleart.org/lessons/"} +{"d:Title": "CRIZMAC Art and Cultural Education Materials, Inc.", "d:Description": "Publisher provides online catalog of folk art, curriculum and homeschooling resources.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Supplies", "url": "http://www.crizmac.com/"} +{"d:Title": "Crystal Productions", "d:Description": "Art education resource materials such as posters, prints, videos, multimedia packs, CD-ROMs, books, videodiscs and games, for elementary, secondary and college-level teaching.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Supplies", "url": "http://www.crystalproductions.com/"} +{"d:Title": "KidsArt", "d:Description": "Online shopping for art teaching supplies.", "topic": "Top/Arts/Education/Educators/Teaching_Resources/Supplies", "url": "http://www.kidsart.com/"} +{"d:Title": "Poetry Teachers", "d:Description": "Resources for teaching poetry to children, including lesson plans, performance tips, and fun activities.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://www.poetryteachers.com/"} +{"d:Title": "The English Association", "d:Description": "Association for teachers of English literature and language at all levels from primary to post-graduate, and for all those with an interest in the English language and its literatures.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://www.le.ac.uk/engassoc/"} +{"d:Title": "School-Home Links", "d:Description": "Materials for families to ensure good reading skills in children. Includes 400 activities for K-3 students. From the U.S. Department of Education.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://www.ed.gov/pubs/CompactforReading/"} +{"d:Title": "Scripts for Schools", "d:Description": "Markets play scripts, reading scripts, and choral speaking scripts for schools and libraries. Provides free online samples.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://scriptsforschools.com/"} +{"d:Title": "Storycart Press Presents Readers Theatre", "d:Description": "Subscription service offers free sample scripts.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://www.storycart.com/"} +{"d:Title": "EdSitement", "d:Description": "Click on the \"Literature and Language Arts\" text to access numerous resources from the Academy of American Poets through Women of the West Museum as well as lesson plans and activities.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://edsitement.neh.gov/"} +{"d:Title": "Learning in Motion", "d:Description": "Resources from tongue twisters to Zen stories.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://www.learn.motion.com/"} +{"d:Title": "The Dialect Coach", "d:Description": "Offers dialect coaching in all dialects for actors as well as accent reduction.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://www.thedialectcoach.com/"} +{"d:Title": "Jacksonville - El Faro Language School", "d:Description": "Offers tutoring for adults and children available.", "topic": "Top/Arts/Education/Language_Arts", "url": "http://www.elfaroschool.com/"} +{"d:Title": "Allan Cooper's Homonym List", "d:Description": "This is simply a long list of homonyms arranged in alphabetical order. It takes awhile to load, but would be useful to show students when studying homonyms.", "topic": "Top/Arts/Education/Language_Arts/Elementary", "url": "http://www.cooper.com/alan/homonym_list.html"} +{"d:Title": "Children's Literature Web Guide", "d:Description": "Titles, reviews, discussion and links to other sites relating to books for children and young adults. Searchable.", "topic": "Top/Arts/Education/Language_Arts/Elementary", "url": "http://www.acs.ucalgary.ca/~dkbrown/new.html"} +{"d:Title": "U Otter Read It", "d:Description": "Designed to provide educators with information about literacy resources and workshops; specifically readers theatre.", "topic": "Top/Arts/Education/Language_Arts/Elementary", "url": "http://www.uotter.com/"} +{"d:Title": "The Audrey Wood Clubhouse", "d:Description": "Children's book author/illustrator Audrey Wood's clubhouse. The Clubhouse is an educational resource for teachers and librarians. Learn how children's books are created and have fun with the activity pages.", "topic": "Top/Arts/Education/Language_Arts/Elementary", "url": "http://www.audreywood.com/"} +{"d:Title": "Absolutely Whootie: Stories to Grow By", "d:Description": "Fairytales and folk tales courtesy of Whootie Owl. Award-winning site has ethical, fun stories from around the world.", "topic": "Top/Arts/Education/Language_Arts/Elementary", "url": "https://www.storiestogrowby.org/"} +{"d:Title": "English without Accent", "d:Description": "A method to reduce a foreign accent over the internet by a licensed speech and language pathologist.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://englishwithoutaccent.com/"} +{"d:Title": "Calls for Papers", "d:Description": "Announcement of calls for papers for conference presentations, scholarly journal articles and edited anthologies in the fields surrounding English departments.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://calls.eserver.org/"} +{"d:Title": "Silly-plurals", "d:Description": "Mailing list for discussion of plural forms of words, and announcements of new discoveries. Archives and subscription information.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://lists.beasts.org/mailman/listinfo/silly-plurals"} +{"d:Title": "Promote Yourself With Better Grammar.", "d:Description": "Information and printable practice exercises on nouns and verbs, from the book series.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://www.bettergrammar.org/"} +{"d:Title": "The Story Tent", "d:Description": "A resource for literacy and oracy through story in schools in the UK, Belgium and the Netherlands. Tellings use puppets, mask, mime and participation. Support materials provided.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://www.storytent.co.uk/"} +{"d:Title": "English Grammar Help", "d:Description": "Nonprofit organization teaching English grammar and related English language skills through interactive animated cartoons.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://www.grammarmechanics.com/"} +{"d:Title": "Scripps National Spelling Bee", "d:Description": "Includes weekly literature-based word activities, a listing of local spelling bees, the history of the national (American) event, rules, and answers to frequently asked questions.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://www.spellingbee.com/"} +{"d:Title": "TutorPal", "d:Description": "Provides references to resources for HEL (history of the English language) the IPA, grammar, and homeschool materials. Private tutoring and lessons are also available.", "topic": "Top/Arts/Education/Language_Arts/English", "url": "http://www.tutorpal.com/"} +{"d:Title": "University of California at Berkeley", "d:Description": "Undergraduate and graduate information, announcements, faculty, staff and department resources. Located at Berkeley, California, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.berkeley.edu/"} +{"d:Title": "University of California at Santa Barbara", "d:Description": "Department overview, programs for undergrads and graduates, research, initiatives, projects, news and announcements. Located in Santa Barbara, California, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.ucsb.edu/"} +{"d:Title": "University of Michigan", "d:Description": "Undergraduate and graduate programs, courses, faculty, news, events and information on the Sweetland Writing Center. Located in Ann Arbor, Michigan, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.lsa.umich.edu/english/"} +{"d:Title": "University of Southern Maine", "d:Description": "Faculty and course offerings, newsletter, Stonecoast Writers' Conference, programs and other information. Located in Portland, Maine, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.usm.maine.edu/~eng/"} +{"d:Title": "The University of Notre Dame", "d:Description": "Undergraduate and graduate information, plus journals, faculty, course descriptions, administration and information on the Irish Studies Program. Located in Notre Dame, Indiana, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.nd.edu/~english/"} +{"d:Title": "Georgia State University", "d:Description": "Graduate and undergraduate programs, publications, services, organizations, events plus information on first-year writing and lower division studies. Located in Atlanta, Georgia, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.gsu.edu/~wwweng/"} +{"d:Title": "The University of Helsinki", "d:Description": "Department overview and information on staff, student exchange, research unit, seminars and courses. Located in Helsinki, Finland.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.eng.helsinki.fi/"} +{"d:Title": "University of Connecticut", "d:Description": "News, events, faculty, directory, courses, affiliated programs and information on graduate and undergraduate programs. Located in Storrs, Connecticut, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.uconn.edu/"} +{"d:Title": "Western Michigan University", "d:Description": "Graduate and Ph. D. programs, course descriptions, faculty, publications and related information. Located in Kalamazoo, Michigan, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.wmich.edu/english/"} +{"d:Title": "Brock University", "d:Description": "Undergraduate degrees and majors, administration, faculty, courses and events. Located in St. Catharines, Ontario, Canada.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.brocku.ca/english/"} +{"d:Title": "Washington University", "d:Description": "Academic information plus overview of faculty, staff, resources and graduate program. Located in St. Louis, Missouri, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.artsci.wustl.edu/~english/"} +{"d:Title": "Uppsala University", "d:Description": "History, undergraduate and graduate studies, project, research and information on The English Society. Located in Uppsala, Sweden.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.engelska.uu.se/"} +{"d:Title": "Iowa State University", "d:Description": "Graduate and undergraduate studies, courses, faculty, areas of study, events and resources. Located in Ames, Iowa, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.engl.iastate.edu/"} +{"d:Title": "Boston University", "d:Description": "Information on undergraduate and graduate programs, faculty and The Boston Playwrights' Theatre.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.bu.edu/english/"} +{"d:Title": "The University of Birmingham", "d:Description": "Comprised of the Centre for English Language Studies, Centre for Corpus Linguistics, English for International Students, The Shakespeare Institute at Stratford and Medieval Studies. Located in Birmingham, United Kingdom.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.bham.ac.uk/"} +{"d:Title": "University of Wisconsin", "d:Description": "Areas of study, faculty, graduate and undergraduate programs, research and information on the Writing Center. Located in Madison, Wisconsin, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.wisc.edu/english/"} +{"d:Title": "The University of British Columbia", "d:Description": "Undergraduate and graduate studies, faculty directory, events listing and a directory of materials suitable for university level research. Located in Vancouver, British Columbia, Canada.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.ubc.ca/"} +{"d:Title": "University of North Carolina at Chapel Hill", "d:Description": "Courses of study, faculty, staff, news, resources and departmental information. Located in Chapel Hill, North Carolina, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.unc.edu/"} +{"d:Title": "Syracuse University", "d:Description": "Department faculty, graduate programs, creative writing, and links to related areas. Located in Syracuse, New York, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.syr.edu/"} +{"d:Title": "Michigan State University", "d:Description": "Undergraduate and graduate courses, overseas study, faculty directory, and events. Located in East Lansing, Michigan, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.msu.edu/"} +{"d:Title": "University of California at Los Angeles", "d:Description": "Includes available courses, student resources and information about staff, publications, events and groups. Located in Los Angeles, California, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.ucla.edu/"} +{"d:Title": "Kansas State University", "d:Description": "Curricula for undergraduates and track options for graduate students, faculty directory, news and events. Located in Manhattan, Kansas, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.k-state.edu/english/"} +{"d:Title": "University of Kansas", "d:Description": "Faculty, staff, degree programs, course offerings and procedures for admission to graduate studies. Located in Lawrence, Kansas, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.ku.edu/"} +{"d:Title": "George Mason University", "d:Description": "Graduate and undergraduate course offerings and descriptions, faculty directory, and announcements. Located in Fairfax, Virginia, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.gmu.edu/"} +{"d:Title": "New York University", "d:Description": "Creative writing program, faculty directory, graduate and undergraduate information, monthly and semester calendars. Located in New York, New York, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.fas.nyu.edu/"} +{"d:Title": "Oberlin College", "d:Description": "Information on department, faculty, staff, news, events, courses and resources. Located in Oberlin, Ohio, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.oberlin.edu/english/"} +{"d:Title": "Claremont Graduate University", "d:Description": "Information on literature program, degree concentrations, faculty directory, course listing, and alumni profiles. Located in Claremont, California, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.cgu.edu/pages/568.asp"} +{"d:Title": "Stanford University", "d:Description": "Undergraduate and graduate studies, courses, events, writing and rhetoric program and information on the Center for the Study of the Novel. Located in Stanford, California, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.stanford.edu/"} +{"d:Title": "University of South Alabama", "d:Description": "News and event updates for the department, areas of study, information about scholarships and contests, as well as writing aids. Located in Mobile, Alabama, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.southalabama.edu/english/"} +{"d:Title": "Adams State College", "d:Description": "Information on degree requirements and plans, courses, faculty, and student resources. Located in Alamosa, Colorado, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www2.adams.edu/academics/english/"} +{"d:Title": "University of North Florida", "d:Description": "Student work, publications, faculty pages, course descriptions, and programs of study. Located in Jacksonville, Florida, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.unf.edu/coas/english/"} +{"d:Title": "Lausanne University", "d:Description": "Undergraduate and graduate information, research, events and staff directory. Located in Lausanne, Switzerland.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.unil.ch/angl/"} +{"d:Title": "Hunter College", "d:Description": "Faculty listing, graduate and undergraduate courses, advising assistance, and degree programs. Located in New York, New York, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.hunter.cuny.edu/english/"} +{"d:Title": "Auburn University", "d:Description": "Bachelor, Master, and Doctoral programs, upcoming semester course schedules, publications, and alumni news. Located in Richmond, Kentucky, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.auburn.edu/english/"} +{"d:Title": "Jacksonville State University", "d:Description": "Degree programs, faculty pages, career opportunities, available financial aid, and course descriptions. Located in Jacksonville, Alabama, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.jsu.edu/depart/english/"} +{"d:Title": "University of Central Arkansas", "d:Description": "Class schedules, course descriptions, degree requirements, English Club news, resources for students, and the departmental journal. Located in Conway, Arkansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.uca.edu/english/"} +{"d:Title": "University of Northern Colorado", "d:Description": "Graduate courses and resources, undergraduate classes and online courses, faculty contact information, societies, and publications. Located in Greeley, Colorado, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.unco.edu/english/"} +{"d:Title": "Western Connecticut State University", "d:Description": "Degree programs and courses, concentrations for majors, faculty details, and publications. Located in Danbury, Connecticut, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.wcsu.edu/english/"} +{"d:Title": "University of Delaware", "d:Description": "Information on faculty advising and research, academic programs, resources for students, study abroad programs, and employment opportunities. Located in Newark, Delaware, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.udel.edu/"} +{"d:Title": "University of West Georgia", "d:Description": "Information on programs of study, organizations, student and faculty resources, scholarships, archives, and publications. Located in Carrollton, Georgia, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.westga.edu/~engdept/"} +{"d:Title": "Purdue University", "d:Description": "Undergraduate and graduate information, course listings, programs, faculty directory, and departmental publications. Located in West Lafayette, Indiana, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.cla.purdue.edu/english/"} +{"d:Title": "The University of Iowa", "d:Description": "Specialty areas of study, undergraduate and graduate details, journals, resources, a site search, faculty directory and published works. Located in Iowa City, Iowa, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.uiowa.edu/"} +{"d:Title": "Pittsburg State University", "d:Description": "Degree programs, student resources, faculty, The Midwest Quarterly, and events. Located in Pittsburg, Kansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.pittstate.edu/engl/"} +{"d:Title": "Wichita State University", "d:Description": "Program and financial aid information for both graduate and undergraduate degrees, faculty, job opportunities, and Mikrokosmos, a literary magazine. Located in Wichita, Kansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://webs.wichita.edu/?u=english&p="} +{"d:Title": "University of Kentucky", "d:Description": "Faculty publications and projects, curriculum, undergraduate and graduate programs, and a news and awards archive. Located in Lexington, Kentucky, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.uky.edu/AS/English/"} +{"d:Title": "University of Louisville", "d:Description": "Department calendar, courses, graduate and undergraduate programs of study, publications, and a faculty and staff list. Located in Louisville, Kentucky, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://louisville.edu/english/"} +{"d:Title": "Harvard University", "d:Description": "Undergraduate and graduate programs, courses, faculty, announcements and FAQs. Located in Cambridge, Massachusetts, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.fas.harvard.edu/"} +{"d:Title": "Duke University", "d:Description": "Announcements and symposia schedule, graduate and undergraduate courses, and faculty directory. Located in Durham, North Carolina, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.duke.edu/"} +{"d:Title": "Fort Hays State University", "d:Description": "Departmental newsletter, clubs and societies, news, resources for students, undergraduate concentrations, and faculty. Located in Hays, Kansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.fhsu.edu/english/"} +{"d:Title": "Tulane University", "d:Description": "Undergraduate and graduate programs, courses, lectures, events, societies and affiliated programs. Located in New Orleans, Louisiana, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://tulane.edu/liberal-arts/english/"} +{"d:Title": "University of Idaho", "d:Description": "Scholarships, Fall and Spring classes, information for teaching assistants, faculty, and the departmental literary journal, Fugue. Located in Moscow, Idaho, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.uidaho.edu/class/english"} +{"d:Title": "The University of Arizona", "d:Description": "Faculty and staff, events calendar, undergraduate and graduate studies, writing center, journals, news and outreach programs. Located in Tucson, Arizona, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.arizona.edu/"} +{"d:Title": "Arizona State University", "d:Description": "Information on literature, linguistics, creative writing, rhetoric and composition, undergraduate and graduate programs, events, and department resources, with several campuses in Tempe, Phoenix and Mesa, Arizona, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.clas.asu.edu/"} +{"d:Title": "Franklin&Marshall College", "d:Description": "Upcoming events and speakers, curriculum, faculty, awards and recipients, recent independent studies, and study abroad opportunities. Located in Lancaster, Pennsylvania, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.fandm.edu/english"} +{"d:Title": "University of South Florida", "d:Description": "Information on undergraduate and graduate studies, faculty, rhetoric and composition, the writing center and the Florida Suncoast Writers' Conference. Located in Tampa, Florida, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.usf.edu/"} +{"d:Title": "University of North Texas", "d:Description": "Courses and degrees in creative writing, ESL and linguistics, literature and literary criticism, poetics, and technical and persuasive writing. Located in Denton, Texas, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.unt.edu/"} +{"d:Title": "Northeastern University", "d:Description": "Programs for undergraduates, graduates and writing, publications, faculty, staff, and web resources. Located in Boston, Massachusetts, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.northeastern.edu/english/"} +{"d:Title": "Cornell University", "d:Description": "Undergraduate and graduate courses, faculty directory, events listing, and the Epoch magazine. Located in Ithaca, New York, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.arts.cornell.edu/"} +{"d:Title": "University of New Hampshire", "d:Description": "Information for prospective and current graduate students on the doctoral program in Composition Studies. Located in Durham, New Hampshire, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.unh.edu/english/index.cfm?id=1866E1D5-FD76-6331-4CD77CE383CADFDD"} +{"d:Title": "Vanderbilt University", "d:Description": "Department overview plus information on undergraduate and graduate programs, administration, faculty, projects and resources. Located in Nashville, Tennessee, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://as.vanderbilt.edu/english/"} +{"d:Title": "Texas A&M University", "d:Description": "Course offerings, graduate and undergraduate programs, faculty, linguistics, writing programs and related links. Located in College Station, Texas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.tamu.edu/"} +{"d:Title": "University of Northern Iowa", "d:Description": "Grammar resource, literary awards, graduate and undergraduate programs, student publications, and information on recent and forthcoming course offerings. Located in Cedar Falls, Iowa, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.uni.edu/langlit/content/english"} +{"d:Title": "Kansas Wesleyan University", "d:Description": "Courses and degree requirements, faculty, career opportunities, undergraduate, graduate and adult study programs, and an academic calendar. Located in Salina, Kansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.kwu.edu/academics/academic-departments/department-of-english"} +{"d:Title": "Western Kentucky University", "d:Description": "Student, faculty, and alumni news, an events calendar, courses and degrees, an English club, and scholarships. Located in Bowling, Kentucky, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.wku.edu/english/"} +{"d:Title": "Washburn University", "d:Description": "Information on degree programs, the department, and faculty. Located in Topeka, Kansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.washburn.edu/academics/college-schools/arts-sciences/departments/english/"} +{"d:Title": "Arkansas Tech University", "d:Description": "Student organizations and publications, scholarships, Master and Bachelor programs, course descriptions, and faculty information. Located in Russellville, Arkansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.atu.edu/worldlanguages/"} +{"d:Title": "University of Basel", "d:Description": "Courses, resources, news, research, faculty and staff information. Located in Basel, Switzerland.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://engsem.unibas.ch/"} +{"d:Title": "University of Mississippi", "d:Description": "Description of the department plus information on courses, degrees, history, news, events, publications, writing programs, resources and The Faulkner Conference. Located in University, Mississippi, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.olemiss.edu/"} +{"d:Title": "The University of Hong Kong", "d:Description": "Department overview, news, staff, courses, research, resources and events. Located in Hong Kong, China.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.english.hku.hk/"} +{"d:Title": "University of New Mexico", "d:Description": "Faculty and alumni directories, course descriptions and programs for undergraduates and graduates. Southwest Symposium, Blue Mesa Review and upcoming events. Located in Albuquerque, New Mexico, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.unm.edu/"} +{"d:Title": "Brown University", "d:Description": "Overview of curriculum, faculty, creative writing, expository writing, publications, undergraduate and graduate programs. Located in Providence, Rhode Island, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.brown.edu/academics/english/"} +{"d:Title": "University of Strathclyde", "d:Description": "Information on the department and courses offered, research, staff and departmental information. Located in Glasgow, Scotland.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.strath.ac.uk/humanities/schoolofhumanities/english/"} +{"d:Title": "Hollins University", "d:Description": "Undergraduate and graduate programs in English, literature, and creative writing. Located in Roanoke, Virginia, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.hollins.edu/academics/majors-minors/english-creative-writing-major/"} +{"d:Title": "The University of Reading", "d:Description": "Undergraduate, post-graduate and part-time courses, library, research, early modern literature, history of writing, publishing and printing. Located in Reading, UK.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.reading.ac.uk/english-literature/"} +{"d:Title": "Eastern Connecticut State University", "d:Description": "Degree course requirements, portfolio information and guidelines, available scholarships and awards, internship details, FAQs, and staff openings. Located in Willimantic, Connecticut, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www1.easternct.edu/english/"} +{"d:Title": "Colorado State University", "d:Description": "Courses, programs, events, publications, community projects, and recent events. Located in Fort Collins, Colorado, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://english.colostate.edu/"} +{"d:Title": "Judson College", "d:Description": "Course requirements and descriptions and faculty pages. Located in Marion, Alabama, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.judson.edu/academics/academic-programs/humanities/english/"} +{"d:Title": "Faulkner University", "d:Description": "Courses, degrees, and faculty pages. Located in Montgomery, Alabama, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "https://www.faulkner.edu/undergrad/academics/college-of-arts-and-sciences/english/"} +{"d:Title": "Emporia State University", "d:Description": "Information on the major and minor, faculty, graduate degree program, the Bluestem Press, and links to other resources. Located in Emporia, Kansas, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.emporia.edu/emlj/programs/undergraduate/english/"} +{"d:Title": "The University of Liverpool", "d:Description": "Undergraduate and postgraduate courses, staff, handbooks, research and development. Located in Liverpool, UK.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "https://www.liverpool.ac.uk/english/"} +{"d:Title": "University of Zurich", "d:Description": "Information on the program, staff directory, seminar dates, events and courses offered. Located in Zurich, Switzerland.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.es.uzh.ch/en.html"} +{"d:Title": "Reed College", "d:Description": "Curriculum objectives, creative writing, faculty, courses, preparing for graduate school and information on related majors. Located in Portland, Oregon, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.reed.edu/english/"} +{"d:Title": "University of Washington", "d:Description": "Writing programs, international study, undergraduate and graduate programs, advising, events calendar and affiliated publications and journals. Located in Seattle, Washington, U.S.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "https://english.washington.edu/"} +{"d:Title": "Central Connecticut State University", "d:Description": "Information on degree programs, current faculty members, open faculty positions, news, and upcoming events. Located in New Britain, Connecticut, US.", "topic": "Top/Arts/Education/Language_Arts/English/Academic_Departments", "url": "http://www.ccsu.edu/english/"} +{"d:Title": "AATE: The Australian Association for the Teaching of English", "d:Description": "Includes news, contacts, calendar of events, and selections from the English in Australia journal. Also a catalogue of books which are available by mail order.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://www.aate.org.au/"} +{"d:Title": "Web English Teacher", "d:Description": "Directory of K-12 resources for teachers. Includes lesson plans, classroom activities, videos, e-texts, puzzles and jokes, WebQuests, biographies, and criticism.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://www.webenglishteacher.com/"} +{"d:Title": "Wonderful World of English Resources", "d:Description": "Analysis of selected books, films and modern trends with printable study guides, plus a directory of other resources.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://www.angelfire.com/journal2/englishresource/index.html"} +{"d:Title": "The English Teacher", "d:Description": "Materials for teaching media, creative writing, composition, and literature. Also, teaching methods and strategies for secondary groups and classroom discipline.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://teacher2b.com/"} +{"d:Title": "EnglishCompanion.com", "d:Description": "Provides information, resources, and illuminates books, ideas, organizations, and resources as aids to teaching.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://www.englishcompanion.com/"} +{"d:Title": "TeachMO.com", "d:Description": "Teacher offers a directory of resources for other teachers.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://www.angelfire.com/mo/ktw/"} +{"d:Title": "Costas Gabrielatos", "d:Description": "Contains a detailed CV, and links to publications and presentations in (applied/corpus) linguistics, language teacher education/development, and ELT methodology.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://www.gabrielatos.com/"} +{"d:Title": "Andrew Moore's Teaching Resources", "d:Description": "Author and teacher offers comprehensive resources for English language and literature teachers. Has special focus on the GCSE.", "topic": "Top/Arts/Education/Language_Arts/English/Educators", "url": "http://www.universalteacher.org.uk/"} +{"d:Title": "English Language Self Access Centre", "d:Description": "Fee based learning modules for self-assessment, vocabulary and grammar which includes a free demonstration. For beginner to advanced students as well as teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.letslearnenglish.co.uk/"} +{"d:Title": "ESL Partyland", "d:Description": "Provides many interactive quizzes, discussion forums and topic-based learning pages for students. On the teachers side, lessons and printable materials to use in class.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.eslpartyland.com/"} +{"d:Title": "The Linguistic Funland TESL Page", "d:Description": "Information for teachers and students on finding penpals, teaching materials, activities, and jobs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.tesol.net/"} +{"d:Title": "Dave's ESL Cafe", "d:Description": "Offers discussion forums, chat room, interactive exercises, online tutorials, and extensive web guide. Also provides teaching ideas and job postings.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.eslcafe.com/"} +{"d:Title": "Aardvark's English Forum", "d:Description": "Portal with resources for students and teachers. Interactive exercises, message boards, bookshop, school guide, web directory, and world news.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.englishforum.com/00/"} +{"d:Title": "1-language.com", "d:Description": "Includes student and teacher forums, chat, job center, multilingual helplines, links directory, resource library and, study materials. Also a bank of teacher contributed teaching ideas, multilingual translation help, and interactive lessons.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.1-language.com/"} +{"d:Title": "The British Council", "d:Description": "Aims to connect people worldwide with learning opportunities and creative ideas from the UK. Includes resources for teachers and students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.britishcouncil.org/"} +{"d:Title": "Study Business and Academic English", "d:Description": "Courses, materials and resources for students and teachers of academic and business English. Requires RealAudio and RealVideo.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.ngberger.com/"} +{"d:Title": "English Language Learning Online", "d:Description": "Question bank for students, language forum, tests, language news for teachers, and an irregular verb list program.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.usingenglish.com/"} +{"d:Title": "Oxford Seminars", "d:Description": "ESL teacher training certificate courses across North America.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "https://www.oxfordseminars.com/"} +{"d:Title": "Longman English Language Teaching", "d:Description": "Educational publisher of resources for all ages and abilities (nursery - post 16) across the curriculum.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.longman-elt.com/"} +{"d:Title": "TEFL.net", "d:Description": "Offers a jobs wanted and a teachers wanted section, sends job updates via Email, teacher training, handouts and resources, lesson plans and a teacher's forum.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.tefl.net/"} +{"d:Title": "A Guide to Learning English as a Second Language", "d:Description": "Grammar and vocabulary quizzes and tips for teachers, parents and students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://esl.fis.edu/"} +{"d:Title": "Accent Modification Training", "d:Description": "Provides pronunciation improvement programs for international speakers of English as well as information about the English language.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.accentmodification.com/"} +{"d:Title": "ESLgold.com", "d:Description": "Resources for teachers and students with a variety of free learning and teaching materials, all categorized for access by skill and level.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://www.eslgold.com/"} +{"d:Title": "Absolutenglish", "d:Description": "Lesson plans and activities for grade 9-12 students learning English as a foreign language and their teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language", "url": "http://pagesperso-orange.fr/absolutenglish-972/"} +{"d:Title": "English Forums", "d:Description": "Learn and explore the English language with topics such as poetry, stories, medical and business English, letter writing, essay composition and general chit chat.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Chats_and_Forums", "url": "http://www.englishforums.com/"} +{"d:Title": "Yahoo! Groups: TEFL China Life", "d:Description": "Offers discussions about life in China for foreign teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Chats_and_Forums", "url": "http://groups.yahoo.com/group/TEFLChinaLife/"} +{"d:Title": "Pain in the English", "d:Description": "A question and answer forum for EFL/ESL students covering grammar, punctuation, usage, style, and etymology.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Chats_and_Forums", "url": "http://www.painintheenglish.com/"} +{"d:Title": "Middle Kingdom Life", "d:Description": "An American psychologist and a private school owner offer professional advice about China covering contracts, visas, relationships, work, and adjustment problems.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Chats_and_Forums", "url": "http://middlekingdomlife.com/forum.html"} +{"d:Title": "Language for Exchange", "d:Description": "A social networking and chat platform for finding a foreign language practice partner and potential foreign country exchange host.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Chats_and_Forums", "url": "http://www.languageforexchange.com/"} +{"d:Title": "EFL/ESL Discussion Forum and Chat: Using English", "d:Description": "Forum for students and teachers with discussions covering issues such as usage, grammar, exams, qualifications, and academic and business English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Chats_and_Forums", "url": "http://www.usingenglish.com/forum/"} +{"d:Title": "Aaron Martin's TEFL/TESL Website Index", "d:Description": "Information for teachers and students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.tefltesl.free-online.co.uk/"} +{"d:Title": "EFL and ESL Resources", "d:Description": "Information on British, American, Canadian, Scottish, Irish, New Zealand and Australian English. Grammar and writing, tongue twisters, examinations and other resources also listed.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.angelfire.com/yt/efl/"} +{"d:Title": "English as a Second Language", "d:Description": "Categorized links to resources for students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.rong-chang.com/"} +{"d:Title": "The English Department", "d:Description": "Maps, information and links to English speaking countries by continent as well as resources for teachers and students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://members.tripod.com/the_english_dept/"} +{"d:Title": "EnglishBlog", "d:Description": "For learners and teachers and features reviews of each listing.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://englishblog.blogspot.com/"} +{"d:Title": "Marie Nuzzi's ESL Page Links", "d:Description": "Publishers, teacher resources, translators, grammar, vocabulary and games for all levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.eslconnect.com/links.html"} +{"d:Title": "ESL Resources from About.com", "d:Description": "Offers links to resources including idioms, slang, chat, grammar, software, and employment.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://esl.about.com/"} +{"d:Title": "ESL Plans", "d:Description": "List of links to lesson plans and resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.csun.edu/~hcedu013/eslplans.html"} +{"d:Title": "Teachers", "d:Description": "Annotated links to material for use in class.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://the_english_dept.tripod.com/teachers.html"} +{"d:Title": "The ESL Links Site: Learning English", "d:Description": "Provides links to quizzes, games, grammar, listening exercises, dictionaries, translators and books.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.esldesk.com/esl-links/index.htm"} +{"d:Title": "English Resources Directory", "d:Description": "Collection of links for students and teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.englishwebguide.com/"} +{"d:Title": "ESL Webguide", "d:Description": "Directory of sites and pages related to ESL, EFL and TEFL.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Directories", "url": "http://www.englishclub.com/webguide/"} +{"d:Title": "Poland - Wroclaw Language School", "d:Description": "Private schools offering English language programs and courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.wsj.edu.pl/"} +{"d:Title": "TEFL.com", "d:Description": "Resume database with free resume forwarding system. Jobs database organized by country with daily forwarding of job openings via email upon request. Career tips and advice, teacher training database, newsletters, health insurance available for purchase and, member discounts. All services free to potential employers and job seekers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.tefl.com/"} +{"d:Title": "US Department of State Office of Overseas Schools", "d:Description": "Contact and background information on worldwide American-sponsored elementary and secondary schools overseas, current fact sheets on the American international schools, and job hunting resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.state.gov/m/a/os/"} +{"d:Title": "ESL Career Guide from about.com", "d:Description": "Details on qualifications, finding a job, career guides, personal teaching experiences, and teaching in specific countries.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://esl.about.com/blcareer.htm"} +{"d:Title": "ESL Employment", "d:Description": "Searchable database for the teaching jobs and career opportunities abroad.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.eslemployment.com/"} +{"d:Title": "Education resources on the Internet", "d:Description": "Offers links to jobs, books, and teaching advice.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.edufind.com/"} +{"d:Title": "Worldwide: Teaching Jobs Abroad", "d:Description": "Provides information about finding a job teaching conversational English in Asia or Europe, jobs board and classroom help and tips.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.jobmonkey.com/teaching/"} +{"d:Title": "Germany, Hamburg: Fast Forward English Language Training&Consulting", "d:Description": "Job opportunities for TEFL graduates.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.fast-forward-english.de/jobs.html"} +{"d:Title": "Poland: Yahoo! English Teachers in Poland", "d:Description": "TEFL job postings listed on a Yahoo! discussion board.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://groups.yahoo.com/group/polang-jobs/"} +{"d:Title": "TESall", "d:Description": "Search portal for ESL/EFL job seekers worldwide.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.tesall.com/"} +{"d:Title": "ESL Teachers Board", "d:Description": "View and post resumes and job announcements. Includes resume writing tips and ESL resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.eslteachersboard.com/"} +{"d:Title": "TeachOverseas.ca", "d:Description": "ESL job search resource for Canadians seeking teaching positions and schools overseas. Includes job board, resumes, and a message board.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.teachoverseas.ca/"} +{"d:Title": "Chinese Culture Center", "d:Description": "Recruits, trains and places native English speakers to teach English in China.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.chineseculturecenter.org/"} +{"d:Title": "Volunteer Teaching English in Africa", "d:Description": "B. Susan Bauer provides inside tips on how to go about finding volunteer, usually unpaid, work teaching English in Africa and shares her experiences.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.transitionsabroad.com/publications/magazine/0501/volunteer_teaching_english_in_africa.shtml"} +{"d:Title": "Teaching English in Korea - ESL Blog", "d:Description": "Provides news, reviews, opinions, links, and tips for teaching English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment", "url": "http://www.esl-blog.com/"} +{"d:Title": "Teaching in China", "d:Description": "A governmental jobs board for posting the latest ESL/EFL job openings in China with a database of schools in China. Free registration required before accessing information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/China", "url": "http://www.teach-in-china.net/"} +{"d:Title": "AbroadChina", "d:Description": "Directory of teaching positions with information on salaries, incentives and workload.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/China", "url": "http://www.abroadchina.org/"} +{"d:Title": "Buckland Volunteer Organization", "d:Description": "Searching for ESL teachers in China and provides a monthly stipend, information on expenses, reimbursement of airfare and tips on how to survive culture shock.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/China", "url": "http://www.bucklandgroup.org/"} +{"d:Title": "Bayside English", "d:Description": "Jobs for native English-speaking teachers already resident in Tokyo, Yokohama or Yokosuka. Full time jobs require a high speed computer connection for teaching over the Internet.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Japan", "url": "http://www.webcobo.com/english/teacher/"} +{"d:Title": "WinBe English School", "d:Description": "Information on the school, including photos, a school list and an online application form. Visa and accommodation provided.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Japan", "url": "http://www.winbenet.com/"} +{"d:Title": "O-Hayo Sensei: Newsletter of (Teaching) Jobs in Japan", "d:Description": "Free semi-monthly electronic newsletter that researches and lists currently available teaching (and other English language-related) positions in Japan.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Japan", "url": "http://www.ohayosensei.com/"} +{"d:Title": "Korean ESL", "d:Description": "Offers job listings, resume board and discussion forum.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/South_Korea", "url": "http://koreanesl.com/"} +{"d:Title": "English Spectrum", "d:Description": "Collection of message boards and resources for students and teachers. Includes job center and general information about living and working in Korea.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/South_Korea", "url": "http://englishspectrum.com/"} +{"d:Title": "John's Consulting Canada - ESL Job Placement", "d:Description": "Agency based in Toronto, Canada recruits potential ESL teachers who are interested in teaching English in South Korea. Site details the application procedures, benefits, and contracts.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/South_Korea", "url": "http://www.iloveesl.com/"} +{"d:Title": "HuntESL", "d:Description": "Placement Advisors specialising in placing graduates into teaching jobs in South Korea.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/South_Korea", "url": "http://www.huntesl.com/"} +{"d:Title": "Teaching English and Living in Taiwan", "d:Description": "Articles, teaching resources, job and apartment listings for those who wish to teach and live in Taiwan.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Taiwan", "url": "http://www.tealit.com/"} +{"d:Title": "Teach English In Taiwan", "d:Description": "Recruiting agency offers jobs throughout the country. General information about teaching in Taiwan, overview of requirements, and message boards, as well as application link.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Taiwan", "url": "http://www.members.tripod.com/taiteachdo/"} +{"d:Title": "ABC American School Taiwan EFL Teaching Jobs ESL TESL", "d:Description": "Offers to employ English teachers for yearly contracts in Taiwan. Gives information on teaching and living conditions, payment and taxes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Taiwan", "url": "http://abcamericanschool.bizland.com/"} +{"d:Title": "Schoolhouse Language Center", "d:Description": "Offers employment for teachers with a college degree. School has branches throughout the country and an application is available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Taiwan", "url": "http://www.schoolhouse.com.tw/"} +{"d:Title": "ajarn.com", "d:Description": "Resident teacher offers listing of jobs offered in Bangkok, updated weekly. Also tips on many aspects of living in Thailand.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Asia/Thailand", "url": "http://www.ajarn.com/"} +{"d:Title": "Taiwan: Teaching English in Taiwan", "d:Description": "Teacher in Taiwan will help others locate schools throughout the country.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants", "url": "http://www.angelfire.com/tx3/teachenglish/"} +{"d:Title": "Worldwide: Footprints Recruiting - Teach English Abroad", "d:Description": "Offers to provide placement in South Korea, Taiwan, Japan and Brazil, free of charge.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants", "url": "http://www.footprintsrecruiting.com/"} +{"d:Title": "Greece: Anglo-Hellenic Teacher Recruitment", "d:Description": "Employment opportunities, training and support for teachers in Greece.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants", "url": "http://www.anglo-hellenic.com/"} +{"d:Title": "China: Teach English in China", "d:Description": "A placement service for those interested in teaching in China which also offers contact with a teacher training program in Beijing.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants", "url": "http://www.cbw.com/teaching/"} +{"d:Title": "ESL Job Find", "d:Description": "Connects ESL employers and job seekers worldwide.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants", "url": "http://www.esljobfind.com/"} +{"d:Title": "Saxoncourt", "d:Description": "English teacher training organisation and ELT recruitment agency.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants", "url": "http://www.saxoncourt.com/"} +{"d:Title": "England: Teach English at Home", "d:Description": "Seeking qualified teachers to teach students in the teacher's own home and provide homestay accommodation. Based in Oxford, UK.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants", "url": "http://www.home-tutor.co.uk/"} +{"d:Title": "John's Consulting Canada", "d:Description": "Agency in Toronto, Canada searching for interested teachers to work in South Korea. Information on schools, sample contract, photos and letters from teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants/Korea", "url": "http://www.iloveesl.com/"} +{"d:Title": "Teach English Korea", "d:Description": "Recruits and employs English native speakers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants/Korea", "url": "http://www.teach-english-korea.com/"} +{"d:Title": "Russell Recruiting Services", "d:Description": "Offers jobs for teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Employment/Recruiters_and_Consultants/Korea", "url": "http://www.russellrecruiting.com/"} +{"d:Title": "Validated and Certified Proficiency Testing", "d:Description": "Corporate and proficiency testing in many languages, including English. Certified by ACTFL and the test is transferable for 3 college credits by ACE.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.languagetesting.com/"} +{"d:Title": "Flo-Joe", "d:Description": "Fee-based help for the Cambridge First Certificate in English (FCE) and Certificate in Advanced English CAE).", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.flo-joe.co.uk/"} +{"d:Title": "IELTS: International English Language Testing System", "d:Description": "Handbook, test explanations and information about obtaining practice material.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.ielts.org/"} +{"d:Title": "TOEFL: Beat the TOEFL Test", "d:Description": "Provides exercises to help students prepare for the test.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://testwise.com/review.html"} +{"d:Title": "TestDen", "d:Description": "Fee-based practice exercises to help with the computer-based test.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.testden.com/"} +{"d:Title": "Cambridge ESOL Examinations", "d:Description": "Examinations and study center based in Auckland, New Zealand. Exam timetables, application details, links and contacts.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.englishexams.net/"} +{"d:Title": "TOEFL", "d:Description": "Official site of the Test of English as a Foreign Language. Includes tutorials, practice questions, downloads, and information on both the computer-based and paper-based versions of the test. Also markets test preparation materials.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.ets.org/toefl/"} +{"d:Title": "TestMagic", "d:Description": "Offers SAT, GMAT, SSAT, ISEE, and TOEFL test preparation courses in San Francisco, California, United States. Also offers free tests, sample essays, grammar exercises, forum, and FAQs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.testmagic.com/"} +{"d:Title": "IELTS UK", "d:Description": "Offers detailed information for students and practice areas for all 4 modules. Intended for UK students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.kensingtonacademy.com/index.php?page=k7-ielts-preparation"} +{"d:Title": "Cambridge English Language Assessment", "d:Description": "Provides information for students, teachers, and prospective teachers, about the range examinations and schemes offered throughout the world.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.cambridgeenglish.org/"} +{"d:Title": "LCCI Examinations Board", "d:Description": "Offers courses, exams and certificates in many business areas. Free copies of guidelines, sample tests and other publications are downloadable.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://qualifications.pearson.com/en/qualifications/lcci.html"} +{"d:Title": "TOEIC", "d:Description": "Official site of the Test of English for International Communication. Provides information about the test, tips from the test developers, and a list of test sites around the world.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.ets.org/toeic"} +{"d:Title": "IELTS Essentials", "d:Description": "Offers sample examinations, test information, and booking information for IDP test centers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "https://www.ieltsessentials.com/"} +{"d:Title": "Take IELTS with British Council", "d:Description": "Provides explanations, bookings, practice, and test results for British Council test centres.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://takeielts.britishcouncil.org/"} +{"d:Title": "IELTS Simon", "d:Description": "Offers daily practice, tips, and strategies from an ex-IELTS examiner.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://ielts-simon.com/"} +{"d:Title": "IELTS Master", "d:Description": "Provides IELTS practice content, techniques, and strategies for scoring above Band 6.5.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Examinations", "url": "http://www.ielts-master.com/"} +{"d:Title": "King George International College", "d:Description": "Offers ESL programs, hospitality industry, preparatory to university courses in Vancouver and Surrey campuses. Online registration, homestay, agents section, registration dates and fees, events and dormitories.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.kgic.ca/"} +{"d:Title": "InTuition Languages", "d:Description": "Offers courses in various countries with one-on-one courses in the teacher's home.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.intuitionlang.com/"} +{"d:Title": "International House World Organisation", "d:Description": "Describes the IH language teaching network, its objectives and locations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://ihworld.com/"} +{"d:Title": "Linguarama", "d:Description": "Courses taught in locations around the world. Also offers e-Learning through the Postscript Magazine or Linguarama Direct.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.linguarama.com/"} +{"d:Title": "American Cultural Exchange", "d:Description": "Foreign language programs, and professional and technical training. Located on university and college campuses in the USA, Australia, and Japan.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.cultural.org/"} +{"d:Title": "Helen Doron Early English", "d:Description": "English learning system for children aged 3 months - 14 years. Provides parent, teacher and franchise information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.helendoron.com/"} +{"d:Title": "inlingua International", "d:Description": "Instruction in a network of centers in countries worldwide.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.inlingua.com/"} +{"d:Title": "Bell International", "d:Description": "Classes for students and teacher training courses in schools located worldwide.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.bell-centres.com/"} +{"d:Title": "LAL Language Centres", "d:Description": "Has locations worldwide and offers courses from beginner to advanced. Help with accommodation and offers special prices for long-stay students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.lalgroup.com/"} +{"d:Title": "Canning", "d:Description": "Short, intensive courses, English for lawyers, one-to-one training and custom-tailored lessons at centres in London, Bath, Milan and Tokyo.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.canning-efb.co.uk/"} +{"d:Title": "Shane Global Village English Centres", "d:Description": "Locations in Canada, Hawaii, and London with homestay services and activities. TOEFL, TOEIC, Cambridge, and IELTS preparation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.gvenglish.com/"} +{"d:Title": "Home Language International", "d:Description": "Offers home tuition in 21 languages worldwide.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.hli.co.uk/"} +{"d:Title": "TEFL Worldwide", "d:Description": "Offering 4-week TEFL certificate courses in Prague, Czech Republic.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools", "url": "http://www.teflworldwideprague.com/"} +{"d:Title": "Cape Studies Language School", "d:Description": "Cape Town, South Africa. Standard, intensive English as well as Cambridge and LCCI exam preparation. Includes profile, information on the courses and activities, news, and contact details. [Chinese, English, French, German, Hungarian, Japanese, Korean, Portuguese, Russian, Spanish]", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.capestudies.com/"} +{"d:Title": "Interlink School of Languages", "d:Description": "Offers general English at all levels, and study for TOEFL, Cambridge, IELTS and LCCI certificates. Cape Town, South Africa.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.interlink.co.za/"} +{"d:Title": "One World Language School", "d:Description": "Offers ESL courses including exam preparation, business and general English with accommodation. Cape Town, South Africa.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.owls.co.za/"} +{"d:Title": "Good Hope Studies", "d:Description": "Cambridge exam prep, English for specific purposes, IELTS/TOEFL exam preparation and general ESL intensive courses. Cape Town, South Africa.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.ghs.co.za/"} +{"d:Title": "DD Dragon Language School", "d:Description": "Offering standard and intensive English courses, Combridge and TOEFL examiniation preparations and accommodation. Cape Town, South Africa.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.dddragon.com/"} +{"d:Title": "Jeffreys Bay Language School", "d:Description": "South Africa. Offers courses in general and business English and Cambridge First Certificate (FCE), Cambridge Advanced (CAE), IELTS, and TOEFL examinations. Includes profile, information on courses, accommodation, activities and local area, prices, packages, image gallery and contact information. [English, French, German, Japanese and Portuguese].", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.jbaylanguage.co.za/"} +{"d:Title": "Garden Route Language Centre", "d:Description": "Plettenberg Bay, South Africa. Offers general and intensive English from elementary to upper intermediate levels. Includes profile, news, information on courses, accommodation and activities, map and contact details. [English, German, Portuguese, Spanish].", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.gardenroutelanguagecentre.co.za/"} +{"d:Title": "English Access", "d:Description": "Johannesburg, South Africa. Offers ESOL (FT or PT), TEFL, business English and private tuition. Includes profile, details of courses and activities, image gallery and contact information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.englishaccess.co.za/"} +{"d:Title": "Bay Language Institute", "d:Description": "Port Elizabeth, South Africa. Offers tailor-made language travel packages, general and business English courses, business examination preparation, private and group tuition, as well as courses in isiXhosa, German, Spanish and Afrikaans. Includes information on courses, pricing, and packages, terms and conditions, and contact details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Africa", "url": "http://www.baylanguage.com/"} +{"d:Title": "Jack C. Richards", "d:Description": "Teacher and linguist offers information and language courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia", "url": "http://www.professorjackrichards.com/"} +{"d:Title": "Ladybird Preschool", "d:Description": "Based in Jakarta, Indonesia. Provides program overview, contact information and fee schedule.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia", "url": "http://www.ladybird.or.id/"} +{"d:Title": "ILA Vietnam", "d:Description": "Courses for children, teenagers, and adults. Executive and corporate training. Information on dates, fees and course content.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia", "url": "http://www.ilavietnam.com/"} +{"d:Title": "Antipodean English Language School", "d:Description": "Provides English language tuition for adults and secondary school students in Hong Kong.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia", "url": "http://www.ant.edu.hk/"} +{"d:Title": "The English Studio", "d:Description": "Offers EFL instruction to children and adults with conversation and listening courses, studying for EFL examinations and company classes. Okayama.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://englishstudio.tripod.com/"} +{"d:Title": "Red Roof English School", "d:Description": "English conversation education for adults and children located in Okinawa, Japan.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://www.redroof.jp/"} +{"d:Title": "Mike Lyons English School", "d:Description": "Canadian-run English conversation school with several schools in the Kani area, Gifu, Japan.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://www.lyons-japan.com/"} +{"d:Title": "International English School", "d:Description": "Private English school focusing on improving English communication skills. Iwaki-shi, Fukushima.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://www.eikaiwa.co.jp/"} +{"d:Title": "Myer English School", "d:Description": "English Conversation School and telephone based classes for children and adults in Fukuoka.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://www.eikaiwa.biz/"} +{"d:Title": "David English House", "d:Description": "Student and teacher training, ESL materials, discussion groups, on-line book store, and national conferences. Hiroshima.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://www.davidenglishhouse.com/"} +{"d:Title": "Bridge English School", "d:Description": "Small language school teaching English, Russian, Korean and French as a second language. Furumachi, Niigata.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://www.bridgeenglishschool.com/"} +{"d:Title": "G.E.T. School of English", "d:Description": "English, French and German conversation lessons for all ages and levels. Travel and Business English classes also available. Nodashi, Chiba-ken.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://getschool.web.fc2.com/"} +{"d:Title": "ABCkara.com", "d:Description": "Offers introduction services for English teachers and students in Japan. Location and schedules are determined by the teachers and the students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://teachers.abckara.com/"} +{"d:Title": "Kensington English School", "d:Description": "British English Instruction for all levels and all ages. Gifu-city, Gifu.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://www.kensingtonjapan.com/"} +{"d:Title": "Ark Foreign Language Academy", "d:Description": "Ark Foreign Language Academy runs English and Japanese language schools in Onomichi, Hiroshima. They offer classes in Japanese and English to students in Innoshima, Mukaishima, Setoda and Onomichi.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://arkgaigo.com/english/"} +{"d:Title": "Kevin's English House", "d:Description": "English conversation school for all ages in Takamatsu, Kagawa.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Japan", "url": "http://kevinsenglishhouse.com/"} +{"d:Title": "United Educational Consultants Co. Ltd", "d:Description": "TEFL course certification and Teaching English as a Foreign language (TEFL/TESOL) teacher training course in Bangkok.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Thailand", "url": "http://www.teflthai.com/"} +{"d:Title": "ECC Thailand", "d:Description": "ECC is the largest private language and computer school in Thailand, with more than 50 branches in Bangkok and all over Thailand.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Thailand", "url": "http://www.eccthai.com/"} +{"d:Title": "Wall Street Institute", "d:Description": "English school with 5 locations in Bangkok.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Thailand", "url": "http://www.wallstreet.in.th/"} +{"d:Title": "Text and Talk Academy", "d:Description": "TEFL course certification offered at various locations in Thailand.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Thailand", "url": "http://www.teflteachthai.com/"} +{"d:Title": "Elite Training Institute", "d:Description": "A language training institute for individuals, corporations, and schools. Bangkok.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Asia/Thailand", "url": "http://www.elite.co.th/"} +{"d:Title": "Apply ESL", "d:Description": "Searchable database of worldwide schools and opportunity to apply to the desired school directly online.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Directories", "url": "http://www.applyesl.com/"} +{"d:Title": "Language Course Directory", "d:Description": "A directory for Britain with school and level of English assessment tools.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Directories", "url": "http://www.language-course-directory.com/"} +{"d:Title": "ESL - English Language Programs", "d:Description": "Information on summer, graduate and intensive courses in the United States. Year-round, university level or boarding schools. Information available in multiple languages.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Directories", "url": "http://www.esl.com/"} +{"d:Title": "Santa Maria Capua Vetere Language Centre", "d:Description": "Language school offering programs, courses, and exam preparation. Italy.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.thelanguagecentre.it/"} +{"d:Title": "The Square Mile", "d:Description": "Courses and coaching to improve confidence and performance when dealing with international customers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.thesquaremile.nl/"} +{"d:Title": "Speakwell Escola de L\u00ednguas", "d:Description": "Offers language courses and training for children and adults as well as essential business programs for companies. Portugal, Lisbon.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.speakwell.pt/"} +{"d:Title": "Finnbrit", "d:Description": "Acts as an agent in Finland for language schools located in the UK. Finland.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.finnbrit.fi/"} +{"d:Title": "Future Perfect", "d:Description": "Offers courses in General and Business English, and special courses in exams and e-Business. Switzerland, Zurich.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.futureperfect.ch/"} +{"d:Title": "Soros Foreign Language School", "d:Description": "English language courses for adults and children, language teacher training and corporate English training programs. Bosnia-Herzegovina, Sarajevo.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.soros-school.com/"} +{"d:Title": "Peter Beech", "d:Description": "English teacher/trainer details his experience and qualifications as an operator of a language training program. Course details available.Greece.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://peterbeech.com/"} +{"d:Title": "Learning English is Fun", "d:Description": "Offers English classes for students aged 10 to 16 years. Sweden", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.angelfire.com/md3/skybluewombat/"} +{"d:Title": "Dialogue-Angloscene", "d:Description": "Residential center offering intensive and personalised English language immersion training programs throughout the year. Belgium, Brussels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.angloscene.co.uk/"} +{"d:Title": "Europa Language School", "d:Description": "Offers courses for small groups of maximum seven students, private or in-company lessons. Belgium, Brussels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.elsb.be/"} +{"d:Title": "British Council", "d:Description": "Offers English language courses and exams. Sofia, Bulgaria.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe", "url": "http://www.britishcouncil.bg/"} +{"d:Title": "German Language Classes in Munich", "d:Description": "Language and communication training and study program of the Team Organisation in Munich, Germany. Also a lot of cultural and touristic information about Munich, Bavaria and Austria.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Germany", "url": "http://www.the-team.de/"} +{"d:Title": "DLTC", "d:Description": "Language school in Dublin, Ireland that provides courses in English, Spanish, French, Italian and many other languages.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.dltc.ie/"} +{"d:Title": "Alpha College of English", "d:Description": "Offers a wide range of courses including general, business and executive English. Dublin.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://alphacollege.com/"} +{"d:Title": "International House Dublin", "d:Description": "Offers TOEFL, IELTS, business English and Cambridge examinations. Also teacher recruitment. Dublin.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.ihdublin.com/"} +{"d:Title": "Language and Leisure International", "d:Description": "Offers summer and year-round classes at all levels combined with leisure breaks in Ireland and the USA. Also offers free monthly lessons online or via free monthly email newsletter. Dublin.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.lli.ie/"} +{"d:Title": "English Language School of Cork Ireland", "d:Description": "Business and general English courses for all ages and all levels. Cork, Ballincollig.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.angelfire.com/mb/EnglishSchoolCork/"} +{"d:Title": "Horner School of English", "d:Description": "Standard, business and examination preparation courses. Individual and group tuition. Dublin.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.hornerschool.ie/"} +{"d:Title": "The Slaney Language Centre", "d:Description": "Specializing in adult education. Course information, enquiry form, student comments and booking procedures provided. Co. Wexford, Ferrycarrig.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.wexford-language.com/"} +{"d:Title": "Clare Language Centre", "d:Description": "Courses for adults and Cambridge, TOEIC, TEOFL and IELTS exam preparation for students. Specialising in one-to-one tuition, but also offering classes to small groups. Co. Clare, Ennis.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.clarelc.ie/"} +{"d:Title": "Killarney School of English", "d:Description": "Offers general and one-to-one courses, classes for families and special purposes, examination preparation, and teacher refresher program. Kerry, Killarney.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.ksoe.com/"} +{"d:Title": "Atlantic Language School", "d:Description": "Offers courses and a social program while studying. Galway.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.atlanticlanguage.com/"} +{"d:Title": "Dublin International Foundation College", "d:Description": "Teaches foreign high school graduates and offers placement in a partner school in Ireland or the UK.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.difc.ie/"} +{"d:Title": "Dublin School of English", "d:Description": "Information on courses, where to stay, social and cultural programs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.dse.ie/"} +{"d:Title": "Dublin City University Language Services", "d:Description": "Offers courses to adults all year round with additional programs during the summer.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.dculs.dcu.ie/"} +{"d:Title": "Foyle International Language School", "d:Description": "Provides general English courses, accommodation, and EFL teacher training. Derry City.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.foylelanguageschool.com/"} +{"d:Title": "Cork English College", "d:Description": "Residential language programs for adults and juniors. Arranges transportation and lodging.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Ireland", "url": "http://www.corkenglishcollege.ie/"} +{"d:Title": "am Language Studio", "d:Description": "One and two-week courses in Business, general and intensive English. Courses for adults and teen-agers. Teacher profiles and accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.amlanguage.com/"} +{"d:Title": "EC English", "d:Description": "Offers a range of English courses for beginners to advanced speakers with schools in Malta, Cape Town and the UK. EC caters for all ages from children to adults.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.ecenglish.com/"} +{"d:Title": "St. Julians: Elanguest Language School", "d:Description": "Offers general and business English, and Cambridge examination course.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.elanguest.com/"} +{"d:Title": "St. Paul's Bay: Global Village English Centre", "d:Description": "Offers courses, accommodation and leisure program.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.learn-english-in-malta.com/"} +{"d:Title": "English Language Courses in Malta.", "d:Description": "Information about Malta and a list of schools and courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.angelfire.com/mac/learnenglish/"} +{"d:Title": "Sliema: English Language Academy", "d:Description": "Offers general, business and individual courses to adults with or without accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.experience-malta.com/"} +{"d:Title": "St. Pauls Bay - Alpha English Language School", "d:Description": "Offers a wide range of English courses for both adults and juniors, from beginner to advanced level. Also provides accommodation and excursions.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.alphaschoolmalta.com/"} +{"d:Title": "Linguatime", "d:Description": "Offers courses for teens and adults along with accommodations. Program overview, fee schedule, and general cultural information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.linguatime.com/"} +{"d:Title": "EEC Language Centre", "d:Description": "Offers English intensive classes and courses in Malta.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://educationmalta.com/"} +{"d:Title": "European School of English (ESE)", "d:Description": "Provides virtual tour plus information on courses, leisure activities and accommodations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Malta", "url": "http://www.ese-edu.com/"} +{"d:Title": "BKC-International House", "d:Description": "English language school in Russia.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Russia", "url": "http://www.bkcih-moscow.com/"} +{"d:Title": "Language Link Russia", "d:Description": "General and business English, internationally recognized examinations courses. Teacher training, job opportunities", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/Russia", "url": "http://languagelink.ru/e/"} +{"d:Title": "English in Britain", "d:Description": "Online database of over 1600 British Council Accredited English language courses at over 300 schools.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom", "url": "http://www.englishinbritain.co.uk/"} +{"d:Title": "Skola", "d:Description": "Offers courses for adults and children based in locations in London, Exeter, East Anglia and Edinburgh.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom", "url": "http://www.skola.co.uk/"} +{"d:Title": "Regent Language Training", "d:Description": "Offers a variety of courses for all ages including business ESL, plus brochure downloads, contacts, translation and teacher tuition.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom", "url": "http://www.regent.org.uk/"} +{"d:Title": "Business English UK", "d:Description": "Provides links to business language courses providers mainly in central and southern England.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom", "url": "http://www.businessenglishuk.org.uk/"} +{"d:Title": "Homelingua", "d:Description": "Offers ESL study programmes in the home of your teacher.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom", "url": "http://www.homelingua.com/"} +{"d:Title": "Twin English Centres", "d:Description": "Details of courses and vacation centres plus work experience in London and Eastbourne as well as academic programmes in Leeds, St Dunstan's and Cambridge.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom", "url": "http://www.englishcentres.co.uk/"} +{"d:Title": "British Study Centres", "d:Description": "Based in Brighton, Oxford and central London. Includes guides to each city and information on staff, courses, accommodation, including online booking.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.british-study.com/"} +{"d:Title": "123-English", "d:Description": "Centres offering courses for adults, children, and teenagers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.123-english.com/"} +{"d:Title": "St Peter's School of English", "d:Description": "Small Canterbury based school and includes details of in-house learning and additional weekend TEFL courses offered at an hotel in Greenwich.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.stpeters.co.uk/"} +{"d:Title": "St. Giles International", "d:Description": "Offers accommodation and tuition in Brighton, Eastbourne and London.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.stgiles-international.com/"} +{"d:Title": "Select English", "d:Description": "Year round and summer courses based in Cambridge and South London.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.selectenglish.co.uk/"} +{"d:Title": "OHC", "d:Description": "Based at four locations offers intensive courses for people of all ages, in groups or one-to-one.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.ohcenglish.com/"} +{"d:Title": "Manchester Academy of English", "d:Description": "Accredited language school providing tuition in small classes. Provides information on courses, fees, accommodation, application form and contact details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.experienceenglish.com/our-schools/manchester?src=manacad"} +{"d:Title": "Kings Colleges", "d:Description": "Offers university entrance and language tuition in several locations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://www.kingseducation.com/"} +{"d:Title": "Kingswood Camps", "d:Description": "Details of 5 summer camps offering language courses for overseas students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England", "url": "http://camps.kingswood.co.uk/blog/international-summer-camps-in-the-uk/"} +{"d:Title": "Axia Training", "d:Description": "Skills and language courses for practising lawyers and other professionals.Public and tailored training.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.axiatraining.com/"} +{"d:Title": "Fluency in English", "d:Description": "One to one intensive English courses in Cambridge, England. includes details of fees, accommodation, and courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.fluencyinenglish.com/"} +{"d:Title": "Cambridge Law Studio", "d:Description": "Offers information on ESL courses for lawyers and law students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.cambridgelawstudio.co.uk/"} +{"d:Title": "Cambridge Academy of English", "d:Description": "Offers English language courses to professional people aged over 25 in small groups or one-to-one. Girton.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.cambridgeacademy.co.uk/prof.html"} +{"d:Title": "Jersey - St Brelades College", "d:Description": "Open year-round offering intensive courses including holiday, business and examination courses for adults and teenagers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Channel_Islands", "url": "http://www.st-brelades-college.co.uk/"} +{"d:Title": "St Brelades Language School, Jersey", "d:Description": "Open year-round offering intensive courses including holiday, business and examination courses for adults and teenagers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Channel_Islands", "url": "http://www.stbreladescollege.co.uk/"} +{"d:Title": "English in Chester", "d:Description": "Details of language courses, teachers, fees and accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cheshire", "url": "http://www.english-in-chester.co.uk/"} +{"d:Title": "Boscastle Language School", "d:Description": "Offers to arrange homestays with an experienced EFL teacher and socialise with native speakers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cornwall", "url": "http://www.language-school-cornwall.co.uk/"} +{"d:Title": "Truro - Nelson Home Study", "d:Description": "Homestay tuition and includes details of courses, accommodation, sightseeing and prices.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cornwall", "url": "http://www.nelsonhomestudy.com/"} +{"d:Title": "SUL Language Schools", "d:Description": "Agency with details of schools, courses, affiliate programs, and services.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cornwall", "url": "http://www.sul-schools.com/"} +{"d:Title": "Mercator Language School", "d:Description": "Offering tuition combining learning with homestays, or water sports and activity programme. Locations in Cornwall, Devon and London area.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cornwall", "url": "http://www.mercatorelt.com/"} +{"d:Title": "Nab Cottage", "d:Description": "English language school offers intensive courses in small classes in a 15th century farm house, located in the Lake district.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Cumbria", "url": "http://www.nabcottage.com/"} +{"d:Title": "Exeter: ISCA School of English", "d:Description": "Summer and year round courses offered in general and business English as well as Cambridge exam preparation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.iscaschool.com/"} +{"d:Title": "Exeter Academy - English Language School for Adults", "d:Description": "Offers year-round courses in general ESL and exam classes. Information on dates and fees.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.exeteracademy.co.uk/"} +{"d:Title": "Torquay: Riviera English School", "d:Description": "Year round and summer courses in general and business English. Other specialist courses available. Information on fees and accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.riviera-english-school.com/"} +{"d:Title": "Exeter: English Language Centre", "d:Description": "Offers a variety of courses for students and teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.exeter.ac.uk/elc/"} +{"d:Title": "Suzanne Sparrow Plymouth Language School", "d:Description": "Learn English while staying with a host family. Social programmes available. Enrolment online.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.sparrow.co.uk/"} +{"d:Title": "Newton Abbot - Devon English School", "d:Description": "Language learning experience in a teacher's home located in the countryside.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://web.onetel.net.uk/~hchessum/"} +{"d:Title": "Torquay International School", "d:Description": "Business, professional and general English. Academic and examination preparation courses. Information on course content and rates.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.tisenglish.co.uk/"} +{"d:Title": "Exeter - Globe English Centre", "d:Description": "Family run school offering small intensive classes for adults and children over 7 all year round.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.globeenglish.co.uk/"} +{"d:Title": "Mountlands English Language School", "d:Description": "Small family run ELS based in Exmouth, Devon, South West England. All ages catered for - specialising in junior courses for group bookings.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.mountlands.com/"} +{"d:Title": "Plymouth: Mayflower College of English", "d:Description": "General and academic English and English for professionals and executives. Homestay options available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Devon", "url": "http://www.maycoll.co.uk/english/index.htm"} +{"d:Title": "Bournemouth: Southbourne School of English", "d:Description": "Courses for beginners to advanced, training for Cambridge and other examinations, business English conversation classes. Family or homestay accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.southbourneschool.co.uk/"} +{"d:Title": "Bournemouth: Fasttrack School of English", "d:Description": "Offers business, general, and examination courses in two locations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.school-english.com/"} +{"d:Title": "Bournemouth: ETC International College", "d:Description": "Offers courses and activities plus details of dates and fees plus accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.etc-inter.net/"} +{"d:Title": "Bournemouth: Richard Language College", "d:Description": "Comprehensive selection of courses for adults and juniors, includes online registration.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.rlc.co.uk/"} +{"d:Title": "Bournemouth: BEET Language Centre", "d:Description": "Details of school, staff, and offers business and general English courses, teacher training, full and part time.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.beet.co.uk/"} +{"d:Title": "Bournemouth School of English", "d:Description": "Offers training in small classes and a family atmosphere.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.bournemouthschoolofenglish.co.uk/"} +{"d:Title": "Bournemouth - Scanbrit English Language School", "d:Description": "Student comments, course information, facilities, accommodation, dates, prices, staff profiles and contact details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.regent.org.uk/learn-english/language-schools/study-in-bournemouth"} +{"d:Title": "Bournemouth: Cavendish School of English", "d:Description": "Offers tuition for adults, children and groups. Also based in Malta.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Dorset", "url": "http://www.cavendishschool.com/"} +{"d:Title": "Brighton and Hove: The English Language Centre", "d:Description": "All year round courses for adult students including study year programmes, business and summer vacation courses plus classes for teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.elc-brighton.co.uk/"} +{"d:Title": "Brighton: Echo Language School", "d:Description": "Residentially-centered. Full-immersion and other intensive courses for children and adults. Information on staff and rates.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.echolanguageschool.co.uk/"} +{"d:Title": "Brighton - Languages Plus", "d:Description": "Describes the school and its facilities and courses with information about accommodation and examinations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.languages-plus-brighton.co.uk/"} +{"d:Title": "Vivastudy", "d:Description": "Offering Homestay courses based in Brighton.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.vivastudy.com/"} +{"d:Title": "Brighton - Castle School of English", "d:Description": "Details of courses and information about jobs, accommodation, work and study plus student services.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.castle-school.co.uk/"} +{"d:Title": "Brighton Language College", "d:Description": "Offers courses for all ages and levels throughout the year.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.brightonlc.co.uk/"} +{"d:Title": "Brighton: Sussex Centre for Language Studies", "d:Description": "University of Sussex all year round courses for adult learners who wish to reach a high standard of English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.sussex.ac.uk/languages/english"} +{"d:Title": "LTC International College.", "d:Description": "General examination courses for adult and young learners. Offers and holiday courses, homestays, cultural activities and excursions.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.ltc-english.com/teaching_centre/ltc-brighton/"} +{"d:Title": "Bucksmore Education", "d:Description": "Language summer courses for children.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.bucksmore.com/"} +{"d:Title": "Olivet Language School", "d:Description": "Brighton: Details of range of courses and exams, teaching facilities and social activities.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.olivet.co.uk/"} +{"d:Title": "Colchester English Study Centre", "d:Description": "Offers general and specialised courses and includes details of dates and prices plus brochures and other downloads.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Essex", "url": "http://www.cesc.co.uk/"} +{"d:Title": "Cheltenham - International Language Centre", "d:Description": "Unit of the University of Gloucestershire offering language programs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Gloucestershire", "url": "http://www.glos.ac.uk/study/international/pages/english-language-courses.aspx"} +{"d:Title": "Farnborough - All Day English", "d:Description": "Provides English homestay tuition for adults and children.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Hampshire", "url": "http://www.all-day-english.com/"} +{"d:Title": "Passfield: Elizabeth Johnson Organisation", "d:Description": "Offers personalised British Council accredited general and business language instruction for children, teenagers and adults.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Hampshire", "url": "http://www.ejo.co.uk/"} +{"d:Title": "BFluent", "d:Description": "Consultancy and language tuition in Southampton and nationally.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Hampshire", "url": "http://www.bfluent.co.uk/"} +{"d:Title": "Winchester School of English", "d:Description": "Offers intensive general ESL courses, one-to-one tuition and summer intensives.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Hampshire", "url": "http://www.winchester-english.co.uk/"} +{"d:Title": "Ramsgate: The Regency School of English", "d:Description": "Offers ESL tuition as recognized by the British Council.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.regencyschool.co.uk/"} +{"d:Title": "Ramsgate: Churchill House School of English Language", "d:Description": "Large, multilingual website for recognized language school in the south of England offering high quality ESL courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.churchillhouse.com/"} +{"d:Title": "Canterbury: Stafford House School of English", "d:Description": "Provides contact information and brief overview of courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.staffordhouse.com/"} +{"d:Title": "Canterbury: Pilgrims English Language Courses", "d:Description": "Offers ESL and teacher training courses for children, teachers, and business.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.pilgrims.co.uk/"} +{"d:Title": "Broadstairs: Kent School of English", "d:Description": "Provides short English language courses for young people, adults, teachers and groups. Trinity College Certificate in TESOL, teacher training and Cambridge First Certificate examination offered.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.kentschoolofenglish.com/"} +{"d:Title": "Homestay English Language Programme (HELP)", "d:Description": "Offers general or business courses in the teacher's home in Seal near Sevenoaks.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.homestay-english.co.uk/"} +{"d:Title": "London House School of English", "d:Description": "Based in Margate Kent details of courses and fees, includes accommodation with host families.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.london-house.co.uk/"} +{"d:Title": "Marlowe School of English", "d:Description": "Details of Summer School activities and courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.marloweenglish.com/"} +{"d:Title": "Broadstairs: Hilderstone College", "d:Description": "Offers details of language tuition, pre-university courses and teacher training.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.hilderstone.ac.uk/"} +{"d:Title": "Broadstairs English Centre", "d:Description": "Details of homestay and language only courses plus activities and location interests.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "http://www.broadstairsenglish.com/"} +{"d:Title": "Margate Language Centre", "d:Description": "Based in Kent showing details of courses for adults including homestay.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Kent", "url": "https://englishinmargate.com/"} +{"d:Title": "Sandown Heritage - English for Business", "d:Description": "Offers homestay total immersion language courses from one to four weeks for either individuals or small groups in Southport.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Lancashire", "url": "http://www.sandown-heritage.com/"} +{"d:Title": "Students International", "d:Description": "Study centre based in Melton Mowbray offering a range of language courses and foundation tuition.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Leicestershire", "url": "http://www.studentsint.com/"} +{"d:Title": "Stamford Academy", "d:Description": "Offers full and part-time language courses in the town centre.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Lincolnshire", "url": "http://www.stamfordacademy.co.uk/"} +{"d:Title": "Bloomsbury International", "d:Description": "Centrally located offering details of courses, contacts, accommodation, and school plus its facilities.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.bloomsbury-international.com/"} +{"d:Title": "London Empire Academy", "d:Description": "Language school offering general EFL courses and Cambridge Examination preparation courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.londonac.co.uk/index.php"} +{"d:Title": "The London Language and Drama School", "d:Description": "Combines ESL with classes in the performing arts, theatre, media, film, speech-training, and public speaking courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.startek-uk.com/"} +{"d:Title": "International House London", "d:Description": "Information on courses and various country locations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.ihlondon.com/"} +{"d:Title": "Harrow House International College", "d:Description": "Offers ESL for adults, teenagers and juniors. Founded in 1969 and accredited by the British Council. Also in Swanage.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.harrowhouse.com/"} +{"d:Title": "Wimbledon School of English", "d:Description": "Offers general and Cambridge examinations preparation, social program plus accommodation and homestays.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.wimbledon-school.ac.uk/"} +{"d:Title": "St George International", "d:Description": "Language training school offering a range of courses for different levels and needs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.stgeorges.co.uk/"} +{"d:Title": "Loquitur", "d:Description": "Provides intensive and personalised tuition for students in business and law. Courses are custom tailored and usually one-to-one.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.loquitur.net/"} +{"d:Title": "Golders Green: Kingsley School of English", "d:Description": "Family-owned offering tuition to foreign students and au pairs over 16.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.kingsleyschoolofenglish.co.uk/"} +{"d:Title": "St. John's Wood School of English", "d:Description": "Founded in 1952, the school offers intensive language courses for adults in London in very small groups. British Council accredited.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.sjw-school.co.uk/"} +{"d:Title": "AEP Academy English Programmes", "d:Description": "Agency offering courses for students as well as work for teachers and incentives for agents.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.aep-london.co.uk/"} +{"d:Title": "Malvern House", "d:Description": "Details of all year round tuition for beginners to advanced.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.malvernhouse.com/"} +{"d:Title": "English Language Training for Business", "d:Description": "Provides intensive training in English language and communication skills for international managers, business personnel and executives.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.companylanguages.com/"} +{"d:Title": "Active Learning", "d:Description": "Offers EFL courses in Hammersmith at several levels plus summer courses for students under 16 years.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.active-learning.co.uk/"} +{"d:Title": "Communicaid", "d:Description": "Worldwide business language training and consultancy based in the UK and overseas.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.communicaid.com/"} +{"d:Title": "Clapham: ELT: English Language Training", "d:Description": "Provides a range of courses to suit a range of needs and offers host family stays.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.elt-online.co.uk/"} +{"d:Title": "Living English", "d:Description": "Offers short intensive courses designed to improve fluency and vocabulary.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.livingenglish.co.uk/"} +{"d:Title": "Oxford House College", "d:Description": "Offers courses for students and teachers. Includes details of fees and accommodation in several languages.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.oxfordhousecollege.co.uk/"} +{"d:Title": "Westminster Academy", "d:Description": "Centrally located ELS based in the West End, includes details of in English and Japanese.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.westminsteracademy.co.uk/"} +{"d:Title": "One to One English", "d:Description": "Offers Cambridge and IELTS examinations, general and business courses in small groups of 3 students maximum.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.onetooneenglish.co.uk/"} +{"d:Title": "Victoria School of English", "d:Description": "Offers general, Cambridge and IELTS examination preparation courses, social program plus accommodation options.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.victoriaschool.co.uk/"} +{"d:Title": "Mayfair School of English", "d:Description": "Located in Oxford Street and includes details of courses and fees.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.mayfairschool.co.uk/"} +{"d:Title": "Englishouse", "d:Description": "School based in Fulham offering tuition using the Callan Method.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.englishouse.co.uk/"} +{"d:Title": "Hi London", "d:Description": "Offers immersion tuition for young children and families, includes course details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.hi-london.com/"} +{"d:Title": "Linguacentre", "d:Description": "Lists types of courses, services, and booking details as well as FAQs and contacts.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.linguacentre.co.uk/"} +{"d:Title": "Princes College", "d:Description": "Includes general information, course descriptions, fees, FAQs and contact details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.princescollege.com/"} +{"d:Title": "Executive Language Tutors", "d:Description": "Offers online tuition, by phone and face to face for all skill levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.executivelanguagetutors.com/"} +{"d:Title": "Sels College London", "d:Description": "Offers intensive courses for small groups and individuals.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.sels.co.uk/"} +{"d:Title": "ADC College", "d:Description": "Programmes designed for 12-18 years old students in Harrow.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.adccollege.eu/"} +{"d:Title": "Islington Centre for English", "d:Description": "Details of language courses aimed at businesses and general tuition.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.letslearnenglish.org/"} +{"d:Title": "ABC School of English", "d:Description": "Language tuition with the Callan Method based in Covent Garden.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.abcschool.co.uk/"} +{"d:Title": "Link School", "d:Description": "Based near Ealing provides intensive and part-time courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.linkschool.co.uk/"} +{"d:Title": "Professional Language Solutions", "d:Description": "Offers a range of tuition and training in Central London..", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.langsols.com/"} +{"d:Title": "Frances King School of English", "d:Description": "Business and intensive courses, exam preparation as well and general ESL. Three centres in the heart of the city.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.francesking.com/"} +{"d:Title": "The Burlington School", "d:Description": "Offers a full range of courses from beginners to advanced, including Cambridge and IELTS exams. Accommodation in school hostel and host families.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.burlingtonschool.co.uk/"} +{"d:Title": "The English Studio Language School", "d:Description": "In three locations offering details of tuition accommodation, activities, and other services.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.englishstudio.com/"} +{"d:Title": "The Language Gallery", "d:Description": "Offers general, academic, and business language courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.thelanguagegallery.com/"} +{"d:Title": "Southall School of Languages and Missionary Orientation", "d:Description": "Evangelical Christian language school located west of London offering classes at different levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.sslmolondon.co.uk/"} +{"d:Title": "LSC Stanton", "d:Description": "Based in Bayswater offering tuition and teacher training courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.lscstanton.co.uk/"} +{"d:Title": "Floodlight", "d:Description": "Directory of EFL and ESOL courses as well as non language lessons and training.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "http://www.floodlight.co.uk/london/"} +{"d:Title": "Rose of York English Language School", "d:Description": "EFL and other languages offered in Central London. Includes photo gallery, details of courses, plus information on accommodation, visa applications and work.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/London", "url": "https://www.roseofyork.com/"} +{"d:Title": "The Essential English Centre", "d:Description": "Details of language and communication training and courses based in offices in the centre of Manchester.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Manchester", "url": "http://www.essentialenglishcentre.com/"} +{"d:Title": "Southport - Sandown Heritage", "d:Description": "Intensive total-immersion 1-to-1 tuition for individuals, mini-groups and companies.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Merseyside", "url": "http://www.sandown-heritage.com/"} +{"d:Title": "Norwich Institute for Language Education (NILE)", "d:Description": "Offers courses for teachers and learners. Includes details of courses and funding.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Norfolk", "url": "http://www.nile-elt.com/"} +{"d:Title": "Flying Classrooms", "d:Description": "Offers general and EFL exam preparation classes with homestays or as au pair. Instruction in other languages also offered.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Norfolk", "url": "http://www.flyingclassrooms.co.uk/"} +{"d:Title": "Frisby's College", "d:Description": "Small ELS based in Great Yarmouth offering various courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Norfolk", "url": "http://www.englischnachhilfe-online.com/"} +{"d:Title": "York: English in York", "d:Description": "Offers a range of ESL courses for both individuals and groups with examinations and work placements.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/North_Yorkshire", "url": "http://www.english-in-york.co.uk/"} +{"d:Title": "Scarborough International School", "d:Description": "Courses from business to vocational and incorporating grammar and professional writing, also offers accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/North_Yorkshire", "url": "http://www.english-language.uk.com/"} +{"d:Title": "Scarborough: Anglolang Academy of English", "d:Description": "Offers several types of ESL courses throughout the year at all levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/North_Yorkshire", "url": "https://anglolang.com/"} +{"d:Title": "Nottingham English School", "d:Description": "Part of New College Notiingham providing general language courses, Celta training courses, Ielts exam prep courses and a Summer School programme.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Nottinghamshire", "url": "http://www.nottinghamenglishschool.com/"} +{"d:Title": "Oxford: Lake School of English", "d:Description": "Intensive training including IELTS and TOEFL preparation and business studies.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.englishinoxford.com/"} +{"d:Title": "St. Clare's Oxford", "d:Description": "Residential ESL school offers courses from beginner level to specialist medical English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.stclares.ac.uk/"} +{"d:Title": "The Oxford Language Centre", "d:Description": "Offers short-term to long-term residence courses including IELTS, intensive and tuition for specific business areas plus a summer school.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.olcoxford.co.uk/"} +{"d:Title": "Oxford: Kheiron School of English", "d:Description": "Details of full time and part time courses offered including exam preparation, plus social activities and accommodation services.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.kheironschool.com/"} +{"d:Title": "Shrewsbury: UK English Language Schools Severnvale", "d:Description": "Small school offering ESL for business, examination, and general purposes, and summer vacation youth courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Shropshire", "url": "http://www.severnvale.co.uk/"} +{"d:Title": "Shropshire: English for Business and the Professions", "d:Description": "Offers short intensive residential courses in English as a Foreign Language for business and professional purposes. Accredited by the British Council, members of ARELS.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Shropshire", "url": "http://www.lydbury.co.uk/"} +{"d:Title": "Bath English Language School", "d:Description": "Offers language courses for university students, translators and teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Somerset", "url": "http://www.bath-school.co.uk/"} +{"d:Title": "Live In Languages", "d:Description": "One-to-one ESL courses with full board accommodation in the teacher's home.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Suffolk", "url": "http://www.liveinlanguages.co.uk/"} +{"d:Title": "Weybridge International School of English", "d:Description": "First Certificate, IELTS and CAE for beginner, intermediate and advanced levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Surrey", "url": "http://www.weybridge-english.com/"} +{"d:Title": "The Heart of England Language School", "d:Description": "Provides details of courses, accommodation, activities, prices and holiday programmes at school or on site.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Warwickshire", "url": "http://www.heartengland.co.uk/"} +{"d:Title": "Centre of English Studies", "d:Description": "Preparation courses and intensive general ESL and a young learners summer course.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.ces-schools.com/"} +{"d:Title": "St. George's School of English", "d:Description": "Includes course descriptions, accommodation information, fees, location and contact details. Based in Worthing.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.sg-cc.com/"} +{"d:Title": "English Language Homestays", "d:Description": "Lists programs, mini stays, application forms and contact details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.englishlanguagehomestays.co.uk/"} +{"d:Title": "EFAA - English Family Accommodation Agency", "d:Description": "Offers to arrange accommodation and tuition for students wishing to study in locations on the South Coast.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.efaa.co.uk/"} +{"d:Title": "Experience English", "d:Description": "Details of courses plus summer schools for all ages and levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.experienceenglish.com/"} +{"d:Title": "Belaf English Language Study Holidays", "d:Description": "Educational tour operators offering to arrange staying with an host family on a work-for-keep basis.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Wiltshire", "url": "http://www.belaf.com/"} +{"d:Title": "Abbey Communication Training", "d:Description": "Offers business ESL courses on a one-to-one, group or combined basis. Malmesbury.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Wiltshire", "url": "http://www.abbeycommunication.com/"} +{"d:Title": "Kingsway English Centre", "d:Description": "Worcester - Includes brochures, details of courses, activities, and services offered.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/England/Worcestershire", "url": "http://www.kingsway-english.com/"} +{"d:Title": "University of Glasgow EFL Unit", "d:Description": "Courses to prepare students for participation in seminars, lectures and tutorials, and for writing essays, reports and examinations. Also links to other resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.efl.arts.gla.ac.uk/"} +{"d:Title": "Lochcarron School of English", "d:Description": "Basic ESL courses and accommodation. Information about fees and course content.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.speakingenglish.co.uk/"} +{"d:Title": "Glasgow School of English", "d:Description": "British Council approved school offering courses at all levels starting several times a year.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.glasgowschoolofenglish.com/"} +{"d:Title": "Edinburgh - Wallace College", "d:Description": "Offers general language and academic tuition, exam preparation throughout the year and summer holiday courses for adults and juniors. Accommodation arranged.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.wallacecollege.co.uk/"} +{"d:Title": "TLI Edinburgh Language School", "d:Description": "Family run school offering range of courses and Trinity TESOL tuition.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.tlieurope.com/"} +{"d:Title": "Edinburgh School of English", "d:Description": "City centre school offering courses for both adults and young learners (14-17 year olds).", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.edinburghschoolofenglish.com/"} +{"d:Title": "Edinburgh - Capital School of English", "d:Description": "Independent school offering general and exam preparation courses. Provides young learner courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.capital-school-of-english.co.uk/"} +{"d:Title": "Edinburgh - Mackenzie School of English", "d:Description": "Specialising in group courses for teenagers. Offers culture and activity programmes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.mackenzieschool.com/"} +{"d:Title": "Edinburgh Language Academy", "d:Description": "Offers range of languages courses including private and home tuition.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.elacademy.co.uk/"} +{"d:Title": "Edinburgh - Randolph School of English", "d:Description": "Offers courses in general and business launguage tuition and CELTA teacher training.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.randolph.org.uk/"} +{"d:Title": "Edinburgh - Basil Paterson College", "d:Description": "Offering intensive language courses, IELTS and Cambridge exams, and CELTA teacher training.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.basilpaterson.co.uk/"} +{"d:Title": "Glasgow - Live Language", "d:Description": "Offers details of courses, social and homestay programmes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.live-language.com/"} +{"d:Title": "Glasgow International School of English Language", "d:Description": "Details of courses as well as teachers, fees, accommodation, and location.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.gisoel.com/"} +{"d:Title": "University of St. Andrews - The English Language Teaching Centre", "d:Description": "Overview of the programme plus information on foundation courses, summer courses, teacher training and credit bearing modules. Fife.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.st-andrews.ac.uk/elt/"} +{"d:Title": "Edinburgh - Caledonian Language School", "d:Description": "Offer day and evening courses in General English and examination preparation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.caledonianlanguageschool.com/"} +{"d:Title": "Edinburgh - Hamilton School of English", "d:Description": "Offers 2, 3 and 4 week Summer courses for teenagers. Provides homestay accommodation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.hamiltonschool.co.uk/"} +{"d:Title": "Stirling - Centre for English Language Teaching", "d:Description": "Offering year long and summer ESL courses in a castle setting.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Scotland", "url": "http://www.stir.ac.uk/study-in-the-uk/"} +{"d:Title": "Brecon - Trebinshun House", "d:Description": "Offers corporate business English courses for executives in the time available to them.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Wales", "url": "http://www.trebinshunhouse.co.uk/"} +{"d:Title": "Cardiff - Alpha And Omega College", "d:Description": "Offer a range of vocational and language courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Wales", "url": "http://www.alphaomegacollege.co.uk/"} +{"d:Title": "Cardiff - Celtic School of English", "d:Description": "Details of two schools offering a range of language courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Europe/United_Kingdom/Wales", "url": "http://www.celticenglish.co.uk/"} +{"d:Title": "International Language Institute (ILI)", "d:Description": "An official language-training centre for the Canadian government, a certified RSA-Cambridge teacher-training centre, and an associate of both Dalhousie University and the Nova Scotia Community College.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada", "url": "http://www.ili.ca/"} +{"d:Title": "Equilibrium International Education Institute", "d:Description": "High school offers classes for international students from around the world. Home-stay program available. Calgary, Alberta.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada", "url": "http://www.equilibrium.ab.ca/"} +{"d:Title": "Language Studies International Canada", "d:Description": "Offers TOEIC, TOEFL, business English for international students and business executives in Vancouver and Toronto. Homestay services and after-class and week-end activities available for all students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada", "url": "http://www.lsi-canada.com/"} +{"d:Title": "Learn English (ESL) or French (FSL)", "d:Description": "Three language schools in Canada offer a study-abroad program with locations in Vancouver, Toronto and Montreal.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada", "url": "http://www.canadastudynet.com/"} +{"d:Title": "Sol Schools International", "d:Description": "Corporate language training, general and business English and TOEFL and TOEIC examination preparation. Toronto, Calgary and Vancouver.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada", "url": "http://www.solschools.com/"} +{"d:Title": "Kaplan International English", "d:Description": "Programs in business English and examination preparation at schools in Vancouver and Toronto.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada", "url": "http://www.kaplaninternational.ca/"} +{"d:Title": "International Language Schools of Canada", "d:Description": "Offers English or French instruction in Vancouver, Toronto and Montreal. Describes programs, facilities, fees and registration process.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada", "url": "http://www.ilsc.com/"} +{"d:Title": "Tamwood International College", "d:Description": "Offers classes for adults in general and special English programs as well as summer school courses for students from campuses in Vancouver and Whistler. Includes details on courses, campus life, and admissions.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/British_Columbia", "url": "http://www.tamwood.com/"} +{"d:Title": "VanWest College", "d:Description": "Provides TOEFL and TOEIC instruction and Cambridge preparation in Vancouver and Kelowna. Includes programs, campus overview, registration, and FAQ.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/British_Columbia", "url": "http://www.vanwest.com/"} +{"d:Title": "Dorset College", "d:Description": "Specializes in high school and university preparation courses for international students. Campuses in Vancouver and New Westminster. Brief college and contact information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/British_Columbia", "url": "http://www.dorsetcollege.bc.ca/"} +{"d:Title": "National School of Languages", "d:Description": "Locations in Ottawa and Toronto. Provides immersion, academic year, and vacation programs, as well as teacher training. Includes program information, dates and fees, and application information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.nationalschool.ca/"} +{"d:Title": "Access International English Language Centre", "d:Description": "Offers study-tour program. Includes program overview, schedule, rates, application information, and testimonials. Toronto.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.accessenglish.com/"} +{"d:Title": "Brock University", "d:Description": "Includes information about courses, costs, dates, accommodations, the school and the surrounding area. St. Catharines, Ontario.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.brocku.ca/ielp/"} +{"d:Title": "Communiqued Services", "d:Description": "Offering intensive conversation practice in small classes. Includes program overview, start dates, visa information, school fees, application form, and comments from past students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.communiqued.com/"} +{"d:Title": "Flemington Adult Learning Centre", "d:Description": "Includes program descriptions, class schedules, registration information, and rates. Toronto.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://schools.tdsb.on.ca/flemingtonadult/"} +{"d:Title": "GEOS Language Academy", "d:Description": "Instruction for those interested in preparing for university or college, as well as conversational courses. Toronto.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.geostoronto.com/"} +{"d:Title": "Cornerstone Academic College", "d:Description": "Offers courses for the TOEFL, TESL, TOEIC, business and general English, and other special electives. Toronto.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.cacenglish.com/"} +{"d:Title": "Village English", "d:Description": "Offers courses, and homestay while emphasizing community involvement and daily social interaction with Canadians. Includes pictures, course and program overview, and registration information. Mississauga.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.village-english.com/"} +{"d:Title": "Algonquin College International Education Centre", "d:Description": "Offers full-time and part-time classes throughout the year at eight levels for international students. Three locations in Ontario.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.algonquincollege.com/international/"} +{"d:Title": "London Language Institute ESL", "d:Description": "Programs for international students. Includes course/tuition info, FAQs, online registration and homestay or other accommodation options for extra fee.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.llinstitute.com/"} +{"d:Title": "Interlangues Language School", "d:Description": "Offers training to international students, courses in many other languages, and cultural sensitivity workshops. Ottawa.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.interlangues.ca/"} +{"d:Title": "Hansa Language Centre", "d:Description": "Established ESL school, offers intensive courses and executive programs for international students. Toronto.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.hansacanada.com/"} +{"d:Title": "Fulford Academy", "d:Description": "ESL/preparatory school for international students. Brockville.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.fulfordacademy.com/"} +{"d:Title": "Queen's University: The School Of English", "d:Description": "Offers an intensive English language program with small classes, organized activities and year-round residence and homestay options. Includes information on English language programs, fees, dates and registration. Located in Kingston.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.queensu.ca/qsoe/"} +{"d:Title": "Acad\u00e9mie de Formation Linguistique", "d:Description": "AFL provides customized English and French Language training courses using methods such as simultaneous multisensory teaching and total physical response. Ottawa, Ontario.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.aflcanada.ca/"} +{"d:Title": "CanPacific College", "d:Description": "Offers courses for translation and interpretation programs including practical speaking, English for Work and TOEIC preparation. Toronto.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.canpacificcollege.com/"} +{"d:Title": "English Encounters Inc", "d:Description": "Located in Burlington. Offers ESL courses to international students. Includes photos, and information on courses, accommodation, and registration.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Ontario", "url": "http://www.englishencounters.ca/"} +{"d:Title": "Montreal International Language Centre", "d:Description": "Instruction for beginner to advanced level with immersion, intensive, individual and corporate courses available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Quebec", "url": "http://www.cilm.qc.ca/"} +{"d:Title": "Academie Linguistique Internationale", "d:Description": "Programs at six levels for local and overseas students. Information on fees, accommodation, activities and dates. Montreal.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Quebec", "url": "http://www.alint.com/"} +{"d:Title": "GEOS Montreal Language School", "d:Description": "Offers English and French language courses, test preparation programs, internship and homestay. Contains course details with schedule, admission fees and FAQ.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Quebec", "url": "http://www.geosmontreal.com/"} +{"d:Title": "Point 3 Language Centre", "d:Description": "Offers professional language and cultural studies to students from around the world. Montreal.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Canada/Quebec", "url": "http://www.point3.com/"} +{"d:Title": "Brooklyn Educational Center", "d:Description": "Offers Cambridge English to students of all ages and educational levels for travel, school and business.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Mexico", "url": "http://brooklyn_edu.tripod.com/"} +{"d:Title": "The British Centre", "d:Description": "Catering to children, adults, and companies. Complete translation service, teacher training through C.O.T.E., KET, PET, FCE exam centre.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/Mexico", "url": "http://www.britishcentre.com.mx/"} +{"d:Title": "University Language Institute", "d:Description": "A Christian intensive English school, offers 25 hours of English language instruction per week, with total immersion. Tulsa, Oklahoma.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.uli.net/"} +{"d:Title": "Selnate International School", "d:Description": "Emphasizes TOEFL and college preparation. Homestay and specialized curriculum available. Provo, Utah.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "https://www.selnate.com/"} +{"d:Title": "American Language Programs", "d:Description": "Immersion programs in Massachusetts, Florida, and Arizona offers homestays, business and special English courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.alp-online.com/"} +{"d:Title": "The English Center", "d:Description": "Offers intensive full or part-time English programs in business English, university preparation, and general English. Champaign, Illinois.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.englishcenter.com/"} +{"d:Title": "Marshall University L.E.A.P.", "d:Description": "Offers intensive ESL program, information on dates and fees in other languages. Huntington-Charleston, West Virginia.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.marshall.edu/leap/"} +{"d:Title": "Agape English Language Institute", "d:Description": "Instruction in English for academic and professional needs. TOEFL preparation, communication skills acquisition, university and college preparation, and business English. Columbia and Greenville, South Carolina.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.aeliusa.com/"} +{"d:Title": "Interlink Language Centers", "d:Description": "ESL programs at four American universities. Application, costs, homestays information also included.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://eslus.com/"} +{"d:Title": "Language Studies International", "d:Description": "Locations in San Diego, New York, Boston and Berkeley/San Francisco. Offers ESL programs, TOEFL and Cambridge test preparation and business English courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.lsi-america.com/"} +{"d:Title": "University of Delaware - English Language Institute", "d:Description": "Offers intensive English programs, general, and cultural English courses. Newark, Delaware.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.udel.edu/eli/"} +{"d:Title": "Nomen Global Language Centers, Inc.", "d:Description": "Offers long and short term ESL programs, English camps for youths, executive English for professionals and other customized ESL instruction. Provo, Utah.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.nomenglobal.com/"} +{"d:Title": "Impact-e", "d:Description": "Intensive English and management training. Offers seminars and immersion programs as well as Internet based learning opportunities. East Lyme, Connecticut.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.impact-english.com/"} +{"d:Title": "ALPS Language School", "d:Description": "Private classes and group instruction. Information on courses, costs, activities and how to apply. Seattle, Washington.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.englishintheusa.com/"} +{"d:Title": "Washington Academy of Languages", "d:Description": "Offering full-time intensive and part-time instruction as well as graduate certificate programs in teaching ESL. Classes begin every five weeks. Seattle, Washington.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.wal.org/"} +{"d:Title": "TESOL Trainers", "d:Description": "Certificate course provides practical teacher training and prepares participants for teaching English in the USA or abroad. Santa Fe, New Mexico.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.tesoltrainers.com/"} +{"d:Title": "Capstone English Mastery Center", "d:Description": "English language courses offering TOEFL, TOEIC and Eiken preparation as well as GED, GRE, GMAT assistance. Portland, Oregon.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.capstone.org/"} +{"d:Title": "Pacific International Academy", "d:Description": "Offers English and cultural training programs for international students on the campus of Marylhurst University. Portland, Oregon.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.piaschools.edu/"} +{"d:Title": "Spring International Language Center", "d:Description": "Intensive English Program (IEP) that teaches English as a Second Language to international students at three locations in the United States. Littleton, Colorado.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.spring.edu/"} +{"d:Title": "Study Seattle", "d:Description": "ESL program through a collaborate with two Seattle universities. Provides online application and program details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.studyseattle.org/"} +{"d:Title": "ELC - English Language Center", "d:Description": "Offers courses in Los Angeles and Boston.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.elc.edu/"} +{"d:Title": "Sacred Heart University - English as a Second Language", "d:Description": "Offers all levels of ESL classes, intensive and non-intensive, credit and non-credit. Fairfield, Connecticut.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "http://www.sacredheart.edu/academics/englishasasecondlanguage/"} +{"d:Title": "Indiana University - Intensive English Program", "d:Description": "Beginning to advanced EFL for adults in 7-week sessions that meet year-round. Bloomingon, Indiana.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States", "url": "https://dsls.indiana.edu/programs/iep/"} +{"d:Title": "Golden Gate Language Schools", "d:Description": "Offers classes for TOEFL and college preparation, English for business purposes, American culture, and conversation year-round in a 12-level program.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.goldengatelanguage.com/"} +{"d:Title": "LinguaTec", "d:Description": "Language and intercultural training for businesses, public courses on many aspects of ESL learning and workshops for professionals. Sunnyvale.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.linguatec.com/"} +{"d:Title": "Accurate English", "d:Description": "Offering accent reduction and American pronunciation training.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.accurateenglish.com/"} +{"d:Title": "California State University Fullerton - American Language Program", "d:Description": "Academic and professional English language instruction designed to prepare international students for university study.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://alp.fullerton.edu/"} +{"d:Title": "Language Pacifica", "d:Description": "Classes in college, TOEFL and Cambridge preparation, guidance on accommodation, information on fees and registration and student testamonials.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.languagepacifica.com/"} +{"d:Title": "Poly Languages Institute", "d:Description": "Offers English as a Second Language ESL in Los Angeles, Irvine and Pasadena.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.polylanguages.edu/"} +{"d:Title": "LASC&ESLA", "d:Description": "Classes in general ESL for beginner to advanced and TOEFL preparation. Private tutoring is also available. Los Angeles.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.eslacademy.com/"} +{"d:Title": "Poly Languages Institute", "d:Description": "TOEFL and general ESL classes with evening courses also available with services to aid foreign students. Los Angeles.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.polylanguages.com/"} +{"d:Title": "Intensive English Program at CSUN", "d:Description": "Accommodates all levels of English preparing students to enter an American college or university. Offers six, 7-week sessions year round. Northridge.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.csun.edu/~hfoao006/"} +{"d:Title": "College of English Language", "d:Description": "ESL instruction in conversation, vocabulary, grammar, listening comprehension, reading, writing, and pronunciation. Also offers accommodation guidance. San Diego.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.englishcollege.com/"} +{"d:Title": "American Academy of English", "d:Description": "Intensive ESL for all levels, TOEFL and TOEIC test preparation and business English. Continuous enrollment. San Francisco.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.aae.edu/"} +{"d:Title": "ProActive English", "d:Description": "Offers customized business English courses, either on-site or in small group classes at the school. Designed for professionals working in American business. San Francisco.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.proactive-english.com/"} +{"d:Title": "San Jose State University - Studies in American Language", "d:Description": "Intensive English, TOEFL preparation, culture and communication, and online classes for international students. Housing opportunities are also available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.sal.sjsu.edu/"} +{"d:Title": "Converse International School of Languages", "d:Description": "Offers ESL courses for international students, professionals, and executives in San Diego and San Francisco, California.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.cisl.edu/"} +{"d:Title": "San Diego State University - American Language Institute", "d:Description": "Offers year-round intensive English programs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://ali.sdsu.edu/"} +{"d:Title": "California State University", "d:Description": "An eight-week intensive English language program offered six times a year for students with academic or professional goals, including passing the TOEFL for entrance into an American university. Los Angeles.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www4.csudh.edu/ceie-alcp/"} +{"d:Title": "Diversified Vocational College", "d:Description": "ESL and TOEFL preparation, with private programs available. Los Angeles.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://dvcla.edu/"} +{"d:Title": "USC Language Academy Intensive English Program", "d:Description": "Intensive English, English and American culture program for both adults and children, TEFL teacher training, English for nursing students and professionals, and customized group programs. Los Angeles.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://international.usc.edu/intensive-english-program.php"} +{"d:Title": "American Language and Culture Institute", "d:Description": "English language programs for students who wish to attend colleges and universities in the United States. San Marcos.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://www.csusm.edu/global/alci/"} +{"d:Title": "Language Systems International", "d:Description": "Intensive ESL courses at 7 levels, TOEFL and GMAT preparation and a university entrance preparation course. Several schools in the Los Angeles metro area.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/California", "url": "http://languagesystems.edu/"} +{"d:Title": "English Language Schools in the USA", "d:Description": "A directory of schools located throughout the United States where students can learn English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Directories", "url": "http://www.englishinusa.com/"} +{"d:Title": "ESL Guide: Academic Discoveries International (ADI)", "d:Description": "Offers a guide to find programs. Searchable by program preference.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Directories", "url": "http://www.esl-guide.com/"} +{"d:Title": "ESL Web Directory", "d:Description": "Includes links to more specialized resources such as linguistics and etymology, but contains resources for students and teachers as well.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Directories", "url": "http://www.usingenglish.com/links/"} +{"d:Title": "NCELA Online Directory of ESL Resources", "d:Description": "Sponsored by the US Department of Education, the sparse interface yields a comprehensive list of ESL resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Directories", "url": "http://www.cal.org/jsp/ESL/esllist.jsp"} +{"d:Title": "The Language Academy", "d:Description": "Offers intensive English programs, executive programs, and test preparation courses. Fort Lauderdale.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Florida", "url": "http://www.languageacademy.com/"} +{"d:Title": "English Language Institute", "d:Description": "Offers intensive programs, examination preparation courses and business or academic English. Miami.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Florida", "url": "http://www.eli.fiu.edu/"} +{"d:Title": "English for Internationals", "d:Description": "TOEFL preparation, reading, vocabulary, grammar, listening, speaking, conversation and writing are offered. Homestays can be arranged.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Georgia", "url": "http://www.eng4intl.com/"} +{"d:Title": "Atlanta English Institute", "d:Description": "Information on all eight levels of ESL instruction, directions to the school, and an application for admission. Also information on test preparation courses, business English, and private tutoring.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Georgia", "url": "http://www.atlei.com/"} +{"d:Title": "Acadia Center for English Immersion", "d:Description": "Intensive summer English courses for adults and families. on Little Cranberry Island.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Maine", "url": "http://www.acadiaenglish.com/"} +{"d:Title": "Penobscot School", "d:Description": "Offers summer and weekend immersion programs as well as regular weekly classes. Focuses on international and cultural exchange. Rockland.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Maine", "url": "http://www.penobscot.us/"} +{"d:Title": "English Now, Inc.", "d:Description": "Offers four-week intensive ESL program, Saturday intensive classes, private lessons, day and evening classes, TOEFL preparation, and business ESL in small class sizes. Bethesda.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Maryland", "url": "http://www.english-now.com/"} +{"d:Title": "The Boston Language Institute", "d:Description": "Offers English for foreign born professionals, TOEFL preparation, group and private instruction as well as teacher training programs (TEFL).", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://www.bostonlanguage.com/"} +{"d:Title": "Boston Academy of English", "d:Description": "Offers a range of English classes, including Intensive ESL, part-time sessions, TOEFL and GMAT preparation, and corporate.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://www.bostonacademyofenglish.com/"} +{"d:Title": "English Onsite", "d:Description": "Delivers ESL training and foreign accent reduction to busy professionals in greater Boston and throughout the U.S.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://www.englishonsite.com/"} +{"d:Title": "Accent Management Group", "d:Description": "Offers accent reduction, speech improvement, language, presentation and business communication training for professionals in business and industry. Metro Boston.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://www.accentmanagementgroup.com/"} +{"d:Title": "Whittaker Gould Associates", "d:Description": "Provides individual and corporate classes for accent reduction. Boston.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://www.prospeech.com/"} +{"d:Title": "Pine Manor College", "d:Description": "English Language Institute offers intensive English courses and university preparation programs. Chestnut Hill.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://www.pmc.edu/ELI/"} +{"d:Title": "ASC Boston", "d:Description": "TOEFL test skill training and exam preparation, editing, intensive ESL.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://aplusprogram.com/"} +{"d:Title": "Approach USA", "d:Description": "Offers intensive ESL, exam preparation, and ESL teacher training. Boston.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://approachusa.org/"} +{"d:Title": "New England School of English", "d:Description": "Offers 10 levels of intensive language instruction including grammar and idioms, reading and writing, conversation and listening, intensive TOEFL preparation, business English, pronunciation, and legal English. Cambridge.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Massachusetts", "url": "http://nese.edu/"} +{"d:Title": "Bell Language School", "d:Description": "This language school offers part and full-time study options. Courses covered include general English, business English and TOEFL preparation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://www.bellschool.org/"} +{"d:Title": "New York Language Center", "d:Description": "Offers English language instruction to students of all levels and are authorized under federal law to enroll non-immigrant, foreign students. Includes courses, foreign students, and an online test.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "https://www.nylanguagecenter.com/"} +{"d:Title": "American Language Communication Center", "d:Description": "This language school offers part and full-time ESL courses seven days a week. Foreign student visas are available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://www.learnenglish.com/"} +{"d:Title": "The English Language Center", "d:Description": "Professional instruction programs designed to meet the educational and job-related needs of adult international students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://esl.lagcc.cuny.edu/"} +{"d:Title": "Riverside Language Program", "d:Description": "This public-library based program offers English classes to immigrants and refugees in New York City.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://www.riversidelanguage.org/"} +{"d:Title": "Manhattan Language", "d:Description": "This language school offers part and full-time ESL training in general English as well as business and TOEFL. The primary approach is the Communicative Approach.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://www.manhattanlanguage.com/"} +{"d:Title": "Amity Language Institute", "d:Description": "This language school promotes cultural exchange through language instruction in English and other languages. Study options include private, group or corporate training.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://www.amitylanguage.com/"} +{"d:Title": "Cambridge Schools", "d:Description": "This language school offers part and full-time training in academic and professional English, including TOEFL and Business English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://cambridgeschools.com/"} +{"d:Title": "NY Smarts", "d:Description": "This language school offers private and group English training for professionals and businesses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://nysmarts.com/"} +{"d:Title": "Rennert Bilingual", "d:Description": "This private school offers group and individual classes for students and businesses, as well as translation services.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://rennert.com/english/new_york.htm"} +{"d:Title": "Blue Data International Institute", "d:Description": "This Institute offers general ESL, TOEFL, Business English, and a variety of vocational training classes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/New_York", "url": "http://bluedataesl.com/"} +{"d:Title": "Ohio Program of Intensive English", "d:Description": "Full-time or semi-intensive instruction and other specially designed ESL programs. Athens.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Ohio", "url": "http://linguistics.ohio.edu/opie/"} +{"d:Title": "Language Direct", "d:Description": "Provides corporate language classes, including ESL, and cross-cultural training. Also offers customized programs. Houston.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Texas", "url": "http://www.langdirect.com/"} +{"d:Title": "Study English at Englishworks, Inc.", "d:Description": "Private language school offering English language and training classes for companies, groups, and individuals. Plano.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Texas", "url": "http://www.englishworksinc.com/"} +{"d:Title": "English as a Second Language, Rice University", "d:Description": "Program is open to non-native speakers of English who have a serious desire to improve their language skills. Houston", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Texas", "url": "http://esl.rice.edu/"} +{"d:Title": "English Language Institute", "d:Description": "Provides language and cultural skills for international students to enter and participate in academic programs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Texas", "url": "http://eli.tamu.edu/"} +{"d:Title": "Texas Intensive English Program", "d:Description": "Offers English for Academic Purposes (EAP), business, and communication and culture. In addition, TOEFL and TOEIC preparation courses are available. Austin and Lamar.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Texas", "url": "http://www.tiep.edu/"} +{"d:Title": "VCU English Language Program", "d:Description": "ESL study at 8 levels eight-week sessions for non-native speakers who wish to improve their language skills for undergraduate and graduate study at an American university. Richmond.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Virginia", "url": "http://www.global.vcu.edu/elp/"} +{"d:Title": "LADO International College", "d:Description": "Offers ESL courses for students and a TEFL Certificate Program for teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Washington,_DC", "url": "http://www.lado.com/"} +{"d:Title": "International Center for Language Studies", "d:Description": "Offers an intensive ESL program and more than 70 foreign languages. Housing assistance and information on living in the city.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Washington,_DC", "url": "http://www.icls.com/"} +{"d:Title": "LanguageOne - English for Foreigners", "d:Description": "Offers ESL immersion programs, courses for professionals on pronunciation, accent reduction and public speaking as well as TOEFL preparation courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Washington,_DC", "url": "http://languageone.com/eng/engprof.html"} +{"d:Title": "Inlingua International Ltd", "d:Description": "ESL courses, translation, and interpreting for travel, technology, science, business, and pleasure.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Washington,_DC", "url": "http://www.inlinguaenglish.edu/"} +{"d:Title": "International Language Institute", "d:Description": "Offers intensive and semi-intensive ESL and TOEFL preparation in private tutorials and special groups.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Washington,_DC", "url": "http://ilidc.com/"} +{"d:Title": "Madison as a Second Language School", "d:Description": "Prepares students to use English effectively at American academic institutions, professional situations, and everyday life.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Wisconsin", "url": "http://www.mesls.org/"} +{"d:Title": "University of Wisconsin English as a Second Language", "d:Description": "Describes policies, tuition rates, dates, housing information, application process, and other information to join the university's ESL program. Stevens Point.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Wisconsin", "url": "http://www.uwsp.edu/esl/"} +{"d:Title": "University of Wisconsin English As A Second Language", "d:Description": "Offers two academically oriented ESL programs for students whose first language is not English. An intensive English program and ESL writing courses for university students. Milwaukee.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/North_America/United_States/Wisconsin", "url": "http://uwm.edu/esl/"} +{"d:Title": "Tasmania, Hobart: Tasmanian College of English", "d:Description": "Offers small classes and personal service.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia", "url": "http://www.tas.sace.com.au/"} +{"d:Title": "Good School Guide: Australia", "d:Description": "Searchable directory for English language schools in Australia.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia", "url": "http://www.englishforum.com/00/schools/oz/"} +{"d:Title": "Sydney: Sydney English Language Centre", "d:Description": "Provides general, academic, business and conversation instruction. Also offers examination and High School preparation courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/New_South_Wales", "url": "http://selc.com.au/"} +{"d:Title": "Sydney: Australian Academy of English", "d:Description": "College offers to combine studies with a holiday adventure.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/New_South_Wales", "url": "http://www.specialty-language.com.au/"} +{"d:Title": "Byron Bay: English Language School", "d:Description": "Offers small classes for general English and Cambridge FCE. Homestay, farmstay, and study group tours with activities.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/New_South_Wales", "url": "http://www.bbels.com.au/"} +{"d:Title": "Manley: Sydney English Academy", "d:Description": "Provides courses to small classes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/New_South_Wales", "url": "http://www.sea-english.com/"} +{"d:Title": "Sydney: English Language Company", "d:Description": "English language school.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/New_South_Wales", "url": "http://www.englishlanguagecompany.com/"} +{"d:Title": "Goomboorian: Rossmount English Language College.", "d:Description": "Fully catered courses in a family environment including adventure learning trips to Fraser Island, Noosa and feeding dolphins at Tin Can Bay.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/Queensland", "url": "http://www.rossmount.com.au/"} +{"d:Title": "Townsville International English School", "d:Description": "General and Academic English (IELTS preparation) courses offered. Information on profile, courses, timetable, facilities, activities, flights and student services, FAQs and online enrolment form.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/Queensland", "url": "http://www.tiesnq.com.au/"} +{"d:Title": "Adult Multicultural Education Services", "d:Description": "Provides specialist, multicultural language and employment services.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/Victoria", "url": "http://www.ames.net.au/"} +{"d:Title": "Lyceum English Language Australia", "d:Description": "English as a second language classes at a nationally recognised English language school for adults in Melbourne, Australia.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/Australia/Victoria", "url": "http://www.lyceumenglish.com/"} +{"d:Title": "Auckland: Auckland English Academy", "d:Description": "Total immersion ESL school.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.english.co.nz/"} +{"d:Title": "Queenstown: Southern Lakes English College", "d:Description": "Study English in small, friendly classes in a beautiful location surrounded by mountains and spectacular scenery.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.slec.co.nz/"} +{"d:Title": "Christchurch: English Magic", "d:Description": "Private and conversation ESL lessons.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.angelfire.com/mb/englishmagic/"} +{"d:Title": "English Schools New Zealand", "d:Description": "Directory of ESL schools in New Zealand. Information on schools, courses, money, travel, visas, homestay, and ESL teaching jobs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.english-schools.co.nz/"} +{"d:Title": "Christchurch: Wilkinson's English Language School (WELS)", "d:Description": "Teaches IELTS, TOEFL, high school preparation, beginners, elementary, intermediate levels, academic English, preparation for New Zealand university entrance courses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.welslanguageschool.com/"} +{"d:Title": "NZstudy", "d:Description": "Finds you a school and a place to live.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.nzstudy.com/"} +{"d:Title": "Auckland: Kiwi English Academy", "d:Description": "English language courses to both young people and adults as well as specialist teacher training courses to non-native speakers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.kiwienglish.co.nz/"} +{"d:Title": "Nelson: Nelson English Centre", "d:Description": "A private English language school. Features NZQA registered, 5 ability levels, central location.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.english-school.ac.nz/"} +{"d:Title": "Languages International", "d:Description": "Offers a range of English language courses along with Cambridge Exams, EAP, TOEFL and IELTS. [Auckland and Christchurch]", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.languages.ac.nz/"} +{"d:Title": "The Campbell Institute - Capital Language Academy", "d:Description": "Based in Wellington, offers courses in general English, examination preparation for IELTS, TOEIC, Cambridge FCE, CAE, CPE, and BEC, a range of vocational, teacher training (TESOL) and on-line courses. Includes information on the school, courses, and life in New Zealand, news, activities, job opportunities, and contact details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.campbell.ac.nz/"} +{"d:Title": "Avon College", "d:Description": "English language programs, including IELTS and TOEIC courses, in Christchurch, New Zealand.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.avoncollege.co.nz/"} +{"d:Title": "Dunedin - EnglishAdvantage", "d:Description": "Features English courses designed to cater for students starting from basic English, intermediate level English and fluent English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.englishadvantage.ac.nz/"} +{"d:Title": "Lake Wanaka Language Centre", "d:Description": "Offers the opportunity to learn English while enjoying outdoor activities.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.wanaka-english.ac.nz/"} +{"d:Title": "Taupo Language", "d:Description": "Learn English and enjoy trout fishing, skiing, and golf.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/Oceania/New_Zealand", "url": "http://www.taupolanguage.co.nz/"} +{"d:Title": "Argentina, Buenos Aires - Pleasant English", "d:Description": "ESL School offering online courses, text correction, and examinations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Language_Schools/South_America", "url": "http://www.pleasantenglish.com/"} +{"d:Title": "Go Fluent", "d:Description": "Fee-based. Distance general and business english training for companies and individuals, via telephone, eLearning 2.0 and videoconferencing.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.gofluent.com/"} +{"d:Title": "Business Talk France", "d:Description": "Offers general and business English courses and gives dates, times and requirements with a free sample lesson.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.businesstalkfrance.com/"} +{"d:Title": "English Language Centre Oxford", "d:Description": "Fee-based tutorials for students preparing to sit the Cambridge examinations: First Certificate, Advanced Certificate, and Proficiency Certificate.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.elcox.co.uk/"} +{"d:Title": "Bell English", "d:Description": "Interactive and multimedia exercises, games and tutor support for beginner to advanced students. Offers Cambridge First Certificate Examination support and explanations. Requires free registration.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.bellenglish.com/"} +{"d:Title": "English Online", "d:Description": "Offers paid writing courses, free newsletter and free login for conversation practice and E-mail grammar lessons.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.englishonline.net/"} +{"d:Title": "English Language Centre Study Zone", "d:Description": "Selection of free grammar explanations and interactive exercises for beginner to advanced students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://web2.uvcs.uvic.ca/elc/studyzone/index.htm"} +{"d:Title": "Mikie Metric", "d:Description": "Offers translations for a fee and free lessons for the intermediate level. Oriented toward the native speaker of incorrect English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.say-it-in-english.com/"} +{"d:Title": "Learning English On-Line", "d:Description": "Offers learning environment for upper-intermediate to advanced level. Requires fee, login and fast computer. Free demonstration lesson.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.learningenglish.net/"} +{"d:Title": "WESLI English Online", "d:Description": "Offers paid-access courses at seven levels as well as TOEFL preparation. Gives course costs and details. Free crosswords for beginner to advanced levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.english.wesli.com/"} +{"d:Title": "Teacher On Line", "d:Description": "Email, fax, chat, and telephone English lessons from Thailand.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.angelfire.com/hi2/english/index31.html"} +{"d:Title": "Online English Teacher", "d:Description": "Offers online English classes using free Internet chat programs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://onlinenglishteacher.com/"} +{"d:Title": "English 8 Octopus Scheme", "d:Description": "Program developed for native speakers of Cantonese (Hong Kong students) aims to comprehensively enhance students' English language skills including grammar, reading, listening, speaking and writing.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.eng8.hk/"} +{"d:Title": "English for Everybody", "d:Description": "Free grammar lessons, exam explanations and practice, reading exercises and downloadable language games. Message board for grammar questions.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.english-online.org.uk/"} +{"d:Title": "English Language Academy", "d:Description": "Offers training in all spheres of English language. Provides online and in-house classes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.englishlanguageacademy.com/"} +{"d:Title": "English Study Online", "d:Description": "English language courses taught by native English speakers. Provides course option details and language test.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.english-study-online.com/"} +{"d:Title": "Online English Teacher", "d:Description": "Teaches the English language online, using Skype. Contains FAQ and pricing details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.onlineenglishteacher.com/"} +{"d:Title": "Visual Dictionary Online", "d:Description": "Helps you learn English in a visual and accessible way.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Online_Courses", "url": "http://www.visualdictionaryonline.com/"} +{"d:Title": "Spotlight Magazine Online", "d:Description": "The online version of a regularly published print publication aimed at the German learner. Contains stories for vocabulary building as well as a source for business students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.spotlight-online.de/"} +{"d:Title": "English Language Gazette Online", "d:Description": "International trade newspaper with news and resources for teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.elgazette.com/"} +{"d:Title": "English Teaching Professional", "d:Description": "An independent quarterly magazine for teachers. Requires paid subscription and has a sample copy.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.etprofessional.com/"} +{"d:Title": "The Language Teacher", "d:Description": "The monthly publication of the Japan Association for Language Teaching.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.jalt-publications.org/tlt/"} +{"d:Title": "Hands-on English", "d:Description": "Print publication offering practical classroom ideas for teachers of adult students. Published six times per year.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.handsonenglish.com/"} +{"d:Title": "Humanising Language Teaching", "d:Description": "Offers research and personal experience articles related to teaching, lesson outlines, exercise ideas, editorial, articles, jokes and other information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.hltmag.co.uk/"} +{"d:Title": "Internet TESL Journal", "d:Description": "Articles, research papers, lesson plants, classroom handouts, teaching ideas and links for students and teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://iteslj.org/"} +{"d:Title": "SOON Magazine", "d:Description": "Online publication includes articles on a wide variety of subjects, written in easy English and geared toward young adult audiences.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.soon.org.uk/"} +{"d:Title": "TOPICS Online Magazine", "d:Description": "Students express their ideas and opinions on topics of interest, and illustrate their writings with drawings and photos. Interactive features include readers' responses, teachers' viewpoints, language help, polls, quizzes, and interviews.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.topics-mag.com/"} +{"d:Title": "Student Weekly", "d:Description": "Online version of Bangkok Post's English-language educational newspaper for students; features news, stories, celebrity interviews, and bulletin board.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.student-weekly.com/"} +{"d:Title": "Hot English Magazine", "d:Description": "Learn, practise and teach slang, business and social English with listening, pronunciation, comics, jokes, games, grammar, teachers' notes and exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Publications", "url": "http://www.hotenglishmagazine.com/"} +{"d:Title": "Berlitz", "d:Description": "Offers self-study instruction, cross-cultural training, and lessons designed specifically for children.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.berlitz.com/"} +{"d:Title": "Language Guide English", "d:Description": "Names of hundreds of common objects where the beginner student can hover the cursor over an image and hear its pronunciation and spelling.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.languageguide.org/english/"} +{"d:Title": "Sheppard Software", "d:Description": "Offers free and shareware versions of learning material which include US state games, vocabulary training, quizzes and the opportunity to play the games online.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.sheppardsoftware.com/"} +{"d:Title": "English at Home", "d:Description": "Learning tips and advice for learning or improving grammar, speaking, and vocabulary. Also learning games and a free newsletter. Requires Flash.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.english-at-home.com/"} +{"d:Title": "Studio Classroom Taiwan", "d:Description": "From the magazine and radio program designed to teach conversation to Mandarin-speaking Chinese students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.studioclassroom.com/"} +{"d:Title": "LearnEnglish", "d:Description": "Free website from the British Council that offers help to ESL learners from elementary to advanced level, with interactive games, multimedia exercises and stories.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.learnenglish.org.uk/"} +{"d:Title": "English Daily", "d:Description": "Includes American slang expressions, proverbs, grammar, common mistakes, song lyrics and other topics.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.englishdaily626.com/"} +{"d:Title": "EFL Club", "d:Description": "Includes games, tests, and quizzes for young learners who are beginner to lower-intermediate level.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.eflclub.com/"} +{"d:Title": "ESL Town", "d:Description": "Features stories, quizzes, games, listening exercises, overseas program links, pen pals and forums.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://patsula.com/esltown/"} +{"d:Title": "BBC World Service - Learning English", "d:Description": "Comprehensive materials for intermediate to advanced ESL learners from the BBC World Service. Uses simplified news stories to present English in context along with extensive audio and video clips. Also provides grammar and vocabulary feedback along with discussion groups and quizzes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.bbc.co.uk/worldservice/learningenglish/index.shtml"} +{"d:Title": "English4u", "d:Description": "Grammar explanations, free grammar, multiple choice and irregular verbs exercises and crosswords for beginner to intermediate level.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://members.chello.at/english4u/main.htm"} +{"d:Title": "Isabel's ESL Site", "d:Description": "Offers quizzes, tests, comprehension, grammar exercises and popular songs for secondary students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.isabelperez.com/"} +{"d:Title": "Churchill House: Online English", "d:Description": "Downloadable programs and lesson plans, interactive quizzes and information on exams.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.churchillhouse.com/english/"} +{"d:Title": "ESL Classroom", "d:Description": "Interactive grammar quizzes, reading and vocabulary exercises for students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.esl-classroom.com/"} +{"d:Title": "ESL Resource Center", "d:Description": "Resources for international students including free lessons.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://eslus.com/eslcenter.htm"} +{"d:Title": "English Club", "d:Description": "Offers grammar and vocabulary activities, word games, pen pal listings, and question-and-answer service. Includes free classroom handouts for teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.englishclub.com/"} +{"d:Title": "Learning English: Choosing an English Language School", "d:Description": "Answers to questions about how to learn English, choosing a school, the best examination to do, and what makes a good business English course.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://englishschool.org.uk/"} +{"d:Title": "EFL Worksheets", "d:Description": "Subscription fee for downloadable grammar, vocabulary, spelling and pronunciation material for classroom and self-study use. Samples available for intermediate and advanced learners.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.eflworksheets.com/"} +{"d:Title": "Language Communication and Technology II/III", "d:Description": "History on \"Aussie Lingo\" with an Australian slang dictionary and help in understanding pronunciation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://modernisms.tripod.com/"} +{"d:Title": "The ESL Wonderland", "d:Description": "Offers listening, reading and grammar exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.eslwonderland.com/"} +{"d:Title": "Corrine's ESL Homepage", "d:Description": "A site for ESL students to have fun learning by surfing the internet in English for shopping, cooking, music, kids, sports, holidays. Oriented toward Japanese students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.geocities.co.jp/HeartLand/1760/esl.html"} +{"d:Title": "ESLgo", "d:Description": "Offers free online classes and message boards for English learners.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.eslgo.com/"} +{"d:Title": "Do Lang", "d:Description": "Online resources for First Certificate or above EFL students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.dolang.com/"} +{"d:Title": "David Appleyard's World of English", "d:Description": "Offers an online literature and reference library, English study materials, a dictionary bank, worldwide newspaper and official tourist information links, humor and health pages, regular art spots and a bulletin board.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.davidappleyard.com/"} +{"d:Title": "WriteFix", "d:Description": "Site for people learning English as a second or foreign language (ESL or EFL) including help about how to write argument or opinion essays.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.writefix.com/"} +{"d:Title": "Learn English", "d:Description": "Sections on grammar and vocabulary, tests, games, dictation and a forum. Many audio files to help with listening and skills.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.learnenglish.de/"} +{"d:Title": "Language School Teachers", "d:Description": "A global service to help language teachers and learners contact each other.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.language-school-teachers.com/"} +{"d:Title": "EnglishOnline", "d:Description": "Daily word list, exercises, cartoons, tests and articles.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.englishonline.info/"} +{"d:Title": "Brian&Neko's Place", "d:Description": "A variety of discussion-based activities of interest to teachers and students alike.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.neko.ca/"} +{"d:Title": "Interesting Things for ESL Students", "d:Description": "Free textbook and study area with a daily page of proverbs, slang, anagrams, and quizzes. Requires Flash player.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.manythings.org/"} +{"d:Title": "RepeatAfterUs.com", "d:Description": "Award-winning online library and language lab of copyright-free English texts with audio clips. Critically acclaimed collection ranging from famous quotes and poems to Aesop's Fables and Inaugural Addresses.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.repeatafterus.com/"} +{"d:Title": "English: The International Language", "d:Description": "Free online lessons, resources and advice for learners and teachers of English as a second language and English as a foreign language.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.english-the-international-language.com/"} +{"d:Title": "English Grammar Online", "d:Description": "Learn English online - free exercises, grammar explanations, games and teaching materials.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.ego4u.com/"} +{"d:Title": "SelfAccess.com.", "d:Description": "Fee-based interactive lessons offered to help improve scores on the IELTS, TOEFL, EAP, and FCE exams.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://www.selfaccess.com/"} +{"d:Title": "schMOOze University", "d:Description": "ESL/EFL moo that provides a place for learners of English to chat with one another.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources", "url": "http://schmooze.hunter.cuny.edu/"} +{"d:Title": "English Page", "d:Description": "Grammar and vocabulary exercises, tutorials, dictionaries and resources for intermediate and advanced learners.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.englishpage.com/"} +{"d:Title": "The Guide to Grammar and Writing", "d:Description": "Guide to sentence parts, punctuation, vocabulary building, with leveled quizzes online.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://grammar.ccc.commnet.edu/grammar/"} +{"d:Title": "Apostrophe Protection Society", "d:Description": "Rules and examples for the correct use of apostrophes in plurals and possessives.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.apostrophe.org.uk/"} +{"d:Title": "God Save the Subjunctive", "d:Description": "Defines the subjunctive mood with examples and links.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.ceafinney.com/subjunctive/index.html"} +{"d:Title": "Sheppard Software: Language Arts Games", "d:Description": "Quiz activities including SAT / GRE practice.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.sheppardsoftware.com/web_games_vocab.htm"} +{"d:Title": "English Quizzes", "d:Description": "Interactive exercises rated according to difficulty.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.prof2000.pt/users/tereza_n/"} +{"d:Title": "Learn English Today", "d:Description": "Business language, idioms, exercises and links to news articles and recommended books.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.learn-english-today.com/"} +{"d:Title": "English for Techies", "d:Description": "Bilingual French online database of technical language and vocabulary.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.english-for-techies.net/"} +{"d:Title": "Vocabulary.com", "d:Description": "Predicts what words you don't know and teaches them to you.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.vocabulary.com/"} +{"d:Title": "Purdue Online Workshop, ESL", "d:Description": "Printable handouts, reference sheets and practice exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://owl.english.purdue.edu/owl/resource/678/01/"} +{"d:Title": "Linguapress English Grammar", "d:Description": "Leveled exercises, study guides, short stories and word games.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://linguapress.com/index.htm"} +{"d:Title": "Grammar Source", "d:Description": "Blog for advanced ESL students and native language users.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://grammarsource.com/english-resources/"} +{"d:Title": "Easy English Vocabulary", "d:Description": "Common prefixes, suffixes, Greek and Latin roots, examples and pronunciation help.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.easyenglishvocabulary.com/"} +{"d:Title": "English Irregular Verbs", "d:Description": "Irregular verbs, with support in Spanish, Portuguese, Chinese, German and French.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.englishirregularverbs.com/"} +{"d:Title": "Perfect English Grammar", "d:Description": "Study exercises and quizzes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.perfect-english-grammar.com/"} +{"d:Title": "Conjugation.com", "d:Description": "More than 11000 verbs with their variants; multilingual conjugations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://conjugation.com/"} +{"d:Title": "Learning Chocolate", "d:Description": "Illustrated exercises for beginners.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.learningchocolate.com/"} +{"d:Title": "Learning English Together!", "d:Description": "Forums, chat rooms, daily lessons, study guides, and articles.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.englishtips.org/"} +{"d:Title": "Noel's ESL eBook Library", "d:Description": "Popular books available as free downloads.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://esl-ebooks-library.blogspot.com/"} +{"d:Title": "Sentence Master English Writing", "d:Description": "Sentence writing games and exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.sentencemaster.ca/"} +{"d:Title": "English Grammar Blog", "d:Description": "Free grammar lessons, exercises, and grammar rules review.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.englishgrammar.org/"} +{"d:Title": "GrammarBank Learn English", "d:Description": "Free grammar lessons, exercises, worksheets, tests, quizzes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.grammarbank.com/"} +{"d:Title": "Common Errors in English", "d:Description": "An alphabetical listing, with explanations and examples, of commonly misused words.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://public.wsu.edu/~brians/errors/errors.html"} +{"d:Title": "English Genie", "d:Description": "Grammar, vocabulary, writing exercises and practice, includes forum with teachers as administrators.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://englishgenie.com/"} +{"d:Title": "Free English Lessons", "d:Description": "Offers grammar and vocabulary lessons, highlighting common mistakes language learners make.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.englishfreestyle.com/"} +{"d:Title": "English Language Materials", "d:Description": "Online shop for English, German and French language tables.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.languageportal.unasshop.com/"} +{"d:Title": "IStudyEnglishOnline", "d:Description": "A free resource for learning English vocabulary and grammar. All vocabulary has one-click pronunciation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://istudyenglishonline.com/"} +{"d:Title": "Number2.com Vocabulary Builder", "d:Description": "Teaches vocabulary by adjusting to your level and reviewing words so that you master them.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://number2.com/exams/vocab/companion/"} +{"d:Title": "GrammarCheck", "d:Description": "Free online grammar checker with error explanations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.grammarcheck.net/"} +{"d:Title": "Conjugation.io", "d:Description": "Verb conjugation tables for thousands of English verbs. 100% free resource with audio pronunciation guide and practice feature.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://conjugation.io/"} +{"d:Title": "English Tenses", "d:Description": "Self-study website with simple diagrams and quizzes. The site also includes written explanations of each tense as well as a 'test yourself' section.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://englishtenses.eu/"} +{"d:Title": "English with Kirsty", "d:Description": "This blog is regularly updated with content for learners of English as a second language, particularly those who are interested in business English. Articles are on topics such as vocabulary for specific situations at work, grammar, punctuation, idioms, language learning techniques and language explanations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://englishwithkirsty.com/blog"} +{"d:Title": "Virtual Writing Tutor", "d:Description": "Check your English spelling, punctuation and grammar using this free online grammar checker.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://virtualwritingtutor.com/"} +{"d:Title": "AdjectiveStarting.com", "d:Description": "A collection of adjectives.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://adjectivesstarting.com/"} +{"d:Title": "VOA: Learning English", "d:Description": "The Voice of America (VOA) is an international multimedia broadcasting service funded by the U.S. Government. Uses simple words and sentences in these particular broadcasts.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://learningenglish.voanews.com/"} +{"d:Title": "Positive Thesaurus", "d:Description": "Dictionary and collection of positive words in English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Grammar_and_Vocabulary", "url": "http://www.positivethesaurus.com/"} +{"d:Title": "Slang City", "d:Description": "Offers examples of American slang found in popular songs and movies. Also includes insults, body parts, bad words and their meanings.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.slangcity.com/"} +{"d:Title": "English Baby", "d:Description": "Offers an American culture perspective including movies, music, slang words, and conversations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.englishbaby.com/"} +{"d:Title": "Schandlbooks - American Slang", "d:Description": "Features up to date slang words with definitions, examples and a slang-idioms quiz.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.schandlbooks.com/AmericanSlang.html"} +{"d:Title": "Idiom Connection", "d:Description": "An A-Z list of idioms, their meaning and use along with accompanying quizzes for the idioms in each alpha category.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.idiomconnection.com/"} +{"d:Title": "Commonly-Used American Slang", "d:Description": "An alphabetical list of words and expressions, set out as a quiz with hidden answers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.manythings.org/slang/"} +{"d:Title": "American Idioms", "d:Description": "An extensive list of American idioms used by native speakers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.learnenglishfeelgood.com/americanidioms/"} +{"d:Title": "Online Slang Dictionary", "d:Description": "Definitions for many slang words and phrases.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://onlineslangdictionary.com/"} +{"d:Title": "Idioms.in", "d:Description": "Learn idioms with comprehensive meaning, examples and origin details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://idioms.in/"} +{"d:Title": "Sports Idioms", "d:Description": "Site is dedicated to idioms derived from terms used in the sports and games played in the United States.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://sportsidioms.com/"} +{"d:Title": "Idioms by EnglishClub", "d:Description": "Explanation of famous idiomatic expressions by EnglishClub, helpful for learners of English idioms.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.englishclub.com/ref/Idioms/"} +{"d:Title": "Word Power English Idioms", "d:Description": "A to Z listing of famous English Idioms with easy meaning and example.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.wordpower.ws/idioms/"} +{"d:Title": "Idiom Corner", "d:Description": "English expression forum for experts and learners. Take quizzes, learn new idioms, phrases, quotes, phrasal verbs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://idiomcorner.com/"} +{"d:Title": "Idioms 4 You", "d:Description": "This website provide a platform for students and teachers learn English idioms.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.idioms4you.com/"} +{"d:Title": "American Idiomatic Expressions", "d:Description": "A comprehensive list of idioms, browsable through alphabetical links. Includes parts of speech, definitions and example sentences.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.sky-net-eye.com/eng/english/idioms/american"} +{"d:Title": "Idioms from BeeDictionary", "d:Description": "Learn idioms with meaning and contextual usage.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.beedictionary.com/idioms"} +{"d:Title": "Idioms and Adages Game", "d:Description": "Learn idioms or adages by recognizing a picture of the literal meaning of them.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.alphadictionary.com/fun/idioms_adages.html"} +{"d:Title": "English Idioms Daily Blog", "d:Description": "Site offers fun quizzes, articles and information on the kids' English idiom art contest.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.english-idioms.com/"} +{"d:Title": "Idioms By Kids", "d:Description": "Cute idioms drawn by kids.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Idioms_and_Slang", "url": "http://www.idiomsbykids.com/"} +{"d:Title": "eViews", "d:Description": "Interviews with native speakers from around the world combined with a glossary and comprehension exercises and lesson plans. Requires paid subscription and MP3 format audio capability.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.eviews.net/"} +{"d:Title": "Randall's ESL Cyber Listening Lab", "d:Description": "Listening practice for students. Improve comprehension skills through practice, self-grading quiz pages, and study material. Requires RealAudio or Divace.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.esl-lab.com/"} +{"d:Title": "Focus on English", "d:Description": "Helps learners practice idiomatic expressions and everyday phrases used in conversation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.focusenglish.com/"} +{"d:Title": "Language Learning: English and Chinese", "d:Description": "Offers interactive exercises with pictures and pronunciation practice designed for children and beginners. Requires RealAudio and Internet Explorer.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.web-books.com/Language/"} +{"d:Title": "Basic English Class Listening Practice", "d:Description": "Audio files of songs, poems, fables, and stories are presented along with explanations of vocabulary.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://basicenglishclass.tripod.com/"} +{"d:Title": "Sounds of English", "d:Description": "Pronunciation exercises for learners of American English, printable worksheets in PDF, handouts, teacher tips for class pronunciation activities.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.soundsofenglish.org/"} +{"d:Title": "American Accent Training", "d:Description": "Guidance on intonation, word connections and pronunciation of American English. Contains some listening practice.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.americanaccent.com/"} +{"d:Title": "English Listening Language Lab Online", "d:Description": "Listening samples of English speakers from around the world with transcripts and interactive quizzes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.elllo.org/"} +{"d:Title": "Fonetiks", "d:Description": "Pronunciation guides to 9 varieties of the English language using instant mouseover sound.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.fonetiks.org/"} +{"d:Title": "Listening For ESL Students", "d:Description": "Listening quizzes, dictation activities and listening comprehension exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.manythings.org/e/listening.html"} +{"d:Title": "ESL-Lounge Listening", "d:Description": "Audio files in mp3 format divided into four levels of difficulty. A short quiz for each file is available. Transcripts included.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.esl-lounge.com/student/listening.php"} +{"d:Title": "English Listening World", "d:Description": "Stories in MP3 format designed to improve listening comprehension of basic to intermediate grammatical structures and idiomatic expressions.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.english-listening-world.com/"} +{"d:Title": "ESL Podcasts", "d:Description": "English podcasts in MP3 format, designed to help improve business English conversation as well as daily conversation. Each podcast includes a script, an analysis of the vocabulary and phrases used, and a comment section.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.china232.com/"} +{"d:Title": "Ship or Sheep", "d:Description": "Pronunciation practice by comparing pairs of words that begin or end with the same consonant but use different vowel sounds. Audio can be heard by positioning mouse over a term. International phonetic alphabet symbols are included for each word pair.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://shiporsheep.com/"} +{"d:Title": "The English Desk", "d:Description": "English podcasts with text from Canada for ESL listening and pronunciation practice.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://englishdesk.blogspot.com/"} +{"d:Title": "Listen to English Online", "d:Description": "Dictations, jokes, quizzes and famous quotes with explanations for ESL students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://teacherjoe.us/Listen.html"} +{"d:Title": "Speech Key", "d:Description": "American pronunciation practice through a focus on vowel sounds, consonant sounds, and intonation. Free 20-minute demo available in MP3 format. Full download available for a fee.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://www.speechkey.com/"} +{"d:Title": "ESL Radio and TV", "d:Description": "Listening practice programs made by teachers and students from Australia. Quizzes, gap fills, chat rooms and music.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Listening_and_Speaking", "url": "http://eslradioandtv.com/"} +{"d:Title": "The English Learner's Movie Guides", "d:Description": "Each guide reviews one movie, includes a plot summary, list of major characters, glossary of vocabulary and cultural references.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Reading_and_Writing", "url": "http://www.eslnotes.com/"} +{"d:Title": "ESL Projects", "d:Description": "Featuring instructions and topics for English projects.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Reading_and_Writing", "url": "http://www.nelliemuller.com/"} +{"d:Title": "ESL Reading", "d:Description": "Free and fee based reading materials for English language learners. Includes links to other sites which contain texts and simplified versions of classic stories.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Reading_and_Writing", "url": "http://www.eslreading.org/"} +{"d:Title": "ESL/EFL Reading", "d:Description": "Website helps ESL/EFL students hundreds by providing a large number of short stories and conversations.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Reading_and_Writing", "url": "http://www.eslfast.com/"} +{"d:Title": "ESL Reading Lessons", "d:Description": "A resource for intermediate and advanced learners of English to practice reading online.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Reading_and_Writing", "url": "http://eslreadinglessons.com/"} +{"d:Title": "Urdu-English", "d:Description": "Provides free Urdu to English online lessons, life in the UK test and UK driving test help. Sample questions, answers, random tests, hints and tips are provided.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Reading_and_Writing", "url": "http://www.urdu-english.com/"} +{"d:Title": "English Lessons and Tests", "d:Description": "Free lessons, tests, exercises and quizzes. Materials are organized by level and are available online or via e-mail.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.englishlearner.com/"} +{"d:Title": "Activities for ESL Students", "d:Description": "Free quizzes and activities for students. A project of The Internet TESL Journal.", "priority": "1", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://a4esl.org/"} +{"d:Title": "EFL/ESL Quiz-style Board Games for Learners of English", "d:Description": "Contains quiz questions for learners from the board games Word Up and Junior Word Up. Has links to other free game areas and resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.teflgames.com/samplesp.html"} +{"d:Title": "English Learner", "d:Description": "Interactive tests for evaluating grammar knowledge.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.angelfire.com/on/topfen/"} +{"d:Title": "ESL/EFL Resources", "d:Description": "Offers quizzes on grammar, business English, Japanese culture and reading comprehension. Also a list of links to other material.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.tesol.net/schweiz/exams/esl.html"} +{"d:Title": "The Quiz-Zone", "d:Description": "Paid membership required to access interactive tests and quizzes for the beginner to advanced student. Free demo available. Also requires a Java enabled browser.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://scandro.tripod.com/rdt.htm"} +{"d:Title": "Flash Quizzes for ESL Students", "d:Description": "Features multiple choice, true or false, type in the answers quizzes and some with sound. Most require the free Flash Player.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.manythings.org/fq/"} +{"d:Title": "The Trivia Portal", "d:Description": "Quizzes for many age levels rated by level of difficulty.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.funtrivia.com/"} +{"d:Title": "ESL Blue(s)", "d:Description": "Tests verbs, vocabulary problem words, trivia, grammar, articles, adjectives and adverbs. Some with animation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.collegeem.qc.ca/cemdept/anglais/trouindx.htm"} +{"d:Title": "English Language Community", "d:Description": "Free English grammar, vocabulary and business English exercises and tests on seven levels, for students and teachers. Also offers interactive email exercises and personal page with activity history. Invites submissions.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.nonstopenglish.com/"} +{"d:Title": "ESLDesk.com: Quizzes", "d:Description": "Vocabulary drills on frequently misspelled words, irregular verbs, irregular nouns, challenging words, common erros, numbers, length, weight and other terms.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.esldesk.com/esl-quizzes/index.htm"} +{"d:Title": "English Banana", "d:Description": "Collection of online games and quizzes testing English grammar, spelling, writing and reading skills.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.englishbanana.com/"} +{"d:Title": "Interactive Free English Tests", "d:Description": "Practise your understanding of English with a series of free, graded online tests aimed at students taking the TOEIC and TOEFL examinations. Along with stories that demonstrate the use of basic grammar and articles and newsletters for the ESL learner.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.english-test.net/"} +{"d:Title": "Bradley's English School - Online Activities", "d:Description": "Features online quizzes and activities available to help students with their vocabulary and grammar.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://activities.bradleys-english-school.com/"} +{"d:Title": "Paragraph PAL", "d:Description": "Interactive multi-media exercises using Flash to help improve comprehension and writing skills for students. Includes audio files, a chat room (requires download) and a simple discussion board.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.parapal-online.co.uk/"} +{"d:Title": "English Exercises EFL Exercises and ESL Quizzes", "d:Description": "Exercises and games to test business English, grammar and vocabulary.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.better-english.com/exerciselist.html"} +{"d:Title": "Learning English Online", "d:Description": "Free exercises, grammar explanations, vocabulary, tests, games and a forum.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.englisch-hilfen.de/en/index.htm"} +{"d:Title": "My ESL Pages", "d:Description": "Exercises designed for adult students who are native speakers of French.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.angelfire.com/pq/pages/"} +{"d:Title": "Vocabulary Test", "d:Description": "Free vocabulary tests for TOEFL, GMT, SAT, GRE and VOA Special English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.vocaboly.com/vocabulary-test/"} +{"d:Title": "English Exercises", "d:Description": "Exercises for students of English as a second language.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://englishexercises.itgo.com/"} +{"d:Title": "EasyEnglish.com", "d:Description": "English tests and quizzes for ESL learners. All levels. Instant answers and corrections. Suggested study.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://www.easyenglish.com/"} +{"d:Title": "English Exercises", "d:Description": "English exercises and games for intermediate and advanced students. Contains grammar and vocabulary tests, crosswords, songs and lyrics; reading and writing activities. By E. P\u00e9rez.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Student_Resources/Tests_and_Quizzes", "url": "http://roble.pntic.mec.es/~eperez/"} +{"d:Title": "tesol tasks", "d:Description": "Free printable worksheets and other resources for Grades 6-12. Many literature based.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.tesoltasks.com/"} +{"d:Title": "Sarah and John's TEFL Pitstop", "d:Description": "Offers free games, activities suited to the communicative style of teaching.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.lingolex.com/jstefl.htm"} +{"d:Title": "Solutions for Teaching English", "d:Description": "Free materials to download plus lesson ideas, games and competitions and professional support.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.onestopenglish.com/"} +{"d:Title": "Presson English Teaching Material", "d:Description": "Supplementary teaching material downloadable each Monday morning for each class week. Lessons are in written and audio formats. Fee and login required for access. Free sample is available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.press-on.it/"} +{"d:Title": "About.com ESL Guide", "d:Description": "Annotated lists for teacher resources, online lessons and quizzes, and software reviews.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://esl.about.com/msub6.htm"} +{"d:Title": "ESL Independent Study Lab", "d:Description": "Material organized by language ability and skill area. Detailed explanations of modalities involving computer and Internet-based language instruction.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.lclark.edu/~krauss/toppicks/toppicks.html"} +{"d:Title": "The Many Roads to Japan", "d:Description": "A free textbook/reader. Can E-mail the author for questions and exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www2.gol.com/users/norris/roadsdownload.html"} +{"d:Title": "ELT Forum", "d:Description": "Offers downloadable development packs, interactive activities, live discussion groups and articles on teaching topics and strategies.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.eltforum.com/"} +{"d:Title": "Using English in China", "d:Description": "Lesson plans and information for students attending Xiangtan Normal University. Resources for teachers in China.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.xiangtan.co.uk/"} +{"d:Title": "Ekmekci", "d:Description": "Publications, presentations and related material which includes vocabulary exercises for professions.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.ekmekci.com/"} +{"d:Title": "English To Go", "d:Description": "Fee-based offer of lessons from Reuters news articles, photocopiable student handouts, teaching notes and supplementary activities. A limited free area is available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.english-to-go.com/english/index.cfm?cfid=2469998&cftoken=46239211&CFID=2469998&CFTOKEN=46239211"} +{"d:Title": "English Teachers Resources", "d:Description": "Collection of quizzes, case studies, stories, and lesson ideas. Themes include theater, science fiction and mysteries.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.emse.fr/~yukna/ENG/lessons/english.htm"} +{"d:Title": "ESL Lounge.com", "d:Description": "Offers grammar and reading comprehension worksheets, song lyrics, board games, pronunciation, flashcards, surveys, and role plays. All free and printable.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.esl-lounge.com/"} +{"d:Title": "Handouts Online", "d:Description": "Features ready to print worksheets and other resources for beginner to advanced levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.handoutsonline.com/"} +{"d:Title": "Extensive Reading", "d:Description": "Information on the system for learning which describes the approach and includes information on how to start a program.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.extensivereading.net/"} +{"d:Title": "Pizzaz: Creative Writing and Storytelling Ideas", "d:Description": "Offers activities with copyable handouts for use with students of all ages. Utilizes poetry and fiction.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://darkwing.uoregon.edu/~leslieob/pizzaz.html"} +{"d:Title": "ETNI Teacher Ideas and Projects", "d:Description": "Articles, lesson plans, activities, and links to materials for teaching with music.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.etni.org.il/music/ideas.htm"} +{"d:Title": "Teacher's Pet", "d:Description": "Enables teachers to turn text into a stimulating classroom exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.teachers-pet.org/"} +{"d:Title": "Norwood English", "d:Description": "Provides training via distance learning for teachers of English as a Foreign language.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.norwoodenglish.com/"} +{"d:Title": "ESL KidStuff", "d:Description": "Offers flashcards, worksheets, games and activities, and job postings.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://eslkidstuff.com/"} +{"d:Title": "Everything ESL", "d:Description": "Includes content-based lesson plans, activities, recommendations on classroom materials, and discussion boards for working with minority students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.everythingesl.net/"} +{"d:Title": "Genki English", "d:Description": "Tips and training seminars aimed at Asian learners. Features talking pages, songs in MP3 format and games.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.genkienglish.net/"} +{"d:Title": "Three Wise Monkeys", "d:Description": "Learning games, teaching ideas and activities. Primarily for Japanese students.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.edochan.com/teaching/monkeys.htm"} +{"d:Title": "efl4U.com", "d:Description": "Features free downloadable English teaching lesson worksheets with songs, games and puzzles for EFL lessons for all levels.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.efl4u.com/"} +{"d:Title": "ESL Teaching Resources", "d:Description": "Create free picture and text bingo games in a matter of minutes. Boards are strored a database for future use and to share with other teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.simonandrews.net/"} +{"d:Title": "Oral English Activities", "d:Description": "Communicative speaking activities designed by a teacher working in China.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.waze.net/oea/"} +{"d:Title": "Oxford Teachers' Club", "d:Description": "Free teaching materials, lesson ideas, articles, and textbook supplements from Oxford University Press.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.oup.com/elt/teachersclub"} +{"d:Title": "Business Emporium", "d:Description": "Offers vocabulary quizzes, tests, and business English lessons.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.emse.fr/~yukna/business/businessemporium.html"} +{"d:Title": "Simon's ELT Activity Land", "d:Description": "Personal page with descriptions of simple instructional activities.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://semumf.tripod.com/"} +{"d:Title": "Boggle's World", "d:Description": "Worksheets, crosswords, word searches, flashcards, lesson plans, and teaching activities for ESL classrooms.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://bogglesworldesl.com/"} +{"d:Title": "ESL Spot", "d:Description": "Directory of resources for students and teachers of English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://eslspot.chez-alice.fr/"} +{"d:Title": "The EFL Playhouse", "d:Description": "Games, songs, fingerplays, chants, craft ideas, teaching tips, printable resources, and phonics activities for the early childhood classroom.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.esl4kids.net/"} +{"d:Title": "TESL/TEFL Games and Activities Directory", "d:Description": "Database of game ideas, grouped by age appropriateness.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.teachenglishinasia.net/tefl-tesl-games-and-activities-directory"} +{"d:Title": "Teaching English In Taiwan Guide", "d:Description": "Offers information for teachers living in Taiwan. Also provides teaching ideas, children\u2019s games and songs and job postings.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.englishintaiwan.com/"} +{"d:Title": "ESL Through Music", "d:Description": "Free to copy and distribute lesson plans incorporating music as a learning tool.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.forefrontpublishers.com/eslmusic/"} +{"d:Title": "ESL Flashcards", "d:Description": "Sets of flashcards to print on various topics.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.eslflashcards.com/"} +{"d:Title": "ESL Galaxy", "d:Description": "ESL resources for teachers and students, including worksheets,lesson plans, online exercises, grammar and vocabulary exercises.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.esl-galaxy.com/"} +{"d:Title": "Breaking News English", "d:Description": "Free ESL lesson plans, handouts and current events podcast for English lessons.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.breakingnewsenglish.com/"} +{"d:Title": "Mingoville", "d:Description": "ESL learning exercises in reading, spelling, writing and grammar.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.mingoville.com/"} +{"d:Title": "Dave's ESL Cafe", "d:Description": "Offers discussion forums, chat room, interactive exercises, online tutorials, and extensive web guide. Also provides teaching ideas and job postings.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.eslcafe.com/"} +{"d:Title": "TeachingEnglish | British Council | BBC", "d:Description": "Resources for teachers of English from the British Council and the British Broadcasting Corporation.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources", "url": "http://www.teachingenglish.org.uk/"} +{"d:Title": "ELTA Rhine e.V. - English Language Teachers' Association", "d:Description": "Provides information on upcoming activities and workshops, links to other ELTAs in German speaking countries and information on the Compulsory Pension Scheme.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.elta-rhine.de/"} +{"d:Title": "TESOL Greece", "d:Description": "A non-profit professional association for Teachers of English to Speakers of Other Languages, affiliated with TESOL Inc., USA.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.tesolgreece.com/"} +{"d:Title": "Centre for Canadian Language Benchmark", "d:Description": "Promotes greater consistency and effectiveness in adult ESL and second language instruction. Also a national TESL resource center and inquiry service.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.language.ca/"} +{"d:Title": "TESL Ontario", "d:Description": "A provincial non-profit organization of educators and specialists which discusses membership, certification, and professional development.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.teslontario.org/"} +{"d:Title": "California Teachers of English to Speakers of Other Languages (CATESOL)", "d:Description": "A professional association representing teachers of English language learners throughout California and Nevada.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.catesol.org/"} +{"d:Title": "British Columbia Teachers of English as an Additional Language (BCTEAL)", "d:Description": "A professional association for teachers of English language learners.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.bcteal.org/"} +{"d:Title": "International Association of Teachers of English as a Foreign Language (IATEFL)", "d:Description": "An association for teachers, lecturers, teacher trainers, academic managers, researchers and institutions involved in the English Language Teaching (ELT) industry.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.iatefl.org/"} +{"d:Title": "TESOL France", "d:Description": "Represents the interests of professionals in EFL (English as a Foreign Language) in France, whether their concerns are in the private or public sector, classroom, management or publication.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.tesol-france.org/"} +{"d:Title": "TESOL Spain", "d:Description": "A non-profit association representing English language teaching.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.tesol-spain.org/"} +{"d:Title": "TESOL Arabia", "d:Description": "Based in the United Arab Emirates, this TESOL organization serves as a network for English language teachers.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.tesolarabia.org/"} +{"d:Title": "TESOL International Research Foundation", "d:Description": "Non-profit organization whose goal is to generate new knowledge about English language teaching and learning domestically and abroad.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.tirfonline.org/"} +{"d:Title": "Teacher of English to Speakers of Other Languages, Inc. (TESOL)", "d:Description": "A global professional association for English language educators involved in the teaching English as a second, foreign, or other language.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.tesol.org/"} +{"d:Title": "American Council on the Teaching of Foreign Languages (ACTFL)", "d:Description": "Features publications and workshops with a calendar of the latest events. Also information on jobs and resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.actfl.org/i4a/pages/index.cfm?pageid=3342"} +{"d:Title": "Australian Council of TESOL Associations (ACTA)", "d:Description": "The National coordinating body representing teachers of English to speakers of other languages in Australia. ACTA aims to promote and strengthen English while supporting and respecting people's linguistic and cultural heritage.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Professional_Associations_and_Societies", "url": "http://www.tesol.org.au/"} +{"d:Title": "The Internet Tesl Journal", "d:Description": "Publishes articles and research papers related to English as a foreign language.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Research_and_Reference", "url": "http://iteslj.org/"} +{"d:Title": "ESL MiniConference Online", "d:Description": "Contains articles for and about the profession, targeting the community of administrators and teachers. Updated monthly.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Research_and_Reference", "url": "http://www.eslminiconf.net/"} +{"d:Title": "Israeli English Teachers Network (ETNI)", "d:Description": "Mailing list, online classes, other resources and a jobs listing for Israel.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://www.etni.org.il/index.html"} +{"d:Title": "ELT News", "d:Description": "Offers information, advice, articles, and forum discussion for English language teachers in Japan.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://www.eltnews.com/"} +{"d:Title": "121Sensei.com", "d:Description": "Assists teachers in Japan interested in teaching private lessons. All languages and areas. Private students contact teachers directly.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://www.121sensei.com/"} +{"d:Title": "Asian EFL Journal", "d:Description": "A free on-line journal for language teachers and language learners for EFL TEFL teachers in Korea, China and Japan and Asia.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://www.asian-efl-journal.com/"} +{"d:Title": "Middle Kingdom Life", "d:Description": "Features the comprehensive and well-documented Foreign Teachers Guide to Living and Teaching in China, with companion question and answer forum offering information and advice based on empirical research about living and teaching English in China.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://middlekingdomlife.com/"} +{"d:Title": "Cardiff Online", "d:Description": "Joint venture between the British Council and the IATEFL, provides online coverage of the 43rd Annual International IATEFL Conference March, 31st to April 4th, 2009 in Cardiff, United Kingdom.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://iatefl.britishcouncil.org/"} +{"d:Title": "Japan Exchange and Teaching Program", "d:Description": "Official homepage offers program information, statistics, publications, and FAQs.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://www.jetprogramme.org/"} +{"d:Title": "How to Teach English in Japan", "d:Description": "Offers extensive information about living and teaching EFL in Japan, including \"green list\" of recommended private and public schools and universities.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://www.how-to-teach-english-in-japan.com/"} +{"d:Title": "Teachers Latin America", "d:Description": "ESL/EFL Teaching site serving the Latin America region with access to TEFL course, language study, employment and internships in Latin America.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Abroad", "url": "http://www.teachers-latin-america.com/"} +{"d:Title": "UVic's Language Teaching Clipart Library", "d:Description": "Clipart library that consists of about 3000 images which are useful in the teaching of basic vocabulary.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://web.uvic.ca/hcmc/clipart/"} +{"d:Title": "Integrating the Internet into the Classroom", "d:Description": "Offers hands on three-week online course designed for teachers who want to make effective use of the Internet in the classroom. Graduate credit or PDU options available.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://www.lclark.edu/~krauss/usia/home.html"} +{"d:Title": "Introducing EFL Students to Chat Rooms", "d:Description": "An article that contains four lesson plans that show language learners how to use chat rooms for language learning purposes.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://iteslj.org/Lessons/Mynard-Chat.html"} +{"d:Title": "E-mail as a teaching tool", "d:Description": "This presentation paper lecture arises out of a conviction that e-mail affords the English language learner an opportunity to increase his/ her writing output in a very meaningful and personal way.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://www.etni.org.il/jack.htm"} +{"d:Title": "Internet Lessons for ESL", "d:Description": "Internet lessons that the teacher can use with students to familiarize them with the Internet and Internet-related English.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://1stopkorea.com/internetlessons.htm"} +{"d:Title": "ESL/EFL Web Sites We Would Like to See (I-TESL-J)", "d:Description": "Some things teachers and students would like to see on the ESL/EFL WWW. Ideas submitted by visitors.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://iteslj.org/c/webidea.html"} +{"d:Title": "Internet Foreign Language Workshops", "d:Description": "Foreign Language Studies Workshops: Teaching with the Internet.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://www.uncg.edu/~lixlpurc/publications/Internet_Workshops.html"} +{"d:Title": "OnTESOL", "d:Description": "Offers self-paced TESOL certificate courses, to enable students to teach English in other countries, using videoed observations, lessons and recorded pronunciation material.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Teaching_Online", "url": "http://www.ontesol.com/"} +{"d:Title": "The Lewis School of Languages", "d:Description": "Offers four-week intensive CELTA teacher training courses year round. Barcelona, Spain.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.lewis-school.com/"} +{"d:Title": "Global TESOL Training Institute", "d:Description": "Offers residence, online or correspondence courses toward the TESOL certification. Certain guarantees for tuition refund and job placement are also offered.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.globaltesol.com/"} +{"d:Title": "Concept to Classroom", "d:Description": "Free learning workshops offered by the Disney Learning Partnership also featuring a discussion forum and supporting resources.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.thirteen.org/edonline/concept2class/index.html"} +{"d:Title": "International Teaching and Training Centre", "d:Description": "Offers CELTA and DELTA residence courses year round. Shorter courses offered for overseas teachers wishing to train in the UK. Bournemouth, England.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.ittc.co.uk/"} +{"d:Title": "Indonesia Australia Language Foundation: Trinity Cert TESOL", "d:Description": "Provides a four-week training in Denpasar. Course dates, description, entrance criteria, fees and application available. Bali.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.tesolbali.com/"} +{"d:Title": "International Teacher Training Organization", "d:Description": "Part of an international network of TEFL / TESL in-residence training institutions. Guadalajara, Mexico.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.teflcertificatecourses.com/"} +{"d:Title": "University English Teachers", "d:Description": "Offers a 120 hour TEFL course which includes accommodation. Information on course dates and fees. Puerto Vallarta, Mexico.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://project-masters.com/UET/"} +{"d:Title": "American English Programs (AEP) of New England", "d:Description": "Offers intensive four-week International TEFL Certificate courses. Northampton, Mass., USA.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.teflcertificate.com/"} +{"d:Title": "Canadian Institute of English", "d:Description": "TESOL certificate in one week of intensive study.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "https://cie.ca/"} +{"d:Title": "Developing Teachers", "d:Description": "Free weekly tips and monthly newsletter. Training courses by e-mail or in Spain and the UK.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.developingteachers.com/"} +{"d:Title": "TEFL International", "d:Description": "Offers courses in Thailand, China, Morocco, Mexico, Italy, Czech Republic and France with free accommodation and lifetime job placement assistance.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.teflcourse.com/"} +{"d:Title": "Via Lingua", "d:Description": "Four-week TEFL certificate courses in Europe, Asia and Latin America. Includes lifelong job placement assistance worldwide.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.vialingua.org/"} +{"d:Title": "TEFL Ireland", "d:Description": "Offers TEFL courses in Dublin, Galway, Cork, Waterford, Kilkenny and Belfast.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.teflireland.com/"} +{"d:Title": "Columbia University: Certificate in TESOL", "d:Description": "Post baccalaureate TESOL certificate program for recent college graduates, junior high or high school teachers, and professionals in career transition. New York, NY, USA.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.ce.columbia.edu/postbac/?PID=27"} +{"d:Title": "Chichester College Teacher Training (Thailand)", "d:Description": "Offers TESOL (TEFL/TESL) courses in Bangkok. Includes profile, cousre details, directions and contact information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.chichester.ac.th/"} +{"d:Title": "TEFL", "d:Description": "Provide training throughout the UK for anyone wishing to teach English as a foreign language. Includes course details, locations and fees.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training", "url": "http://www.tefl.org.uk/"} +{"d:Title": "The Accreditation Council for TESOL Distance Education Courses", "d:Description": "A non-profit making independent body established to advance professionalism in TESOL distance programmes. Institutions providing accredited courses are required to adhere to a rigorous code of practice.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training/Distance_Programs", "url": "http://www.actdec.org.uk/"} +{"d:Title": "Teaching English to Young Learners", "d:Description": "Advanced Teacher Training Incorporated certificate program for those who want to teach children. Includes course outline, fee schedule and enrollment information.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training/Distance_Programs", "url": "http://www.teyl.com/"} +{"d:Title": "International Tesol Training", "d:Description": "Offers Distance learning and residential certificate courses in TESOL.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training/Distance_Programs", "url": "http://www.intesoltesoltraining.com/"} +{"d:Title": "ICAL Online Teacher Training", "d:Description": "Offers the ICAL Online TESL/TEFL Certificate to future teachers of English as a Second or Foreign language.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training/Distance_Programs", "url": "http://www.icalweb.com/"} +{"d:Title": "International TEFL Institute", "d:Description": "Offers courses via online learning and a TEFL qualification plus in-class teaching practice. Describes courses, fees, how to enroll and provides FAQ.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training/Distance_Programs", "url": "http://www.itefli.com/"} +{"d:Title": "Global English TESOL Courses", "d:Description": "Accredited online TEFL/TESOL courses. Contains FAQ and course details.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training/Distance_Programs", "url": "http://www.global-english.com/"} +{"d:Title": "Serious TEFL", "d:Description": "Offers online TEFL certificate and diploma courses, and information on teaching job offers worldwide.", "topic": "Top/Arts/Education/Language_Arts/English/English_as_a_Second_Language/Teacher_Resources/Training/Distance_Programs", "url": "http://www.serioustefl.com/"} +{"d:Title": "Academic K12 Vocabulary and Theme Crosswords for Publications", "d:Description": "Crosswords and puzzles for k-12 education, recreation and edutainment will challenge your brain power!", "topic": "Top/Arts/Education/Language_Arts/Games", "url": "http://www.puzzledepot.com/cwe/index.shtml"} +{"d:Title": "Internet Park: Online Interactive Word Games", "d:Description": "An interesting and friendly place to play word games live on the Internet. No need to download and install software, simply enter the Park and play. An intimate environment to enjoy word games and to chat with other players.", "topic": "Top/Arts/Education/Language_Arts/Games", "url": "http://www.internet-park.com/"} +{"d:Title": "Eslflow", "d:Description": "A guide to ESL/EFL language games and activities on the Internet.", "topic": "Top/Arts/Education/Language_Arts/Games", "url": "http://www.eslflow.com/"} +{"d:Title": "ESL Lounge - Board Games For Teachers", "d:Description": "Printable board games for English teachers, practicing of grammar and vocabulary.", "topic": "Top/Arts/Education/Language_Arts/Games", "url": "http://www.esl-lounge.com/board_games.shtml"} +{"d:Title": "Teachit's resources for English teachers", "d:Description": "A free online library for English, media and drama teachers, offering quality worksheets, lesson plans, online lessons and links.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.teachit.co.uk/"} +{"d:Title": "Literary Resources -- American", "d:Description": "Clearinghouse for academic resources related to American Literature. Closely updated and developed by Rutgers University.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://andromeda.rutgers.edu/~jlynch/Lit/american.html"} +{"d:Title": "eslflow", "d:Description": "eslflow's guide to English as a Second Language lessons from around the Internet.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.eslflow.com/"} +{"d:Title": "100 Remedial Reading and Phonics Worksheets", "d:Description": "Free printable worksheets for improving reading and phonics skills. Also includes vocabulary lists and downloads resources for teachers and parents.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.tampareads.com/"} +{"d:Title": "English Teaching Ideas", "d:Description": "Suggested classroom activities for students ages 5-11.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.teachingideas.co.uk/english/contents.htm"} +{"d:Title": "Literature Based Reading Lessons", "d:Description": "Phonics based reading lesson plans for lower elementary students based on chapter books including Boxcar Children, Stone Fox, Flat Stanley, and Little House in the Big Woods.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://gardenofpraise.com/lesson.htm"} +{"d:Title": "The Riggs Institute", "d:Description": "Non-profit agency offers language arts curriculum for teachers and parents, changing the way people think about teaching writing, spelling, and reading.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.riggsinst.org/"} +{"d:Title": "Teacher's Guide to Using Songs in the Classroom", "d:Description": "A lesson plan, articles and links for foreign language teachers interested in using music in the classroom.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.musicalspanish.com/TeachersGuide.htm"} +{"d:Title": "Reflection of an Echo: 5 tips to help kids enjoy poetry", "d:Description": "A short tutorial for parents and teachers on how to get kids interested in poetry.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.roae.fws1.com/5tips.html"} +{"d:Title": "Fun With Harry", "d:Description": "Harry Potter series-Downloadable and reproducible activity sheets of all types for the classroom or individual use; to use as an enhancement to the series by J.K. Rowling", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.angelfire.com/co3/teachhpotter/index.html"} +{"d:Title": "French in Paris", "d:Description": "Offers French lessons whether you are a beginner, intermediate or higher level.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.french-in-paris.com/"} +{"d:Title": "TeachersFirst - The Interactive Raven", "d:Description": "Interactive presentation of Poe's classic poem lets students learn the vocabulary and literary devices in Poe's writing.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.teachersfirst.com/share/raven/"} +{"d:Title": "Creekside Publishing - Spelling by Patterns", "d:Description": "Features a commercial spelling program for easy and immediate implementation with grades 2 and 3.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://members.shaw.ca/creeksidepublishing"} +{"d:Title": "Johns Hopkins University - Writing a Newspaper Story", "d:Description": "Writing course for elementary school students.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.jhuapl.edu/education/elementary/newspapercourse/index.htm"} +{"d:Title": "Phonics for Free", "d:Description": "Program uses reading tests to identify weak skills, then provides lessons for skills that need work. Includes over 100 illustrated pages to print for phonics sounds.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.readingtarget.com/"} +{"d:Title": "Improving Education Inc.", "d:Description": "Generates free online worksheets for language arts and math. Articles help teachers and parents understand how we learn to read and how to assist children with problems.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.onlineworksheets.org/"} +{"d:Title": "Draw Your World", "d:Description": "Drawing and writing lessons to integrate into curriculum; science, history, geography, handwriting, and social studies.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.drawyourworld.com/"} +{"d:Title": "ESL Handwriting Worksheet Wizard", "d:Description": "Use the free, online English writing worksheet maker for kids learning to write. Instantly generate practice worksheets for children.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.writingwizard.longcountdown.com/"} +{"d:Title": "Forever Learning", "d:Description": "This innovative company of experienced educators has a singular mission to create fun, action-packed, and engaging educational games and activities for grades 6 to 12.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.foreverlearning.com/"} +{"d:Title": "tesol tasks", "d:Description": "Worksheets, mainly literature-based, for Grade 6-12 students. Exercises in essay writing as well as reading tasks related to Dahl, Fitzgerald, Angelou, S E Hinton, and Minfong Ho.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.tesoltasks.com/"} +{"d:Title": "Teacher's Pet Publications", "d:Description": "Sells class prepratory products and resource guides for teaching literature for secondary school English teachers.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.tpet.com/"} +{"d:Title": "Writing Achievement - The Power of a Seamless Curriculum", "d:Description": "Humanities block and advanced placement curricula for outstanding student achievement in the public schools.", "topic": "Top/Arts/Education/Language_Arts/Lesson_Plans_and_Reproducibles", "url": "http://www.writingachievement.com/AdvancedPlacement.htm"} +{"d:Title": "The Textmapping Project.", "d:Description": "A resource for teachers improving reading comprehension skills instruction.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.textmapping.org/"} +{"d:Title": "Succeed to Read", "d:Description": "Provides tips and techniques parents and teachers can use to teach children how to read.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.succeedtoread.com/"} +{"d:Title": "Speed Reading Self-Pacing Methods", "d:Description": "By Dennis Doyle - Glendale Community College. Provides simple motion techniques to increase reading speed.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://english.glendale.cc.ca.us/methods.html"} +{"d:Title": "Reading Comprehension", "d:Description": "Includes weekly worksheets for upper elementary and middle school levels.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.rhlschool.com/reading.htm"} +{"d:Title": "Reading A-Z", "d:Description": "Offers books that you can download and print.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.readinga-z.com/"} +{"d:Title": "Reading Comprehension Stories with Worksheets", "d:Description": "EdHelper.com's reading comprehension stories combine reading, math, spelling, writing, and vocabulary skills.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.edhelper.com/ReadingComprehension.htm"} +{"d:Title": "Reading First in Virginia", "d:Description": "A resource for teachers of primary grade children.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.readingfirst.virginia.edu/"} +{"d:Title": "Guys Read", "d:Description": "A site designed to get boys reading. Offers a list of books recommended by the webmaster, children's author Jon Sczieska, and others suggested by visitors to the website.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.guysread.com/"} +{"d:Title": "Reading Rockets", "d:Description": "Offers strategies, lessons,activities and ideas designed to help young children learn to read.", "priority": "1", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.readingrockets.org/"} +{"d:Title": "MyRead", "d:Description": "Strategies for teaching reading to children in the middle years.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.myread.org/"} +{"d:Title": "Book Adventure", "d:Description": "A reading motivation program for children in grades K-8. It offers recommended reading lists by grade level along with rewards for reading accomplishments.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.bookadventure.org/"} +{"d:Title": "Mrs Perkins Dolch Words", "d:Description": "Resources to help teach sight words including Dolch word lists, worksheet makers, and games.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.mrsperkins.com/"} +{"d:Title": "Cando's Helper Page", "d:Description": "Printable practice activities to teach reading skills through grammar activities, sight word worksheets, and flash-based games", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://candohelperpage.com/"} +{"d:Title": "Balanced Reading", "d:Description": "Offers the Abecedarian reading assessment and printable practice word-study lessons for building decoding skills (recognizing words in chunks)", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.balancedreading.com/"} +{"d:Title": "Center on Instruction: Reading: Grades K-12", "d:Description": "Offers research-based materials and printable guides on reading to assist educators and improve reading outcomes for students in grades K-12.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.centeroninstruction.org/resources.cfm?category=reading"} +{"d:Title": "The Library Lady", "d:Description": "A literacy website for parents and educators of young children.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://thelibrarylady.net/"} +{"d:Title": "K12Reader", "d:Description": "Featuring articles, printable worksheets, and book reviews.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.k12reader.com/"} +{"d:Title": "Sadlier-Oxford Phonics", "d:Description": "Comprehensive videos for teachers and parents explaining phonics concepts, plus links to state standards, and games and activities", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.sadlier-oxford.com/phonics/teacher.cfm"} +{"d:Title": "Teaching Reading Fundamentals: A Guide for Parents", "d:Description": "A guide to help parents teach their children to read, providing resources and recommendations for teaching the alphabet, phonics, spelling, fluency, and comprehension.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.teaching-reading-fundamentals.com/"} +{"d:Title": "The Reading Genie", "d:Description": "Links and lessons about learning to read including research based methods", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction", "url": "http://www.auburn.edu/academic/education/reading_genie/"} +{"d:Title": "Issues in Literacy Development", "d:Description": "Summarizes the research and professional expertise related to literacy instruction for students from kindergarten through Grade 8.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Articles", "url": "http://www.eduplace.com/rdg/res/literacy/"} +{"d:Title": "Starting Out Right: A Guide to Promoting Children's Reading Success", "d:Description": "A study by Committee on the Prevention of Reading Difficulties in Young Children. M. Susan Burns, Peg Griffin, and Catherine E. Snow, editors.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Articles", "url": "http://www.nap.edu/books/0309064104/html/index.html"} +{"d:Title": "Preventing Reading Difficulties in Young Children", "d:Description": "A guide to prevention and intervention.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Articles", "url": "http://www.nap.edu/books/030906418X/html/index.html"} +{"d:Title": "Reading Online", "d:Description": "A journal for literacy educators K-12; includes articles, commentaries, reviews, and discussion forums.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Articles", "url": "http://www.readingonline.org/"} +{"d:Title": "Reading Recovery Council of North America", "d:Description": "News articles, membership information and upcoming events.", "priority": "1", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://www.readingrecovery.org/"} +{"d:Title": "Research in Reading Recovery", "d:Description": "A collection of academic research about the effectiveness of the Reading Recovery approach.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://www.stanswartz.com/reading.htm"} +{"d:Title": "Teachers.Net", "d:Description": "Remedial Reading/Reading Recovery chatboard", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://teachers.net/mentors/remedial_reading/"} +{"d:Title": "Research Monograph", "d:Description": "A summary of evidence prior to 1996 documenting the success of the intervention.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://www.stanswartz.com/researchmonograph.htm"} +{"d:Title": "Just the Facts", "d:Description": "AVKO Dyslexia - Spelling Research Foundation presents a summary of critical research.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://www.avko.org/Essays/reading_recovery.htm"} +{"d:Title": "Arkansas Comprehensive Early Literacy Model", "d:Description": "This design for school change includes the intervention as a major component.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://www.arliteracymodel.com/"} +{"d:Title": "Heinemann", "d:Description": "Publisher of many works by the intervention's founder, Marie Clay.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://books.heinemann.com/authors/699.aspx"} +{"d:Title": "ERIC Digest : Reading Recovery", "d:Description": "Discusses elements of the program, describes a typical lesson, addresses the importance of teacher education, reviews the existing literature in the ERIC database, and discusses cost effectiveness.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery", "url": "http://files.eric.ed.gov/fulltext/ED386713.pdf"} +{"d:Title": "Stateline NSW - Political Debate", "d:Description": "Extended interview with incumbent candidate Bob Carr, who defends his claim of having introduced Reading Recovery in New South Wales.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/News_and_Media", "url": "http://www.abc.net.au/stateline/nsw/content/2003/s813125.htm"} +{"d:Title": "Leaders speak ahead of NSW State election", "d:Description": "Labor party incumbent Bob Carr claims adding 920 Reading Recovery teachers has improved performance in primary schools across the state.", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/News_and_Media", "url": "http://www.abc.net.au/am/content/2003/s811497.htm"} +{"d:Title": "U.S. Department of Education", "d:Description": "Start Early, Finish Strong: How to Help Every Child Become a Reader - Summaries of Recent State Laws on Reading", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/News_and_Media", "url": "http://www.ed.gov/pubs/startearly/app_2.html"} +{"d:Title": "U.S. Department of Education", "d:Description": "Education Reforms and Students at Risk: A Review of the Current State of the Art", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/News_and_Media", "url": "http://www.ed.gov/pubs/EdReformStudies/EdReforms/chap10b.html"} +{"d:Title": "Education Research Consumer Guide", "d:Description": "Office of Educational Research and Improvement's guide to Reading Recovery [U.S. Department of Education]", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/News_and_Media", "url": "http://www.ed.gov/pubs/OR/ConsumerGuides/readrec.html"} +{"d:Title": "New Zealand - Auckland", "d:Description": "Reading Recovery in New Zealand", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/University_Training_Centers", "url": "http://www.readingrecovery.ac.nz/"} +{"d:Title": "U.S. - North Carolina - Wilmington", "d:Description": "The University of North Carolina - Wilmington", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/University_Training_Centers", "url": "http://www.uncw.edu/ed/"} +{"d:Title": "U.S. - Connecticut - Storrs", "d:Description": "University of Connecticut", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/University_Training_Centers", "url": "http://www.education.uconn.edu/"} +{"d:Title": "U.S. - South Carolina - Clemson", "d:Description": "Clemson University", "topic": "Top/Arts/Education/Language_Arts/Reading_Instruction/Reading_Recovery/University_Training_Centers", "url": "http://www.clemson.edu/readingrecovery/"} +{"d:Title": "Arttango.com", "d:Description": "Art lessons in QuickTime video format for interactive learning.", "topic": "Top/Arts/Education/Learning_Resources", "url": "http://www.arttango.com/"} +{"d:Title": "Creativity Portal", "d:Description": "Directory of free learning resources on the internet for arts, crafts, music, writing and creativity. Includes coaching and how-to articles.", "topic": "Top/Arts/Education/Learning_Resources", "url": "http://www.creativity-portal.com/"} +{"d:Title": "Checkerboard Film Foundation", "d:Description": "Documentary films on individuals who have made important contributions to the American arts. Provides film index and information on upcoming screenings.", "topic": "Top/Arts/Education/Learning_Resources", "url": "http://www.checkerboardfilms.org/"} +{"d:Title": "Talent Teacher", "d:Description": "Free art lessons including sculpting, drawing and painting cartoons, landscapes, human figures, multicultural, anime, seascapes, still life, graffiti and crafts.", "topic": "Top/Arts/Education/Learning_Resources", "url": "http://www.talentteacher.com/"} +{"d:Title": "College Art Association", "d:Description": "Membership organization that promotes excellence in scholarship and teaching in the history and criticism of the visual arts and in creativity and technical skill in the teaching and practices of art.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.collegeart.org/"} +{"d:Title": "Vero Beach Center for the Arts Education Program", "d:Description": "Information on programs for schools, the public and summer art camp.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.angelfire.com/fl3/cftaed/"} +{"d:Title": "The Touchstone Center", "d:Description": "Information on arts and education projects, workshops, seminars, publications program, the Archival Project and upcoming activities, for arts education organization based in New York City.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.touchstonecenter.net/"} +{"d:Title": "The Institute for Traditional Studies", "d:Description": "Researches, performs and promotes traditional arts education. Based in California.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.institutefortraditionalstudies.org/"} +{"d:Title": "National Society for Education in Art and Design", "d:Description": "Leading national authority concerned with art, craft and design for all phases of education in the United Kingdom. Provides news, events, resources, links and research information.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.nsead.org/"} +{"d:Title": "Arts Education Partnership", "d:Description": "Collaboration between arts, education, business, philanthropic and government organizations that promote educational policies supportive of arts education.", "topic": "Top/Arts/Education/Organizations", "url": "http://aep-arts.org/"} +{"d:Title": "Arizona Art Education Association", "d:Description": "Lessons, links and information about art education in Arizona.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.azarted.org/"} +{"d:Title": "Wisconsin Art Education Association", "d:Description": "Information for Wisconsin art educators, kindergarten through college. Includes regional and national news, WAEA-specific information, organization programs, activities and resources, and student artwork.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.wiarted.org/"} +{"d:Title": "Florida Art Education Association", "d:Description": "Promotes and supports education by certified art teachers. Conference schedule, publications, galleries, forum, and membership details.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.faea.org/"} +{"d:Title": "Association of Independent Colleges of Art and Design", "d:Description": "Operates programs that inform the public about art and design colleges, and programs that improve the quality of the member colleges.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.aicad.org/"} +{"d:Title": "National Office for Arts Accreditation", "d:Description": "Provides information on four specialized accrediting agencies: National Association of Schools of Music, National Association of Schools of Art and Design, National Association of Schools of Theatre and National Association of Schools of Dance.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.arts-accredit.org/"} +{"d:Title": "Art Administrators of New Jersey", "d:Description": "A professional organization for visual arts educators throughout the State of New Jersey.", "topic": "Top/Arts/Education/Organizations", "url": "http://aanj.org/"} +{"d:Title": "National Association for Fine Art Education", "d:Description": "Features membership information, policies, news and discussions, a.g.m. reports, publications, links resource and contact details.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.nafae.org.uk/"} +{"d:Title": "The Southeast Center for Education in the Arts", "d:Description": "Institutes in music, theatre and visual art offering professional development programs, instructional materials, newsletter, and other resources helpful to arts educators at the elementary and secondary school levels.", "topic": "Top/Arts/Education/Organizations", "url": "http://www.utc.edu/Outreach/SCEA/"} +{"d:Title": "Transforming Ideas for Teaching and Learning the Arts", "d:Description": "Publication available in HTML or PDF formats.", "topic": "Top/Arts/Education/Publications", "url": "http://www.ed.gov/pubs/StateArt/Arts/"} +{"d:Title": "Arts Education Books", "d:Description": "List of titles, available for purchase from Trans-Atlantic Publications.", "topic": "Top/Arts/Education/Publications", "url": "http://transatlanticpub.com/cat/arted/"} +{"d:Title": "The Center for Arts Education", "d:Description": "Publications from New York City organization, available online in PDF format.", "topic": "Top/Arts/Education/Publications", "url": "http://www.cae-nyc.org/resources/cae_publications"} +{"d:Title": "Arts Education Policy Review", "d:Description": "Bimonthly publication covering topics of interest to K-12 educators, available through subscription.", "topic": "Top/Arts/Education/Publications", "url": "http://www.heldref.org/pubs/aepr/about.html"} +{"d:Title": "International Journal of Education and the Arts", "d:Description": "Includes articles, abstracts, editors, and information on how to subscribe.", "topic": "Top/Arts/Education/Publications", "url": "http://www.ijea.org/"} +{"d:Title": "Liberal Academy of Fine Arts", "d:Description": "Runs professional and liberal courses in many disciplines such as painting, graphic design and multimedia, design, photography, restoration.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://laba.biz/"} +{"d:Title": "Pacific Design Academy", "d:Description": "Programs in interior design, graphic design, new media arts and architectural building technology. Located in Victoria, British Columbia.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.pacificdesignacademy.com/"} +{"d:Title": "Stivers School for the Arts", "d:Description": "Magnet school in the Dayton, Ohio public school system, offering programs in music, dance, theater and the visual arts, as well as academics.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.stivers.org/"} +{"d:Title": "Nantucket Island School of Design and the Arts", "d:Description": "Offering adult, children's and college programs, elderhostels, teacher institutes, lecture series and special events.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.nisda.org/"} +{"d:Title": "NOCCA | Riverfront", "d:Description": "Offers training in dance, music, theatre, visual arts, creative writing, media arts, musical theatre, and theatre technology. Located in New Orleans.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.nocca.com/"} +{"d:Title": "Julian Ashton Art School", "d:Description": "Located in Sydney, Australia, providing general artistic instruction to pupils 18 and older. Background, enrollment form in PDF format, contact information.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.julianashtonartschool.com.au/"} +{"d:Title": "Media Schools", "d:Description": "Instruction in radio, television and Internet broadcasting in a hands-on environment. Schools located in Cleveland, Chicago, Cincinnati and Denver.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://beonair.com/"} +{"d:Title": "Spoleto Study Abroad", "d:Description": "Four-week summer study program in Spoleto, Italy for students ages 15-19 interested in visual arts, photography, music-vocal and orchestral, drama and creative writing.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.spoletostudyabroad.com/"} +{"d:Title": "Gibsons School of the Arts", "d:Description": "Featuring several local artist-teachers and their workshop titles for Summer Painting Workshops.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.gibsonsartschool.net/"} +{"d:Title": "Mendocino Art Center", "d:Description": "Education center for the visual and performing arts. Includes events, registration for workshops, and lodging information.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.mendocinoartcenter.org/"} +{"d:Title": "duCret School of Art", "d:Description": "Offering programs in graphic design, illustration and fine art. Located in Plainfield, New Jersey.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.ducret.edu/"} +{"d:Title": "Taos Art School", "d:Description": "Offers art workshops and expeditions. Includes schedules, registration form housing and contact details.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.taosartschool.org/"} +{"d:Title": "Clark Art Institute", "d:Description": "Research and academic programs, conference, symposia, lectures, seminars and conversations, from museum school located in Williamstown, Massachusetts.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.clarkart.edu/research/"} +{"d:Title": "Studio Arts", "d:Description": "Art classes in Dallas for teens, kids and adults with special care to honor the creative genius that lives in every child and adult.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.studioartsdallas.com/"} +{"d:Title": "Find Your Art School", "d:Description": "Research art schools by program, degree, location, online.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.findyourartschool.com/"} +{"d:Title": "Royal College of Art", "d:Description": "A postgraduate art and design and design school located in London, United Kingdom. Comprises of Schools of Fine Art, Architecture, Design, Humanities and Material.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.rca.ac.uk/"} +{"d:Title": "The British Museum Diploma in Asian Art", "d:Description": "Diploma course offered intending to provide students with a broad understanding of Asian art covering all major periods and most media.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://asianart.com/associations/britishmuseum/"} +{"d:Title": "Paris College of Art", "d:Description": "Established in 1986, Paris College of Art is a European art and design school based on American educational principles. It is accredited with the National Association of Schools of Art and Design (NASAD).", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.paris.edu/"} +{"d:Title": "Sydney Art School", "d:Description": "Independent Art School located in Sydney Australia, providing fine art courses in painting, drawing and silversmithing.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://sydneyartschool.com.au/"} +{"d:Title": "Aegean Center for the Fine Arts", "d:Description": "Offering details on courses in painting, drawing, photography, literature, printmaking, creative writing and voice. Paros, Greece and Tuscany, Italy.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://www.aegeancenter.com/"} +{"d:Title": "Young Audiences", "d:Description": "School overview, news and information on programs and resources. Located in New Brunswick, New Jersey, U.S.", "topic": "Top/Arts/Education/Schools_and_Academies", "url": "http://yanjep.org/"} +{"d:Title": "Maximum Awesome Forums", "d:Description": "Message boards for movie and television commentary, comics, books, fashion, collectible toys, and silliness.", "topic": "Top/Arts/Entertainment/Chats_and_Forums", "url": "http://www.misfitopia.com/"} +{"d:Title": "Amalgam", "d:Description": "Chat about box-office hits and small-screen classics. Includes threads on entertainment news and forthcoming films and shows.", "topic": "Top/Arts/Entertainment/Chats_and_Forums", "url": "http://amalgam.zone/"} +{"d:Title": "Yahoo! Groups: Fan Base Alpha", "d:Description": "Discussion forum for fans of cult media, including movies, television, books, comics, and music. [Yahoo! registration required.]", "topic": "Top/Arts/Entertainment/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/fan_base_alpha/"} +{"d:Title": "ShowBiz Data", "d:Description": "Entertainment search engine and news.", "topic": "Top/Arts/Entertainment/Directories", "url": "http://www.showbizdata.com/"} +{"d:Title": "StarsPath", "d:Description": "Directory of official celebrity, movie and TV sites.", "topic": "Top/Arts/Entertainment/Directories", "url": "http://www.starspath.com/"} +{"d:Title": "EntsWeb", "d:Description": "Directory of entertainment, entertainers, music and musicians plus leisure activities, tourism and pastimes from the UK and around the world.", "topic": "Top/Arts/Entertainment/Directories", "url": "https://www.entsweb.co.uk/"} +{"d:Title": "Rotary Action", "d:Description": "Alphabetically organized guide to helicopters appearing in movies and television.", "topic": "Top/Arts/Entertainment/Directories", "url": "http://www.rotaryaction.com/"} +{"d:Title": "Talk Entertainment", "d:Description": "Links daily to entertainment news, gossip, and interviews from around the world, focusing on film, TV, theater and music.", "topic": "Top/Arts/Entertainment/Directories", "url": "http://www.talkentertainment.com/"} +{"d:Title": "Abarcy", "d:Description": "Family-friendly listings including music, film, literature, art, and humour.", "topic": "Top/Arts/Entertainment/Directories", "url": "http://www.abarcy.co.uk/"} +{"d:Title": "The Entertainment Directory", "d:Description": "Listings include entertainers, actors, models, venues, activities and event services from the U.K. and around the world. Will forward inquiries to entertainment providers via text message.", "topic": "Top/Arts/Entertainment/Directories", "url": "http://www.superted.com/"} +{"d:Title": "Mofaim", "d:Description": "Listings including venue information, where to buy tickets, and performer information.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.mofaim.com/"} +{"d:Title": "US Festivals and Events", "d:Description": "Listings for all U.S. states, including arts and crafts, music, and cultural festivals. Resources include festival vendor and entertainer listings, how to plan a festival, and tourism links.", "topic": "Top/Arts/Entertainment/Events", "url": "http://festivalsandevents.com/"} +{"d:Title": "2camels", "d:Description": "Listings for festivals and events worldwide. Includes articles, photos, and videos.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.2camels.com/"} +{"d:Title": "CarniFest", "d:Description": "Updated information on carnivals and festivals worldwide.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.carnifest.com/"} +{"d:Title": "Metrowize", "d:Description": "Culture and nightlife guide focusing on Los Angeles, San Francisco, and Las Vegas.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.metrowize.com/"} +{"d:Title": "EventSetter", "d:Description": "Calendar to promote forthcoming events and guide for browsing event listings in cities around the world. Includes virtual events, such as teleconferences and webinars.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.eventsetter.com/"} +{"d:Title": "CultureMob", "d:Description": "Customizable listings, recommendations, and tools to enable discovery and promotion for events including movies, music, theatre, exhibitions, sports, and lectures in cities across the U.S.", "topic": "Top/Arts/Entertainment/Events", "url": "http://culturemob.com/"} +{"d:Title": "Buzzintown", "d:Description": "Listings from major cities in India cover pubs, theatre, concerts, night life, fairs, and trade shows. Includes articles and reviews.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.buzzintown.com/"} +{"d:Title": "Buzzintown", "d:Description": "Feed covers featured events in New Delhi.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.buzzintown.com/views/rssfeed.php?cityid=1"} +{"d:Title": "Event Me", "d:Description": "Informs subscribers via e-mail of social, culinary, cultural and professional events in New York City and several other U.S. cities. Promoters pay a fee for having their events listed.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.eventme.com/"} +{"d:Title": "MuslimFest", "d:Description": "Annual arts and entertainment festival held in the city of Mississauga, Ontario, Canada. Background, overview, programming, news and media, sponsorship details, volunteering information, and contacts.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.muslimfest.com/"} +{"d:Title": "CityAlert.com", "d:Description": "Entertainment source for urban trendsetters. Offers articles on black entertainers and a forum.", "topic": "Top/Arts/Entertainment/Events", "url": "http://www.cityalert.com/"} +{"d:Title": "Retro Radar", "d:Description": "Articles on retro style, vintage clothing, classic movies, retro furniture, vintage hot rods, and music from swing to rock and roll.", "topic": "Top/Arts/Entertainment/History", "url": "http://www.retroradar.com/"} +{"d:Title": "Retro Cafe", "d:Description": "Video clips commemorating the 1970s and '80s. Includes music, TV shows, commercials, and movies.", "topic": "Top/Arts/Entertainment/History", "url": "http://www.retro-cafe.com/"} +{"d:Title": "Lagard\u00e8re", "d:Description": "Media group specializing the publication of consumer magazines and newspapers.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.lagardere.com/"} +{"d:Title": "E Online", "d:Description": "Breaking stories related to movies, music, television, books, and fashion.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.eonline.com/"} +{"d:Title": "sallini.com", "d:Description": "online entertainment guide for jokes, horoscope, games, music, news, and events.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://sallini.com/"} +{"d:Title": "Jae-Ha Kim", "d:Description": "Arts and entertainment news and reviews.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://jaehakim.com/"} +{"d:Title": "Spin.com", "d:Description": "Spin Magazine's Web presence with news, Webcasts, interviews, reviews, and features on films, music, and games.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.spin.com/"} +{"d:Title": "Culturekiosque Nouveau", "d:Description": "Entertainment news and analysis of popular culture with a European perspective.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.culturekiosque.com/nouveau"} +{"d:Title": "ABC News Entertainment", "d:Description": "Headlines from the network and other sources, as well as downloads of trailers and clips.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://abcnews.go.com/Entertainment/"} +{"d:Title": "Monsters and Critics", "d:Description": "News, features and reviews.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.monstersandcritics.com/"} +{"d:Title": "CBC: Arts News", "d:Description": "Top stories, headlines, in-depth features, and links to programming about art and entertainment in Canada and around the world.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.cbc.ca/arts/"} +{"d:Title": "Hollywood2You", "d:Description": "News on films, music, and technology.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://hollywood2you.tv/"} +{"d:Title": "High and Low NY", "d:Description": "Veteran authors and editors William Schoell and Lawrence J. Quirk present reviews of movies, books, biographies, operas, plays, and TV shows, as well as articles and photos of celebrities and movie stars.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://highandlowny.tripod.com/"} +{"d:Title": "My Rock and Celebrity Interviews", "d:Description": "Transcripts of interviews conducted for television and other media sources including: Def Leppard, Iron Maiden, Weird Al Yankovic, and Meatloaf.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.angelfire.com/rock2/rockinterviews/"} +{"d:Title": "Marpop.com: Culture that Pops", "d:Description": "In-depth interviews and reviews from the world of music, film, fashion, architecture, and design.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.marpop.com/"} +{"d:Title": "Static Multimedia", "d:Description": "News and reviews including film, games, gear, books, comics, and music.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.staticmultimedia.com/"} +{"d:Title": "Lab Productions", "d:Description": "Features reviews, interviews, reviews, and news related to music, film, and print media.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.labproductions.com/"} +{"d:Title": "Entertainment Tonight", "d:Description": "Entertainment and celebrity news covering Hollywood stars.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.etonline.com/"} +{"d:Title": "Spacelab", "d:Description": "Focuses on art, music, interactivity, and entertainment in the modern world. Includes news, reviews, and downloads.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.thespacelab.tv/"} +{"d:Title": "Glide Magazine", "d:Description": "Includes stories, interviews, downloads, and reviews covering music, movies, books, and other creative endeavors.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.glidemagazine.com/"} +{"d:Title": "Utter Trash", "d:Description": "Underground entertainment in northeast Ohio and beyond, including music (metal, punk, and experimental) and movies (horror, exploitation, independent, and foreign).", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.uttertrash.net/"} +{"d:Title": "Contactmusic.com", "d:Description": "Brief reviews, news articles and interviews concerning music, movies, and games. Splash page links as well as alphabetical indexes, links to audio and video samples, ringtones, and online games.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.contactmusic.com/"} +{"d:Title": "JAM! Showbiz", "d:Description": "Entertainment news from a Canadian angle.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://rss.canoe.ca/Jam/home.xml"} +{"d:Title": "CBS: Entertainment News", "d:Description": "Articles and headline news from the broadcast network.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://feeds.cbsnews.com/CBSNewsEntertainment?format=xml"} +{"d:Title": "Chicago Tribune: Entertainment", "d:Description": "Headline news.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://feeds.chicagotribune.com/chicagotribune/entertainment/"} +{"d:Title": "CNN: Entertainment", "d:Description": "Articles and headline news from the broadcast network.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://rss.cnn.com/rss/cnn_showbiz.rss"} +{"d:Title": "CNN: Entertainment", "d:Description": "Features articles, headline news, columns, videos, and blogs.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.cnn.com/SHOWBIZ/"} +{"d:Title": "FOX News: Entertainment News", "d:Description": "Celebrity gossip, fashion, travel, lifestyle, and arts and entertainment news headlines plus in-depth features and video clips.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.foxnews.com/entertainment/"} +{"d:Title": "FOX News: Entertainment News", "d:Description": "Feature stories and headlines from the television network.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.foxnews.com/xmlfeed/rss/0,4313,4,00.rss"} +{"d:Title": "Google News: Entertainment", "d:Description": "Aggregated articles and headline news.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "https://news.google.com/?topic=e"} +{"d:Title": "Google News: Entertainment", "d:Description": "Aggregated news and articles.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://news.google.com/?topic=e&output=rss"} +{"d:Title": "Houston Chronicle: Entertainment", "d:Description": "News and features stories from the Texas newspaper.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://feeds.chron.com/houstonchronicle/entertainment"} +{"d:Title": "Houston Chronicle: Entertainment News", "d:Description": "Local, state, national and international headlines, feature articles, blogs, events, blogs, and columns from the Texas newspaper.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.chron.com/entertainment/"} +{"d:Title": "Los Angeles Times: Entertainment", "d:Description": "Feed with headline news and feature stories from the US newspaper.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://feeds.latimes.com/latimes/entertainment"} +{"d:Title": "Los Angeles Times: Entertainment News", "d:Description": "Headline news, feature articles, photographs, blogs, critics and columnists from the US newspaper.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.latimes.com/entertainment/news/"} +{"d:Title": "New Zealand Herald: Entertainment", "d:Description": "National and international news and articles from the newspaper.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://syndication.apn.co.nz/rss/nzhrsscid_001501119.xml"} +{"d:Title": "News.com.au: Entertainment", "d:Description": "Australian and international headline news and feature reports.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.news.com.au/entertainment"} +{"d:Title": "Reuters: Entertainment", "d:Description": "Stories and headline news from the wire service.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://feeds.reuters.com/reuters/entertainment/"} +{"d:Title": "RT\u00c9 Entertainment", "d:Description": "Latest Irish and international news.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.rte.ie/rss/entertainment.xml"} +{"d:Title": "SFGate: Entertainment News", "d:Description": "News, special reports, blogs, columnists, events, and articles from the San Francisco Chronicle.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.sfgate.com/entertainment/"} +{"d:Title": "Topix: Entertainment", "d:Description": "News about the entertainment industry, collected from various sources on the web.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.topix.com/rss/business/entertainment.xml"} +{"d:Title": "Nightly.net Message Board", "d:Description": "Topics include TV, movies, science and technology,and gaming. Forums and blog.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.nightly.net/"} +{"d:Title": "Studio Club", "d:Description": "News from Hollywood about the world of film, music, literature and a backstage look at what is hot in Hollywood.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://studioclub.com/"} +{"d:Title": "PuroParty", "d:Description": "Based in Houston, Texas. Covers Tejano, Latin, and Mexican artists, clubs, concerts and events. Daily updated music videos and Spanish lyrics.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://puroparty.com/"} +{"d:Title": "HitFix", "d:Description": "News, insider information, and exclusives in film, movies, TV, music, events, and awards.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.hitfix.com/"} +{"d:Title": "Commercial Break", "d:Description": "News and reviews focused on nu jazz, neo soul, and broken beat sounds, plus articles on urban arts and culture.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.commercial-break.biz/"} +{"d:Title": "The Urban Daily", "d:Description": "Music, news, movies, TV, and special features tailored for the African-American community.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.theurbandaily.com/"} +{"d:Title": "Ain't It Cool News", "d:Description": "Harry Knowles provides movie, television, and comic news. Includes reviews, chat, and a forum.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.aintitcool.com/"} +{"d:Title": "Holy Moly", "d:Description": "News and celebrity gossip, mainly from the U.K. and Hollywood. Includes reviews, interviews, and image galleries.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.holymoly.com/"} +{"d:Title": "AP: Top Entertainment News", "d:Description": "Headline news from The Associated Press.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://hosted.ap.org/lineups/ENTERTAINMENT-rss_2.0.xml?SITE=WIMAR&SECTION=HOME"} +{"d:Title": "E! Online: Headlines", "d:Description": "News from across the show-biz spectrum.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://feeds.eonline.com/eonline/topstories"} +{"d:Title": "BBC News: Entertainment&Arts", "d:Description": "Covers film, radio and television, music, and arts. Includes interviews, downloads, and program listings.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.bbc.co.uk/news/entertainment_and_arts"} +{"d:Title": "BBC News: Entertainment&Arts", "d:Description": "Entertainment news from a UK perspective, updated every minute.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://feeds.bbci.co.uk/news/entertainment_and_arts/rss.xml"} +{"d:Title": "Dually Noted", "d:Description": "Journalist Dan Webster reviews and covers gossip about movies, books and videos in this Spokesman-Review weblog.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.spokane7.com/blog/movies/"} +{"d:Title": "Sky.com: Showbiz", "d:Description": "Headline news, feature articles, gossip, photographs, and movie reviews.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://skyliving.sky.com/"} +{"d:Title": "Extra", "d:Description": "Entertainment news, celebrity gossip, and top ten lists.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.extratv.com/"} +{"d:Title": "CBS News: Entertainment", "d:Description": "Features stories, headlines, videos, and celebrity photo galleries.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.cbsnews.com/entertainment/"} +{"d:Title": "Canoe.com: Showbiz", "d:Description": "Headlines and listings related to TV, movies, music, theater, and books in the U.S. and Canada.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://jam.canoe.com/"} +{"d:Title": "Today - Pop Culture", "d:Description": "News and commentary on television, movies, music, books, and celebrities. Includes message boards, gossip, quizzes, and newsletters.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.today.com/popculture"} +{"d:Title": "AOL - Entertainment", "d:Description": "Provides the latest entertainment news on movies, music, television and Hollywood.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.aol.com/entertainment/"} +{"d:Title": "Washington Post.com: Entertainment", "d:Description": "Entertainment, arts, book reviews and style news and headlines.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "https://www.washingtonpost.com/entertainment/"} +{"d:Title": "Yahoo! Entertainment", "d:Description": "Celebrity news, photos&gossip, music videos, song lyrics, tv shows, actors, online games&gaming info.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "https://www.yahoo.com/celebrity/"} +{"d:Title": "CinemaBlend", "d:Description": "Movie, TV, music and games news and reviews.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.cinemablend.com/"} +{"d:Title": "TheHDRoom", "d:Description": "Covers television, blu-ray, movies and gaming. With contests and reviews.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.thehdroom.com/"} +{"d:Title": "The Hollywood News", "d:Description": "Interviews, reviews and features for film, television and theatre. Includes competitions.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.thehollywoodnews.com/"} +{"d:Title": "ShowbizJunkies.com", "d:Description": "Covering films, music, and TV series including reviews, casting updates, interviews, videos, and photographs.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://www.showbizjunkies.com/"} +{"d:Title": "The Reel Word", "d:Description": "Reviews, news, trailers and articles for movies and television.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "https://www.thereelword.net/"} +{"d:Title": "Phase9 Entertainment", "d:Description": "New movie and music releases plus trailers, behind-the-scenes video features, interviews, and photographs.", "topic": "Top/Arts/Entertainment/News_and_Media", "url": "http://phase9.tv/"} +{"d:Title": "Variety Magazine", "d:Description": "The show-business daily. Offers headlines, statistics, and reviews, as well as columnists Army Archerd, Michael Fleming, and editor Peter Bart.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.variety.com/"} +{"d:Title": "Vibe Magazine", "d:Description": "Covers hip hop/urban culture with a focus on music. Articles, interviews, and subscription information.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.vibe.com/"} +{"d:Title": "Rollingstone Magazine", "d:Description": "Online version that includes: music and movie reviews, musical artists, MP3s for download, and photos of pop culture figures.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.rollingstone.com/"} +{"d:Title": "Indulge Magazine", "d:Description": "Food, wine and lifestyle. Featuring Hollywood's top celebrities, travel, art, finance, sports, and wine.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.indulgemagazine.com/"} +{"d:Title": "Visual Imagination", "d:Description": "Homepage for UK-based publication. Covers U.S. and UK television and films with a focus on science fiction and fantasy. Includes reviews and interviews.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.visimag.com/index.htm"} +{"d:Title": "Teen People", "d:Description": "Features articles, reviews, competitions, and photographs.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.teenpeople.com/teenpeople/"} +{"d:Title": "The Gate", "d:Description": "Online entertainment magazine featuring film, television, music, style, and travel stories, interviews, and reviews. A mix of US and Canadian entertainment news.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.thegate.ca/"} +{"d:Title": "ArtsyStuff.com", "d:Description": "Monthly publication that focuses on art, festivals, models, music and fashion. Includes reviews, galleries, and events calendar. [Registration required.]", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://artsystuff.com/"} +{"d:Title": "Entertainment Weekly", "d:Description": "Online version of the print magazine includes entertainment news, interviews, reviews of music, film, TV and books, and a special area for magazine subscribers.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.ew.com/"} +{"d:Title": "The Hollywood Reporter", "d:Description": "Film, music, broadcast, and entertainment business news, including independents and international information.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.hollywoodreporter.com/"} +{"d:Title": "Arts Professional", "d:Description": "Includes headlines, downloads, and information on jobs in the industry. The print version, as well as e-mail newsletters, are published to thousands of readers worldwide.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.artsprofessional.co.uk/"} +{"d:Title": "Variety Front Page", "d:Description": "Top stories from the daily entertainment industry news magazine.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://feeds.feedburner.com/variety/news/frontpage"} +{"d:Title": "HollywoodChicago.com", "d:Description": "Entertainment news and media site offers reviews, interviews, discussion forum, images, archives, blogs and RSS news aggregator.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.hollywoodchicago.com/"} +{"d:Title": "Movmnt Magazine", "d:Description": "Covers fashion, dance music, and pop culture as a lifestyle. Co-founded by David Benaym and Danny Tidwell (So You Think You Can Dance). Quarterly.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.movmnt.com/"} +{"d:Title": "Black Masks", "d:Description": "Features articles on the black performing arts and artists, as well as nationwide listings of black performances, readings, exhibits, concerts, films, and festivals. Back issues and print subscriptions available.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.blackmasks.com/"} +{"d:Title": "Collider", "d:Description": "Movie news, reviews and interviews, plus TV coverage. Also covers pop culture.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.collider.com/"} +{"d:Title": "Secret Agent Magazine", "d:Description": "Provides news about current and upcoming films and television programs focused on secret agents, spies, and private detectives. Also includes tips for emulating secret agents, with videos.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://secretagentmagazine.com/"} +{"d:Title": "Lost At Sea", "d:Description": "Movies, music, books, features, documentaries, contests, and free prizes.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.lostatsea.net/"} +{"d:Title": "Who Weekly Magazine", "d:Description": "Daily entertainment news, exclusive photos, celebrity profiles, and polls.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "https://au.lifestyle.yahoo.com/who/"} +{"d:Title": "TheDeadBolt", "d:Description": "Covering music, fashion, tv and movies news and reviews.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.thedeadbolt.com/"} +{"d:Title": "ReelLifeWithJane", "d:Description": "Covering movies, celebrities, TV and streaming broadcasts.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://www.reellifewithjane.com/"} +{"d:Title": "Red Carpet Refs", "d:Description": "Provides movie reviews and television news.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines", "url": "http://redcarpetrefs.com/"} +{"d:Title": "Ones2Watch4", "d:Description": "Focuses on unheralded talent, movies, videos, and music.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/Archives", "url": "http://ones2watch4.com/"} +{"d:Title": "Indierag.com", "d:Description": "Articles and interviews focused on independent films and media.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/Archives", "url": "http://www.indierag.com/"} +{"d:Title": "Renaissance Online Magazine", "d:Description": "Monthly magazine featuring entertainment reviews and features, sports, fiction, poetry, humor, and politics. Reader feedback and discussion welcomed.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/Archives", "url": "http://www.renaissancemag.com/"} +{"d:Title": "Nine5Four", "d:Description": "Focuses on unsigned talent, including musicians of any genre, models, sports athletes, and comedians. Includes articles and photos.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/Archives", "url": "http://www.nine5four.net/mag/"} +{"d:Title": "Entertainment World", "d:Description": "Movies, music and TV industry headlines, festival information, film reviews, event calendar, celebrity gossip, and fashion trends in Hollywood.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "https://entertainmentworld.us/"} +{"d:Title": "Lost At E Minor", "d:Description": "Weekly newsletter that showcases music, fashion, design, and illustration from Australia and beyond.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.lostateminor.com/"} +{"d:Title": "The Celebrity Cafe", "d:Description": "Offers interviews, book and cd reviews, contests, bios, travel stories, and games.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://thecelebritycafe.com/"} +{"d:Title": "ACED Magazine", "d:Description": "Young adult entertainment and lifestyle magazine with celebrity interviews, media reviews, feature articles and contest promotions.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.acedmagazine.com/"} +{"d:Title": "RevelationZ", "d:Description": "Entertainment reviews and articles that cover music, movies, books and computer games.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.revelationz.net/"} +{"d:Title": "AV Club", "d:Description": "Weekly reviews of movies and music, articles, and interviews.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.avclub.com/"} +{"d:Title": "Behind Blondie Park", "d:Description": "Provides articles on subjects including television, celebrities, sports, music and current affairs.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://behindblondiepark.com/"} +{"d:Title": "I Am Entertainment", "d:Description": "Publishes reviews and articles on music, theatre, movies and television.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.iaemagazine.com/"} +{"d:Title": "Tuppence Magazine", "d:Description": "British writings and reviews covering theatre, television, movies, music, art and fashion.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.tuppencemagazine.co.uk/"} +{"d:Title": "R-Massive", "d:Description": "E-zine focused on underground art in various forms. Contains reviews, essays, interviews, video, and music releases covering various genres.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://r-massive.cellarseer.com/"} +{"d:Title": "What's Good", "d:Description": "Providing articles on television and music, mostly hip hop. Includes fashion and lifestyle articles. With links to their press mentions.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.whatsgoodonline.co.uk/"} +{"d:Title": "The Name's Ponyboy", "d:Description": "Includes music, television, movies, art and travel.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.thenamesponyboy.com/"} +{"d:Title": "Dialogue Magazine", "d:Description": "Provides articles, interviews and videos about current affairs, music, movies, theatre, photography and arts.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.dialoguemagazine.com/"} +{"d:Title": "Film Fad", "d:Description": "Movie, television and gaming news. With trailers, reviews, contests and podcasts.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.filmfad.com/"} +{"d:Title": "PikaStar", "d:Description": "With news from the movie, television and music industries. Includes trivia and quizzes and vital statistics for celebrities.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.pikastar.com/"} +{"d:Title": "AndersonVision", "d:Description": "News and reviews for movies, games, music and books. Includes competitions.", "topic": "Top/Arts/Entertainment/News_and_Media/Magazines_and_E-zines/E-zines", "url": "http://www.andersonvision.com/"} +{"d:Title": "JumpTV", "d:Description": "Provides webcast television, mostly by subscription. Includes channels lists and previews.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.jumptv.com/"} +{"d:Title": "Veoh", "d:Description": "Millions of online videos including free TV shows, movies, and music. Upload and share your videos on Veoh the video sharing network.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.veoh.com/"} +{"d:Title": "New River", "d:Description": "Journal of digital writing and art, edited by Ed Falco and hosted by The Center for Digital Discourse and Culture at Virginia Polytechnic Institute and State University.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.cddc.vt.edu/journals/newriver/"} +{"d:Title": "WindowsMedia.com Media Guide", "d:Description": "Portal for online streaming media using the Windows Media format. Includes music videos, radio stations, and news headlines.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.windowsmedia.com/"} +{"d:Title": "Real Guide", "d:Description": "Offers audio and video content for music, movies, news, sports, and entertainment.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://guide.real.com/"} +{"d:Title": "At Whurl TV", "d:Description": "Online entertainment laboratory in which you can experiment with the current project. Includes interactive animations, virtual reality, music videos and 3D images.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.whurl.net/"} +{"d:Title": "Dull Grey Studios", "d:Description": "Creators of Macromedia Flash games, film and other media.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.dullgrey.com/"} +{"d:Title": "Nebulous Cacophony", "d:Description": "A site created by Izcalli64 for the intent of sharing music, MIDI files, graphics, web design, C++ source code, cursors and anything else.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.gocaco.com/"} +{"d:Title": "Shockwave.com", "d:Description": "Includes Macromedia Flash and Shockwave games, multiplayer games, movies, downloads and shows.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.shockwave.com/"} +{"d:Title": "Hulu", "d:Description": "Ad-supported streaming television shows and movies, including some in high definition.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.hulu.com/"} +{"d:Title": "PBS Video", "d:Description": "Provides free and on-demand video of the national programming and locally produced shows from the Public Broadcasting Service.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.pbs.org/video/"} +{"d:Title": "Guezr", "d:Description": "Shows first half of a video, then asks site visitors to vote on what happens next before revealing the rest.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.guezr.com/"} +{"d:Title": "Clipland", "d:Description": "Media database for video clips, music videos, movie trailers, TV commercials and short films.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.clipland.com/"} +{"d:Title": "BBC Entertainment&Arts", "d:Description": "Includes video clips, games, quizzes, competitions, trivia and audio.", "topic": "Top/Arts/Entertainment/Online_Media", "url": "http://www.bbc.com/news/entertainment_and_arts"} +{"d:Title": "Lunar Broadband", "d:Description": "Links to broadband channels from all around the world.", "topic": "Top/Arts/Entertainment/Online_Media/Directories", "url": "http://www.lunarbroadband.com/"} +{"d:Title": "Web Times", "d:Description": "Guide to ongoing audio and video broadcasts, including sports, music events, and celebrity chat.", "topic": "Top/Arts/Entertainment/Online_Media/Directories", "url": "http://www.webtimes.com/"} +{"d:Title": "Lybio", "d:Description": "Collection of videos and music videos with accompanying text or lyrics.", "topic": "Top/Arts/Entertainment/Online_Media/Directories", "url": "http://www.lybio.net/"} +{"d:Title": "Broadcast-Live.com", "d:Description": "Radio and television from around the world.", "topic": "Top/Arts/Entertainment/Online_Media/Directories/Television", "url": "http://broadcast-live.com/"} +{"d:Title": "MediaChannel", "d:Description": "Video guide and search engine. Also includes information on Internet video viewers.", "topic": "Top/Arts/Entertainment/Online_Media/Directories/Television", "url": "http://www.mediachannel.com/"} +{"d:Title": "TVover.net", "d:Description": "Listing of Internet television stations from around the world.", "topic": "Top/Arts/Entertainment/Online_Media/Directories/Television", "url": "http://www.tvover.net/TVStation.aspx"} +{"d:Title": "Internet TV Stations", "d:Description": "Directory of the best broadband internet television channels.", "topic": "Top/Arts/Entertainment/Online_Media/Directories/Television", "url": "http://www.internet-tvstations.co.uk/"} +{"d:Title": "Squid TV", "d:Description": "Guide to live broadcasts and on-demand video clips available from around the world.", "topic": "Top/Arts/Entertainment/Online_Media/Directories/Television", "url": "http://www.squidtv.net/"} +{"d:Title": "Heavy", "d:Description": "Online video company. Includes videos sorted by theme and contests.", "topic": "Top/Arts/Entertainment/Online_Media/Programs", "url": "http://www.heavy.com/"} +{"d:Title": "Moment Factory", "d:Description": "Creation of multimedia environments. Includes videos and portfolio.", "topic": "Top/Arts/Entertainment/Online_Media/Programs", "url": "http://www.momentfactory.com/"} +{"d:Title": "CC: Short Form", "d:Description": "Broadband entertainment network for original comedy videos and web series.", "topic": "Top/Arts/Entertainment/Online_Media/Programs", "url": "http://www.cc.com/short-form"} +{"d:Title": "Blinkx", "d:Description": "Search for video and audio clips, using standard keyword and Boolean queries, or conceptual search. Smart Folders will store media clips on your computer and scan the web automatically for similar ones.", "topic": "Top/Arts/Entertainment/Online_Media/Search_Engines", "url": "http://www.blinkx.com/"} +{"d:Title": "FindSounds", "d:Description": "Search for sample sounds and sound effects at this file search engine. Offers directory, keyword and sonic similarity search functions.", "topic": "Top/Arts/Entertainment/Online_Media/Search_Engines", "url": "http://www.findsounds.com/"} +{"d:Title": "Vizhole", "d:Description": "Search and watch video clips from YouTube, iFilm, Livevideo, and Dailymotion in one place. Registered users can submit clips of their own.", "topic": "Top/Arts/Entertainment/Online_Media/Search_Engines", "url": "http://vizhole.com/"} +{"d:Title": "AOL On", "d:Description": "Searchable directory.", "topic": "Top/Arts/Entertainment/Online_Media/Search_Engines", "url": "http://on.aol.com/"} +{"d:Title": "Eve's Magazine", "d:Description": "Covers writing, music, and the arts.", "topic": "Top/Arts/Entertainment/Personal_Pages", "url": "http://www.evesmag.com/"} +{"d:Title": "Dangerous Universe", "d:Description": "Features artwork and articles about movies and television series.", "topic": "Top/Arts/Entertainment/Personal_Pages", "url": "http://www.dangerousuniverse.com/"} +{"d:Title": "Hollywood.com", "d:Description": "Covers Hollywood and the motion picture industry with content including video clips, trailers, soundbites, photos, games, celebrities, news, and event coverage.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.hollywood.com/"} +{"d:Title": "Pazsaz Entertainment Network", "d:Description": "News and database of information on flms, television shows, cartoons, and theme parks.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.pazsaz.com/"} +{"d:Title": "Information Please Entertainment Almanac", "d:Description": "Features data on awards, stars, celebrities, TV, music, movies, and theater. Includes statistics and biographies.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.infoplease.com/ent.html"} +{"d:Title": "The Listology", "d:Description": "User-contributed, searchable collection of music, movie, and book lists. Includes reviews and commentary.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.listology.com/"} +{"d:Title": "Entertain Your Brain", "d:Description": "News, reviews, and information for fans of movies, television, music, books, and video games.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.entertainyourbrain.com/"} +{"d:Title": "Screenonline", "d:Description": "Focuses on the British entertainment scene. Includes commentary and analysis related to films, television, and people.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.screenonline.org.uk/"} +{"d:Title": "Space of Lumpen", "d:Description": "Features articles, music samples, online radio stations, and independent short films, plus concert, performer, and DJ information.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.lumpen.com/"} +{"d:Title": "Talking Pictures", "d:Description": "Movie and celebrity news, reviews of films and entertainment-related books, articles, interviews, and commentary.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.talkingpix.co.uk/"} +{"d:Title": "The Funkier Than Thou Network", "d:Description": "Music, art, essays, and reviews from a community of artists, writers, musicians, and fans with a hip-hop perspective. Includes message board.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.funkierthanthou.net/"} +{"d:Title": "Taste Kid", "d:Description": "Recommendations for music, movies and books that are similar or related to the user's preferences. Provides information and/or video or audio samples related to recommendations.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.tastekid.com/"} +{"d:Title": "Top Ten Lists", "d:Description": "Focuses on topics ranging from movies to music, games to sports.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.destican.com/"} +{"d:Title": "Incredible TV and Movies", "d:Description": "Includes program and film information with photos and show summaries.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.incredibletvandmovies.com/"} +{"d:Title": "Pop Culture Madness", "d:Description": "Features entertainment news, reviews and interviews", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.popculturemadness.com/"} +{"d:Title": "Troma", "d:Description": "Focuses on underground entertainment and culture. Includes games and streaming media.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://www.troma.com/"} +{"d:Title": "Majin Planet Productions", "d:Description": "News and reviews on movies, video games, community discussions and anime. Includes trailers, tutorials and downloads.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://majin-planet.net/"} +{"d:Title": "Needcoffee.com", "d:Description": "Dedicated to covering the many-headed hydra that is today's pop culture. Includes news, reviews, and discussion of movies, DVDs, television, music, books, toys, and games.", "topic": "Top/Arts/Entertainment/Resources", "url": "http://feeds.feedburner.com/needcoffee"} +{"d:Title": "Classic Film and Television", "d:Description": "Reviews of classic movies and television shows.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://mikegrost.com/film.htm"} +{"d:Title": "Film Monthly", "d:Description": "Reviews and commentary on film, video, tv, and pop culture.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.filmmonthly.com/"} +{"d:Title": "RatingZone: Entertainment Reviews", "d:Description": "Uses visitor ratings to make personal predictions for books, music, movies, television, video games, and wine.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.ratingzone.com/"} +{"d:Title": "Rainbo Electronic Reviews", "d:Description": "Concise reviews of fiction, cookbooks, children's books, computer books and software, books on tape, and home video.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.rainboreviews.com/"} +{"d:Title": "PopMatters", "d:Description": "Cultural criticism including music, television, films, books, multimedia, and theatre. Offers articles, reviews, and interviews.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://popmatters.com/"} +{"d:Title": "Metacritic", "d:Description": "Reviews, quotes, and composite review scores from major critics for film, video, DVD, music, and video games.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.metacritic.com/"} +{"d:Title": "Listen To Me", "d:Description": "Humorous reviews of films, music, video games, and television shows.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.listentome.net/"} +{"d:Title": "Mrs. Giggles.com", "d:Description": "Commentary on books, music, movies, television shows, and music video.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.mrsgiggles.com/"} +{"d:Title": "NightsAndWeekends.com", "d:Description": "Features reviews of movies, books, music, and board games. Includes short fiction and humor.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.nightsandweekends.com/"} +{"d:Title": "TVBlanket", "d:Description": "Reviews on television shows, movies, and home entertainment. Includes information on how to enhance home viewing.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.tvblanket.com/"} +{"d:Title": "Shaking Through.net", "d:Description": "Reviews of books, movies, music, and comics. Includes forum and features. Submissions considered.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.shakingthrough.net/"} +{"d:Title": "Blunt Review", "d:Description": "Covers movies, music, and theater. Includes news and interviews.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.bluntreview.com/"} +{"d:Title": "Movieprop.com", "d:Description": "Provides reviews sorted by genre and information about collecting memorabilia. Also discusses video games and anime.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.movieprop.com/entertainment.htm"} +{"d:Title": "JustPressPlay", "d:Description": "Movie, music and game reviews.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.justpressplay.net/"} +{"d:Title": "Lotta Reviews", "d:Description": "Archived movie and TV review site.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.lottareviews.com/"} +{"d:Title": "FilmZeus Reviews", "d:Description": "Expert commentary on films, music, TV, and books.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://filmzeus.pressbin.com/index.php"} +{"d:Title": "Petersreviews", "d:Description": "Commentary on television, movies, computers, games, and music.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://petersreviews.com/"} +{"d:Title": "M&M Shack", "d:Description": "Movie and music reviews with links and information on the critics.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://mmshack.tripod.com/"} +{"d:Title": "Review Gods", "d:Description": "Bringing a divine perspective on all forms of entertainment media including movies, music, games, comics, video, and web sites.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.reviewgods.com/"} +{"d:Title": "Media Nugget of the Day", "d:Description": "Brief reviews of recordings, books, magazines, TV shows, web sites, movies, computer games, or whatever. One review each day.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.medianugget.com/"} +{"d:Title": "Gouki", "d:Description": "Focuses on video games and movies, as well as selected viral video clips.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.gouki.com/"} +{"d:Title": "Reviews and More", "d:Description": "Covers movies, TV shows, books, and digital media.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.reviewsandmore.net/"} +{"d:Title": "Reviews by Matthew", "d:Description": "Commentary on movies, concerts, CDs, DVDs, books, and music.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.reviewsbymatthew.com/"} +{"d:Title": "Dorkgasm", "d:Description": "Music, movies, gaming, events, and television of interest to dorks.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.dorkgasm.com/"} +{"d:Title": "Library of Inspiration", "d:Description": "Reflections about music, literature, and film that have provided inspiration to the contributors of the site.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.libraryofinspiration.com/"} +{"d:Title": "Eclectic Reviews", "d:Description": "Offbeat movies, books and music, including cult classics, horror, non-fiction, cryptozoology, paranormal, thrillers, rock and jazz. Occasional product reviews.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://eclecticreviews.com/"} +{"d:Title": "MediaCircus", "d:Description": "Reviews and analytical articles covering film and television, by Anthony Leong.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.mediacircus.net/"} +{"d:Title": "JRW", "d:Description": "Ratings and commentary focusing on the performing arts. Searchable by name genre and organization.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.jamesweggreview.org/"} +{"d:Title": "Kit MacFarlane", "d:Description": "Web archive of published film, television, and media criticism and commentary by the Australian critic.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.kitmac.com/"} +{"d:Title": "The Critical Eye", "d:Description": "Reviews of movies, videos, books, music, and technology, plus interviews with the people who make them.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.5x5media.com/eye/"} +{"d:Title": "Pajiba", "d:Description": "Featuring film, book, and television reviews.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.pajiba.com/"} +{"d:Title": "Review Spew", "d:Description": "Group of reviewers cover television, books, movies, music, and books.", "topic": "Top/Arts/Entertainment/Reviews", "url": "http://www.reviewspew.com/"} +{"d:Title": "A Guide To Current DVD", "d:Description": "DVD, videogame, and music reviews. Includes contests and giveaways.", "topic": "Top/Arts/Entertainment/Reviews/DVDs", "url": "http://www.currentfilm.com/"} +{"d:Title": "Common Sense Media", "d:Description": "Offers family friendly ratings and reviews on movies, TV, games, music, websites, and books. Includes ratings of potentially offensive content by category.", "topic": "Top/Arts/Entertainment/Reviews/Family", "url": "http://www.commonsensemedia.org/"} +{"d:Title": "Kids First!", "d:Description": "Evaluates, rates, and endorses children's feature films, videotapes, DVDs, audio recordings, software, and television using a volunteer, community-based jury including professionals, parents, and children.", "topic": "Top/Arts/Entertainment/Reviews/Family", "url": "http://www.kidsfirst.org/"} +{"d:Title": "Plugged In", "d:Description": "Focus on the Family site offers a Christian perspective on movies, TV, video games and music.", "topic": "Top/Arts/Entertainment/Reviews/Family", "url": "http://www.pluggedin.com/"} +{"d:Title": "Dooyoo: Magazines and Newspapers", "d:Description": "Consumer reviews and ratings of hundreds of publications.", "topic": "Top/Arts/Entertainment/Reviews/Magazines_and_E-zines", "url": "http://www.dooyoo.co.uk/magazines-newspapers/"} +{"d:Title": "Alternative Reel", "d:Description": "Offbeat reviews of movies and music videos with a small dose of literary anarchy and conspiracy theory.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://altreel.blogspot.com/"} +{"d:Title": "VOD reviews", "d:Description": "Reviews of video-on-demand alternative movies and music.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://www.vod-reviews.com/"} +{"d:Title": "Katie Toms", "d:Description": "Cultural news, reviews and interviews on art, music, books, film and theater, as well as feminist investigations and features.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://katietoms.wordpress.com/"} +{"d:Title": "Nicholas Chance, Kid Reviewer, and Friends", "d:Description": "Nick Chance, the Kid Reviewer, reviews movies, books, and video games from a 13-year-old's perspective. Includes entries written by other youngsters.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://www.kidreviewer.com/"} +{"d:Title": "60 Second Review", "d:Description": "Brief commentary on music, books, films, TV, concerts, and events.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://60secondreview.blogspot.com/"} +{"d:Title": "60 Second Reviews", "d:Description": "Updated feed of items posted to the site.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://60secondreview.blogspot.com/feeds/posts/default"} +{"d:Title": "Creating Itchy", "d:Description": "Commentary focused on art and music.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://www.creatingitchy.com/"} +{"d:Title": "Warts and Dimples", "d:Description": "Covers Bollywood movies, Indian TV serials, cartoons, and advertisements.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://wartsanddimples.blogspot.com/"} +{"d:Title": "Golden Age of Geek", "d:Description": "Covers film, TV, literature, comics and games, including works in the science fiction, horror, and fantasy genres.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://goldenageofgeek.blogspot.com/"} +{"d:Title": "If You Write It", "d:Description": "Covers movies, books, and television.", "topic": "Top/Arts/Entertainment/Reviews/Weblogs", "url": "http://www.ifyouwriteit.com/"} +{"d:Title": "NBC News - Today Show", "d:Description": "The latest news stories, recipes and video with Matt Lauer, Savannah Guthrie, Al Roker, Natalie Morales and Willie Geist.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.today.com/"} +{"d:Title": "Blogcritics.org", "d:Description": "Group of authors write on music, books, film, popular culture, politics, and technology.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://blogcritics.org/"} +{"d:Title": "Hecklerspray", "d:Description": "News and reviews on music, film, television, celebrities, and games in the UK.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.hecklerspray.com/"} +{"d:Title": "Go Fug Yourself", "d:Description": "Focusing on celebrities and their fashion hits and misses", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://gofugyourself.typepad.com/"} +{"d:Title": "Spacer Entertainment", "d:Description": "Commentary on television, films, music, and books from a former columnist for Sci-Fi Magazine and SciFiNow.com.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://spacerjwb.blogspot.com/"} +{"d:Title": "Gawker", "d:Description": "Daily Manhattan media news and gossip.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://gawker.com/"} +{"d:Title": "Pop Culture Idiot", "d:Description": "An irreverent look at pop culture.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://popcultureidiot.blogspot.com/"} +{"d:Title": "Fresh Dames", "d:Description": "Female celebrity articles, pictures, news, links and related information.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://freshdames.blogspot.com/"} +{"d:Title": "Amp Power", "d:Description": "One paragraph reviews on the arts, movies, books, music and pop culture by a know-nothing who knows it all.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://amppower.blogspot.com/"} +{"d:Title": "The Superficial", "d:Description": "Outrageous commentary on celebrities, what they wear, and what they say and do.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.thesuperficial.com/"} +{"d:Title": "Shoebunny - Celebrities&Shoes", "d:Description": "Focusing on celebrities and their footwear", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.shoebunny.com/"} +{"d:Title": "Look What She's Wearing", "d:Description": "A daily updated exhibit of the fashion trends of celebrities and stars.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.lookwhatsheswearing.com/"} +{"d:Title": "Egotastic", "d:Description": "An entertainment weblog focussed on celebrities, movies, television, and music.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.egotastic.com/"} +{"d:Title": "Popsugar", "d:Description": "Celebrity gossip Weblog.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.popsugar.com/"} +{"d:Title": "Pink Is The New Blog", "d:Description": "Fingers firmly on the pulse.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.pinkisthenewblog.com/"} +{"d:Title": "Friend In My Head", "d:Description": "Celebrity News, Pictures, and Gossip. Updated Daily.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://friendinmyhead.blogspot.com/"} +{"d:Title": "Generation Nubian", "d:Description": "A weblog On African entertainment from an African perspective profiling events, pictures and news reports.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://generationnubian.blogspot.com/"} +{"d:Title": "The Slug", "d:Description": "Daily updates on pop culture and the entertainment industry.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://asapblogs.typepad.com/theslug/"} +{"d:Title": "Hate On", "d:Description": "Celebrity gossip and hatred of the same.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://hateon.blogspot.com/"} +{"d:Title": "Best of the Buzz", "d:Description": "Directory of breaking entertainment news and gossip", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://bestofthebuzz.blogspot.com/"} +{"d:Title": "RagHag Diva", "d:Description": "Dissecting all the weekly trash celebrity magazines so YOU don't have to!", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://raghag-diva.blogspot.com/"} +{"d:Title": "Premium Hollywood", "d:Description": "Covers movies, music, television, games and celebrities.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.premiumhollywood.com/"} +{"d:Title": "VIP Glamour", "d:Description": "Discusses celebrities from film and TV, and includes commentary, photos, video and polls.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://vipglamour.net/"} +{"d:Title": "Latin Gossip", "d:Description": "Latin entertainment news and gossip, updated daily.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.latingossip.com/"} +{"d:Title": "Showbiz Stupidity", "d:Description": "Hollywood gossip and news, with a focus on the stupid things celebrities say and do.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://showbizstupidity.wordpress.com/"} +{"d:Title": "Celebrity Rumors", "d:Description": "Daily celebrity news, rumors and gossip.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.celebrityrumors.com/"} +{"d:Title": "The Answer May Surprise You", "d:Description": "Entertainers, politicians and other newsmakers are flayed, skewered and roasted over the flames of satire and absurdist humor.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.surprisinger.com/"} +{"d:Title": "TMZ", "d:Description": "Offers entertainment news, gossip and an inside look at the latest celebrity scandals.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.tmz.com/"} +{"d:Title": "Into Gossip", "d:Description": "Celebrity weblog focusing on pictures, fashion and gossip.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://intogossip.blogspot.com/"} +{"d:Title": "Stupid Celebrities", "d:Description": "Find out all of the latest news and information regarding movies, actors and Hollywood.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://stupidcelebrities.net/"} +{"d:Title": "Hot Celebs Home", "d:Description": "Entertainment weblog with latest photos of female celebrities.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.hotcelebshome.com/"} +{"d:Title": "TV Shows on DVD", "d:Description": "An entertainment weblog focused on reviews of movies and television shows released on dvd.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://tvshowsdvd.blogspot.com/"} +{"d:Title": "The Daily Haggis", "d:Description": "An entertainment weblog covering TV, Film, Games, and Tech from a skewed sense of humor.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.dailyhaggis.com/"} +{"d:Title": "Haterism", "d:Description": "Offers original editorials, photos and video clips of urban celebrity news in a feature-rich weblog format.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://haterism.com/"} +{"d:Title": "HQ Celebrity", "d:Description": "Latest news from the world of celebrities.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://hq-celebrity.com/"} +{"d:Title": "The Blemish", "d:Description": "A comedic look at celebrity gossip and entertainment news.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://theblemish.com/"} +{"d:Title": "Popped Culture", "d:Description": "A life viewed through the lens of entertainment.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://culturepopped.blogspot.com/"} +{"d:Title": "Spreadit - Celebrity&Entertainment News", "d:Description": "A satiric view of the celebrity and gossip world updated daily.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.spreadit.org/"} +{"d:Title": "Refried Screens", "d:Description": "A mash up of pop culture and current events in the realm of entertainment.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://refriedscreens.com/"} +{"d:Title": "Film blog", "d:Description": "Focus on aesthetical films, fashion and beauty in the world.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://meinte-film.blogspot.com/"} +{"d:Title": "Yowazzup", "d:Description": "A directory of what's happening including music, movies and current events.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.yowazzup.com/"} +{"d:Title": "Felt Up", "d:Description": "A weblog devoted to the humorous dissection of celebrity gossip, entertainment news, and pop culture.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.feltupbyjen.com/"} +{"d:Title": "Celebslam", "d:Description": "Brutally honest commentary on the latest celebrity news and gossip.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://celebslam.celebuzz.com/"} +{"d:Title": "I'm Bringing Blogging Back", "d:Description": "Entertainment and pop culture weblog about the celebrity world, sports, and music.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.imbringingbloggingback.com/"} +{"d:Title": "GossipMomma", "d:Description": "One gabby Momma juggling toddlers, gossip and other fun stuff.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.gossipmomma.blogspot.com/"} +{"d:Title": "Blogorrah", "d:Description": "A mix of Irish-themed pop culture, media and political gossip for Ireland and the rest of the world updated up to 10 times a day.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.patrickl.net/blog/"} +{"d:Title": "Pop Culture Beast", "d:Description": "Focuses on movies and DVDs.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.popculturebeast.com/"} +{"d:Title": "thebond.tv", "d:Description": "Movie reviews, celebrity news, and pop culture.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://thebond.tv/"} +{"d:Title": "Art In Motion", "d:Description": "Art news and music reviews.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://claysol13.wordpress.com/"} +{"d:Title": "Southernist", "d:Description": "Southern art, design, and culture from a New York state of mind.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.southernist.com/"} +{"d:Title": "Young, Black, and Fabulous", "d:Description": "Insight into the world of Black Hollywood and what is fabulous in Black America. Includes entertainers, trends, music, and gossip from a young, black, and fabulous perspective.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://theybf.com/"} +{"d:Title": "Whudat", "d:Description": "The latest black celebrity news and views.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.whudat.com/"} +{"d:Title": "The Tumultuous Times Of Fujita Pearson", "d:Description": "Commentary on nightclubs, music, art, and fashion. Includes music and video from the moderator/musical artist Prota.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.protarockwell.wordpress.com/"} +{"d:Title": "Seriously? OMG! WTF?", "d:Description": "Celebrity entertainment and gossip discussed.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://seriouslyomg.com/"} +{"d:Title": "BollyNewz", "d:Description": "Movie gossip, photos, reviews and news.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.bollynewz.com/"} +{"d:Title": "K-popped", "d:Description": "Includes Korean celebrity profiles, movie and drama reviews, and simple lessons in Korean.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://k-popped.com/"} +{"d:Title": "Vainzine", "d:Description": "Dedicated to media, music, art, reviews, news, and videos. Includes videos, interviews, and downloads.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.vainzine2.blogspot.com/"} +{"d:Title": "HuffPost Celebrity", "d:Description": "Entertainment news and celebrity gossip about your favorite movies, music and TV celebrities.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.huffingtonpost.com/celebrity/"} +{"d:Title": "BBC America's Anglophenia", "d:Description": "Daily updates of gossip and news about music, TV, movies from the UK", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.bbcamerica.com/anglophenia/"} +{"d:Title": "My Crazy Life", "d:Description": "Entertainment News and Reviews by up-and-coming entertainment journalist Twanji Kalula", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.twanjikalula.com/"} +{"d:Title": "Obsev - Entertainment", "d:Description": "Updated daily for Hollywood gossip on celebrities.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.obsev.com/entertainment"} +{"d:Title": "Retrospace", "d:Description": "Personal reminiscences on various pop culture topics, including music, films, ads, and toys.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://www.retrospace.org/"} +{"d:Title": "Gossip Girl", "d:Description": "Entertainment news and gossip about Hollywood stars, plus celebrity photos and music videos.", "topic": "Top/Arts/Entertainment/Weblogs", "url": "http://gossipgirl.com/"} +{"d:Title": "EnvironmentalArt.net", "d:Description": "Virtual gallery presenting the work of environmental artists from Finland in the form of Land Art, Communal Art and Eco-Art.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://environmentalart.net/"} +{"d:Title": "Walkin' Jim Stoltz", "d:Description": "Features wilderness photos, folk music, poetry, tales of the trail, wild lands issues, trail advice for backpackers and kids activities.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.walkinjim.com/"} +{"d:Title": "Musicians United to Sustain The Environment (MUSE)", "d:Description": "Musicians utilizing music to promote environmental awareness and protection of our wilderness heritage. Provides links to musicians, music and environmental organizations.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.musemusic.org/"} +{"d:Title": "Arts Catalyst", "d:Description": "A science and art agency which argues for and sets up genuine collaborations between artists and scientists. Artistic process are applied directly to the scientific environment producing a new insight into the nature and wider issues of the work.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.artscatalyst.org/"} +{"d:Title": "Erica Fielder Eco Artist", "d:Description": "Promotes art making with lifestyle and the natural sciences in order to encourage a heartfelt shift to ecologically ethical practices and integrated relationships between humans and the wild blue-green Earth. Provides samples of her work.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.ericafielder-ecoartist.com/"} +{"d:Title": "Image Gallery of Human and Nature", "d:Description": "Features Adrian Warren's photos of topics related to wildlife, nature, peoples and environments.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.lastrefuge.co.uk/photolibrary/sp_human.html"} +{"d:Title": "Orlo", "d:Description": "Mission is to explore environmental issues though the creative arts. Information about productions and events.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.orlo.org/"} +{"d:Title": "Pieces of My Heart", "d:Description": "About a book of collected writings inspired by animals and nature, by Jim Willis, director of the Tiergarten Sanctuary Trust. Includes excerpts, ordering information, and reviews.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.crean.com/jimwillis/"} +{"d:Title": "greenmuseum.org", "d:Description": "The online museum of environmental art. Provides featured artists, exhibitions, calendar, FAQ and membership information.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://greenmuseum.org/"} +{"d:Title": "Land Views", "d:Description": "Online journal of landscape, art and design in America includes a network of artists, architects, designers, and ecologists working in and for the landscape.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.landviews.org/"} +{"d:Title": "ecoartspace", "d:Description": "Nonprofit supporting artists creating works that inspire a sustainable relationship with the natural world. Provides information on services, programs, curriculum, resources and featured artists.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://ecoartspace.blogspot.com/"} +{"d:Title": "Tapped Into Elephants", "d:Description": "Streaming video or audio where the focus is on the Elephant Sanctuary and its on-demand cam footage.", "topic": "Top/Arts/Genres/Environment_and_Nature", "url": "http://www.elephants.com/elecam/"} +{"d:Title": "10th International Environmental Film Festival", "d:Description": "Held in Barcelona, Spain each year. Next in June 2003. Provides event information.", "topic": "Top/Arts/Genres/Environment_and_Nature/Film_Festivals", "url": "http://www.ficma.com/"} +{"d:Title": "Environmental Film Festival", "d:Description": "Annual event featuring domestic and foreign documentaries, animated shorts, and archival films about the natural world and green living. Programs from past showings, volunteer opportunities, and mailing list.", "topic": "Top/Arts/Genres/Environment_and_Nature/Film_Festivals", "url": "http://www.dcenvironmentalfilmfest.org/"} +{"d:Title": "Planet in Focus", "d:Description": "International environmental film and video festival based in Toronto. Background information, schedule, news and media, tickets, venue details, and resources.", "topic": "Top/Arts/Genres/Environment_and_Nature/Film_Festivals", "url": "http://www.planetinfocus.org/"} +{"d:Title": "3 Idiots Guide to Horror", "d:Description": "Reviews of videos and books. Includes links.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.horror.com/"} +{"d:Title": "PitOfHorror.com", "d:Description": "Features movie reviews, sounds, images, profiles of horror characters, message board, quiz and news.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.pitofhorror.com/"} +{"d:Title": "J. K. Potter", "d:Description": "A presentation of J. K. Potter artwork and information.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.jkpotter.com/"} +{"d:Title": "Dr Zombie's Halloween Theater", "d:Description": "Radio and television horror, including live broadcasts.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.angelfire.com/sk/absolutemadness/"} +{"d:Title": "Mostellaria", "d:Description": "Discussions and fiction related to ghosts, horror and the supernatural.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.mostellaria.com/"} +{"d:Title": "Rue Morgue Magazine", "d:Description": "A publication exclusively devoted to horror in culture and entertainment. Also features cinema, store, archives, events and general information.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.rue-morgue.com/"} +{"d:Title": "Something Wicked", "d:Description": "General horror information, forum, scripts, music, comics and literature.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.angelfire.com/in2/jasonv/index.html"} +{"d:Title": "The Night World", "d:Description": "Information on horror writers and creatures. Includes galleries and games.", "topic": "Top/Arts/Genres/Horror", "url": "http://the_night_world.tripod.com/"} +{"d:Title": "The Pit", "d:Description": "Features a variety of horror sound WAVs.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.seahaas.com/thepit.html"} +{"d:Title": "Tabula Rasa: Horror", "d:Description": "Looking at many aspects of horror. Includes a detailed history of the genre, interviews, reviews, and articles.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.tabula-rasa.info/Horror/"} +{"d:Title": "Horror.net", "d:Description": "Search engine and directory for horror films, books, music and art.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.horror.net/"} +{"d:Title": "Castle Dracula", "d:Description": "Movie news, reviews, awards to win, search engine, banner exchange, books, images and links.", "topic": "Top/Arts/Genres/Horror", "url": "http://usersites.horrorfind.com/home/horror/dracula/"} +{"d:Title": "Club Haunt", "d:Description": "Provides feature articles, how-to Halloween tips, forum and downloads.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.clubhaunt.net/"} +{"d:Title": "Real Horror", "d:Description": "Murder, punishment, haunted places, ghosts, cemeteries, witchcraft, mummies, vampires, monsters, demons, satanism, aliens and links. [Contains some graphic content]", "topic": "Top/Arts/Genres/Horror", "url": "http://usersites.horrorfind.com/home/horror/drlarry/"} +{"d:Title": "The Horror Grotto", "d:Description": "Commentary on music, magazines, comics, short fiction, novels, and movies.", "topic": "Top/Arts/Genres/Horror", "url": "http://horror-grotto.blogspot.com/"} +{"d:Title": "Dread Central", "d:Description": "News, movie reviews, interviews, and original features.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.dreadcentral.com/"} +{"d:Title": "Flames Rising", "d:Description": "Flames Rising is a horror and dark fantasy entertainment webzine offering reviews, interviews, news, and forums.", "topic": "Top/Arts/Genres/Horror", "url": "http://www.flamesrising.com/"} +{"d:Title": "About Horror Books and Movies", "d:Description": "Links to horror books, writers, movies and general information on the genre.", "topic": "Top/Arts/Genres/Horror", "url": "http://horror.about.com/"} +{"d:Title": "Chiller Theatre", "d:Description": "New Jersey based horror expo. Twice a year, featuring guests, costume ball, live music, model kit contests amongst other events.", "topic": "Top/Arts/Genres/Horror/Conventions_and_Organizations", "url": "http://www.chillertheatre.com/"} +{"d:Title": "Horrorfind Weekend", "d:Description": "Annual event featuring horror celebrities and dealers. Includes event schedule and list of celebrity guests.", "topic": "Top/Arts/Genres/Horror/Conventions_and_Organizations", "url": "http://www.horrorfindweekend.com/"} +{"d:Title": "Flashback Weekend Horror Convention", "d:Description": "Annual Chicago convention taking place in August. Includes dealers room, exhibits, and guest speakers.", "topic": "Top/Arts/Genres/Horror/Conventions_and_Organizations", "url": "http://www.flashbackweekend.com/"} +{"d:Title": "Tabula Rasa - Vampire Mythos", "d:Description": "Article looking at the myth of the vampire, including its history and how it has been presented by David Carroll.", "topic": "Top/Arts/Genres/Horror/Vampires", "url": "http://www.tabula-rasa.info/Horror/VampireMythos.html"} +{"d:Title": "Wikipedia - Vampire", "d:Description": "Hyperlinked encyclopedia article about vampire legends including folk beliefs and their origins around the world, and the vampire in modern fiction.", "topic": "Top/Arts/Genres/Horror/Vampires", "url": "http://en.wikipedia.org/wiki/Vampire"} +{"d:Title": "Vampyreverse", "d:Description": "Listings and reviews of movies and television series, as well as information and theories about vampires.", "topic": "Top/Arts/Genres/Horror/Vampires", "url": "http://www.vampyreverse.com/"} +{"d:Title": "Famous Mormon Vampires", "d:Description": "Affectionate list of famous Mormon vampires (or people who are nearly so), including Buffy star Eliza Dushku and shock rocker Alice Cooper.", "topic": "Top/Arts/Genres/Horror/Vampires/Arts_and_Entertainment", "url": "http://www.adherents.com/lit/famous_lds_vampires.html"} +{"d:Title": "Dracula's Ball", "d:Description": "National gathering of vampire enthusiasts, held in a nightclub setting. Features live bands, DJs, vendors and general information.", "topic": "Top/Arts/Genres/Horror/Vampires/Arts_and_Entertainment", "url": "http://www.draculasball.com/"} +{"d:Title": "Vampyre Queen of the Week", "d:Description": "A new Vampyre Queen chosen Sundays from scream queens, writers, webmistresses. Plus vampire art and poetry.", "topic": "Top/Arts/Genres/Horror/Vampires/Arts_and_Entertainment", "url": "http://vampq.tripod.com/"} +{"d:Title": "La Commedia del Sangue - Vampyr Theatre", "d:Description": "Information on the theatre that ran in New York City from 1992-1993.", "topic": "Top/Arts/Genres/Horror/Vampires/Arts_and_Entertainment/Theater", "url": "http://userweb.cybernex.net/vampyr/"} +{"d:Title": "alt.vampyres", "d:Description": "Newsgroup for the discussion of vampires in fiction. Includes discussion of vampire theory, such as mythology, psychology, physiology, and relation of the vampire to modern-day human life, and the distribution of original fiction, such as stories, poetry, or prose, which lie within the vampire realm.", "topic": "Top/Arts/Genres/Horror/Vampires/Chats_and_Forums", "url": "http://www.altvampyres.net/newsgroup/"} +{"d:Title": "The Vampire's Kiss", "d:Description": "An online vampire community with chat (voice and text), message boards, free email accounts.", "topic": "Top/Arts/Genres/Horror/Vampires/Chats_and_Forums", "url": "http://thevampskiss.8m.com/"} +{"d:Title": "Vampyre Magazine Forums", "d:Description": "Forum for a variety of chat.", "topic": "Top/Arts/Genres/Horror/Vampires/Chats_and_Forums/Chat", "url": "http://sanguinarium41200.yuku.com/"} +{"d:Title": "Blessed Be Vampiric Nuptuals", "d:Description": "Allowing the Super Natural to bond with others of their ability, to make an eternal \"LOCK\" together.", "topic": "Top/Arts/Genres/Horror/Vampires/Chats_and_Forums/Chat", "url": "http://vampiric.tripod.com/index.html"} +{"d:Title": "#Alt.Vampyres", "d:Description": "Sister channel to the newsgroup alt.vampyres. Includes denizens, meetings, history and links.", "topic": "Top/Arts/Genres/Horror/Vampires/Chats_and_Forums/IRC", "url": "http://www.altvampyres.net/channel/"} +{"d:Title": "Lilith's Lair", "d:Description": "Forums for dark artists, real vampires, and vampire fans. Chat about the seductive vampire and share fantasies in the Vamp Erotica Forum. A place to find donors, ask questions, share knowledge and make new friends.", "topic": "Top/Arts/Genres/Horror/Vampires/Chats_and_Forums/Message_Boards", "url": "http://lilithslairmessageforums.yuku.com/"} +{"d:Title": "Blood Rose Forest", "d:Description": "Forum for mortal vampires, blood suckers and their artistic creations. Role play as well for Anne Rice's the Vampire Chronicles and original plots.", "topic": "Top/Arts/Genres/Horror/Vampires/Chats_and_Forums/Message_Boards", "url": "http://bloodroseforest.proboards.com/"} +{"d:Title": "Bite Me Magazine", "d:Description": "Vampire and paranormal information. Featuring real-life, historical, movie and literature vampires.", "topic": "Top/Arts/Genres/Horror/Vampires/Magazines_and_E-zines", "url": "http://www.bitememagazine.com/"} +{"d:Title": "Queer Vampires", "d:Description": "A site devoted to gay, lesbian, bisexual and trans-gendered vampires. Contains book and movie reviews, stories, pictures, links and general information.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.queerhorror.com/qvamp"} +{"d:Title": "Element_of_water", "d:Description": "Enter a world of a mixed up human, trapped between reality and dreams.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://element_of_water.tripod.com/"} +{"d:Title": "Cloudy's", "d:Description": "Vampires, Lilith, artwork, poetry, friends.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/ky/corowa/"} +{"d:Title": "Crypt of Vampires", "d:Description": "Lists books, theater, gallery, web ring and links.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.katyberry.com/Vampires/"} +{"d:Title": "Vampire Moon", "d:Description": "This is a book on about the vampire named Vern.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.fright.com/vmoon/"} +{"d:Title": "Darkside", "d:Description": "Vampires, Voodoo, Witchcraft, Cemeteries", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/ma/lillianna3/index.html"} +{"d:Title": "DarkAngel's Haven", "d:Description": "I am the DarkAngel,Slayer of Slayers, Reeping death upon those who betray me, Or my kind of the Lasombra, The DarkSouls", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/stars/Lasombra/"} +{"d:Title": "The Encyclopedia", "d:Description": "Features news, reviews, stories, pictures, archives and links.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://shwa96.tripod.com/"} +{"d:Title": "Federal Vampire and Zombie Agency", "d:Description": "Spoof agency responsible for controlling the nation's vampire and zombie populations from 1868 to 1975. Features academy, director profile, agency background and creature information.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.fvza.org/"} +{"d:Title": "Vampire Junction", "d:Description": "Art, writtings, shopping, and links.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.afn.org/~vampires/"} +{"d:Title": "Vampire Connection", "d:Description": "Anne Rice information, characters, fan fiction, novels, films and movies, roleplaying and message boards.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://connection.waking-vision.com/"} +{"d:Title": "Kat's Everything Page", "d:Description": "My name is Kat and this is my home page with all my Vampire and role playing links attatched.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/rpg/KittyKat/"} +{"d:Title": "Lady of the Damned's Coven", "d:Description": "This page is about Lady of the Damned. It contains poems, short stories, and SPECS based on Anne Rice's Vampires and her Chronicles. All are welcome both mortal and immortal. Embrace both the light and the night.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/ab/LusciousVampire/index.html"} +{"d:Title": "Mabelyn Arteaga - Scarlet Sanctuary", "d:Description": "Photographs, Sketches, Poems, Live-Action Vampire Theatre, Film.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://mabelyn.com/"} +{"d:Title": "Vampire", "d:Description": "Offers information and history about vampires including theories on creation, death, types, and names. Specializing in Ricean beliefs.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://cm1265.tripod.com/"} +{"d:Title": "Vampirism", "d:Description": "History of vampires, message board, personal views.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://spikysquirrel.tripod.com/presentation.htm"} +{"d:Title": "Veyanne's Fear", "d:Description": "Veyanne balances the mundane aspects of life with a darker nightlife. Information on the Vampyre clan Vitae Dominae.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/weird/veyanne/"} +{"d:Title": "The Vampire Hunter's Guild", "d:Description": "Reviews of movies, books, music, games and other horror-related media, articles on vampire mythology and history.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://angelfire.com/vt/vhuntguild13"} +{"d:Title": "Tenebrous Kate's Home Page", "d:Description": "Get your dose of Art, Gothic, and Horror info and humor--test your Gothic Quotient, get audited for Vampirism, and check out the lovely and talented Horror Divas!", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://tenebrouskate.tripod.com/"} +{"d:Title": "Kiss of the Vampire", "d:Description": "LJ Smith and other Vampire fan fiction, original fiction, poetry, art, and contests for writing.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://kissofthevampire.bravepages.com/"} +{"d:Title": "Tinsil86's homepage", "d:Description": "Vampires and stories of 86. The dimension they don't want you to know about. Also, another story I wrote on Gothic freaks in the world, and love-hate relationships.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/co/Tinsil86/"} +{"d:Title": "Pain's Palace", "d:Description": "This Fantasy World Is Where My Mind Can Grow, and Enjoy. A world Of Vampires, Love, Anger, Frustration, Desire, And Sorrow.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/pa2/PainsPalace/"} +{"d:Title": "Chylder's Lair", "d:Description": "Free-form online fantasy roleplaying, fantasy art and vampire pictures, poetry and prose.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/mo2/ChyldersLair/Lair.html"} +{"d:Title": "Brider - Vampire Hunter", "d:Description": "Message forums and a web journal keep visitors informed and included in the search for knowledge.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/falcon/hunterid/"} +{"d:Title": "Xavier Cross' Vampire", "d:Description": "Take the vampire probability test.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.angelfire.com/scifi/xaviercross/"} +{"d:Title": "Book of the Dead", "d:Description": "Mainly dealing with Vampire: The Masquerade. It also has poetry and photographs.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://minos333.tripod.com/index-4.html"} +{"d:Title": "Powers Court", "d:Description": "Mausoleum de Sangue entrance.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://www.powers-court.com/"} +{"d:Title": "Dreams and Visions of an Invisible Boy", "d:Description": "Simple page with some great links.", "topic": "Top/Arts/Genres/Horror/Vampires/Personal_Pages", "url": "http://invisibletan.tripod.com/index.html"} +{"d:Title": "Cafe de Minuit", "d:Description": "List of vampire fiction, clubs and music.", "topic": "Top/Arts/Genres/Horror/Vampires/Resources/Directories", "url": "http://www.cafedeminuit.com/"} +{"d:Title": "Melinda Hayes' Vampire Resource Page", "d:Description": "Bibliography, literature links, journals, artwork and vampires in movies, television, literature and music.", "topic": "Top/Arts/Genres/Horror/Vampires/Resources/Directories", "url": "http://www-lib.usc.edu/~melindah/vampire.htm"} +{"d:Title": "Queer Vampires", "d:Description": "A sanguinary feast for gays, lesbians, bisexuals and the transgendered. Learn about queer movies, books and sites, read stories, see pictures or talk with others.", "topic": "Top/Arts/Genres/Horror/Vampires/Resources/Directories", "url": "http://www.queerhorror.com/qvamp"} +{"d:Title": "Deaths Domain", "d:Description": "Vampires in movies, television, and literature, also the slayers and links.", "topic": "Top/Arts/Genres/Horror/Vampires/Resources/Mythology", "url": "http://www.angelfire.com/tx2/DeathsDomain/index.html"} +{"d:Title": "The Dracula Library", "d:Description": "Access to the online catalog of the large collection on vampires at CESNUR Library; scholarly articles and news on vampires, religion, and culture", "topic": "Top/Arts/Genres/Horror/Vampires/Resources/Mythology", "url": "http://www.cesnur.org/dracula_library.htm"} +{"d:Title": "Everything You Need to Know About Vampires", "d:Description": "Dedicated to the study of vampires in a literary and historical context. Includes folklore, medical knowledge, vampires in popular culture, movie and book reviews, personal fiction and academic essays.", "topic": "Top/Arts/Genres/Horror/Vampires/Resources/Mythology", "url": "http://www.angelfire.com/tn/vampires/index.html"} +{"d:Title": "Vampyric Lore, Fact, and Fiction", "d:Description": "Vampyres mailing list for vampyric lore, fact, and fiction.", "topic": "Top/Arts/Genres/Horror/Vampires/Resources/Mythology", "url": "http://www.the-institute.net/vampyres/"} +{"d:Title": "Darklands", "d:Description": "Webring with 2 sites.", "topic": "Top/Arts/Genres/Horror/Vampires/Web_Rings", "url": "http://www.angelfire.com/ca2/darklandsAD/darklands.html"} +{"d:Title": "Web of Darkness", "d:Description": "Webring with 3 sites.", "topic": "Top/Arts/Genres/Horror/Vampires/Web_Rings", "url": "http://members.tripod.com/Madame_Mari/darkness.html"} +{"d:Title": "Vampyres' Empire", "d:Description": "Webring with 30 sites.", "topic": "Top/Arts/Genres/Horror/Vampires/Web_Rings", "url": "http://www.webring.org/hub?ring=tvcwr"} +{"d:Title": "Vampyres: The Ring", "d:Description": "Webring with 270 sites.", "topic": "Top/Arts/Genres/Horror/Vampires/Web_Rings", "url": "http://www.webring.org/hub?ring=vampring/"} +{"d:Title": "Zombiepedia", "d:Description": "Wiki devoted to the undead, defense procedures during an outbreak, and scientific analysis of the zombie phenomenon.", "topic": "Top/Arts/Genres/Horror/Zombies", "url": "http://zombie.wikia.com/wiki/Zombie_Wiki"} +{"d:Title": "Wikipedia: Zombie", "d:Description": "Hyperlinked encyclopedia article about zombie legends including folk beliefs and their origins around the world, and the zombie in modern fiction.", "topic": "Top/Arts/Genres/Horror/Zombies", "url": "http://en.wikipedia.org/wiki/Zombie"} +{"d:Title": "Buy Zombie", "d:Description": "Zombie related news, reviews, and products.", "topic": "Top/Arts/Genres/Horror/Zombies", "url": "http://www.buyzombie.com/"} +{"d:Title": "Zombies Run!", "d:Description": "Only a few have survived the zombie epidemic. Play as a runner en-route to one of humanity\u2019s last remaining outposts. They need help to gather supplies, rescue survivors, and defend their home.", "topic": "Top/Arts/Genres/Horror/Zombies/Arts_and_Entertainment/Video_Games", "url": "https://zombiesrungame.com/"} +{"d:Title": "Scifispace.com", "d:Description": "Science fiction, fantasy and horror site for news, information, chats, a calendar of events, and free email.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://scifispace.com/"} +{"d:Title": "Xenite.org", "d:Description": "Science fiction and fantasy essays, mailing lists, discussion forums, FAQs, link directories, archived discussions, news, and commentary.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.xenite.org/"} +{"d:Title": "Slacker's Sci-Fi Source", "d:Description": "News and reviews, games, message board, contests, and postcards.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.scifislacker.com/"} +{"d:Title": "War Of The Worlds", "d:Description": "An indepth look at The War Of The Worlds, from the original H. G. Wells novel to the Orson Welles radio broadcast and beyond.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.war-ofthe-worlds.co.uk/"} +{"d:Title": "Which Character Are You?", "d:Description": "A personality test that matches your responses with a fantasy or science fiction character.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.tk421.net/character/"} +{"d:Title": "The Log Book", "d:Description": "Includes episode guides, movie reviews, and dvd reviews for television and films. Also games and music information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.thelogbook.com/"} +{"d:Title": "Sci-Fi Heaven", "d:Description": "Discussion and news on titles related to the genre.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.scifiheaven.net/"} +{"d:Title": "Screen Rant", "d:Description": "News and reviews on science-fiction movies and television.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://screenrant.com/"} +{"d:Title": "FantaFiction", "d:Description": "Information on genre books, authors, movies and television.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.fantafiction.com/"} +{"d:Title": "Destiny's End", "d:Description": "Collection of galleries and libraries of several artists and writers.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.angelfire.com/sd2/slipstream/index.html"} +{"d:Title": "SciFiChick", "d:Description": "News, reviews, art gallery, and a forum of topics related to the genre.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://scifichick.com/"} +{"d:Title": "Alien Almanac", "d:Description": "Information, articles, reviews, new releases, developments, and merchandise for fans of genres.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.alienalmanac.com/"} +{"d:Title": "Great Science-Fiction&Fantasy Works", "d:Description": "Lists and discusses fantasy and SF authors and their works on the basis of literary merit, not genre standards.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.greatsfandf.com/"} +{"d:Title": "RevolutionSF", "d:Description": "An online magazine and community, dedicated to celebrating the best in science fiction, comics, and gaming. Site features forum, merchandising, news, reviews, humor, features, interviews and fiction.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.revolutionsf.com/"} +{"d:Title": "Usenet FAQs - Science Fiction", "d:Description": "A collection of questions listed by various science fiction related newsgroups.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.faqs.org/faqs/sf/"} +{"d:Title": "Titanium Knights", "d:Description": "Site featuring diverse content including science fiction and fantasy stories, art, and comics by Steve Wexler.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.titaniumknights.net/"} +{"d:Title": "MORAV", "d:Description": "Live action giant robot science fiction series.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://morav.net/"} +{"d:Title": "SFF World", "d:Description": "Reviews, articles, online stories, serials, synopses, forums, and weblogs.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.sffworld.com/"} +{"d:Title": "Syfy", "d:Description": "News, reviews, games, and shopping from the Syfy channel.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.syfy.com/"} +{"d:Title": "The Lore Merchant", "d:Description": "Library of fiction and poetry, role-playing game and television reviews.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://www.loremerchant.com/"} +{"d:Title": "The Ravensnest", "d:Description": "TV episode information, details on horror movies, downloadable games, and an e-zine on government conspiracies.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy", "url": "http://myplace.frontier.com/~jimraven/ravensnest.htm"} +{"d:Title": "The Jedi Girl Internet Community", "d:Description": "Message board, articles, and features for female fans of the genres.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.jedigirl.com/"} +{"d:Title": "Outpost10f: Ten Forward", "d:Description": "Star Trek role-playing chat.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.outpost10f.com/tenforward"} +{"d:Title": "Tangent21", "d:Description": "Discussions covering the genres and cult TV.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.tangent21.com/"} +{"d:Title": "SF Fandom", "d:Description": "Moderated discussion forums for fans of science fiction, fantasy, history, and mythology. Forums cover books, movies, television shows, and actors.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.sf-fandom.com/"} +{"d:Title": "Outpost10f: D'Deridex", "d:Description": "Star Trek Romulan themed role-playing chat.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.outpost10f.com/dderidex"} +{"d:Title": "Outpost10f: Rotarran", "d:Description": "Star Trek Klingon themed role-playing chat.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.outpost10f.com/rotarran"} +{"d:Title": "The Website at the End of the Universe", "d:Description": "Community and weblog covering the genres with news and links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.theendoftheuniverse.ca/"} +{"d:Title": "Cool SciFi", "d:Description": "Offers forums, a media gallery, an arcade, and a smilie creator.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.coolscifi.com/"} +{"d:Title": "Mythic Folk Treeboards", "d:Description": "Community treeboards for fans of speculative and mythopoeic genres, aimed at discussing mythic,cultural, or spiritual contexts in science fiction, fantasy, and popular culture.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.mythopoetica.com/"} +{"d:Title": "Chronicles Network", "d:Description": "Portal to forums focusing on science fiction and fantasy interests in all media. History, science and nature, world affairs and humour.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.sffchronicles.co.uk/"} +{"d:Title": "The Portal: Science Fiction and Fantasy Community", "d:Description": "Community with a variety of forums from Star Wars to Wheel of Time.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://theportal50003.yuku.com/"} +{"d:Title": "The Sci-Fi Alliance", "d:Description": "Message board with topics including Babylon 5, Star Wars, Farscape, Star Trek, Buffy, Xena and Enterprise.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://scifialliance9477.yuku.com/"} +{"d:Title": "The World of Tribius", "d:Description": "Role playing forum.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://tribius.proboards.com/"} +{"d:Title": "SciFi Link", "d:Description": "Links organized by related television show or movie.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Directories", "url": "http://www.scifilink.com/"} +{"d:Title": "Sci-Fi Source", "d:Description": "Sites hand picked by a group of editors. Also covers fantasy, horror and paranormal links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Directories", "url": "http://www.scifisource.com/"} +{"d:Title": "Fan Data", "d:Description": "A searchable listing of fans and Sci-Fi related businesses.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Directories", "url": "http://www.fandata.com/"} +{"d:Title": "Speculative Vision Resource Network", "d:Description": "A search engine for genre and writing related sites.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Directories", "url": "http://speculativevision.com/network/"} +{"d:Title": "SciFi Stars", "d:Description": "Information pages about stars from television and film. Slow loading front page.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Directories", "url": "http://www.scifistar.com/"} +{"d:Title": "Galaxyman", "d:Description": "A science fiction portal with emphasis on space science and astronomy.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Directories", "url": "http://www.galaxyman.com/"} +{"d:Title": "Desert Realm", "d:Description": "Search engine that specializes in genre websites.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Directories", "url": "http://www.desertrealm.com/"} +{"d:Title": "The Slan Shack", "d:Description": "A list of fen with public home pages.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://dpsinfo.com/sf/slan.html"} +{"d:Title": "Swill Online", "d:Description": "The twentieth anniversary revival of the magazine Swill, originally published in 1981, which is devoted to attacking science fiction fandom.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://members.tripod.com/swill_2001/"} +{"d:Title": "The Fanac Fan History Project", "d:Description": "An archive of texts, photographs and artwork relating to the history, development, people and culture of science fiction fandom.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://www.fanac.org/"} +{"d:Title": "New York City Bombing Check-In Registry", "d:Description": "Links to a variety of public and private check-in services. Includes a list of New York City SF fans who are known to have survived the bombings.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://www.shunn.net/okay/"} +{"d:Title": "Chaz \"Hazel\" Boston Baden's Home Page", "d:Description": "Includes message board, picture galleries, essays on fandom, and a few links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://www.boston-baden.com/hazel/"} +{"d:Title": "The Dr\u00e4kenfire Club", "d:Description": "Genre club meeting primarily through online correspondence.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://www.angelfire.com/al2/Drakenfire/"} +{"d:Title": "The Voices Of Fandom", "d:Description": "Audio and video segments, including interviews, filk songs, and contributed content. Includes podcast archive and contribution links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://thevoicesoffandom.com/"} +{"d:Title": "Science Fiction FanSpeak Dictionary", "d:Description": "A short dictionary of fanspeak.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://www.stilyagi.org/content/fanspeak-dictionary"} +{"d:Title": "Ansible Home Page", "d:Description": "Dave Langford's fandom site, with the news fanzine Ansible and writings by other fans.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom", "url": "http://news.ansible.uk/"} +{"d:Title": "SciFi Conventions", "d:Description": "Newsletter and listing of upcoming conventions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.scificonventions.com/"} +{"d:Title": "Creation Entertainment", "d:Description": "Star Trek, Hercules/Xena, and other science fiction conventions. (CC)", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.creationent.com/"} +{"d:Title": "Corflu", "d:Description": "An annual fanzine fan convention, usually in February. Site includes membership, location, and hotel information for the current convention, and history of past conventions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.corflu.org/"} +{"d:Title": "Other Worlds Cafe", "d:Description": "An old pre-internet science fiction club and literary discussion group which started on AOL.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.other-worlds-cafe.com/"} +{"d:Title": "Wikipedia: List of science fiction conventions", "d:Description": "List of conventions, with Wikipedia article, location, date, and URL.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://en.wikipedia.org/wiki/List_of_science_fiction_conventions"} +{"d:Title": "Convention Finder", "d:Description": "Finds local conventions in U.S. and Canada by postal code.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://containment.greententacles.com/"} +{"d:Title": "Fanboy's Convention List", "d:Description": "Convention list covering a little bit of everything from Science Fiction, Fantasy, Horror, and Gaming.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.fanboyslist.com/"} +{"d:Title": "Upcoming Cons", "d:Description": "Lists of upcoming fan conventions (anime, sci-fi, games, comics, etc.), including dates, locations, and links to official websites.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.upcomingcons.com/"} +{"d:Title": "SuperMegafest Comicon", "d:Description": "Annual pop culture convention in Boston, MA.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.newenglandsupermegafest.com/"} +{"d:Title": "DragonConTV", "d:Description": "Featuring original video content created for the Dragon*Con sci-fi/fantasy convention.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations", "url": "http://www.dragoncontv.org/"} +{"d:Title": "Eurocon-2006", "d:Description": "28th European Science Fiction Convention. Includes guests, registration information and prices, and information about the facilities and hotels. Kiev, Ukraine, April 13 to April 16 2006.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional", "url": "http://www.eurocon.kiev.ua/"} +{"d:Title": "North American Science Fiction Convention (NASFiC)", "d:Description": "An infrequently held North American convention whenever the Worldcon is held outside of North America.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional", "url": "http://www.nasfic.org/"} +{"d:Title": "Hobby Star Marketing", "d:Description": "Company runs comic, SF, and anime conventions in Montreal, Toronto, and Boston. Information on upcoming conventions and pictures from past conventions. Located in Toronto.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional", "url": "http://www.hobbystar.com/"} +{"d:Title": "Westercon", "d:Description": "An annual convention held in North America west of the Rocky Mountains. Links to web sites of previous and upcoming conventions, bids, history, and bylaws.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional", "url": "http://www.westercon.org/"} +{"d:Title": "TAFF (Trans-Atlantic Fan Fund)", "d:Description": "Organization to encourage communication between North American and Europe by supplying funds for fans from one continent to visit conventions in the other. Site includes history, ballots, trip reports, and links to other funds.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional", "url": "http://taff.org.uk/"} +{"d:Title": "RosCon 2006", "d:Description": "Includes costs (inclusive of lodging) and location information. Moscow, Russia, March 16-19, 2006.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional", "url": "http://www.convent.ru/roscon/2006/eindex.html"} +{"d:Title": "European Science Fiction Society", "d:Description": "Organisation of SF professionals and fans promoting Science Fiction in Europe and European Science Fiction worldwide. Holds a convention (Eurocon) at least every two years, and administrates the European SF Awards. Includes links to national groups.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional", "url": "http://www.esfs.info/"} +{"d:Title": "Conflux", "d:Description": "Australian national SF convention, located in Canbarra.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Australia", "url": "http://www.conflux.org.au/"} +{"d:Title": "Supernova Pop Culture Expo", "d:Description": "Convention in Sydney, Australia.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Australia", "url": "http://www.supanova.com.au/"} +{"d:Title": "Swancon", "d:Description": "Annual science fiction convention and writers' festival. In Perth, Western Australia. Includes events, list of guests, gaming programme, and membership information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Australia", "url": "https://2013.swancon.com.au/"} +{"d:Title": "Keycon 20", "d:Description": "Events, contact list, and hotel, video, gaming, guest, and membership information for Keycons 17-20. Winnipeg, Manitoba.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Canada", "url": "http://keycon2003.tripod.com/keycon2003/"} +{"d:Title": "Canadian Browncoats", "d:Description": "Firefly/Serenity Canada-wide fan group. Includes meeting announcements, photos, and a Yahoo group.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Canada", "url": "http://www.canadianbrowncoats.com/"} +{"d:Title": "TCON Promotional Society", "d:Description": "Presents Polaris, held annually in Toronto in July. Includes information on the current convention, and message board.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Canada", "url": "http://www.tcon.ca/"} +{"d:Title": "Keycon", "d:Description": "Annual science fiction and fantasy convention held in Winnipeg, Manitoba in May. Includes information on registration, hotels, guests, programming, art show, dealers, and volunteers.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Canada", "url": "http://www.keycon.org/"} +{"d:Title": "V-Con", "d:Description": "Annual science fiction, fantasy, and gaming convention in Vancouver, British Columbia, area in October.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Canada", "url": "http://www.vcon.ca/"} +{"d:Title": "USS Hudson Bay", "d:Description": "Events calendar, members, history, links, photo gallery and mission statement for a multimedia fan club based in Toronto, Ontario.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Canada", "url": "http://idic.ca/"} +{"d:Title": "Fantastik", "d:Description": "Association for the fantastic genres in all media (Danish/English). Located in Copenhagen.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Denmark", "url": "http://www.fantastik.dk/"} +{"d:Title": "Fantasticon", "d:Description": "Convention held in Copenhagen.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Denmark", "url": "http://fantasticon.dk/"} +{"d:Title": "Finncon", "d:Description": "Information about the national Finnish SF convention, held annually.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Finland", "url": "http://www.finncon.org/"} +{"d:Title": "Partial Recall", "d:Description": "Weblog with news and pictures from Finnish fandom. Maintained by Tero Ykspet\u00e4j\u00e4.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Finland", "url": "http://partialrecall.blogspot.com/"} +{"d:Title": "Israeli Society for Science fiction and Fantasy", "d:Description": "Activities, membership information, news, and links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Israel", "url": "http://www.sf-f.org.il/"} +{"d:Title": "Zero-CON", "d:Description": "39th national convention. Includes videos of some events. [English/Kanji]", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Japan", "url": "http://www.cute.or.jp/sf39/zerocon/"} +{"d:Title": "OdysseyCon", "d:Description": "News, accommodations, charity introduction, registration, events calendar, information on guests Mercedes Lacky, Larry Dixon, Lyn McConchi, and Brian Howell for a general Science Fiction and Fantasy Convention. April 13-16, 2001, Auckland.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/New_Zealand", "url": "http://odyssey-con.sf.org.nz/"} +{"d:Title": "NZSFW", "d:Description": "For the promotion of science fiction and fantasy writing in New Zealand.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/New_Zealand", "url": "http://www.sffanz.org.nz/"} +{"d:Title": "Upsalafandom", "d:Description": "Science Fiction club located in Upsala. Monthly meetings, annual convention, E-mailing list. [Mostly in Swedish]", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Sweden", "url": "http://upsala.fandom.se/"} +{"d:Title": "The Alvar Appeltofft Memorial Foundation", "d:Description": "Runs fandom award \"for extensive and important idealistic work of lasting worth to Swedish science fiction fandom\". One page in English.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/Sweden", "url": "http://www.alvarfonden.org/"} +{"d:Title": "LOTNA: The League of the Non-Aligned", "d:Description": "Sci-Fi and cult TV fan group, based in London. Site has pictures and descriptions of outings, meeting schedules, movies, a monthly newsletter, and contact information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://lotna.org.uk/"} +{"d:Title": "Paragon - Eastercon 2001", "d:Description": "British National Science Fiction Convention. Hinckley, Leicestershire. Contains highlights and quotes from the program.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://www-users.cs.york.ac.uk/~susan/sf/cons/e2001.htm"} +{"d:Title": "BSFA", "d:Description": "The British Science Fiction Association.", "priority": "1", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://www.bsfa.co.uk/"} +{"d:Title": "2Kon - Eastercon 2000", "d:Description": "The 51st British National Science Fiction Convention, held in Glasgow. Contains program highlights, masquerade pictures, and excerpts from Guy Gavriel Kay's Guest of Honour speech.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://www-users.cs.york.ac.uk/~susan/sf/cons/e2000.htm"} +{"d:Title": "Convoy - Eastercon 2007", "d:Description": "The 58th British national science fiction convention, held in Liverpool, April 6-9, 2007.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://convoy.org.uk/"} +{"d:Title": "TV21", "d:Description": "A convention run by Fanderson, the official club for Gerry Anderson, the producer of cult shows such as Thunderbirds, Captain Scarlet, Space:1999 and UFO. Held in Bristol, October 2010.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://tv21.weebly.com/"} +{"d:Title": "The British Fantasy Society", "d:Description": "An organization dedicated the genres of fantasy, science fiction and horror across all media. Includes links to fiction, authors, artists, small press and independent publishers, conventions and provides writer's resources.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://www.britishfantasysociety.co.uk/"} +{"d:Title": "The Science Fiction Book Club", "d:Description": "Meets in central London and open to those who enjoy discussing the themes and issues found in science fiction novels.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_Kingdom", "url": "http://www.meetup.com/The-Science-Fiction-Book-Club/"} +{"d:Title": "Northwest Science Fiction Resources", "d:Description": "Fan calendar, and listings of conventions, clubs, mailing lists, bookstores, and links in the Pacific Northwest.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States", "url": "http://www.sfnorthwest.org/"} +{"d:Title": "Northeast Science Fiction Clubs", "d:Description": "A listing and contact information for clubs in the Northeast.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States", "url": "http://www.nesfa.org/neclubs.html"} +{"d:Title": "Dawn Patrol", "d:Description": "Club for science fiction and space-aviation enthusiasts.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States", "url": "http://www.midamericon.org/dawnpatrol/"} +{"d:Title": "Kevin's Con Page", "d:Description": "A regional listing of science fiction conventions, focusing on the Midwest.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States", "url": "http://sffan.net/cons/"} +{"d:Title": "Mobicon", "d:Description": "Annual fantasy and gaming convention in Mobile. Features roleplaying, board, miniature, computer, and collectible card games, live action roleplaying, and anime rooms.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Alabama", "url": "http://www.mobilecomiccon.org/"} +{"d:Title": "LepreCon, Inc.", "d:Description": "A fan-run generalist Science Fiction and Fantasy convention group, stressing Art, that occurs in the greater Phoenix area. Includes previous, future, and current convention information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Arizona", "url": "http://www.leprecon.org/"} +{"d:Title": "Central Arizona Speculative Fiction Society", "d:Description": "Events and convention information for CASFS, a non-profit organization promoting Science Fiction and Fantasy in Arizona.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Arizona", "url": "http://www.casfs.org/"} +{"d:Title": "The Dark Ones", "d:Description": "Organization formed for the expression and exploration of cultural themes including the Dark Ages and the Renaissance through forms of art. Site features new and past events, and contact information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Arizona", "url": "http://www.darkones.org/"} +{"d:Title": "FiestaCon", "d:Description": "WesterCon 62, held in Tempe, July 2-5, 2009. Includes registration, member and staff lists, and programming information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Arizona", "url": "http://www.leprecon.org/w62/"} +{"d:Title": "CopperCon Sci-Fi/Fantasy Convention", "d:Description": "Annual event in Mesa, AZ, USA.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Arizona", "url": "http://www.casfs.org/cucon/"} +{"d:Title": "The United Federation of Phoenix", "d:Description": "The UFP is a Star Trek and general Science Fiction fan club local to the greater Phoenix area.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Arizona", "url": "http://www.sftv.org/ufp/"} +{"d:Title": "TusCon", "d:Description": "The annual Tucson area Science Fiction and Fantasy Convention.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Arizona", "url": "http://tusconscificon.com/"} +{"d:Title": "Los Angeles Science Fantasy Society (LASFS)", "d:Description": "General information on the North Hollywood group that runs Loscon, an annual convention, which takes place in southern California. Weekly social and business meetings.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.lasfsinc.info/"} +{"d:Title": "ConDor", "d:Description": "A media and literature convention that focuses on general science fiction and fantasy. San Diego area.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.condorcon.org/"} +{"d:Title": "The San Francisco Bay Area Science Fiction Association (BASFA)", "d:Description": "Social group with weekly meetings. Includes location, schedule, and information on the pun tax rule.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.basfa.org/"} +{"d:Title": "BayCon", "d:Description": "General science fiction and fantasy convention, held in the San Francisco Bay area on memorial day weekend.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.baycon.org/"} +{"d:Title": "LosCon", "d:Description": "Held annually on Thangsgiving weekend in the Los Angeles area. Includes information on memberships, guests of honor, and hotel for the next convention, links for past conventions, and a history of LosCon.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.loscon.org/"} +{"d:Title": "San Diego Speculative Fiction Society, Inc. (SanSFiS)", "d:Description": "Local science fiction group. Includes conventions run, and a quarterly directory of local fan activities.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.sansfis.org/"} +{"d:Title": "San Francisco Science Fiction Conventions, Inc.", "d:Description": "Runs local science fiction conventions. Includes list of conventions (past, upcoming, and bids), meeting schedule, minutes, and membership.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://sfsfc.org/"} +{"d:Title": "Orange County Science Fiction Club", "d:Description": "Monthly meetings in Orange, mailing list, and event photos.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://ocsfc.org/"} +{"d:Title": "Potlatch", "d:Description": "A small, discussion-oriented science fiction convention which takes place in February.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.potlatch-sf.org/"} +{"d:Title": "Convolution", "d:Description": "Annual sci-fi/fantasy/media convention in the San Francisco Area in September. Includes membership information, guest list, and information from previous conventions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://www.con-volution.com/"} +{"d:Title": "Eaton Science Fiction Conference", "d:Description": "Annual academic convention, usually held at the University of California, Riverside.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/California", "url": "http://eatonconference.ucr.edu/"} +{"d:Title": "MileHiCon", "d:Description": "MileHiCon, held in October of each year in the greater Denver area, is the largest Science-Fiction Literary Convention in the Rocky Mountain Region.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Colorado", "url": "http://www.milehicon.org/"} +{"d:Title": "Opus", "d:Description": "A Denver fantasy convention offering live music, workshops, demonstrations, competitions, open mikes, gaming, a variety of merchants, and an art sale.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Colorado", "url": "http://www.opusfest.com/"} +{"d:Title": "MegaCon", "d:Description": "News, program, guests, directions, and general information for this multimedia oriented convention in Orlando.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Florida", "url": "http://www.megaconvention.com/"} +{"d:Title": "OASFiS", "d:Description": "Bylaws, membership, and officers for the Orlando Area Science Fiction Society, Inc., and information on OASIS, their annual convention, held in May.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Florida", "url": "http://www.oasfis.org/"} +{"d:Title": "Spooky Empire", "d:Description": "Science fiction and horror conventions in South Florida.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Florida", "url": "http://www.spookyempire.com/"} +{"d:Title": "Stone Hill SF Association", "d:Description": "The oldest SF club in the Tampa Bay area. Meetings once a month.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Florida", "url": "http://www.stonehill.org/"} +{"d:Title": "Dragon*Con", "d:Description": "Pictures, articles, guest listing, news, membership and hotel information, special events, history, and table information for this large convention for genre and horror, comics, and game fans. Held annually in August/September at Atlanta.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Georgia", "url": "http://dragoncon.org/"} +{"d:Title": "WindyCon", "d:Description": "Chicago area (Lombard) military science fiction convention during mid-November.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Illinois", "url": "http://www.windycon.org/"} +{"d:Title": "DucKon", "d:Description": "Programming, events, policies, art show, and history with registration, hotel, contact, and vendor information. Held annually in Naperville during June.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Illinois", "url": "http://www.duckon.org/"} +{"d:Title": "Psi Phi", "d:Description": "Science fiction club of Bradley University in Peoria. Club information and resources.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Illinois", "url": "http://www.bradley.edu/campusorg/psiphi/"} +{"d:Title": "Capricon", "d:Description": "Information on annual science fiction, fantasy, and speculative fiction convention held during February, in Chicago.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Illinois", "url": "http://www.capricon.org/"} +{"d:Title": "Archon", "d:Description": "St. Louis Science Fiction hosts the convention held annually in Collinsville, Illinois, near St. Louis, Missouri. Large gaming section. Information on previous and future Archons, as well as a NASFiC bid.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Illinois", "url": "http://www.archonstl.org/"} +{"d:Title": "InConJunction", "d:Description": "Science fiction/fantasy convention with a strong gaming and anime tracks. Indianapolis.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Indiana", "url": "http://www.inconjunction.org/"} +{"d:Title": "DemiCon", "d:Description": "Features writers' workshop, filk and folk music held in May in Des Moines. Contains list of GoHs, programming outline, directions, hotel reservation form.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Iowa", "url": "http://www.demicon.org/"} +{"d:Title": "ICON", "d:Description": "Program, guests, registration, hotel information, history, contact information, and trivia are available on this page about the yearly convention held in Coralville.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Iowa", "url": "http://www.iowa-icon.com/"} +{"d:Title": "LexFA", "d:Description": "Lexington SF and Fantasy Association, meets second Sunday each month. Includes articles, reviews, convention links and mailing list.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Kentucky", "url": "http://www.lexfa.org/"} +{"d:Title": "Od Con 2K2", "d:Description": "Odyessy Con -- program and introduction to the pop culture festival. \"Scenes from last year's show\".", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Kentucky", "url": "http://www.angelfire.com/scifi/odcon/"} +{"d:Title": "Balticon", "d:Description": "Program, registration, and information for this annual convention in Maryland.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Maryland", "url": "http://www.balticon.org/"} +{"d:Title": "Baltimore Science Fiction Society", "d:Description": "Extensive science fiction and fantasy resources in the forms of lists, history, and links, kept by this Maryland area fan group. Also hosts Balticon.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Maryland", "url": "http://www.bsfs.org/"} +{"d:Title": "Shore Leave", "d:Description": "Registration, guests, vendors, program, activities, policies, advertising, and hotel information for this media convention held annually in Baltimore.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Maryland", "url": "http://www.shore-leave.com/"} +{"d:Title": "Farpoint", "d:Description": "History, dealers, updates, and registration information for this Science Fiction media convention held annually in Baltimore.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Maryland", "url": "http://www.farpointcon.com/"} +{"d:Title": "Capclave", "d:Description": "An annual literary science fiction convention held in Rockville sponsored by the Washington Science Fiction Association.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Maryland", "url": "http://www.capclave.org/"} +{"d:Title": "HopSFA", "d:Description": "For students and alumni of Johns Hopkins University. Includes information on meetings, history of the club, the HopSFA Hymnal, the club library, and convention planning.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Maryland", "url": "https://johnshopkins.collegiatelink.net/organization/hopsfa"} +{"d:Title": "Arisia", "d:Description": "Information for the annual convention, in Boston, usually in January.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Massachusetts", "url": "http://www.arisia.org/"} +{"d:Title": "NJAC 2002", "d:Description": "Rates, registration, events and programs, gaming information, directions, and contact information for this 5 college area convention. University of Massachusetts, Amherst.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Massachusetts", "url": "http://www.umass.edu/rso/scum/"} +{"d:Title": "VeriCon", "d:Description": "Schedule, pictures, speakers, and registration and location information for this annual convention held in Harvard, usually in January.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Massachusetts", "url": "http://www.vericon.org/"} +{"d:Title": "New England Science Fiction Association (NESFA)", "d:Description": "Information on Boskone, an introduction to NESFA, how to join, club history, author and science fiction links, and a Hugo recommended book list.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Massachusetts", "url": "http://www.nesfa.org/"} +{"d:Title": "Corflu 18 Home Page", "d:Description": "Membership, location and accommodation information. March 30-April 1, 2001, Boston.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Massachusetts", "url": "http://world.std.com/~webber/corflu18/"} +{"d:Title": "Boskone", "d:Description": "Guest and general information, as well as historical archives for this annual convention held in Boston on Presidents' Day weekend.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Massachusetts", "url": "http://www.boskone.org/"} +{"d:Title": "Yahoo Groups: MichiganFandom", "d:Description": "An open, unmoderated list forum for keeping up to date with Michigan's Metro Detroit Science Fiction/Fantasy Conventions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Michigan", "url": "http://groups.yahoo.com/group/MichiganFandom/"} +{"d:Title": "Penguicon", "d:Description": "Annual combined science fiction and fantasy convention with Linux and open source programming expo. Lists guests, schedules, registration, hotels, media reports, and volunteer information. Located in Novi in April.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Michigan", "url": "http://www.penguicon.org/"} +{"d:Title": "ConStruct", "d:Description": "Adult (over 16) relaxicon. Includes hotel and registration information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Michigan", "url": "http://www.constructacon.org/"} +{"d:Title": "ConFusion", "d:Description": "Annual Ann Arbor area science fiction, fantasy, horror, filk, anime, comics, and gaming convention. Includes historical information on cons since 1974.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Michigan", "url": "http://confusionsf.org/"} +{"d:Title": "Minicon", "d:Description": "History, guest lists, vendor information and location for this annual Easter weekend gathering. Minneapolis. Includes history of past Minicons.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Minnesota", "url": "http://www.mnstf.org/minicon/"} +{"d:Title": "MarsCon", "d:Description": "General SF convention held in the Twin Cities area each March.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Minnesota", "url": "http://marscon.org/"} +{"d:Title": "Minnesota Science Fiction Society (Minn-StF)", "d:Description": "Minneapolis area science fiction and fantasy club. Runs Minicon. Includes meeting schedule, board minutes.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Minnesota", "url": "http://www.mnstf.org/"} +{"d:Title": "CONvergence", "d:Description": "General SF convention held in the Twin Cities area in early July.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Minnesota", "url": "http://convergence-con.org/"} +{"d:Title": "The Rivendell Group", "d:Description": "The Rivendell Group meets on the U of M - Twin Cities campus to study, discuss and enjoy myth, fantasy and imaginative literature.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Minnesota", "url": "http://www.tc.umn.edu/~d-lena/RIVENDELL.html"} +{"d:Title": "Minicon mailing list", "d:Description": "Unofficial list focused on discussion about Minicon and related topics.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Minnesota", "url": "http://groups.yahoo.com/group/Minicon/"} +{"d:Title": "Fourth Street Fantasy Convention", "d:Description": "June/July convention, with a literary theme. Includes guests, registration, hotel information, and history. Minneapolis.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Minnesota", "url": "http://www.4thstreetfantasy.com/"} +{"d:Title": "CoastCon", "d:Description": "Hotel, location, registration, guest, merchant, and gaming information, with a meeting schedule and pictures from past events for this annual convention held in Biloxi, with a \"junior\" convention held in Gulfport.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Mississippi", "url": "http://www.coastcon.org/"} +{"d:Title": "Kansas City Science Fiction and Fantasy Society", "d:Description": "Home of the ConQuesT science fiction convention. Contains events calendar and activities details.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Missouri", "url": "http://kacsffs.blogspot.com/"} +{"d:Title": "MisCon", "d:Description": "Missoula science fiction convention.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Montana", "url": "http://www.miscon.org/"} +{"d:Title": "Star Base Andromeda", "d:Description": "Official site of the Lincoln science fiction club. History of SBA; calendar of upcoming events, and a bibliography of SF author Robert Reed.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Nebraska", "url": "http://www.starbaseandromeda.com/"} +{"d:Title": "Yahoo Groups-Vegas Sci-Fi Association", "d:Description": "Groups site with information on VegaCon, a science fiction convention in Las Vegas.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Nevada", "url": "http://groups.yahoo.com/group/VegasSFAssociation/"} +{"d:Title": "Lunacon", "d:Description": "Hotel and registration information, and progress reports on the forming activities in Rye Brook.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/New_York", "url": "http://www.lunacon.org/"} +{"d:Title": "I-Con", "d:Description": "Annual Stony Brook convention includes authors, media, gaming, medieval recreation, comics, anime, and movies. Schedule, guest, registration, and volunteer information on last year's convention, and a progress report on this year's.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/New_York", "url": "http://www.iconsf.org/"} +{"d:Title": "Albacon", "d:Description": "Guests, membership rates, membership form, driving maps, and hotel information for this convention held in Albany.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/New_York", "url": "http://www.albacon.org/"} +{"d:Title": "The Science Fiction Forum (SF4M)", "d:Description": "An organization/club at SUNY Stony Brook. Maintains a science fiction, fact, fantasy and horror lending library, shows films and videotapes, and organizes various science fiction related activities and events whenever possible. Includes club news and a message board.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/New_York", "url": "http://www.sf4m.org/"} +{"d:Title": "ValleyCon XXVI", "d:Description": "Guest, program, and general information about this Dragon themed convention. September 21-23, 2001, Fargo.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/North_Dakota", "url": "http://valleycon.tripod.com/"} +{"d:Title": "ValleyCon", "d:Description": "Annual convention in Fargo in late October. Also includes the Red River Science Fiction and Fantasy (R2SF2) club.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/North_Dakota", "url": "http://www.valleycon.com/"} +{"d:Title": "MidwestCon", "d:Description": "A relaxacon held in Cincinnati.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Ohio", "url": "http://www.cfg.org/midwestcon/"} +{"d:Title": "Marcon (Multiple Alternative Realities Convention)", "d:Description": "Held annually in Columbus on Memorial Day weekend. Includes information for registration, programming, gaming, and dealers.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Ohio", "url": "http://www.marcon.org/"} +{"d:Title": "Starward Bound", "d:Description": "The Science Fiction/Fantasy organization of Dayton and the Miami valley. Includes club history, meeting schedule, and information on other clubs in the Dayton area.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Ohio", "url": "http://www.starwardbound.org/"} +{"d:Title": "Harry SF and Fantasy Club", "d:Description": "Meeting schedule, FAQs, email group, chat, and history for the Canton group.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Ohio", "url": "http://www.pierocarey.info/harrysf/"} +{"d:Title": "OryCon", "d:Description": "Annual convention, held in Portland. Includes image galleries and history.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Oregon", "url": "http://www.orycon.org/"} +{"d:Title": "OSFCI (Oregon Science Fiction Conventions, Inc.)", "d:Description": "Portland area SF group, primarily for the purpose of running conventions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Oregon", "url": "http://www.osfci.org/"} +{"d:Title": "Philadelphia Science Fiction Society", "d:Description": "Information about this literary society that includes meeting schedules, upcoming guests, contact information, and organizational news.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Pennsylvania", "url": "http://www.psfs.org/"} +{"d:Title": "PARSEC", "d:Description": "Pittsburgh's science fiction and fantasy organization which meets monthly to promote education concerning science fiction and fantasy genre and also runs the Confluence Conference.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Pennsylvania", "url": "http://parsec-sff.org/"} +{"d:Title": "Chattacon", "d:Description": "Pictures, guest list, registration and hotel information, dealers resources, gaming contact, and program for this annual convention held in Chattanooga.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Tennessee", "url": "http://www.chattacon.org/"} +{"d:Title": "LibertyCon", "d:Description": "Guests, news, directions, message board, mailing list, and registration information for this annual convention held in East Ridge.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Tennessee", "url": "http://www.libertycon.org/"} +{"d:Title": "FACT", "d:Description": "Fandom Association of Central Texas's newsletter, fanzine, reading group, charities, BBS, and conferences.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Texas", "url": "http://www.fact.org/"} +{"d:Title": "ConDFW", "d:Description": "Literary science fiction convention in the Dallas-Fort Worth area. Members introduction and FAQ.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Texas", "url": "http://www.condfw.org/"} +{"d:Title": "FenCon", "d:Description": "A fan-operated science fiction and filk convention in the Dallas Fort Worth Metroplex.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Texas", "url": "http://www.fencon.org/"} +{"d:Title": "ApolloCon", "d:Description": "Annual fan-run general SF convention in Houston, usually in June. Includes information about programming, guests, the hotel, registration, and contacts.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Texas", "url": "http://www.apollocon.org/"} +{"d:Title": "World Horror Convention 2008 - Salt Lake City Utah", "d:Description": "World Horror Convention 2008 Salt Lake City Utah. Guests of Honor: Dennis Etchison, author; John Jude Palencar, artist: Simon R. Green, author of the \"Nightside\" and \"Deathstalker\" series; Dr. Michael R. Collings, scholar. Special Guests: Jeff Strand, Biting Dog Press. A professional gathering of writers, artists, editors and publishers of horror fiction. 2008 Stoker Awards and Banquet. Art show. Dealers' Room. Mass Autograph Signing. Artists' Reception. Panels, workshops, pitch sessions, film festival. Presentations on \"The Developmental Stages of the Serial Killer,\" and Anasazi Culture and Traditions. (Art Show and Dealers' Room open to the public.) Membership information, hotel information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Utah", "url": "http://whc2008.org/"} +{"d:Title": "Life, the Universe,&Everything: The Marion K. \"Doc\" Smith Symposium on Science Fiction and Fantasy", "d:Description": "A three-day science fiction and fantasy symposium with an emphasis on writing and academics. Panels, presentations and workshops on writing, media analysis, SF art and worldbuilding. Academic papers on SF&F themes. Also a one-day Educator's Conference (Saturday) for teachers, librarians and parents. Admission is free. Flyers, writing contest info, links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Utah", "url": "http://ltue.net/"} +{"d:Title": "Madicon", "d:Description": "News, events, history, vendors, art show, directions, and for this game, literature, and art convention held at James Madison University in Harrisonburg in March.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Virginia", "url": "http://madicon.org/"} +{"d:Title": "Rustycon", "d:Description": "Convention that focuses on writing, publishing, film, television, and costumes. Guest list, schedule, hotel information, volunteer and dealership sign-up.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Washington", "url": "http://www.rustycon.com/"} +{"d:Title": "Washington Science Fiction Association", "d:Description": "Events calendar, journal archives, members, and links on the homepage for this greater Washington D.C. area club. Disclave/Capclave conventions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Washington,_DC", "url": "http://www.wsfa.org/"} +{"d:Title": "WisCon", "d:Description": "Feminist Science Fiction convention held in Madison. Includes history, programming, hotel information, event calendars and pages, registration, and transportation guides.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Wisconsin", "url": "http://www.wiscon.info/"} +{"d:Title": "NoBrandCon", "d:Description": "Eau Claire. Guests, registration, accommodations, event guide, and vendor and press information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/Regional/United_States/Wisconsin", "url": "http://www.nobrandcon.org/"} +{"d:Title": "Chicon 2012", "d:Description": "The 70th World Science Fiction Convention in Chicago, Illinois, USA. Includes biographies of guests, photo gallery, list of Hugo winners, and convention reports.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/WorldCon", "url": "http://www.chicon.org/"} +{"d:Title": "World Science Fiction Society / WorldCon", "d:Description": "Website with some basic information, schedule of upcoming WorldCons, and links to the websites of upcoming and old WorldCons.", "priority": "1", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/WorldCon", "url": "http://www.wsfs.org/"} +{"d:Title": "Noreascon Four", "d:Description": "The 62nd World Science Fiction Convention, to be held September 2-6, 2004, in Boston, Massachusetts", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/WorldCon", "url": "http://www.noreascon.org/"} +{"d:Title": "Nippon2007", "d:Description": "The 65th Worldcon to be held in Yokohama, Japan. Includes guests of honor, hotel and travel information, programming, prices, and contacts. [Japanese/English]", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/WorldCon", "url": "http://www.nippon2007.org/"} +{"d:Title": "ConJose", "d:Description": "The 60th World Science Fiction Convention, which was held August/September, 2002 in San Jose, California, US. Hugo awards list and vote tallies, business meeting minutes, speech transcripts, photographs.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/WorldCon", "url": "http://www.fanac.org/conjose/"} +{"d:Title": "World Fantasy Convention", "d:Description": "Introduction to and information for historic and upcoming World Fantasy Conventions, as well as information on how to bid to host it.", "priority": "1", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Conventions_and_Organizations/World_Fantasy_Convention", "url": "http://www.worldfantasy.org/"} +{"d:Title": "Hazel's Conventions and Concom Meetings Picture Gallery", "d:Description": "Massive collection of photos from conventions, mostly on the West Coast of the USA.", "priority": "1", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Image_Galleries", "url": "http://www.boston-baden.com/hazel/Pix/i-cons.htm"} +{"d:Title": "Shambalayogi's Retreat", "d:Description": "Actors, actresses, and writers from Andromeda, Stargate, Hercules, Xena, Star Trek shows and movies.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Image_Galleries", "url": "http://www.shambalayogi.net/"} +{"d:Title": "Midamerican Fan Photo Archive", "d:Description": "Directory of science fiction convention and events photos.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Image_Galleries", "url": "http://www.midamericon.org/photoarchive/"} +{"d:Title": "Shirl's Conventions Club", "d:Description": "Photos from fan gatherings featuring cast and crew of various science fiction TV series. Includes message board and chat. Photo submissions accepted.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Fandom/Image_Galleries", "url": "http://shirlsconventions.phanfare.com/"} +{"d:Title": "Tim's Top Tens", "d:Description": "Top ten lists covering different topics, including Star Trek and anime.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Humor", "url": "http://faans.com/toptens.html"} +{"d:Title": "Book-A-Minute SF/F", "d:Description": "Ultra-condensation of classic and popular books.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Humor", "url": "http://www.rinkworks.com/bookaminute/sff.shtml"} +{"d:Title": "Evil Overlord, Inc.", "d:Description": "The list of the Top 100 Things I'd Do If I Ever Became an Evil Overlord. Also, a page of Star Trek parodies and more Evil Overlord tips.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Humor", "url": "http://minievil.eviloverlord.com/index.html"} +{"d:Title": "Spoofpark", "d:Description": "Cartoons of popular genre movies and television shows including Star Wars, Stargate, Buffy, and Red Dwarf in a South Park style.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Humor", "url": "http://www.spoofpark.com/"} +{"d:Title": "Space Battles", "d:Description": "Downloadable animated 3D video clips of space battles from famous science fiction TV series.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Multimedia", "url": "http://www.spacebattles.com/"} +{"d:Title": "Arkh's Book of Letters", "d:Description": "Fantasy fonts and language descriptions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Multimedia", "url": "http://shaun.norton.tripod.com/"} +{"d:Title": "M D H Designs", "d:Description": "Includes original artwork, desktop wallpapers, anime reviews, and midi files.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Multimedia", "url": "http://mdh84.tripod.com/"} +{"d:Title": "The Dragon Page", "d:Description": "Radio talk show broadcasts nationwide. Includes book reviews, author bios, and clips of live interviews.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Multimedia", "url": "http://www.dragonpage.com/"} +{"d:Title": "Porkepyn's Sci-Fi&Horror Memorabilia", "d:Description": "Classic sci-fi and horror memorabilia. Sound and film clips.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Multimedia", "url": "http://porkepyn.com/"} +{"d:Title": "The Dragon Page Talk Show", "d:Description": "Books, movies, and television news and interviews.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/News", "url": "http://www.dragonpage.com/"} +{"d:Title": "Voyager Online", "d:Description": "News and events, book releases and extracts, interviews and polls, and weekly competitions in an online community dedicated to lovers of science fiction and fantasy.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/News", "url": "http://www.voyageronline.com.au/"} +{"d:Title": "Bureau 42", "d:Description": "News and commentary on science fiction television and motion pictures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/News", "url": "http://www.bureau42.com/"} +{"d:Title": "Green Tentacles for Businesses", "d:Description": "Provides news, articles, resources, and services for people and businesses in the speculative fiction (science fiction, fantasy, and horror) industry.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/News", "url": "http://www.greententacles.com/"} +{"d:Title": "SciFi News", "d:Description": "News, reviews and interviews featuring RSS feeds for webmasters.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/News", "url": "http://www.scifinews.net/"} +{"d:Title": "Sci-Fi Online", "d:Description": "Free magazine aimed at fans of cult movies and television. Includes competitions, news and reviews.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/News", "url": "http://www.sci-fi-online.com/"} +{"d:Title": "Lady Gryphon's Mythical Realm", "d:Description": "A collection of poems and\u00a0paintings about men and women in legend, gryphons, dragons, unicorns, and\u00a0pegasus. She has a suggested reading list and free fantasy email greeting cards.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.mythicalrealm.com/"} +{"d:Title": "Enchanted Castles", "d:Description": "A families fantasy styled page, with games, pictures, and pages for individual family members.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.enchantedcastles.net/"} +{"d:Title": "The Unearthly", "d:Description": "Lady Lauren's collection of images, poems and links about unicorns, mermaids, faeries, wizards and dragons.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/zine/theunearthly/"} +{"d:Title": "Acid Dophilust", "d:Description": "Introducing a sci-fi character, \"Acid Dophilust: Intergalactic Bounty Hunter\", from the Andromeda Galaxy. She began as a costume contest entry at a StarFest Convention.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://fox_skull20.tripod.com/OfficialAcidDophilustWebsite/index.html"} +{"d:Title": "Garage Sale Gold", "d:Description": "A series of book reviews.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/ct2/giantsteps/gsg/"} +{"d:Title": "Amanda's Castle", "d:Description": "Original artwork, adoption agency, recipes, web design services, stories, games, and adoptions, wedding pictures on this fantasy themed personal page. Special sections for fairies and dragons.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://amanda.dd.com.au/"} +{"d:Title": "Reynolds, Sean", "d:Description": "GenCon photos, deity-themed weapons, role-playing game related materials, and gaming idiocy stories.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.seankreynolds.com/"} +{"d:Title": "Automatism", "d:Description": "Poetry, writings, and images.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/ak/frogmilk/"} +{"d:Title": "Draconix Productions", "d:Description": "The original artwork and stories of the webmaster, with a small biography.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/hi/Draconix/"} +{"d:Title": "Daydreamer's Delight", "d:Description": "Original collection of daydream stories, poems, and pictures, and section on nightmares.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/scifi/fantasyland/"} +{"d:Title": "My Realm of Fantasy", "d:Description": "Fantasy drawings, a section on Wheel of Time, great links, book reviews, and information about myself.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/my/realmoffantasy/index.html"} +{"d:Title": "Allani's Dark Realm", "d:Description": "Personal pages on Star Wars, The X-Files, original fiction and drawings.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/biz5/vivalarevolution/"} +{"d:Title": "Jase Darien Dakota", "d:Description": "Homepage of a poet, writer, and role player.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/goth/jase_dakota/"} +{"d:Title": "Arundel's Isle", "d:Description": "A place where wizards and warriors can come together to put the Fan back in Fantasy, and look at related resources.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/realm2/arundels-isle/"} +{"d:Title": "Rosepurr's", "d:Description": "A Kentucky woman new to web design whose interests include SF&F.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://rosepurrmeow.tripod.com/sff.htm"} +{"d:Title": "The Mystical Realm", "d:Description": "Information and images about dragons, wizards, warriors and fantasy worlds.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/realm2/dragonreighn/"} +{"d:Title": "Karl's Picture Gallery", "d:Description": "Pictures of SciFi conventions, love parades and adventure travelling.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.hadler.de/"} +{"d:Title": "Kyle A. Davis Art Productions", "d:Description": "Site contains personal art works of various subject type and mediums. Works vary from fantasy to real life. Done in pencils, pastels, paints, charcoals, etc.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/art2/malnu/"} +{"d:Title": "Fluid Creation", "d:Description": "Collection of portfolios from artists in different fields.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.fluidcreation.com/"} +{"d:Title": "Sierra's Keep", "d:Description": "Designer's personal page featuring a portfolio with stories of dragons, elves, and dwarves.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.angelfire.com/d20/sierraskeep/"} +{"d:Title": "Skritweb", "d:Description": "Original sci-fi artwork and persona with movie reviews.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.skritweb.com/"} +{"d:Title": "Mr. Klingon", "d:Description": "Journal, book reviews, homages to Star Trek and Star Wars, and information on science fiction languages and planets.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://www.tc.umn.edu/~joela/"} +{"d:Title": "DarkFaery SubCulture", "d:Description": "Darkfaery Subculture", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://darkfaeryglitter.tripod.com/"} +{"d:Title": "Convergences: The Digital Man", "d:Description": "Future Fiction, resume, and biography of E. R. Anders.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Personal_Pages", "url": "http://eanders.tripod.com/"} +{"d:Title": "The Science Fiction Review", "d:Description": "Book reviews, top ten lists, short stories.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.science-fiction-review.com/"} +{"d:Title": "Future Fiction", "d:Description": "Reviews of modern science fiction and fantasy titles.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.futurefiction.com/"} +{"d:Title": "Danny Yee's Book Reviews: Fantasy", "d:Description": "Reviews of fantasy novels and short stories.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://dannyreviews.com/s/fantasy.html"} +{"d:Title": "TopDragon Book Reviews", "d:Description": "Specializes in the fantasy genre.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.angelfire.com/ca3/sharahzade/TDMain.html"} +{"d:Title": "M.J. Simpson", "d:Description": "Fantasy film and TV reviews, horror news, and cult star interviews from UK genre reporter.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.mjsimpson.co.uk/"} +{"d:Title": "Caffeinated Scifi Suzi and Yellow Dog", "d:Description": "Movie reviews, news, links, 3D wallpaper and art.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.scifisuzi.com/"} +{"d:Title": "Sci Fan Tech", "d:Description": "Offers in-depth book reviews, prices, and ratings.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://scifantech.tripod.com/"} +{"d:Title": "Rantings and Ravings: My Journey Through SF&F.", "d:Description": "Opinions on fantasy authors, books, and series intended to help people decide what to read.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://sarahsniche.blogspot.com/"} +{"d:Title": "Outpost 10F Library", "d:Description": "Reviews and synopses of the Star Wars and Star Trek series of books.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://library.outpost10f.com/"} +{"d:Title": "Science Fiction Index", "d:Description": "Resource offering reviews of science fiction books, including analysis of Hugo and Nebula award winners, and exploring fantasy and SF literature set in Ireland.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.nicholaswhyte.info/sf/sfindex.htm"} +{"d:Title": "Fantastic Reviews", "d:Description": "Reviews of science fiction, fantasy, and horror books, plus items of genre related interest.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://fantasticreviews.com/"} +{"d:Title": "Russ's Book Reviews", "d:Description": "Personal opinion of Russ Allbery. Usually offers a synopsis, tries to avoid spoilers. Also rates the book. Most are science fiction and fantasy.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.eyrie.org/~eagle/reviews/"} +{"d:Title": "SciFi Dorks' Vault", "d:Description": "Information, photos and reviews on a variety of science fiction media.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.scifidorks.com/"} +{"d:Title": "SciFind", "d:Description": "Reviews science fiction DVDs. Lists signings and conventions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.scifind.com/"} +{"d:Title": "Austin's Science Fiction Essays and Reviews", "d:Description": "Reviews and plot analysis of both modern and classic sci/fi books and movies.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://fourwalker.tripod.com/start.html"} +{"d:Title": "From the Landscape of Fiction", "d:Description": "A listing of essays on post-apocalyptic fiction in movies and television, with synopses.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://www.transparencynow.com/tableapoc.htm"} +{"d:Title": "Wizards-Sorcery Secrets", "d:Description": "Pictures, spells, animations, poems, magic, wavs, java applets, screensavers, themes, puzzles, about wizards, sorcerors, and sorceresses.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://www.lair2000.net/Wizards_Sorcery_Secrets/Wizards_Sorcery_Secrets.html"} +{"d:Title": "Andy's Anachronisms", "d:Description": "Exploring the themes of time travel and alternate universes in literature and entertainment. Includes related reviews of film, books and television.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://www.timetravelreviews.com/"} +{"d:Title": "Society for the Furtherance and Study of Fantasy and Science Fiction", "d:Description": "Supporting literary and fanzine fandoms in Madison, Wisconsin. Host of WisCon, a feminist science fiction convention, and other events.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://www.sf3.org/"} +{"d:Title": "Technovelgy", "d:Description": "Inventions from books and movies, listed alphabetically, divided into categories, or searchable by author.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://www.technovelgy.com/index.htm"} +{"d:Title": "Sci-Fi Science Blunders Hall of Infamy", "d:Description": "Hall of infamy for science blunders from science fiction television and movies.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://sfblunders.wordpress.com/"} +{"d:Title": "The Fantastic in Art and Fiction", "d:Description": "Themes from monstrosities to creatures to weird science in relation to the fantastic or of the supernatural with art and literature dating back several centuries; from the Cornell University.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://fantastic.library.cornell.edu/"} +{"d:Title": "Ultimate Time Travel", "d:Description": "Reviews of books, movies and television shows that deal with the theme of time travel.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes", "url": "http://www.ultimatetimetravel.com/"} +{"d:Title": "World of Mystery", "d:Description": "Images, poetry, and stories of vampires, unicorns, and faeries.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.angelfire.com/ca5/yenvy/"} +{"d:Title": "Athran's Lair", "d:Description": "Image galleries of pegasus, phoenix, unicorns, and types of dragons.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.angelfire.com/stars3/dragonsworld/"} +{"d:Title": "Labyrinth of Jareth Fantasy Masquerade Ball", "d:Description": "Annual ball based on Celtic faerie and goblin lore; produced by Sypher Art Studios. Images from past balls, event information, ticket sales and related merchandise, FAQ, and mailing list.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.labyrinthmasquerade.com/"} +{"d:Title": "Wikipedia: List of Species in Fantasy Fiction", "d:Description": "Creatures and races in modern fantasy from books to games, with descriptions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://en.wikipedia.org/wiki/Fantasy_Beastiary"} +{"d:Title": "ElvenstarArt", "d:Description": "Official gallery of Rebecca Sinz; fairies, angels, and other creatures; fantasy, dark, and gothic art; art tutorial.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://elvenstarart.com/"} +{"d:Title": "Fantasy Arts Lair", "d:Description": "Various race and beast artworks such as dragons, elves, wizards, female warriors and goddesses; artist credits.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.angelfire.com/crazy/chipperz/"} +{"d:Title": "Elfwood", "d:Description": "Fantasy and creature art; the Wyverns Library contains various creature specific stories.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.elfwood.com/"} +{"d:Title": "deviantART: CreatureClub", "d:Description": "Community of art and artists with a members list and works focusing on new creature creation.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://creatureclub.deviantart.com/"} +{"d:Title": "Shyara's Fantasy Graphics", "d:Description": "Gallery of artworks from various artists, with credits.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://shyara.tripod.com/"} +{"d:Title": "Divanta's Pages", "d:Description": "Galleries of faeries, dragons, unicorns, pegasus, and goddess images; poetry; website graphics sets offered.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://divanta.tripod.com/"} +{"d:Title": "Mythic Creatures: Dragons, Unicorns and Mermaids", "d:Description": "Creatures of the elements; exhibit at the American Museum of Natural History between May 26, 2007 and January 6, 2008.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.amnh.org/exhibitions/past-exhibitions/mythic-creatures"} +{"d:Title": "Faerie Circle", "d:Description": "Webring featuring pages about faeries, elves, and other mystical sentient beings of folklore.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.webring.org/hub?ring=elfring"} +{"d:Title": "Dragons Gate", "d:Description": "Web ring dedicated to dragons, faeries, vampires, and other mythical creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://www.webring.org/hub?ring=dragonsgate&list"} +{"d:Title": "Celedriel's Realm", "d:Description": "Sightings and photographs of faeries, elves, mermaids, water spirits, dragons, unicorns, sea and lake monsters, bigfoot, winged beasts and other strange and unknown creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures", "url": "http://celedriel2.tripod.com/index.html"} +{"d:Title": "Wikipedia: Bestiary", "d:Description": "Encyclopedic definition and introduction of these compendiums from history to modern times, with a list of medi\u00e6val bestiaries available.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries", "url": "http://en.wikipedia.org/wiki/Bestiary"} +{"d:Title": "Lady Gryphon's Mythical Realm", "d:Description": "List of fantasy and legendary creatures and races ranging from centaurs to valkyries with corresponding images; message board and links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries", "url": "http://www.mythicalrealm.com/"} +{"d:Title": "Joel's Informative Crypto Biology", "d:Description": "Information on a set of mythological or false creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries", "url": "http://www.angelfire.com/or2/crypto/"} +{"d:Title": "Elftown: The Creature List", "d:Description": "Beasts and monsters from fantasy and fiction.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries", "url": "http://www.elftown.com/_Creature_list"} +{"d:Title": "Mythical and Fantasy Creatures", "d:Description": "Introduction to traditional beings of folklore, fiction, deities and international creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries", "url": "http://www.mythcreatures.co.uk/"} +{"d:Title": "Mythical Creatures and Beasts Wiki", "d:Description": "Traditional historic definitions in international folklore and legend, with a fantasy list emphasizing those that are not historic.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries", "url": "http://www.mythicalcreaturesguide.com/"} +{"d:Title": "deviantART: Windy999", "d:Description": "An artist and drawings based on a modern fictional bestiary work.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Art", "url": "http://windy999.deviantart.com/"} +{"d:Title": "A Curious Bestiary", "d:Description": "Showcase of the art by Kaitlin Beckett of Melbourne, Australia.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Art", "url": "http://www.a-curious-bestiary.com/"} +{"d:Title": "Bestiary Cards", "d:Description": "A themed showcase of works by various artists.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Art", "url": "http://bestiarycards.com/"} +{"d:Title": "Harry Potter Lexicon: Bestiary", "d:Description": "Alphabetical list of magical and mundane creatures from J.K. Rowlings wizarding world.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Entertainment", "url": "http://www.hp-lexicon.org/bestiary/bestiary.html"} +{"d:Title": "GuildWiki: Bestiary", "d:Description": "Category list of races, creatures and various species from Guild Wars; also political affiliations.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Entertainment/Games", "url": "http://guildwars.wikia.com/wiki/Bestiary"} +{"d:Title": "Ye Olde Nethack Bestiary", "d:Description": "Text list of creatures from the game with descriptions and attributes.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Entertainment/Games", "url": "http://users.openface.ca/~robbo/nh/nhtohtml/html/"} +{"d:Title": "ZAM Everquest", "d:Description": "Screenshots, forum discussions and lists of plants, environments, animals, creatures, monsters and NPCs; FAQ and search tool.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Entertainment/Games", "url": "http://everquest.allakhazam.com/"} +{"d:Title": "Warcraft Pets: Bestiary of Minipets", "d:Description": "Categorized list and individual animal details with screenshots.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/Entertainment/Games", "url": "http://www.warcraftpets.com/wow-pets/"} +{"d:Title": "Theoi Greek Mythology: Bestiary", "d:Description": "Beasts, monsters, men and spirits of Greek mythology; sources cited.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History", "url": "http://www.theoi.com/Bestiary.html"} +{"d:Title": "Wikipedia: List of Legendary Creatures", "d:Description": "Encyclopedic articles of a variety of animals, races and beings from traditional folklore around the world.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History", "url": "http://en.wikipedia.org/wiki/List_of_legendary_creatures"} +{"d:Title": "Encyclopedia Mythica: Bestiary", "d:Description": "International folklore and mythological creatures from the amphisbaena to the Yofune-nushi.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History", "url": "http://www.pantheon.org/areas/bestiary/"} +{"d:Title": "Ancient Egyptian Bestiary", "d:Description": "Cross-referenced list of animals divine, wild, domestic and imaginary; corresponding images of ancient artwork.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History", "url": "http://www.reshafim.org.il/ad/egypt/bestiary/"} +{"d:Title": "The Aberdeen Bestiary", "d:Description": "Twelfth century encyclopedia of the natural world with digitized images of the books pages and translations from the original Latin; from the University of Aberdeen.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages", "url": "http://www.abdn.ac.uk/bestiary/"} +{"d:Title": "Biblioth\u00e8que nationale de France: Medieval Bestiary", "d:Description": "Online museum exhibition featuring the Christian and other influences in bestiaries of history.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages", "url": "http://expositions.bnf.fr/bestiaire/expo/"} +{"d:Title": "The Medieval Bestiary", "d:Description": "Repository of information on animals in the Middle Ages, their legends, meaning and sources of the lore surrounding both imaginary and real animals, plants and stones; history of bestiaries.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages", "url": "http://www.bestiary.ca/"} +{"d:Title": "Bestiary Creatures", "d:Description": "Summaries for the bestiary and the creatures they described.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages", "url": "http://otherworlddiner.blogspot.com/2007/10/bestiary-creatures.html"} +{"d:Title": "Wikipedia: List of Medieval Bestiaries", "d:Description": "Encyclopedic entry with a list of these books from this time period.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages", "url": "http://en.wikipedia.org/wiki/List_of_medieval_bestiaries"} +{"d:Title": "Catholic Encyclopedia: Bestiaries", "d:Description": "Summary of these books, Christian symbolism and art, similar compilations and international references.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages", "url": "http://www.newadvent.org/cathen/02529b.htm"} +{"d:Title": "Medieval Beasts", "d:Description": "Exhibition focusing on the central role of beasts in medieval art with scanned book pages and audio narratives; from the Getty Center in Los Angeles. [May not be compatible with all browsers] [Requires RealPlayer]", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages/Art", "url": "http://www.getty.edu/art/exhibitions/medieval_beasts/"} +{"d:Title": "GKS 1633 4\u00ba: Bestiarius", "d:Description": "Scanned pages of a Latin manuscript illustrated with over one-hundred washed drawings; from Det Kongelige Bibliotek or The Royal Library in Copenhagen.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages/Art", "url": "http://www.kb.dk/permalink/2006/manus/221/eng/"} +{"d:Title": "GKS 3466 8\u00ba: Bestiaire", "d:Description": "Illustrated, Latin guide to animal life both real and fabled with scanned pages; from Det Kongelige Bibliotek or The Royal Library in Copenhagen.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Bestiaries/History/Middle_Ages/Art", "url": "http://www.kb.dk/permalink/2006/manus/225/eng/"} +{"d:Title": "The Accidental Centaurs", "d:Description": "Comic strip created by John Lotshaw, following the characters Sam and Alex; archived episodes and a forum.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Centauroids", "url": "http://www.accidentalcentaurs.com/"} +{"d:Title": "deviantART: The Centaur Club", "d:Description": "Gallery, community and club of art and artists.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Centauroids", "url": "http://thecentaurclub.deviantart.com/"} +{"d:Title": "Lair2000: Centaur Groves", "d:Description": "Image galleries, animations, poetry and songs; games, coloring pages, and desktop themes.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Centauroids", "url": "http://www.lair2000.net/Centaur_Grooves/Centaur_Grooves.html"} +{"d:Title": "Wikipedia: Centauroid Creature", "d:Description": "Encyclopedic entry relating to modern fantasy and fiction with mythological notes.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Centauroids", "url": "http://en.wikipedia.org/wiki/Centauroid_creature"} +{"d:Title": "Dragonity", "d:Description": "Image galleries, contests, community, forums and chat.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.draconomicon.com/"} +{"d:Title": "Crystalinks: Dragons - Winged Serpents", "d:Description": "Describes their international origins in mythology, history, legends, and fantasy.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.crystalinks.com/dragons.html"} +{"d:Title": "Draconika Dragons", "d:Description": "Forum, gallery, games, history, and details of multiple types.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.draconika.com/"} +{"d:Title": "Advanced Dragon Description", "d:Description": "Physical anatomy and physiology.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.dragnix.net/From_tail_to_snout/"} +{"d:Title": "The Dragon Stone", "d:Description": "Collection of dragon lore including mythology, alchemy, dream analysis, modern literature and television.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.polenth.com/"} +{"d:Title": "Lair2000: Dragon Lair", "d:Description": "Evolution, society, psychology, anatomy and skeletons; stories, music, and a coloring book; image gallery with credits.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.lair2000.net/Dragon_Lair/Dragon_Lair.html"} +{"d:Title": "The Circle of the Dragon", "d:Description": "Definitions, comparisons, history, culture and religious influences; origins and modern conceptions; image galleries.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.blackdrago.com/"} +{"d:Title": "Wyrm", "d:Description": "Plush toy patterns and a directory of sites; shorthand code for the dragon community to describe themselves.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.wyrm.org.uk/"} +{"d:Title": "Dragonsinn", "d:Description": "Fact bites, poetry, image gallery, and collectors resources; eastern and mythological types; fantasy and fiction in literature and film.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://dragonsinn.net/"} +{"d:Title": "Draconity Resource Project", "d:Description": "A collection of resources and ideas pertaining to draconity.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://www.weyr.org/drp/"} +{"d:Title": "Wikipedia: List of Fictional Dragons", "d:Description": "Encyclopedic entry of the creatures found in fiction; literature, music, games, movies and television.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://en.wikipedia.org/wiki/List_of_fictional_dragons"} +{"d:Title": "Dragon*Con", "d:Description": "Pictures, articles, guest listing, news, membership and hotel information, special events, history, and table information for this large convention for genre and horror, comics, and game fans.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://dragoncon.org/"} +{"d:Title": "Dragons' Orb", "d:Description": "Points considering their possible existence; comparisons of the types around the world.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://griffin109.tripod.com/"} +{"d:Title": "THE YEARS OF THE Dragon", "d:Description": "Article about dragons in myths, films, and popular culture.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons", "url": "http://gazette.com/article/21226"} +{"d:Title": "Dragonfire", "d:Description": "Gallery of artwork, animations, and backgrounds.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://www.angelfire.com/tx2/creaturesofmyth/"} +{"d:Title": "Dragon World", "d:Description": "Poetry and image gallery.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://www.angelfire.com/in2/dragonland/"} +{"d:Title": "Dee Dreslough's Art Gallery", "d:Description": "Dragon art and sculpture by the artist; tutorials and shared images for use.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://www.dreslough.com/"} +{"d:Title": "The Dragon Archives", "d:Description": "Image gallery of modern artworks; credits where available.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://www.saberfire.com/dragons/"} +{"d:Title": "Malathar's Dragons", "d:Description": "Art, galleries, and dragongram e-greetings; about the artist.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://dragonneo.net/"} +{"d:Title": "Canadian Dragon Fantasy Art and Sculpture", "d:Description": "Works by artist Sandra Staple; galleries of various mediums and a story of dragons living in Canada.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://www.canadiandragon.com/"} +{"d:Title": "deviantART: DragonSpirit-Club", "d:Description": "Gallery and community of art and artists with a members list, contests and drawing tutorials.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://dragonspirit-club.deviantart.com/"} +{"d:Title": "Dragon Lord Studios", "d:Description": "Hand-crafted fantasy artwork and world mythos around the world of Elcriss; slideshow demonstration of a dragon sculpture construction process.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Art", "url": "http://dragonlordstudios.com/"} +{"d:Title": "Dragon-Lovers", "d:Description": "Yahoo group for fans.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Chats_and_Forums", "url": "http://groups.yahoo.com/group/dragon-lovers/"} +{"d:Title": "Dragons", "d:Description": "A Yahoo group devoted to the different kinds.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Dragons/"} +{"d:Title": "The Dungeon", "d:Description": "Intellect, physiology, and dragon society; image gallery and MIDI music; tribute to J.R.R. Tolkien.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://celestexvi.tripod.com/"} +{"d:Title": "Amethyst's Dragindex", "d:Description": "Image galleries, stories, and links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://www.angelfire.com/my/GPS/AmethystsDragindex.html"} +{"d:Title": "Blue Dragon's Lair", "d:Description": "Art, poetry, links, and a story maze; ICQ list.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://www.angelfire.com/wv/bluedragon/"} +{"d:Title": "Dragonlady - The Lair", "d:Description": "Library, gallery, and links; fantasy creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://members.tripod.com/~dragon__lady/"} +{"d:Title": "A Dragon's Home", "d:Description": "Place of a dragon and his human companion; humour; art, downloadable e-book and author's site.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://dragonlord.net/"} +{"d:Title": "Dragon", "d:Description": "Stories and poetry, set around a collection of images.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://www.angelfire.com/ct2/ESEnoon/"} +{"d:Title": "Dragons", "d:Description": "A short story, image gallery, and history.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://www.angelfire.com/az/dragonflight/"} +{"d:Title": "Dragonology", "d:Description": "The physics and evolution of dragons.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Personal_Pages", "url": "http://www.cosmosmith.com/dragons/dragons.asp"} +{"d:Title": "Alt.Fan.Dragons WebRing", "d:Description": "Ring requires a 75 percent minimum of dragon content and PG-13 rated.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Web_Rings", "url": "http://www.webring.org/hub?ring=afdring"} +{"d:Title": "Circle of Dragons", "d:Description": "A ring geared towards sites with creature content.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Web_Rings", "url": "http://www.webring.org/hub?ring=cirledragn"} +{"d:Title": "Internet Book of Shadows: A Dragon Tale", "d:Description": "An original short story by Kalioppe.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Writing", "url": "http://www.sacred-texts.com/bos/bos535.htm"} +{"d:Title": "A Dragon's Tale", "d:Description": "An original short story by Philmann Dark.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Writing", "url": "http://www.philmann-dark.de/dragon.html"} +{"d:Title": "A Dragon's Tale", "d:Description": "An original short story by Sonja Keohane.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Writing", "url": "http://www.twofrog.com/dragon.html"} +{"d:Title": "Faren: A Dragon's Tale", "d:Description": "Original screenplay set in a fictitious world called Lein; anime style animation videos, music, and character artwork.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Writing", "url": "http://www.faren.org/"} +{"d:Title": "Tomorrowlands", "d:Description": "Articles and FAQ on draconity and information about what it means to be a dragon; creator of the ClaWrite alphabet.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Writing", "url": "http://www.tomorrowlands.org/"} +{"d:Title": "Dragon Mountain", "d:Description": "Poetry, song lyrics, short stories and a bibliography with also games, film and television lists; links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Writing", "url": "http://www.myth-and-fantasy.com/dragons/"} +{"d:Title": "Blue Green Gem's Fantasy and Dragon Page", "d:Description": "Stories, postcards and 'imagine' campaigns for keeping fantasy creatures alive in our imaginations.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Dragons/Writing", "url": "http://bluegreengem.tripod.com/"} +{"d:Title": "Wikipedia: Drow", "d:Description": "Encyclopedic entry about the drow or dark elves in fantasy.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Elves", "url": "http://en.wikipedia.org/wiki/Drow"} +{"d:Title": "Wikipedia: Elves in Fantasy Fiction and Games", "d:Description": "Encyclopedic entry related to games, literature, television and film.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Elves", "url": "http://en.wikipedia.org/wiki/Elves_in_fantasy_fiction_and_games"} +{"d:Title": "Wikipedia: Elf", "d:Description": "Encyclopedic entry about elves in fantasy, fiction, mythology, and their international variations.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Elves", "url": "http://en.wikipedia.org/wiki/Elf"} +{"d:Title": "Encyclopedia Mythica: Elf", "d:Description": "Definition and introduction to traditional elves.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Elves", "url": "http://www.pantheon.org/articles/e/elf.html"} +{"d:Title": "deviantART: Elves on DA", "d:Description": "Gallery, art and community of artists with competitions and a members list.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Elves", "url": "http://elves.deviantart.com/"} +{"d:Title": "Hidden Portals", "d:Description": "Trance inspired narrative art by Dara Tuell.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Elves", "url": "http://www.hiddenportals.com/"} +{"d:Title": "Faeries and Other Wee Folk", "d:Description": "Stories, poems, legends, myths, favourite plants and various names they are known.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://www.foundus.com/faerie/"} +{"d:Title": "Fairies, Faeries, Fairys at Lala's Land", "d:Description": "International definitions and various types, recipes, image gallery, trivia, poetry and stories with sources credited; links and webrings; lists events and places to visit.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://faeriefae.50megs.com/"} +{"d:Title": "The Faerie Realm: Nature Spirits Of The World", "d:Description": "A collection of resources including poetry, visionary art, fae style music and a library of on-line folk tales.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://faerymists.tripod.com/"} +{"d:Title": "Rosepetal's Path Into Faerie", "d:Description": "Art and artists, poetry and links; introductions to mythical creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://www.katyberry.com/Rosepetal/"} +{"d:Title": "The Pixie Pit", "d:Description": "Image gallery with artist biographies, poems, postcards, games, and animations; children's art gallery accepting submitted original art.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://www.thepixiepit.co.uk/"} +{"d:Title": "Pookas", "d:Description": "Mischievous imp-like creatures living amongst humans.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://www.pookas.co.uk/"} +{"d:Title": "Wikipedia: Fairy", "d:Description": "Encyclopedic article; origins, beliefs, legends, and the various fae classifications; literature, art and popular culture; etymology of the word.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://en.wikipedia.org/wiki/Fairy"} +{"d:Title": "Sandra Dee's World of Fairy's", "d:Description": "Image galleries, poetry and fairy doll adoptions.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies", "url": "http://www.sandrad.com/fairies/"} +{"d:Title": "Elemental Fairies", "d:Description": "Image galleries of collected drawings and animations.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Art", "url": "http://www.members.tripod.com/element_of_water/elementalfairies/"} +{"d:Title": "Faery Realm", "d:Description": "Gallery of fairy and wildlife art by Jane Durrant.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Art", "url": "http://www.angelfire.com/emo/faeryrealm/"} +{"d:Title": "WonderFlight Graphics", "d:Description": "Animated and still pictures of fairies, angels and related denizens; homepage backgrounds, banners and buttons.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Art", "url": "http://www.wonderflight.com/"} +{"d:Title": "Fairy Artists", "d:Description": "Information about well and lesser known artists.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Art", "url": "http://www.fairyartists.com/"} +{"d:Title": "Fantasy Fairies", "d:Description": "3D fairy art and desktop wallpapers.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Art", "url": "http://www.fantasy-fairies.com/"} +{"d:Title": "FairyWeb", "d:Description": "Collecting resources, images, belief, musings, and poetry of various subjects.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Collecting", "url": "http://fairyweb.com/"} +{"d:Title": "Disney Fairies", "d:Description": "Film related trailers, book summaries, mini-games, wallpapers and screen savers.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Movies_and_Television", "url": "http://disney.go.com/fairies/"} +{"d:Title": "Realm of Lady Gilraen of Leannin", "d:Description": "Fairy poetry, stories, and personal information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://www.angelfire.com/la/gilraen/"} +{"d:Title": "Fantasy Land of Fairies", "d:Description": "Art, poetry and fantasies, including some original poems.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://tweetyiam.tripod.com/fairyland/"} +{"d:Title": "Pixies Meadow", "d:Description": "Dedicated to the love of fairies, pixies and other enchanting fantasy creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://www.pixiesmeadow.com/"} +{"d:Title": "Fairies Like Me", "d:Description": "Original fairy poetry and sketched artwork.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://fairieslikeme.com/"} +{"d:Title": "Faerie Realm of Enchantment", "d:Description": "Image gallery of artwork and dolls; links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://www.angelfire.com/scifi/fairiesrealm/"} +{"d:Title": "Faerie Wings Forrest", "d:Description": "Stories, poems, games, and personal interests.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://webspace.webring.com/people/ou/um_1022/"} +{"d:Title": "Fairy Magic", "d:Description": "Stories, images, and different names for fairys.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://webspace.webring.com/people/uf/fairy_magic1/"} +{"d:Title": "Sifra, Home of the Fae", "d:Description": "Introduction to faeries.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Fairies/Personal_Pages", "url": "http://ulana7.tripod.com/u6.html"} +{"d:Title": "Gnomes", "d:Description": "Statuette artist galleries, poems and stories, types, dispersion maps and foes.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gnomes", "url": "http://www.foundus.com/jani/gnomes/welcome.html"} +{"d:Title": "Joe's Journey", "d:Description": "Story of gnomes and a human, narrated with text and images.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gnomes", "url": "http://gnews.50megs.com/"} +{"d:Title": "Wikipedia: Gnome", "d:Description": "Encyclopedic details of their mythology, folklore and international name variations.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gnomes", "url": "http://en.wikipedia.org/wiki/Gnome"} +{"d:Title": "BecomeAGnome", "d:Description": "Visitors can turn themselves into gnomes, and back again.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gnomes", "url": "http://www.becomeagnome.com/"} +{"d:Title": "Gnomes, by James Sirard", "d:Description": "Personal page with humour.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gnomes", "url": "http://gnomes.50megs.com/"} +{"d:Title": "The Gryphon Pages", "d:Description": "References to literature, art, history, heraldry, and symbolism, with a bibliography and collectors resources.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gryphons", "url": "http://www.gryphonpages.com/"} +{"d:Title": "Wikipedia: Griffin", "d:Description": "Encyclopedic entry of fiction, literature, legend, architecture and heraldry; related topics such as hippogriffs and surnames.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gryphons", "url": "http://en.wikipedia.org/wiki/Griffin"} +{"d:Title": "Gryphon Cliff", "d:Description": "Gallery of images and artists, links, ancient legends and international lore.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gryphons", "url": "http://www.myth-and-fantasy.com/gryphons/"} +{"d:Title": "Gryphon's Guild", "d:Description": "Group sharing their interest, information, and art about this creature.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Gryphons", "url": "http://gryphonguild.yuku.com/"} +{"d:Title": "Coney Island Mermaid Parade - Northstar Gallery", "d:Description": "Photography of the Annual Coney Island Mermaid Parade and other mermaid related carnival art.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://northstargallery.com/pages/MermaidHome.htm"} +{"d:Title": "Nerina's Mermaid Grotto", "d:Description": "Information on other water dwellers, gallery of mermaid images, stories and poetry, and webrings.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://www.katyberry.com/Nerina/"} +{"d:Title": "Ed's Mermaid Page", "d:Description": "Galleries of mermaid art, as well as poems and short stories.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://www.edsmermaidpage.com/"} +{"d:Title": "Beautiful Mermaid Art", "d:Description": "Collection of mermaid art including paintings, stories, CG images, myths, movies, figurines, and statues.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://www.beautiful-mermaid-art.com/"} +{"d:Title": "Mermaid Fantasy", "d:Description": "Gallery of mermaid and merman dolls and stuffed fish. Also includes doll and costume patterns.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://mermaid.nunodoll.com/"} +{"d:Title": "deviantART: Mermafied", "d:Description": "Gallery, community and club of merfolk art and artists with a members list.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://mermafied.deviantart.com/"} +{"d:Title": "Lair 2000: Mermaids Retreat", "d:Description": "Image gallery, song lyrics, stories and poetry of merfolk and other water denizens; desktop themes available.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://lair2000.net/Mermaids_Retreat/Mermaids_Retreat.html"} +{"d:Title": "Flickr: St. John's Mermaids", "d:Description": "Photographs of sculpture and other artwork around the city in Newfoundland, Canada including from the 2006 'Mermaids in the City' exhibit.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids", "url": "http://www.flickr.com/groups/stjohnsmermaids/"} +{"d:Title": "Hannah Mermaid", "d:Description": "Actress, performer, artist, international model and conservationist; dolphin-style swimming, interview, video footage and photographs.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids/Personal_Pages", "url": "http://www.hannahfraser.com/mermaid/"} +{"d:Title": "Mermaid Lady", "d:Description": "Freediving, mer-swimming and personal biography.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids/Personal_Pages", "url": "http://www.mermaidlady.com/"} +{"d:Title": "Mermaids, Undines and Sea Goddesses", "d:Description": "Introduction and links to images, art, postcards and a stamp.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Mermaids/Personal_Pages", "url": "http://ulana7.tripod.com/u3.html"} +{"d:Title": "Goedhart's Trollen Site", "d:Description": "History, stories, images, and information in an interactive flash production; in Dutch and English. [May not be compatible with all browsers]", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Trolls", "url": "http://www.trollensite.nl/"} +{"d:Title": "Wikipedia: Troll", "d:Description": "Encyclopedic entry relating to their myths, legends, and origins; literature, music, and modern fiction; photos.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Trolls", "url": "http://en.wikipedia.org/wiki/Troll"} +{"d:Title": "Are You a Unicorn", "d:Description": "Book by Roy Wilkinson; review of the book; poetry and unicorn moments shared by visitors.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://www.unicornsunited.com/"} +{"d:Title": "Lair2000: Unicorn Dreams", "d:Description": "Fantasy, myths, tales, theories, made by man, and magic; depictions, animations, music, activities, and photographs of historic art.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://lair2000.net/Unicorn_Dreams/Unicorn_Dreams.html"} +{"d:Title": "Unicorn Dream", "d:Description": "Perspectives of both unicorns and humans; a bibliography; unicorn and equine artwork.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://www.unicorn-dream.co.uk/"} +{"d:Title": "The Lady and the Unicorn", "d:Description": "Fiction novel by author Tracy Chevalier; a chapter and reviews; background history on the tapestries\u2019 creation, the Le Viste family, and medieval symbolism.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://www.tchevalier.com/unicorn/"} +{"d:Title": "Wikipedia: Unicorn", "d:Description": "An encyclopedic definition and resource on history, heraldry, antiquity, classic art, myth and fiction.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://en.wikipedia.org/wiki/Unicorn"} +{"d:Title": "The GuardHouse: Britannica The Unicorn", "d:Description": "Fantasy story in the form of an encyclopedic entry, with historical and folklore inspirations; quotes section.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://www.novareinna.com/guard/unicorn.html"} +{"d:Title": "Wikipedia: Qilin, Kirin", "d:Description": "An encyclopedic definition on these Chinese and Japanese mythological creatures.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://en.wikipedia.org/wiki/Qilin"} +{"d:Title": "Monoceros", "d:Description": "Unicorn constellation; artwork with an interactive star chart overlay depicting the location of the stars in the night sky.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://www.astrosurf.com/jwisn/monoceros.htm"} +{"d:Title": "Unicorn Island", "d:Description": "Poetry, quotes, articles, gallery, booklist, and a list of artists.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://www.myth-and-fantasy.com/unicorns/"} +{"d:Title": "Unicorn Jelly", "d:Description": "Manga comic strip by Jennifer Diane Reitz; full story and games.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://unicornjelly.com/"} +{"d:Title": "The Metropolitan Museum of Art: The Unicorn Tapestries", "d:Description": "History, video, audio, and photographs where they reside at The Cloisters, a branch of The Metropolitan Museum of Art.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "http://www.metmuseum.org/metmedia/interactives/adults-teachers/the-unicorn-tapestries"} +{"d:Title": "Britannica Concise: Unicorn", "d:Description": "Encyclopedic resource about the mythical creature; plants, animals, and insects who share the name.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns", "url": "https://www.britannica.com/topic/unicorn"} +{"d:Title": "Jordan Greywolf's Art Gallery: Unicorns", "d:Description": "Illustrations of unicorns by T. Jordan \"Greywolf\" Peacock.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns/Art", "url": "http://greywolf.critter.net/gallery/unicornsgallery.htm"} +{"d:Title": "The Unicorn and the Jorge", "d:Description": "Unicorn picture gallery with references to the artists where available; professional artwork of tattoos, paintings, sketches, drawings, and digital.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns/Art", "url": "http://members.shaw.ca/unicornhaven/UnicornIndex.html"} +{"d:Title": "deviantART: Unicorn Club", "d:Description": "Community gallery of art and artists, with contests and a members list.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns/Art", "url": "http://unicorn-club.deviantart.com/"} +{"d:Title": "A Unicorn's Tears", "d:Description": "Image gallery, with credits where known.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns/Personal_Pages", "url": "http://www.unicorntears.com/"} +{"d:Title": "Unicorn World", "d:Description": "Water applet images with accompanying stories and quotes.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns/Personal_Pages", "url": "http://darle.tripod.com/page18.html"} +{"d:Title": "Kristin's Magical Unicorn World", "d:Description": "Collected images of unicorns and a few other fantasy pictures. [May not be compatible with all browsers]", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns/Personal_Pages", "url": "http://unicorn975.tripod.com/"} +{"d:Title": "Stacey's Unicorn Magic", "d:Description": "Pictures, stories, and a tour of unicorn images.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Unicorns/Personal_Pages", "url": "http://stace123.tripod.com/"} +{"d:Title": "The Werewolf Cafe", "d:Description": "Board discussions with news, movies, art and artists, music, games, fiction and literature.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Werewolves", "url": "http://forum.werewolfcafe.com/"} +{"d:Title": "Moon Song", "d:Description": "Community forum of topics from skinwalking and mythology to movies and toys.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Werewolves", "url": "http://forestoftheoldones.yuku.com/"} +{"d:Title": "deviantART: Werewolves At Heart", "d:Description": "Art group displaying sculptures, drawings, paintings, costumes, and writings from the community.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Werewolves", "url": "http://werewolvesatheart.deviantart.com/"} +{"d:Title": "The Pack", "d:Description": "Discussion on how werewolves are - or should be - in fiction, movies, and folklore; includes sections on art, writing, role-play and other community activities.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Werewolves", "url": "http://thepacksden.net/thepackboard/"} +{"d:Title": "Elftown: Pegasus", "d:Description": "Modern popular culture from games to television, physical anatomy and mythological references; writings, art and links.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Winged_Equines", "url": "http://www.elftown.com/_Pegasus"} +{"d:Title": "Lair2000: Pegasus", "d:Description": "Creature parentage and facts; adoptions, coloring pages, music and lyrics; galleries with art and sculpture; desktop themes, screensavers and wallpaper; chimera information.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Winged_Equines", "url": "http://lair2000.net/Pegasus_Roost/Pegasus_Roost.html"} +{"d:Title": "Rich's Pegopedia", "d:Description": "Symbolism and a glossary of related creatures and equines both mythological and fictitious.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Winged_Equines", "url": "http://users.cwnet.com/xephyr/rich/fantasy/Pegopedia.html"} +{"d:Title": "Pegasus Heights", "d:Description": "Stories, image galleries, and appearances in books, television and movies; links to related content.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Fantasy_Races_and_Creatures/Winged_Equines", "url": "http://www.myth-and-fantasy.com/pegasus/"} +{"d:Title": "Jeff Russell's Starship Dimensions", "d:Description": "Compares ships used in science fiction to those in the real world. Images, dimensions, and movie sources.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Space", "url": "http://www.merzo.net/"} +{"d:Title": "Alien Alley Art Gallery", "d:Description": "Alien and UFO-related art, stories, and movies by various artists.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Themes/Space", "url": "http://www.alienalley.com/"} +{"d:Title": "The Fairy Ring", "d:Description": "A site dedicated to art through fantasy. Here, fairies dance, unicorns prance, and dragons reign supreme. Make your wish, enter the ring.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Web_Rings", "url": "http://www.angelfire.com/games/TheFairyRing/"} +{"d:Title": "Unicron Dreams&Angels' Wings WebRing", "d:Description": "For family oriented fantasy sites.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Web_Rings", "url": "http://freepages.folklore.rootsweb.ancestry.com/~chatsol/unnidreamsring.htm"} +{"d:Title": "Science Fiction WebRing", "d:Description": "Links sites which contain information on films and television shows.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Web_Rings", "url": "http://www.webring.org/hub?ring=scifi"} +{"d:Title": "Fantasy Worlds Webring", "d:Description": "Lists sites related to fantasy and sci-fi.", "topic": "Top/Arts/Genres/Science_Fiction_and_Fantasy/Web_Rings", "url": "http://www.webring.org/hub?ring=fantasyworlds"} +{"d:Title": "About.com: Graphic Design", "d:Description": "Design resources, articles, and employment information.", "topic": "Top/Arts/Graphic_Design", "url": "http://graphicdesign.about.com/"} +{"d:Title": "Graphic Competitions", "d:Description": "An annotated directory of international graphic design competitions, Macintosh news, graphics news, resources and bookstore.", "topic": "Top/Arts/Graphic_Design", "url": "http://www.graphiccompetitions.com/"} +{"d:Title": "About Graphic Design", "d:Description": "The latest articles by Graphic Design Guide.", "topic": "Top/Arts/Graphic_Design", "url": "http://z.about.com/6/g/graphicdesign/b/index.xml"} +{"d:Title": "Dmitry's Design Lab", "d:Description": "Monthly tutorials about the basics of graphic design, including color, fonts, shape, texture, and the principles of using artwork, photography and animation.", "topic": "Top/Arts/Graphic_Design", "url": "http://www.webreference.com/dlab/"} +{"d:Title": "L\u00fcrzer's Archive Online Services", "d:Description": "Archive of advertising graphic design for print, television, product packaging, commercial illustration and other subject areas. Submission form provided.", "topic": "Top/Arts/Graphic_Design", "url": "http://www.luerzersarchive.com/"} +{"d:Title": "Design: Talkboard", "d:Description": "Provides resources, job information, news, talk forums, software training, computers and employment issues.", "topic": "Top/Arts/Graphic_Design", "url": "http://www.designtalkboard.com/"} +{"d:Title": "The Head-Space Project", "d:Description": "A non-commercial collaborative creative site, open to new contributors anywhere on the web, and including art, design, poetry, prose, discussion on racism, creativity, and crop circles.", "topic": "Top/Arts/Graphic_Design/Collectives/Projects", "url": "http://www.head-space.org/"} +{"d:Title": "Morla Design", "d:Description": "An experiment, exploring the nature of communication through internet dialogue.", "topic": "Top/Arts/Graphic_Design/Collectives/Projects", "url": "http://www.morladesign.com/"} +{"d:Title": "Hong Kong Communication Art Centre", "d:Description": "School in Hong Kong, offering a variety of courses, including graphic design. In English and Chinese.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.cac.edu.hk/"} +{"d:Title": "Institute for Creative Technologies", "d:Description": "Program of the University of Southern California. Information on internships, projects and research.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://ict.usc.edu/"} +{"d:Title": "School of Visual Concepts", "d:Description": "Specializes in portfolio development for graphic design, advertising art direction, web design, and computer graphics. Located in Seattle, Washington U.S.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.svcseattle.com/"} +{"d:Title": "Ad School", "d:Description": "Programs in graphic design and art direction offered in locations worldwide.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.adschool.edu/"} +{"d:Title": "Find Graphic Design Schools", "d:Description": "Links to schools and colleges throughout the U.S. and Canada that offer courses and programs in graphic design.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.graphic-design-schools.com/"} +{"d:Title": "Sessions.edu", "d:Description": "Online school of design and New Media provides course listing, programs, student gallery and news.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.sessions.edu/"} +{"d:Title": "The Art Institute Online", "d:Description": "Certificate, Associates Degree and Bachelor of Science programs in graphic design and digital design.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.aionline.edu/"} +{"d:Title": "Florence Design Academy", "d:Description": "Graphic design school, interior design, industrial design and 3D graphic animation.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.florencedesignacademy.com/a_graph_eng.html"} +{"d:Title": "teaching-design.com", "d:Description": "Network of the various sites done by schools of graphic design. Texts, calendar of the events and of the publications and a bibliography for students and teachers. Site in French and English.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.teaching-design.com/"} +{"d:Title": "Collins College", "d:Description": "Certificate program designed for the individual who wants training in a print oriented, computer graphics environment.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.collinscollege.edu/"} +{"d:Title": "Creative Circus", "d:Description": "Two year certificate-granting school, offering programs in advertising, design and photography. Located in Atlanta, Georgia.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.creativecircus.edu/"} +{"d:Title": "School of Advertising Art (SAA)", "d:Description": "Training in graphic design, web design, illustration and computer animation. Located in Dayton, Ohio.", "topic": "Top/Arts/Graphic_Design/Education", "url": "http://www.saa.edu/"} +{"d:Title": "Iowa State University: College of Design", "d:Description": "Undergraduate and graduate program information, history, philosophy, courses, online gallery and resources. Located in Ames, Iowa.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://www.design.iastate.edu/"} +{"d:Title": "Art/Graphic Design", "d:Description": "Overview of program and information on faculty, courses, associations, facilities and careers. Located in Bowling Green, Ohio.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://go2.bgsu.edu/choose/academics/majors/?interest=ARTG"} +{"d:Title": "Arizona State University - College of Design", "d:Description": "Undergraduate and graduate programs, faculty, student services, events calendar and contact information.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://design.asu.edu/"} +{"d:Title": "Mt. Hood Community College", "d:Description": "Provides outline of courses available in the two-year Associate of Applied Science degree for graphic design. Located in Gresham, Oregon.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://mhccim.com/"} +{"d:Title": "University of Washington | Visual Communication Design", "d:Description": "Program overview and information on faculty, curriculum, research and resources.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://depts.washington.edu/designuw/VCD_overview.htm"} +{"d:Title": "SUNY New Paltz", "d:Description": "Undergraduate: offers BA, BS, and BFA degrees. New Paltz is located in the Hudson Valley region New York.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://www.newpaltz.edu/graphicdesign/"} +{"d:Title": "Paier College of Art", "d:Description": "Program curriculum and course catalog offered for art school located in Hamden, Connecticut.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://www.paiercollegeofart.edu/pages/programs/graphics.html"} +{"d:Title": "School of Visual Arts at Pennsylvania State University", "d:Description": "Program overview, faculty profiles, degree options, courses, online gallery of student work and information on graduate studies.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://sova.psu.edu/"} +{"d:Title": "Academy of Art University", "d:Description": "Graphic design program emphasizes conceptual development, strategic thinking, mastery of technique and verbal presentation. San Francisco, California.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://www.academyart.edu/graphic-design-school/"} +{"d:Title": "Parkland College", "d:Description": "Program overview, course descriptions, degree requirements, student show and links. Located in Champaign, Illinois.", "topic": "Top/Arts/Graphic_Design/Education/Colleges_and_Departments", "url": "http://gds.parkland.edu/"} +{"d:Title": "Newhouse Associates", "d:Description": "Placement and recruiting firm based in New York state and working with printing and graphic arts industries and organizations.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.printjobs.com/"} +{"d:Title": "CoSwap", "d:Description": "Post creative projects or bid on a limited number of competitive freelance opportunities.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.coswap.com/"} +{"d:Title": "Creative Jobs", "d:Description": "View a limited number of creative sector job listings or post jobs at this site based in the United Kingdom.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.creativejobs.co.uk/"} +{"d:Title": "Designcoop", "d:Description": "View a limited number of portfolios in this recruitment site targeted at the Bristol or South West area of the United Kingdom. Sign up to post work or portfolios.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.designcoop.co.uk/"} +{"d:Title": "Freelance Designers", "d:Description": "Search or post to a national directory of web designers, graphic designers, web developers, photographers and writers.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.freelancedesigners.com/"} +{"d:Title": "DesignQuote", "d:Description": "Find project-based work in graphic design, web design, and programming. Post announcements for work listings.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.designquote.net/"} +{"d:Title": "Graphic Design Employment", "d:Description": "Read articles and advice on becoming a successfully employed graphic designer. Authored by Nick Beresford-Davies, owner of Tinstar Design Ltd.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.graphic-design-employment.com/"} +{"d:Title": "A Digital Dreamer", "d:Description": "Learn how to become a graphic designer. Read career articles, find graphic design schools and related art degrees, explore jobs and participate in the forums", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://www.adigitaldreamer.com/"} +{"d:Title": "DesignM.ag", "d:Description": "Peruse a community-based website for web designers and developers. Includes a blog, user-submitted news, design gallery, and a job board.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://designm.ag/"} +{"d:Title": "Keva Dine Agency", "d:Description": "Get contact information for a California-based placement firm for creative professionals and employers.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://kevadine.com/"} +{"d:Title": "99 Designs", "d:Description": "An online marketplace that brings designers and clients together.", "topic": "Top/Arts/Graphic_Design/Employment", "url": "http://99designs.com/"} +{"d:Title": "Titles Designed by Saul Bass", "d:Description": "Interactive image gallery includes title credits for the designer with brief overview of each project.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Bass,_Saul", "url": "http://www.notcoming.com/saulbass/index2.php"} +{"d:Title": "Wikipedia - Saul Bass", "d:Description": "Biography includes early life, movie title, and a listing of well known logos.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Bass,_Saul", "url": "http://en.wikipedia.org/wiki/Saul_Bass"} +{"d:Title": "Saul Bass: A Film Title Pioneer", "d:Description": "Feature article provided by Digital Media FX. Written by Noell Wolfgram Evans.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Bass,_Saul", "url": "http://www.digitalmediafx.com/Features/saulbass.html"} +{"d:Title": "AIGA - Saul Bass", "d:Description": "Biographical article written by David R. Brown. Sample logo designs include AT&T and Exxon.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Bass,_Saul", "url": "http://www.aiga.org/medalist-saulbass/"} +{"d:Title": "Ad Age.com - Willaim Bernbach", "d:Description": "Advertising Age's 20th century honor roll of advertising's most influential people.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Bernbach,_William", "url": "http://adage.com/century/people001.html"} +{"d:Title": "Wikipedia: William Bernbach", "d:Description": "Encyclopedia article about the American advertising creative director.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Bernbach,_William", "url": "http://en.wikipedia.org/wiki/William_Bernbach"} +{"d:Title": "Wikipedia: Neville Brody", "d:Description": "Encyclopedia article about the English graphic designer, typographer and art director.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Brody,_Neville", "url": "http://en.wikipedia.org/wiki/Neville_Brody"} +{"d:Title": "David Carson", "d:Description": "Contains biography, works and client list for the well known typographic designer.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Carson,_David", "url": "http://www.davidcarsondesign.com/"} +{"d:Title": "Wikipedia - David Carson", "d:Description": "Encyclopedia article covers the early years, career, publications and awards for the graphic designer born in Corpus Christi, Texas.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Carson,_David", "url": "http://en.wikipedia.org/wiki/David_Carson_(graphic_designer)"} +{"d:Title": "Cassandre", "d:Description": "Biography, index of works and gallery for the poster and theatre designer, lithographer, painter and creator of typefaces.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Cassandre,_A._M.", "url": "http://www.cassandre.fr/"} +{"d:Title": "Linotype - A. M. Cassandre", "d:Description": "Background information about the font designer.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Cassandre,_A._M.", "url": "http://www.linotype.com/358/amcassandre.html"} +{"d:Title": "Wikipedia - Adolphe Mouron Cassandre", "d:Description": "Brief biography for the influential Ukrainian-French painter, commercial poster artist, and typeface designer.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Cassandre,_A._M.", "url": "http://en.wikipedia.org/wiki/Adolphe_Mouron_Cassandre"} +{"d:Title": "Speak Up - Art Chantry Speaks Up", "d:Description": "Interview with the designer by Christopher May, November 18, 2002.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Chantry,_Art", "url": "http://www.underconsideration.com/speakup/archives/001306.html"} +{"d:Title": "The Believer - Chip Kidd talks with Milton Glaser", "d:Description": "Background information and interview from September 2003 issue.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Glaser,_Milton", "url": "http://www.believermag.com/issues/200309/?read=interview_glaser"} +{"d:Title": "Milton Glaser, Inc.", "d:Description": "Learn about the firm and its interdisciplinary, internationally known designer who co-founded Pushpin Studios, New York Magazine, and the WBMG publication design firm. See posters, illustration, and other projects.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Glaser,_Milton", "url": "http://www.miltonglaser.com/"} +{"d:Title": "Milton Glaser: Design, Influence and Process", "d:Description": "Brief article focused on the retrospective exhibition which illustrated the variety and invention of his design process. Philadelphia Museum of Art; November 15, 2000 - January 21, 2001.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Glaser,_Milton", "url": "http://www.philamuseum.org/exhibitions/2001/39.html"} +{"d:Title": "Raymond Loewy", "d:Description": "Includes a biography, chronological listing of career highlights, photographs and awards.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Loewy,_Raymond", "url": "http://www.raymondloewy.com/"} +{"d:Title": "Raymond Loewy - An American Industrial Designer", "d:Description": "Brief biography and samples of his work.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Loewy,_Raymond", "url": "http://www.art.net/Lile/loewy/designer.html"} +{"d:Title": "Raymond Loewy Foundation", "d:Description": "Provides biographical information about the industrial designer as well as design student scholarships.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Loewy,_Raymond", "url": "http://www.raymondloewyfoundation.com/"} +{"d:Title": "Paul Rand - A Master's Peaks", "d:Description": "Tribute to the influential graphic designer whose work included logos for IBM, UPS and others. By DLS Design.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Rand,_Paul", "url": "http://www.dlsdesign.com/paul_rand/"} +{"d:Title": "Paul Rand: Graphic Designer", "d:Description": "Read an interview of this past influential designer on the subjects of graphic design critique, design philosophy and design education.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Rand,_Paul", "url": "http://www.mkgraphic.com/paulrand00.html"} +{"d:Title": "Wikipedia - Paul Rand", "d:Description": "Contains a brief biography and sample logo designs.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/Rand,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_Rand"} +{"d:Title": "AIGA - Zuzana Licko and Rudy VanderLans", "d:Description": "Article and sample work. \"Critical Conditions: Zuzana Licko, Rudy VanderLans, and the Emigr\u00e9 Spirit\" by Michael Dooley.", "topic": "Top/Arts/Graphic_Design/Graphic_Designers/VanderLans,_Rudy", "url": "http://www.aiga.org/medalist-zuzanalickoandrudyvanderlans/"} +{"d:Title": "Remember Those Great Volkswagen Ads?", "d:Description": "Profile of book which is a compilation of Volkswagen's press, radio and television campaigns.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.greatvwads.com/"} +{"d:Title": "Graphic Design from the 1920s and 1930s in Travel Ephemera", "d:Description": "View an international collection of travel brochures, airline and ocean liner time-tables, auto road maps, luggage labels, advertising and publications.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.travelbrochuregraphics.com/"} +{"d:Title": "National Academic Mailing List Service: Design History", "d:Description": "Text-based forum for design issues, local information, and reviews by the Design History Society in the United Kingdom.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.jiscmail.ac.uk/lists/design-history.html"} +{"d:Title": "April Greiman: Made in Space", "d:Description": "Visit the home page of this pioneering woman who helped introduce the computer vernacular to design through experimental mergers of type and image.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.aprilgreiman.com/"} +{"d:Title": "Museum of Modern Art: Aleksandr Rodchenko", "d:Description": "The Museum of Modern Art in New York presents the history and work of one of the leading Russian artists in the period following the Revolution of October 1917.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.moma.org/exhibitions/1998/rodchenko/"} +{"d:Title": "Unimark International", "d:Description": "Read about the formation, success and eventual decline of the design firm founded by Ralph Eckerstrom, former design director for Container Corporation of America, and Massimo Vignelli.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.unimark-international.com/"} +{"d:Title": "American Institute of Graphic Arts (AIGA) Design Archives", "d:Description": "Browse, search and view more than 20,000 design pieces dated from 1924 to present, and appearing in the AIGA's annual juried design competitions. Includes special collections of major American design firms and practitioners.", "priority": "1", "topic": "Top/Arts/Graphic_Design/History", "url": "http://designarchives.aiga.org/"} +{"d:Title": "Graphic Design Archive Online", "d:Description": "A project of the Rochester Institute of Technology, the image archives focus on significant American graphic designers active from the 1920s to the 1950s. Selected contemporary designers working in the modernist traditions are also included.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://design.rit.edu/"} +{"d:Title": "Art of Persuasion", "d:Description": "Read a critical analysis of American advertising and design during the early 20th century. View samples.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://xroads.virginia.edu/~MA99/piazza/portfolio/adweb/"} +{"d:Title": "Docs Populi", "d:Description": "View the home page of Lincoln Cushing, Cuban poster artist, with his collections of oppositional visual art, political propaganda, and related writings.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.docspopuli.org/"} +{"d:Title": "Pushpin Group", "d:Description": "Explore the graphic and illustrative work of Seymour Chwast, one of the founding members of the iconic Push Pin Studios in 1954, along with Milton Glaser and Edward Sorel.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.pushpininc.com/"} +{"d:Title": "Wikipedia: Dada", "d:Description": "Read about the history, literature and design characteristics of this anti-art cultural movement that grew from World War II to 1920.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://en.wikipedia.org/wiki/Dada"} +{"d:Title": "Wikipedia: Graphic Design", "d:Description": "Read an account of early and modern graphic design history in this encyclopedia article. View sample images.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://en.wikipedia.org/wiki/Graphic_design"} +{"d:Title": "Wikipedia: El Lissitzky", "d:Description": "Read about the life and works of this Russian avant-gardist who helped develop Suprematism and influenced architecture, literature, art, photography and design.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://en.wikipedia.org/wiki/El_Lissitzky"} +{"d:Title": "Flickr: Photos from Alki1", "d:Description": "View personal image collections from a contributor. Sets of images range from American and Bauhaus to Russian Constructivist and Swiss International design.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.flickr.com/photos/20745656@N00/sets/"} +{"d:Title": "Design History Society", "d:Description": "The Society promotes and supports the study of design history. Its activities include the publication of the Journal of Design History and newsletter, an annual conference, management of a discussion list, grants and prizes for research, and awards for events.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.designhistorysociety.org/"} +{"d:Title": "Moore College of Art&Design: Graphic Design History", "d:Description": "View lectures and coursework based on Phillip Meggs' textbooks.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.designhistory.org/"} +{"d:Title": "Parkland College: GDS 131 Graphic Design History", "d:Description": "Review an online course given by Parkland College's Fine and Applied Arts Department in Champaign, Illinois.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://gds.parkland.edu/gds/131/"} +{"d:Title": "Smithsonian American Art Museum: Posters American Style", "d:Description": "View major posters from the last century. Learn about their design, printing and historical impact on culture. Includes audio.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://americanart.si.edu/exhibitions/online/posters/index.html"} +{"d:Title": "University of Florida: Graphic Design: Typography", "d:Description": "Examine typographic specimens in book designs from the 15th to 20th centuries. From the Rare Book Collection of the Department of Special Collections.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://web.uflib.ufl.edu/spec/rarebook/art3283c/"} +{"d:Title": "Colophon Page: The Italian Futurist Book", "d:Description": "View an exhibition showcasing Futurism's innovative uses of typography from 1909-1944.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.colophon.com/gallery/futurism/"} +{"d:Title": "Campaign for Nuclear Disarmament (CND): The CND Logo", "d:Description": "Read an essay about the history behind the peace symbol, designed in 1958 by Gerald Holtom.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.cnduk.org/information/info-sheets/item/435-the-cnd-symbol"} +{"d:Title": "Salon: Tibor Kalman", "d:Description": "The obituary of this innovative designer, best known for the work he created with his New York design firm and his brief editorship of Colors magazine.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.salon.com/1999/05/19/kalman/"} +{"d:Title": "National Library of Australia: Follow the Sun", "d:Description": "View a collection of 1930s to 1950s Australian travel posters. Browse images by destination, symbol, style, or artist.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://pandora.nla.gov.au/pan/36385/20030707-0000/www.nla.gov.au/exhibitions/sun/index.html"} +{"d:Title": "History of Graphic Design", "d:Description": "View examples and read background on graphic design milestones. Includes photos, videos, chronology and references.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.historygraphicdesign.com/"} +{"d:Title": "Famous Logos", "d:Description": "View a compilation of popular logos and their design elements.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://www.famouslogos.net/"} +{"d:Title": "About: Graphic Design: History", "d:Description": "View condensed timelines spanning tens of thousands of years, from the first known visual communication to the computer age of today.", "topic": "Top/Arts/Graphic_Design/History", "url": "http://graphicdesign.about.com/od/history/"} +{"d:Title": "Bak Magazine", "d:Description": "Turkey-based free graphic design magazine in pdf format for both Mac and PC, featuring interview with well-known graphic designer and art works from graphic design enthusiasts.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.bakdergisi.com/"} +{"d:Title": "Digital Arts", "d:Description": "Online edition of the graphic design, visual effects, and new media magazine for professionals.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.digitalartsonline.co.uk/"} +{"d:Title": "eclipse e-zine", "d:Description": "Templates, designer bulletin, resources, moonlighting, tongue-in-cheek views and job links.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.lunareclipse.net/"} +{"d:Title": "Computer Graphics World", "d:Description": "Online source explores visual computing techniques and innovations including 3D modeling, animation, visualization, rendering, simulation and applications such as MCAD/CAM/CAE for engineers, designers and animators.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.cgw.com/"} +{"d:Title": "HOW Magazine", "d:Description": "Print publication offers editorials, competition announcements, survey results, technology news, bookstore with online shopping, links to design resources, and a message board.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.howdesign.com/"} +{"d:Title": "Atlas Magazine", "d:Description": "San Francisco-based webzine of photojournalism, graphics, editorials, gallery and illustration.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.atlasmagazine.com/"} +{"d:Title": "Communication Arts", "d:Description": "Print publication offering competitions, forums, feature articles, job bank, graphic design resources and online shopping.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.commarts.com/"} +{"d:Title": "Graphis", "d:Description": "International journal of visual communication. Offers current and back issues, subscription information.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.graphis.com/"} +{"d:Title": "Graphic Design Basics", "d:Description": "Contest listings, articles, tips, selected books and resources, updated weekly.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.graphicdesignbasics.com/"} +{"d:Title": "Eye", "d:Description": "The international quarterly review of graphic design for artists and designs professionals. Includes critques and back issues available for purchase.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.eyemagazine.com/"} +{"d:Title": "Design is Kinky", "d:Description": "A digital publication geared to graphic design in all media. Profiles, mug shots, theory and galleries.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.designiskinky.net/"} +{"d:Title": "Graphic Exchange magazine", "d:Description": "A publication for professionals in graphic communications and graphic arts, editorial focus on creative imaging, graphic design and prepress. Free subscriptions mailed within Canada.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.gxo.com/"} +{"d:Title": "PrintAction", "d:Description": "Monthly magazine for the printing industry in Canada offers technical and business news and features.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.printaction.com/"} +{"d:Title": "The Faesthetic", "d:Description": "Yearly graphic design and art magazine printed in small quantities with submissions from talented creatives from around the world.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.faesthetic.com/"} +{"d:Title": "Neotabu", "d:Description": "An online magazine with articles about design, art, architecture, and nature.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.neotabu.com/"} +{"d:Title": "Designer-Info", "d:Description": "Giving graphic designers the information they need: articles, tutorials, and software reviews.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.designer-info.com/"} +{"d:Title": "GraphicsNews", "d:Description": "Covers news for the graphic design, computer graphic and web graphic community.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://graphics.com/"} +{"d:Title": "2D Artist", "d:Description": "Magazine about concepts art, digital and matte painting with tutorials. Light issues are available for free.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.2dartistmag.com/"} +{"d:Title": "Layers Magazine", "d:Description": "Layers magazine is the \"How-to magazine for everything Adobe\".", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.layersmagazine.com/"} +{"d:Title": "BEAST Magazine", "d:Description": "The beast magazine is an inspirational design graphic magazine in pdf format", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.ths.nu/beast/"} +{"d:Title": "Before&After", "d:Description": "Sharing practical and simple approaches to graphic design like how to design brochure, leaflet, calendar,logo, presentation building and many design ideas. Available in print and PDF format.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.bamagazine.com/"} +{"d:Title": "K10k", "d:Description": "A matrix architect's information designer lunchbox.", "topic": "Top/Arts/Graphic_Design/Magazines_and_E-zines", "url": "http://www.cubancouncil.com/work/project/kaliber-10000"} +{"d:Title": "Organization of Black Designers", "d:Description": "Non-profit national professional association dedicated to addressing the unique needs of African-American design professionals.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.obd.org/"} +{"d:Title": "National Association for Printing Leadership", "d:Description": "Providing graphic arts industry members with information on a wide variety of educational services, events, and products related to the printing industry.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.napl.org/"} +{"d:Title": "American Institute of Graphic Arts (AIGA)", "d:Description": "NY, USA. For American design professionals. A place to exchange ideas and information, participate in critical analysis and research, and advance education and ethical practice.", "priority": "1", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.aiga.org/"} +{"d:Title": "The Color Association of the United States (CAUS)", "d:Description": "Founded 1915, NY, USA. For all designers who use color. Color forecasting organization holding extensive color archives, trend information, custom color consulting and publications.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.colorassociation.com/"} +{"d:Title": "Color Marketing Group (CMG)", "d:Description": "Founded 1962, Alexandria, USA. For international color designers. A not-for-profit association of designers involved in the use of color as it applies to the profitable marketing of goods and services.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.colormarketing.org/"} +{"d:Title": "International Color Consortium (ICC)", "d:Description": "Founded 1993, Reston, USA. For international color designers. Membership organization established by industry vendors for the purpose of creating, promoting and encouraging the standardization and evolution of a cross-platform color management system architecture and components. Developed the ICC profile specification.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.color.org/"} +{"d:Title": "International Council of Graphic Design Associations (icograda)", "d:Description": "Founded 1963, London, UK. For international graphic designers. The world's non-governmental and non-political representative and advisory body for graphic design and visual communication.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.icograda.org/"} +{"d:Title": "Society for News Design (SND)", "d:Description": "North Kingston, USA. For international designers in the news industry. Publishers of annual \"Best of Newspaper Design\". Formerly the Society of Newspaper Design.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.snd.org/"} +{"d:Title": "Society for Environmental Graphic Design (SEGD)", "d:Description": "Washington DC, USA. For international graphic designers, fabricators, students and educators involved in the field of environmental graphic design. A non-profit educational organization.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.segd.org/"} +{"d:Title": "Design Management Institute (DMI)", "d:Description": "Founded 1975, Boston, USA. For design managers. A non-profit organization dedicated to demonstrating the strategic role of design in business and to improving the management and utilization of design.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.dmi.org/"} +{"d:Title": "Society of Publication Designers (SPD)", "d:Description": "Founded 1965, NY, USA. For international trade, corporate, institutional, newspaper and consumer editorial art directors.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.spd.org/"} +{"d:Title": "Graphic Designers of Canada (GDC)", "d:Description": "Founded 1976, Ottawa, Canada. For Canadian graphic designers. A member-based graphic design organization of design professionals, educators and students.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "https://gdc.net/"} +{"d:Title": "Creative Business", "d:Description": "A business information resource for principals of graphic design and communications companies and freelance graphic designers, copywriters and illustrators.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.creativebusiness.com/"} +{"d:Title": "Design Council", "d:Description": "Helps people and organisations in business, education, public services and government understand design and use it effectively as part of their strategy.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.designcouncil.org.uk/"} +{"d:Title": "Letter Exchange", "d:Description": "Society for professionals involved in the lettering arts and crafts, from calligraphy and letter-cutting, through design for print, publishing and typography, to signage and architectural lettering.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.letterexchange.org/"} +{"d:Title": "The Grolier Club", "d:Description": "Membership organization founded in 1884 for aficionados of the graphic arts. Information on research library, public exhibitions and publications.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.grolierclub.org/"} +{"d:Title": "Institute of Designers in Ireland", "d:Description": "Professional body representing the interests of Irish designers. Newsletter, annual reports and downloadable forms provided.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.idi-design.ie/"} +{"d:Title": "Society of Illustrators, Artists and Designers", "d:Description": "International organisation founded to promote excellence in the art and technique of all visual media and advancement of professional media practice. United Kingdom.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.siad.org/"} +{"d:Title": "ArtYears", "d:Description": "Community portal offering tips and resources for graphic designers.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://artyears.wordpress.com/"} +{"d:Title": "Graphic Artists Guild", "d:Description": "Founded 1967, Detroit, USA. For American visual creatives (design, web, illustration). A union of professionals who have come together to pursue common goals, share their experience, raise industry standards, and improve their ability to achieve satisfying and rewarding careers. Producers of the book \"Handbook of Pricing and Ethical Guidelines\".", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.graphicartistsguild.org/"} +{"d:Title": "Association of Registered Graphic Designers of Ontario (RGD Ontario)", "d:Description": "Founded 1996, Toronto, Canada. For Ontario graphic designers. A member association of the GDC. Grants qualified graphic designers right to the exclusive use of the designations Registered Graphic Designer and R.G.D. and is the governing and disciplinary body for its members.", "topic": "Top/Arts/Graphic_Design/Organizations", "url": "http://www.rgd.ca/"} +{"d:Title": "Adams, Rob - Treeshark", "d:Description": "Portfolio of graphic design work including animation, illustration, and contact information.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/A", "url": "http://www.treeshark.com/"} +{"d:Title": "Bruce, Cathy", "d:Description": "Graphics, illustration and web design. Resume, portfolio, and references section.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/B", "url": "http://www.cathybruce.com/"} +{"d:Title": "Bing, Rebecca", "d:Description": "Portfolio of web and print designer. Also includes clients and resume pages.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/B", "url": "http://www.rebeccabing.com/"} +{"d:Title": "Bedorf, Peter", "d:Description": "Advertisement, poster and website design. Resume, portfolio, biography and contact information.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/B", "url": "http://peterbedorf.com/"} +{"d:Title": "Barnes, Aaron", "d:Description": "Portfolio of print and new media design. Includes contact and biographical information.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/B", "url": "http://www.comutek.com/"} +{"d:Title": "Benedict, John", "d:Description": "Portfolio of graphic and layout design work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/B", "url": "http://www.704one.com/"} +{"d:Title": "Bauer, Micah J.", "d:Description": "Print, packaging, motion, and typography design. Site is in the form of a graphic journal.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/B", "url": "http://www.mjbauer.com/"} +{"d:Title": "Batton, Patrick", "d:Description": "Showcase of work in graphic, web and logo design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/B", "url": "http://www.patrickbatton.com/"} +{"d:Title": "Camille Lord", "d:Description": "Inspired links between ideas, images and music.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://www.camillelord.com/"} +{"d:Title": "Curry, Jocelyn", "d:Description": "Calligraphy, illustration, portfolio, and contact information.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://www.jocelyncurry.com/"} +{"d:Title": "Celtic Bug", "d:Description": "Original artwork, animations, screensavers and information on Celtic Heritage.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://www.celticbug.com/"} +{"d:Title": "creatingmore.com", "d:Description": "Gallery of web and print design work. Includes biographical information and inspirations of the designer.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://www.creatingmore.com/"} +{"d:Title": "Caratozzolo, Roy", "d:Description": "Includes graphic design for textbooks, web and photography.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://www.tozzophoto.com/"} +{"d:Title": "Dyango Chavez Cuti\u00f1o", "d:Description": "Samples of logos, brochures, art direction for magazines, poster and catalog design, web design and multimedia.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://www.dyangochavez.com/"} +{"d:Title": "Capella, Joanne", "d:Description": "Graphic design, package design, illustration, desktop and web publishing services.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://www.joannecapella.com/"} +{"d:Title": "Clazie, Ian", "d:Description": "Freelance web designer with a graphic design and illustration background. Examples of commercial and personal graphic design work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/C", "url": "http://clazie.wordpress.com/"} +{"d:Title": "Dekker, Rens", "d:Description": "Portfolio of photographic and graphic design work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/D", "url": "http://www.rensdekker.nl/"} +{"d:Title": "Disney, Roger", "d:Description": "The site showcases work in graphic design, illustration and photography.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/D", "url": "http://rogerdisney.com/"} +{"d:Title": "eightize", "d:Description": "A selection of graphic design and work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/E", "url": "http://www.eightize.com/"} +{"d:Title": "el78XL", "d:Description": "Gallery of independent art, photography, graffiti and music.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/E", "url": "http://members.tripod.com/el78XL/"} +{"d:Title": "Elam, Dave", "d:Description": "Portfolio of graphic designer, including magazine layouts and website design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/E", "url": "http://www.daveelam.com/"} +{"d:Title": "Exhibit Visions", "d:Description": "Freelance designer of trade show exhibits, new product concepts, Flash websites, and graphics.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/E", "url": "http://exhibitvisions.com/"} +{"d:Title": "Einweg, Uli", "d:Description": "Portfolio and resume of an Austrian graphic design student.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/E", "url": "http://www.kw350.com/"} +{"d:Title": "Fitzgerald, Liam", "d:Description": "Portfolio of graphic design work for print and digital media. Includes advertising, identity design and photography.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/F", "url": "http://www.xeropoint.net/"} +{"d:Title": "Fat Lazy Chicken", "d:Description": "Humor site filled with funny pictures and online games.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/F", "url": "http://www.angelfire.com/fl5/fatlazy/"} +{"d:Title": "Graphic Realms", "d:Description": "A gallery of computer graphics. Site also includes a page on tips for designers.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/G", "url": "http://www.graphica.8m.com/"} +{"d:Title": "Gobi, Gabor", "d:Description": "Portfolio site of Hungarian designer, including samples of poster, web, illustration and editorial work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/G", "url": "http://gpower.hu/"} +{"d:Title": "Gerwen, Paul - notions", "d:Description": "Includes a portfolio and resume.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/G", "url": "http://www.notions-online.com/creative/"} +{"d:Title": "Gayet, Thomas", "d:Description": "The Refractions. Portfolio of logos, graphic and website design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/G", "url": "http://therefractions.com/"} +{"d:Title": "G\u00e1csi, Gergely", "d:Description": "Portfolio of graphic design, web design and Flash animation.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/G", "url": "https://www.behance.net/gacsi"} +{"d:Title": "Gathered Images", "d:Description": "Postcard John's creations.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/G", "url": "http://www.gatheredimages.com/"} +{"d:Title": "cubegrafik.com", "d:Description": "Steve Henseler", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.cubegrafik.com/"} +{"d:Title": "Heinzel, Dave", "d:Description": "Graphic design, photography and art.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.daveheinzel.com/"} +{"d:Title": "Hirsty, JJ designs", "d:Description": "Architectural and graphic design, competition entries, teaching and web site design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.jjhirsty.com/"} +{"d:Title": "Hioe, Mickey", "d:Description": "Web, graphic and banner design. Includes a profile, resume and portfolio.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.mickeyhioe.com/"} +{"d:Title": "Hoffman, Todd", "d:Description": "Portfolio and display of current graphic design work including CD covers, illustrations and logo design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.pencrush.com/"} +{"d:Title": "Howell, Peter", "d:Description": "Portfolio site of graphic and interactive designs. Includes samples of CD-ROM, website and animation work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.peterhowell.me.uk/"} +{"d:Title": "Hong, Esther", "d:Description": "Portfolio of print, packaging, website and identity design, photography and illustration.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.jinizm.com/"} +{"d:Title": "Hugot, Arthur", "d:Description": "Showcases the animation, web design, illustration, print, video games and 3D portfolio of the Paris-based designer.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/H", "url": "http://www.shaka-design.com/"} +{"d:Title": "Intense Graphics", "d:Description": "Avatars, graphics, and backgrounds.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/I", "url": "http://www.angelfire.com/art/resourses/"} +{"d:Title": "isotoxin.de", "d:Description": "Site featuring the artist's artwork and photoshop design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/I", "url": "http://www.isotoxin.de/"} +{"d:Title": "Jackson, JayJay", "d:Description": "Print design, web design, concert posters and illustration work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/J", "url": "http://www.seriousdesign.com/"} +{"d:Title": "Kuwayama", "d:Description": "Shinji Kuwayama, Graphic Designer", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.kuwayama.com/"} +{"d:Title": "Katye's Land of Southern Comfort", "d:Description": "Designer shares personal information, thoughts and samples of work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.angelfire.com/la/katye/"} +{"d:Title": "Kwo, John", "d:Description": "Graphic designer who has worked extensively in the fields of branding, corporate identity design, web site design, and editorial publishing. Includes a portfolio.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.johnkwo.com/"} +{"d:Title": "Kat Mew", "d:Description": "Melbourne based graphic designer and new media artist. Portfolio of commercial design and digital art.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.katmew.com/"} +{"d:Title": "Kanaan, Tim", "d:Description": "Portfolio of a freelance graphic designer specializing in print and outdoor advertising, logos and identity, and packaging graphics.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.timkanaan.com/"} +{"d:Title": "Kaye, David (Daive)", "d:Description": "Helsinki based concept designer provides a personal photographic gallery and digital art experimentation.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.daive.net/"} +{"d:Title": "Klassen, Sean", "d:Description": "Information about the designer and examples of work including websites, shirts, business cards, logo and poster design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.seanklassen.com/"} +{"d:Title": "Kneer, Phil", "d:Description": "Portfolio of a Canadian designer, containing examples of web design, graphic design, multimedia development and marketing/communications work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/K", "url": "http://www.kneer.ca/"} +{"d:Title": "Lee, Helen", "d:Description": "Offers logo design, banner design, computer graphics, and stationery design. Also offers web design and hosting services, and includes a portfolio.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/L", "url": "http://www.helenlee.co.uk/"} +{"d:Title": "Lawrence, Matthew", "d:Description": "Contains logos, illustrations, photographs, and a resume.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/L", "url": "http://webpages.marshall.edu/~lawrenc4/"} +{"d:Title": "Liluth Design", "d:Description": "Photoshop pictures, computer graphics, and html games.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/L", "url": "http://www.mahjqa.com/liluth"} +{"d:Title": "Lepinski, John", "d:Description": "Offers logo, interface, web and print design, Flash animation and illustration.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/L", "url": "http://www.johnlepinski.com/"} +{"d:Title": "Manstream, Kathleen", "d:Description": "Portfolio of graphic design work. Tour of the portfolio.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/M", "url": "http://kathleenmanstream.com/"} +{"d:Title": "Martin, Herbie", "d:Description": "Graphic design, illustration, photography and web design. Focusing on identity, design, internet, print and presentations. Includes portfolio and resume.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/M", "url": "http://www.herbiemartin.com/"} +{"d:Title": "Montero, Ramon", "d:Description": "Portfolio of poster concepts, wallpaper and cover designs.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/M", "url": "http://monterosfg.eresmas.net/"} +{"d:Title": "Monica, Joe", "d:Description": "Features a portfolio of web, print and corporate identity design. Includes a resume and contact information.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/M", "url": "http://www.joemonica.com/"} +{"d:Title": "McCauley, Bronagh", "d:Description": "Collection of digital artwork by the young artist, Bronagh McCauley.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/M", "url": "http://bronaghmccauley.wordpress.com/"} +{"d:Title": "Mazza, Barry", "d:Description": "Offering original graphic designs, photographs, and biography.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/M", "url": "http://barrymazza.com/"} +{"d:Title": "Noodlehead Productions", "d:Description": "Web design and graphics by Lisa.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/N", "url": "http://www.noodleheadproductions.com/"} +{"d:Title": "Noraville", "d:Description": "Collection of Nora Brown's graphic design projects, as well as some product design projects and photography.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/N", "url": "http://noraville.tripod.com/"} +{"d:Title": "Nixon, Dean", "d:Description": "The site showcases the designer's work and processes. Includes illustration, corporate identity, print and Flash design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/N", "url": "http://www.deannixondesign.com/"} +{"d:Title": "naoyawada.com", "d:Description": "Website of Naoya Wada - including graphic design, photography and freelance work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/N", "url": "http://naoyawada.com/"} +{"d:Title": "Oystergate on the Web", "d:Description": "A personal showcase of a Japanese designer who has been inspired by \"Hong Kong style\".", "topic": "Top/Arts/Graphic_Design/Personal_Pages/O", "url": "http://www.oystergate.com/"} +{"d:Title": "Ohlsen, Daniel", "d:Description": "Portfolio and resume of graphic designer and illustrator Daniel Ohlsen.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/O", "url": "http://www.danielohlsen.com/"} +{"d:Title": "O'Quinn, Trilisa", "d:Description": "Online portfolio displaying creative graphic and web designs and information about the name Trilisa.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/O", "url": "http://www.trilisa.com/"} +{"d:Title": "Polyakov, Igor", "d:Description": "Portfolio site of Finland-based graphic designer and digital artist. Works in Flash, identity, graphics and web design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/P", "url": "http://www.polyakov.org/"} +{"d:Title": "Pomegranate Design", "d:Description": "Graphic design, including corporate id, packaging, posters, books, and web design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/P", "url": "http://www.pomegranate-design.com/"} +{"d:Title": "Povelikin, Stanislav", "d:Description": "Portfolio of design work. Includes samples of Flash animation, illustrations by hand, package design, brochures, flyers, book covers, CD cases, and product design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/P", "url": "http://www.spdesign.org/"} +{"d:Title": "Positively Atlanta Georgia", "d:Description": "Ideas and experiences of a graphic designer in television.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/P", "url": "http://positivelyatlantaga.com/"} +{"d:Title": "Penland, Michelle", "d:Description": "Digital artist and freelance graphic, web and multimedia designer. Includes resume and portfolio.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/P", "url": "http://michelledodson.integratedvisions.net/"} +{"d:Title": "Pioneer10", "d:Description": "Portfolio of Duane King, a creative director and designer working in all forms of media.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/P", "url": "http://kingduane.com/"} +{"d:Title": "Rosenbower Creative Design", "d:Description": "Graphic designer with years of print, web and multimedia design experience. Includes a resume and portfolio.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/R", "url": "http://www.rosenbower.com/"} +{"d:Title": "Rodriguez, Emiliano", "d:Description": "Graphic and web design, including logos, stationery and brochures. Site is in Spanish and English.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/R", "url": "http://www.emilianorodriguez.com.ar/"} +{"d:Title": "Rider, J. W.", "d:Description": "Thumbnails and links to J. W. Rider's web artwork.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/R", "url": "http://www.jwrider.net/gallery/"} +{"d:Title": "Stamm, Mike", "d:Description": "Original photographs of people, vehicles, and other diverse objects.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://mstamm.imgaming.com/"} +{"d:Title": "Siegrist, Jenn", "d:Description": "Samples of web design, flash and digital imaging.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://www.jenikya.com/"} +{"d:Title": "Smooth's Place", "d:Description": "Collection of digital, computer-generated desktop wallpaper.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://members.tripod.com/smoothsplace/"} +{"d:Title": "Sporeboy", "d:Description": "Original artwork and graphics.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://www.sporeboy.com/"} +{"d:Title": "Seema Saxena", "d:Description": "Graphic designer for web and print. Portfolio provided.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://aboutechoices.com/seema/"} +{"d:Title": "Stiro, Natalia", "d:Description": "Personal portfolio of graphic and logo design, corporate identity, and exhibition design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://www.coroflot.com/tahia"} +{"d:Title": "Schauer, Scott", "d:Description": "The designer showcases his artwork, print and web design. Resume and contact information.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://www.scottschauer.com/"} +{"d:Title": "Streeter, Josh", "d:Description": "Graphic design and photography. Includes a portfolio of previous projects.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://jstreetdesigns.com/"} +{"d:Title": "Swihura, Michael", "d:Description": "Portfolio site of web, print and multimedia graphics.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://www.swihura.net/"} +{"d:Title": "Schockmel, Eric", "d:Description": "Portfolio of graphic design and photographic work.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/S", "url": "http://ericschockmel.net/"} +{"d:Title": "TruDesign", "d:Description": "Portfolio site of Stephanie Koerner, including web design, motion graphics, logo/banner design and graphic design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/T", "url": "http://www.trudesign.org/"} +{"d:Title": "Tati", "d:Description": "Interactive media, art and design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/T", "url": "http://www.tati.dk/"} +{"d:Title": "Tuttle, Karen", "d:Description": "Includes a portfolio of print, graphics and internet design.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/T", "url": "http://www.klizadesign.com/"} +{"d:Title": "Vix Art&Design", "d:Description": "Poster designs, graphic design, objects and paintings by Vic Hulshof, Winterzwijk, Holland. Includes portfolio, and CV.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/V", "url": "http://www.vix.nu/"} +{"d:Title": "Westbrook Designs", "d:Description": "Logos, line art, color illustrations, photography and web designing.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/W", "url": "http://www.westbrookdesigns.com/"} +{"d:Title": "Winget, Justin", "d:Description": "Resume and portfolio of undergraduate designer and freelancer Justin Winget.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/W", "url": "http://www.justinwinget.com/"} +{"d:Title": "Weston, Adam", "d:Description": "Showcases examples of website and print design. Samples include informational, entertainment and e-commerce web sites, logo development, stationery, and packaging.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/W", "url": "http://www.adamweston.com/"} +{"d:Title": "Walsh, Cian", "d:Description": "Showcases graphic design and illustration work. Profile of the designer, contact information and a resume.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/W", "url": "http://www.afterglow.ie/"} +{"d:Title": "Xtreem Art", "d:Description": "Samples of Paint Shop Pro digital graphics.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/X", "url": "http://www.angelfire.com/music2/keepitmp3/main.html"} +{"d:Title": "Yasutake Miyagi", "d:Description": "Portfolio of graphic art.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/Y", "url": "http://www.iceimages.jp/yasutake/"} +{"d:Title": "Yoshikane, Greg", "d:Description": "Graphic designer based in San Francisco.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/Y", "url": "http://www.angelfire.com/mac/gregyoshikane/"} +{"d:Title": "Zizwce Art Gallery", "d:Description": "Art, design, and cartoons.", "topic": "Top/Arts/Graphic_Design/Personal_Pages/Z", "url": "http://members.tripod.com/~zizH/index.htm"} +{"d:Title": "Lorem Ipsum", "d:Description": "Reference site about Lorem Ipsum, giving information on its origins, as well as a random Lipsum generator.", "topic": "Top/Arts/Graphic_Design/Resources", "url": "http://www.lipsum.com/"} +{"d:Title": "Loremipsum.net", "d:Description": "About lorem ipsum, how it's used and the history behind it.", "topic": "Top/Arts/Graphic_Design/Resources", "url": "http://www.loremipsum.net/"} +{"d:Title": "Typo.cz", "d:Description": "Information on Central European typography includes foundry listing, software, books and general rules. In English and Czech.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.typo.cz/"} +{"d:Title": "Will-Harris Studio", "d:Description": "Home of Esperfonto, the web's only interactive typeface selection system, TypoFile magazine for people who use and love type, a design office with corporate IDs, business cards and illustrations, and the Point Reyes Chipmunk Observatory and Garden.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.will-harris.com/"} +{"d:Title": "TypeRight", "d:Description": "Mission: to promote typefaces as creative works and to advocate their legal protection as intellectual property.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.typeright.org/"} +{"d:Title": "Typographic", "d:Description": "Information on typography from 3500 B.C. to the present day, including evolution, timeline, anatomy, image gallery, studies, glossary and related information.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.rsub.com/typographic/"} +{"d:Title": "Types and Typography", "d:Description": "A complete guide to the history and science of types, fonts and typography.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.cyber-north.com/fonts/"} +{"d:Title": "Planet Typography", "d:Description": "This portal dedicated to typography includes directory, news, online manual and free fonts to download. Home of The Typographic Times, a font-related eZine with interviews of type designers and portraits of typefaces.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://planet-typography.com/"} +{"d:Title": "typogRaphic", "d:Description": "Exploration and experimentation between typography and imagery.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.typographic.com/"} +{"d:Title": "ATypI", "d:Description": "The site of the Association Typographique International, the organisation of the international type community. Information on events, ATypI publications and conferences.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.atypi.org/"} +{"d:Title": "Dr. Leslie and The Composing Room", "d:Description": "MFA thesis project by Erin K. Malone explores the history of typesetting firm located in New York City.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.drleslie.com/"} +{"d:Title": "Character Design Standards", "d:Description": "General rules for character shapes in Latin based languages in digital fonts. From Microsoft.com.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.microsoft.com/typography/developers/fdsspec/default.htm"} +{"d:Title": "Society of Typographic Aficionados", "d:Description": "Rochester, NY based S{o}TA is an International Organization dedicated to the promotion, study, and support of type, its history and development, its use in the world of print and digital imagery, its designers, and its admirers.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.typesociety.org/"} +{"d:Title": "LetterPerfect", "d:Description": "Design services, lettering tours, publications, and fonts available for purchase and download.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.letterspace.com/"} +{"d:Title": "Arabic typography", "d:Description": "Arabic typographical experiments.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.arabictypography.com/"} +{"d:Title": "Typotheque", "d:Description": "Information about typography, free type utilities, articles on type and type design, and fonts for sale.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.typotheque.com/"} +{"d:Title": "Information Design Associates", "d:Description": "Corporate, information design, and web design.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.ida.org.uk/"} +{"d:Title": "TypoBlog", "d:Description": "Medium sized article, subtitled \"How personal typographic Web sites are giving the musty, elitist field of typography is a stiff kick in the keister\"", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://fawny.org/typoblog.html"} +{"d:Title": "Typolis", "d:Description": "In German and English, this site is an ambitious private project which details the history of alphabets, describes type classifications and provides information about designers as well as about the art of graphic design.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.typolis.de/version1/"} +{"d:Title": "Commonly Confused Characters", "d:Description": "Unicode and graphic examples of proper quote, hyphen, and space encoding and typographical mistakes.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.cs.sfu.ca/~ggbaker/reference/characters/"} +{"d:Title": "Ban Comic Sans", "d:Description": "Download and print stickers and flyers to campaign against the font, also free comic fonts to use instead.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://bancomicsans.com/"} +{"d:Title": "Arabic Type", "d:Description": "Research on Arabic typography, its relationship to calligraphy and culture, and the development of new Arabic fonts.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.arabictype.com/"} +{"d:Title": "BBC News: Helvetica at 50", "d:Description": "The Helvetica font is celebrating its 50th birthday. You've probably seen it a thousand times today. Why?", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://news.bbc.co.uk/1/hi/magazine/6638423.stm"} +{"d:Title": "Microsoft Typography", "d:Description": "Typography news headlines, 'link of the day','book recommendations' and a updated database of type and typography related sites.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.microsoft.com/typography/default.mspx"} +{"d:Title": "Type Directors Club (TDC)", "d:Description": "International organization supporting excellence in typography, both in print and on screen. Articles, videos, competitions and membership information.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://tdc.org/"} +{"d:Title": "Creative Pro : Fonts Department", "d:Description": "Font news and software reviews links. Exclusive feature articles on typography from Creative Pro's staff of graphic design writers.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.creativepro.com/topic/fonts"} +{"d:Title": "National Print Museum", "d:Description": "Includes a short tour of the museum, description of exhibits, a brief history of printing in Ireland and visitor information.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://www.nationalprintmuseum.ie/"} +{"d:Title": "The Typographic Circle", "d:Description": "Membership organization providing information on committee, events, publications and membership.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://typocircle.com/"} +{"d:Title": "Medieval Unicode Font Initiative", "d:Description": "Non-profit group of scholars working toward a solution to the problem of encoding special characters in Medieval texts written in the Latin alphabet.", "topic": "Top/Arts/Graphic_Design/Typography", "url": "http://folk.uib.no/hnooh/mufi/"} +{"d:Title": "Typofile Magazine", "d:Description": "Daniel Will-Harris offers essays and information about typography, with an emphasis on readable fonts for the computer screen.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.will-harris.com/type.htm"} +{"d:Title": "The FontSite", "d:Description": "Monthly web magazine devoted to digital typography and graphic design, offering free fonts, fonts for sale, feature articles by well-known writers and industry insiders and an extensive listing of resources related to fonts and design.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.fontsite.com/"} +{"d:Title": "Baseline", "d:Description": "An international typographic magazine includes articles, links, events, forums and other information on typography.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.baselinemagazine.com/"} +{"d:Title": "Fontzone", "d:Description": "Opinion, news, and reviews available with subscription. FontSpider locates articles on other typography sites.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.fontzone.com/"} +{"d:Title": "Serif: The Magazine of Type&Typography", "d:Description": "Table of contents listings for past issues. Now out of print.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.serifmagazine.com/"} +{"d:Title": "Typographer.org", "d:Description": "Digest of typography news and commentary from David John Earls and Yves Peters.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.typographer.org/"} +{"d:Title": "Letter Arts Review", "d:Description": "International magazine for calligraphers and lettering artists. Articles available with a subscription for a fee.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.johnnealbooks.com/prod_detail_list/14"} +{"d:Title": "Type for you", "d:Description": "A weblog on typography. Provides interviews, articles, font reviews, book shop, and events", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.typeforyou.org/"} +{"d:Title": "Letterology", "d:Description": "Covers typography, hand lettering, books, ephemera and other topics related to design.", "topic": "Top/Arts/Graphic_Design/Typography/Magazines_and_E-zines", "url": "http://www.letterology.com/"} +{"d:Title": "Everson Typography", "d:Description": "Samples of CeltScript, Everson Mono, Ogham and Inukitut.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers", "url": "http://www.evertype.com/celtscript/"} +{"d:Title": "Joe Finocchiaro Design", "d:Description": "Specializing in typeface design, symbol and logo design and graphic illustrations with an emphasis on type.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers", "url": "http://www.joefino.com/"} +{"d:Title": "Archetype Typography", "d:Description": "Archetype creates and modifies fonts, converts from Mac to PC format and back, creates and edits bitmaps, installs special characters and graphics into existing fonts.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers", "url": "http://arche_typography.tripod.com/"} +{"d:Title": "Keith Chi-Hang Tam", "d:Description": "An online type and typography resource including a typography web log, articles on typography, type design and graphic design, links, book reviews plus a portfolio of Keith Tam's typography and type design work.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers", "url": "http://keithtam.net/"} +{"d:Title": "Recife, Eduardo - Misprinted Type", "d:Description": "Portfolio of drawings and fonts, and information on current projects.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers", "url": "http://www.misprintedtype.com/"} +{"d:Title": "Dan Cotton Lettering Design", "d:Description": "Hand lettering, calligraphy, graphic designs, and brush illustrations.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers", "url": "http://www.dancotton.com/"} +{"d:Title": "Face2Face", "d:Description": "Collaboratorium for turbulent typeface design and challenging letterbased performances.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers", "url": "http://www.typeface2face.com/"} +{"d:Title": "Barry Deck", "d:Description": "Personal site of typographer Barry Deck, major typographic influence of the 1990's.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters", "url": "http://www.barrydeck.com/"} +{"d:Title": "John Baskerville", "d:Description": "Reproduction of black-and-white sketch of the artist.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Baskerville,_John", "url": "http://www.imultimedia.pt/museuvirtpress/port/zoom/pers_ab_1.html"} +{"d:Title": "John Baskerville", "d:Description": "Biographical sketch from Fact Monster.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Baskerville,_John", "url": "http://www.factmonster.com/ce6/people/A0806405.html"} +{"d:Title": "Linotype: John Baskerville", "d:Description": "Information about this famous font designer. Take a look at fonts in his style.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Baskerville,_John", "url": "http://www.linotype.com/702/johnbaskerville.html"} +{"d:Title": "Baskerville", "d:Description": "Example of the typeface created by John Baskerville.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Baskerville,_John", "url": "http://abc.planet-typography.com/classic/baskerville.html"} +{"d:Title": "John Baskerville", "d:Description": "Historical information and related links.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Baskerville,_John", "url": "http://www.myfonts.com/person/John_Baskerville/"} +{"d:Title": "John Baskerville", "d:Description": "Biographical information from Infoplease.com.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Baskerville,_John", "url": "http://www.infoplease.com/encyclopedia/people/baskerville-john.html"} +{"d:Title": "Claude Garamond", "d:Description": "Brief historical overview of typography plus biographical information on Claude Garamond and examples of how the Garamond typeface is used in contemporary print advertising.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Garamond,_Claude", "url": "http://www.pointlessart.com/education/loyalist/typeTalk/garamond/"} +{"d:Title": "Linotype: Claude Garamond", "d:Description": "Information about him and the typefaces he created.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Garamond,_Claude", "url": "http://www.linotype.com/414/claudegaramond.html"} +{"d:Title": "Claude Garamond", "d:Description": "Biographical sketch from infoplease.com.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Garamond,_Claude", "url": "http://www.infoplease.com/encyclopedia/people/garamond-claude.html"} +{"d:Title": "Goudy - In All His Glory", "d:Description": "Biographic information and related links.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Goudy,_Frederic_William", "url": "http://www.pointlessart.com/education/loyalist/typeTalk/goudy2/goudy.html"} +{"d:Title": "Typologia: Studies in Type Design&Type Making", "d:Description": "Reprint of 1940 publication authored by Frederc W. Goudy.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Goudy,_Frederic_William", "url": "http://www.typeart.com/reference-books/typologia/typo-contents.html"} +{"d:Title": "University of Delaware Library: Frederic W. Goudy Collection", "d:Description": "Extensive resource on the life and works of Goudy, from the University of Delaware Library Special Collections Department.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Goudy,_Frederic_William", "url": "http://www.lib.udel.edu/ud/spec/findaids/goudy.htm"} +{"d:Title": "The Library of Congress: Frederic W. Goudy Collection", "d:Description": "Personal library, papers and publications, from the Special Collections division of the Library of Congress.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Goudy,_Frederic_William", "url": "http://www.loc.gov/rr/rarebook/coll/099.html"} +{"d:Title": "The Frederick Goudy Collection", "d:Description": "Information on the holdings of the Denison Library at the Claremont Colleges in California.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Goudy,_Frederic_William", "url": "http://libraries.claremont.edu/sc/collections/goudy.html"} +{"d:Title": "Frederic William Goudy", "d:Description": "Descriptive information and related links.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Goudy,_Frederic_William", "url": "http://www.infoplease.com/encyclopedia/people/goudy-frederic-william.html"} +{"d:Title": "Emigre Fonts: Zuzana Licko", "d:Description": "Typeface designs, short biography, and interview.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Licko,_Zuzana", "url": "http://emigre.com/Bios.php?d=10"} +{"d:Title": "Hermann Zapf", "d:Description": "Information and links from About.com.", "topic": "Top/Arts/Graphic_Design/Typography/Typographers/Masters/Zapf,_Hermann", "url": "http://desktoppub.about.com/cs/zapf/"} +{"d:Title": "Ideabook", "d:Description": "Sharing of print design, web design, color, illustration, typography, photography, and marketing. Chuck Green, graphic designer and marketer.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.ideabook.com/"} +{"d:Title": "Fudgegraphics", "d:Description": "Blog offering graphic design resources, freebies, inspirations and the personal portfolio of Franz Jeitz.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.fudgegraphics.com/"} +{"d:Title": "BittBox", "d:Description": "Design freebies including tutorials, vectors, high resolution Photoshop brushes and textures.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.bittbox.com/"} +{"d:Title": "Colorburned", "d:Description": "Design blog featuring news, resources, and tutorials from around the web.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://colorburned.com/"} +{"d:Title": "Spoon Graphics Blog", "d:Description": "Design tutorials, graphic design articles and free vector downloads from designer Chris Spooner.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.blog.spoongraphics.co.uk/"} +{"d:Title": "Abduzeedo", "d:Description": "Blog showcasing design inspiration and tutorials.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://abduzeedo.com/"} +{"d:Title": "David Airey", "d:Description": "Selection of design articles. Blog of David Airey, a designer from Northern Ireland specialized in brand identity.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.davidairey.com/"} +{"d:Title": "Naldz Graphics", "d:Description": "Blog offering tutorials, freebies, inspirations and resources for the design community.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://naldzgraphics.net/"} +{"d:Title": "Graphic Design Blog", "d:Description": "A community graphic design blog sharing illustration, web, logo, print and identity design, and photography.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.graphic-design-blog.com/"} +{"d:Title": "Graphics and Illustrations", "d:Description": "This blog provides Photoshop brushes, Illustrator freebies, illustrations and other useful resources in the form of downloads and tutorials.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://graphics-illustrations.com/"} +{"d:Title": "AisleOne", "d:Description": "Blog showcasing typography, grid systems, graphic design, minimalism and modernism.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.aisleone.net/"} +{"d:Title": "Clementine Creative", "d:Description": "Blog showcasing Photoshop and CorelDraw tutorials, free printables and articles on the design.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.clementinecreative.co.za/"} +{"d:Title": "Downgraf", "d:Description": "A website sharing graphic inspiration, graphic content, UI elements and web designing inspiration.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.downgraf.com/"} +{"d:Title": "Inspirationfeed", "d:Description": "A web site sharing design, inspiration, tutorials, and other web related topics.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://inspirationfeed.com/"} +{"d:Title": "Inspired Magazine", "d:Description": "A web site showcasing daily inspiration source for web designers, illustrators.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://inspiredm.com/"} +{"d:Title": "From up North", "d:Description": "A blog focusing on delivering design inspiration to creatives all over the world.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.fromupnorth.com/"} +{"d:Title": "Theddi", "d:Description": "A blog showcasing inspiration and creative resources.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.theddi.com/"} +{"d:Title": "PsychicmindGFX", "d:Description": "A blog that provides downloads, tutorials, and inspiration for graphic designers.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.psychicmindgfx.com/"} +{"d:Title": "Periwinkle-Paisley Blog", "d:Description": "Blog about surface designing, both traditional and digital, paisley, art licensing.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://periwinkle-paisley.blogspot.com/"} +{"d:Title": "Pixel77", "d:Description": "A blog showcasing tutorials, design resources, artist interviews, articles about vector illustrations, t-shirt designs.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.pixel77.com/"} +{"d:Title": "Design Beep", "d:Description": "Blog offering web and design resources, inspirations, tutorials and articles for design community.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.designbeep.com/"} +{"d:Title": "Shapes4FREE", "d:Description": "Provides graphic designers with Photoshop custom shapes, shapes icons, tutorials and examples of using shapes in design.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.shapes4free.com/"} +{"d:Title": "Packaging of the World", "d:Description": "Showcasing a selection of packaging design inspiration and resources.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.packagingoftheworld.com/"} +{"d:Title": "Designs Tutorial", "d:Description": "Blog for web creatives, presenting web design ideas and inspiration in the form of tutorials, articles and tips.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://designstutorial.com/"} +{"d:Title": "SloDive", "d:Description": "Covers topics from graphic design to web development.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://slodive.com/"} +{"d:Title": "All Silhouettes", "d:Description": "A collection of vector silhouettes in AI and CSH formats. Free for personal and commercial purposes.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://all-silhouettes.com/"} +{"d:Title": "Multi WP", "d:Description": "A graphic design blog that offers vectors, psd files for graphic designers.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.multiwp.com/"} +{"d:Title": "IdApostle", "d:Description": "A blog showcasing logo design articles and portfolio of a graphic designer from Ottawa, Canada specializing in brand identity.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.idapostle.com/"} +{"d:Title": "We and The Color", "d:Description": "A showcase about creative inspiration in graphic design, illustration art, photography, architecture, product, interior, video and motion design.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://weandthecolor.com/"} +{"d:Title": "Ninja Crunch", "d:Description": "A design blog showcasing the graphic and web design. Providing inspirations, tutorials, freebies and resources.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://ninjacrunch.com/"} +{"d:Title": "DezineGuide", "d:Description": "Blog dedicated to graphic designers and Web developers with tips, tricks, tutorials, and freebies.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.dezineguide.com/"} +{"d:Title": "DesignSphere", "d:Description": "A blog about design inspiration, tutorials and resources.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.designsphere.info/"} +{"d:Title": "Animhut", "d:Description": "Blog offering freebies, tutorials, resources and inspirations for the design community", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.animhut.com/"} +{"d:Title": "Exhibition Blog", "d:Description": "Weblog with a focus on museum, exhibition, eco-friendly, and lighting design.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://museumexhibition.wordpress.com/"} +{"d:Title": "Red Lemon Club", "d:Description": "A website showcasing tips and article on promotion, branding, productivity, and business on graphic design industry.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.redlemonclub.com/"} +{"d:Title": "Refining Designers", "d:Description": "Insight into basic principles of design by brand identity designer.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.sureewoong.com/"} +{"d:Title": "BrushLovers", "d:Description": "A collection of free photoshop brushes, patterns, gradients and styles.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.brushlovers.com/"} +{"d:Title": "Viva la Violette", "d:Description": "A blog about design, handmade paper goods, favorite finds, and monthly giveaways.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://www.vivalaviolet.com/blog/"} +{"d:Title": "Just Creative Design", "d:Description": "Blog sharing tips and trends about logo design, web design, and graphic design.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://justcreative.com/"} +{"d:Title": "Designrfix", "d:Description": "Daily Inspiration and online resources for web and graphic designers.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://designrfix.com/"} +{"d:Title": "Beyond the Pen Tool", "d:Description": "Blog featuring design inspiration, graphic design tips, photoshop, logo design and designer crowd sourcing articles.", "topic": "Top/Arts/Graphic_Design/Weblogs", "url": "http://blog.designcrowd.com/"} +{"d:Title": "The EServer", "d:Description": "Serves as a broad resource for a wide variety of literature-related materials, including text archives of both prose and poetry, fiction and non-fiction, as well as and other types of literature resources.", "topic": "Top/Arts/Humanities", "url": "http://eserver.org/"} +{"d:Title": "The Stanford Electronic Humanities Review", "d:Description": "Online version of the semi-annual interdisciplinary journal. Includes full contents of recent issues.", "topic": "Top/Arts/Humanities", "url": "http://www.stanford.edu/group/SHR/"} +{"d:Title": "Irish Resources in the Humanities", "d:Description": "Directory of humanities sites of Irish interest. Categories include Irish Archaeology, Architecture, Archives and Libraries, Art, Geography, History, Irish Language, Literature and Theatre.", "topic": "Top/Arts/Humanities", "url": "http://www.irith.org/"} +{"d:Title": "Emily's Page for the Humanities", "d:Description": "A collection of annotated links to general resources. Contains sections devoted to texts online, journals and Medieval and Renaissance links.", "topic": "Top/Arts/Humanities", "url": "http://www.vex.net/~emily/humanities.html"} +{"d:Title": "Penn Humanities Forum", "d:Description": "University of Pennsylvania hosted program that provides a common ground for interdisciplinary exchange through cultural programs, fellowships and seminars. Features information on the program, calendar of events and links to related resources.", "topic": "Top/Arts/Humanities", "url": "http://humanities.sas.upenn.edu/"} +{"d:Title": "Utah Humanities Council", "d:Description": "Promotes lifelong learning using the humanities as a process of reflection, conversation and action. Features a description of the organization and activities. Also offers a calendar of events and contact details.", "topic": "Top/Arts/Humanities", "url": "http://www.utahhumanities.org/"} +{"d:Title": "Voice of the Shuttle", "d:Description": "Portal maintained by Alan Liu of the University of California, Santa Barbara. Features a history of the project, news and offers visitors the opportunity to participate by becoming volunteer editors.", "priority": "1", "topic": "Top/Arts/Humanities", "url": "http://vos.ucsb.edu/"} +{"d:Title": "American Academy of Arts and Sciences", "d:Description": "Honorary society that recognizes achievement in the natural sciences, social sciences, arts, and humanities and conducts a varied program of projects and studies responsive to the needs of society. Features publications in PDF format, news, events calendar, as well as lists of members and fellows.", "topic": "Top/Arts/Humanities", "url": "http://www.amacad.org/"} +{"d:Title": "National Humanities Institute", "d:Description": "Promotes research, publishing, and teaching in the humanities, with emphasis on the ethical preconditions and purposes of culture and society.", "topic": "Top/Arts/Humanities", "url": "http://www.nhinet.org/"} +{"d:Title": "California Council For The Humanities", "d:Description": "Nonprofit organization devoted to strengthening communities by creating, sponsoring and promoting change.", "topic": "Top/Arts/Humanities", "url": "http://www.calhum.org/"} +{"d:Title": "Washington Commission For The Humanities", "d:Description": "Dedicated to improving individual and community life through public programs that interpret culture and provide a forum for civic dialogue.", "topic": "Top/Arts/Humanities", "url": "http://www.humanities.org/"} +{"d:Title": "Virgin Islands Humanities Council", "d:Description": "Nonprofit organization supporting research, education and public projects in the humanities.", "topic": "Top/Arts/Humanities", "url": "http://www.vihumanities.org/"} +{"d:Title": "Arts and Letters Daily", "d:Description": "Daily report of news in art and literature. Includes reviews of new books, essays and articles.", "topic": "Top/Arts/Humanities", "url": "http://aldaily.com/"} +{"d:Title": "Modern Humanities Research Association", "d:Description": "Promotes advanced study and research in the field of the modern humanities, especially modern European languages and literatures (including English).", "topic": "Top/Arts/Humanities", "url": "http://www.mhra.org.uk/"} +{"d:Title": "Australian Humanities Review", "d:Description": "Peer-reviewed interdisciplinary electronic journal of the humanities published quarterly. Features full-text articles, contact details for the editorial board, as well as information for authors.", "topic": "Top/Arts/Humanities", "url": "http://www.australianhumanitiesreview.org/"} +{"d:Title": "American Council of Learned Societies", "d:Description": "Private non-profit federation of sixty-six national scholarly organizations. Provides information on ACLS fellowships and grants in all fields of the humanities, publications, and news.", "topic": "Top/Arts/Humanities", "url": "http://www.acls.org/"} +{"d:Title": "CTI Centre for Textual Studies", "d:Description": "Features links to resources in Literature, Linguistics, Philosophy, Religious Studies, Classics, Film Studies, and Theater Arts. Also offers a calendar of events and publications. Hosted by the Humanities Computing Unit at the University of Oxford.", "topic": "Top/Arts/Humanities", "url": "http://users.ox.ac.uk/~ctitext2/"} +{"d:Title": "The WWW Virtual Library: Humanities and Humanistic Studies", "d:Description": "A directory of resources maintained by the Faculty of Arts and Humanities, G\u00f6teborg University, Sweden. Features categories devoted to Architecture, Archaeology, History, Language and Linguistics, as well as general resources.", "topic": "Top/Arts/Humanities", "url": "http://vlib.org/Humanities"} +{"d:Title": "Center for the Humanities", "d:Description": "Supports individual teaching and research projects as well as serves as a communications resource for school programs and activities at Wesleyan University. Information on lecture series and the Andrew Mellon Postdoctoral Fellowship.", "topic": "Top/Arts/Humanities", "url": "http://www.wesleyan.edu/humanities/"} +{"d:Title": "Great Books Lists", "d:Description": "Lists of Western, Eastern, and contemporary classics, indexed by author and by period and culture.", "topic": "Top/Arts/Humanities/Great_Books_Indices", "url": "http://www.interleaves.org/~rteeter/greatbks.html"} +{"d:Title": "Annamarieferguson.com", "d:Description": "Anna-Marie Fergusun's illustrations of the works of Le Morte D'Arthur. Features interviews, reviews and a biography, as well as an image gallery.", "topic": "Top/Arts/Humanities/Literature_in_Art", "url": "http://www.annamarieferguson.com/"} +{"d:Title": "Abbey's Boston Murals", "d:Description": "The fifteen-panel mural for the Book Delivery Room at the new Boston Public Library. The subject is \"The Quest for the Holy Grail.\"", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://etext.virginia.edu/railton/yankee/abbey.html"} +{"d:Title": "Arthurian images", "d:Description": "Medieval to 20th century artistic depictions.", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://www.angelfire.com/al/lancelot66/"} +{"d:Title": "The Tristan Stained Glass Windows", "d:Description": "Images of the stained glass window by William Morris depicting Malory's retelling of the Tristan and Iseult saga.", "priority": "1", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://www.angelfire.com/al/tristanglass/"} +{"d:Title": "How Sir Galahad, Sir Bors and Sir Percival were Fed with the Sanc Grael; But Sir Percival's Sister Died by the Way", "d:Description": "Watercolor by Dante Gabriel Rossetti, hosted on WIkipedia.", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://en.wikipedia.org/wiki/File:How_Sir_Galahad_Sir_Bors_and_Sir_Percival_were_fed_with_the_Sanc_Grael_Dante_Gabriel_Rossetti.jpeg"} +{"d:Title": "HowStuffWorks \"King Arthur Pictures\"", "d:Description": "Interactive website displaying portraits of King Arthur, as well as scans of some of the most ancient documents which chronicle his legend.", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://history.howstuffworks.com/historical-figures/king-arthur-pictures.htm"} +{"d:Title": "Arthurian Pictures", "d:Description": "Many pictures of characters and places mentioned in the Arthurian Legend.", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://www.kingarthursknights.com/etc/pictures.asp"} +{"d:Title": "Arthur Rackham - ''The Romance of King Arthur and His Knights of the Round Table''", "d:Description": "Website selling greeting cards with Arthurian illustrations by Arthur Rackham.", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://spiritoftheages.com/Arthur_Rackham_-_''The_Romance_of_King_Arthur_and_His_Knights_of_the_Round_Table''_(1917).htm"} +{"d:Title": "Arthurian Art", "d:Description": "Listing of books on Arthurian artwork, as well as a listing of Arthurian images categorized by artist.", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://gorddcymru.org/twilight/camelot/art/index.htm"} +{"d:Title": "The Camelot Project: Menu of Artists", "d:Description": "Menu of Arthurian artwork from The Camelot Project at the University of Rochester.", "topic": "Top/Arts/Humanities/Literature_in_Art/Arthurian_Legend", "url": "http://d.lib.rochester.edu/camelot/artists"} +{"d:Title": "Images from the Kelmscott Chaucer Reprint", "d:Description": "Two images from the \"Paper, Leather, Clay and Stone: The Written Word Materialized\" exhibit by the Kroch Library at Cornell University", "topic": "Top/Arts/Humanities/Literature_in_Art/Chaucer", "url": "http://rmc.library.cornell.edu/Paper-exhibit/chaucer.html"} +{"d:Title": "Harvard University - Middle English", "d:Description": "Features a portrait of Chaucer as part of \"Chaucer: The Canterbury Tales\" course.", "topic": "Top/Arts/Humanities/Literature_in_Art/Chaucer", "url": "http://sites.fas.harvard.edu/~chaucer/"} +{"d:Title": "The Earth and Heavens: The Art of the Mapmaker - Paradiso", "d:Description": "Illustration from a fifteenth-century manuscript.", "topic": "Top/Arts/Humanities/Literature_in_Art/Dante", "url": "http://www.bl.uk/onlinegallery/features/mapmaker.html#paradiso"} +{"d:Title": "Alice in Wonderland", "d:Description": "Rackham, Arthur. London: William Heinemann, 1907.", "topic": "Top/Arts/Humanities/Literature_in_Art/English_Classics", "url": "http://www.exit109.com/~dnn/alice/rackham/"} +{"d:Title": "Art Passions", "d:Description": "Dedicated to illustrators such as Arthur Rackham, Gustave Dore, William Morris, Aubrey Beardsley, Edmund Dulac, Adrienne Segur, the Pre-Raphaelites and others.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.artpassions.net/"} +{"d:Title": "Ivan Bilibin (1876-1942)", "d:Description": "The illustrations of the Russian artist Ivan Bilibin.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.bpib.com/illustrat/bilibin.htm"} +{"d:Title": "Sulamith Wulfing", "d:Description": "The fairy tale illustrations of Sulamith Wulfing.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.bpib.com/wulfing.htm"} +{"d:Title": "The Water-Babies on Display", "d:Description": "A group of 12 original drawings created by Jessie Willcox Smith in 1916 to illustrate The Water-Babies, a children's book by the Rev. Charles Kingsley.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.loc.gov/loc/lcib/9906/babies.html"} +{"d:Title": "Illustrations. Quiller-Couch, Sir Arthur. 1910.", "d:Description": "The Sleeping Beauty and other Fairy Tales.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.bartleby.com/76/illus.html"} +{"d:Title": "William Heath Robinson", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.bpib.com/illustrat/whrobin.htm"} +{"d:Title": "Janet Goodchild-Cuffley", "d:Description": "The Fairy Take Series.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.goodchild-cuffley.castlemaine.net/"} +{"d:Title": "Old Russia", "d:Description": "Features Russian Fairy tales about the witch Baba Yaga Boney Legs.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.oldrussia.net/"} +{"d:Title": "SurLaLune Fairy Tale Illustration Gallery", "d:Description": "Classic fairy tale illustrations by Arthur Rackham, George Cruikshank, Jessie Willcox Smith, Edmund Dulac, Gustave Dore, Walter Crane, Kay Nielsen and others.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://www.surlalunefairytales.com/illustrations/index.html"} +{"d:Title": "Menu of Artists", "d:Description": "Links to fairy tale illustrations listed by artist.", "topic": "Top/Arts/Humanities/Literature_in_Art/Fables_and_Fairy_Tales", "url": "http://d.lib.rochester.edu/cinderella/artists"} +{"d:Title": "Myths from Central Asia and Anatolia", "d:Description": "Can G\u00f6knil's artistic interpretation of Central Asian and Anatolian myths with Turkish and English texts. Offers essays and image galleries.", "topic": "Top/Arts/Humanities/Literature_in_Art/Mythology", "url": "http://www.cangoknil.com/"} +{"d:Title": "Alex Fantalov - 150 Mythological Pictures", "d:Description": "Artwork inspired by mythology from many parts of Eurasia.", "topic": "Top/Arts/Humanities/Literature_in_Art/Mythology", "url": "http://fantalov.tripod.com/index.htm"} +{"d:Title": "HinduSaktha Site", "d:Description": "Offers images of deities of various Devi temples from Kanyakumari to Kashmir.", "topic": "Top/Arts/Humanities/Literature_in_Art/Mythology/Indian", "url": "http://hindusaktha.freeservers.com/"} +{"d:Title": "The Robin Hood Picture Gallery", "d:Description": "Extensive links list to images in art, television, theater, and film.", "topic": "Top/Arts/Humanities/Literature_in_Art/Robin_Hood", "url": "http://www.boldoutlaw.com/robpics/"} +{"d:Title": "Pictures of William Shakespeare", "d:Description": "Features several images of the Bard.", "topic": "Top/Arts/Humanities/Literature_in_Art/Shakespeare", "url": "http://www.william-shakespeare.info/william-shakespeare-pictures.htm"} +{"d:Title": "Shakespeare Illustrated", "d:Description": "A work in progress, exploring nineteenth-century paintings, criticism and productions of Shakespeare's plays and their influences on one another.", "topic": "Top/Arts/Humanities/Literature_in_Art/Shakespeare", "url": "http://www.english.emory.edu/classes/Shakespeare_Illustrated/Shakespeare.html"} +{"d:Title": "Shakespeare Art Museum", "d:Description": "Plays and themes depicted and explained through the visual artworks and writings of artist Hannah Tompkins.", "topic": "Top/Arts/Humanities/Literature_in_Art/Shakespeare", "url": "http://shakespeare-art-museum.com/"} +{"d:Title": "Macbeth and the Witches", "d:Description": "by Henry Fuseli", "topic": "Top/Arts/Humanities/Literature_in_Art/Shakespeare", "url": "http://www.ibiblio.org/wm/paint/auth/fuseli/witches.jpg"} +{"d:Title": "19th Illustrated Shakespeare Pages", "d:Description": "Examples offered here of inventive text and image design derived from an undated nineteenth-century text, extensively illustrated by Kenny Meadows.", "topic": "Top/Arts/Humanities/Literature_in_Art/Shakespeare", "url": "http://www.colby.edu/personal/l/leosborn/illumin.html"} +{"d:Title": "Independent Thought Alarm", "d:Description": "Forum for discussion by non-conformists and artists/writers/musicians.", "topic": "Top/Arts/Humanities/Mailing_Lists", "url": "http://www.angelfire.com/zine/antisocialite/index.html"} +{"d:Title": "H-AHC: Association for History and Computing", "d:Description": "H-Net discussion group that exists to encourage and maintain interest in the use of computers in all types of historical studies. Features subject overview, archive, links to related lists, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://www.h-net.org/~ahc/"} +{"d:Title": "Humanitas", "d:Description": "Interdisciplinary journal that explores the relationships between social philosophy, epistemology and art. Features current and archived issues, as well as information for prospective authors.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://www.nhinet.org/hum.htm"} +{"d:Title": "Teaching European Literature and Culture with Communication and Information Technologies", "d:Description": "\"The papers outline a rich range of approaches to the teaching of analytical and critical skills in literary and cultural studies.\" An Oxford University study.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://users.ox.ac.uk/~ctitext2/publish/occas/eurolit/index.html"} +{"d:Title": "Ilex Foundation", "d:Description": "Promotes the study of humanistic traditions that derive from the civilizations of the Mediterranean and the Near East.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://ilexfoundation.org/"} +{"d:Title": "Southwest Regional Humanities Center", "d:Description": "Promotes and facilitates the exchange of knowledge among individuals, communities, and institutions that focus on regional humanities issues.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://swrhc.txstate.edu/"} +{"d:Title": "International Conference on Humanities Computing and Digital Scholarship", "d:Description": "Conference focusing on the intersection of advanced information technologies and the humanities; 2005, University of Victoria, Canada.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://web.uvic.ca/hrd/achallc2005/"} +{"d:Title": "Film and Television", "d:Description": "Collection of papers re: the influence and effect media has on culture from The English Server", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://filmtv.eserver.org/"} +{"d:Title": "Flinders University - Counterpoints", "d:Description": "Multidisciplinary postgraduate conference for postgraduate students to present their research in Humanities and Social Sciences.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://ehlt.flinders.edu.au/projects/counterpoints/"} +{"d:Title": "The English Server: Cyber Folder", "d:Description": "Essays on the intersection between technology, culture and human nature.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://cyber.eserver.org/"} +{"d:Title": "Institute for the Humanities", "d:Description": "Offering fellowships and public programs in the humanities and the arts, including art exhibitions, lecture series, conferences, and an annual Humanities Spring Seminar.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology", "url": "http://www.lsa.umich.edu/humanities"} +{"d:Title": "H-Net: Humanities and Social Sciences Online", "d:Description": "Interdisciplinary organization dedicated to developing the educational potential of the Internet with officers, editors, and subscribers from all over the globe. Linked to sub-sites and moderated, controlled-access mailing lists.", "priority": "1", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/"} +{"d:Title": "H-Announce: Academic Announcements", "d:Description": "H-Net list for announcing conferences, calls for papers, and events and programs of interest. Includes solicitation of items, event locator, archives, and categorical division.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/announce/"} +{"d:Title": "H-Arete: Sports Literature", "d:Description": "H-Net discussion group dedicated to the study of Sport Literature as an academic discipline. Includes subject overview, archives, syllabi, links to related resources, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~arete/"} +{"d:Title": "H-Atlantic", "d:Description": "H-Net discussion group dedicated to an international perspective on Atlantic World History from 1500 to 1800. Features subject overview, archives, reviews, syllabi, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~atlantic/"} +{"d:Title": "H-CLC: Computers and Literary Studies", "d:Description": "H-Net discussion group dedicated the field of comparative literature and computers. Features archive, abstracts, teaching resources, links to related lists, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~clc/"} +{"d:Title": "H-Diplo: Diplomatic History and International Affairs", "d:Description": "H-Net discussion group dedicated to diplomatic history and international affairs. Features archive, reviews, bibliographies, reading lists, course syllabi, links to related resources, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~diplo/"} +{"d:Title": "H-Disability", "d:Description": "H-Net discussion group dedicated to exploring the historical issues surrounding the experience and phenomenon of disability. Features subject overview, archives, search, reviews, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~disabil/"} +{"d:Title": "H-EarlySlavic: Slavic Studies", "d:Description": "H-Net discussion group dedicated to Slavic history, literature, and culture before 1725. Features subject overview, archive and subscription information. [Belorussian, English, French, German, Russian, and Ukrainian.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~ess/"} +{"d:Title": "H-EDTECH: Educational Technology", "d:Description": "H-Net discussion group dedicated to technology in education for universities and school districts. Offers subject overview, archives, search, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~edweb/"} +{"d:Title": "H-Education", "d:Description": "H-Net discussion group dedicated to the history of formal and informal education, both institutions and processes. Features subject overview, archives, database, reviews, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~educ/"} +{"d:Title": "H-Film", "d:Description": "H-Net discussion group dedicated to encouraging scholarly discussion of cinema history and uses of the media. Features subject overview, archives, bibliographies, festivals, search, research and teaching aids, links to related lists, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~film/"} +{"d:Title": "H-Florida", "d:Description": "H-Net discussion group dedicated to the culture and history of Florida. Features subject overview, archive, announcements, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~florida/"} +{"d:Title": "H-Francais: French Studies", "d:Description": "H-Net discussion group dedicated to scholars of culture, geography, and history. Features subject overview, archives, links, search, and subscription details. [English index page; mainly French content.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~francais/anglais.html"} +{"d:Title": "H-Frauen-L: Women and Gender in Early Modern Europe", "d:Description": "Former H-Net discussion group was dedicated to enhancing communications regarding teaching and scholarship. Features subject overview, archive, and links to related resources.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~frauen-l/"} +{"d:Title": "H-GAGCS: German-American and German-Canadian Studies", "d:Description": "H-Net discussion group dedicated to German-American and German-Canadian Studies. Features archive, links to related resources, reviews, and subscription information. [English and German]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~gagcs/"} +{"d:Title": "H-Gender-MidEast: Gender Studies in the Arab World", "d:Description": "H-Net discussion group dedicated to scholarly exchange on issues of gender in the Arabic speaking Middle East, Africa, Turkey, and the Mediterranean. Features subject overview, archive, reviews, links to related lists, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~gend-mid/"} +{"d:Title": "H-Genocide", "d:Description": "H-Net discussion group for scholars, survivors, authors, historians, and others devoted to the prevention, history, analysis, and theory of genocide. Features subject overview, archives, book reviews, related resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~genocide/"} +{"d:Title": "H-Grad: Graduate Students", "d:Description": "H-Net discussion list dedicated to providing a closed, moderated forum for free exchange of thoughts. Includes archives, reviews, job postings, and links.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~grad/"} +{"d:Title": "H-High-S: High School History and Social Studies", "d:Description": "H-Net group dedicated to the discussion of curriculum in teaching history, social studies, and related subjects in American secondary schools. Offers subject overview, archive, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~highs/"} +{"d:Title": "H-HistBibl: Bibliographic and Library Services", "d:Description": "H-Net international network for those interested in the practice and study of bibliographic and library services in support of historical study and teaching. Overview, discussion group, archives, affiliations, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~histbibl/"} +{"d:Title": "H-HistMajor: Undergraduate History Majors", "d:Description": "H-Net discussion group dedicated to exploring common interests and concerns. Features subject overview, archives, reviews, search, links to related lists, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~hstmajor/"} +{"d:Title": "H-History-and-Theory", "d:Description": "H-Net discussion group from the journal History and Theory dedicated to increasing and broadening communication among its readers and those interested in its topics. Features subject overview, archives, links to related lists, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~hist-thr/"} +{"d:Title": "H-HistoryDay", "d:Description": "H-Net discussion group sponsored by the National History Day Society for sharing methodological and thematic ideas, approaches, and problems. Features subject overview, archives, affiliations, links to related lists and resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~histday/"} +{"d:Title": "H-Holocaust: Holocaust Studies", "d:Description": "H-Net discussion group devoted to the study of the Holocaust, anti-semitism, and Jewish history in the 1930s and 1940s. Features archive, essays, reviews, links to related resources, course syllabi, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~holoweb/"} +{"d:Title": "H-Ideas: Intellectual History", "d:Description": "H-Net group dedicated to the discussion of intellectual history. Features archive, reviews, bibliographies, teaching aids, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~ideas/"} +{"d:Title": "H-Iowa", "d:Description": "H-Net discussion group dedicated to fostering discussion and exchange of information about the history and culture of the state of Iowa and the Midwest. Features subject overview, archives, search, links to related lists, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~iowa/"} +{"d:Title": "H-Islamart: History of Islamic Art and Architecture", "d:Description": "H-Net discussion group devoted to the study of the art history and architecture of Islamic cultures. Features archive, reviews and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~islamart/"} +{"d:Title": "H-Italy", "d:Description": "H-Net discussion group dedicated to offering scholars a central source for information in the field of Italian history. Features subject overview, archives, reviews, art and architecture, literature, museums and exhibitions, links to related resources, search, and subscription details. [English and Italian.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~italy/"} +{"d:Title": "H-Japan", "d:Description": "H-Net discussion group dedicated to discussing Japanese history, culture, religion, and society, including contemporary issues with subject overview, archives, reviews, resources, journals, links to related lists and resources, search, and subscription details. [English with Japanese mirror.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~japan/"} +{"d:Title": "H-JHistory", "d:Description": "H-Net group for historians of journalism and mass communications to discuss academic and professional issues with one another. Features subject overview, archives and logs, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~jhistory/"} +{"d:Title": "H-Labor", "d:Description": "H-Net discussion group dedicated to fostering international discussion of labor history topics. Features subject overview, archives, announcements, current threads, search, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~labor/"} +{"d:Title": "H-Labor-Arts: Cultural and Artistic Heritages of Working People", "d:Description": "H-Net discussion group dedicated to scholarly interaction among historians, labor activists, and artists. Features subject overview, archives, links to related resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~laborart/"} +{"d:Title": "H-Levant", "d:Description": "H-Net discussion group for scholars studying the history and culture of what is sometimes called the Arab Middle East. Features subject overview, archives, research queries, book reviews, calls for papers, conference announcements, links to related resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~levant/"} +{"d:Title": "H-Luso-Africa", "d:Description": "H-Net discussion group initiated by the Lusophone African Studies Organization. Features subject overview, archives, search, links to related resources, and subscription details. [English and Portuguese.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~lusoafri/"} +{"d:Title": "H-Mac", "d:Description": "H-Net discussion group dealing with the uses of Macintosh computers in the humanities and social sciences. Features subject overview, topic and software archives, history, humor, links to related lists and resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~mac/"} +{"d:Title": "H-Maryland", "d:Description": "H-Net discussion group dedicated to providing a means of communication for those who research, write, read, teach, and preserve Maryland history and culture. Features subject overview, archives, links to related lists, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~maryland/"} +{"d:Title": "H-Mediterranean: Mediterranean History", "d:Description": "H-Net discussion group providing researchers with information about publications and congresses regarding the area in modern and contemporary history. Subject overview, archives, reviews, links, search, and subscription details. [English with French, Italian, Arabic, Turk, Spanish and Greek resources.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~mediter/"} +{"d:Title": "H-MMedia: Teaching, Research, and Scholarship with Technology", "d:Description": "H-Net discussion group dedicated to information technology in the humanities. Features subject overview, archives, reviews, journals, publishers, syllabi, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~mmedia/"} +{"d:Title": "H-Museum", "d:Description": "H-Net discussion group for museum professionals. Features subject overview, archives, collaborators, journals, news digests, links to related lists and resources, jobs, search, and subscription details. [English and German.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~museum/"} +{"d:Title": "H-MusTxt: Musico-Textual Studies", "d:Description": "H-Net discussion group dedicated to exploring the relationships between music and text. Features subject overview, archives, reviews, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~mustxt/"} +{"d:Title": "H-New Mexico", "d:Description": "H-Net discussion group forum for discussion of the culture and history of New Mexico. Features subject overview, archives, links to related lists and resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~newmex/"} +{"d:Title": "H-NEXA: Science-Humanities Convergence Forum", "d:Description": "Former H-Net discussion group dedicated to the interrelationship of humanistic and scientific thought. Features archived reviews, syllabi, links, two essays, the Sokal Affair and Multi Level Mind, and related lists.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~nexa/"} +{"d:Title": "H-NILAS: Nature in Legend and Story", "d:Description": "H-Net discussion group dedicated to understanding the traditional bonds between human beings and the natural world. Features archive, bibliographies, articles, reviews, syllabi, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~nilas/"} +{"d:Title": "H-OIEAHC: Omohundro Institute of Early American History and Culture", "d:Description": "H-Net discussion group dedicated to Colonial and Early American History. Features archives, membership application, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~ieahcweb/"} +{"d:Title": "H-Oralhist: Oral History", "d:Description": "H-Net discussion group affiliated with the Oral History Association. Features archive, links to related resources, reviews, bibliographies, sound files, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~oralhist/"} +{"d:Title": "H-Pol: American Political History", "d:Description": "H-Net discussion group dedicated to enhancing research, service, and teaching in the field of American political history. Features subject overview, archives, syllabi and dissertations, reviews, announcements, reviews, search, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~pol/"} +{"d:Title": "H-PolMeth", "d:Description": "H-Net discussion group affiliated with the Political Methodology section of the American Political Science Association. Features subject overview, archive, working papers, links to related resources, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~polmeth/"} +{"d:Title": "H-Psychohistory", "d:Description": "Former H-Net group intended to serve as a forum for discussion of research, theory, teaching, and scholarship in the field of psychohistory, the study of historical motivations. Features subject overview, archives, and search.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~psychhst/"} +{"d:Title": "H-Public", "d:Description": "H-Net discussion group dedicated to the promotion of public history both within the profession and among our assorted public audiences. Features subject overview, archives, announcements, search, affiliations, links to related links and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~public/"} +{"d:Title": "H-Quilts", "d:Description": "H-Net discussion group dedicated to quilting research and documentation. Features archive, reviews, links to quilting societies, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~quilt/"} +{"d:Title": "H-RADHIST: Radical History", "d:Description": "H-Net discussion group dedicated to the study of history and politics from a radical perspective. Features subject overview, links to related resources, archive, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~radhist/"} +{"d:Title": "H-Review: Scholarly Review", "d:Description": "H-Net lists and interfaces to databases of book, software, multimedia, exhibit, and film reviews published on various H-Net lists. Features browsing and search capabilities, subscription information, guidelines, and notes for publishers.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/reviews/"} +{"d:Title": "H-Rhetor: History of Rhetoric", "d:Description": "H-Net discussion group dedicated to the history of rhetoric, writing and communication. Features subject overview, archive, reviews, links to related resources, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~rhetor/"} +{"d:Title": "H-Rural: Rural and Agricultural History", "d:Description": "H-Net group dedicated to facilitate discussions about scholarship and teaching in rural and agricultural history. Includes subject overview, archive, links to organizations, reviews, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~rural/"} +{"d:Title": "H-Russia: Russian History", "d:Description": "H-Net discussion group dedicated to Russian and Soviet history. Provides subject overview, links to related resources and lists, bibliographies, archive, reviews, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~russia/"} +{"d:Title": "H-SAWH: Southern Association for Women Historians", "d:Description": "H-Net discussion group dedicated to the history of women and gender in the U.S. South. Provides subject overview, newsletter, archive, links to related resources, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~sawh/"} +{"d:Title": "H-Scholar: Independent Scholars and Scholarships", "d:Description": "Discussion group dedicated to assisting work sharing, enhancing productivity through information sharing, facilitating communication, providing information, and creating a discussion forum. Features subject overview, archives, reviews, links, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~scholar/"} +{"d:Title": "H-Sci-Med-Tech: Science, Medicine, and Technology", "d:Description": "H-Net discussion group dedicated to humanities and social science scholars who study the interrelationship of these disciplines. Features subject overview, archives, teaching and research resources, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~smt/"} +{"d:Title": "H-SEASIA: Southeast Asia", "d:Description": "H-Net discussion group dedicated to the history and study of the region. Features subject overview, archives, links to related lists, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~seasia/"} +{"d:Title": "H-SHEAR: Scholars of the History of the Early American Republic", "d:Description": "H-Net discussion group for professional and avocational historians studying the period from 1789 to 1850 in the United States. Features subject overview, list and conference archives, affiliations, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~shear/"} +{"d:Title": "H-SHGAPE: Society for Historians of the Gilded Age and Progressive Era", "d:Description": "H-Net discussion group dedicated to scholarly study of the subject matter. Features subject overview, archives, membership forms, convention information, reviews, new books, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~shgape/"} +{"d:Title": "H-State", "d:Description": "H-Net discussion group dedicated to scholarly discussion of the welfare state and making available bibliographical, research and teaching aids. Features subject overview, archives, source materials, search, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~state/"} +{"d:Title": "H-Survey", "d:Description": "H-Net group dedicated to enable easier discussion among historians involving teaching, especially as regards beginning undergraduate courses. Includes subject overview, archives, syllabi, journals, publishers, references, search, links, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~survey/"} +{"d:Title": "H-Teach: Teaching History in the University", "d:Description": "H-Net group dedicated to scholarly discussion on policy issues, methods, technological changes, and specific teaching tools. Features subject overview, archives, reviews, search, syllabi, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~teach/"} +{"d:Title": "H-TeachPol: Teaching Political Science", "d:Description": "H-Net discussion group focuses on post-secondary settings. Features subject overview, archives, reviews, search, links to related resources and lists, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~teachpol/"} +{"d:Title": "H-Tennessee", "d:Description": "H-Net discussion group dedicated to the study of the history of the state of Tennessee. Features subject overview, archives, search, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~tenn/"} +{"d:Title": "H-Texas", "d:Description": "H-Net discussion group dedicated to scholarly discussion of the history and culture of Texas. Features subject overview, archives, reviews, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~texas/"} +{"d:Title": "H-Turk: Turkish Studies", "d:Description": "H-Net discussion group dedicated to the culture and history of Turkey. Includes archive, links to related lists and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~turk/"} +{"d:Title": "H-UCLEA: University and College Labor Education Association", "d:Description": "H-Net group dedicated to fostering communication and discussion about research and teaching Labor Studies. Features subject overview, archives, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~uclea/"} +{"d:Title": "H-US1918-45: The New Deal Era and Its Origins", "d:Description": "H-Net discussion group dedicated to researching and teaching the history of the United States from 1918 to 1945. Features subject overview, archives, search, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~us191845/"} +{"d:Title": "H-USA", "d:Description": "H-Net discussion group encourages international study of the United States of America. Features subject overview, archives, reviews, affiliations, search, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~usa/"} +{"d:Title": "H-US-Japan", "d:Description": "H-Net discussion group is a forum to study relations between the United States and Japan in the context of economic, security, political, and diplomatic issues. Features subject overview, archives, reviews, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~usjp/"} +{"d:Title": "H-Utopia", "d:Description": "H-Net discussion group dedicated to studying the forms, contents, and influence of utopian and dystopian thinking. Features subject overview, archives, affiliations, links to related lists and resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~utopia/"} +{"d:Title": "H-War: Military History Network", "d:Description": "H-Net discussion group dedicated to world military history. Provides subject overview, archive, reviews, links to related resources, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~war/"} +{"d:Title": "H-West", "d:Description": "H-Net discussion group encouraging discussion of the history of the North American West and the frontier as both place and process. Features subject overview, archives, bibliographies, syllabi and dissertations, journals, material collections, links, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~west/"} +{"d:Title": "H-World", "d:Description": "H-Net discussion group serves as a network of communication among practitioners of world history. Features subject overview, archives, reviews, bibliographies, syllabi, teaching materials, programs, search, related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~world/"} +{"d:Title": "SWHSAE: Studies in the World History of Slavery, Abolition and Emancipation", "d:Description": "H-Net occasional publication featuring essays, documents, images, bibliographies and other information relevant to the history of the subject matter in Africa, Asia, Europe and the Americas. [English, French, Portuguese, and Spanish.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~slavery/"} +{"d:Title": "H-Ethnic: Ethnic and Immigration History", "d:Description": "H-Net discussion group dedicated to the scholarly discussion of ethnic history, immigration and emigration studies. Features subject overview, archive, bibliographies, links to related resources, syllabi, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~ethnic/"} +{"d:Title": "H-Business", "d:Description": "H-Net discussion list and newsletter for the Business History Conference. Features subject overview, archives, reviews, links to related lists, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~business/"} +{"d:Title": "H-HistGeog: Historical Geography", "d:Description": "H-Net discussion group dedicated to examining the intricate relationship between space and time. Features subject overview, archive and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~histgeog/"} +{"d:Title": "H-Indiana", "d:Description": "H-Net discussion group sponsored by the Indiana Historical Society. Features subject overview, archives, reviews, search, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~indiana/"} +{"d:Title": "H-SAfrica: Southern African Studies", "d:Description": "H-Net discussion group dedicated to South and Southern Africa history and culture. Includes subject overview, links to related resources and lists, reviews, archive, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~safrica/"} +{"d:Title": "H-PCAACA: Popular Culture Association and American Culture Association", "d:Description": "H-Net discussion group dedicated to research interests, teaching methods, and the state of historiography. Features subject overview, archives, affiliations, announcements, links to related lists and resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~pcaaca/"} +{"d:Title": "H-Mideast-Medieval: Islamic Lands of the Medieval Period", "d:Description": "H-Net discussion group dedicated to the study of Islamic lands during the Middle Ages. Sponsored by the Middle East Medievalists (MEM). Features subject overview, archive, reviews, announcements, and subscription information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~midmed/"} +{"d:Title": "H-Michigan", "d:Description": "H-Net discussion group dedicated to the study of the history of the state of Michigan. Features subject overview, archives, calendar, syllabi, organizations, time lines, symbols, exhibitions, links to related lists and resources, search, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~michigan/"} +{"d:Title": "PSRT-L: The Political Science Research and Teaching List", "d:Description": "H-Net discussion group dedicated to issues of interest to professional political scientists, both researchers and teachers. Features subject overview, archives, affiliations, links to related resources, and subscription details.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net", "url": "http://www.h-net.org/~psrt/"} +{"d:Title": "APSA: American Political Science Association", "d:Description": "H-Net affiliate dedicated to the study of politics. Features subject overview, archives, search, affiliations, publications, links to related resources, job and career opportunities, and contact information.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net/Affiliates", "url": "http://www.apsanet.org/"} +{"d:Title": "EH.Net: Economic History Services", "d:Description": "H-Net affiliate operates fileserver and discussion lists providing resources and promote communication among scholars in economic history and related fields. Includes services, abstracts, Ask the Professor, reviews, syllabi, directory, encyclopedia, resources, lists, and related sites.", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net/Affiliates", "url": "http://eh.net/"} +{"d:Title": "IAHCCJ: International Association for the History of Crime and Criminal Justice", "d:Description": "H-Net affiliate. Includes the journal Crime, History, and Society, the Herman Diederiks Prize, conferences, and other sites of interest. [English with French mirror.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net/Affiliates", "url": "http://www.h-net.org/~iahccj/"} +{"d:Title": "H-France", "d:Description": "H-Net affiliate devoted to promoting the discussion, study, and teaching of French history and culture. Includes discussion list, links, search, purpose and policy statements, reviews, and contacts. [English with some French content.]", "topic": "Top/Arts/Humanities/Scholarship_and_Technology/H-Net/Affiliates", "url": "http://www.h-france.net/"} +{"d:Title": "Ross, Scott", "d:Description": "Portfolio of illustration and design services.", "topic": "Top/Arts/Illustration/Advertising", "url": "http://www.scottross.com/"} +{"d:Title": "Tinney, Robert", "d:Description": "Advertising illustrations and murals by LA computer industry artist.", "topic": "Top/Arts/Illustration/Advertising", "url": "http://www.tinney.net/"} +{"d:Title": "Ashley, Aaron", "d:Description": "Illustration and design services, specializing in realistic technical and product illustration.", "topic": "Top/Arts/Illustration/Advertising", "url": "http://www.ashleyillustration.com/"} +{"d:Title": "Forney, Steve", "d:Description": "Commercial digital and airbrush art-deco style illustrations. Stock art is also available.", "topic": "Top/Arts/Illustration/Advertising", "url": "http://www.steveforney.com/"} +{"d:Title": "Hussey, Steve", "d:Description": "Computer generated illustrations for retail, agency, industry, and publishing clients.", "topic": "Top/Arts/Illustration/Advertising", "url": "http://www.stevehussey.com/"} +{"d:Title": "Advanced Airbrush", "d:Description": "Provides gallery of work by airbrush artist Wayne Harrison. Information on teaching and artwork for production of bikes, cars, trucks, promotional vehicles. Based in Australia.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrush.com.au/"} +{"d:Title": "Airbrush&Specialpaint Marum", "d:Description": "Airbrush and special paint on Harley's, helmets, wheelcovers, trikes, trucks, bikes.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrush-marum.nl/"} +{"d:Title": "Airbrush Artwork by Colin McKay", "d:Description": "Motorbike artwork.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushartwork.co.uk/"} +{"d:Title": "Angelo Boston", "d:Description": "Professional urban/street airbrush artist. Original artworks, murals, jackets, backdrops and t-shirts. All work is done freehand.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://angeloboston.tripod.com/"} +{"d:Title": "Crazy Horse", "d:Description": "20 years of award winning wild murals, killer flames and graphics from artist JoAnn Bortles. From show bikes to your daily rider.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.crazyhorsepainting.com/"} +{"d:Title": "Shadowfire Airbrush Gallery", "d:Description": "Air-brush paintings of nature, animals, monsters", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.shadowfire.demon.nl/"} +{"d:Title": "LeFever", "d:Description": "History of renowned airbrush artist Jeff LeFever, Freedom Project, mixed media art, airbrushed early work, Wyland murals, magazine articles.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.lefever.com/"} +{"d:Title": "Toni Lea Combs", "d:Description": "Airbrush art,animations and web page building tools. Animated backgrounds and buttons.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.art-tlc.com/"} +{"d:Title": "Airbrushing by Sweet", "d:Description": "Airbrush bikes, RVs, tire covers, motorcoaches, cars, trucks, boats, guitars, autos, and portraits on canvas by Curtis Sweet. Located in Clearwater, Tampa, St. Pete, Fl.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.sweetairbrushing.com/"} +{"d:Title": "The Bill Mayer", "d:Description": "A site featuring the creations of Bill Mayer. Extensive set of some of his latest work.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://thebillmayer.com/"} +{"d:Title": "dv8paint", "d:Description": "UK based custom airbrushing.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://dv8paint.tripod.com/"} +{"d:Title": "MK Arts.com", "d:Description": "Original art by Marcio Karam, a Brasilian arirbrush artist, now located in Fort Lauderdale, Florida. Marcio does everything from airbrush, body art, paintings, cars, to creatrive furniture.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://mkarts.com/"} +{"d:Title": "Polygon Custom Art", "d:Description": "Artists offering fused glass earrings, custom art and art services, production and one off gallery grade gifts.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.artbypolygon.com/"} +{"d:Title": "BassAckward Studios", "d:Description": "Specializing in custom airbrush, portraiture and illustration on motorcycles, garments, signage, leather, denim, and glass.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.bassackwardstudios.com/"} +{"d:Title": "Airpower Art Studio", "d:Description": "Award winning custom airbrushing on motorcycles, vehicles, helmets, instruments and clothing. Connecticut based specializing in photorealistic murals, flames and graphics.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.theairbrushguys.com/"} +{"d:Title": "Nash Fx", "d:Description": "Professional airbrush artwork and custom paint in arizona.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.nashfx.com/"} +{"d:Title": "Deadline Graphix", "d:Description": "Specializes in graphic design, photography, airbrush, corporate identity, web design and illustration.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://deadlinegraphix.com/"} +{"d:Title": "Noah Fine Art", "d:Description": "Providing surreal paintings of mermaids, fairies, angels and roses as well as florals on canvas, water sculptures and metal paintings. Includes limited edition prints, candles, soaps and t-shirts along with other merchandise hosting the fantasy and contemporary art of Noah.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.noahfineart.com/"} +{"d:Title": "Art of Rene Borst", "d:Description": "Features gallery, biography, and step by step.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.reneborst.de/"} +{"d:Title": "Riccos Creations Airbrush Art", "d:Description": "Paintings of entertainers, movie stars, well-known figures and loved ones. Wide variety of medium: jackets, and backdrops.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://riccomn.tripod.com/"} +{"d:Title": "Airbrush Gallery", "d:Description": "Airbrush Art work of airbrush artist Don Johnson", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushgallery.com/"} +{"d:Title": "Allycatdesigns", "d:Description": "Airbrushed portraits, murals, and animals, done on t-shirts, and helmets.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.alleycatdesigns.com/airbrush.htm"} +{"d:Title": "The Art of Dirk Borgmeyer", "d:Description": "Online Gallery with Airbrush Pictures, contact and biographie of the Airbrush Artist Dirk Borgmeyer. Germany.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.dirk-borgmeyer.de/"} +{"d:Title": "Airbrush Frank Hazen", "d:Description": "Artwork on motorcycles, autos, helmets, canvas, t-shirts, and leather. The largest selection of celebrity portrait t-shirts anywhere. Located in Dayton, Ohio.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushfrankhazen.com/"} +{"d:Title": "Andy Zito Visuals", "d:Description": "Andy Zito's airbrushed, editorial and advertising illustrations.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.andyzito.com/"} +{"d:Title": "AMP Sports Art", "d:Description": "Sports related art giving the feel of motion when viewed.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.ampsportsart.com/"} +{"d:Title": "Griffe Originale", "d:Description": "Custom airbrush artist specializing in hockey masks, motorcross helmets and motorbikes. Itech certified.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.griffeoriginale.com/"} +{"d:Title": "Airbrush Artist Magazine", "d:Description": "Technique lessons, forum, tips, gallery and links.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.howtoairbrush.com/"} +{"d:Title": "The Williams Studio", "d:Description": "A creative source site including galleries, tutorials, working process descriptions and downloads. All content is completely original. Illustrations.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.workdance.com/index2.html"} +{"d:Title": "Airbrush Studio Lijnden", "d:Description": "Specialist in paintbrushing race helmets. Early 2003: Formula 1. Huub Rothengatter, with the helmets of Formula 1 driver, Jos Verstappen.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushlijnden.com/"} +{"d:Title": "Shawn Babineau Paints", "d:Description": "Airbrushed work such as Harley-Davidsons, other motorcycles, goalie masks, helmets, car hoods, murals, guitars.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://shawnbabineau.tripod.com/"} +{"d:Title": "Be Unique", "d:Description": "Custom Airbrushing helmets, tanks, leather.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.be-unique.com/"} +{"d:Title": "Explicit Airbrush", "d:Description": "Automotive airbrush art, murals, flames, graphics, etc.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.explicitairbrush.com/"} +{"d:Title": "McCall Colors Custom Paint", "d:Description": "Custom motorcycle painting and airbrush design. Located in Dayton, Ohio.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.mccallcolors.com/"} +{"d:Title": "Customair Airbrushing", "d:Description": "Airbrushing on t-shirts, and auto bikes. Located in Victoria, Australia.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.customairairbrushing.com.au/"} +{"d:Title": "S M Airbrushing", "d:Description": "New Jersey airbrush studio painting motorcycles, helmets, cars, trucks, and t-shirts.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.smairbrushing.com/"} +{"d:Title": "Swiss Aviation Art", "d:Description": "Bertrand Presset and his Swiss Air Force fighter jets.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.swissaviationart.ch/"} +{"d:Title": "Custom Paint by Daneen", "d:Description": "Provides custom air brush painting for motorcycles, guitars, and toilet seats.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.customairbrush.com/"} +{"d:Title": "Custom Motorcycle Painting by Horst", "d:Description": "Custom airbrush, murals, and art on motorcycles and other vehicles.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://horstcycleart.com/"} +{"d:Title": "Jim Munroe", "d:Description": "Airbrush artwork on motorcycles, canvas and board by Jim Munroe.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://jimmunroe.com/"} +{"d:Title": "Manifest Art Studio", "d:Description": "Custom Airbrush art by Rafael Ramirez. Specializing in portraiture, murals, sport art memorabilia, and custom dart board cabinets.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.manifestartstudio.com/"} +{"d:Title": "Airbrush Fitto", "d:Description": "Creation exclusive anticommercial. Show bikes.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushfitto.com/"} +{"d:Title": "King Lang Art and Airbrushing", "d:Description": "Wisconsin artist, C. King Lang, specializing in airbrushed photo-realistic renditions of wildlife, fantasy and motorcycle subject matter.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.angelfire.com/goth2/king-lang-art/"} +{"d:Title": "The Pinup Art of Kent Steine", "d:Description": "Classic pinup artwork.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.kentsteine.com/"} +{"d:Title": "Space City Airbrush", "d:Description": "This site promotes airbrushing personalized name designs on shirts, caps, boxers, and other merchandise at Bar and Bat Mitzvahs or any event.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.spacecityairbrush.com/"} +{"d:Title": "The Phlash", "d:Description": "Urban airbrush murals and a wide range of other articles painted, anime feel enormous artwork. Montreal, Canada.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.thaphlash.com/"} +{"d:Title": "The Airbrush Studio", "d:Description": "Custom airbrushing on batting helmets, motorcycle helmets, auto tags, t-shirts.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://theairbrushstudio.com/"} +{"d:Title": "Pop Shop Art", "d:Description": "Over 30 years combined experience doing custom airbrush artwork on helemts, car tags, t-shirts, automobile portraits and portraits.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.popshopart.com/"} +{"d:Title": "Aerose Artistry", "d:Description": "Custom artwork by Denise Thurston-Newton including portraits, automotive murals, landscapes and wildlife. Includes gallery and biography. Based in Grand Prairie, Tx.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.aeroseartistry.com/"} +{"d:Title": "Strader Studio", "d:Description": "Custom painting, airbrushing, pinstriping, illustration, and murals.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.straderstudio.com/"} +{"d:Title": "Garcia Gabriel", "d:Description": "Offering a variety of custom airbrush services for T-shirts, helmets, costume character detailing, special event props, centerpieces, and murals.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.ggairbrush.com/"} +{"d:Title": "Grasshopper Custom Paint", "d:Description": "Custom airbrush, pinstriping, murals, flames, and layout.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.grasshoppercustompaint.com/"} +{"d:Title": "Cryptic Graphics", "d:Description": "Custom airbrushing on motorcycles, cars, helmets, and guitars, by Marc Baker. Includes photo galleries.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.crypticgraphics.com/"} +{"d:Title": "The Airbrush Museum&S\u00e9ance Room", "d:Description": "This site features articles, photos, and historical drawings about airbrush history. It also has photos from my airbrush collection. There's a little bit of fun thrown in.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushmuseum.com/"} +{"d:Title": "Tom Riggs", "d:Description": "Airbrush, and watercolor artist based in Colorado. Tom is available for commissioned work for murals, airbrushing, pastel, pen and ink and cartooning.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.tomriggs.com/"} +{"d:Title": "Wicked Kolor", "d:Description": "Examples of bike tanks.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.wickedkolor.com/"} +{"d:Title": "BD's Cycles, LLC", "d:Description": "Custom motorcycle painting in North Carolina, USA. Includes artists biography, showcase, details of services and pricing, testimonials, and FAQ.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.bdscycles.com/"} +{"d:Title": "Airheadz Custom Airbrush", "d:Description": "Dark and macabre airbrush art in Canada.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airheadzcustom.com/"} +{"d:Title": "Air Designs", "d:Description": "T-shirts, hats, auto tags, and portraits.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.freewebs.com/airdesigns/"} +{"d:Title": "His Painter Airbrush", "d:Description": "Airbrush artwork on a variety of surfaces.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://hispainter.com/"} +{"d:Title": "Big Buzz Designs", "d:Description": "Themed playgrounds, 3D water park attractions, amusement park theming, playground sculptures, props, three dimensional signs, children's murals, and design.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.bigbuzzdesigns.com/"} +{"d:Title": "Woodling Airbrush&Pinstriping", "d:Description": "Airbrush and custom paint including motorcycles, helmets, golf carts, wall murals, pinstriping, truck lettering, and hand-painted signs. Located in Central PA.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.woodlingart.com/"} +{"d:Title": "Airbrushed Art", "d:Description": "Chris Gadd; professional airbrush artist for corporate and fairground airbrush projects.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushedart.co.uk/"} +{"d:Title": "Next Level Airbrush, Inc.", "d:Description": "Automotive artwork, wall murals, special effects,computer cases, golf clubs, canvas art, t-shirts,and airbrush bodyart.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.nextlevelairbrush.com/"} +{"d:Title": "Spray Tech Designs", "d:Description": "Body and paint repair, realistic flame And 3D skulls motorcycles, helmets.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://spraytechdesigns.com/"} +{"d:Title": "El Gappo Designs", "d:Description": "Artwork of El Gappo from Blaine, MN.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://elgappodesigns.atspace.com/"} +{"d:Title": "H C Galleri", "d:Description": "Airbrush artist Hans Carlsen's Gallery and Workshop located in Denmark - Gilleleje - P\u00e5rup.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://hcgalleri.com/"} +{"d:Title": "Get Painted", "d:Description": "Airbrush and bodypaint workshops.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.getpainted.com/"} +{"d:Title": "Air-Extrordin-Air", "d:Description": "Specialize in realistic airbrush art, portraits, T-shirts and body paintings.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.air-extrordin-air.com/"} +{"d:Title": "Art by Vision", "d:Description": "Airbrush art work on steel, specialized on miniature, portraits and hiper realism. Paining on bikes, crash helmets or little objects like zippo etc.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.artbyvision.com/"} +{"d:Title": "Airmagination", "d:Description": "Airbrushed shirts, hip hop clothing.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airmagination.com/"} +{"d:Title": "Hernandez Airbrushing", "d:Description": "Suppliers of Sports Banners.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.blakbirdz.com/airbrush/index.htm"} +{"d:Title": "Sveeart", "d:Description": "Located in Winchester, Hampshire, I offer one off custom painting, airbrushing, illustration, tattoo design and all sorts on almost any surface. Guitars, bikes, helmets, canvas, leather.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.sveeart.co.uk/"} +{"d:Title": "Desmond Montague", "d:Description": "Samples of artwork including pictures of animals, insects, food, and landscape.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://montague.creativesource.ca/"} +{"d:Title": "Universal Artwork", "d:Description": "Airbrushing and custom paint on bikes, autos, walls, murals, graphics,and flames.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://home.cogeco.ca/~jchambers2/"} +{"d:Title": "East Coast Brush Works", "d:Description": "Textile painting and party entertainment.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.eastcoastbrushworks.com/"} +{"d:Title": "Rippin Designs", "d:Description": "Custom painted and airbrushed motorcycles, helmets, commercial and private vehicles, signs, and decor.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.rippindesigns.com/"} +{"d:Title": "T&J Grafix", "d:Description": "Textile painting.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.tandjgraphics.1colony.com/"} +{"d:Title": "Hairy Designs", "d:Description": "Airbrushing on motorcycles. Based in Buckingham, England.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.hairydesigns.com/"} +{"d:Title": "The Scent Of Art", "d:Description": "Airbrush murals, fine art, perfumery, woodgrain.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.scent-of-art.com/"} +{"d:Title": "The Masque, the art of Mark Wilkinson", "d:Description": "A huge gallery of the artwork of rock illustrator Mark Wilkinson including step by step guides and the chance to buy artwork.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.the-masque.com/"} +{"d:Title": "Matlock Studios", "d:Description": "Matlock Studios specializes in the development of complex medical and scientific images and illustrations for the web, multimedia, and print.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.andymatlock.com/"} +{"d:Title": "Elemendart", "d:Description": "Portfolio of air brush artist painter and illustrator Oliver Lassalle.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.elemendart.co.uk/"} +{"d:Title": "Air Flair Art", "d:Description": "Custom Airbrushing, designs, logos, portraits, and reproductions from photos.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://airflairart.com/"} +{"d:Title": "Stou Design", "d:Description": "Custom paint and airbrush on helmets, goalie masks and motorcycles.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.stoudesign.com/"} +{"d:Title": "Airbrush Talk", "d:Description": "Bimonthly newsletter for airbrush artists. Includes articles on airbrush techniques, supplies, tools and applications.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.airbrushtalk.com/"} +{"d:Title": "Val Air Custom Airbrushing", "d:Description": "Custom airbrushing work on motorcycles. Includes contact information.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.valaircustompainting.com/"} +{"d:Title": "RT Foster's Art", "d:Description": "Specializes in custom nose art, bomber jackets and vehicle graphics. Also has prints for sale.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.rtfosterart.com/"} +{"d:Title": "Goldnrod Graphix Airbrush", "d:Description": "Airbrush and custom graphics, murals, lettering and striping. Private and commercial vehicles, semi trucks, show cars, race cars and trailers, motor coaches, boats, motorcycles, and aircraft.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.goldnrodgraphix.com/"} +{"d:Title": "Eye Candy Air", "d:Description": "Custom airbrushing by Steve Nash. Specializing in goalie mask and helmet painting.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.eyecandyair.com/"} +{"d:Title": "Aerografix", "d:Description": "Airbrushed leather vests, tire covers, carnival rides, murals, custom bike decor, pet portraits and sculpture.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.aerografix.net/"} +{"d:Title": "Cutting Edge Illusions", "d:Description": "Custom motorcycle painting of fire, flames, graphics, and murals for bikes and choppers. Aftermarket parts and accessories including V-Rod airbox covers and fenders.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.cuttingedgeillusions.com/"} +{"d:Title": "DaveDesigns", "d:Description": "Airbrush services including auto, bike and helmet painting. Wall murals, clothing and painted stone. Courses for beginners.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://davesdesigns.ca/"} +{"d:Title": "Brayson Art", "d:Description": "Airbrushed murals on motorcycle tanks, helmets, jackets and canvas by UK artist Ashley Brayson.", "topic": "Top/Arts/Illustration/Airbrush", "url": "http://www.users.freenetname.co.uk/~brayson/"} +{"d:Title": "Vizcarra", "d:Description": "Spanish artist. Biography and galleries split into sections such as sports, politics and Hollywood.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.vizcarra.info/"} +{"d:Title": "Pismestrovic, Peter", "d:Description": "Yugoslavian born artist. Mini biography and a portfolio of artwork.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.pismestrovic.com/"} +{"d:Title": "National Caricaturist Network", "d:Description": "International non-profit organization dedicated to the art of caricature.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.caricature.org/"} +{"d:Title": "The Nose Caricature Artist Index", "d:Description": "Offers a listing of caricature artists throughout the world.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://the-nose.com/"} +{"d:Title": "O'Brian, Bob", "d:Description": "Experienced caricature artist based in Dallas, Tx. Can perform at events in other regions.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.bobobriancaricatures.com/"} +{"d:Title": "Minton, Deano", "d:Description": "Panama City Beach artist specializing in gift and entertainment caricatures.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.cartoonsbydeano.com/"} +{"d:Title": "Macaluso, Marty", "d:Description": "New York caricature artist. Available for live entertainment.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.quicksketch.com/"} +{"d:Title": "Hughes, Alex", "d:Description": "Cartoons and caricatures by Alex Hughes, based in Birmingham, UK. Custom caricatures and celebrities.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.alexhughescartoons.co.uk/"} +{"d:Title": "Machin, Ric", "d:Description": "Celebrity caricatures and portraits. Ordering and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.ricmachin.com/"} +{"d:Title": "Op De Beeck, Jan", "d:Description": "Offers a gallery of his caricatures, sketches, ceramics and watercolours.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.opdebeeck.com/"} +{"d:Title": "Irilli, Silvio", "d:Description": "Italian cartoonist. Includes biography, gallery and e-cards.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.irilli.com/"} +{"d:Title": "Sturman, M.C.", "d:Description": "Pittsburgh-based artist available for corporate, private and school functions.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://mcsturman.tripod.com/"} +{"d:Title": "Pyrzakowski, Tony", "d:Description": "Caricatures and portraits. Based in Australia.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.caricatures.com.au/"} +{"d:Title": "Jay Bevenour Illustration", "d:Description": "Portfolio of color and black and white editorial caricatures.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.bevenour.com/"} +{"d:Title": "Cheung, Jerry", "d:Description": "Preliminary sketches and final artworks of imaginary characters and animals in a bold, colorful style. [Flash Required]", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.idgraphic.net/jerry/"} +{"d:Title": "Laikin, Aron", "d:Description": "Available for special events and from photos, based in New York. Provides samples, artist biography, pricing and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.caricaturist4hire.com/"} +{"d:Title": "Stehrenberger, Akiko", "d:Description": "Resume and portfolio of caricatures in a variety of styles and mediums.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.akikomatic.com/"} +{"d:Title": "Otto, Andreas", "d:Description": "Artist available for personal engagements and portraits from photographs. Biography, galleries and a video of the artist at work.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.portraitist.de/"} +{"d:Title": "Kunz, Anita", "d:Description": "Artist working for magazines, design firms, book publishers and advertising agencies. Biography, article, portfolio, store and contact form.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.anitakunz.com/"} +{"d:Title": "Gabor, Tim", "d:Description": "Illustration and design. Galleries, resume and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.timgabor.com/"} +{"d:Title": "Rodriquez, Al", "d:Description": "Artist offering caricature entertainment, graphic design and cartoon illustrations. Biography, resume, galleries, video of the artist at work, and a store.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.alrodstudio.com/"} +{"d:Title": "Melton, Eric", "d:Description": "Hand-drawn or digital work from photographs or models. Resume, information and samples.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://meltonart.com/"} +{"d:Title": "Kartoons.com", "d:Description": "Artists draw from photographs or on location. Celebrity gallery, samples of work and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.kartoons.com/"} +{"d:Title": "Piven, Hanoch", "d:Description": "Artwork created out of objects such as bananas, paper clips, and chicken fat. Information about the artist, samples, and a gallery of children's work.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.pivenworld.com/"} +{"d:Title": "Loosli, Blake", "d:Description": "Specializes in digital illustrations. Gallery, biography and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.blakeloosli.com/"} +{"d:Title": "Jones, Court", "d:Description": "San Diego based artist available for entertainment, illustrative work, and traditional portraiture in oils. Biography, list of awards, galleries and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.courtjones.com/"} +{"d:Title": "Martins, Leo", "d:Description": "Portfolio of a Brazilian press artist.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.leomartins.com/"} +{"d:Title": "Howatt, Blair", "d:Description": "Brief biography, artwork samples and gallery.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www3.telus.net/creativecartoons/"} +{"d:Title": "Movahed, Bahar", "d:Description": "Iranian artist. Biography, gallery, and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.baharmovahed.com/"} +{"d:Title": "Steckley, Ed", "d:Description": "Available for entertainment. Biography, galleries, client list, and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.edsteckley.com/"} +{"d:Title": "Harper, Fred", "d:Description": "Biography, galleries, calendar and a link to the artist's live journal.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.fredharper.com/"} +{"d:Title": "Giometti, Alfredo", "d:Description": "A gallery of the Italian artist's work.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.alfredogiometti.it/"} +{"d:Title": "Kusenda, Boris", "d:Description": "Based in Montreal. Biography, gallery, contact information, and cartoon of the day.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.boriskus.com/"} +{"d:Title": "McDonnell, Michael", "d:Description": "Canadian illustrator. Artist information and gallery.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.michaelmcdonnell.ca/"} +{"d:Title": "Wong, Jeff", "d:Description": "Creates humorous drawings for a variety of magazines. Gallery and contact telephone number.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.jeffwong.com/"} +{"d:Title": "Steve Nyman", "d:Description": "Entertainment for parties, events, conventions and trade shows. Galleries and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.aaacaricatures.com/"} +{"d:Title": "Lindsay Caricatures", "d:Description": "Based in Atlanta, Georgia. Draws from photos or at parties and events.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.funface.com/"} +{"d:Title": "Tom Richmond", "d:Description": "Minnesota artist specializing in illustrations and caricatures. Gallery, biography, blog, client list and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.tomrichmond.com/"} +{"d:Title": "Chris Rommel", "d:Description": "Wisconsin based caricaturist. Available for entertainment. Portfolio, biography, client list and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.chrisrommel.com/"} +{"d:Title": "Dan Springer", "d:Description": "Caricaturists in New York. Biography, resume, figure drawing, work samples, fine art and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.danspringer.net/"} +{"d:Title": "Rich Brown", "d:Description": "Caricaturist in Florida. Resume, caricature work samples and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.richcaricatures.com/"} +{"d:Title": "Michael Arnold", "d:Description": "Caricature artist based in New Jersey. Gallery, biography and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.speedydoodle.com/"} +{"d:Title": "Edwin, Crespo", "d:Description": "Cartoon, illustration and graphic art samples by Edwin Crespo. Contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.artcrespo.com/"} +{"d:Title": "Rog, Bowles", "d:Description": "Cartoon, illustration and graphic art samples by Rog Bowles, UK. Contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.rogcartoons.co.uk/"} +{"d:Title": "Pavel Jakubec", "d:Description": "Caricaturists in Slovakia. Portrait caricatures from photos,celebrities and cartoons.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.pjakubec.blogspot.com/"} +{"d:Title": "Rosy Leach", "d:Description": "UK based artist. Biography, drawings, self-portraits and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.rosyleach.co.uk/"} +{"d:Title": "Stoyan Lechtevski", "d:Description": "Florida based caricaturist. Available for entertainment. Portfolio, biography, client list and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.stoyanart.com/"} +{"d:Title": "Lartiste A La", "d:Description": "Offering Party Entertainment, including caricatures, face painting, tattoos. Cartooning and Illustration.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.lartiste.com/"} +{"d:Title": "David Pugliese", "d:Description": "Caricaturists biography, resume, figure drawing, work samples, fine art and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.davidpugliese.com/"} +{"d:Title": "Laszlo Caricaturists", "d:Description": "Caricaturists in London. Gallery, biography and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.laszlo-caricaturist-london.blogspot.com/"} +{"d:Title": "O'Loughlin, Niall", "d:Description": "Based in Ireland. Galleries, competition section, guestbook and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.caricatures.ie/"} +{"d:Title": "Soozi", "d:Description": "UK. Caricaturist available for corporate and private functions, also offering fine art and screens. Includes profile, portfolios, client list, and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.susanharrison.biz/"} +{"d:Title": "Ellinas, Simon", "d:Description": "Caricature galleries and portfolios of a London-based caricaturist.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://caricatures.org.uk/"} +{"d:Title": "Fowell, Graham", "d:Description": "A gallery of cartoon and caricature work of private and corporate commissions and examples of live quick caricatures at special events. Brief biog and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.caricaturesandcartoons.co.uk/"} +{"d:Title": "Malia, James", "d:Description": "California based illustrator. Artist information, galleries and contact form.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://jamesmalia.smugmug.com/"} +{"d:Title": "Chuck Senties", "d:Description": "Providing caricature services for special events of all types and gift caricatures for all occasions. Illustration also available for publication and advertising.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://chucksenties.indiemade.com/"} +{"d:Title": "Portrait Workshop blog", "d:Description": "Portrait Workshop - where caricatures and portraits come to life. Updates of artist's recent portrait, caricature and illustration.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.caricature.com.sg/"} +{"d:Title": "That Caricature Guy", "d:Description": "On the spot caricatures for corporate events and weddings.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.thatcaricatureguy.com/"} +{"d:Title": "Kerry Waghorn - Caricature Artist", "d:Description": "Caricatures for magazines, newspapers, advertising and privately commissioned pieces. Contact info, bio and galleries of celebrities, politicians, and athletes.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.kerrywaghorn.com/"} +{"d:Title": "Shannon, Doug", "d:Description": "Draws for parties and events in the Northern California Bay Area. Slideshows showing samples of work, personal information, streaming media demos of the artist at work, FAQs and contact details.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://eventtoons.com/"} +{"d:Title": "Hammersmith, Harris", "d:Description": "Finished work is completed in watercolor, pen and ink. Mini biography, gallery and contact form.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.harrishammersmith.com/"} +{"d:Title": "Salimbeni, Guido", "d:Description": "Italian based caricaturist. Gallery, short biography, blog, order and contact information.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.3dsmithery.net/"} +{"d:Title": "Molina, Jorge \"Fico\"", "d:Description": "Caricatures for magazines, newspapers, events and personal use.", "topic": "Top/Arts/Illustration/Caricature", "url": "http://www.ficomolina.com/"} +{"d:Title": "Luisa Calvo's Wicked Caricatures", "d:Description": "UK based artist working from photographs and on location. Samples of work, post bag, client list and contact form.", "topic": "Top/Arts/Illustration/Caricature/Entertainment", "url": "http://www.wickedcaricatures.com/"} +{"d:Title": "Steve Hearn", "d:Description": "Experienced professional live caricaturist from the UK entertaining at weddings, parties and corporate events.", "topic": "Top/Arts/Illustration/Caricature/Entertainment", "url": "http://www.drawn4u.com/"} +{"d:Title": "SensiblePencil.com", "d:Description": "Caricaturist Chrissy Marshall performing live at events and parties by drawing people on the spot.", "topic": "Top/Arts/Illustration/Caricature/Entertainment", "url": "http://www.sensiblepencil.com/"} +{"d:Title": "Al Hirschfeld Could Catch Your Essence in Flight", "d:Description": "A remembrance of the artist after his death, by Michael Kimmelmann. [New York Times; requires free registration.]", "topic": "Top/Arts/Illustration/Caricature/Hirschfeld,_Al", "url": "http://www.nytimes.com/2003/01/26/arts/design/26KIMM.html"} +{"d:Title": "Al Hirschfeld Website", "d:Description": "By Margo Feiden Galleries Ltd., the artist's exclusive representative. Includes biography, online gallery, links to museums where works are exhibited, and information about purchasing or licensing the drawings.", "topic": "Top/Arts/Illustration/Caricature/Hirschfeld,_Al", "url": "http://www.alhirschfeld.com/"} +{"d:Title": "Al Hirschfeld, 99, Dies; He Drew Broadway", "d:Description": "New York Times obituary. [Requires free registration.]", "topic": "Top/Arts/Illustration/Caricature/Hirschfeld,_Al", "url": "http://www.nytimes.com/2003/01/21/obituaries/21HIRS.html"} +{"d:Title": "Ackxhpaez", "d:Description": "Nostalgic 50s style cartoons and spoof ads by Wartella. Humor such as a meat farm instead of an ant farm, with maggots.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.ackxhpaez.com/"} +{"d:Title": "Dynoxicon", "d:Description": "Features cartoons and illustrations by Martin Missfeldt reinterpreting fine art masterpieces as giraffe pictures.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.dynoxicon.de/"} +{"d:Title": "Blunt Pencil", "d:Description": "Comic illustration, caricature, cartoons, storyboard, industrial illustration, web animation, 3D sculpture, greeting cards.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.bluntpencil.com/"} +{"d:Title": "Mr.Oblivious", "d:Description": "A cute little guy who just doesn't get it. He never has and he never will. There's a little Mr.O in all of us. Cartoons and comics.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.mroblivious.com/"} +{"d:Title": "Exploding Dog", "d:Description": "Single panel elaborate colorful stick figure art. You supply a title, and if it inspires the artist he draws it out.", "priority": "1", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://explodingdog.com/"} +{"d:Title": "Altar Ego", "d:Description": "Christian cartoons and humor for Church and Christian publications by Cartoonist Len Jones.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://webs.lanset.com/akajones/"} +{"d:Title": "Breadwig.com", "d:Description": "Cartoons of strange characters drawn with a unique style. Warped illustrations of inventive scripture.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.breadwig.com/"} +{"d:Title": "marqspusta.com", "d:Description": "A site containing the art and design of Marq Spusta. The artwork is very original and fresh. Done in a surreal cartoon type of style.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://marqspusta.com/"} +{"d:Title": "Attaboy", "d:Description": "Illustrations of strange cartoon creatures.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.yumfactory.com/"} +{"d:Title": "Croquer la vie", "d:Description": "Twisted illustrations and cartoons, done in a unique style.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://croquerlavie.free.fr/"} +{"d:Title": "Hagen Cartoons", "d:Description": "\"G\"-rated cartoon series \"It's a Jungle out there!\", which focuses on animals. Cartoons are available for commercial use in newspapers, magazines, newsletters and websites.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.hagencartoons.com/"} +{"d:Title": "Contemplating Reiko", "d:Description": "Got evil? Reiko Mouryou is everyone's favorite sadistic little demon girl!", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.taintedink.com/"} +{"d:Title": "Feral Eye", "d:Description": "Weekly cartoons by Australian cartoonist Terry Sedgwick.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.users.on.net/~theferaleye/"} +{"d:Title": "The Oatmeal", "d:Description": "Satirical and topical illustrations.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://theoatmeal.com/"} +{"d:Title": "Happy Tree Friends", "d:Description": "Cute, cuddly animals whose daily adventures always end up going horribly wrong.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://www.mondomedia.com/shows/happytreefriends/"} +{"d:Title": "Minimum Security", "d:Description": "Resistance through ridicule.", "topic": "Top/Arts/Illustration/Cartoons", "url": "http://stephaniemcmillan.org/"} +{"d:Title": "Business&Internet cartoons", "d:Description": "Features a group of cartoonists' daily works.", "topic": "Top/Arts/Illustration/Cartoons/E-Cards_and_Cartoons", "url": "http://www.homepagers.com/daily/cartoon.html"} +{"d:Title": "Treetop", "d:Description": "Custom humorous illustration for any occasion. Greeting cards, invitations, posters.", "topic": "Top/Arts/Illustration/Cartoons/E-Cards_and_Cartoons", "url": "http://treetop.50megs.com/"} +{"d:Title": "Cartoonists.co.uk", "d:Description": "Showcases the work of cartoonists in the UK. Biographies, samples and contacts.", "priority": "1", "topic": "Top/Arts/Illustration/Cartoons/Portfolios", "url": "http://www.cartoonists.co.uk/"} +{"d:Title": "Auger, Michael", "d:Description": "Freelance artist creates custom humorous illustration and creative design for print and web.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/A", "url": "http://www.arty4ever.com/"} +{"d:Title": "Almeida, Arnaldo", "d:Description": "Specializing in medical, business, safety, and general cartoons and comic strips.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/A", "url": "http://www.almeidacartoons.com/"} +{"d:Title": "Arnold, Michael", "d:Description": "Online portfolio with cartoon characters, storyboards, editorial illustration.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/A", "url": "http://www.speedydoodle.com/"} +{"d:Title": "Blackwell, Douglas", "d:Description": "Freelance cartoonist/illustrator for magazines, newspapers, business, and web sites. Based in Toronto, Canada.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/B", "url": "http://blackwelltoons.tripod.com/"} +{"d:Title": "Burns, Shannon", "d:Description": "Cartoons, humorous illustrations, and logo design for print and online market.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/B", "url": "http://www.shannonburns.com/"} +{"d:Title": "Bacall, Aaron", "d:Description": "Professional cartoons and humorous illustration.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/B", "url": "http://www.bacallcartoons.com/"} +{"d:Title": "Bucella, Marty", "d:Description": "Sorted by category including business, medical, tax, lawyer, education, seasonal, animal, sports, computer, and family cartoons.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/B", "url": "http://www.martybucella.com/"} +{"d:Title": "Beach", "d:Description": "Freelance cartoonist and illustrator specialising in comic illustration for advertising, editorial, publishing and design clients.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/B", "url": "http://www.beachy.co.uk/"} +{"d:Title": "Brooks, Rosie", "d:Description": "Cartoonist and illustrator based in London UK. Work includes children's books, BBC Comic Relief, WHSmith, Guardian, Texaco Garages.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/B", "url": "http://www.rosiebrooks.com/"} +{"d:Title": "Cohen, Judge", "d:Description": "Humorous illustration and cartoons for business, advertising, editorial and greeting cards.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/C", "url": "http://www.judgecohen.com/"} +{"d:Title": "Clydesdale, Thomas", "d:Description": "Artworks, doodles, and information about the late cartoonist.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/C", "url": "http://www.angelfire.com/or2/tomtoons/"} +{"d:Title": "Coghill, George", "d:Description": "This Kent, Ohio based freelance illustrator shows a portfolio of humorous 2D cartoon art.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/C", "url": "http://www.georgecoghill.com/"} +{"d:Title": "Cornejo, Santiago (Corne)", "d:Description": "Cartoons, illustrations and comic strips by the Argentinean artist.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/C", "url": "http://www.enroc.com/corne"} +{"d:Title": "Dorchak, Greg", "d:Description": "Promotion site for cartoonist, writer, and actor.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/D", "url": "http://blueyakprod.tripod.com/"} +{"d:Title": "Edwards, Cathy", "d:Description": "Cartoons, illustrations, and comic strips by Australian artist.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/E", "url": "http://members.optusnet.com.au/~hotdawg"} +{"d:Title": "Ferguson, Ray", "d:Description": "Humor illustrations for children books and spot drawings.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/F", "url": "http://fergus1.com/"} +{"d:Title": "Gaspirtz", "d:Description": "Portfolio, offering single panel cartoons.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/G", "url": "http://www.gaspirtz.com/"} +{"d:Title": "Granlund, Dave", "d:Description": "Galleries of editorial cartoons and illustrations; includes syndication information.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/G", "url": "http://www.davegranlund.com/"} +{"d:Title": "Gorman, Stan", "d:Description": "Humorous cartoon illustrations for business, including comics and video game industries.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/G", "url": "http://www.stangorman.com/"} +{"d:Title": "Gray, Steve", "d:Description": "Specializing in cartoon illustrations, done in traditional media.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/G", "url": "http://www.stevegrayillustration.com/"} +{"d:Title": "Glitschka, Von", "d:Description": "Humorous and stylized cartoon illustration specialist. [Flash]", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/G", "url": "http://www.vonster.com/"} +{"d:Title": "Jim Boswell Art Gallery", "d:Description": "Freelance artist available for work. Specialising in cartoons, comic strips, magazine and book covers.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/J", "url": "http://www.jimmibo.co.uk/"} +{"d:Title": "Johnson., Alton, Jr.", "d:Description": "Cartoon illustrations created and designed for you from concept to completion. For websites, logos, magazines, newspapers, and brochures.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/J", "url": "http://www.jabaccreations.com/"} +{"d:Title": "Kovaleski, John", "d:Description": "Humorous illustration and cartoons for advertising, editorial, children's publications and the web", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/K", "url": "http://www.kovaleski.com/"} +{"d:Title": "Klug, Dave", "d:Description": "Humorous cartoon illustrations of people and animals for print and Web.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/K", "url": "http://www.daveklug.com/"} +{"d:Title": "Kadel, Patty", "d:Description": "Creates cartoons, greetings cards, funny pictures and product labels.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/K", "url": "http://www.pattykadel.com/"} +{"d:Title": "LordCheez", "d:Description": "Illustration with a food theme for animated and multimedia projects.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/L", "url": "http://www.lordcheez.com/"} +{"d:Title": "Latulippe, Luc", "d:Description": "Vancouver artist, specializing in colorful and stylized cartoon illustration. Also offers web design and branding.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/L", "url": "http://www.luclatulippe.com/"} +{"d:Title": "Lee, Steward", "d:Description": "Animator/Storyboard artist for commercial ads, TV series, and features. Biography and resume.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/L", "url": "http://www.stewardlee.com/"} +{"d:Title": "May, Dave", "d:Description": "Custom drawn cartoons of anything for any application.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/M", "url": "http://www.customcartoonart.com/"} +{"d:Title": "McMahon, Bob", "d:Description": "Cartoon style color and b/w line-art storyboards, Web art, and educational illustrations.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/M", "url": "http://www.bobmcmahon.com/"} +{"d:Title": "Marsden, Ian", "d:Description": "Humorous and children's illustrator and cartoonist.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/M", "url": "http://www.marsdencartoons.com/"} +{"d:Title": "Mooney, Scott", "d:Description": "Examples of digitally produced cartoon style illustrations.[Flash]", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/M", "url": "http://www.moon-man.com/"} +{"d:Title": "Myrick, Leland", "d:Description": "Gallery of black and white and color illustrations. Includes bibliography.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/M", "url": "http://www.lelandmyrick.com/"} +{"d:Title": "Moran, Mike", "d:Description": "Illustrations and cartoons for commercial print.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/M", "url": "http://www.mikemoran.net/"} +{"d:Title": "Miller, Robert M.", "d:Description": "Animal Cartoons by 'RMM' - Robert M. Miller, DVM. Featuring cartoons about dogs, cats, horses and other pets.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/M", "url": "http://www.rmmcartoons.com/"} +{"d:Title": "O'Brien, Willo", "d:Description": "Offers cartoon illustration, animation, and Web and Flash design.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/O", "url": "http://willolovesyou.com/"} +{"d:Title": "Parsons, Guy", "d:Description": "Graphic designer, illustrator and cartoonist. His work is all digitally produced.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/P", "url": "http://www.guyparsons.com/"} +{"d:Title": "Robinson, Bruce", "d:Description": "Cartoonist living in Florida, author of the Good Medicine cartoon book.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/R", "url": "http://goodmedicinecartoons.tripod.com/"} +{"d:Title": "Robertson, Royston", "d:Description": "Freelance UK cartoonist, published in Private Eye, Punch, New Statesman, and Times Metro.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/R", "url": "http://www.roystonrobertson.co.uk/"} +{"d:Title": "Sias, Ryan", "d:Description": "Cartoons featuring 'Silent Kimbly' and work for the documentary \"Bowling for Columbine\".", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.ryansias.com/"} +{"d:Title": "Smallwood, Steve", "d:Description": "Cartoons and humorous illustrations for traditional and digital media.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.stevesmallwood.com/"} +{"d:Title": "Smith, Elwood H.", "d:Description": "Portfolio of light hearted pen and ink cartoon editorial illustrations and a 'western' animated cartoon story. Stock is also available.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.elwoodsmith.com/"} +{"d:Title": "Spooner, Joe", "d:Description": "Resume and samples of work: cartoons, illustration, page layout and some animation.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.joespooner.com/"} +{"d:Title": "Sipple, Dan", "d:Description": "Heavily stylised digital cartoon illustrations and flash animations of people and funny characters for print and the web.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.dansipple.com/"} +{"d:Title": "Shamray, Gerry", "d:Description": "Humor writer and artist, known for his work in American Splendor with Harvey Pekar, and John Darling with Tom Batiuk.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.shamray.com/"} +{"d:Title": "Smith, Rob", "d:Description": "Editorial cartoons, caricature, and advertising cartooning.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.robsmithjr.com/"} +{"d:Title": "Shovel, Martin", "d:Description": "Colored pencil cartoons and humorous illustrations.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.shovel.co.uk/"} +{"d:Title": "Shelly, Jeff", "d:Description": "Cartoon style pen and ink illustrations of people, animals and wacky characters for editorials, educational, advertising, information brochures, books and greeting cards.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.jeffshelly.com/"} +{"d:Title": "Sutherland, Nigel", "d:Description": "A showcase for the work of this British cartoonist, whose cartoons are published in the tabloid newspapers.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/S", "url": "http://www.cartoonists.co.uk/nigelsutherland/"} +{"d:Title": "Tonin, Piero", "d:Description": "Single frame cartoons, for those with a silly mind.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/T", "url": "http://www.pierotonin.com/"} +{"d:Title": "Cartoon India", "d:Description": "Selection of illustrations from the artist Tulal.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/T", "url": "http://www.cartoonindia.com/"} +{"d:Title": "Ulaj, Visar", "d:Description": "Satire cartoonist provides portfolio and publications.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/U", "url": "http://home.swipnet.se/visar/"} +{"d:Title": "Ward, Andy", "d:Description": "London artist specializing in cartoons, animation, and comic books.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/W", "url": "http://andyward.co.uk/"} +{"d:Title": "Walburg, Tim", "d:Description": "Church and family oriented cartoons.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/W", "url": "http://www.toonfever.com/"} +{"d:Title": "Waligorski, Michael", "d:Description": "Cartoons and illustrations.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/W", "url": "http://www.artbymw.net/"} +{"d:Title": "Yattiliis, Andy", "d:Description": "Captioned cartoon illustration samples, descriptions and commentary. Included are comic strip and caricature presentations.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/Y", "url": "http://www.ideasiteforbusiness.com/andy/ict.htm"} +{"d:Title": "Yeagle, Dean", "d:Description": "Professional cartoonist, animator, illustrator, and producer/director with Caged Beagle Productions, Inc., his animation company.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/Y", "url": "http://www.cagedbeagle.com/"} +{"d:Title": "Zaikowski, Brian", "d:Description": "Cartoons and charity poster art; custom cartooning available.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/Z", "url": "http://www.bztoons.com/"} +{"d:Title": "Zero, Jo\u00e3o", "d:Description": "Colourful cartoons about Brazilian way of life.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/Z", "url": "http://www.cartuns.com.br/"} +{"d:Title": "Zagari, Mike", "d:Description": "Comic hero style illustrations using computer generation and tradition mediums. Also includes flash animations and a daily picture gallery.", "topic": "Top/Arts/Illustration/Cartoons/Portfolios/Z", "url": "http://mikezagari.com/"} +{"d:Title": "Gisbert, Montse", "d:Description": "European illustrator and creator of children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.montsegisbert.com/"} +{"d:Title": "Perruzzi, Diane", "d:Description": "Sacramento artist specializing in children's book illustration and murals.", "topic": "Top/Arts/Illustration/Children's", "url": "http://dianeperruzzi.com/"} +{"d:Title": "Titus, Dave", "d:Description": "Illustration and animation for the web and print by the creator of Mozilla, Netscape's official mascot. Website design and editorial art. Portfolio and profile.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.davetitus.com/"} +{"d:Title": "Brandon, Theresa", "d:Description": "Professional children's book and magazine illustration in oils, watercolor, pastel and pencil.", "topic": "Top/Arts/Illustration/Children's", "url": "http://theresabrandon.com/"} +{"d:Title": "Lippincott, Gary A.", "d:Description": "Children's book and fantasy artist and illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.garylippincott.com/"} +{"d:Title": "Quay, Emma", "d:Description": "Children's book illustrator and author. Site include artist biography, book information, portfolio samples, and activities.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.emmaquay.com/"} +{"d:Title": "Bynum, Janie", "d:Description": "Illustration and book samples, school/library visit information, and art for licensing.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.janiebynum.com/"} +{"d:Title": "Weller, Duncan", "d:Description": "Large format murals, portraits, and children's book illustration in oils, acrylics, and watercolors.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.duncanweller.com/"} +{"d:Title": "Allen, Kit", "d:Description": "Stylized and cartoon-like children's illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.kitskids.com/"} +{"d:Title": "Alley, R.W.", "d:Description": "Drawings, comments, reviews of current titles, and a brief biography of the illustrator. Includes pictures of works in progress and information about school visits.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.rwalley.com/"} +{"d:Title": "Alter, Anna", "d:Description": "Children's book illustrator and author. Picture books samples and information about school visits.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.annaalter.com/"} +{"d:Title": "Anderson, Derek", "d:Description": "Official site showcases the author/illustrator's published works and offers a glimpse into his studio.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.derekanderson.net/"} +{"d:Title": "Artigas, Alexandra", "d:Description": "Freelance artist specializing in children and digital illustration, advertising storyboards, and character design.", "topic": "Top/Arts/Illustration/Children's", "url": "http://aleart.com/"} +{"d:Title": "Bailey, Sheila", "d:Description": "Online portfolio featuring artwork from children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://home.teleport.com/~northpaw"} +{"d:Title": "Brown, Suzy", "d:Description": "Featuring both new and published illustrations designed for the children's market.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.suzybrown.net/"} +{"d:Title": "Casilla, Robert", "d:Description": "Portfolio of painted children's book illustrations, and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://robertcasilla.com/"} +{"d:Title": "Chang, Tara Larsen", "d:Description": "Portfolio site featuring children's, black-and-white, decorative, and fantasy illustration.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.taralarsenchang.com/"} +{"d:Title": "Chesworth, Michael", "d:Description": "Humorous illustrations for children's books and magazines.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.crashbangboom.com/"} +{"d:Title": "Coffelt, Nancy", "d:Description": "Oil pastels on black paper. Includes artist's biography, portfolio, school visit information, and gallery listings.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.nancycoffelt.com/"} +{"d:Title": "Conger, Holli", "d:Description": "Digital and dimensional illustration for the children's market.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.holliconger.com/"} +{"d:Title": "Couling, Cindy", "d:Description": "Portfolio of whimsical children's book and editorial illustration.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.couling.com/"} +{"d:Title": "Craft, Kinuko Y.", "d:Description": "Online portfolio featuring illustrations for children's books and fairy tales.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.kycraft.com/"} +{"d:Title": "Dyrud, Chris Wold", "d:Description": "Illustrator of children's books, magazines, and educational material.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.chrisdyrud.com/"} +{"d:Title": "Elliott, Rebecca", "d:Description": "Portfolio of digital illustrations by the children's illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.rebeccaelliott.com/"} +{"d:Title": "Fasolino, Peter", "d:Description": "Illustration for children's trade and educational books, board games, puzzles, and packaging.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.pfasolino.com/"} +{"d:Title": "Filgate, Leonard", "d:Description": "\"Rip Squeak and His Friends\" is a book based on a series of paintings by artist and illustrator Leonard Filgate, written by Susan Yost-Filgate.", "topic": "Top/Arts/Illustration/Children's", "url": "http://ripsqueak.com/"} +{"d:Title": "F\u00f6di, Lee Edward", "d:Description": "A gallery of artwork and sample stories by the Canadian author and illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.leefodi.com/"} +{"d:Title": "Giladi-Pollard, Carmit", "d:Description": "Israeli artist specializing in illustrations for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://gallery.passion4art.com/members/carmit/"} +{"d:Title": "Golembe, Carla", "d:Description": "Paintings, illustrations, and information about the children's book author/illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.carlagolembe.com/"} +{"d:Title": "Haggerty, Tim", "d:Description": "Playful illustration focusing on children and animals.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.timsportfolio.com/"} +{"d:Title": "Harris, Phyllis", "d:Description": "Children's illustration for books and magazines.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.phyllisharris.com/"} +{"d:Title": "Huacuja, Veronica", "d:Description": "Biography, sample artwork, and book excerpts from the children's author and illustrator. Content is also available in Spanish.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.veronicahuacuja.com.mx/indexEN.htm"} +{"d:Title": "Huntington, Amy", "d:Description": "Illustrator and author of whimsical, country-themed picture books for children. Based in Vermont.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.amyhuntington.com/"} +{"d:Title": "in den Bosch, Nicole", "d:Description": "Full color and black-and-white illustration for children's books and products.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.indenboschillustration.com/"} +{"d:Title": "Thompson, Nora", "d:Description": "Fun illustrations for children's books, magazines, cards, toys and games.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.nora-jayne.com/"} +{"d:Title": "Johansen Newman, Barbara", "d:Description": "Portfolio of children's book and editorial art. Includes contact information and client list.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.johansennewman.com/"} +{"d:Title": "Johnson, Layne", "d:Description": "Portfolio of realistic painted illustration. Also includes information on school visits. [Java]", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.laynejohnson.com/"} +{"d:Title": "Kadrane", "d:Description": "Drawings, sketches, water color illustrations, and acrylic paintings for children's novels. Content is available in English and French.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.kadrane.com/dessins-en.html"} +{"d:Title": "Keiser, Paige", "d:Description": "Children's illustration for picture books and magazines.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.paigekeiser.com/"} +{"d:Title": "Krudop, Walter Lyon", "d:Description": "Samples of the artist's digital illustrations for children's books. Also includes animation art.", "topic": "Top/Arts/Illustration/Children's", "url": "http://walterkrudop.com/"} +{"d:Title": "Lacey, Joe", "d:Description": "Illustrator of art for children's toys, packaging, books and advertising. Portfolio, art samples, biography, and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.joelacey.com/"} +{"d:Title": "Leathers, Chris", "d:Description": "Children's book illustration and backgrounds for software.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.chrisleathers.com/"} +{"d:Title": "Lewis, E.B.", "d:Description": "Specializing in children's book illustration.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.eblewis.com/"} +{"d:Title": "Long, Loren", "d:Description": "Illustrator of children's books. Gallery, exhibit information, and artist contact.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.lorenlong.com/"} +{"d:Title": "Long, Sylvia", "d:Description": "Children's book illustrator's artwork, book reviews, and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.sylvia-long.com/"} +{"d:Title": "MacDonald, Judy", "d:Description": "Humorous cartoon style watercolor and line-art illustrations for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.judymacdonald.com/"} +{"d:Title": "Marble, Abigail", "d:Description": "Watercolor and acrylic illustration for the children's market. Portfolio samples, sketchbook, artist biography, and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.abigailmarble.com/"} +{"d:Title": "Margolis, Al", "d:Description": "Samples of charts and illustrations suitable for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.almargolis.com/"} +{"d:Title": "McLeod, Kris Aro", "d:Description": "Portfolio and biography of the children's illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.krisaromcleod.com/"} +{"d:Title": "Miller, Nancy", "d:Description": "Traditional and digital illustrator specializing children's book, editorial, and advertising illustration.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.nmillerillustration.com/"} +{"d:Title": "Munger, Nancy", "d:Description": "Traditional and digital illustrations with an emphasis on materials for children.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.nancymunger.com/"} +{"d:Title": "Moore, Cyd", "d:Description": "Whimsical children's book illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.cydmoore.com/"} +{"d:Title": "Nathan, Cheryl", "d:Description": "Selection of painted and colored pencil children's book illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.cherylnathan.com/"} +{"d:Title": "Nez, John", "d:Description": "Illustration for children's publishing in traditional and digital mediums.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.johnnez.com/"} +{"d:Title": "Palacios, John", "d:Description": "Portfolio of acrylic, watercolor, black-and-white, and color illustrations for children's books, posters, and educational materials. Includes image index by topic.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.johnpalacios.com/"} +{"d:Title": "Patience, John", "d:Description": "Watercolor, pen and ink illustrations of fairy-tale animals and other characters for print.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.patience.co.uk/john/"} +{"d:Title": "Peng, Cathy", "d:Description": "Playful illustrations for books, greeting cards, and magazines. Includes artist biography, sketchbook, and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.cathypeng.com/"} +{"d:Title": "Penney, Ian", "d:Description": "Exhibiting published watercolor illustrations for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.ianpenney.co.uk/"} +{"d:Title": "Pollema-Cahill, Phyllis", "d:Description": "A portfolio of illustrations for children's publishing.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.phylliscahill.com/"} +{"d:Title": "Radunsky, Vladimir", "d:Description": "Home page and samples of books by the children's illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.vladimirradunsky.com/"} +{"d:Title": "Ram\u00e1, Sue", "d:Description": "Contemporary watercolor illustration for children's books, greeting cards, inspirational and editorial use, and giclee prints.", "topic": "Top/Arts/Illustration/Children's", "url": "http://suerama.com/"} +{"d:Title": "Ray, Jane", "d:Description": "British illustrator specializing in children's books. Other formats include greetings cards, posters, and book jackets.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.janeray.com/"} +{"d:Title": "Reisberg, Mira", "d:Description": "Children's book illustrator and author, painter, muralist, and digital media artist.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.mirareisberg.com/"} +{"d:Title": "Rizzotto, Lynn Titleman", "d:Description": "Children's book illustrator. Includes information about the artist's creativity workshops for children. Based in Hingham, MA.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.childrenscreativity.com/"} +{"d:Title": "Rosenbaum, Jonathan", "d:Description": "Portfolio of illustrations and design concepts for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.majanation.com/"} +{"d:Title": "Ruble, Stephanie", "d:Description": "Picture book illustrator and author. Artist portfolio, gift items, e-cards, and information about children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.sruble.com/"} +{"d:Title": "Schimler, Amy", "d:Description": "Whimsical illustrations and surface designs.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.amyschimler.com/"} +{"d:Title": "Schmidt, Karen Lee", "d:Description": "Portfolio of children's book illustrations, done in traditional media.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.karenleeschmidt.net/"} +{"d:Title": "Shed, Greg", "d:Description": "Children's book illustration. Portfolio also includes fine art with an emphasis on Americana and Native American portraiture.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.gregshed.com/"} +{"d:Title": "Sierra, Holly", "d:Description": "Examples of work from an artist who specialises in writing and illustrating children's books. Interested in the culture and folklore of Asia, Native America, and Ireland.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.esatclear.ie/~art"} +{"d:Title": "Stallop, C.A.", "d:Description": "Children's illustrator and writer. Online portfolio available; sample dummies by request for qualifying editors.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.christystallop.com/"} +{"d:Title": "Steptoe, Javaka", "d:Description": "Official site of the award-winning children's book illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.javaka.com/"} +{"d:Title": "Stich, Carolyn R.", "d:Description": "Illustrator of children's books and collectible greeting cards.", "topic": "Top/Arts/Illustration/Children's", "url": "http://carolynstich.com/"} +{"d:Title": "Sweet, Melissa", "d:Description": "Portfolio of the artist's illustrations for book covers, toys, and maps. Also includes fun and games for kids.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.melissasweet.net/"} +{"d:Title": "Tyrrell, Frances", "d:Description": "Samples of the Canadian artist's watercolor paintings and book illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.francestyrrell.com/"} +{"d:Title": "Wall, Katie", "d:Description": "Gallery, information resource, and online portfolio for children's illustrator.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.wallop.co.uk"} +{"d:Title": "Williams, Philip H.", "d:Description": "Children's picture book illustration, portraits, and posters in a variety of styles.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.eyewoo.com/"} +{"d:Title": "Zaretser, Aleksandr", "d:Description": "Children's illustrations and cartoons, done in traditional and digital media.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.angelfire.com/art/littlebelaruspalette/"} +{"d:Title": "Ziersch, Nahum", "d:Description": "Freelance illustrator specializing in children's artwork, character development, and short animation projects.", "topic": "Top/Arts/Illustration/Children's", "url": "http://nahumziersch.com.au"} +{"d:Title": "Hall, Mary", "d:Description": "Digital, black-and-white, ink, and watercolor illustration for children's publishing.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.maryhall-illustration.co.uk"} +{"d:Title": "Harris, Jenny B.", "d:Description": "Illustration for children\u2019s books, magazines, packaging, and classroom materials.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.jennybharris.com/"} +{"d:Title": "Wronker, Eytan", "d:Description": "Pen and ink, watercolor, and pencil illustrations for the fantasy genre.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.robocosm.com/"} +{"d:Title": "Hurst, Elise", "d:Description": "Artist, illustrator, and author of children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.elisehurst.com/"} +{"d:Title": "Lemaire, Bonnie", "d:Description": "Portfolio of children's illustration, handpainted furniture, giftware, invitations, and greeting cards.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.bonniella.com/"} +{"d:Title": "Lee, Brian", "d:Description": "Illustration for children's books, packaging, exhibitions, and museums.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.bleeillustration.com/"} +{"d:Title": "Choi, Yangsook", "d:Description": "Portfolio of book art, drawings, and paintings. Includes artist biography, schedule of appearances, and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.yangsookchoi.com/"} +{"d:Title": "Mathers, Ursula", "d:Description": "Portfolio of published books, new ideas, illustrations, and paintings.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.ursulamathers.com/"} +{"d:Title": "Talib, Binny", "d:Description": "Australian illustrator. Portfolio of editorial, surface designs, and children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.binny.com.au/"} +{"d:Title": "Dooling, Michael", "d:Description": "Biography, list of books, photos, and school visit information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.michaeldooling.com/"} +{"d:Title": "Constantin, Pascale", "d:Description": "Illustration for children's books, editorial, and animation. Content is available in English and French.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.pascaleconstantin.com/"} +{"d:Title": "Johansson, Ninni", "d:Description": "Watercolor illustrations for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.illustrationer.se/"} +{"d:Title": "Gregory, Chris", "d:Description": "Digital illustration by North Carolina based artist.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.btownchris.com/"} +{"d:Title": "Manning, Maurie J.", "d:Description": "Children's illustrator and author. Portfolio, book reviews, answers to frequently asked questions, and advice for aspiring illustrators.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.mauriejmanning.com/"} +{"d:Title": "Flavin, Teresa", "d:Description": "Portfolio featuring multicultural illustrations for children's books, greetings cards, magazines, advertising, and design. Based in Glasgow, Scotland.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.teresaflavin.com/"} +{"d:Title": "Thornburgh, Rebecca McKillip", "d:Description": "Children's book illustrations, published works, portfolios of finished art and sketches, and information about the artist and how she works.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.rebeccathornburgh.com/"} +{"d:Title": "Jacobsen, Laura", "d:Description": "Pastel pencil illustrations for children's books and magazines.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.laurajacobsen.com/"} +{"d:Title": "Emery, Jennifer", "d:Description": "Watercolor illustrations for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.jenniferemery.com/"} +{"d:Title": "Decker, C.B.", "d:Description": "Colorful illustrations for children.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.cbdecker.com/"} +{"d:Title": "Lanza, Barbara", "d:Description": "Traditional children's and fairy-themed book illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.barbaralanza.com/"} +{"d:Title": "Atteberry, Kevan", "d:Description": "Children's illustration, animation, and character design. Also includes design for digital and print delivery, greeting cards, and logos.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.oddisgood.com/"} +{"d:Title": "Ashley, Carol", "d:Description": "Los Angeles-based illustrator specializing in children's books, stop-motion animation, and clothing. Formerly SmallHouse Press.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.carolashley.com/"} +{"d:Title": "Wright, Cliff", "d:Description": "UK-based children's illustrator best known for his work on two Harry Potter book covers. Portfolio samples, contact, and information about the artist's \"Nature of Seeing\" workshops.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.cliffwright.co.uk/"} +{"d:Title": "Farrell, Donna", "d:Description": "Online portfolio featuring digital and colored pencil art.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.donnadoodles.com/"} +{"d:Title": "Breeze, Lynn", "d:Description": "Books, news, and an introduction to the author/illustrator's creative process. Also includes author visit and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.lynnbreeze.com/"} +{"d:Title": "Barbier, Suzette", "d:Description": "Traditional illustrations for children's books and editorials.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.suzettebarbier.com/"} +{"d:Title": "Morrison, Cathy", "d:Description": "Samples of children's book illustration. Includes portfolio and contact information.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.dowdigitaldesign.com/ACTS/Intro.html"} +{"d:Title": "Luthardt, Kevin", "d:Description": "Children's illustrator and muralist. Includes biography and information about upcoming events and projects, books, visits, and original art.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.kevinluthardt.com/"} +{"d:Title": "Kohls, Brit", "d:Description": "Children's illustration featuring coloring book, line art, and full-color samples.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.interactivedesigns.org/art4kids/"} +{"d:Title": "O'Malley, Kathy", "d:Description": "Features traditional and whimsical children's book illustration, home portraits, custom murals, and hand-painted furniture.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.kathyomalley.com/"} +{"d:Title": "Lamut, Sonja", "d:Description": "Children's illustrations in classic style.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.sonjalamut.com/"} +{"d:Title": "Wingerter, Linda S.", "d:Description": "Portfolio of acrylic illustrations from children's books and magazines. Includes information about the artist, news, projects, and prints available for purchase.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.paintedbooks.com/"} +{"d:Title": "Burns, Theresa", "d:Description": "Illustrator of children's books, murals, and portraits. Features list of books and photo gallery.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.theresaburns.com/"} +{"d:Title": "Evans, Lisa", "d:Description": "Original illustrations for children's books, editorial, and advertising.", "topic": "Top/Arts/Illustration/Children's", "url": "http://firefluff.blogspot.com/"} +{"d:Title": "Relyea-Parr, Alison", "d:Description": "Children's book illustration specialist, working in traditional media.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.alisonrelyea.com/"} +{"d:Title": "Ciotkowski, Mary", "d:Description": "Traditional and 3D computer illustration. Site also includes poetry and stories.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.pologicdesign.com/home.htm"} +{"d:Title": "Scudder, Brooke", "d:Description": "Traditional and digital illustration for children's publishing.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.brookescudder.com/"} +{"d:Title": "Hardy, Candace", "d:Description": "Graphite, colored pencil, and computer generated children's book illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://warmtraditionsillustrations.synthasite.com/"} +{"d:Title": "Girouard, Patrick", "d:Description": "Illustrator's official website featuring portfolio samples, brief biography, and blog.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.pgirouard.com/"} +{"d:Title": "Zeman, Ann Brandenburg", "d:Description": "Portfolio of watercolor and gouache illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.annzeman.com/"} +{"d:Title": "Lunden, Einar", "d:Description": "Professional cartoonist and illustrator of children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://einarlunden.com/"} +{"d:Title": "Burgos, Javier Gonzalez", "d:Description": "Argentinian illustrator of children\u00b4s books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://javiergonzalezburgos.wordpress.com/"} +{"d:Title": "Rovira, Francesc", "d:Description": "Images, bibliography, and background information about the children's illustrator. Content is available in English, Spanish, and French.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.francescrovira.net/"} +{"d:Title": "Schiffman, Jessica", "d:Description": "Illustrations for children in watercolor, fluid acrylic, and ink. Also pen and ink renderings, and cartoons.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.jessicaschiffman.com/"} +{"d:Title": "Jin, Susie Lee", "d:Description": "Online portfolio featuring children's and paper cut illustration, paintings, pencil drawings, line art, and graphic art.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.susiestudio.com/"} +{"d:Title": "Shannon, Kate", "d:Description": "Illustration portfolio for children's books.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.kateshannon-illustration.com/"} +{"d:Title": "Bostick, Blair", "d:Description": "Portfolio of bright and colorful watercolor book illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.blairbostick.com/"} +{"d:Title": "Kidshannon", "d:Description": "Traditional and digital illustration for the children's market. Features representative work arranged by artist, category, style, and subject.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.shannonassociates.com/kidshannon/"} +{"d:Title": "Judge, Lita", "d:Description": "Children's book illustrator and author. Current projects, illustration samples, and book dummies.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.litajudge.net/"} +{"d:Title": "Camara, Sergi", "d:Description": "Children's books illustrator, writer and animated cartoons filmmaker.", "topic": "Top/Arts/Illustration/Children's", "url": "http://www.sergicamara.com/"} +{"d:Title": "Squirrell, Nic", "d:Description": "Portfolio of paintings and illustrations.", "topic": "Top/Arts/Illustration/Children's", "url": "http://nicsquirrell.weebly.com/"} +{"d:Title": "Lin, Leo", "d:Description": "Illustrator of children's books, picture books, and educational materials.", "topic": "Top/Arts/Illustration/Children's", "url": "http://meowgahertz.tripod.com/"} +{"d:Title": "Gordon, Alan", "d:Description": "Showcase for N.Y. illustration artist. Mixed media, painting, design, drawing, collage. Social/political subjects.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.alangordonarts.com/"} +{"d:Title": "Winn-Lederer, Ilene", "d:Description": "Portfolio includes images from books, magazines, greeting cards, and a series of original stories.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.winnlederer.com/"} +{"d:Title": "Jones, Marty", "d:Description": "Realistically-styled illustrations for the publishing industry.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.mjarts.com/"} +{"d:Title": "Ball, David", "d:Description": "San Francisco based illustrator.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.david.ball.net/"} +{"d:Title": "Hitz, Christopher", "d:Description": "Editorial portfolio of illustrator.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://hitz-illustration.com/"} +{"d:Title": "Kozak, Wojtek", "d:Description": "Cover and editorial illustrations for newspapers and magazines.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.wkozak.com/"} +{"d:Title": "Lindblom, Jeff", "d:Description": "Illustration samples for book, editorial, and advertising work.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://artbyjeff.com/"} +{"d:Title": "Wahman, Wendy", "d:Description": "Loose and humorous illustrations for magazines, and newspapers.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.wendywahman.com/"} +{"d:Title": "Arroyo, Fian", "d:Description": "Editorial, digital art, commercial and humorous graphic illustration by artist from Miami, Fl.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.fian.com/"} +{"d:Title": "Priggee, Milt", "d:Description": "Includes traditional editorial toons, carictures, award-winning rejected cartoons, along with images sued for libel. [Flash]", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.miltpriggee.com/"} +{"d:Title": "Trenholm, Zach", "d:Description": "Editorial illustrator specializing in caricature.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.zachtrenholm.com/"} +{"d:Title": "Jaynes, Bill", "d:Description": "Illustration that \"dances on the existential abyss with an alternating current of fear and love.\"", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.billjaynes.com/"} +{"d:Title": "Boussuge, Fran\u00e7ois", "d:Description": "Drawings for French newspapers and editing agencies.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://occhio.free.fr/"} +{"d:Title": "Stone, Larry", "d:Description": "Portfolio of comic art, illustration, and design.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://larrystone.com/"} +{"d:Title": "Ostroy, Alex", "d:Description": "Comprehensive portfolio review of the illustrator known for his work in Rolling Stone and Wired.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.ostroy.com/"} +{"d:Title": "Cressy, Mike", "d:Description": "Retro 50's stylized illustration for all purposes.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://home.earthlink.net/~mikecressy/"} +{"d:Title": "Folley, Tim", "d:Description": "Digital and traditional media editorial illustrations.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.timfoleyillustration.com/"} +{"d:Title": "Molina, Pedro", "d:Description": "Portfolio of editorial cartoons and illustration.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.pxmolina.com/"} +{"d:Title": "Cohen, Santiago", "d:Description": "Editorial illustration with a naive touch for publications, TV, and film.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.santiagocohen.com/"} +{"d:Title": "Corsi, Sandro", "d:Description": "Specialist for both children's and adult book illustration in traditional and digital media.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.sanedraw.com/"} +{"d:Title": "Beach, Lou", "d:Description": "Magazine and book cover, editorial, and music industry illustrations.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.loubeach.com/"} +{"d:Title": "Danielson, Mark", "d:Description": "Magazine, newspaper, and book cover illustrations, as well as paintings, drawings, and awards.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.markdanielson.com/"} +{"d:Title": "Dionisi, Sandra", "d:Description": "Toronto based artist, focusing on stylized editorial illustration. [Flash]", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.sandradionisi.com/"} +{"d:Title": "Lammle, Leslie", "d:Description": "Traditional pen and ink, and conceptual illustrations for editorials and magazines.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.leslielammle.com/"} +{"d:Title": "Gherardi, Bob", "d:Description": "Gallery of illustrative paintings for book covers. Also includes a fine art section.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.gherardi.com/"} +{"d:Title": "Hanuka, Tomer", "d:Description": "Traditional media editorial and book cover illustrations.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.thanuka.com/"} +{"d:Title": "Luczak, Laurie", "d:Description": "Editorial illustrations using gouache, acrylic, and photographic collage.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.laurieluczak.com/"} +{"d:Title": "Meshon, Aaron", "d:Description": "Naive style colorful editorial and magazine illustrations.[Flash]", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.aaronmeshon.com/"} +{"d:Title": "Morgan, Nick", "d:Description": "Textured editorial photo-illustrations. Includes a gallery of satirical Australian political images.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.nmphotos.com/"} +{"d:Title": "Templesmith, Ben", "d:Description": "Gothic comic and editorial artwork from Australian illustrator.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.templesmith.com/"} +{"d:Title": "Duffy, Amanda", "d:Description": "Satirical illustration, cartoon and caricature by Canadian artist.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.amandaduffy.com/"} +{"d:Title": "Wilson, Cam", "d:Description": "Collage and montage art combining photography, drawing and painting.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.camwilson.ca/"} +{"d:Title": "Lougee, Michelle", "d:Description": "Editorial illustrations characterized by uneven borders and the use of mixed media. Includes resume, artist statement, and portfolio.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.mlougee.com/"} +{"d:Title": "MacKay, Graeme", "d:Description": "Exhibit and archives from Hamilton Spectator editorial cartoonist.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.mackaycartoons.net/"} +{"d:Title": "Short, Christopher", "d:Description": "Freelance illustrator using realistic imagery for science, editorials, and advertising.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.cyrstudio.com/short/index.html"} +{"d:Title": "Plotkin, Jonathan", "d:Description": "Editorial and spot illustrations and cartoons.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.spontoonist.com/"} +{"d:Title": "Todd, Chuck", "d:Description": "Portfolio of editorial, narrative, and sequential illustration, by San Francisco Bay Area artist.", "topic": "Top/Arts/Illustration/Editorial_Illustration", "url": "http://www.chucktodd.net/"} +{"d:Title": "Graphic Witness", "d:Description": "Political and social commentary by visual artists, 1880-present.", "topic": "Top/Arts/Illustration/Editorial_Illustration/Visual_Commentaries", "url": "http://graphicwitness.org/ineye/index2.htm"} +{"d:Title": "Caniglia", "d:Description": "Online gallery of gothic artist Caniglia. Art deals with oppression in all forms.", "topic": "Top/Arts/Illustration/Editorial_Illustration/Visual_Commentaries", "url": "http://caniglia-art.com/"} +{"d:Title": "Hughes, Rian", "d:Description": "Design, type, and illustration.", "topic": "Top/Arts/Illustration/Graphics_Illustrators", "url": "http://www.devicefonts.co.uk/"} +{"d:Title": "Ferrantello, Chris", "d:Description": "Illustrator with an emphasis on bold graphics.", "topic": "Top/Arts/Illustration/Graphics_Illustrators", "url": "http://www.chrisferrantello.com/biscotti.html"} +{"d:Title": "Biggles", "d:Description": "Artist and graphic designer specializing in unusual objects as graphics for advertising, 3D illustration, interiors, and private clients.", "topic": "Top/Arts/Illustration/Graphics_Illustrators", "url": "http://www.biggles.uk.com/"} +{"d:Title": "Drake, Matt", "d:Description": "Comics illustration in traditional media, and design.", "topic": "Top/Arts/Illustration/Graphics_Illustrators", "url": "http://www.drake-studios.com/"} +{"d:Title": "Victorian Illustrators", "d:Description": "19th century illustration by Millais, Leighton, William Morris and an extensive list of other British artists.", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://myweb.tiscali.co.uk/speel/illus/illus.htm"} +{"d:Title": "SurLaLune Fairy Tale Illustration Gallery", "d:Description": "Classic fairy tale illustrations by Arthur Rackham, George Cruikshank, Jessie Willcox Smith, Edmund Dulac, Gustave Dore, Walter Crane, and Kay Nielsen.", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://www.surlalunefairytales.com/illustrations/index.html"} +{"d:Title": "American Illustrators Gallery", "d:Description": "Features image gallery of artists from the \"Golden Age of Illustration\".", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://www.americanillustrators.com/"} +{"d:Title": "World of the Child", "d:Description": "Exhibition at the University of Delaware Library. 200 years of illustrated books, the ealiest of which were not aimed exclusively at children.", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://www.lib.udel.edu/ud/spec/exhibits/child/index.htm"} +{"d:Title": "Tusche, Tone And Stone: 19th Century News", "d:Description": "Illustrated discussion of 19th and early 20th century periodicals, and the artists whose work they published.", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://graphicwitness.org/group/inpress.htm"} +{"d:Title": "Waddleton Chronology", "d:Description": "Attempt to collect and record all books having colour-printed illustrations or decorations from 15th century up to 1893.", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://www.bookartworld.co.uk/"} +{"d:Title": "The Camelot Project: Artists", "d:Description": "Artists who have illustrated versions of the Arthurian legends, with their work.", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://d.lib.rochester.edu/camelot/artists"} +{"d:Title": "Dickens' Illustrations", "d:Description": "Biographical notes on the illustrators of Charles Dickens' work.", "topic": "Top/Arts/Illustration/Historic_Illustrators", "url": "http://charlesdickenspage.com/illustrations.html"} +{"d:Title": "Artzybasheff, Boris", "d:Description": "(1899-1965). An illustrated biography of a Russian artist who emigrated to the United States and contributed to magazines such as \"Time\" and \"Life magazine\".", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://www.bpib.com/artzybas.htm"} +{"d:Title": "Anderson, Anne (1874-194?)", "d:Description": "Illustrated biography of an illustrator of the Art Nouveau movement.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://www.ortakales.com/illustrators/Anderson.html"} +{"d:Title": "Attwell, Mabel Lucie (1879-1964)", "d:Description": "Illustrated biography with a list of her illustrated books and contributions to magazines.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://www.ortakales.com/illustrators/Attwell.html"} +{"d:Title": "Anning Bell, Robert (1863-1933)", "d:Description": "Brief biography and links to related information about a painter and illustrator important in the development of art nouveau in Britain.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://myweb.tiscali.co.uk/speel/paint/bell.htm"} +{"d:Title": "Alajalov, Constantin", "d:Description": "(1900-1987). Brief information about an artist who painted covers for the \"New Yorker\" and the \"Saturday Evening Post\".", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://www.illustration-house.com/bios/alajalov_bio.html"} +{"d:Title": "Abbey, Edwin Austin", "d:Description": "(1852-1911). An illustrated biography of the painter and illustrator. Includes a portrait of Abbey by John Singer Sargent.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://www.bpib.com/abbey.htm"} +{"d:Title": "Allingham, Hellen (1848-1926)", "d:Description": "Brief biography about an illustrator who began doing black and white illustrations for children's books and later turned to watercolour painting. Includes links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://myweb.tiscali.co.uk/speel/illus/allingha.htm"} +{"d:Title": "Anderson, Harry", "d:Description": "(1906-1996). Illustrated biography of an artist who did commercial assignments and story illustrations for the major magazines in the United States. He also painted religious subjects.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A", "url": "http://www.bpib.com/illustrat/anderson.htm"} +{"d:Title": "Charles Addams", "d:Description": "A brief illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A/Addams,_Charles", "url": "http://www.bpib.com/chasaddm.htm"} +{"d:Title": "Charles Addams (1912-1988)", "d:Description": "Charles Samuel Addams or \"Chill\" as his friends called him, was born on January 7, 1912 in Westfield, New Jersey.", "topic": "Top/Arts/Illustration/Historic_Illustrators/A/Addams,_Charles", "url": "http://www.westfieldnj.com/addams/"} +{"d:Title": "Brickdale, Eleanor Fortescue (1871-1945)", "d:Description": "Brief biography and links to related information about the oil and watercolour painter and book illustrator.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://myweb.tiscali.co.uk/speel/illus/brikdale.htm"} +{"d:Title": "Burd, Clara Miller", "d:Description": "Illustrated biography of an artist who illustrated children's books, magazine covers, painted portraits of children and also designed stained glass windows and mural decorations. She was active around 1900-1930s.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.ortakales.com/illustrators/Burd.html"} +{"d:Title": "Barker, Cicely Mary", "d:Description": "(1895-1973). Children's book illustrator who worked mostly in watercolor with pen-and-ink. Influeced by the Pre-Raphaelites. Biography with some illustrations.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.ortakales.com/illustrators/Barker.html"} +{"d:Title": "Bauer, John", "d:Description": "(1882-1917). An illustrated biography of the Swedish artist that treated fantasy and fairy tales subjects with a classical approach.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.bpib.com/illustrat/bauer.htm"} +{"d:Title": "Barney, Maginel Wright Enright", "d:Description": "(1881-1966). Biography with some illustrations, among them three \"Woman's World\" magazine covers.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.ortakales.com/illustrators/Wright.html"} +{"d:Title": "Belarski, Rudolph", "d:Description": "(1900-1983). Biography with one illustration of a creator of paperback detective and science-fiction images.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.illustration-house.com/bios/belarski_bio.html"} +{"d:Title": "Birket Foster, Myles (1825-1899)", "d:Description": "Brief biography and links to related information about the landscape illustrator and watercolourist who worked for the \"Illustrated London News\" and contributed to many poetry books.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://myweb.tiscali.co.uk/speel/illus/bfoster.htm"} +{"d:Title": "Brangwyn, Frank", "d:Description": "(1867-1956). An illustrated essay about an artist who began working for William Morris and made outstanding etchings, watercolours and murals.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.bpib.com/illustrat/brangwyn.htm"} +{"d:Title": "Bowerley, Amelia (?-1916)", "d:Description": "Brief biography of an illustrator in the art nouveau style.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://myweb.tiscali.co.uk/speel/illus/bowerley.htm"} +{"d:Title": "Barclay, McClelland", "d:Description": "(1891-1943). A magazine cover and biographic information of an editorial and advertising illustrator.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.illustration-house.com/bios/barclay_bio.html"} +{"d:Title": "Bennett, Harriet", "d:Description": "Active: 1877-1897. Brief biographical note, and some illustrations.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.ortakales.com/illustrators/Bennett.html"} +{"d:Title": "Brundage, Frances", "d:Description": "(1854-1937). She illustrated for books, paper dolls, postcards, valentines, prints, trade cards and calendars. Biography and illustrations.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.ortakales.com/illustrators/Brundage.html"} +{"d:Title": "Burian, Zdenek", "d:Description": "(1905-1981). An illustrated biography of an influential depicter of prehistoric life.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.bpib.com/illustrat/burian.htm"} +{"d:Title": "Booth, Franklin", "d:Description": "(1874-1948). An illustrated biography of the pen and ink artist with an approach inspired by wood engraving.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B", "url": "http://www.bpib.com/booth.htm"} +{"d:Title": "Bewick, Thomas (1753-1828)", "d:Description": "Notes on the life of the man who founded the British School of wood engraving.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B/Bewick,_Thomas", "url": "http://myweb.tiscali.co.uk/speel/illus/bewick.htm"} +{"d:Title": "The Bewick Society", "d:Description": "Website of the Thomas Bewick Society. Includes a biography, gallery, links, bibliography, list of collections, and information about society membership and a newsletter (in PDF format).", "topic": "Top/Arts/Illustration/Historic_Illustrators/B/Bewick,_Thomas", "url": "http://www.bewicksociety.org/"} +{"d:Title": "Thomas Bewick - Wikipedia, the free encyclopedia", "d:Description": "Hyperlinked profile of the Northumberland wood engraver and ornithologist.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B/Bewick,_Thomas", "url": "http://en.wikipedia.org/wiki/Thomas_Bewick"} +{"d:Title": "Thomas Bewick (1753-1828)", "d:Description": "A biography and assessment of the artist, with a bibliography, articles about his technique, galleries, and information about a collection of his work held at the Edmonton Art Gallery.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B/Bewick,_Thomas", "url": "http://www.sharecom.ca/bewick"} +{"d:Title": "Bilibin, Ivan (1876-1942)", "d:Description": "An illustrated biography of Ivan Bilibin.", "topic": "Top/Arts/Illustration/Historic_Illustrators/B/Bilibin,_Ivan", "url": "http://www.bpib.com/illustrat/bilibin.htm"} +{"d:Title": "Crane, Walter (1845-1915)", "d:Description": "Brief biography and links to related information about the children's book illustrator.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://myweb.tiscali.co.uk/speel/illus/crane.htm"} +{"d:Title": "Chambers, Charles Edward", "d:Description": "(1883-1941). Biography of the illustrator who worked for major magazines and advertising assignments.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.illustration-house.com/bios/chambers_bio.html"} +{"d:Title": "Coll, Joseph Clement", "d:Description": "(1881-1921). An illustrated biography of a master of pen and ink who illustrated stories by Arthur Conan Doyle and Sax Rohmer among others.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.bpib.com/illustrat/coll.htm"} +{"d:Title": "Clarke, Harry", "d:Description": "(1889-1931). An illustrated biography of the artist dedicated to book illustration and stained glass windows creation.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.bpib.com/illustrat/clarke.htm"} +{"d:Title": "Corbould, Richard (1757-1831)", "d:Description": "Brief biography and links to related information about an illustrator specialized in wash drawing with ink details. First of a dynasty of painters and illustrators,", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://myweb.tiscali.co.uk/speel/paint/corbould.htm"} +{"d:Title": "Carigiet, Alois", "d:Description": "(1902-1985). Site dedicated to the first illustrator who won the Hans Christian Andersen Award for illustration.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.carigiet.net/"} +{"d:Title": "Clark, Walter Appleton", "d:Description": "(1876-1906). An illustrated biography of the artist whose work appeared in \"Scribner's\", \"Collier\" and \"Harpers\" magazines.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.bpib.com/clark.htm"} +{"d:Title": "Carter, Pruett", "d:Description": "(1891-1955). A story illustration and a brief biography about the magazine illustrator.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.illustration-house.com/bios/carter_bio.html"} +{"d:Title": "Craig, Frank", "d:Description": "(1874-1918). An illustrated biography of a British artist who painted images for Kipling, Robert W. Chambers and others.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.bpib.com/illustrat/craig.htm"} +{"d:Title": "Cornwell, Dean", "d:Description": "(1892-1960). An illustrated essay about the artist that made patriotic war posters and painted for advertising campaigns and popular magazines in the United States.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C", "url": "http://www.bpib.com/cornwell.htm"} +{"d:Title": "Randolph Caldecott Society UK", "d:Description": "Information about Randolph Caldecott, artist and illustrator of children's and other books. Examples of his work, where to see more and how his work influenced Beatrix Potter.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C/Caldecott,_Randolph", "url": "http://www.randolphcaldecott.org.uk/"} +{"d:Title": "The Randolph Caldecott Society of America", "d:Description": "Promotes appreciation of the English illustrator, and maintains his grave. Biography, news items.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C/Caldecott,_Randolph", "url": "http://www.rcsamerica.com/"} +{"d:Title": "Bob Speel: Randolph Caldecott (1846-1886)", "d:Description": "Short biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C/Caldecott,_Randolph", "url": "http://myweb.tiscali.co.uk/speel/illus/caldecot.htm"} +{"d:Title": "George Cruikshank (1792-1878)", "d:Description": "Short biography and critique.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C/Cruikshank,_George", "url": "http://myweb.tiscali.co.uk/speel/illus/cruik.htm"} +{"d:Title": "Cruikshank Artwork at Princeton University Library", "d:Description": "Catalogue of the collection along with an interactive gallery displaying original drawings that can be compared to the finished prints.", "topic": "Top/Arts/Illustration/Historic_Illustrators/C/Cruikshank,_George", "url": "http://libweb5.princeton.edu/visual_materials/cruikshank/index.html"} +{"d:Title": "Duncan, John (1866-1945)", "d:Description": "Brief biography of the illustrator and designer of murals and stained glass influenced by the Pre-Raphaelites, Celtic imagery and symbolism.", "topic": "Top/Arts/Illustration/Historic_Illustrators/D", "url": "http://myweb.tiscali.co.uk/speel/paint/duncan.htm"} +{"d:Title": "Dohanos, Stevan", "d:Description": "(1907-1994). Short information and Saturday Evening Post cover illustration.", "topic": "Top/Arts/Illustration/Historic_Illustrators/D", "url": "http://www.illustration-house.com/bios/dohanos_bio.html"} +{"d:Title": "Dunn, Harvey", "d:Description": "(1884-1952). An illustrated biography of the artist that studied under Howard Pyle and contributed to magazines such as \"Scribner's\" and \"The Saturday Evening Post\".", "topic": "Top/Arts/Illustration/Historic_Illustrators/D", "url": "http://www.bpib.com/illustrat/dunn.htm"} +{"d:Title": "The Sculpture of Honor\u00e9 Daumier", "d:Description": "Photos of two of Daumier's sculptures.", "topic": "Top/Arts/Illustration/Historic_Illustrators/D/Daumier,_Honor\u00e9", "url": "http://www.bc.edu/bc_org/avp/cas/fnart/art/daumier.html"} +{"d:Title": "Honore Daumier - Olga's Gallery", "d:Description": "Collection of works of the French artist and illustrator with a biography and historical comments.", "topic": "Top/Arts/Illustration/Historic_Illustrators/D/Daumier,_Honor\u00e9", "url": "http://www.abcgallery.com/D/daumier/daumier.html"} +{"d:Title": "WebMuseum: Daumier, Honor\u00e9", "d:Description": "Illustrated article emphasizing Daumier's paintings.", "topic": "Top/Arts/Illustration/Historic_Illustrators/D/Daumier,_Honor\u00e9", "url": "http://www.ibiblio.org/wm/paint/auth/daumier/"} +{"d:Title": "Gustave Dor\u00e9", "d:Description": "(1832-1883). Brief information with reproductions of three of his oil paintings.", "topic": "Top/Arts/Illustration/Historic_Illustrators/D/Dor\u00e9,_Gustav", "url": "http://www.ibiblio.org/wm/paint/auth/dore/"} +{"d:Title": "Dulac, Edmund", "d:Description": "(1882-1953). An illustrated biography of Edmund Dulac with online references in linked hypertext.", "topic": "Top/Arts/Illustration/Historic_Illustrators/D/Dulac,_Edmund", "url": "http://www.bpib.com/illustrat/dulac.htm"} +{"d:Title": "Eggenhofer, Nick", "d:Description": "(1897-1985). Brief biography of the illustrator specialized in western art.", "topic": "Top/Arts/Illustration/Historic_Illustrators/E", "url": "http://www.illustration-house.com/bios/eggenhofer_bio.html"} +{"d:Title": "Edwards, Mary Ellen (1839-c.1910)", "d:Description": "Brief biography of one of the most prolific illustrators of Victorian magazines and books.", "topic": "Top/Arts/Illustration/Historic_Illustrators/E", "url": "http://myweb.tiscali.co.uk/speel/illus/mee.htm"} +{"d:Title": "Fawcett, Robert", "d:Description": "(1903-1967). Brief biographical note with one story illustration.", "topic": "Top/Arts/Illustration/Historic_Illustrators/F", "url": "http://www.illustration-house.com/bios/fawcett_bio.html"} +{"d:Title": "Ford, Henry Justice", "d:Description": "Brief biography and links to related information about the illustrator of fairy books that shows a Pre-Raphaelite influence in his works.", "topic": "Top/Arts/Illustration/Historic_Illustrators/F", "url": "http://myweb.tiscali.co.uk/speel/illus/hjford.htm"} +{"d:Title": "Flint, Sir William Russell", "d:Description": "(1880-1970). An illustrated biography of the artist whose early work appeared in the \"Illustrated London News\". He painted scenes for \"The Canterbury Tales\" and \"The Odyssey\" among other books .", "topic": "Top/Arts/Illustration/Historic_Illustrators/F", "url": "http://www.bpib.com/flint.htm"} +{"d:Title": "Furniss, Harry (1854-1925)", "d:Description": "Brief biography of the illustrator and caricaturist of the Victorian and Edwardian age.", "topic": "Top/Arts/Illustration/Historic_Illustrators/F", "url": "http://myweb.tiscali.co.uk/speel/illus/furniss.htm"} +{"d:Title": "Finlay, Virgil", "d:Description": "(1914-1971). An illustrated biography of the artist whose drawings appeared in science-fiction and fantasy pulp magazines.", "topic": "Top/Arts/Illustration/Historic_Illustrators/F", "url": "http://www.bpib.com/illustrat/finlay.htm"} +{"d:Title": "Gaskin, Arthur J. (1862-1928)", "d:Description": "Brief biography and links to related information about the illustrator, jewellery designer, silversmith and painter.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://myweb.tiscali.co.uk/speel/illus/gaskin.htm"} +{"d:Title": "G\u00e1g, Wanda Hazel (1893-1946)", "d:Description": "Illustrated biography of the illustrator of children\u2019s books.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://www.ortakales.com/illustrators/Gag.HTML"} +{"d:Title": "Godwin, Frank", "d:Description": "(1889-1959). An illustrated biography with images done for magazines, adventure books and comics.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://www.bpib.com/illustrat/godwin.htm"} +{"d:Title": "Getz, Arthur", "d:Description": "(1913-1996). The prolific cover artist of the New Yorker magazine who painted cityscapes and landscapes, drew political cartoons and wrote and illustrated children's books.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://www.getzart.com/"} +{"d:Title": "Goble, Warwick", "d:Description": "(1862-1943). An illustrated essay about the illustrator of color plate books, with fantasy and fairy tales as main subjects.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://www.bpib.com/illustrat/goble.htm"} +{"d:Title": "Gross, Milt (1895-1953)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://www.bpib.com/gross.htm"} +{"d:Title": "Green, Elizabeth Shippen (1871-1954)", "d:Description": "Biography of the illustrator who contributed to children's books and magazines such as \"The Saturday Evening Post\" and \"Harper\u2019s Weekly\" among others.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://www.ortakales.com/illustrators/Green.html"} +{"d:Title": "Gere, Charles March (1869-1957)", "d:Description": "Brief biography and links to related information about an illustrator in the Pre-Raphaelite style.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://myweb.tiscali.co.uk/speel/illus/gere.htm"} +{"d:Title": "Gilbert, John (1817-1897)", "d:Description": "Brief biography and links to related information about an illustrator that began as a black and white artist on wood working for \"Punch\" and the \"Illustrated London News\".", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://myweb.tiscali.co.uk/speel/illus/gilbert.htm"} +{"d:Title": "Gutmann, Bessie Collins Pease", "d:Description": "(1876-1960). Biography and illustrations of a children's books illustrator, best known for her art prints of children.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G", "url": "http://www.ortakales.com/illustrators/Gutmann.html"} +{"d:Title": "Gibson Girls", "d:Description": "Drawings by Charles Dana Gibson, creator of the Gibson Girl.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G/Gibson,_Charles_Dana", "url": "http://gibsongirls.com/"} +{"d:Title": "Kate Greenaway RWS (1846-1901)", "d:Description": "Short biography and critique.", "topic": "Top/Arts/Illustration/Historic_Illustrators/G/Greenaway,_Kate", "url": "http://myweb.tiscali.co.uk/speel/illus/greenway.htm"} +{"d:Title": "Hogarth, Burne (1911-1996)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://www.bpib.com/hogarth.htm"} +{"d:Title": "Housman, Lawrence (1865-1959)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://myweb.tiscali.co.uk/speel/illus/housman.htm"} +{"d:Title": "Havers, Alice (1850-1890)", "d:Description": "Brief information and related links about the artist, who specialised in classical figure subjects and more sentimental genre subjects, among them Christmas cards.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://myweb.tiscali.co.uk/speel/paint/havers.htm"} +{"d:Title": "Hurter, Albert (1883-1942)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://www.bpib.com/hurter.htm"} +{"d:Title": "Hallock, Ruth Mary", "d:Description": "Active 1903-1936. List of books illustrated and several illustrations.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://www.ortakales.com/illustrators/Hallock.html"} +{"d:Title": "Holl, Frank (1845-1888)", "d:Description": "Illustration and brief biography of one of the leading members of the school of Social Realism.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://myweb.tiscali.co.uk/speel/paint/holl.htm"} +{"d:Title": "Held Jr., John (1889-1958)", "d:Description": "An illustrated biography of John Held Jr., the delineator of flappers and sheiks and the Roaring Twenties.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://www.bpib.com/illustrat/johnheld.html"} +{"d:Title": "Hardy, Paul (1862-?)", "d:Description": "Brief information about the illustrator. Some of his better works appeared in Strand Magazine and the English Illustrated Magazine.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://myweb.tiscali.co.uk/speel/paint/hardy.htm"} +{"d:Title": "Houghton, Arthur Boyd (1836-1875)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/H", "url": "http://myweb.tiscali.co.uk/speel/illus/houghton.htm"} +{"d:Title": "Image, Selwyn (1849-1930)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/I", "url": "http://myweb.tiscali.co.uk/speel/illus/image.htm"} +{"d:Title": "Kley, Heinrich (1863-1945)", "d:Description": "Illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/K", "url": "http://www.bpib.com/illustrat/kley.htm"} +{"d:Title": "Krigstein, Bernie (1919-1990)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/K", "url": "http://www.bpib.com/illustrat/krigstei.htm"} +{"d:Title": "King, Jessie Marion (1875-1949)", "d:Description": "Drawings and biographical information about the illustrator of medieval and fantasy subjects influenced by Art Noveau.", "topic": "Top/Arts/Illustration/Historic_Illustrators/K", "url": "http://www.ortakales.com/illustrators/King.html"} +{"d:Title": "Keller, Arthur I. (1866-1924)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/K", "url": "http://www.bpib.com/illustrat/keller.htm"} +{"d:Title": "Levetus, Celia (1874-1936)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/L", "url": "http://myweb.tiscali.co.uk/speel/illus/levetus.htm"} +{"d:Title": "Lowell, Orson (1871-1956)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/L", "url": "http://www.bpib.com/lowell.htm"} +{"d:Title": "Leyendecker, Joseph Christian (1874-1951)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/L", "url": "http://www.bpib.com/illustrat/leyendec.htm"} +{"d:Title": "Leighton, John (1822-1912)", "d:Description": "Brief biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/L", "url": "http://myweb.tiscali.co.uk/speel/illus/jleight.htm"} +{"d:Title": "Loomis, Andrew (1892-1959)", "d:Description": "An illustrated biography of the illustrator, who also wrote influential art instruction books.", "topic": "Top/Arts/Illustration/Historic_Illustrators/L", "url": "http://www.bpib.com/illustrat/loomis.htm"} +{"d:Title": "Lathrop, Dorothy (1891-1980)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/L/Lathrop,_Dorothy", "url": "http://www.bpib.com/lathrop.htm"} +{"d:Title": "Morris, William (1834-1896)", "d:Description": "Brief biography of the founder of the Arts and Crafts movement.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M", "url": "http://myweb.tiscali.co.uk/speel/illus/morris.htm"} +{"d:Title": "Millar, Harold Robert (1869-op. 1930s)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M", "url": "http://myweb.tiscali.co.uk/speel/illus/hrmillar.htm"} +{"d:Title": "Muckley, Louis Fairfax (1862-1926)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M", "url": "http://myweb.tiscali.co.uk/speel/illus/muckley.htm"} +{"d:Title": "Macbeth, Ann (1875-1948)", "d:Description": "Brief information about the illustrator who also became an Arts an Crafts designer.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M", "url": "http://myweb.tiscali.co.uk/speel/illus/amacbeth.htm"} +{"d:Title": "Milt Gross - Animation Art", "d:Description": "2 illustrations from video. Sales.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M", "url": "http://members.tripod.com/~SinBad_98/MILT_GROSS.html"} +{"d:Title": "Mucha, Alphonse (1860-1939)", "d:Description": "An illustrated biography of one of the premier Art Nouveau stylists at the turn of the last century.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M/Mucha,_Alphonse", "url": "http://www.bpib.com/illustrat/mucha.htm"} +{"d:Title": "Olga's Gallery: Alphonse Mucha", "d:Description": "Collection of works of a Czech artist with a biography and historical comments.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M/Mucha,_Alphonse", "url": "http://www.abcgallery.com/M/mucha/mucha.html"} +{"d:Title": "Mucha Museum", "d:Description": "Art that involves women of a religious looking appearance painted in light pastel colors.", "topic": "Top/Arts/Illustration/Historic_Illustrators/M/Mucha,_Alphonse", "url": "http://www.mucha-museum.co.jp/"} +{"d:Title": "Nielsen, Kay (1886-1957)", "d:Description": "Brief illustrated biography with online references and links.", "topic": "Top/Arts/Illustration/Historic_Illustrators/N", "url": "http://www.bpib.com/illustrat/nielsen.htm"} +{"d:Title": "Newill, Mary J. (1860-1947)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/N", "url": "http://myweb.tiscali.co.uk/speel/illus/newill.htm"} +{"d:Title": "Outcault, Richard Felton (1863-1928)", "d:Description": "Biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/O", "url": "http://www.illustration-house.com/bios/outcault_bio.html"} +{"d:Title": "Oliver, Ellis Augustus (1873-1937), Philadelphia Artist.", "d:Description": "Biography with illustrations written by his grandson.", "topic": "Top/Arts/Illustration/Historic_Illustrators/O", "url": "http://richoliver.us/eao/"} +{"d:Title": "Pape, Eric (1870-1938)", "d:Description": "An illustrated biography, including a 1910 newspaper article.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://www.bpib.com/illustrat/pape.htm"} +{"d:Title": "Phillips, Coles", "d:Description": "An illustrated biography of the creator of the Phillips' girls, and of the fadeaway technique.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://www.bpib.com/illustrat/phillips.htm"} +{"d:Title": "Pogany, Vilmos Andreas (William Andrew, or Willy) (1882-1955)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://www.bpib.com/pogany.htm"} +{"d:Title": "Partch, Virgil (1916-1984)", "d:Description": "An illustrated biography of VIP, the darkly humorous creator of Big George and other cartoons for True, Colliers, Look, and for his own original compilations.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://www.bpib.com/illustrat/partch.htm"} +{"d:Title": "Pinwell, George John (1842-1875)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://myweb.tiscali.co.uk/speel/illus/pinwell.htm"} +{"d:Title": "Paul, Evelyn (1870-1945)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://myweb.tiscali.co.uk/speel/illus/epaul.htm"} +{"d:Title": "Petty, George (1894-1975)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://www.bpib.com/illustrat/petty.htm"} +{"d:Title": "Percy Crosby (1890-1964)", "d:Description": "An illustrated biography, and book sales.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://www.bpib.com/crosby.htm"} +{"d:Title": "Peacock, Ralph (1868-?)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://myweb.tiscali.co.uk/speel/paint/peacock.htm"} +{"d:Title": "Parker, Al (1906-1985)", "d:Description": "Brief information and one of his magazine covers.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://www.illustration-house.com/bios/parker_bio.html"} +{"d:Title": "Paget brothers", "d:Description": "Brief information about Henry (1856-1936), Sidney (1860-1908) and Walter Paget (1863-1935).", "topic": "Top/Arts/Illustration/Historic_Illustrators/P", "url": "http://myweb.tiscali.co.uk/speel/illus/paget.htm"} +{"d:Title": "The World of Maxfield Parrish", "d:Description": "Short biography and images.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Parrish,_Maxfield", "url": "http://preraphaelsmuse.homestead.com/"} +{"d:Title": "Maxfield Parrish -- Art Vintage Ltd.", "d:Description": "Original art prints for sale by Maxfield Parrish, Atkinson Fox, Zula Kenyon, Gene Pressler, Hy Hintermeister and Philip Goodwin.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Parrish,_Maxfield", "url": "http://artvintage.tripod.com/"} +{"d:Title": "Maxfield Parrish Biography", "d:Description": "An illustrated biography of Maxfield Parrish with plenty of interesting facts and art.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Parrish,_Maxfield", "url": "http://www.bpib.com/illustrat/parrish.htm"} +{"d:Title": "Aesthetic Realism and the Imagination of Beatrix Potter", "d:Description": "Marcia Rackow's study of aesthetic realism.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Potter,_Beatrix", "url": "http://www.aestheticrealism.net/Aesthetic-Potter/Aesthetic-Potter.html#Top"} +{"d:Title": "Beartrix Potter Society", "d:Description": "Group officially organized as curators (collectors) of items from Beatrix Potter's life. The group serves to continue to educate people about Miss Potter's work and interests. Aims, activities, events, and suggested places to visit.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Potter,_Beatrix", "url": "http://www.beatrixpottersociety.org.uk/"} +{"d:Title": "More Than Just Bunnies: The Legacy of Beatrix Potter", "d:Description": "Biography of Beatrix Potter, by Deborah Straw, from the Literary Traveler.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Potter,_Beatrix", "url": "http://www.literarytraveler.com/articles/beatrix-potter-lake-district/"} +{"d:Title": "The Deacon's Masterpiece, by Oliver Wendell Holmes", "d:Description": "Complete online version of Holmes' ''One Horse Shay'', with the Howard Pyle illustrations.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Pyle,_Howard", "url": "http://eldritchpress.org/owh/shay.html"} +{"d:Title": "Pyle, Howard (1853-1911)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/P/Pyle,_Howard", "url": "http://www.bpib.com/pyle.htm"} +{"d:Title": "Ryland, Henry (1856-1924)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R", "url": "http://myweb.tiscali.co.uk/speel/illus/ryland.htm"} +{"d:Title": "Robinson, William Heath (1872-1944)", "d:Description": "Brief biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R", "url": "http://myweb.tiscali.co.uk/speel/illus/whrbnsn.htm"} +{"d:Title": "Rackham's Illustrations from Alice in Wonderland", "d:Description": "Published by William Heinemann, London, in 1907.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rackham,_Arthur", "url": "http://www.exit109.com/~dnn/alice/rackham/"} +{"d:Title": "Alice in Wonderland Illustrated by Arthur Rackham", "d:Description": "Reproductions of plates from a 1907 edition of Lewis Carroll's masterpiece.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rackham,_Arthur", "url": "http://www.bugtown.com/alice/"} +{"d:Title": "Arthur Rackham and His Art", "d:Description": "An illustrated biography with online references in linked hypertext.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rackham,_Arthur", "url": "http://www.bpib.com/illustrat/rackham.htm"} +{"d:Title": "The Arthur Rackham Society", "d:Description": "Founded in 1984 to share enthusiasm and exchange information about the illustrator. Includes membership information and list of dealer members.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rackham,_Arthur", "url": "http://www.angelfire.com/ar/ArthurRackhamSociety"} +{"d:Title": "Frederic S. Remington (1861-1909)", "d:Description": "Short biography. One illustration.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Remington,_Frederic", "url": "http://www.illustration-house.com/bios/remington_bio.html"} +{"d:Title": "Norman Rockwell Museum of Vermont", "d:Description": "Collection of Norman Rockwell illustrations.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rockwell,_Norman", "url": "http://www.normanrockwellvt.com/"} +{"d:Title": "Norman Rockwell Museum", "d:Description": "Museum founded by Rockwell and his wife is located in Stockbridge, Massachusetts. Museum history, exhibit information, illustrated biography, and an Eye Opener feature which provides an up-close look at select works and the stories behind them.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rockwell,_Norman", "url": "http://www.nrm.org/"} +{"d:Title": "Cover Story -- Norman Rockwell's America", "d:Description": "Provides an overview of Rockwell's career along with selected magazine covers. Based on 1999 exhibit at Atwater Kent Museum.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rockwell,_Norman", "url": "http://www.tfaoi.com/newsm1/n1m369.htm"} +{"d:Title": "Norman Rockwell (1894-1978)", "d:Description": "Short biography. One illustration.", "topic": "Top/Arts/Illustration/Historic_Illustrators/R/Rockwell,_Norman", "url": "http://www.illustration-house.com/bios/rockwell_bio.html"} +{"d:Title": "Small, William (1843-1929)", "d:Description": "Brief information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://myweb.tiscali.co.uk/speel/illus/small.htm"} +{"d:Title": "Sumner, Heywood (1853-1940)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://myweb.tiscali.co.uk/speel/illus/sumner.htm"} +{"d:Title": "Stratton, Helen", "d:Description": "Brief information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://myweb.tiscali.co.uk/speel/illus/stratton.htm"} +{"d:Title": "Schoonover, Frank (1877-1972)", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://www.bpib.com/illustrat/schoonov.htm"} +{"d:Title": "Strang, William (1859-1921)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://myweb.tiscali.co.uk/speel/paint/strang.htm"} +{"d:Title": "Sullivan, Edmund Joseph (1869-1933)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://myweb.tiscali.co.uk/speel/illus/sullivan.htm"} +{"d:Title": "Storey, George Adolphus (1834-1919)", "d:Description": "Brief information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://myweb.tiscali.co.uk/speel/paint/storey.htm"} +{"d:Title": "Sambrook, Russell", "d:Description": "Biographical note and illustration.", "topic": "Top/Arts/Illustration/Historic_Illustrators/S", "url": "http://www.illustration-house.com/bios/sambrook_bio.html"} +{"d:Title": "Vierge, Daniel (1851-1904)", "d:Description": "Illustrated biography. Provides an explanation of the changes from wood engraving to photo engraving in illustration and the main influence of Vierge in this development.", "topic": "Top/Arts/Illustration/Historic_Illustrators/V", "url": "http://www.bpib.com/illustrat/vierge.htm"} +{"d:Title": "Wirgman, Theodore Blake (1848-1925)", "d:Description": "Brief information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/W", "url": "http://myweb.tiscali.co.uk/speel/paint/wirgman.htm"} +{"d:Title": "Wheelwright, Roland (1870-1955)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/W", "url": "http://myweb.tiscali.co.uk/speel/paint/wheel.htm"} +{"d:Title": "Walker, Frederick (1840-1875)", "d:Description": "Brief biography and links to related information.", "topic": "Top/Arts/Illustration/Historic_Illustrators/W", "url": "http://myweb.tiscali.co.uk/speel/illus/walker.htm"} +{"d:Title": "Wollen, William Barnes (1857-1936)", "d:Description": "Brief information", "topic": "Top/Arts/Illustration/Historic_Illustrators/W", "url": "http://myweb.tiscali.co.uk/speel/paint/wollen.htm"} +{"d:Title": "Whitcomb, Jon (1906-1988)", "d:Description": "Biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/W", "url": "http://www.illustration-house.com/bios/whitcomb_bio.html"} +{"d:Title": "Jessie Willcox Smith", "d:Description": "An illustrated biography.", "topic": "Top/Arts/Illustration/Historic_Illustrators/W/Wilcox_Smith,_Jessie", "url": "http://www.bpib.com/illustrat/jwsmith.htm"} +{"d:Title": "Newell Convers Wyeth (1882-1945) Biography", "d:Description": "An illustrated biography of N.C. Wyeth.", "topic": "Top/Arts/Illustration/Historic_Illustrators/W/Wyeth,_Newell_Convers", "url": "http://www.bpib.com/illustrat/wyeth.htm"} +{"d:Title": "Newell Convers Wyeth (1882-1945)", "d:Description": "Biography. One illustration.", "topic": "Top/Arts/Illustration/Historic_Illustrators/W/Wyeth,_Newell_Convers", "url": "http://www.illustration-house.com/bios/wyeth_bio.html"} +{"d:Title": "Norman Rockwell Museum", "d:Description": "Original Norman Rockwell art located in Stockbridge MA.", "topic": "Top/Arts/Illustration/Illustration_Galleries", "url": "http://www.nrm.org/"} +{"d:Title": "Illustration Cupboard", "d:Description": "London gallery of original artwork.", "topic": "Top/Arts/Illustration/Illustration_Galleries", "url": "http://www.illustrationcupboard.com/"} +{"d:Title": "The Illustrated Gallery", "d:Description": "(Philadelphia, PA) Gallery with large collection of illustrated art.", "topic": "Top/Arts/Illustration/Illustration_Galleries", "url": "http://illustratedgallery.com/"} +{"d:Title": "Brandler Galleries", "d:Description": "(near London, UK) specialising in original children's book illustrations.", "topic": "Top/Arts/Illustration/Illustration_Galleries", "url": "http://www.brandler-galleries.com/"} +{"d:Title": "National Museum of American Illustration", "d:Description": "The artwork from the golden age of American illustration.", "topic": "Top/Arts/Illustration/Illustration_Galleries", "url": "http://www.americanillustration.org/"} +{"d:Title": "Marcolla, Tomaso", "d:Description": "Illustrative paintings, including artistic use of lettering and editorial concepts.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.marcolla.it/"} +{"d:Title": "Tahleh, Eleykaa", "d:Description": "Brightly colored illustrations watercolor, and pen-and-ink.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.eleykaa.com/"} +{"d:Title": "Collantes, Victor", "d:Description": "Oil Painting, murals and illustration by a Mexican graphic designer.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.angelfire.com/co/theelder/"} +{"d:Title": "Forbes, Bart", "d:Description": "Online portfolio of artist and illustrator.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.bartforbes.com/"} +{"d:Title": "Caron, Brian", "d:Description": "Illustrator with sample images.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.angelfire.com/ma3/briancaron/"} +{"d:Title": "Westbrook, Eric", "d:Description": "Colorful conceptual images for a variety of subjects. Eric uses acrylic on textured canvas to produce illustrations for editorial, corporate, and government clients. Stock art also available.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.ericwestbrook.com/"} +{"d:Title": "Frankle, Rob", "d:Description": "Specializes in conceptual watercolor illustration for editorial, corporate, and advertising use.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.robfrankle.com/"} +{"d:Title": "Hazeltine, Don", "d:Description": "Shows both commercial work and fine arts.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.donhazeltine.com/"} +{"d:Title": "Tornquist, Suzanne", "d:Description": "Features Jewish, Asmat, ethnic, and general art.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://tornquist-art.com/"} +{"d:Title": "Rankin, Lorna", "d:Description": "Includes abstract paintings, architectural perspectives, house portraits, and views of England.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.lornarankinartist.co.uk/"} +{"d:Title": "Foster, Jeff", "d:Description": "Features digital imagery with illustrations, design and animation.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.pixelpainter.com/"} +{"d:Title": "Jessica Designs Custom Dog Art", "d:Description": "Jessica designs pop are illustrative paintings of your animal. Her style is both creative and unique.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.art4children.com/animalart.html"} +{"d:Title": "X-Stream Designs", "d:Description": "Murals, unique and diverse, highly skilled and widely recognized for the realism, trompe 'oleil murals. Drawing Instruction, Drawing on the Right Side of the Brain, Signs", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.angelfire.com/art/draw2day/"} +{"d:Title": "Justin DeGarmo Studio", "d:Description": "Conceptual illustrations, painting studies and sketchbook drawings.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.justindegarmo.com/"} +{"d:Title": "Gordon, Alan", "d:Description": "Portfolio of humorous mixed media painted illustrations.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.alangordonarts.com/"} +{"d:Title": "Dingman, Alan", "d:Description": "Featuring a collection of painted portrait illustrations.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.alandingman.com/"} +{"d:Title": "The Magical Fantasy Art of Steve A. Roberts", "d:Description": "Gallery of fantasy art and illustrations of Steve A Roberts.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.fantasy-graphic.com/"} +{"d:Title": "Henderson, Lynne", "d:Description": "A personal site depicting botanical and natural history paintings and illustrations.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://myweb.tiscali.co.uk/lynnehenderson/"} +{"d:Title": "Clifford, Kevin", "d:Description": "Illustrations created with oils, watercolor, pen-and-ink, and computer graphics, on a wide variety of subjects.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://armzak.tripod.com/"} +{"d:Title": "Elliott, Greg", "d:Description": "Sport, architectural, and landscape illustrations, done in watercolor.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://elliottartwork.squarespace.com/"} +{"d:Title": "Parker, Karen", "d:Description": "Canadian artist and illustrator. Children's animals, nudes, realistic watercolours, floral.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.karenlorenaparker.com/"} +{"d:Title": "Karas Roma", "d:Description": "Stylized painted editorial and architectural illustrations.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://www.romakaras.com/"} +{"d:Title": "Reed, Tara", "d:Description": "American artist creating fun and sassy art for licensing and featured on a variety of products including fabrics, coasters, cards, dishes and magnets.", "topic": "Top/Arts/Illustration/Illustrative_Painting", "url": "http://tarareed.com/"} +{"d:Title": "Alexandra, Irene", "d:Description": "Sketches, ink drawings and digital illustrations of cute mythical and fairytale creatures.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.sirenesworld.net/"} +{"d:Title": "Ascher, Jon", "d:Description": "Features portfolio of illustration, graphic and web design, r\u00e9sum\u00e9 and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.jonascher.com/"} +{"d:Title": "Austin, Michael", "d:Description": "Selection of digitally enhanced pen and ink color illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.jingandmike.com/"} +{"d:Title": "Akins, Charles", "d:Description": "Scrollable thumbnail gallery of illustrations in a wide variety of styles created in both traditional and digital mediums.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.akinstudio.com/"} +{"d:Title": "Andreasson, Tomas", "d:Description": "Illustrator who strictly focuses on black and white, and colored, pen and ink drawings for books and magazines.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.punchline.us/"} +{"d:Title": "Akyuz, Ural", "d:Description": "Works with acrylic, gouache, airbrush and oil for book covers, posters, comic book covers, magazine illustrations and children's books, with realistic techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.uralakyuz.com/"} +{"d:Title": "Atkinson, Janet", "d:Description": "Conceptual illustration in natural media for advertising, corporate, editorial and book covers.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.janetatkinson.com/"} +{"d:Title": "Allison, Kim T.", "d:Description": "Portfolio featuring digital illustrations for resort destinations and whimsical greeting cards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.pigswithpencils.com/"} +{"d:Title": "Abramson, Julie", "d:Description": "Portfolio featuring drawings and painterly portraiture by Washington, D.C. based artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.julieabramson.com/"} +{"d:Title": "Ahonen, JP", "d:Description": "Colored ink and vector works covering spots, conceptual designs and comics, by this artist based in Finland. In English and Suomi.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.jpahonen.com/"} +{"d:Title": "Arsenault, Isabelle", "d:Description": "Soft, and earth-toned painterly works using expressive figures and poses.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.isabellearsenault.com/"} +{"d:Title": "Alsenas, Linas", "d:Description": "Online portfolio of illustration by an artist working in children's publishing.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.linasalsenas.com/"} +{"d:Title": "Almeida, Marshall", "d:Description": "Colorful natural and traditional media, painterly illustrations. Features examples of concept art and storyboard work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.marshallalmeida.com/"} +{"d:Title": "Adams, Liz", "d:Description": "Mixed media illustrator creating naive and colorful works for greeting card and editorial uses.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.liz-adams.com/"} +{"d:Title": "af Enehielm, Cecilia", "d:Description": "Watercolor, inks and digital illustrations for children's books, publications, logos, T-shirts, mouse pads, greeting cards and post cards. A selection of a merchandise is available for purchase.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.cilli-art.de/"} +{"d:Title": "Accardo, Anthony", "d:Description": "Fairytale and fantasy style illustrator working in traditional and digital mediums.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://illustration.homestead.com/welcome.html"} +{"d:Title": "Abella, Sheffield B.", "d:Description": "Strong illustrations with a lot of character, in traditional media. Also features storyboards and design examples.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://sheff.com/"} +{"d:Title": "Andrecht, Venus", "d:Description": "Portfolio of floral, food, and feminine watercolor, acrylic, and pen and ink illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/A", "url": "http://www.godisalwayshappy.com/"} +{"d:Title": "Bakal, Scott", "d:Description": "Online illustration and art portfolio. Experiments, client list, and links.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.scottbakal.com/"} +{"d:Title": "Braught, Mark", "d:Description": "Conceptual illustrator working in traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.markbraught.com/"} +{"d:Title": "Bickman, Kelli", "d:Description": "Colorful site contains images created by New York artist Kelli Bickman. Illustration, photography and assemblage.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.kellibickman.net/"} +{"d:Title": "Birtall, Simon", "d:Description": "A portfolio of work including impressionist influenced illustrations and fine art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.birtall.co.uk/"} +{"d:Title": "Bartholomew, Caty", "d:Description": "Specializes in line art editorial illustrations, and caricatures.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://catybartholomew.com/"} +{"d:Title": "Barbe, Ghislain", "d:Description": "Illustration including samples from art from Heavy Gear, Jovian Chronicles and Tribe 8.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://pages.infinit.net/balien/ghis/index.htm"} +{"d:Title": "Becher, Dario", "d:Description": "Illustration drawings, graphic and industrial design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.bech.com.ar/"} +{"d:Title": "Batelman, Kenneth", "d:Description": "Illustrations in various styles and media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.batelman.com/"} +{"d:Title": "Bosworth, Erin", "d:Description": "Offering creative visual solutions, from murals to book design, pen and ink illustration to digital output, complete with a gallery of sketchy characters and shady figures.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://sketchy_character.tripod.com/eb"} +{"d:Title": "Broadbent, David", "d:Description": "Freelance illustrator showcases his works, for editorial and publishing uses.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.davidbroadbent.co.uk/"} +{"d:Title": "Brooks, Jason", "d:Description": "Fashion, lifestyle, album cover, and interior illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.jason-brooks.com/"} +{"d:Title": "Bell, Leslie", "d:Description": "Illustration with painterly techniques. The portfolio also includes examples of the artist's Fine Art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.lesliebell.com/"} +{"d:Title": "Ballinger, Bryan", "d:Description": "3D and traditional children's, commercial, and food illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.bryanballinger.com/"} +{"d:Title": "Brother, Kelly", "d:Description": "3D computer illustration, with a step-by-step example of how he produces his work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.kellybrother.com/"} +{"d:Title": "Bishop, Don", "d:Description": "Collection of vector and photo composite digital illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.dbimage.com/"} +{"d:Title": "Bergman, Eliot", "d:Description": "3D artwork, information graphics, t-shirts, postcards, posters, and clip art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.ebergman.com/"} +{"d:Title": "Barbera, Michelle", "d:Description": "Digital, cartoon-styled illustrations for editorial, advertising and children's illustration. Includes contact information, partial client list, biography, and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.barberaillustration.com/"} +{"d:Title": "Brekke, Mary", "d:Description": "Illustrator specialising in corporate identities, product visualization, web site and packaging design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.marybrekke.com/"} +{"d:Title": "Brewer, Mark", "d:Description": "Biography and portfolio of artist's whimsical pen and ink, editorial, and magazine cover illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.markbrewer.com/"} +{"d:Title": "Buelow, Alicia", "d:Description": "Digital, collage-styled illustrations combining traditional media with found objects, text, and photographic images.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.aliciabuelow.com/"} +{"d:Title": "Berg, Elizabeth S.", "d:Description": "Illustrations in a variety of styles, from delicate, hand-drawn pen and ink realism to bold, full-color fantasy artwork. Logos and personal artwork are also included.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.elizabeth-berg.com/"} +{"d:Title": "Baker, Jamie", "d:Description": "Storyboards and figure studies in a variety of styles, in digital and traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.james-baker.com/"} +{"d:Title": "Brenner, Michael", "d:Description": "Conceptual and character development using mixed-media, digital and photomontage.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.mikeartwork.com/"} +{"d:Title": "Bamundo, David", "d:Description": "Portfolio of digital vector illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.bamundo.com/"} +{"d:Title": "Blackshear, Lisa", "d:Description": "Editorial, caricature, lifestyle, and children's illustrations. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.lisablackshear.com/"} +{"d:Title": "Barnard, Bryn", "d:Description": "Scientific, editorial, adventure and historical illustration in oil on panel, as well as digital.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.brynbarnard.com/"} +{"d:Title": "Barneda, David", "d:Description": "Portfolio of international illustrator specializing in advertising, new media, graphic design, editorial, and publishing.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.barneda.com/"} +{"d:Title": "Begin, Mary Jane", "d:Description": "Biography, portfolio of work, list of clients, and information on school talks.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.maryjanebegin.com/"} +{"d:Title": "Bryant, Laura J.", "d:Description": "Children's book illustrator. Book gallery, client list, and pictures of her studio.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.laurabryant.com/"} +{"d:Title": "Bersani, Shennen", "d:Description": "Realistic illustrations in colored pencil, crayon, and paint. Portfolio and contact information.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.shennenbersani.com/"} +{"d:Title": "Buzelli, Chris", "d:Description": "Featuring work done in painted oil. Also includes a biography and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.chrisbuzelli.com/"} +{"d:Title": "Brickman, Robin", "d:Description": "Portfolio of works incorporating watercolor and cut-paper collages, news, list of books, and information on school visitation programs.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.robinbrickman.com/"} +{"d:Title": "Barton, Patrice", "d:Description": "Illustration portfolio serving children's, educational, and advertising markets. In color and black and white.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.patricebarton.com/"} +{"d:Title": "Bamford, Aaron", "d:Description": "Portfolio of mixed media and digital works illustrating concepts, by this Toronto based artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.aaronbamford.com/"} +{"d:Title": "Burr, Debora", "d:Description": "Traditional and digital media illustrations covering children's books, and conceptual and editorial works.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.deboraburr.com/"} +{"d:Title": "Brugh, Michael", "d:Description": "Illustrator specializing in realistic depictions of animals and people in colored pencil, pen and ink, watercolor, digital and oil.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.michaelbrugh.com/"} +{"d:Title": "Briers, Stuart", "d:Description": "Features digital surreal and conceptual-style illustrations for editorial, publishing and corporate clients.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.stuartbriers.com/"} +{"d:Title": "Barrett, Rich", "d:Description": "Freelance illustrator and animator. Warercolor, line drawing and other techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.richbarrett.com/"} +{"d:Title": "Barker, Claire", "d:Description": "Artist working with traditional illustration techniques (pen and ink, watercolor, pencil) with computer technology to produce a range of funny, wild and occasionally dark images.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.clairebarkerillustrator.co.uk/"} +{"d:Title": "Brown, Judy", "d:Description": "Wide range of natural media illustrations, in color, and black and white. Includes contact information.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.judybrown.co.uk/"} +{"d:Title": "Bruce, Sandra", "d:Description": "Showcasing both color and black and white samples of line art illustration, and calligraphy projects.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.sandrabruce.com/"} +{"d:Title": "Blue, Alexander", "d:Description": "Wacky and humorous vector-based illustrations with a natural media feel, and sense of color.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.alexanderblue.com/"} +{"d:Title": "Barnes, Kevin", "d:Description": "Online portfolio of natural history images,technical illustration and airbrush work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://www.cornwallandbeyond.com/"} +{"d:Title": "Bohatch, Mike", "d:Description": "Specializing in horror illustrations, using digital manipulations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/B", "url": "http://horrornews.net/30715/horror-art-eyes-of-chaos/"} +{"d:Title": "Castagno, Carla", "d:Description": "Editorial illustration, greeting cards, package design, multimedia, and naturalistic pictures by Italian illustrator. Content is available in English and Italian.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.illustration-art.net/"} +{"d:Title": "Cotton, Dan", "d:Description": "Specializing in hand lettering, calligraphy, and pen and ink stylized illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.dancotton.com/"} +{"d:Title": "Chantler, Scott", "d:Description": "Online portfolio of professional freelance illustrator who specializes in hand-drawn and digital images with a classic and retro feel.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.scottchantler.com/"} +{"d:Title": "Cardinal, Isabelle", "d:Description": "Editorial illustrator specialized in digital collages.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.eyecreate.com/"} +{"d:Title": "Chaudhary, Aman", "d:Description": "Portfolio of character design, comics, and painted illustration for print and web.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://theredmask.tripod.com/home/homepage.html"} +{"d:Title": "Carlson, F.", "d:Description": "Graphite and watercolor illustrations for editorial, corporate, advertising and music packaging.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.carlsonstudio.com/"} +{"d:Title": "Chan, Ron", "d:Description": "Online illustration portfolio and stock search page for institutional, advertising and design firms.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.ronchan.com/"} +{"d:Title": "Custode, Michael", "d:Description": "Engraving and woodcut style illustrations, color or black and white. Editorial, packaging and advertising.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.custode.com/"} +{"d:Title": "Clarke, Doug", "d:Description": "Experimenting with traditional, 3D graphics, and Flash illustration. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.liquidmethod.com/"} +{"d:Title": "Cracknell, Robin", "d:Description": "Photographic illustration for book covers and advertising.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.robincracknell.com/"} +{"d:Title": "Caron, Brian", "d:Description": "Painted and digital illustrations, logos, and web design. Also includes fine art acrylic paintings.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.briancaron.com/"} +{"d:Title": "Carol, Estelle", "d:Description": "Computer illustration and design services for print, multimedia, and the Internet.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.estellegraphics.com/"} +{"d:Title": "Cigliano, Bill", "d:Description": "Advertising, animal, caricature, editorial, and portrait illustrations. Stock images available by category.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.billcigliano.com/"} +{"d:Title": "Colman, Audrey", "d:Description": "Freelance illustrator's portfolio of colorful, original art for posters, magazines, and brochures.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.goodartstudio.com/"} +{"d:Title": "Chapman, C.M.", "d:Description": "3D animations and illustrations, blending digital and traditional techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.cmgrafik.com/"} +{"d:Title": "Chorney, Steven", "d:Description": "Painterly advertising illustrations, movie posters as well as examples of the artist Fine Art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.stevenchorney.com/"} +{"d:Title": "Cox, Russ", "d:Description": "Logos and identities, web design, and illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.smilingotis.com/"} +{"d:Title": "Cusack, Margaret", "d:Description": "Stitched illustration, including embroidered samplers, quilted artwork, soft sculpture, props, stock images, hangings, and portraits.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.margaretcusack.com/"} +{"d:Title": "Collins, Matt", "d:Description": "Humorous black and white pen illustrations, as well as color mixed media work of people and animals.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.mattcollins.com/"} +{"d:Title": "Churcher, Iris", "d:Description": "Features published and unpublished illustrations, and showcases amongst other things, pen, ink and graphite, and colored pencil works.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://members.shaw.ca/irischurcher/"} +{"d:Title": "Ciardiello, Joe", "d:Description": "Illustration for magazines, books, advertising, and record companies. Specialty is pen and ink and watercolor portraits and caricatures.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://joeciardiello.com/"} +{"d:Title": "Cassano, Rose", "d:Description": "Business oriented illustration, including logos, charts and diagrams, lettering, and Web art. Stock images are also available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.rosecassano.com/"} +{"d:Title": "Cocotos, Tom Nick", "d:Description": "Samples of mixed media and collage illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://cocotos.com/"} +{"d:Title": "Cairns, Brian", "d:Description": "Brightly colored symbolic and conceptual illustrations, created using traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.briancairns.com/"} +{"d:Title": "Cali, Joseph", "d:Description": "Illustration inspired by Japanese art, everyday life scenes and animals.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www2.gol.com/users/jcali/"} +{"d:Title": "Chapman, Chris", "d:Description": "Realistic illustrations in variety of media, including acrylics, coloured pencils, gouache and graphite pencil.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.chrischapmanart.com/"} +{"d:Title": "Cobb, Andrea", "d:Description": "Colorful and stylized traditional media illustrations of a wide variety of subject matters.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.andreacobb.com/"} +{"d:Title": "Cotton, Alice", "d:Description": "Architectural artist specializing in detailed pen and ink illustrations of homes and businesses. Also features color enhanced works.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.artemisillustration.com/"} +{"d:Title": "Cremeans, Andrew", "d:Description": "Whimsical and studied digital and traditional media illustrations in a wide variety of subject matters.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.andrewcremeans.com/"} +{"d:Title": "Cummings, Troy", "d:Description": "Editorial, educational and children's illustrator. Includes illustration, graphic design and interactive portfolios plus a list of clients.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.trox5.com/"} +{"d:Title": "Caban, Jennifer", "d:Description": "Gallery of black and white works. Also a travel journal, news, and links.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.mollycrabapple.com/"} +{"d:Title": "Cleem", "d:Description": "Freelance illustrator from the Netherlands, specializing in mixed-media works, animation, graphic design and web design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.cleem.nl/"} +{"d:Title": "Chodos-Irvine, Margaret", "d:Description": "Specializing in linocut illustrations for children's books. Gallery of her work, list of books, and available prints.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.chodos-irvine.com/"} +{"d:Title": "Chien, Catia", "d:Description": "Work includes editorial pieces and children's books. Gallery, list of books, and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.catiachien.com/"} +{"d:Title": "Cocca-Leffler, Maryann", "d:Description": "Author and illustrator of children's books. Biography, portfolio, list of books, and information on school visits.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.maryanncoccaleffler.com/"} +{"d:Title": "Coleman, Sarah", "d:Description": "Contemporary illustration, hand-lettering and modern calligraphy for advertising, publishing and editorials.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.inkymole.com/"} +{"d:Title": "Caron, Mona", "d:Description": "Freelance illustrator: watercolor, blockprints, lithographs, mural art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.monacaron.com/"} +{"d:Title": "Cojo", "d:Description": "Illustrator portfolio, oriented to hip-hop, Gen-X, ravers style.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/C", "url": "http://www.artsucks.com/cojoart/"} +{"d:Title": "Dey, Lorraine", "d:Description": "Illustration, and graphic design for print or the web. Icons, humorous or realistic styles. Stock or assignment.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.deystudio.com/"} +{"d:Title": "Danielson, Ted", "d:Description": "Freelance illustrator's portfolio.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://gallery.passion4art.com/members/creativeillustrations/Home.html"} +{"d:Title": "Dillard, Sarah", "d:Description": "Fruit and vegetable, vista, and children's illustrations, executed in gouache and watercolor.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.sarahdillard.com/"} +{"d:Title": "Dumville, Fritz", "d:Description": "Samples of watercolor and collage illustrations, client list, and short biography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.fritzdumville.com/"} +{"d:Title": "Dumais Design", "d:Description": "Illustration and comics graphics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.raisinlove.com/"} +{"d:Title": "Day, Sam", "d:Description": "Illustrations for advertising, editorials, corporate clients, Web sites, caricatures, and storyboards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.samday.com/"} +{"d:Title": "Dicke, Matt", "d:Description": "Conceptual and sequential illustration created in mixed media and monotype.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.mattdicke.com/"} +{"d:Title": "Dykes, John S.", "d:Description": "A collection of conceptual illustrations, portraits and diner paintings. Stock illustrations available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.jsdykes.com/"} +{"d:Title": "Doolittle, Troy", "d:Description": "Specializes in producing technically accurate renderings such as product cutaways, industrial schematic drawings, and exploded view illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.topdogillustration.com/"} +{"d:Title": "Duquesnoy, Paul", "d:Description": "Vector/3D computer generated and acrylic illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.highestpeakstudios.com/"} +{"d:Title": "Dubis, Jen", "d:Description": "Illustration in a wide variety of mediums, including: watercolors, acrylics and ink washes.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://jensill.tripod.com/"} +{"d:Title": "Depczyk, Jac", "d:Description": "Extensive portfolio of editorial and conceptual illustrations executed in digital media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.jacdepczyk.com/"} +{"d:Title": "Dreampool Studio", "d:Description": "Conceptual illustrator Rick Lieder provides samples of work and client list.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.dreampool.com/"} +{"d:Title": "Douglas, Allen", "d:Description": "Figurative and portraiture focused portfolio created in oil, on paper, and on masonite.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://allendouglasstudio.com/"} +{"d:Title": "Douglass, Ali", "d:Description": "Illustration portfolio for editorial, books, advertising, lifestyle, fashion, and kids.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.alidouglass.com/"} +{"d:Title": "DesRosiers, Nancy", "d:Description": "Publishing, advertising, editorial and children's illustrations, done in pastel, pencil, and mixed media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://my.montana.net/beeinabottle/"} +{"d:Title": "Dalton, Stephanie", "d:Description": "Artist working with mixed-media collage created from photographs, found objects and archival imagery.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.daltoncowan.com/"} +{"d:Title": "Dalton, Jonathon", "d:Description": "Illustration for kids' books and magazines, portraying a wide range of emotion in a variety of scenes. Also comic book illustrations, completed and ongoing.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.jonathondalton.com/"} +{"d:Title": "d'Holbachie, Yoko", "d:Description": "The gallery and profile of an illustrator with a 3D background, who specializes in colorful monsters. Imaginative scenes painted in many vivid colors.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.dholbachie.com/"} +{"d:Title": "Dunn, Katherine", "d:Description": "Portfolio of paintings and illustrations in acrylic, pastels and inks. Subjects include nature and figurative, animal portraits and abstract.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.katherinedunn.com/"} +{"d:Title": "Dawson, Ted", "d:Description": "Official site of Ted Dawson, syndicated cartoonist and children's illustrator. Online portfolio for editors and publishers of picture books and children's magazines, plus Spooner and Wild Blue comic strips.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.teddawson.com/"} +{"d:Title": "De Seve, Peter", "d:Description": "Biography of the artist, portfolio of contemporary illustration, information on animation projects, and a list of awards he has received.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.peterdeseve.com/"} +{"d:Title": "Dempsey, Larry", "d:Description": "Features renderings of celebrities, movie characters, and various portrayals of nude women, in media ranging from ink and pencil to watercolor. Includes illustrator's biography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.larrydempsey.com/"} +{"d:Title": "Daly, Mona", "d:Description": "A display of color and black and white vector illustrations with artist contact information.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.monadaly.com/"} +{"d:Title": "Dutkowsky, Andrew", "d:Description": "Specializing in conceptual portraiture/caricature style illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.718art.com/andrew/"} +{"d:Title": "Duddles, Bryan", "d:Description": "Cartooning, character design, conceptual rendering, digital art,line art, water media paintings, and product rendering.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/D", "url": "http://www.artbyduddles.com/"} +{"d:Title": "Euverman, Wim", "d:Description": "Gallery of fiction and non-fiction images, created with traditional media and/or computer.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.wimeuverman.nl/"} +{"d:Title": "Engle, Marc", "d:Description": "Illustration, graphic design and photography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.marc-engle.com/"} +{"d:Title": "Eleykaa", "d:Description": "Watercolor artist and commercial illustrator exhibits painted and character illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.eleykaa.com/"} +{"d:Title": "Eagle, Joseph", "d:Description": "Specializing in computer generated still and animated imaging, drawings, and cartoons for print, CDs, stage production and medical publications.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.eaglezen.com/"} +{"d:Title": "Ertl, Bernd", "d:Description": "Illustration for advertising and film. Features layouts, comps and storyboards, by this central European based artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.ausgezeichnet.com/"} +{"d:Title": "Emmerson, Chris", "d:Description": "This portfolio features technical and commercial illustration - cutaways, CD cover design, as well as conceptual product presentations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.emmerson-design.com.au/"} +{"d:Title": "Everitt-Stewart. Andy", "d:Description": "Freelance illustrator of children's books and greeting cards shows examples of his work. Based in the United Kingdom.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.everitt-stewart.com/"} +{"d:Title": "Ebata, Masako", "d:Description": "Online portfolio featuring digital illustrations for publishing and editorial use by New York based artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.ebata.com/"} +{"d:Title": "Elford, Stephen", "d:Description": "Specializes in figurative illustration, using a mix of rough pencil drawing and smooth vectors.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/E", "url": "http://www.stephenelford.com/"} +{"d:Title": "Fellman Studio", "d:Description": "Some samples of art from artist and illustrator Lynn Fellman, including web graphics, illustration, and illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.fellmanstudio.com/"} +{"d:Title": "Foster, Travis", "d:Description": "Humorous illustrations in traditional and digital media. Stock illustrations available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.travisfoster.com/"} +{"d:Title": "Findley, John", "d:Description": "Illustration in both digital and traditional media: realism, stylized realism, and fantasy, advertising and editorial.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.sweaz.com/"} +{"d:Title": "Frazier, Jim", "d:Description": "Conceptual illustrations for editorial use in a stylized thought evoking style.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.jimfrazierillustration.com/"} +{"d:Title": "Fleming, Thomas", "d:Description": "Illustrator of fantasy and other topics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.flemart.com/"} +{"d:Title": "Fay, Michael", "d:Description": "Illustration, animation, and web site icons.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.michaelfay.com/"} +{"d:Title": "Fathers, David", "d:Description": "Oil paint, pixel, pencil, animals, food. Illustration for design, print, children's books.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.joemoon.co.uk/"} +{"d:Title": "Feather Wolf", "d:Description": "Freelance graphic imaging and web designer.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.featherwolf.com/"} +{"d:Title": "Fuchs, Thomas", "d:Description": "Conceptual illustration and portraiture. Features portfolio of works.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.thomasfuchs.com/"} +{"d:Title": "Fleming, Michael", "d:Description": "A portfolio of humorous and quirky illustrations and animations, in a variety of media and styles.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.tweedlebop.com/"} +{"d:Title": "Flagg, Holley", "d:Description": "Landscape, nature, architectural, animal, and still life illustrations, done in traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.holleyflagg.com/"} +{"d:Title": "Ford, Gilbert", "d:Description": "Expressive conceptual/spot illustrations in traditional media for editorial use. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.gilbertford.com/"} +{"d:Title": "Fenneman, Puk", "d:Description": "Features cartoon-like digital media illustrations and character design examples.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.coolpuk.com/"} +{"d:Title": "Fox-Szauter, Meri C.", "d:Description": "Online illustration gallery featuring themes of emotion, elementals, landscape, and fairy tales in pen/ink and colored pencil.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.wallofthorns.com/"} +{"d:Title": "Flameboy", "d:Description": "Portfolio of recent storyboard and illustration work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://www.flameboy.co.uk/"} +{"d:Title": "Foreman, David", "d:Description": "Contemporary, cartoon-like illustrations in digital media, featuring portraits, scenes and objects.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/F", "url": "http://mightyisland.co.uk/"} +{"d:Title": "Gaydos, Michael", "d:Description": "Illustration, painting, sequential art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.michaelgaydos.com/"} +{"d:Title": "Garrigan", "d:Description": "Traditional hand drawn and 3D Illustration work done for magazines, ad agencies, cd-roms and websites.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.garrigan.net/"} +{"d:Title": "Griak, Charlie", "d:Description": "Storyboards, illustrations and graphic design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.charliegriak.com/"} +{"d:Title": "Goodman, Johanna", "d:Description": "Illustrator specializing in celebrity portraits.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.johannagoodman.com/"} +{"d:Title": "Gifford, David", "d:Description": "Illustration, image design, scientific and medical illustrator.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.davidgifford.co.uk/"} +{"d:Title": "Gemanson, Donnain", "d:Description": "Working with traditional media to produce warm and gentle artwork for books, editorials, and advertising.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.donnaingemanson.com/"} +{"d:Title": "Gofreed, David", "d:Description": "Online portfolio of pen and ink, acrylic, linoleum cut prints and gouache illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://dgofreed.tripod.com/"} +{"d:Title": "Geoffroi, Remie", "d:Description": "Paintings, scratchboard, pencil, and vector/raster computer generated illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.remgeo.com/"} +{"d:Title": "Gorman, Mike", "d:Description": "Exhibiting traditional and digital illustration and comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.mikegorman.com/"} +{"d:Title": "Gunnarsson, David", "d:Description": "Gallery of painted hockey goalie masks. In English and Swedish.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.daveart.com/"} +{"d:Title": "Gil, Ramon", "d:Description": "Showcasing iconographic advertising, publication, and logo illustration, done in digital media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.ramongil.com/"} +{"d:Title": "Gad, Victor", "d:Description": "Illustrator specializing in computer/information/technology subjects.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.victorgad.com/"} +{"d:Title": "Garner-Mitchell, Mary", "d:Description": "Traditional illustration, and logo and icon design for print and electronic media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.garnermitchell.com/"} +{"d:Title": "Gibbs, Michael", "d:Description": "Symbolic illustrations, executed in traditional media. Stock art is available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.michaelgibbs.com/"} +{"d:Title": "Geronimo, Josephine", "d:Description": "Traditional and digital media storyboard illustrator, based in Vancouver, Canada.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www3.telus.net/geronimo/storyboards.htm"} +{"d:Title": "Gordon, Mike", "d:Description": "Humorous illustrations for various books and greeting cards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.gordonillustration.com/"} +{"d:Title": "Gerasev, Alex", "d:Description": "Russian artist featuring his imaginative and dreamy traditional media illustrations, and painting.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.alexgerasev.com/"} +{"d:Title": "Goldberg, Richard A.", "d:Description": "Features work for the corporate, editorial, and advertising markets. Eclectic collection of traditional media illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.ragmedia.com/"} +{"d:Title": "Garlick, Mark A.", "d:Description": "Freelance illustrator and writer specializing in science fiction and popular astronomy.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.markgarlick.com/"} +{"d:Title": "Gustafson, Glenn", "d:Description": "Showcasing digital automotive, mechanical, and advertising illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.glenngustafson.com/"} +{"d:Title": "Grinly, Gris - Mad Creator Productions", "d:Description": "Illustrations with a horror slant. Portfolio of his work, online shop, and a list of his influences.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.madcreator.com/"} +{"d:Title": "Geyer, Niko", "d:Description": "Offers children\u2019s book style drawings, illustrated covers, comic books, and concept art production.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/G", "url": "http://www.nikogeyer.com/"} +{"d:Title": "Hickson, Bob Lee", "d:Description": "Northern California based illustrator's portfolio. Detailed airbrush style of artwork.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.boblee.com/"} +{"d:Title": "Hernandez, German", "d:Description": "Online illustration art portfolio. Titled \"Herman is not dead.\" [Requires Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.hermanisnotdead.com/"} +{"d:Title": "Hill, Michael A.", "d:Description": "British illustrator of maps, gardens, architecture and other subjects.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.michaelahill.com/"} +{"d:Title": "Hunt, Robert", "d:Description": "Online portfolio of work for illustration for advertising, editorial and publishing clients.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.roberthuntstudio.com/"} +{"d:Title": "Herman, Susan", "d:Description": "Portfolio and figurative art from artist and illustrator specializing in corporate and children's illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.olypen.com/herman/"} +{"d:Title": "Hines, R.", "d:Description": "Digital illustration for the Web and print.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.rhines.com/"} +{"d:Title": "Hohnstadt, Cedric", "d:Description": "Work includes editorial, advertising, educational, children's books, and Christian ministries.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://cedricstudio.com/"} +{"d:Title": "Hitch, David", "d:Description": "Stylized digital, editorial and spot illustrations spanning a wide array of subject matters.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.davidhitch.com/"} +{"d:Title": "Harris, Ellen", "d:Description": "Editorial and user interface art for print, software, and Web sites, as well as custom icons. Watercolour and pen style to give painterly feel.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.ellen-harris.com/"} +{"d:Title": "Harms, Dennis", "d:Description": "Digital illustrations, stock artwork and logos, covering topics from cutting edge technologies to old world standards. Vector images rendered in either gradient or textured styles.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.dharms.com/"} +{"d:Title": "Haight, Sandy", "d:Description": "Specializing in watercolor and line art illustrations with a calligraphic outline style.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.sandyhaight.com/"} +{"d:Title": "Hoey, Peter", "d:Description": "Digital illustrations and animations for magazines, Web sites, newspapers, advertising agencies, and design studios.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.peterhoey.com/"} +{"d:Title": "Hoeffner, Deb", "d:Description": "Working in traditional media, and specializing in people and animals. Previous work includes editorials, product advertising, portraits, and children's books.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.debhoeffner.com/"} +{"d:Title": "Hunt, Rod", "d:Description": "The portfolio features quirky vector illustrations as well as examples of the artist's model making work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.rodhunt.com/"} +{"d:Title": "Herring, Michael", "d:Description": "Includes humorous, editorial and graphic illustration, as well as print and web design samples.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.michaelherring.net/"} +{"d:Title": "Hunter, Llyn", "d:Description": "Science fiction and fantasy art, interactive animation, story boards and toy design. [Requires Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.llynsplace.com/"} +{"d:Title": "Hinegardner, Heath", "d:Description": "Design and illustration work of Heath Hinegardner: Delivering visual design to a wide range of Fortune 500 companies, as well as editorial illustration for international publications like The Wall Street Journal and the New York Times.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.trustheath.com/"} +{"d:Title": "Heise, Warren", "d:Description": "Freelance illustrator. Features vector digital art, pen and ink drawings, and collage.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.warrenheise.com/"} +{"d:Title": "Hoyumpa, Trey", "d:Description": "Illustrator with a bright painterly style. Includes animations and graphic design. [Requires Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.treyplay.com/"} +{"d:Title": "Hibbert, Carlton", "d:Description": "UK illustrator who uses a mixture of vector and photo-manipulated digital images to create artwork.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.carltonhibbert.co.uk/"} +{"d:Title": "Haskin, John", "d:Description": "Technical illustrator with large selection of styles and applications.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.suncomm.com/"} +{"d:Title": "Hawthorne, Fiona", "d:Description": "Artist and illustrator showcases editorial, corporate, educational and individual commissions.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.fionahawthorne.com/"} +{"d:Title": "Henderson, McDavid", "d:Description": "Mixed media illustrations covering topics such as music, sport and current affairs.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.mcdavidhenderson.com/"} +{"d:Title": "Hussinger, Michael", "d:Description": "Includes a variety of digital and traditional illustrations as well as samples of animation.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.electric-zombie.com/"} +{"d:Title": "Howard, John H", "d:Description": "Specializing in editorial, corporate and advertising artwork. Styles range from realistic figurative to layered, edgy with blocks of color and line drawing overlays. Works in traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://johnhhoward.com/"} +{"d:Title": "Hutchison, Mary", "d:Description": "Digital montage for publishing and editorial use. The portfolio also features icons and interactive designs.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.tangerineblue.com/"} +{"d:Title": "Hazell, Phill", "d:Description": "Collection of artwork in a variety of media which is primarily motorsport based.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.worldofcreation.co.uk/"} +{"d:Title": "Huebner, Mark", "d:Description": "Lively editorial and advertising illustration using digital and traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www3.sympatico.ca/mark.huebner/"} +{"d:Title": "Hirschfeld, Matt", "d:Description": "Creates caricatures that incorporate various elements in style of Al Hirschfeld. The portfolios are purely digital vector.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.matthirschfeld.com/"} +{"d:Title": "Halpin, Abigail", "d:Description": "Freelance illustrator with a background in print design. Information on the artist and a gallery of work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.theodesign.com/"} +{"d:Title": "Hanmer, Clayton", "d:Description": "Toronto-based illustrator, designer, and visual artist. Portfolio, biography, and a list of clients.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.claytonhanmer.com/"} +{"d:Title": "Hewgill, Jody", "d:Description": "Colorful and painterly portrait, editorial and institutional work. Includes a list of clients and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.jodyhewgill.com/"} +{"d:Title": "Howell, Kirsti", "d:Description": "Portfolio consisting of children's book illustrations, portraits and concept art to macabre humor artwork in natural media as well as digital.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://ravenfrog.kh.tripod.com/"} +{"d:Title": "Harmer, Sharon", "d:Description": "Mixed media and digital illustration in a variety of fields, including children's books, editorial and greetings cards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.moo-art.co.uk/"} +{"d:Title": "Harud, Oliver", "d:Description": "Illustrator based in London, England, features advertising storyboards, editorial illustrations and character designs.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.oliverharud.com/"} +{"d:Title": "Hull, Cathy", "d:Description": "Conceptual illustrations for editorial, advertising and corporate uses. The portfolio features mainly digital works, mixing different techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.cathyhull.com/"} +{"d:Title": "Holland, Josh", "d:Description": "Illustrator specializing in concept art, character design, and storyboards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.josholland.com/"} +{"d:Title": "Hathway, Chris", "d:Description": "Storyboard and conceptual illustration and paintings.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.chrishathway.co.uk/"} +{"d:Title": "Hare, Antony", "d:Description": "Illustrator/Art Director with special interest in portraiture and typography. Based in London, Ontario, Canada.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.siteway.com/"} +{"d:Title": "Heras, Stephen de las", "d:Description": "New York digital artist. Styles include theater poster art, pop art, and photography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://stephendelas.com/"} +{"d:Title": "Hatke, Ben", "d:Description": "Illustration in a variety of styles for books and comics", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://www.benhatke.com/"} +{"d:Title": "Hamlin, Janet", "d:Description": "Painterly style portfolio containing examples of colour and black and white artwork. Mainly figurative and portraiture pieces.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/H", "url": "http://cargocollective.com/hamlinillustration/"} +{"d:Title": "Jordan, Federico", "d:Description": "Mexican artist. Practice spans a broad range of media: drawing, painting, photography, printmaking, sculpture and editorial illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.fjordan.com/"} +{"d:Title": "Jessell, Tim", "d:Description": "Pastel and mixed media editorial, advertising, and book illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.timjessell.com/"} +{"d:Title": "Johnson, Sherri", "d:Description": "Stylized editorial and advertising illustrations, created using digital techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.enigmagraphics.com/"} +{"d:Title": "Julian, David", "d:Description": "Illustrator, photographer, and mixed media digital artist. Stock images are also available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.davidjulian.com/"} +{"d:Title": "Jameson, Sarah", "d:Description": "Pen and scratchboard drawings, and watercolors of English rural landscapes. Includes portfolios and contact details.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.inkandpen.co.uk/"} +{"d:Title": "Jemmy", "d:Description": "Book and magazine line art illustration, storyboards, web and logo design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.jemmys.nl/"} +{"d:Title": "Jay Montgomery Illustration", "d:Description": "Atlanta, USA. Offers original stock art images and commissioned works. Includes biography, client list, portfolios, stock collection, case study, estimate request form, prints for sale, and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.jaymontgomery.com/"} +{"d:Title": "Johnson, Richard", "d:Description": "Showcasing commissioned illustrations and publications. Includes children's pictures, books, magazine, packaging and advertisement work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.richardjohnsonillustration.co.uk/"} +{"d:Title": "James, Brian", "d:Description": "Features Art Deco style illustration, and realistic images in airbrush, acrylic and gouache.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://brianjames.biz/"} +{"d:Title": "Johnson, Amy Leigh", "d:Description": "Traditional and digital illustrator specializing in whimsical children's publishing illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://www.amyleighjohnson.com/"} +{"d:Title": "Julien, Terry", "d:Description": "Cartoon, realistic, and conceptual illustrations, done in watercolor and pastel.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://juliendesignstudio.com/"} +{"d:Title": "Julier, Bruno", "d:Description": "Illustrations, drawings, sketches, storyboards, 3-dimensional cartoons, music scores and simple C++ programs.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/J", "url": "http://brunierbrunojulier.pagesperso-orange.fr/"} +{"d:Title": "Kuhn, Stephan", "d:Description": "Portfolio covering advertising, design and publishing samples created in digital media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.stephan-kuhn.ch/"} +{"d:Title": "Koston, Andrzej", "d:Description": "German illustrator, includes digital art, fine art painting, photography, web design, and cartoons.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://a-koston.tripod.com/"} +{"d:Title": "Kimber, Murray", "d:Description": "Portfolio of illustration for advertising and corporate communication. Also includes fine art section and children's illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://murraykimber.com/"} +{"d:Title": "Kernick, Sean", "d:Description": "Freelance illustrator showcases fantasy, children's book, and carictural illustration, as well as Flash animation, and web design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.seankernick.com/"} +{"d:Title": "Kirillova, Galina", "d:Description": "Gallery of pen and ink art by a poetry book illustrator.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://photophilia.net/shergal/gala/"} +{"d:Title": "Kohnke, Jennifer M.", "d:Description": "Whimsical cartoons and caricatures suitable for children's books, editorial art, and computer technology publications.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.jenniferart.com/"} +{"d:Title": "Kormann, Denis", "d:Description": "Illustrations for magazines, books, and advertising by the Swiss artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.deniskormann.com/"} +{"d:Title": "Kitzmuller, Christian", "d:Description": "Realistic and caricature illustration in traditional media. In English, German, and Italian.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.kitzmueller.ch/"} +{"d:Title": "Kelliher, Ralph", "d:Description": "Combines digitally created elements and photography to produce illustrations for print and electronic media. Stock images are available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.ralphkelliher.com/"} +{"d:Title": "Kane, Sean", "d:Description": "Acrylic and line-art illustrations for publishing, corporate, Web and advertising projects. Stock art available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.seankane.com/"} +{"d:Title": "Koen, Victor", "d:Description": "Uses mixed media, photography, and digital montage to create editorial, book, CD and album cover illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.viktorkoen.com/"} +{"d:Title": "Kundas, Leo", "d:Description": "Works in traditional and digital media to produce conceptual, stylized illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.annaleo.com/"} +{"d:Title": "Kassler, Liz", "d:Description": "Illustration for children's books, toys, games, home decor, multi-cultural and ethnic children's character development, anatomically correct cartoon animals, 3 dimensional airbrush style.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.lizkassler.com/"} +{"d:Title": "Keegan, Chris", "d:Description": "Mixed-media illustration, photography, and fonts.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.chriskeegan.co.uk/"} +{"d:Title": "Klein, Michael", "d:Description": "Conceptual illustrations for editorial and institutional needs.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.michaelkleinstudio.com/"} +{"d:Title": "Kalis, Jennifer", "d:Description": "Whimsical and lighthearted editorial illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.kalisillustration.com/"} +{"d:Title": "Kanner, Catherine", "d:Description": "Advertising and editorial illustration in watercolor, ink, scratchboard as well as digital media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.kannerbook.com/"} +{"d:Title": "Kungl, Michael L.", "d:Description": "Art Deco style illustrations. Also includes fine art section with limited edition Giclee prints.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.mkungl.com/"} +{"d:Title": "King, Fiona G.", "d:Description": "Freelance illustrator specializing in colorized scratchboard images.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.fionart.com/"} +{"d:Title": "Kotecki, Jason", "d:Description": "Specializing in portrait and advertising illustration, and comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.jbirdink.com/"} +{"d:Title": "Kato, Aya", "d:Description": "Detailed illustrations of people and scenery by Japanese artist. Traditional oriental treatment of subject matter, but with a contemporary feel.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.geocities.jp/b_ba_a0530/"} +{"d:Title": "Krall, Dan", "d:Description": "Gallery of his illustrations in colour as well as black and white. Dynamic, and stylized character work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.dankrall.com/"} +{"d:Title": "Kennison, Clarence", "d:Description": "Fantasy artist specializing in portrait art. In graphite and coloured pencil.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.angelfire.com/wv2/xcfk/"} +{"d:Title": "Kohn, Marc", "d:Description": "Portfolio showing ink, and coloured ink illustrations, storyboards and the artist's animation work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://marckohn.com/"} +{"d:Title": "Kline, Penelope", "d:Description": "Features naive natural media illustrations, gallery work and the artist's on-line journal.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.penelopeillustration.com/"} +{"d:Title": "Katz, Avi", "d:Description": "Illustrations, editorial and book; paintings, cartoons and animation, in digital and traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.avikatz.net/"} +{"d:Title": "Kalicanin, Radomir", "d:Description": "Exhibiting traditional and digital illustrations, and comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://rk-line.tripod.com/"} +{"d:Title": "Kenyon, Matt", "d:Description": "Online portfolio of work in various media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://www.mattkenyon.co.uk/"} +{"d:Title": "Kiesche, Paul", "d:Description": "Science fiction, fantasy, and fiction acrylic book illustration, and digital advertising work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://paulkieschedesign.com/"} +{"d:Title": "Koopman, Caia", "d:Description": "Commercial and editorial illustration, the portfolio also includes examples of the artist's acrylic paintings.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/K", "url": "http://caiakoopman.com/"} +{"d:Title": "Lindamood, David", "d:Description": "Commercial illustration and fine art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.dlindamood.com/"} +{"d:Title": "Lembrechts, Peter", "d:Description": "Illustrations in 2/3D, web design, and paintings in acrylics on canvas. In English and Dutch.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.lembrechtsart.be/"} +{"d:Title": "Leech, Kent", "d:Description": "Technical, realistic, automotive, mechanical, medical, scientific and product illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.kentleech.com/"} +{"d:Title": "Levine, Melinda", "d:Description": "Humorous cut-paper illustration for children's books, editorial, advertising, packaging, greeting cards,and posters. Stock images available on disk.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://icutpaper.com/"} +{"d:Title": "Luckwitz, Matthew", "d:Description": "Specializing in computer generated scientific and product illustration. Also includes web design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.grafport.com/"} +{"d:Title": "Leister, Brian", "d:Description": "Iconographic digitally produced illustrations for editorials and advertising. Stock images are also available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.bryanleister.com/"} +{"d:Title": "Ledger, Bill", "d:Description": "Humorous digital advertising animations, greeting cards, children's book illustrations, sketch book, and an alien arcade game. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.billledger.com/"} +{"d:Title": "Lahm, R. A.", "d:Description": "Examples of illustrations in a variety mediums, including acrylic, egg tempera, watercolor, and graphite.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.francomm.com/home/ralahm/illustra2.htm"} +{"d:Title": "Lopez, Adolfo", "d:Description": "Children's, editorial, cartoon, and poster illustrations, done in painting and pencil.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://fresno.pntic.mec.es/~jlof0004/"} +{"d:Title": "Leibowitz, David", "d:Description": "Digitally rendered editorial, corporate, fashion, and product illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.leibo.net/illustration/"} +{"d:Title": "Levin, Keith", "d:Description": "Specializing in contemporary and cartoon style illustrations for advertising, product, and package design. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.keithdraw.com/"} +{"d:Title": "Liu, David", "d:Description": "Digital collage, pen and ink film and game storyboard illustration, and oil on canvas portrait, landscape, and animal paintings.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.davyliu.com/"} +{"d:Title": "Lyons, Steven", "d:Description": "Colorful computer generated illustrations for editorial, corporate, and advertising purposes. Stock images are also available. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.stevenlyons.com/"} +{"d:Title": "Lotenero, Michael", "d:Description": "Highly stylistic, bold digital illustrations, packaging, and advertising designs. Also includes a fine art gallery, news and biography. [Flash Required]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.lotenero.com/"} +{"d:Title": "Lacroix, Pierre", "d:Description": "Detailed science fiction and fantasy illustration produced in a black and white stipple technique.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://lacroixplane.tripod.com/"} +{"d:Title": "Lee, Anais", "d:Description": "Colored pencil portraits, flowers and still lifes, by this artist born in Taiwan.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://anaislee.com/"} +{"d:Title": "Lavoie, Lisa", "d:Description": "Humorous and colorful freelance illustration for editorial and children's media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.lisalavoie.com/"} +{"d:Title": "Leclair, Margo Davies", "d:Description": "Detailed vector and mixed-media illustrations targeted towards marketing and educational uses.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.visualsense.ca/"} +{"d:Title": "Lorenz, Albert", "d:Description": "Highly detailed children's book and educational illustrations, done in pen and ink with added color.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/L", "url": "http://www.albertlorenzstudio.com/"} +{"d:Title": "Mayers, Jason", "d:Description": "Illustration,Animation, Web design. Producing Digital images for use in advertising and editorial print and electronic", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.jasonmayer.com/"} +{"d:Title": "Mulherin, Erica", "d:Description": "Features bright, whimsical and cheerful illustrations by this Southern California based artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.ericamulherin.com/"} +{"d:Title": "Magiera, Rob", "d:Description": "High end silicon graphics based digital studio specializing in 3-D illustration for print, web design and animation.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.studionoumena.com/"} +{"d:Title": "Murphy, Shawn T.", "d:Description": "Digital illustrations for video games, sci-fi, fantasy and PC games.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://murphyillustrates.com/"} +{"d:Title": "Morrison, Phillip", "d:Description": "Illustration portfolio, showing work produced for advertising, publishing and editorial.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.phillipmorrison.com/"} +{"d:Title": "Motzkus, Roger", "d:Description": "Illustration in a realistically rendered style for advertising, book covers, video games, toy packaging, entertainment, posters and editorial.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.motzkusart.com/"} +{"d:Title": "Mcleod, Kagan", "d:Description": "Toronto-based illustrator focusing on digital and traditional media portrait and caricature art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.kaganmcleod.com/"} +{"d:Title": "Miller, Judy", "d:Description": "Digital photomontages with job descriptions, biography, and stock images.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.judymiller.com/"} +{"d:Title": "Miller, Rob", "d:Description": "Vividly colored, Pop-art style digital illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.millervisuals.com/"} +{"d:Title": "Medaris, S.V.", "d:Description": "Editorial, technical, fine art and commercial illustration using digital to traditional mediums for print or the web.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.svmedaris.com/"} +{"d:Title": "McNeil, Paul", "d:Description": "Shirt, album, poster, and surfboard illustration specialist. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.paulmcneil.com/"} +{"d:Title": "Manoli, Georgios", "d:Description": "Conceptual illustrator focusing on commercial paintings for various areas of the industry.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.manoli.co.uk/"} +{"d:Title": "Marxer, David", "d:Description": "Free-lance illustrator's portfolio in graphite, pen and ink, colored pencil, airbrush, and pastel.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://davidmarxer.tripod.com/Home.htm"} +{"d:Title": "Magee, Melanie", "d:Description": "Fine art and editorial illustrations in watercolors, acrylic, colored pencils, and pastels.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.angelfire.com/80s/werethebest/"} +{"d:Title": "Mably, Greg", "d:Description": "Collection of stylized 2D digital illustrations and flash graphics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.gregmably.com/"} +{"d:Title": "Morrison, Jim", "d:Description": "Freelance artist specializing in detailed illustration, web design and corporate identity graphics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.morrisonarts.com/"} +{"d:Title": "Martini, Angela", "d:Description": "Specializing in digital and traditional media illustration of teen issues, fun girl stuff, and caricatures.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://angelamartini.com/"} +{"d:Title": "Moore, Nikki", "d:Description": "UK based artist, specializing in animal, equestrian, and wildlife illustration and cartoons.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.pigstystudio.co.uk/"} +{"d:Title": "Martinson, Lars", "d:Description": "Stylized vector illustration and design examples by this Minneapolis area artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.larsmartinson.com/"} +{"d:Title": "Mack, Brad", "d:Description": "New York illustrator, animatior and graphic designer for the print and web media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.bradmack.com/"} +{"d:Title": "McGregor, Ken", "d:Description": "Professional illustration, photography, and design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.artarmory.com/"} +{"d:Title": "Marquardt, Jonnie", "d:Description": "Digital and traditional illustrations in a variety of styles, for print and Web.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.badjonnie.com/"} +{"d:Title": "Maceren, Jude", "d:Description": "Conceptual digital business illustrations. Stock images are also available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.judemaceren.com/"} +{"d:Title": "Masuda, Coco", "d:Description": "Colorful airbrush and painted illustrations for posters, advertising, book, and magazine covers. Also, stock images for sale.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.cocomasuda.com/"} +{"d:Title": "McConnell, Mike", "d:Description": "Color and b/w pen and ink line-art and painting illustrations for print and interactive media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.wetinc.com/"} +{"d:Title": "McCauley, Adam", "d:Description": "Colorful illustrations for use in advertising, editorials, music, book, and magazine covers.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.adammccauley.com/"} +{"d:Title": "Meganck, Robert", "d:Description": "Traditional and digital stylized portrait illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.meganck.com/"} +{"d:Title": "Mirocha, Paul", "d:Description": "Works in traditional pencil and paint, as well as digital 2/3D media, to create illustrations of animals, plants, landscapes, people and maps.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.paulmirocha.com/"} +{"d:Title": "Mjolsness, Jane", "d:Description": "Illustrations created using ink, pastel and other traditional media. Work is categorized into a number of galleries.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.janemjolsness.com/"} +{"d:Title": "Mueller, Eric", "d:Description": "Colorful digitally produced technology and business illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.ericmueller.com/"} +{"d:Title": "Marcone, Vicent", "d:Description": "Mixed media and digital humorously spooky illustrations.[Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.mypetskeleton.com/"} +{"d:Title": "Menz, Brian F.", "d:Description": "Illustrations focusing on character development, also includes animated examples of the artist's work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.angelfire.com/art2/brianmenz/1.htm"} +{"d:Title": "Matus, Anoushka", "d:Description": "A small collection of stylized figurative illustrations by this artist, based in Switzerland.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.anoushka.ch/"} +{"d:Title": "McGee, Warner", "d:Description": "Illustrations of a variety of subjects for children's books, social expressions and advertising.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.warnermcgee.com/"} +{"d:Title": "May, Stephen", "d:Description": "Stylized and traditional illustrations in water media and digital.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.stephenmaygraphics.co.uk/"} +{"d:Title": "Malstrom, Daniel", "d:Description": "Artist working with caricature, watercolour and digital illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://home.cogeco.ca/~dmalstrom1/"} +{"d:Title": "Mullen, Douglas", "d:Description": "Mixed media photography/collage/digital contemporary illustrations. Also includes design and photography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.d-10.net/"} +{"d:Title": "Moisander, Jessica", "d:Description": "Offers computer artwork, illustration and graphic design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.angelfire.com/zine/animismi/"} +{"d:Title": "Mutual, Lasha", "d:Description": "Freelance illustrator working in painting, drawing, and scratchboard media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.lashamutual.com/"} +{"d:Title": "Munro, Moira", "d:Description": "Expressive and wry cartoons in colour and, black and white, by this Scottish natural media illustrator and children's book author.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.moiramunro.com/"} +{"d:Title": "Muramatsu, Hizuru", "d:Description": "Watercolor and colored pencil drawings with Japanese old-fashioned atmosphere.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://feyehiz.saltious.net/top_e.html"} +{"d:Title": "Meachem, Lester", "d:Description": "Computer and traditional media illustration specialist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://pers-www.wlv.ac.uk/~in4276/"} +{"d:Title": "Marsh, Tom", "d:Description": "Stylized natural-media illustrations featuring architecture, people and interiors.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.tommarsh.co.uk/"} +{"d:Title": "MacDicken, Nathan", "d:Description": "Cartoons, storyboards/pre-production, logo/icon development, spot and storybook illustration. Digital and traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://users.erols.com/smartguy/"} +{"d:Title": "Milne, Brandi", "d:Description": "Her style combines art nouveau styles with anime. Portfolio of her work and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.brandimilne.com/"} +{"d:Title": "Melinsky, Clare", "d:Description": "This British illustrator produces lino-cuts in colour and black and white in the style of traditional woodcuts. Greeting cards, watercolours and prints for sale.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.claremelinsky.co.uk/"} +{"d:Title": "MacLelland, Keith", "d:Description": "Collection of traditional illustrations and Flash animations. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.keithmaclelland.com/"} +{"d:Title": "McClinchey, Karen", "d:Description": "The artist and designer produces illustrations in digital media. Also includes examples of web and motion design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://www.karenmcclinchey.com/"} +{"d:Title": "Mister Reusch", "d:Description": "Traditional media portfolio of ghouls and girls for editorial and product design uses.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "https://mark-reusch.squarespace.com/"} +{"d:Title": "Marks, Terry", "d:Description": "New York City artist exhibits drawing and painting illustrations. Also includes dress-up dolls.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/M", "url": "http://terrymarks.net/"} +{"d:Title": "The Looney Bin", "d:Description": "Humorous creative services, cartooning, graphic design, illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.thelooneybin.com/"} +{"d:Title": "Theispot Showcase", "d:Description": "On-line illustrator directory, and stock illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.theispot.com/"} +{"d:Title": "Sloan&Gerard Enterprises", "d:Description": "Book illustrations and color photography portfolios.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.sloangerard.com/"} +{"d:Title": "Lola and Bek", "d:Description": "Lola Kamalova and Bek Shakirov, specializing in conceptual, dimensional, and photographic illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.lolabek.com/"} +{"d:Title": "Sotelo Studio", "d:Description": "Illustration and fine art portfolios of Tucson, Arizona, based artists Mano and Carolyn Sotelo.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.sotelostudio.com/"} +{"d:Title": "Folioplanet.com: Illustration Directory", "d:Description": "Illustration web site links, including editorial, book, advertising, and corporate illustrators.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.folioplanet.com/Illustration/"} +{"d:Title": "Patton Brothers", "d:Description": "Traditional and digital illustrations, including assignments that range from editorials to packages, book covers, business, and annual report graphics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.pattonbros.com/"} +{"d:Title": "Beaudaniels.com", "d:Description": "Technical and product illustration in a variety of styles by Beau and Alan Daniels.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.beaudaniels.com/"} +{"d:Title": "PictureDance", "d:Description": "Dave Joly and Annie Gusman create playful illustration and animation for magazines, advertising, multimedia, and children's books.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.picturedance.com/"} +{"d:Title": "South West Illustrators (UK)", "d:Description": "Diverse group of 22 professional illustrators working in all categories and media, based loosely around the South West of England.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.swillustrators.co.uk/"} +{"d:Title": "Santillan Galleries", "d:Description": "Painterly illustrations in oil, acrylics and mixed media as well as portrait photography, by Mariano and Rachael Santillan.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.santillan.cc/"} +{"d:Title": "John&Wendy - Illustrators", "d:Description": "Illustration duo presents their portfolio of works. Also features promotional pieces and stock art.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://johnandwendy.com/"} +{"d:Title": "Anna and Elena Balbusso", "d:Description": "Two freelance illustrators focusing on works for publishing and advertising, in a painterly style.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.balbusso.com/"} +{"d:Title": "Advocate Art", "d:Description": "Portfolios of artists and illustrators creating works for book publishers, design and advertising agencies.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.advocate-art.com/"} +{"d:Title": "Directory of Illustration", "d:Description": "This searchable site contains images from professional illustrators advertising in the \"Directory of Illustration Source Book\", published by Serbin Communications, Inc.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.directoryofillustration.com/"} +{"d:Title": "Studio Zocolo", "d:Description": "Two illustrators specializing in stylized conceptual illustrations. Also includes examples of children's book work, original paintings, limited edition prints.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.zocoloarchive.com/stock.asp"} +{"d:Title": "Salzman International", "d:Description": "Online portfolios of nationally recognized illustrators working in advertising, publishing and corporate communications, for art directors and graphic designers.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Multiple_Artists", "url": "http://www.salzmanart.com/"} +{"d:Title": "Normandin, Luc", "d:Description": "Realistic illustrations, traditional and digital. Nature, food and people are amongst the subjects covered. In English and French.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.lucnormandinillustration.com/"} +{"d:Title": "Natal, William", "d:Description": "Fantasy illustrations, animal illustrations, caricatures, life studies, and other types of commercial art in various media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.renaissanceink.com/"} +{"d:Title": "Nielsen, Priscilla", "d:Description": "Naive and collage-like, traditional media editorial, advertising and book illustration by this artist based in Australia.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.priscillaillustration.com/"} +{"d:Title": "Niloufer", "d:Description": "Specializing in pencil and digital portrait illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://niloufer.com/"} +{"d:Title": "Nher, Ray", "d:Description": "Illustration for editorial, advertising, and corporate identity.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.ducksdesign.de/"} +{"d:Title": "Newman, Leslie", "d:Description": "Specializing in brightly colored spot and logo digital illustrations for print and the web.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.leslienewman.net/"} +{"d:Title": "Nikosey, Tom", "d:Description": "Logos, lettering, book covers, posters, and stamps created using mixed media, traditional, and digital techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.tomnikosey.com/"} +{"d:Title": "Nishi, Dennis", "d:Description": "Digital photo montage and 3D computer generated conceptual illustrations. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.dennisnishi.com/"} +{"d:Title": "Novotny, Sue", "d:Description": "Scientific illustration, cartoons, and drawings with a focus on insects.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.suenovotny.com/"} +{"d:Title": "Nedelcu, Ovi", "d:Description": "Portfolio of character design and illustration work, weblog, and a profile of the artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.ovinedelcu.com/"} +{"d:Title": "Neubeck, Holly", "d:Description": "Realistic and conceptual pastel illustrations by New York artist dealing with a variety of subjects for print.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/N", "url": "http://www.hollyneubeck.com/"} +{"d:Title": "Oskamp, Nils", "d:Description": "Representative artwork from advertising icons to editorial illustration by this artist based in Hamburg, Germany.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://www.oskamp.de/"} +{"d:Title": "Oakley, Jacqui", "d:Description": "Freelance illustrator based in Toronto, Canada, showcases her traditional media portraits and conceptual artworks.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://www.jacquioakley.com/"} +{"d:Title": "Orvidas, Ken", "d:Description": "Stylized conceptual illustrations using paint and collage.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://www.orvidas.com/"} +{"d:Title": "Olsen, Nathan", "d:Description": "Illustrations, comics and prints. Includes portfolio, blog and shopping.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://www.nateomedia.com/"} +{"d:Title": "O'Brien, James", "d:Description": "Children's and editorial digital illustration specialist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://www.obrien-studio.com/"} +{"d:Title": "Orris, Sandra", "d:Description": "Examples of illustrations of biological subjects are shown done in pen and ink, colored pencil, watercolor and scratchboard.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://orrisart.fineartstudioonline.com/"} +{"d:Title": "Ogre, Yoko", "d:Description": "Tokyo based digital illustrator.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://yoko-ogre.com/"} +{"d:Title": "Ogle, Mary", "d:Description": "Gentle and colorful illustrations for children's books, advertising and web design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/O", "url": "http://www.maryogle.com/"} +{"d:Title": "Palmer, Dandi", "d:Description": "Features traditional illustrations, and examples of the artist's children's books.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.dandi.me.uk/"} +{"d:Title": "Pethers, Ian", "d:Description": "Illustrations of village, urban, or historical, modern architectural scenes and landscapes.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.glenrockstudio.co.uk/"} +{"d:Title": "Porfirio, Guy", "d:Description": "Featuring advertising, poster, book, and b/w illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.guyporfirio.com/"} +{"d:Title": "Pants, Led", "d:Description": "Quirky, fun, and colorful vector illustrations and animations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.ledpants.com/"} +{"d:Title": "Port, Adam", "d:Description": "Photo realistic illustrations and portrait paintings of amongst other things, NBA stars by this New York city artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.adamport.com/"} +{"d:Title": "Peterson, Christopher", "d:Description": "Berkeley, CA artist: illustration, concert posters, painting, drawing, storyboard art and rendering, Americana, limited edition prints.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.petersonland.com/"} +{"d:Title": "Pertile, Paula", "d:Description": "Illustrations done in watercolor, ink and colored pencil for amongst other things, children's books and greeting cards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.paulapertile.com/"} +{"d:Title": "Perkins, Steves", "d:Description": "Features selected works by this expressive comic book artist/illustrator, in traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.stevenperkinsart.com/"} +{"d:Title": "Podevin, Jean-Fran\u00e7ois", "d:Description": "Conceptual and literal illustrations, using computer 3D generation and traditional rendering techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.podevin.com/"} +{"d:Title": "Potts, Charlene", "d:Description": "Hand-colored, woodcut style editorial, corporate, advertising, children's, portrait, and stock illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.charlenepotts.com/"} +{"d:Title": "Punin, Nikolai", "d:Description": "Conceptual corporate vector illustrations, stock images and animations. Subjects include hi-tech, people, medical, business and industrial.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.nikolaiillustration.com/"} +{"d:Title": "Proulx, Denis", "d:Description": "Freelance cartoonist, illustrator, and graphic designer. Provides portfolio and biography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.shangrila-studio.com/"} +{"d:Title": "Provost, James", "d:Description": "Freelance technical illustrator who works with publishing, advertising and corporate clients to visually communicate complex information.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://www.jamesprovost.com/"} +{"d:Title": "Paulhamus, Jason", "d:Description": "Artwork in a variety of media and subject matter. Includes science fiction, fantasy, cartoons, fine art, and children's book illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://jasonpaulhamus.homestead.com/"} +{"d:Title": "Plasse, Ami", "d:Description": "Digital cartoon style illustrations, Shockwave/Flash animations, sketchbook, and design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/P", "url": "http://amirocks.tumblr.com/"} +{"d:Title": "Quagraine, Leslie", "d:Description": "Illustrations categorized into pencil, airbrush, pen and ink, 3 dimensional, prints, and photographs.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Q", "url": "http://www.mindartdesign.com/"} +{"d:Title": "Quakio", "d:Description": "Digital illustration for publications and t-shirts, as well as personal exhibits.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Q", "url": "http://www.quakio.it/"} +{"d:Title": "Rodier, Denis", "d:Description": "Freelance artist showing his traditional mixed media illustrations and his work with comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.rodierstudio.com/"} +{"d:Title": "Reuling, Allison", "d:Description": "Collection of images, including children, animals, women-in-hats, and Christmas.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.allisonreuling.com/"} +{"d:Title": "Ruzzier, Sergio", "d:Description": "Whimsical and odd drawing specialist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.ruzzier.com/"} +{"d:Title": "Renie", "d:Description": "Professional fashion illustrator and designer, based out of New York City.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.renie.com/"} +{"d:Title": "Ratan, Paitoon", "d:Description": "Freelance conceptual illustrator, designer, and Walt Disney special effect animator.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.paitoon.com/"} +{"d:Title": "Reischl, Jon", "d:Description": "Portfolio of illustration, fine art, web design, animation.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.shrill.com/"} +{"d:Title": "Rendon, Maria", "d:Description": "Conceptual mixed media illustrations exploring business, education, health, technology, and community issues. Also includes stock illustrations for sale.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.mariarendon.com/"} +{"d:Title": "Richterov\u00e1, Marina", "d:Description": "Czech specialist for precise portrait etching illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.gallery.cz/gallery/en/Vystava/1999_01/"} +{"d:Title": "Roumieu, Graham", "d:Description": "Freelance illustrator showing his illustrations created in ink and watercolour.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.roumieu.com/"} +{"d:Title": "Rickard, Tim", "d:Description": "Traditional and digital caricature, business, editorial, and humorous illustrations. Also includes comic strips, and print layout design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://home.infinet.mindspring.com/~rickard/"} +{"d:Title": "Russel, Bill", "d:Description": "Editorials, icons, and animated gif illustrations, in a predominantly digitally rendered scratchboard style.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.billustration.com/"} +{"d:Title": "Reding, Nane", "d:Description": "Specializing in digital and traditional painted illustration, and caricature.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://homepage.internet.lu/imaginantion"} +{"d:Title": "Reich, Marcel", "d:Description": "Illustrator from Zurich, Switzerland shows a small selection of his paintings, cartoons and comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.marcelreich.com/"} +{"d:Title": "Robinson, Tim", "d:Description": "Humorous illustrations with conceptual twists. Sections featuring business, sports and leisure.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://timrobinson.cc/"} +{"d:Title": "Roos, Maryn", "d:Description": "Illustration portfolio specializing in children's publishing and multimedia applications.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.marynroos.com/"} +{"d:Title": "Robins, Arthur", "d:Description": "A freelance illustrator's humorous cartoons for magazines, advertising and children's books.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.artrobins.com/"} +{"d:Title": "Rodgers, Linda Brewster", "d:Description": "Author and illustrator of children's books, who also makes decorated coats for adults inspired by famous stories. Includes biography, sample images and her book covers.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.thecolorwheel.net/"} +{"d:Title": "Reasor, Mick", "d:Description": "Humorous illustration in pencil, ink and watercolor. The artist also shows his paintings and prints.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.mickreasor.com/"} +{"d:Title": "Robertson, Claire", "d:Description": "Designer and illustrator with trademark big-eyed rosy cheeked characters. Portfolio, biography, and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.clairetown.com/"} +{"d:Title": "Romani, Paula", "d:Description": "Freelance illustrator focusing on fashion, lifestyle and beauty. The style is whimsical and all works are finished digitally.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://www.romaniillustrations.com/"} +{"d:Title": "Reppeteaux, Lesley", "d:Description": "Specializes in quirky editorial dramatizations, played out on canvas, and her sketchbook. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/R", "url": "http://reppeteaux.bigcartel.com/"} +{"d:Title": "Schulnik, Allison", "d:Description": "Features a large number of illustrations, in traditional media for publishing and advertising.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.allisonschulnik.com/"} +{"d:Title": "Skwish, Andrew", "d:Description": "Online portfolio of illustrator/ designer.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.skwish.com/"} +{"d:Title": "Studt, Alan", "d:Description": "Portfolio of illustration and artwork.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.angelfire.com/oh3/alanstudt/"} +{"d:Title": "Szumowski, Thomas", "d:Description": "Freelance and commercial illustration. Online portfolio.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.angelfire.com/ne/TSillustration/"} +{"d:Title": "Sanders, Jane", "d:Description": "Online illustrator's portfolio.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.reddozer.com/"} +{"d:Title": "Smith, Keri", "d:Description": "Illustration for editorial, advertising, corporate, and publishing markets.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.kerismith.com/"} +{"d:Title": "Shelden, Suzanne", "d:Description": "Illustrator, artist and designer in various media, based in Washington, D.C.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.sheldenstudios.com/"} +{"d:Title": "Soudek, Ivan", "d:Description": "Digital and traditional illustrator, Canadian, located in the Toronto-Ottawa-Montreal triangle.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://members.kingston.net/isoudek/"} +{"d:Title": "Still, John", "d:Description": "Artist working primarily with photographic source materials to create digital collage illustrations for a variety of commercial clients.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.johnstill.com/"} +{"d:Title": "Selfridge, M.C.", "d:Description": "Fine art and illustrations by artist, M.C. Selfridge, known primarily for her striking night scenes and European images.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.fineartillustration.com/"} +{"d:Title": "Sedgeman, Luke", "d:Description": "Line-art, painted and digital illusrations and sketches. Features online portfolio.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.sedgeman.com/"} +{"d:Title": "Sandberg, Erik", "d:Description": "Mixed media illustration portfolio by this American artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.eriksandberg.net/"} +{"d:Title": "Shields, Chris", "d:Description": "Freelance wildlife artist and illustrator with photo-realistic illustrations, paintings, and prints of wildlife, plants, and landscapes.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.chris-shields.com/"} +{"d:Title": "Scanlon, Keith", "d:Description": "Focusing on illustration for both advertising and publishing. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.keithscanlon.com/"} +{"d:Title": "Swaab, Neil", "d:Description": "Digitally manipulated photography and collage, painted illustrations, and comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://neilswaab.com/"} +{"d:Title": "Short, Robbie", "d:Description": "Children's, humorous, business, and stock illustration. Also includes character design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.robbieshort.com/"} +{"d:Title": "Struzan, Drew", "d:Description": "Specializing in cinema posters, and album and book covers.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://1manfilm.tripod.com/drew/"} +{"d:Title": "Santola, Susan", "d:Description": "Editorial line art illustration and design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://home.earthlink.net/~ssantola/"} +{"d:Title": "Srncov\u00e1, Emma", "d:Description": "Czech artist focusing on children's painted illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.gallery.cz/gallery/en/Vystava/1997_12/"} +{"d:Title": "Sl\u00edva, Ji\u00f8\u00ed", "d:Description": "Line art and painted poster illustration specialist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.gallery.cz/gallery/en/Vystava/1998_06/"} +{"d:Title": "Sparrow, Keith", "d:Description": "Freelance illustrator, cartoonist, storyboard artist, and designer based in London.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.kaspar.co.uk/"} +{"d:Title": "Swanson, Maggie", "d:Description": "Specializing in children's book art, and botanical illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.maggieswanson.com/"} +{"d:Title": "Sterrett, Jane", "d:Description": "Award-winning artist, combining photography with painting to produce colorful and textured collages. Stock illustrations are available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.janesterrett.com/"} +{"d:Title": "Serkland, Scott", "d:Description": "Develops illustration and design for television, film, print, and multimedia projects.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.serkworks.com/"} +{"d:Title": "Shaw, Ned", "d:Description": "Cartoon-style digital illustrations for editorial, advertising, and corporate use.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.nedshaw.com/"} +{"d:Title": "Spalenka, Greg", "d:Description": "Conceptual mixed media sports, medical, editorial, and business illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.spalenka.com/"} +{"d:Title": "Schneider, Christine M.", "d:Description": "Stylized conceptual illustrations for editorials and children's books.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.christineschneider.com/"} +{"d:Title": "Star, Jim", "d:Description": "Graphic, scratchboard, speckled airbrush, and woodcut illustrations for print, and the web.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.jimstarr.com/"} +{"d:Title": "Simpson, Steve", "d:Description": "Colorfull cartoon style editorial, advertising, character, packaging, and corporate illustraitions. Site is regularly updated and includes flash commercials and movies.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.stevesimpson.com/"} +{"d:Title": "Snogren, John", "d:Description": "Wide variety of works ranging from traditional illustrations and paintings to contemporary web projects using Flash. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.snogren.com/"} +{"d:Title": "Steinman, Robert", "d:Description": "Digital illustration, with works ranging from print design to conceptual visual development.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.taminglight.com/"} +{"d:Title": "Savage, Eric", "d:Description": "Humorous illustrations and graphic design in traditional and digital media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.savagecreative.com/"} +{"d:Title": "Str\u00f8m, Kellie", "d:Description": "The portfolio of this London based illustrator features children's books, comics, creature designs and editorial cartooning.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.sadiethepilot.com/kellie/index.htm"} +{"d:Title": "Saunders, Robert", "d:Description": "Illustration for editorial, book, advertising, and corporate media. Conceptual, line and color in a vintage graphic style.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.robertsaunders.com/"} +{"d:Title": "Schwegel, John", "d:Description": "Humorous character illustrations in a cartoon-like style, produced in digital media. [Flash]", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.johnschwegel.com/"} +{"d:Title": "Swift, Jane", "d:Description": "Freelance designer and illustrator features her works created in paint, ink and coloured pencil.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.janeswift.co.uk/"} +{"d:Title": "Siviter, Lorna", "d:Description": "Illustration inspired by 50's and 60's design and advertising.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.lornasiviter.co.uk/"} +{"d:Title": "Smith, Richard", "d:Description": "Realistic and humorous character designs and cartoons in digital media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.drawingbusiness.com/"} +{"d:Title": "Spatucci, Steve", "d:Description": "Cartoon-style illustration for editorial, publishing, corporate and animation clients. Includes biography, downloads and portfolio.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.stevespatucci.com/"} +{"d:Title": "Smith, Ed", "d:Description": "Traditional and digital illustration and design, as well as webpage development and maintenance.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.ramzilla.com/"} +{"d:Title": "Staroff, Dan", "d:Description": "Wild and whimsical art and illustration, combining traditional and digital techniques. Stockart available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.danstaroff.com/"} +{"d:Title": "Smbolon", "d:Description": "United Kingdom-based Japanese illustrator specializes in manga style girls graphics. News, profile, and an events calendar. In English and Japanese.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.symbolon.jp/"} +{"d:Title": "Saxena, Garima", "d:Description": "Portfolio featuring themes from Indian mythology, children's world and the human body.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://garimasaxena.com/"} +{"d:Title": "Simpson, Elizabeth", "d:Description": "Colorful and whimsical, line and traditional illustrations for publication and packaging uses, by this Canadian artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.elizabethsimpson.com/"} +{"d:Title": "Spencer, Britt", "d:Description": "Exaggerated posturing of characters in an editorial manner, executed in pen, ink and watercolor.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.brittspencer.com/"} +{"d:Title": "Sutherland, Kelly", "d:Description": "Features sometimes a bit crazy or energetic editorial illustrations in natural media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.el-freako.com/"} +{"d:Title": "Sinclair, Jenni", "d:Description": "Realistic, figurative illustration using traditional and digital techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://myweb.tiscali.co.uk/jenspace/"} +{"d:Title": "Schmidt, William", "d:Description": "Book and editorial illustrations created in ink and watercolor.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.schmidtville.com/"} +{"d:Title": "Simpson, Dale", "d:Description": "Portfolio of children's illustration and greeting cards by this freelance illustrator based in Scotland.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.dalesimpson.co.uk/"} +{"d:Title": "Siminovich, Lorena", "d:Description": "New York based illustrator specializing in children's illustration, fashion, and food illustration. Portfolio and biography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://lorenasiminovich.com/"} +{"d:Title": "Sdralevich, Teresa", "d:Description": "Information about this contemporary artist specializing in posters. Works, exhibitions and projects. Brussels, Belgium.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.teresasdralevich.net/"} +{"d:Title": "Surrey, Detlef", "d:Description": "Illustrations, animations, and comic strips.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://surrey.de/"} +{"d:Title": "Stachowski, Jacek", "d:Description": "Portfolio of editorial and healthcare illustration, caricature, animation and storyboards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://www.stachowski.eu/"} +{"d:Title": "Santat, Dan", "d:Description": "Editorial and children's book illustrations as well as graphic design work. Also features news and links.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/S", "url": "http://dansantat.tumblr.com/"} +{"d:Title": "Taxali, Gary", "d:Description": "Portfolio of graphics and illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.garytaxali.com/"} +{"d:Title": "Trippe, M.D.", "d:Description": "Illustrator whose site includes comic book, fantasy, biblical art, and learning tools.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.angelfire.com/ab2/batboy924/"} +{"d:Title": "Thomson, Jennifer", "d:Description": "Gallery of work by the Scottish illustrator, featuring mainly the painted landscapes of Scotland.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.jenniferthomson.com/"} +{"d:Title": "Thorning, Fiona", "d:Description": "Fine art, digital, and photographic illustration and design. Based in Brisbane, Australia.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://fionathorningdesign.50megs.com/"} +{"d:Title": "Tibbetts, Todd", "d:Description": "Freelance children's book illustrator and cartoonist from Seattle, WA.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.pixelfarmer.com/"} +{"d:Title": "Tuschman, Richard", "d:Description": "Digitally combines found objects, photography, and illustration to produce conceptual images for editorial, corporate and publishing applications.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.richardtuschman.com/"} +{"d:Title": "Turtschi, Daniel", "d:Description": "Conceptual illustration in traditional media by this Swiss artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.picture-factory.ch/"} +{"d:Title": "Takvorian, Nadine", "d:Description": "Illustrations and pre-production artwork for film, animation, magazines, books, albums, and advertising. Features portfolio, resume and clients.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.nadinetakvorian.com/"} +{"d:Title": "Taylor, Dave", "d:Description": "Digital, scratchboard, vector, pastel, and woodcut illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www3.sympatico.ca/taylorstudio/davetaylorillustrator.html"} +{"d:Title": "Turgeon, Marie-Chantale", "d:Description": "Spontaneous traditional media illustrations, and paintings executed in acrylics and pastels by this artist based in Germany.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.mcturgeon.com/"} +{"d:Title": "Telgemeier, Raina", "d:Description": "Resume and a portfolio of illustration work and comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.goraina.com/"} +{"d:Title": "Tieri, Luca", "d:Description": "Brightly colored comics-inspired illustrations, executed in mixed-media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.lucatieri.com/"} +{"d:Title": "Tamaki, Jillian", "d:Description": "Imaginative and sometimes surreal editorial and conceptual works in natural media, with thinly inked lines.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.jilliantamaki.com/"} +{"d:Title": "Tragnark, Nick Reid", "d:Description": "Gallery of his natural media illustrations, animations, 3D toy designs as well as a journal.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.tragnark.com/"} +{"d:Title": "Tseng, Kevin", "d:Description": "Charming, whimsical and curious illustrations in both watercolor and vector-based styles.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.kevintseng.com/"} +{"d:Title": "Thoburn, Mark", "d:Description": "Stylized and colorful vector based illustrations for editorial, publishing purposes.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.thoburnillustrations.com/"} +{"d:Title": "Turnmire, Eric M.", "d:Description": "Illustrations for books, magazines, and web, using photo manipulation to create images with fantastic themes.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://synapsesolutions.us/ericmturnmire/"} +{"d:Title": "Tiefenbach, Nina", "d:Description": "Colored line-work illustrations featuring mostly women, for fashion and editorial uses.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/T", "url": "http://www.ninatiefenbach.blogspot.com/"} +{"d:Title": "Underwood, George", "d:Description": "Specializes in painted and digital book and album covers. Also includes music and anthropomorphic portraiture.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/U", "url": "http://www.georgeunderwood.com/"} +{"d:Title": "Varrasso, Enrico", "d:Description": "Computer generated editorial illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://www.enricovarrasso.com/"} +{"d:Title": "VanDerBos, Joe", "d:Description": "Specializing in illustration and icons for corporate, editorial, and advertising clients in a simple style. Also includes stock images.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://joevanderbos.com/"} +{"d:Title": "Vandruff, Marshall", "d:Description": "Illustrations ranging from realistic technical renderings to fantasy creatures.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://www.marshallart.com/"} +{"d:Title": "Valerio, Geraldo", "d:Description": "Colorful and playful natural media works for children's books by this Brazilian-born, Oregon-based illustrator.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://www.geraldovalerio.com/"} +{"d:Title": "Viana, Gon\u00e7alo", "d:Description": "Portfolio of digital and mixed media artworks by artist focused on conceptualizing abstract subjects.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://www.goncaloviana.com/"} +{"d:Title": "Vicente, Muntsa", "d:Description": "Features natural media illustrations with a focus on magazine publishing in the fields of fashion and lifestyle. Includes the artist's biography, client list and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://www.muntsavicente.com/"} +{"d:Title": "Vidon, Jean-Philippe", "d:Description": "Illustrations for the New York Times, children's books, storyboards, graphic novels, and web design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://www.vidon.net/"} +{"d:Title": "Vonarburg, Brigit", "d:Description": "2D and 3D illustrations created using collage techniques of found objects. Includes portfolios and a biography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/V", "url": "http://frauvonarburg.ch/"} +{"d:Title": "Warner, Bob", "d:Description": "Offer illustrator services. Portfolio by categories and personal profile.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.bobwarnerdesign.com/"} +{"d:Title": "Weiner, Paul", "d:Description": "Samples of cartoon illustration and animation, stock artwork, and client list.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.paulweiner.com/"} +{"d:Title": "Waller, Sarah-Jane", "d:Description": "Illustration, watercolor and other media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.paulsarah.freeservers.com/"} +{"d:Title": "Wildblood, Darryl", "d:Description": "Specializing in digital cartoon/fantasy illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.wildblood.20m.com/protozoans/"} +{"d:Title": "Wells, Leigh", "d:Description": "Illustration for publishing, advertising, editorial and design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.leighwells.com/"} +{"d:Title": "Weiss, Stuart", "d:Description": "Illustration and cartoons in a wide variety of styles for the graphic industry. Specializing in product art and kinesiology.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.stu-artweiss.com/"} +{"d:Title": "Weevers, Peter", "d:Description": "A selection of the artist's illustrations including works from Alice's Adventures in Wonderland, personal works and computer processed illustrative/photo montages.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://peter.weevers.free.fr/"} +{"d:Title": "Wittekind, Dave", "d:Description": "Illustration and design samples. Digital, plus traditional media and storyboard work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.davesink.com/"} +{"d:Title": "Wardell, Jon", "d:Description": "Portfolio of digital illustrator, with art work in different styles and techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://jonw.wardell.tripod.com/"} +{"d:Title": "Wood, Bill", "d:Description": "Advertising, editorials, manuals, maps, book covers, stamps, and portrait illustrations in a variety of styles.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.illustration.com.au/"} +{"d:Title": "Wertz, Michael", "d:Description": "Color and black/white line art, pastels, and digital collages.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.wertzateria.com/"} +{"d:Title": "Wheeler, Karen", "d:Description": "Focusing on realistic wildlife and portrait, and whimsical illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.karenwheeler.com/"} +{"d:Title": "Whitley, Jason", "d:Description": "Focusing on line art caricature and portrait illustration. Also includes fine art figurative painting.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.undercurrentart.com/"} +{"d:Title": "Wolcott, Jamie B.", "d:Description": "People, circus poster, and Americana illustrations, done in watercolor and ink.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.jamiebwolcott.com/"} +{"d:Title": "Wallace, Chad", "d:Description": "Detailed paintings, with a focus on people, wildlife, architecture and landscape. Also includes humorous b/w pencil cartoons.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.chadwallace.com/"} +{"d:Title": "Westphal, Ken", "d:Description": "Caricature/cartoon illustration and design for CD covers, logos, and book covers.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.kenwestphal.com/"} +{"d:Title": "Whelan, Jeff", "d:Description": "Detailed illustrations produced with a combination of traditional and digital techniques.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.jeffwhelan.com/"} +{"d:Title": "Worrall, Tracy", "d:Description": "Traditional and digital illustrations. The artist's portfolio includes work for print, record covers and new media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.tracyworld.co.uk/"} +{"d:Title": "Wakfer, Clive", "d:Description": "Freelance illustrator and cartoonist produces works for publishers, magazines and newspapers.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.clivewakfer-cartoonist-illustrator.co.uk/"} +{"d:Title": "Wojtkiewicz, Charles H.", "d:Description": "Stylishly detailed traditional media illustration, concept design and comics.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://chukw.com/"} +{"d:Title": "Wood, Hannah", "d:Description": "Illustrations in both traditional and digital media, specializing in greetings cards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.hannahwood.com/"} +{"d:Title": "Wilburn, Kathy", "d:Description": "Illustrations in traditional media for children's book, magazines and greeting cards.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://kathywilburn.com/"} +{"d:Title": "White, Brian", "d:Description": "Site features gallery of digital illustration and on online shop.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.brianwhiteillustration.com/"} +{"d:Title": "Wootton, Paul", "d:Description": "A portfolio of digital 3-D technical, cartographic and photorealistic illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.graphicnet.co.uk/"} +{"d:Title": "Whiteley, Laurence", "d:Description": "Features a portfolio of 3-D digital illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://laurencewhiteley.com/"} +{"d:Title": "Weller, Duncan", "d:Description": "Large format murals, portraits and children's book illustration in oils, acrylics, and watercolours.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.duncanweller.com/"} +{"d:Title": "Wald Guarino, Lisa", "d:Description": "Portfolio focusing on equine illustrations, paintings and prints.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.lisasart.com/"} +{"d:Title": "Whiting, Roger", "d:Description": "Features large, children's illustrations and murals.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://rogerwhiting.com/"} +{"d:Title": "Watkinson, Brent", "d:Description": "Portfolio of illustration and gallery paintings.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.brentwatkinson.com/"} +{"d:Title": "Walker, Neryl", "d:Description": "Illustration portfolio and paintings in a wide variety of mediums.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.neryl.com/"} +{"d:Title": "Wilson, Adam", "d:Description": "Samples of Illustrations and cartoon galleries using mixed and traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.adamwilson.info/"} +{"d:Title": "Winters, Ria", "d:Description": "Illustrations featuring wildlife, pets, children, landscapes, and portraits.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.riawinters.com/"} +{"d:Title": "Wallis, Rebecca", "d:Description": "Portfolio of Illustration for children themes and murals.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.illustrate.org.uk/"} +{"d:Title": "Ward, Kevin", "d:Description": "Illustrations featuring comic book, fiction and animation work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.inkopinko.com/"} +{"d:Title": "Winnett, Peter", "d:Description": "Contemporary fashion illustration", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.winnett.net/"} +{"d:Title": "Waterhouse, Tom", "d:Description": "Videogame style illustration galleries", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.2dforever.com/"} +{"d:Title": "Wells, Taryn", "d:Description": "Illustrator siite includes portfolio, resume and contact information.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.tarynwells.com/"} +{"d:Title": "Wood, Zara", "d:Description": "Illustration for advertising, editorial and fashion. Cardboard is primary medium.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.zarawood.com/"} +{"d:Title": "Wild, Dan", "d:Description": "Illustrator, caricature artist and designer.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.danwild.com/"} +{"d:Title": "Ward, Damian", "d:Description": "Color and black and white line art illustration. Editorial and narrative work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.ddraws.com/"} +{"d:Title": "Wheatley, Gayle", "d:Description": "Illustrator with portfolio including paintings and digital illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.gaylewheatley.com/"} +{"d:Title": "White, Lee", "d:Description": "Biography, gallery of his editorial and children's book illustrations and an online shop.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.leewhiteillustration.com/"} +{"d:Title": "Wu, Yihsin", "d:Description": "Editorial and conceptual works in traditional media by this Chinese born artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.yihsin.net/"} +{"d:Title": "Wheeler, Rebecca", "d:Description": "Gallery of artwork including digital illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.beckwheeler.com/"} +{"d:Title": "West, Julie", "d:Description": "Portfolio, client list, galleries and links.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.juliewest.com/"} +{"d:Title": "Washington, Marci", "d:Description": "Biography, gallery, and news.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.marciwashington.com/"} +{"d:Title": "Weber, Sam", "d:Description": "Imagery includes many ravens, vampires, and deer. News, portfolio, and biography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.sampaints.com/"} +{"d:Title": "Wilson, Ester", "d:Description": "Traditional and digital drawings and illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.esterwilson.com/"} +{"d:Title": "Wellington, Jason", "d:Description": "Print illustrations in acrylic, airbrush and digital media featuring geishas.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://members.iinet.com.au/~welli/"} +{"d:Title": "Wong, Liz", "d:Description": "children's illustrator, galleries and biography.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.lizwongillustration.com/"} +{"d:Title": "Wright, Suzanne", "d:Description": "Illustrator with online portfolio of personal works in a range of mediums.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.suzanne-wright.com/"} +{"d:Title": "Walton, Michael", "d:Description": "Illustration and painting galleries.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://quasilucid.com/"} +{"d:Title": "Walker, Rory", "d:Description": "Pen and ink illustrator with galleries of work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.roryroryrory.com/"} +{"d:Title": "Wandelmaier, Michael", "d:Description": "Editorial, commercial and fine art illustrator based in Toronto Canada.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.wandelmaier.com/"} +{"d:Title": "Ward, Elliot", "d:Description": "Illustration, Children's Books, Editorial.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.eldraws.com/"} +{"d:Title": "whetzel, chris", "d:Description": "Digital artwork and illustrations, Conceptually-driven images used in publishing and multi-media", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://chris-whetzel.com/"} +{"d:Title": "Wolcott, Karen", "d:Description": "Portfolio of digitally rendered and stock illustrations.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.karenwolcott.com/"} +{"d:Title": "Williams, David G", "d:Description": "Comic book and illustration from black and white to colour, using traditional and digital techniques. Offers portfolio, bio, and contacts.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.dgwilliamsart.com/"} +{"d:Title": "Watson, Esther Pearl", "d:Description": "Portfolio of artwork and illustration for books, magazines with stock artwork gallery.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://cargocollective.com/ewatson"} +{"d:Title": "Wagner, David", "d:Description": "Featuring 3-D digital and comic style illustration.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://dotproblems.deviantart.com/"} +{"d:Title": "Williams, Nate", "d:Description": "Black and white, color and multi-media illustration galleries featuring a wide range of mediums including serigraphy.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.n8w.com/"} +{"d:Title": "West, Rachel", "d:Description": "Rachel Quinlivan West, an illustrator, writer, editor, and dietitian living in Birmingham, Alabama.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/W", "url": "http://www.rachelqwest.com/"} +{"d:Title": "Xavier , Roger", "d:Description": "Information and samples of the artist's scratchboard work for packaging, logos, advertising, and corporate usage. Includes biography, portfolio, and client list.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/X", "url": "http://www.rogerxavier.com/"} +{"d:Title": "Yee, Wil", "d:Description": "Children's book, conceptual, editorial, product, and corporate work.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Y", "url": "http://wilyee.com/"} +{"d:Title": "Younger, Heidi", "d:Description": "Colorful, stylized images and hand rendered typography for posters, book covers, editorial and advertising. Stock art is available.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Y", "url": "http://www.heidiyounger.com/"} +{"d:Title": "Yoshii, Hiroshi", "d:Description": "Colorful digital illustrations with a focus on dimensional characters by this Japanese artist.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Y", "url": "http://www.yoshii.com/"} +{"d:Title": "Yancey, Katie", "d:Description": "Portfolio shows conceptual illustrations and graphic design, in digital and traditional media.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Y", "url": "http://www.katieyancey.com/"} +{"d:Title": "Young, Diane", "d:Description": "Fine art prints, pet commissions, and original paintings of animals, mythology ans fun characters. ACEO specialist and children's book illustrations samples.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Y", "url": "http://www.manicillustrations.com/"} +{"d:Title": "Zymet, Gregory", "d:Description": "Specializing in gesture and stylized line illustrations, drawn with only a few lines.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Z", "url": "http://www.gestureart.com/"} +{"d:Title": "Zimmerman, Robert", "d:Description": "Humorous illustration for magazines, agencies and packaging. The portfolio also features interactive Flash design.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Z", "url": "http://www.zimm.net/"} +{"d:Title": "Zuker, David", "d:Description": "Flash portfolio of digital artwork and illustrations. Freelance services: illustrations, graphics, and web design on demand.", "topic": "Top/Arts/Illustration/Illustrator_Portfolios/Z", "url": "http://www.davidzuker.com/"} +{"d:Title": "Guthrie, Ron C.", "d:Description": "Pen and ink drawings, using the stippling technique. Images of boats, horses and other subjects drawn using only dots of ink.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.guthrieart.com/"} +{"d:Title": "Halbert, Michael", "d:Description": "Specializing in black and white scratchboard and woodcut illustrations.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.inkart.com/"} +{"d:Title": "Robinson, Nevin", "d:Description": "Pittsburgh through the eyes of a pen and ink artist.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.nevinrobinson.com/"} +{"d:Title": "Duddles, Bryan", "d:Description": "Detailed acrylic, color pencil, gouache, and pen and ink illustrations for paper and other decorational formats.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.artbyduddles.com/"} +{"d:Title": "Sanders Potts, Beverly", "d:Description": "Illustrations, concept drawings and photography for print and web, including book designs and publications.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.califex.com/"} +{"d:Title": "Perkins, Ken", "d:Description": "Detailed b/w and colored scratchboard style illustrations, covering a wide variety of subject matter.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.kenperkins.net/"} +{"d:Title": "Stevens, Heidi", "d:Description": "Colorful ink and wash style spot illustrations, featuring food, people, objects, and concepts.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.heidistevens.com/"} +{"d:Title": "Gothard, David", "d:Description": "Single page slideshow of pen and ink illustrator's work.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.davidgothard.com/"} +{"d:Title": "Attiliis, Andy", "d:Description": "Line illustration samples, descriptions and commentary. Styles include thick line, thin line, textured and stippled.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.ideasiteforbusiness.com/andy/il.htm"} +{"d:Title": "Brooks, Robert C.", "d:Description": "Wide range of custom detailed illustrations featuring residential, commercial, college and university themes for individual and business needs.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.graphic-imagination.com/"} +{"d:Title": "Juntunen, Arttu", "d:Description": "Sketches and line art, music, links and information the artist.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://personal.inet.fi/koti/arttu.juntunen"} +{"d:Title": "Loughran, P.J.", "d:Description": "Humorous editorial and portrait illustrations, done in pen and ink.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.pjloughran.com/"} +{"d:Title": "Sprouls, Kevin", "d:Description": "Featuring The Wall Street Journal hallmark portrait illustration style.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://www.sprouls.com/"} +{"d:Title": "Keith Witmer Illustrations", "d:Description": "Pen and Ink illustration with a broad range of applications from Oregon based artist.", "topic": "Top/Arts/Illustration/Line-Art_and_Ink_Illustrations", "url": "http://keithwitmer.com/"} +{"d:Title": "Society of Illustrators", "d:Description": "A nonprofit educational organization promoting illustration. Home of the Museum of American Illustration.", "topic": "Top/Arts/Illustration/Organizations", "url": "http://www.societyillustrators.org/"} +{"d:Title": "Society of Illustrators San Diego", "d:Description": "Professional organization that promotes the interests of illustrators. Includes activities, resources, membership information, and samples of members' work.", "topic": "Top/Arts/Illustration/Organizations", "url": "http://www.societyofillustratorssandiego.org/"} +{"d:Title": "The Association of Illustrators (AOI)", "d:Description": "Non-profit trade association dedicated to its members\u2019 professional interests and the promotion of illustration. Provides news, membership information, portfolios and events.", "topic": "Top/Arts/Illustration/Organizations", "url": "http://www.theaoi.com/"} +{"d:Title": "Israel Association of Illustrators", "d:Description": "Non profit organizations for the advancement of the profession of illustration in Israel. Includes members portfolios.", "topic": "Top/Arts/Illustration/Organizations", "url": "http://www.illustrators.org.il/"} +{"d:Title": "The Illustrators' Partnership of America (IPA)", "d:Description": "Non-profit organization dedicated to promoting the business of professional illustration and protecting the intellectual property rights of its members.", "topic": "Top/Arts/Illustration/Organizations", "url": "http://www.illustratorspartnership.org/"} +{"d:Title": "Guild of Natural Science Illustrators", "d:Description": "Non-profit organization that sets professional standards, provides opportunities for professional and scholarly development, encourages and assists member networking.", "topic": "Top/Arts/Illustration/Organizations", "url": "http://www.gnsi.org/"} +{"d:Title": "Clarke, Brian", "d:Description": "Surrealist and photo-realist.", "topic": "Top/Arts/Illustration/Realism", "url": "http://lestoil.net/"} +{"d:Title": "Schnepf, Rapheal", "d:Description": "Photo-realistic airbrush illustration, oils, and design.", "topic": "Top/Arts/Illustration/Realism", "url": "http://www.rschnepf.com/"} +{"d:Title": "Russwurm, Lance", "d:Description": "Showcasing realistic illustration, fine art and limited edition prints of aviation, historical, nostalgia and other themes.", "topic": "Top/Arts/Illustration/Realism", "url": "http://www.lancerusswurm.com/"} +{"d:Title": "Meyler, Dennis", "d:Description": "Specializes in 3D photorealistic illustration for use by advertising agencies, design firms, magazines, and corporations. Also includes photography.", "topic": "Top/Arts/Illustration/Realism", "url": "http://www.meyler.com/"} +{"d:Title": "Illustrators Rep", "d:Description": "Agency for illustration, photography, design and stock art.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://illustratorsrep.com/"} +{"d:Title": "Riley Illustration", "d:Description": "Riley Illustration represents artists and illustrators. Searchable online database of original artwork.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.rileyillustration.com/"} +{"d:Title": "Three in a Box", "d:Description": "Offers a directory of artists and contact information.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.threeinabox.com/"} +{"d:Title": "Illustration", "d:Description": "International agency representing illustrators worldwide. Provides illustration, commercial art and cartoons for advertisers, agencies, and publishers.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.illustrationweb.com/"} +{"d:Title": "Somerset Licensing", "d:Description": "Licenses products from paintings done by a number of American artists. Site features a gallery of images and is geared toward manufacturers of decorative items such as calendars, mugs, t-shirts, and throws.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.somersetlicensing.com/"} +{"d:Title": "Famous Frames, Inc.", "d:Description": "Representing a wide variety of artists specializing in storyboards, comps and animatics for advertising, film and multimedia projects.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.famousframes.com/"} +{"d:Title": "The Organisation", "d:Description": "Online pictorial brochure showcasing the work of over 50 international illustrators.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.organisart.co.uk/"} +{"d:Title": "Cartoonet Illustration Agency", "d:Description": "Global agency for illustrators, cartoonists and animators.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.illustration-agency.com/"} +{"d:Title": "Joanie Bernstein, Art Rep", "d:Description": "Conceptual illustrations for assignments in editorial, book publishing, advertising, graphic design and corporate communications. The artists vary in medium from whimsey, ink, watercolor, collage, the art of hand lettering, airbrush and acrylic.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.joaniebrep.com/"} +{"d:Title": "The Illustrators Guild of Ireland", "d:Description": "Showcase of the professional Irish illustration, with resources for both clients and illustrators.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.illustratorsireland.com/"} +{"d:Title": "Newborn Group", "d:Description": "Representing illustrators for the advertising, editorial, publishing and corporate markets.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://newborngroup.com/"} +{"d:Title": "Bruck&Moss", "d:Description": "Representing artists working in corporate, conceptual, stock and fine art illustration for print advertising, editorial and the web.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.bruckandmoss.com/"} +{"d:Title": "Lindgren&Smith", "d:Description": "Online portfolios provided of illustrators represented.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.lindgrensmith.com/"} +{"d:Title": "LIlla Rogers Studio", "d:Description": "Searchable portfolios by artist name or keyword.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.lillarogers.com/"} +{"d:Title": "New Division", "d:Description": "Representing over 30 illustrators.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.newdivision.com/"} +{"d:Title": "Storyboards Inc.", "d:Description": "Storyboard artists for advertising, feature film, commercial and music video production, conceptual work and set design.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.storyboardsinc.com/"} +{"d:Title": "Martha Productions Inc.", "d:Description": "Provides portfolios of informational graphics illustration for maps, charts, diagrams and medical illustrations, advertising, corporate, editorial and publishing clients.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.marthaproductions.com/"} +{"d:Title": "The Schuna Group", "d:Description": "Representing illustration talent with a variety of portfolio images ranging from advertising and design to publishing and editorial.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.schunagroup.com/"} +{"d:Title": "nb illustration", "d:Description": "UK-based agency representing commercial illustrators.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.nbillustration.co.uk/"} +{"d:Title": "Renaissance House", "d:Description": "Representing illustrators for print and advertising materials, trade books, textbooks and marketing tools.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.renaissancehouse.net/"} +{"d:Title": "Schumann&Company", "d:Description": "Representing artists for consumer and trade advertising, annual reports, capability brochures, editorial projects, packaging and corporate identity.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.schumannco.com/"} +{"d:Title": "Way Art", "d:Description": "Representing comp, storyboard and animatic illustrators in the New York City area.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.wayart.com/"} +{"d:Title": "Storyboards.nl", "d:Description": "Represents storyboard and comp artists who work online for advertisement agencies.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.storyboards.nl/"} +{"d:Title": "Frameworks", "d:Description": "Representing storyboard artists and black and white production board illustrators.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.frameworks-la.com/"} +{"d:Title": "Magnet Reps", "d:Description": "USA. Artist representation and management agency. Includes profile, news, and contact details as well as artist information with biography, portfolio, awards, client lists and commission form.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.magnetreps.com/"} +{"d:Title": "piccioli illustration", "d:Description": "Cristina Piccioli represents some outstanding artists and illustrators. Located in Italy.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.piccioliillustration.com/"} +{"d:Title": "Morgan Gaynin Inc.", "d:Description": "Illustration agents in New York City, representing international and award-winning artists.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.morgangaynin.com/"} +{"d:Title": "The July Group", "d:Description": "Representing a select group of international illustrators. Portfolios include a broad range of styles and mediums.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.thejulygroup.com/"} +{"d:Title": "Ink and Colors Ltd.", "d:Description": "Group of professional agents representing selected international publishers, illustrators, and authors.", "topic": "Top/Arts/Illustration/Representatives", "url": "http://www.inkandcolors.com/"} +{"d:Title": "Illustrator Collectors News", "d:Description": "Price guides, classified ads and other material for buyers and sellers of collectable illustrations.", "topic": "Top/Arts/Illustration/Resources", "url": "http://www.olypen.com/ticn/"} +{"d:Title": "Society of Illustrators", "d:Description": "Exhibitions, traveling shows, career guidance, art schools, professional organizations, award winners, history of the society, and information about the Museum of American Illustration.", "topic": "Top/Arts/Illustration/Resources", "url": "http://www.societyillustrators.org/"} +{"d:Title": "Illustrationfriday.com", "d:Description": "Artist blog, illustration postings and exhibits.", "topic": "Top/Arts/Illustration/Resources", "url": "http://www.illustrationfriday.com/"} +{"d:Title": "IllustrationMundo.com", "d:Description": "Illustrations, articles and job postings.", "topic": "Top/Arts/Illustration/Resources", "url": "http://www.illustrationmundo.com/"} +{"d:Title": "IllustrationClass.com", "d:Description": "A variety of illustration tutorials.", "topic": "Top/Arts/Illustration/Resources", "url": "http://www.illustrationclass.com/"} +{"d:Title": "Bud Plant Illustrated Books", "d:Description": "Illustrated Biographies of dozens of book illustrators from the 1880s to the present.", "topic": "Top/Arts/Illustration/Resources/Books", "url": "http://www.bpib.com/illustra.htm"} +{"d:Title": "Imaginative Book Illustration Society", "d:Description": "Society devoted to the study of book illustration from 1830 to the present. Provides artist biographies and bibliographies, reviews, lectures, exhibitions and newsletters.", "topic": "Top/Arts/Illustration/Resources/Books", "url": "http://bookillustration.org/"} +{"d:Title": "Qing, He", "d:Description": "Illustration and art work for editorial, television arts, books, poster, science fiction, fantasy and game.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.arthf.com/"} +{"d:Title": "Huitula, Kristian Antero", "d:Description": "Fantasy, comic and commercial art.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.huitula.com/"} +{"d:Title": "Hickman, Stephen", "d:Description": "High-tech science fiction, and illustrations of Tolkien, Pharazar, and Lovecraft.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.stephenhickman.com/"} +{"d:Title": "Martin, Britt", "d:Description": "Fantasy and gothic artwork.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.brittmartin.com/"} +{"d:Title": "Miller, Ron", "d:Description": "Portfolio, available prints, book covers, latest projects, and related links.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.black-cat-studios.com/"} +{"d:Title": "Brandon, Theresa", "d:Description": "Features fantasy and children's illustration in watercolor, digital, and black and white.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://theresabrandon.com/"} +{"d:Title": "Zulewski, Timothy", "d:Description": "Featuring fantasy art, concept art, illustration, and creature, graphic and web design.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.cricketbow.com/"} +{"d:Title": "Okum, David", "d:Description": "Fantasy, science fiction, comic, and fine art.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.angelfire.com/biz3/okumarts/"} +{"d:Title": "Borst, Rene", "d:Description": "Features biography, gallery of science fiction and commercial works, and a step-by-step painting walkthrough. In German and English.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.rene-borst.de/"} +{"d:Title": "Gordon, Tom", "d:Description": "Science fiction and fantasy cartoons, plus writings, multimedia and photos.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.mindspring.com/~tgordo/"} +{"d:Title": "Hopp, Andy", "d:Description": "A menagerie of strange and original beasts by the illustrator.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.andyhopp.com/"} +{"d:Title": "Fieldson, Alane", "d:Description": "Original illustrations of dragons, griffons, adventurers, and creatures fantastic and mythic.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.alanesart.com/"} +{"d:Title": "Johnson, Shane L.", "d:Description": "Fantasy illustrator creating traditional and digital imagery for print and Web, using pen and ink combined with digital technology.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.sljillustration.com/"} +{"d:Title": "Ellis, Max", "d:Description": "Digital portfolio, featuring Dr. Who and Hitchhikers Guide to the Universe.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.junkyard.co.uk/"} +{"d:Title": "Lackow, Andy", "d:Description": "Fantastic buildings and constructs in computer generated 3D illustrations and animations.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.andylackow.com/"} +{"d:Title": "Pelick, Laura", "d:Description": "An art gallery of original fantasy images, ranging from elves to dragons, plus work commissioned for others.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://fallenlights.net/"} +{"d:Title": "Moriarty, John", "d:Description": "Illustrator/designer. Includes resume and artwork galleries.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.johnmoriartyart.com/"} +{"d:Title": "Evans, Mark", "d:Description": "Features an online portfolio, available prints, purchasing information, bio and contact information. Includes illustrations for collectible card games, comics, and advertising campaigns.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.cloudmover.net/"} +{"d:Title": "Dornaus, Cris", "d:Description": "Adventure and pin-up fantasy illustrations.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.borderzone.com/SkeletnGrl/"} +{"d:Title": "Giancola, Donato", "d:Description": "Science fiction, fantasy, and concept art.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.donatoart.com/"} +{"d:Title": "Chernik, Echo", "d:Description": "Art Nouveau decorative illustration including fantasy, book, and poster design.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.echo-x.com/"} +{"d:Title": "Fuller, Kenny", "d:Description": "Features science fiction, fantasy and horror artwork, including CD album covers, book covers, murals, and story illustration.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.fullerillustration.com/"} +{"d:Title": "Law, Stephanie Pui-Mun", "d:Description": "Features fantasy and surrealistic illustrations.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.shadowscapes.com/"} +{"d:Title": "Rabinowitz, Alan", "d:Description": "Features science fiction illustration, fantasy art and portraiture for book covers, advertising, packaging, games and comics.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.fantasy-illustration.com/"} +{"d:Title": "Kovacs, Doug", "d:Description": "Fantasy and science fiction illustrator.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://dougkovacs.com/"} +{"d:Title": "Lockwood, Todd", "d:Description": "Features the artist's work from the Dragonlance and Magic: The Gathering. Also includes a FAQ for beginning artists and print information.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.toddlockwood.com/"} +{"d:Title": "Dale, Jeremy", "d:Description": "Fantasy illustrations.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.valleydweller.com/"} +{"d:Title": "Bleathman, Graham", "d:Description": "Illustrator best known for his cross sections from TVs Stingray, Captain Scarlet, Joe 90, and Thunderbirds.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.grahambleathman.co.uk/"} +{"d:Title": "Scott, Dan", "d:Description": "Science fiction and fantasy images by the artist, including works from Warhammer 40,000, Warcry, Warlord: Saga of the Storm, and Legend of the Five Rings.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.danscott.20m.com/"} +{"d:Title": "Stout, William", "d:Description": "Features biographical details, galleries of work, and merchandise.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.williamstout.com/"} +{"d:Title": "Anderson, David Lee", "d:Description": "The works of fantasy illustrator, featuring an art gallery of science fiction, fantasy, and space art.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.davidleeanderson.com/"} +{"d:Title": "Hudson, Heather", "d:Description": "Features works for role-playing and collectible card games.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.studiowondercabinet.com/"} +{"d:Title": "Boros, Zoltan and Szikszai, Gabor", "d:Description": "The fantasy, sci-fi and modern works of Hungarian illustrators.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.boros-szikszai.com/"} +{"d:Title": "Bohbot, Michel", "d:Description": "Fantasy, science fiction, and adventure illustrations from books, video and other games, as well as tutorials for traditional and digital media.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.mbohbot.com/"} +{"d:Title": "Grant, Melvyn", "d:Description": "Digital graphic artist and illustratort.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.melgrant.com/"} +{"d:Title": "Trott, Beth", "d:Description": "Fantasy illustrator and freelance artist.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.bethtrott.com/"} +{"d:Title": "Chui, Janet", "d:Description": "Melancholy fantasy art and illustration.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.janetchui.net/"} +{"d:Title": "Rabideau, Gerren", "d:Description": "Fantasy watercolor illustrations.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.gerrendesign.com/"} +{"d:Title": "O'Connor, William", "d:Description": "Artist, illustrator, concept designer for games such as Legend of the Five Rings, Dungeons and Dragons, and Magic: The Gathering.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.wocstudios.com/"} +{"d:Title": "Leri, David", "d:Description": "Fantasy, science fiction, and western themed illustrations. Includes artist biography and original artwork for sale.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.daveleri.com/"} +{"d:Title": "Miller, Ian", "d:Description": "Fantasy gaming art.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://www.ian-miller.org/"} +{"d:Title": "Cossu, Mario", "d:Description": "Fantasy illustrations include dragons, warriors and faeries.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://macossu.tripod.com/"} +{"d:Title": "Lee, April", "d:Description": "Offers a list of works, a gallery of prints and originals, biography, card game art, and news.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://aprillee.tripod.com/portfolioillustration.html"} +{"d:Title": "Vernon, Ursula", "d:Description": "Fantasy and furry artwork.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy", "url": "http://ursulavernon.com/"} +{"d:Title": "Dragoon Art", "d:Description": "Original fantasy illustrations of dragons, knights, heroes and heroines by Adrian Shaw.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Dragons_and_Unicorns", "url": "http://www.dragoon.com.au/"} +{"d:Title": "Wes Benscoter", "d:Description": "The official website of Wes Benscoter, an award winning artist whose bizarre airbrushed paintings have been used by Slayer, Relapse Records, and numerous magazines.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.wesbenscoter.com/"} +{"d:Title": "Thompson, Derek", "d:Description": "Monster concept work, sketchbook, downloads, and resume.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.derekmonster.com/"} +{"d:Title": "Albrecht", "d:Description": "Specializing in gothic horror, fantasy, and media art/illustration.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.albrecht-illo.com/"} +{"d:Title": "Hattori, Naoto", "d:Description": "Horror and nightmare paintings by the airbrush artist.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.wwwcomcom.com/"} +{"d:Title": "Jeff Pittarelli", "d:Description": "Award-winning science fiction, horror and erotic illustrator and artist.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://pittarelli.com/"} +{"d:Title": "Quiet Inner World", "d:Description": "Collection of dark and whimsical illustrations.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://thebigdog316.tripod.com/"} +{"d:Title": "Mar Omega", "d:Description": "Traditional and digital fantasy/horror illustrations and comics.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://maromega.com/"} +{"d:Title": "Calandra Studio", "d:Description": "Site of artist Michael Calandra featuring detailed horror and classic creature related images.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://calandrastudio.com/"} +{"d:Title": "Sasso, Marc", "d:Description": "Predominantly fantasy, horror, and science fiction oriented digital illustrations for storyboards, comics, posters and computer games.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.marcsasso.com/"} +{"d:Title": "Miles Teves", "d:Description": "Hollywood conceptual artist, specializing in charactor design and make-up effects for films.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.milesteves.com/"} +{"d:Title": "Cam's Happy Pencil", "d:Description": "Gallery of works by artist Cam De Leon, noted illustrator for the band TOOL.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.happypencil.com/"} +{"d:Title": "Wickie Arts", "d:Description": "Fantasy and gothic illustrations featuring strange and sensual creatures, mythological characters and unusual stories. The works are created in oils, acrylics, pen and ink, graphite, and sculpture.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.wickiearts.com/"} +{"d:Title": "Sinister Visions: The Dark Art of Chad Savage", "d:Description": "Portfolio of the dark artist Chad Savage and his warped alter-ego: Zombo the Clown.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.sinistervisions.com/"} +{"d:Title": "Hectical Inkerie", "d:Description": "Dark and strange ink drawings by Michael Herring. Features mad cross-hatchery of skulls, bones, teeth, and wires.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.michaelherring.net/ink/"} +{"d:Title": "M. Wayne Miller", "d:Description": "A fantasy, horror, and science fiction illustrator.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://mwaynemiller.com/"} +{"d:Title": "Chris Friend", "d:Description": "Dark ink drawings by Chris Friend, who has been publishing small press horror zines for two decades.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://chris.michaelherring.net/"} +{"d:Title": "Whitlam, David", "d:Description": "The dark, surrealist art and illustration by Manchester based artist Dave Whitlam.", "topic": "Top/Arts/Illustration/Science_Fiction_and_Fantasy/Horror_and_Dark_Fantasy", "url": "http://www.davidwhitlam.com/"} +{"d:Title": "Hawcock Books", "d:Description": "Produces pop-up illustrative art for books.", "topic": "Top/Arts/Illustration/Sculptural_and_3D", "url": "http://www.hawcockbooks.co.uk/"} +{"d:Title": "McConnell&Borow, Inc.", "d:Description": "Creators of miniature sets, props, and displays for advertising, film production, and design. [Flash]", "topic": "Top/Arts/Illustration/Sculptural_and_3D", "url": "http://propartnyc.com/"} +{"d:Title": "Red Nose Studio", "d:Description": "Portfolios of sculptural and 3D illustrations. Artist's biography, news, and information on his side projects.", "topic": "Top/Arts/Illustration/Sculptural_and_3D", "url": "http://www.rednosestudio.com/"} +{"d:Title": "Jessica Fortner", "d:Description": "Sculpted illustration work by Jessica Fortner, freelance illustrator.", "topic": "Top/Arts/Illustration/Sculptural_and_3D", "url": "http://www.jessicafortner.com/"} +{"d:Title": "Liz Lomax", "d:Description": "Hand-sculpted illustrations for advertisements, magazines, newspapers, books and album covers.", "topic": "Top/Arts/Illustration/Sculptural_and_3D", "url": "http://www.lizlomax.com/"} +{"d:Title": "Feye's Salon", "d:Description": "Japanese, Chinese, Asian and western imagery.", "topic": "Top/Arts/Illustration/Specialized/Ethnic", "url": "http://feyehiz.saltious.net/top_e.html"} +{"d:Title": "Holly Illustration", "d:Description": "Fashion, beauty and accessories illustration.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.hollyillustration.com/"} +{"d:Title": "Anna Kiper", "d:Description": "Offers color and black and white illustrations, flat sketches, concept development, presentation boards, and portfolio development assistance, as well as apparel, accessories, and jewelry design.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://sites.google.com/site/fashionillustrationart/"} +{"d:Title": "Laura Laine", "d:Description": "Portfolio of the Helsinki based, fashion illustrator and teacher.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.lauralaine.net/"} +{"d:Title": "David Downton", "d:Description": "The portfolio of the an illustrator and celebrity portrait artist.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.daviddownton.com/"} +{"d:Title": "Cecilia Carlstedt", "d:Description": "Swedish fashion illustrator that primarily focuses on water-color illustration.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.ceciliacarlstedt.com/"} +{"d:Title": "Stina Persson", "d:Description": "Stockholm based fashion illustrator specializing in mixed media.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.stinapersson.com/"} +{"d:Title": "Samantha Hahn", "d:Description": "Manhattan based, watercolor and ink illustrator.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://samanthahahn.com/"} +{"d:Title": "Hayden Williams Fashion Illustrations", "d:Description": "Official Tumblr of the British fashion illustrator.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://haydenwilliamsillustrations.tumblr.com/"} +{"d:Title": "Sophie Griotto", "d:Description": "Contemporary French fashion illustrator.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://sophiegriotto.portfoliobox.fr/"} +{"d:Title": "Susie Yang Portfolio", "d:Description": "Fashion design illustration artist. Includes resume, portfolio, and biography.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://susieyangport.tumblr.com/"} +{"d:Title": "Coolrista", "d:Description": "Russian fashion illustrator Nadia Colorista, specializing in pencil.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://coolrista.blogspot.ru/"} +{"d:Title": "Donald Robertson", "d:Description": "Portfolio site of Est\u00e9e Lauder's in-house illustrator.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.donaldrobertson.com/"} +{"d:Title": "Bill Donovan Limited", "d:Description": "Portfolio and print shop for the Christian Dior artist-in-residence.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://bildonovanlimited.com/"} +{"d:Title": "Travel Write Draw", "d:Description": "Personal blog of fashion illustrator Meagan Morrison.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://travelwritedraw.blogspot.com/"} +{"d:Title": "Blair Breitenstein", "d:Description": "Fashion illustrations and art by Blair Breitenstein.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.blairabreitenstein.com/"} +{"d:Title": "Nadia Colorista", "d:Description": "Fashion illustrator based in Russia.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://coolrista.blogspot.ca/"} +{"d:Title": "Paper Fashion", "d:Description": "The personal website of New York City fashion illustrator Katie Rodgers.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.paperfashion.net/"} +{"d:Title": "Sanchia Illustration", "d:Description": "Australian womenswear fashion designer with online shop and blog.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.sanchia.com.au/"} +{"d:Title": "Draw A Dot", "d:Description": "Curated collection of fashion illustrations from style editor Marcus Kan.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://drawadot.com/"} +{"d:Title": "C\u00e9dric Rivrain", "d:Description": "Portfolio of Paris based fashion illustrator C\u00e9dric Rivrain.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "http://www.cedricrivrain.com/"} +{"d:Title": "Paige Ring", "d:Description": "Personal portfolio and website of the fashion illustrator Paige Ring.", "topic": "Top/Arts/Illustration/Specialized/Fashion", "url": "https://paigering.com/"} +{"d:Title": "Gerrity, Peg", "d:Description": "Stock and custom scientific and medical images available for lease to the medical, legal, and health care professions.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.peggerrity.com/"} +{"d:Title": "Neville's Forensic Art Service", "d:Description": "A complete web site dedicated to forensic art.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.forensicartist.com/"} +{"d:Title": "Wayne Heim - Medical Illustration", "d:Description": "Medical illustrator Wayne Heim, CMI. Information, sample and stock visuals available for review and purchase.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.wayneheim.com/"} +{"d:Title": "Damone Illustration", "d:Description": "Specializing in archaeological, paleontological, and faunal illustration.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.midrivers.com/~gdamone/"} +{"d:Title": "Wienandt Marzejon, Kristen CMI", "d:Description": "Chicago-based certified medical illustrator and designer. Online portfolio.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.medartdesign.com/"} +{"d:Title": "Zoobotanica", "d:Description": "Freelance digital and traditional illustration with specialist knowledge in all areas of botany, zoology, paleontology and biology. London, England.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.scientific-art.com/"} +{"d:Title": "Medical Illustration Source Book", "d:Description": "Images from professional illustrators and photographers advertising in the Association of Medical Illustrators Source Book, published by Serbin Communications, Inc.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.medillsb.com/"} +{"d:Title": "MedicalIllustration.net", "d:Description": "Medical illustrations, animations and multimedia for doctors, surgeons, and advertising agencies.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.medicalillustration.net/"} +{"d:Title": "Imagineering Media Services, Inc.", "d:Description": "Specializing in medical, scientific, legal and technical illustrations and animations for the textbook publishing industry.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.imagineeringart.com/"} +{"d:Title": "Foerster Studios", "d:Description": "Gallery and portfolio of John Foerster; includes surgical, editorial and veterinary illustrations.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.foersterstudios.com/"} +{"d:Title": "Bioperspective", "d:Description": "Illustrator of medical and biological subjects. Examples of fine art, equine, and biomedical illustration and animation are available.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.bioperspective.com/"} +{"d:Title": "Grivas, Andrew", "d:Description": "Medical and biological illustration for editorial, advertising, surgical technique and biotech device manufacturers.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.digitalreflexions.com/"} +{"d:Title": "Fairman Studios", "d:Description": "Portfolio for Jennifer Fairman, specializing in traditional and digital media for medical and biomedical illustration, 2D animation, interactive media and web design.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://fairmanstudios.com/"} +{"d:Title": "Petersen, Paul", "d:Description": "Creates realistic anatomical and scientific illustrations.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.paulpetersen.net/"} +{"d:Title": "Thomas, Pat", "d:Description": "Providing anatomical images for the legal community, publishers, advertising, and marketing.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.patmedicalart.com/"} +{"d:Title": "Kikutani, Utako", "d:Description": "Portfolio of a scientific illustrator. Illustrations of animals, plants, insects, and birds.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.utakokikutani.com/"} +{"d:Title": "Carlson, Gary", "d:Description": "Contemporary medical and biotechnical illustration and animation for advertising and publishing.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.gcarlson.com/"} +{"d:Title": "One Dream Design", "d:Description": "Medical illustration, exhibit design, animatronic sculpture, art and pottery, general illustration, hospital interior, and human space design.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.onedreamdesign.com/"} +{"d:Title": "Geras Healthcare Productions", "d:Description": "Specialized in knowledge-based custom medical and conceptual illustration and animation.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.audrageras.com/"} +{"d:Title": "Right Brain Visualization", "d:Description": "Available freelance artist specializing in medical illustration, 3D and 2D animation, web graphics and cartooning.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.peterjurek.com/"} +{"d:Title": "Graphic Pulse", "d:Description": "Renderings of figures, anatomy, medical instruments, editorial, and biological work.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.graphicpulse.com/"} +{"d:Title": "Peter Gardiner - Medical Illustrator", "d:Description": "Freelance medical illustrator and artist. Includes portfolio.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.medical-illustrator.co.uk/"} +{"d:Title": "Clark, Lisa", "d:Description": "Offers custom and stock scientific and medical illustration.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.clark-illustration.com/"} +{"d:Title": "JirehDesign.com", "d:Description": "Medically accurate eye illustrations of eye anatomy, eye conditions, diseases and common eye surgical procedures.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.jirehdesign.com/"} +{"d:Title": "Xvivo, LLC", "d:Description": "Film quality animation for pharmaceutical and medical device MOAs, museum exhibits and broadcast.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.xvivo.net/"} +{"d:Title": "Mikel, Gina", "d:Description": "Provides artist's portfolio of scientific and botanical illustration.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.scientificillustrator.com/"} +{"d:Title": "Medartist.com", "d:Description": "Resource for artists, publishers, doctors, and other clients, with samples of work from a variety of illustrators.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://medartist.com/"} +{"d:Title": "BioVisual Communications", "d:Description": "Provides digital and traditional illustration services for professionals and companies engaged in the fields of health, medicine, science, and biotechnology.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.biovisuals.com/"} +{"d:Title": "Yesko, John", "d:Description": "Services include interactive multimedia production, web site design, and medical illustration and animation.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.yesko.com/"} +{"d:Title": "Wickenhiser-Schaudt, Carol", "d:Description": "Graphite illustrations for Dr. Curtis Ebbesmeyer's upcoming book: \"All Things Afloat.\" Botanical illustrations. Watercolors.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.schaudt.us/watercolors/"} +{"d:Title": "Symmation", "d:Description": "Studio that specializes in creating accurate multimedia solutions for those in the medical, scientific, technical and educational sectors.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.symmation.com/"} +{"d:Title": "Azzarello, Jayne", "d:Description": "Medical and biological illustration, design, desktop publishing and library research. Includes portfolio, pricing and resume.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.jayneazzarello.com/"} +{"d:Title": "Articulate Graphics", "d:Description": "Medical, surgical and commercial artwork for advertising, publishing, and patient education. Offers stock images and contact information.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.articulategraphics.com/"} +{"d:Title": "Science Illustration", "d:Description": "A portfolio of science illustrations in a variety of color and black and white media by Emily S. Damstra. Includes projects and merchandise.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.emilydamstra.com/"} +{"d:Title": "NetterImages.com", "d:Description": "A collection of medical illustrations by medical illustrator, Frank H. Netter, MD and physician-artists, John Craig and Carlos Machado.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.netterimages.com/"} +{"d:Title": "Rojas, Megan E.", "d:Description": "Specializing in surgical and anatomical medical illustration, as well as animation, fine art, and design. Can accept freelance work on a case-by-case basis.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.medicalillustrator.com/"} +{"d:Title": "LifeHouse Productions", "d:Description": "Specializing in biomedical animation and computer graphics. Gallery and client list.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.lifehouseproductions.com/"} +{"d:Title": "Jakesevic, Nenad", "d:Description": "Mostly conceptual, scientific, and medical illustration. Drawings, paintings, and digital files.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.nenadj.net/"} +{"d:Title": "Watermark", "d:Description": "A creative studio that specializes in medical and scientific animations and illustrations for health care industry.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://watermark-inc.com/"} +{"d:Title": "Equinox Graphics", "d:Description": "Professional illustration and animation of the physical/biological sciences", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.e-nox.net/"} +{"d:Title": "Random42", "d:Description": "Global scientific communication agency that creates medical animation, virtual reality, interactive and booth experiences for the pharmaceutical and biotech industry.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.random42.com/"} +{"d:Title": "Inky Mouse Studios", "d:Description": "Specializing in creating anatomically correct custom and stock illustrations to fit each clients needs.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.inkymousestudios.com/"} +{"d:Title": "KO Studios", "d:Description": "Creating medical animations and illustrations for the healthcare, biotechnology, and pharmaceutical industries. They also have many stock images available for relicensing.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.kostudios.com/"} +{"d:Title": "Ventnor Botanical Artists", "d:Description": "Original botanical art on exhibit and for sale. Botanical painting records the whole character of a plant; accuracy and attention to detail is very important.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.ventnorbotanicalartists.org.uk/"} +{"d:Title": "Twomey, Catherine", "d:Description": "Medical and equine illustration and communications. Specializing in content for healthcare, educational, and pharmaceutical industries.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://catherinetwomeyart.blogspot.com/"} +{"d:Title": "Olson, Eric", "d:Description": "Provides medical illustration, animation, and design.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.emedillustration.com/"} +{"d:Title": "Medart", "d:Description": "Philip Wilson FMAA RMIP, Medical and Scientific Illustrator - anatomical, surgical, clinical artworks in colour, line and halftone.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.medart.plus.com/"} +{"d:Title": "MD Communications", "d:Description": "Illustrator Mike de la Flor offers biomedical, technical, and scientific illustration, Web site design, and software training.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.mdcommunications.com/"} +{"d:Title": "Visible Productions", "d:Description": "Develops biomedical visualization materials and educational products such as 3D anatomical models, animations, and illustrations.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.visibleproductions.com/"} +{"d:Title": "Megalo-Media Illustration", "d:Description": "Specializing in traditional and digitally rendered medical and biological illustration, design, and animation.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.meganlovestodraw.com/"} +{"d:Title": "Nucleus Medical Art", "d:Description": "Creates and licenses illustrations, animation, and interactive media for health-related corporations and institutions. Includes details of database.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://www.nucleuscatalog.com/"} +{"d:Title": "Inside Productions", "d:Description": "Dedicated to the design and production of scientifically accurate, and asthetically pleasing medical and scientific animation for the healthcare industry.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific", "url": "http://inside.productions/"} +{"d:Title": "Institute of Medical Illustrators", "d:Description": "Professional association in the United Kingdom focusing on clinical photography, art, graphics and video in healthcare. Includes information about the Institute, education, careers, news, galleries, meetings, and related resources.", "topic": "Top/Arts/Illustration/Specialized/Medical_and_Scientific/Organizations", "url": "http://www.imi.org.uk/"} +{"d:Title": "Gigart.com", "d:Description": "Freelance illustrator/graphic designer specializing in Rock n Roll and concert merchandise. Traditional drawing to vector art on the computer.", "topic": "Top/Arts/Illustration/Specialized/Music_Illustration", "url": "http://www.gigart.com/"} +{"d:Title": "Wild West Yorkshire", "d:Description": "The nature diary of wildlife illustrator Richard Bell. Watercolour sketches, diary, and links. Site search facility for over 1000 pages.", "topic": "Top/Arts/Illustration/Specialized/Nature_and_Wildlife", "url": "http://www.wildyorkshire.co.uk/naturediary/"} +{"d:Title": "Folke Bagger", "d:Description": "Illustrator of animals.", "topic": "Top/Arts/Illustration/Specialized/Nature_and_Wildlife", "url": "http://www.folkebagger.se/"} +{"d:Title": "John Obadiah Westwood: Nature Artist", "d:Description": "Features text and naturalistic art.", "topic": "Top/Arts/Illustration/Specialized/Nature_and_Wildlife", "url": "http://www.lib.ncsu.edu/archivedexhibits/westwood/"} +{"d:Title": "Michael Rothman Natural Science Illustration", "d:Description": "Natural science illustration with attention to habitat reconstructions prepared with traditional media.", "topic": "Top/Arts/Illustration/Specialized/Nature_and_Wildlife", "url": "http://www.science-art.com/member/?id=19"} +{"d:Title": "The Great American Pin-Up", "d:Description": "Site devoted to classic pin-up art, with galleries, links and information on buying and collecting. From Louis K. Meisel Gallery.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://www.greatamericanpinup.com/"} +{"d:Title": "Mutoscope World", "d:Description": "Dedicated to pin-up art, especially Mutoscope cards of the 1940s. History, biographies, galleries of artists such as Elvgren, and Moran.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://www.mutoworld.com/"} +{"d:Title": "Pin-Up Art Page", "d:Description": "Artwork by Elvgren, Vargas, Willis, and others.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://mariajoanne.tripod.com/index-page.html"} +{"d:Title": "40's - 50's Pinup Art", "d:Description": "Classic art image directory.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://www.loti.com/pinups/"} +{"d:Title": "But Is It Art?", "d:Description": "Well, yes.. by Shirley Christian. A trove of pinups at the university of Kansas is admired by all sorts, including some feminists.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://www.nytimes.com/1998/11/25/arts/but-it-art-well-yes-trove-pinups-university-kansas-admired-all-sorts-including.html"} +{"d:Title": "The Pin-up Webring", "d:Description": "Dedicated to pin-up art and retro glamour of the past and present.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://www.webring.org/hub?ring=pinupring"} +{"d:Title": "Painted Ladies", "d:Description": "From a Kitchen Sink Press card-set (compiled by Max Allan Collins), portions of which also appear in the FAQ for news:alt.binaries.pictures.erotica.art.pin-up.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://faqtuel.tripod.com/"} +{"d:Title": "Langello studios", "d:Description": "Pinup art.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups", "url": "http://d-langello.tripod.com/"} +{"d:Title": "The Pin-up Files: Rolf Armstrong", "d:Description": "Biography and a selection of his pinup artwork.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Armstrong,_Rolf", "url": "http://www.thepinupfiles.com/armstrong.html"} +{"d:Title": "The Pin-up Files: Billy De Vorss", "d:Description": "Biography and a selection of his pinup artwork.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/De_Vorss,_Billy", "url": "http://www.thepinupfiles.com/devorss.html"} +{"d:Title": "The Pin-up Files: Peter Driben", "d:Description": "Biography and a selection of his pinup artwork.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Driben,_Peter", "url": "http://www.thepinupfiles.com/driben.html"} +{"d:Title": "Elvgren, Gil", "d:Description": "Biography and works of Gil Elvgren (1914-1980), pin-up and glamour artist of the twentieth century.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Elvgren,_Gil", "url": "http://www.gilelvgren.com/"} +{"d:Title": "American Art Archives: Gillette Elvgren", "d:Description": "Image gallery.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Elvgren,_Gil", "url": "http://www.americanartarchives.com/elvgren.htm"} +{"d:Title": "The Pinup Files: Gil Elvgren", "d:Description": "Image gallery for the vintage pinup art of Gil Elvgren.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Elvgren,_Gil", "url": "http://www.thepinupfiles.com/elvgren1.html"} +{"d:Title": "Janesko, Jennifer", "d:Description": "Original airbrush paintings celebrating the female form. The artist's official website.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Janesko,_Jennifer", "url": "http://www.janeskofineart.com/"} +{"d:Title": "Dave Stevens", "d:Description": "The official website of the artist.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Stevens,_Dave", "url": "http://www.davestevens.com/"} +{"d:Title": "The Pin-up Files: Alberto Vargas", "d:Description": "Biography and a selection of his pinup artwork.", "topic": "Top/Arts/Illustration/Specialized/Pin-ups/Vargas,_Alberto", "url": "http://www.thepinupfiles.com/vargas1.html"} +{"d:Title": "Jill Butler Design", "d:Description": "Fun, unexpected cut-paper collage and watercolor illustration for housewares and home furnishings. Food, building, and product illustration, as well as Paris themes.", "topic": "Top/Arts/Illustration/Specialized/Product_Illustration", "url": "http://www.jillbutler.com/"} +{"d:Title": "Hall, Bill", "d:Description": "Digital and traditional artist specializing in action sports illustrations.", "topic": "Top/Arts/Illustration/Specialized/Sports", "url": "http://www.billhall.com/"} +{"d:Title": "Sheppard, Josh", "d:Description": "Samples of storyboards for film and commercials. Also includes a tutorial section.", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://www.thestoryboardartist.com/"} +{"d:Title": "Storyboards Online", "d:Description": "Online agency delivering storyboards and comps.", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://storyboardsonline.com/"} +{"d:Title": "Ebling, Alice", "d:Description": "Online portfolio showcasing storyboards and illustrations from Berlin-based artist.", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://www.alice-ebling.de/"} +{"d:Title": "Kisman, Edu", "d:Description": "Portfolio of Dutch storyboard artist for film and commercials.", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://edukisman.tripod.com/"} +{"d:Title": "Norman, David", "d:Description": "Germany-based storyboard artist and illustrator", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://www.davidnorman.com/"} +{"d:Title": "Nealey, Jim", "d:Description": "Artist portfolio site with samples and rates.", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://www.storyboardnow.com/"} +{"d:Title": "Vosburg, Mike", "d:Description": "Online portfolio of storyboard artist and illustrator Mike Vosburg.", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://www.vozart.com/"} +{"d:Title": "Degrune, Pascal", "d:Description": "Belgian storyboarder and production illustrator working for films, commercials and advertising agencies", "topic": "Top/Arts/Illustration/Specialized/Storyboards", "url": "http://yourprofolio.com/visualdreams"} +{"d:Title": "InfoDesign", "d:Description": "Provides information designers and information architects with up-to-date information and communication facilities on aspects of the growing field of Information Design and Information Architecture. Its main objective is to collect, structure and disclose relevant resources.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.informationdesign.org/"} +{"d:Title": "Poynter Institute", "d:Description": "Descriptions and signup forms for a seminar track in visual journalism at this school for journalists, future journalists, and teachers of journalism.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.poynter.org/"} +{"d:Title": "Society for News Design", "d:Description": "This professional society for visual journalists offers infographics workshops, competitions, conferences, job banks, discussion boards and a quarterly magazine.", "priority": "1", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.snd.org/"} +{"d:Title": "Society for Environmental Graphic Design", "d:Description": "Description of this international non-profit educational organization, including resources for design specialists in the field of environmental graphic design, architecture, and landscape, interior, and industrial design.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.segd.org/"} +{"d:Title": "The Work of Edward Tufte", "d:Description": "Tufte is considered a \"must-read\" in the field of information graphics. Descriptions of his books, courses, art, and a useful discussion board for information designers.", "priority": "1", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.edwardtufte.com/"} +{"d:Title": "International Institute of Information Design", "d:Description": "Information about the IIID, including a description of the organization, membership form, and information design resources.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.iiid.net/"} +{"d:Title": "Argus Center for Information Architecture", "d:Description": "Features resources for learning about the theory and practice of information architecture: upcoming events, people profiles, community discussion.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://argus-acia.com/"} +{"d:Title": "An Atlas of Cyberspaces", "d:Description": "Shows fascinating visual representations of the Internet's diversity, structure and content including information maps, spaces, and landscapes. Also a description of the accompanying book Atlas of Cyberspace.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://personalpages.manchester.ac.uk/staff/m.dodge/cybergeography/atlas/atlas.html"} +{"d:Title": "Visual.ly", "d:Description": "A community platform for data visualization and infographics. Also offers products and resources.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://visual.ly/"} +{"d:Title": "Cool Infographics", "d:Description": "An infographic blog authored by Randy Krum that highlights some of the best examples of data visualizations and infographics.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.coolinfographics.com/"} +{"d:Title": "Information Design Journal", "d:Description": "A peer-reviewed international journal that bridges the gap between research and practice in information design.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "https://benjamins.com/#catalog/journals/idj/main"} +{"d:Title": "Visible Business", "d:Description": "Digital infographics library including diagrams and data and concept visualizations from the World of business.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://visiblebusiness.blogspot.com/"} +{"d:Title": "infogr.am", "d:Description": "Create, publish and share data visualizations, infographics and online charts. Has free and paid options.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://infogr.am/"} +{"d:Title": "NerdGraph", "d:Description": "An infographic blog featuring infographics in a wide range of categories.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.nerdgraph.com/"} +{"d:Title": "Venngage", "d:Description": "An easy to use tool that allows users to create infographics. Offers free and paid packages.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://venngage.com/"} +{"d:Title": "Infographic Database", "d:Description": "A regularly updated infographic blog where you can submit your infographics. Also offers a huge list of infographic submission websites.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://infographicdatabase.com/"} +{"d:Title": "Shit Hot Infographics", "d:Description": "An infographic blog by David Eaves with archives dating back to November 2013. Submit your infographics for free.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "https://shithotinfographics.wordpress.com/"} +{"d:Title": "Visual Broadcast", "d:Description": "An infographic website from Designbysoap Ltd with substantial reviews of infographics in a range of topics.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://visualbroadcast.com/"} +{"d:Title": "HTML5 Infographics", "d:Description": "A blog dedicated to showcasing the latest innovations in HTML5 interactive infographic design and development.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://html5infographics.com/"} +{"d:Title": "Alltop: Infographics", "d:Description": "Infographics news and headlines from across the web.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://infographics.alltop.com/"} +{"d:Title": "reddit", "d:Description": "Provides links to infographics posted by users along with votes and comments.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://www.reddit.com/r/infographics"} +{"d:Title": "Infographic Journal", "d:Description": "A blog that focuses solely on the best infographics that are currently being published around the internet.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://infographicjournal.com/"} +{"d:Title": "Infographic Plaza", "d:Description": "This infographic blog features the best static and video infographics from all over the web. Free and paid submission options.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://infographicplaza.com/"} +{"d:Title": "The Infographics Lab - University of Oregon", "d:Description": "A description of this research facility and their programs in the Department of Geography at the University of Oregon.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics", "url": "http://infographics.uoregon.edu/"} +{"d:Title": "Kent Leech Illustration", "d:Description": "A technical illustration studio based in San Francisco specializing in automotive, mechanical, medical and product illustration.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.kentleech.com/"} +{"d:Title": "Autotechart", "d:Description": "Technical illustrations and cutaway drawings, specializing in the automotive industry.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.autotechart.com/"} +{"d:Title": "Carol Zuber-Mallison - ZM Graphics", "d:Description": "Samples of work from this freelance artist specializing in maps, charts and informational graphics.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.zmgraphics.com/"} +{"d:Title": "Funnel Incorporated", "d:Description": "USA. Designers of infographics, icons, maps and instructions. Includes profile, case studies, client lists, examples, and contact form.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.funnelinc.com/"} +{"d:Title": "Gary Newman - New Mango", "d:Description": "Portfolio of infographics, technical illustration, cartography and design for print and web.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.newmango.com/infographics.html"} +{"d:Title": "George Ladas", "d:Description": "Scientific and technical illustration for advertising, publishing, film and video production.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.base24.com/"} +{"d:Title": "John Hancock - Bonzai Graphics", "d:Description": "A portfolio of Bonsai's infographics and illustrations.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.bzart.com/index.html"} +{"d:Title": "Kevin Hulsey Technical Illustration", "d:Description": "Samples of automotive and product technical cutaway illustrations, and stock images available for resale.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.khulsey.com/"} +{"d:Title": "MONKEYmedia", "d:Description": "Portfolio of information architecture and interface design.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.monkey.com/"} +{"d:Title": "Nidus Corp. Technical Illustration", "d:Description": "Samples of technical illustration including exploded views, electronic schematics, monochrome and full color drawings, custom clip art, line art. An interesting tutorial on how to draw a bird five different ways, from a technical illustrator's perspective.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.nidus-corp.com/"} +{"d:Title": "Tim Barker Studio", "d:Description": "An online portfolio of technical and editorial illustration.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.timbarkerstudio.com/"} +{"d:Title": "Tim McElyea - Media Fusion", "d:Description": "Technical illustration blending the latest computer technology to produce detailed illustrations of items ranging from spacecraft to computer networks.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.fusiononline.com/"} +{"d:Title": "XPLANE", "d:Description": "Customer-oriented visual explanations of sales and business issues. Includes case studies, weblogs on visual thinking and business issues, and resources for visual communication.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.xplane.com/"} +{"d:Title": "Marshall Clemens - Idiagram", "d:Description": "Examples of knowledge visualization, as well as a paper that provides an overview of the theory, capabilities and uses of Idiagram's visual modeling methods.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.idiagram.com/"} +{"d:Title": "Advanced Animations (UK) Ltd", "d:Description": "Samples of the company's technical publications, illustration, graphics and cartography.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.advancedillustration.co.uk/"} +{"d:Title": "Richard Kruse", "d:Description": "Technical illustrations and custom graphics. Aviation, space, and military related topics.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.richardkruse.com/"} +{"d:Title": "Nigel Holmes Explanation Graphics", "d:Description": "A portfolio of explanation graphics for publications including Time and Sports Illustrated. Also links to articles, lectures and books by Nigel.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://nigelholmes.com/"} +{"d:Title": "Neomam Studios", "d:Description": "An infographic design agency based in Manchester, UK. Read about the team, services and results on the website.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://neomam.com/"} +{"d:Title": "Nowsourcing", "d:Description": "A social media agency based in Louisville, Kentucky specializing in infographic design. Learn about the services or view the portfolio.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://nowsourcing.com/"} +{"d:Title": "DesignbySoap", "d:Description": "A UK creative agency offering infographic design and content marketing. View services, portfolios and resources.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.designbysoap.co.uk/"} +{"d:Title": "Column Five", "d:Description": "Offers infographics, data visualization and motion graphics. Based in California.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.columnfivemedia.com/"} +{"d:Title": "InfoNewt", "d:Description": "Infographic designers providing data visualization and infographic design for corporations. Located in the Dallas-Fort Worth area in Texas.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://infonewt.com/"} +{"d:Title": "Leanne Kroll", "d:Description": "Offers samples of her technical illustrations, line drawings and infographics. Based in Greater Toronto area.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://leannekroll.com/"} +{"d:Title": "Info-Graphics", "d:Description": "Specializes in technical illustration, animation, publishing, and communication. Learn about their work and skills on the site.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.info-graphics.com/"} +{"d:Title": "Vic Kulihin Illustration", "d:Description": "Portfolio of instructional, infographic, and technical illustrations.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.vickulihinillustration.com/"} +{"d:Title": "Kristina Ferris Graphics", "d:Description": "Provides map illustration, information graphics, charts and technical diagrams. Based in London with clients nationwide.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.kristinaferris.com/"} +{"d:Title": "Richard Palmer Graphics", "d:Description": "Portfolio of technical illustrations, infographics and other examples of recently published work.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.richardpalmergraphics.com/"} +{"d:Title": "Albert Maier", "d:Description": "Specialist in technical illustration, animation, motion graphics, mechanical simulation, visuals, online manuals, explanatory video.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.illustrationz.co.nz/"} +{"d:Title": "Ernesto Olivares", "d:Description": "Offers an infographic design service, company information, portfolio and blog.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://english.ernestoolivares.com/"} +{"d:Title": "Kayak Illustration", "d:Description": "Provides technical, medical and product illustration services. View portfolio and testimonials.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.techillustration.co.uk/"} +{"d:Title": "WH&Partners", "d:Description": "Offers information graphic design services and a showcase of their work. Based in Essex, United Kingdom.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.whpinformationgraphics.co.uk/"} +{"d:Title": "Tony Linka Illustration", "d:Description": "Technical and scientific illustration, 3d models and animations, product and graphic design.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.tonylinka.com/"} +{"d:Title": "Dehahs Graphics", "d:Description": "Data visualization, 3D graphics and technical illustration by Shahed Syed.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.shah3d.com/"} +{"d:Title": "Infogr8", "d:Description": "Specialist visual design agency providing data research, infographic design and distribution.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.infogr8.com/"} +{"d:Title": "KarBel Multimedia", "d:Description": "Creative agency specializing in static, interactive and motion infographics for print, online, mobile and television.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.karbelmultimedia.com/"} +{"d:Title": "Prime Infographics", "d:Description": "Provides an infographic and motion graphic design service. Learn about the design process, services and pricing.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://primeinfographics.com/"} +{"d:Title": "Infographic Promotion", "d:Description": "Offers infographic design, social media and blog promotion. Based in Morecambe in the North West of England.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.infographicpromotion.co.uk/"} +{"d:Title": "Patrick Lynch", "d:Description": "Information design resources and illustrations, by the director of the Yale University School of Medicine's Web Design and Development unit", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://coastfieldguides.com/"} +{"d:Title": "AntonosArt", "d:Description": "Offers illustrated graphics, commercial art, medical illustration. Based in Athens, Greece.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.antonosart.com/"} +{"d:Title": "High Impact", "d:Description": "Offers infographic design and promotion services. Based in Bournemouth, United Kingdom.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.highimpact.co.uk/infographic-design-promotion"} +{"d:Title": "Onlyinfographics", "d:Description": "Offers infographic design, data research and promotion services. View portfolio, prices and FAQs on the site.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.only-infographics.com/"} +{"d:Title": "VISU.AL", "d:Description": "Infographic design and data visualisation specialists based in the UK. View a case study and portfolio on the site.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://visu.al/"} +{"d:Title": "Technical Publication Associates, Inc.", "d:Description": "Portfolio of technical illustrations, including two-dimensional drawings and schematics, three-dimensional isometric drawings, and exploded-view assembly illustrations.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://www.tpaone.com/"} +{"d:Title": "Fishfinger Creative Agency", "d:Description": "Infographic design portfolio from London based agency.", "topic": "Top/Arts/Illustration/Specialized/Technical_and_Infographics/Designers_and_Agencies", "url": "http://fishfinger.me/category/portfolio-infographics/"} +{"d:Title": "Laughing Stock", "d:Description": "Searchable index of stock illustration. Pricing calculator and lightbox provided.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.laughing-stock.com/"} +{"d:Title": "Marie Bertrand Stock Illustration", "d:Description": "French illustrator based in Paris provides a stock of digital images and illustrations in searchable updated database.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://idez.net/illustration"} +{"d:Title": "Bob Staake", "d:Description": "Humorous illustrations, cartoons and digital art for purchase.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.bobstaake.com/"} +{"d:Title": "Artservant Christian Pictures", "d:Description": "Christian oriented illustrations which express evangelical themes.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.artservant.com/"} +{"d:Title": "Laertis Art Studios", "d:Description": "Conceptual illustrations and stock work, in natural media. The resource is organized into field of use.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.laertisart.com/"} +{"d:Title": "Illustration Works", "d:Description": "Stock illustration index searchable by category, concept or by illustrator's name.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.illustrationworks.com/"} +{"d:Title": "Stephanie Carter Illustration", "d:Description": "Traditional realism and computer generated images. Stock illustrations available.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://stephaniecarter.com/"} +{"d:Title": "Jason Schneider Illustration", "d:Description": "Contemporary illustrations in a variety of categories, including business, entertainment, finance, issues and travel.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.jasonschneider.com/"} +{"d:Title": "Steven Salerno", "d:Description": "Whimsically stylized and colorful stock illustrations in digital media, searchable with keywords and themed categories.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.stevensalerno.com/"} +{"d:Title": "IllustrationOnLine", "d:Description": "Stock illustrations supplied by numerous artists. Many different media and stylizes are represented, and are searchable by subject matter.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.illustrationonline.com/"} +{"d:Title": "Hadley Licensing", "d:Description": "An art image source primarily focused on licensing painterly works. A wide variety of styles and artists are represented.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.hadleylicensing.com/"} +{"d:Title": "ImageZoo Media", "d:Description": "Rights-protected and royalty free stock illustration source, searchable with keywords. Also includes royalty free collections for order.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.imagezoo.com/"} +{"d:Title": "Paulo Buchinho Illustration", "d:Description": "Royalty free stylized vector illustrations available on CDs. The images may also be individually orderable.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.paulobuchinho.com/"} +{"d:Title": "Oliver Weiss Stock Archive", "d:Description": "Illustrations in various styles, including line art, black and white, as well as color. Sorted by category uses, and searchable with keywords.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.oweiss.com/stock/"} +{"d:Title": "News Art", "d:Description": "Search and download editorial artwork covering a range of topical events. Art in wide variety of media cratered by different artists.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.newsart.com/"} +{"d:Title": "Art by Andy Nortnik", "d:Description": "Features retro, vintage, and cartoon style clip art and t-shirts.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.andynortnik.com/"} +{"d:Title": "Vectorstock", "d:Description": "Royalty free vector stock images, illustrations, graphics and icons. Multiple artists.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.vectorstock.com/"} +{"d:Title": "IBD Licensing", "d:Description": "Image licensing agency with a library of rights managed images for all occasions and products. Illustration, art, photography, and bespoke images for license.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.ibd-licensing.co.uk/"} +{"d:Title": "StockIllustrations", "d:Description": "Stock illustration index searchable by artist, subject, style, and color.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.stockillustrations.com/"} +{"d:Title": "Toonbook2", "d:Description": "Stock vector illustrations by Andr\u00e9 Verheye. Sorted by category.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.toonbook2.com/"} +{"d:Title": "My Retro Clipart", "d:Description": "A collection of retro style illustrations searchable by category.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.myretroclipart.com/"} +{"d:Title": "Beverly Brown", "d:Description": "Rights managed illustration and photography archive, searchable by keyword, subject and concept.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://beverlybrown.com/"} +{"d:Title": "Caricaturesoft Clip Art", "d:Description": "A collection of clip art sorted by category.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.caricaturesoft.com/gallery/"} +{"d:Title": "Graphic Leftovers", "d:Description": "Royalty free vector illustrations, logos, icons and design elements for print and use on websites, presentations and marketing materials.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://graphicleftovers.com/"} +{"d:Title": "The Vector Lab", "d:Description": "Vector clip art and illustrations available under royalty free license.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://thevectorlab.com/"} +{"d:Title": "ClipartOf", "d:Description": "Royalty free stock illustrations and clip art in vector and raster formats.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.clipartof.com/"} +{"d:Title": "ClipArtIllustration.com", "d:Description": "Royalty free stock illustrations including the orange man series.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.clipartillustration.com/"} +{"d:Title": "The Cartoon Express", "d:Description": "Around a thousand of royalty free cartoon illustrations by Andre Adams.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.thecartoonexpress.com/"} +{"d:Title": "Clipart Cartoon Drawings", "d:Description": "A large collection of cartoon illustrations created by several artists. Can be used as school mascots, brand mascots and for advertising. Images are organized in sets featuring the same mascot.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.clipartcartoondrawings.com/"} +{"d:Title": "Picons.me", "d:Description": "Royalty free vector icons for application designers", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://picons.me/"} +{"d:Title": "Vectorious.Net", "d:Description": "Over 14,000 stock vector images created by Vectorious.Net design studio. Some images are free, most require subscription to download.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.vectorious.net/"} +{"d:Title": "Bridgeman Art Library", "d:Description": "Fine art images for publication. Site requires registration.", "topic": "Top/Arts/Illustration/Stock_and_Clip_Art", "url": "http://www.bridgemanimages.com/"} +{"d:Title": "Elwood Studio", "d:Description": "Illustration and design services, including airbrush-style drawings, technical illustration and 3-D modeling.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.elwoodstudio.com/"} +{"d:Title": "Toy Rat", "d:Description": "San Francisco based. Providing watercolors and illustrations for the wine and spirits industry and annual reports. \"Purveyors of Aesthetic Propaganda\"", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.toyrat.com/"} +{"d:Title": "De Muth Design", "d:Description": "Illustrations and design for agencies, magazines, book publishers, and all web media.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.demuthdesign.com/"} +{"d:Title": "Zeiber Illustration&Design", "d:Description": "Illustration, graphics, and design for all media.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.zeiber.com/"} +{"d:Title": "ArtRampage", "d:Description": "Providing line and airbrush illustrations, print design, Flash animation, 3D graphics, clip art, and oil paintings.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.artrampage.com/"} +{"d:Title": "The Drawing Book Studio", "d:Description": "Illustrators to the advertising and publishing industries.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.drawingbook.com.au/"} +{"d:Title": "Patelis Entertainment Inc.", "d:Description": "Entertainment studio specializing in creature design, fantasy and editorial illustration, and design work.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.patelisentertainment.ca/"} +{"d:Title": "Elliott Design", "d:Description": "Magazine and web illustration, animation and comic strip work.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.elliott-design.com/"} +{"d:Title": "Era Art", "d:Description": "Studio operated by two freelance illustrators based in Scotland.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.era.art.freeuk.com/"} +{"d:Title": "Erde702", "d:Description": "Japanese-based illustration and design production. Many works made with Flash and Illustrator.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.erde702.com/"} +{"d:Title": "Santalucia Art, Inc.", "d:Description": "Children's and advertising illustration, such as realistic humorous animals, fruit, and animation. Also includes stock images.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.picturegrill.com/"} +{"d:Title": "TheOko Art Studio", "d:Description": "Architectural, editorial and advertising Illustrations by a studio based in Melbourne, Australia.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.oko.com.au/"} +{"d:Title": "Kalynn Campbell and Roulette Studios", "d:Description": "Current and archival work of lowbrow - underground artist and illustration and design firm specializing in pop music work.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.roulettestudios.com/"} +{"d:Title": "Imagics Design Group", "d:Description": "Conceptual and technical illustrations for marketing communications, advertising design and computer graphics from concept to product.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.imagics.com/"} +{"d:Title": "Avalos Design", "d:Description": "Graphic design services and illustrations from South Florida designer Rafael Avalos.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.avalosdesign.com/"} +{"d:Title": "Living Art Productions", "d:Description": "Illustrations, cartoons, and photography.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.livingart.com/gallery/illustrations/illustrations.htm"} +{"d:Title": "Brad Walker Illustration", "d:Description": "Specializing in electronic illustration in multiple style s including realistic, cartoon, caricature or painterly. Client list and portfolio.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.bradwalkerillustration.com/"} +{"d:Title": "RDI Studio", "d:Description": "Flash illustrations, animations, and multimedia.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.rdistudio.com/"} +{"d:Title": "Thought Caf\u00e9", "d:Description": "Provides animated shorts for non-profit organizations, charities, and politicians to visualize important concepts. Lists their awards, work samples, a blog, and services provided.", "topic": "Top/Arts/Illustration/Studios", "url": "http://thoughtcafe.ca/"} +{"d:Title": "Innfusion", "d:Description": "Full-service studio of illustrators and designers.", "topic": "Top/Arts/Illustration/Studios", "url": "http://innfusionstudios.tumblr.com/"} +{"d:Title": "344 Design, LLC", "d:Description": "A Los Angeles design and illustration firm specializing in work for the advertising and music industry.Art director Stefan G. Bucher.", "topic": "Top/Arts/Illustration/Studios", "url": "http://www.344lovesyou.com/"} +{"d:Title": "Hatch Illustration", "d:Description": "Illustration and design studio catering to automotive, motorcycle, aviation, and watercraft industries.", "topic": "Top/Arts/Illustration/Studios", "url": "http://hatchillustrations.com/"} +{"d:Title": "Mayforth, Hal", "d:Description": "Humorous illustrator, and watercolor artist.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.mayforth.com/"} +{"d:Title": "Ross, Larry", "d:Description": "A collection of humorous illustrations done in a whimsical pen and ink with watercolor style about people, families, children, animals, business, sports, and the universe.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://larryross.net/"} +{"d:Title": "Lewis, Veda", "d:Description": "Humorous pen and ink and watercolor illustrations about food and wine culture.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.winebirds.com/"} +{"d:Title": "Yoon, Young-Min", "d:Description": "Humorous digital illustration in a slick, airbrush style.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.ymillustration.com/"} +{"d:Title": "Weiller, Raoul", "d:Description": "Humorous collage illustrations, using found objects and 1950s style photographs, combined with cut paper and original images.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.raoulweiller.com/"} +{"d:Title": "Stevens, Daryl", "d:Description": "Humorous vector illustrations and Flash animations. Stock art available. [Flash]", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.studio202.com/"} +{"d:Title": "Garbot, Dave", "d:Description": "Humorous illustrator working in traditional and electronic media for advertising, magazines, children's books, games, and educational use.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.garbot.com/"} +{"d:Title": "Fujisaki, Tuko", "d:Description": "Showcasing computer generated, pen and ink, watercolor, and mixed media illustrations.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.tukofujisaki.com/"} +{"d:Title": "Holder, Jimmy", "d:Description": "Humorous advertising and editorial illustrations, in pen and ink, and colored pencil media.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.jimmyholder.com/"} +{"d:Title": "Wayno", "d:Description": "Freelance illustrator based in Pittsburgh; includes samples of cartoons, caricatures and editorial art.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.wayno.com/"} +{"d:Title": "Parish, Shannon", "d:Description": "Cartoons, humorous illustrations, pen and ink stippling prints.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.artbyshannon.com/"} +{"d:Title": "Warren, Shari", "d:Description": "Humorous, whimsical illustrations and caricatures for editorials, children's books, and greeting cards.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.shariwarren.com/"} +{"d:Title": "Davick, Linda", "d:Description": "Illustration and animation for children and adults.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.lindadavick.com/"} +{"d:Title": "Walker, Kath", "d:Description": "UK cartoonist and illustrator online portfolio.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.kathwalker-illustration.co.uk/"} +{"d:Title": "Brooks, Lou", "d:Description": "Humorous illustration with a pop art flare.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.loubrooks.com/"} +{"d:Title": "Fitzpatrick, Brad", "d:Description": "Funny editorial and character illustration.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.bradfitzpatrick.com/"} +{"d:Title": "Brawner, Dan", "d:Description": "Humorous traditional and digital illustrations.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.brawnerart.com/"} +{"d:Title": "Golding, Matt", "d:Description": "Business cartoons and funny illustrations.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.threefingers.com.au/"} +{"d:Title": "Cline, Jeff", "d:Description": "Watercolor and digital illustrations for magazines, book publishers, and advertising.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.jeffcline.com/"} +{"d:Title": "Jones, Doug", "d:Description": "Humorous illustration in traditional and digital media.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.dougjonesart.com/"} +{"d:Title": "Becker, Paula", "d:Description": "Children's themed illustration and design.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.paulabecker.com/"} +{"d:Title": "Ten, Arnie", "d:Description": "Pen and ink and watercolor illustrations.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://arnieten.com/"} +{"d:Title": "Rosenbaum, Saul", "d:Description": "Fun geometric digital illustrations.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.saulrosenbaum.com/"} +{"d:Title": "Houston, Bill", "d:Description": "Cartoon and book illustration.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.billhouston.com/"} +{"d:Title": "Thoman, Cory", "d:Description": "Humorous illustrations and cartoons.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.900foot.com/"} +{"d:Title": "Reed, Chris", "d:Description": "Bold and whimsical surface design in a cartoon style.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.chrisreedstudio.com/"} +{"d:Title": "Ritchie, Scot", "d:Description": "Fun and whimsical work from corporate to comic.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.scotritchie.com/"} +{"d:Title": "Willms, Russ", "d:Description": "Editorial and children's illustrations in watercolors and digital media.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.russwillms.com/"} +{"d:Title": "Hicks, Mark A.", "d:Description": "Freelance artist based in Arizona, USA. Features FAQs and portfolio of illustrations for books, magazines, greeting cards and advertising.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.markix.net/"} +{"d:Title": "Peak, Frank", "d:Description": "Illustration and character design for Editorial, Print and Film.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.frankpeak.com/"} +{"d:Title": "Murphy, Meghan", "d:Description": "Stylish graphic work.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.murphypop.com/"} +{"d:Title": "Swift, Gary", "d:Description": "Cartoon illustrations of people, animals and children.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.garyswift.com/"} +{"d:Title": "Mikecz, Melanie", "d:Description": "Traditional and digital illustrations.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.mm-illustration.com/"} +{"d:Title": "Chai, David", "d:Description": "Traditional whimsical illustration.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.houseofchai.net/"} +{"d:Title": "Sedova, Elena", "d:Description": "Illustrations, character designs and children's books.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.rabbitteam.com/"} +{"d:Title": "Hampton, Lance", "d:Description": "Cartoons, illustration and other forms of creative art.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://artboink.com/"} +{"d:Title": "Dave Sutton", "d:Description": "Illustrator; offers portfolio, profile, gallery, and contacts.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.davesutton.co.uk/"} +{"d:Title": "Motz, Mike", "d:Description": "Illustration and web graphics.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.mikemotz.com/"} +{"d:Title": "Mack, Steve", "d:Description": "Children's book and greeting card illustrator.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://stevemack.com/"} +{"d:Title": "Hunt, Jim", "d:Description": "Humorous illustrations for advertising, editorial, and promotional use.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://acartoonist.com/"} +{"d:Title": "Rowe, Vin", "d:Description": "Fun drawings and animations.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.vinrowe.com/"} +{"d:Title": "Grodek, Tom", "d:Description": "Whimsical and detailed illustrations featuring fictional architecture and conceptual works.", "topic": "Top/Arts/Illustration/Whimsical_and_Humorous", "url": "http://www.thehouseofgrodek.com/"} +{"d:Title": "A Celebration of Women Writers", "d:Description": "Listing of links to biographical and bibliographical information about women writers, and complete published books written by women. Searchable by time period, country, and author's last name.", "topic": "Top/Arts/Literature", "url": "http://digital.library.upenn.edu/women/"} +{"d:Title": "SparkNotes.com", "d:Description": "Study guides and discussion forums offered on various academic subjects. Literature section includes brief analyses of characters, themes and plots.", "topic": "Top/Arts/Literature", "url": "http://www.sparknotes.com/"} +{"d:Title": "Dictionary of Phrase and Fable", "d:Description": "Bartleby.com's publication of Brewer's classic. Includes derivation, source, or origin of common phrases, allusions, and words.", "topic": "Top/Arts/Literature", "url": "http://www.bartleby.com/81/"} +{"d:Title": "BookSpot", "d:Description": "Features book reviews and news, reading lists, and author and publisher information.", "topic": "Top/Arts/Literature", "url": "http://www.bookspot.com/"} +{"d:Title": "SwissEduc", "d:Description": "Reading list for university study, with synopses, background and selected texts for works covered.", "topic": "Top/Arts/Literature", "url": "http://www.swisseduc.ch/english/readinglist/"} +{"d:Title": "Booklist", "d:Description": "The digital counterpart of the American Library Association's Booklist magazine. Reviews of the latest books and electronic media.", "topic": "Top/Arts/Literature", "url": "http://www.booklistonline.com/"} +{"d:Title": "NovelGuide", "d:Description": "Provides study guides, literature profiles, metaphor and theme analysis, and author biographies for classic and contemporary works.", "topic": "Top/Arts/Literature", "url": "http://www.novelguide.com/"} +{"d:Title": "CBC: Books", "d:Description": "Articles and headline news from the Canadian broadcaster.", "topic": "Top/Arts/Literature", "url": "http://www.cbc.ca/books/"} +{"d:Title": "Scotsman: Books", "d:Description": "The literary pages of the Scotland daily; features reviews and author profiles. UK.", "topic": "Top/Arts/Literature", "url": "http://www.scotsman.com/lifestyle/books"} +{"d:Title": "Booknotes.org", "d:Description": "\"A companion web site to C-SPAN's Sunday author interview series . . . .\" Includes an extensive online archive (dating from 1989 up to the present) of author interviews in text-transcript form, with many excerpts also available in audio and/or video (RealPlayer format).", "topic": "Top/Arts/Literature/Authors", "url": "http://www.booknotes.org/"} +{"d:Title": "Eye on Books", "d:Description": "Audio interviews with authors of new and current fiction and non-fiction.", "topic": "Top/Arts/Literature/Authors", "url": "http://eyeonbooks.com/"} +{"d:Title": "Desperado Literature", "d:Description": "Interviews with contemporary novelists and poets.", "topic": "Top/Arts/Literature/Authors", "url": "http://lidiavianu.scriptmania.com/"} +{"d:Title": "Eclectics Authors", "d:Description": "Official web pages for several published authors of genre fiction including romance, mystery, and western. Includes writing contests and newsletter.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.eclectics.com/authors.html"} +{"d:Title": "Authors On The Web", "d:Description": "Includes news, events, and bibliographies.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.authorsontheweb.com/"} +{"d:Title": "AuthorsDen.com", "d:Description": "Authors freely post biographies, works, news, events and articles for readers to discover and read.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.authorsden.com/"} +{"d:Title": "Librarie", "d:Description": "A \"graphically enriched virtual library with multi-page sites dedicated to various writers and thinkers. Bibliographies and biographies included.\"", "topic": "Top/Arts/Literature/Authors", "url": "http://www.studiocleo.com/librarie/index.html"} +{"d:Title": "Meet the Author", "d:Description": "This site features audio clips of authors describing and introducing their books in their own words.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.meettheauthor.com/directory/"} +{"d:Title": "Findmeanauthor.com", "d:Description": "Discover an author by selecting from a genre specific database of photographs.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.findmeanauthor.com/"} +{"d:Title": "Bookworm's Lair", "d:Description": "Site has bibliographies of many authors, with updated bestsellers lists, and reviews.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.bookwormslair.de/"} +{"d:Title": "Kelly Writers House", "d:Description": "Gathering place and a resource for all kinds of writers and space for experiment and innovation on the Web, as well as on the streets of Philadelphia, by providing webcasts of author readings and interviews. with visiting writers and poets.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.writing.upenn.edu/~wh/"} +{"d:Title": "Literary Pilgrimages", "d:Description": "Visits to homes and haunts of Mark Twain, John Steinbeck, the Bront\u00eb sisters and others.", "topic": "Top/Arts/Literature/Authors", "url": "http://www.terryballard.org/literar2.htm"} +{"d:Title": "Great Writers and Poets", "d:Description": "A fairly wide-ranging directory of links to resources on the 'net for numerous authors, including information on Nobel Prize winners.", "topic": "Top/Arts/Literature/Authors", "url": "http://pwessel.home.xs4all.nl/writers.html"} +{"d:Title": "Amor, Daniel", "d:Description": "Multi-language site providing details of publications as well as summaries, tables of contents, forewords, introduction, sample chapters, read or submit reviews, as well as purchase details.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.ebusinessrevolution.com/"} +{"d:Title": "Aldington, Richard", "d:Description": "Writer, critic and poet. The site contains a biography, bibliography, some poetry, extensive details of collections and access to a newsletter.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.imagists.org/aldington/index.html"} +{"d:Title": "Acharya, Shanta", "d:Description": "Poet, author and educator with a biography and details of her publications in business, finance and literary studies.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.shantaacharya.com/"} +{"d:Title": "Ackerman, Diane", "d:Description": "Official home page of author with details of works, biography and contact information. Writer of nonfiction and poetry.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://dianeackerman.com/"} +{"d:Title": "Adkins, Leonard M.", "d:Description": "An author of books focussed on the outdoors. Details of publications, events and biography are provided.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.habitualhiker.com/"} +{"d:Title": "Africano, Lillian", "d:Description": "New Jersey author's web site includes biographical information, photos, an interview, and information on her titles.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.lillianafricano.com/"} +{"d:Title": "Adeyemo, Rufus Dele", "d:Description": "British Nigerian African writer and poet based in London. Details provided about publications, photos, what's new, favorite links, and guest book.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.deleadeyemo.20m.com/"} +{"d:Title": "Anirman, David", "d:Description": "Grecian rhetoric in rhyme. Book excerpts include a recently published 400 page narrative poem on the current world situation. Site shared with graphic artist Ruby Lee.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.skycloudmountain.com/index.html"} +{"d:Title": "Ash, Niema", "d:Description": "Writer of inspirational travel stories. Biography, excerpts, and photos.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.niemaash.com/"} +{"d:Title": "Anderson, Belinda", "d:Description": "West Virginia fiction author. Reviews, articles, appearances and profile.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.belindaanderson.com/"} +{"d:Title": "Antoni, Brian", "d:Description": "Novelist; with a biography, media reviews and interviews.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.brianantoni.com/"} +{"d:Title": "Abley, Mark", "d:Description": "Author, columnist and poet; with a blog, news, biography and bibliography.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.markabley.com/"} +{"d:Title": "Ainsworth, Harrison", "d:Description": "Chronology, biographical material and related Victorian era information.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.victorianweb.org/authors/ainsworth/index.html"} +{"d:Title": "Acker, Kathy", "d:Description": "Biography of an American experimental novelist, punk poet, playwright, essayist, postmodernist and sex-positive feminist writer.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.levity.com/corduroy/acker.htm"} +{"d:Title": "Andric, Ivo", "d:Description": "Details on the Nobel e-Museum of the 1961 Nobel laureate in literature. Speeches, biographical details and documentary and other information provided.", "topic": "Top/Arts/Literature/Authors/A", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1961/"} +{"d:Title": "Edward Abbey: Honesty in a Dishonest Age", "d:Description": "A brief page dedicated to the author, with a short excerpt from his diary, and a big color photo.", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Edward", "url": "http://www.rjgeib.com/thoughts/abbey/abbey.html"} +{"d:Title": "Arid Lands Newsletter", "d:Description": "A lengthy excerpt from Abbey's novel \"Desert Solitaire\".", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Edward", "url": "http://ag.arizona.edu/OALS/ALN/aln35/Abbey.html"} +{"d:Title": "Edward Abbey, Journeys Beyond the Wall", "d:Description": "A review by Sheryl Cunningham.", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Edward", "url": "http://www.bluffton.edu/~gundyj/Exposreviews/sheryl.htm"} +{"d:Title": "Hear His Voice", "d:Description": "Audio file (423k) - Ed Abbey reads a brief excerpt from Writing the Southwest.", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Edward", "url": "http://www.unm.edu/~wrtgsw/abbey.wav"} +{"d:Title": "The Edward Abbey Rendezvous", "d:Description": "The Yahoo club for Edward Abbey, with a discussion forum and links for fans.", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Edward", "url": "http://groups.yahoo.com/group/theedwardabbeyrendezvous/"} +{"d:Title": "Abbey's Web", "d:Description": "Dedicated to the life and work of the author, includes biographical and bibliographical material, as well as critical appreciations and reader-contributed articles on Abbey's writing, and related trivia and minutae of interest to hard-core enthusiasts.", "priority": "1", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Edward", "url": "http://www.abbeyweb.net/abbey.html"} +{"d:Title": "Dead Reckoning", "d:Description": "A Mexican writer comes to terms with the ghost of Edward Abbey.", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Edward", "url": "http://www.tucsonweekly.com/tw/11-30-95/cover.htm"} +{"d:Title": "Lynn Abbey's Home Page", "d:Description": "The author's own personal website.", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Lynn", "url": "http://www.lynnabbey.com/"} +{"d:Title": "Fantasy Literature", "d:Description": "Lynn Abbey's fantasy novels listed, described, and reviewed.", "topic": "Top/Arts/Literature/Authors/A/Abbey,_Lynn", "url": "http://www.fantasyliterature.com/fantasy-author/abbeylynn/"} +{"d:Title": "Flatland by Edwin A. Abbott", "d:Description": "The complete text, with references \" . . . linked to the Encyclopedia of the Self in HTML format.\"", "topic": "Top/Arts/Literature/Authors/A/Abbott,_Edwin_A./Flatland", "url": "http://www.selfknowledge.com/1au.htm"} +{"d:Title": "Flatland, A Romance of Many Dimensions", "d:Description": "Full text browsable from table of contents, including the original illustrations.", "topic": "Top/Arts/Literature/Authors/A/Abbott,_Edwin_A./Flatland", "url": "http://www.ibiblio.org/eldritch/eaa/FL.HTM"} +{"d:Title": "Flatland", "d:Description": "A romance of many dimensions. With Illustrations by the Author, A SQUARE (Edwin A. Abbott 1838-1926). HTML.", "topic": "Top/Arts/Literature/Authors/A/Abbott,_Edwin_A./Flatland", "url": "http://www.geom.uiuc.edu/~banchoff/Flatland/"} +{"d:Title": "Horagai: Abe Kobo", "d:Description": "Includes an interview with the author's daughter, a biography and bibliography. (English, Japanese)", "topic": "Top/Arts/Literature/Authors/A/Abe,_Kobo", "url": "http://www.horagai.com/"} +{"d:Title": "Interview with Abe Neri", "d:Description": "Transcript of an interview with Abe's daughter.", "topic": "Top/Arts/Literature/Authors/A/Abe,_Kobo", "url": "http://www.horagai.com/www/abe/xneri.htm"} +{"d:Title": "Abe, Kobo - Inter Ice Age 4", "d:Description": "Annotated abstract of Abe's work at Medical Humanities.", "topic": "Top/Arts/Literature/Authors/A/Abe,_Kobo", "url": "http://medhum.med.nyu.edu/view/476"} +{"d:Title": "Kobo Abe Bibliography", "d:Description": "A bibliography of Kobo Abe's books and short stories, with book covers.", "topic": "Top/Arts/Literature/Authors/A/Abe,_Kobo", "url": "https://www.fantasticfiction.com/a/kobo-abe/"} +{"d:Title": "At the Crossroads Hour", "d:Description": "Lewis Nkosi reviews Chinua Achebe: A Biography, by Ezenwa-Ohaeto.", "topic": "Top/Arts/Literature/Authors/A/Achebe,_Chinua", "url": "http://www.lrb.co.uk/v20/n22/lewis-nkosi/at-the-crossroads-hour"} +{"d:Title": "Ackroyd, Peter - An Interview", "d:Description": "Interview by Anke Sch\u00fctze that discusses plans for future projects.", "topic": "Top/Arts/Literature/Authors/A/Ackroyd,_Peter", "url": "http://webdoc.sub.gwdg.de/edoc/ia/eese/articles/schuetze/8_95.html"} +{"d:Title": "The Guardian: Peter Ackroyd", "d:Description": "Profile, articles by the author, review of his works and links.", "topic": "Top/Arts/Literature/Authors/A/Ackroyd,_Peter", "url": "http://www.theguardian.com/books/2008/jun/09/peterackroyd"} +{"d:Title": "Bold Type: Peter Ackroyd", "d:Description": "Interview, profile and an excerpt from his Life of Thomas More.", "topic": "Top/Arts/Literature/Authors/A/Ackroyd,_Peter", "url": "http://www.penguinrandomhouse.com/books/521/the-life-of-thomas-more-by-peter-ackroyd/"} +{"d:Title": "Douglas Adams Answers (Finally)", "d:Description": "The author answers to questions by fans. [Slashdot]", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://slashdot.org/interviews/00/06/21/1217242.shtml"} +{"d:Title": "WardsWiki: Douglas Adams", "d:Description": "Brief article about the author and his work.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://c2.com/cgi/wiki?DouglasAdams"} +{"d:Title": "Douglas Adams and the Enigma", "d:Description": "Provides quotations and parts from interviews of the \"Hitchhiker\" author.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://www.angelfire.com/co/1x137/dna.html"} +{"d:Title": "Douglas Adams", "d:Description": "Report about Douglas Adams and his big success \"Hitchhiker's guide to the galaxy\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://www.sfrevu.com/ISSUES/2001/0104/9917%20Douglas%20Adams/douglas_adams.htm"} +{"d:Title": "BBC Radio: Douglas Adams", "d:Description": "Read the author's views on the future of the web and find out everything about his most famous work \"The Hitchhiker's Guide to the Galaxy\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://www.bbc.co.uk/radio4/douglas_adams/"} +{"d:Title": "Dirk Gently's Holistic I-Ching Calculator", "d:Description": "JavaScript I-Ching calculator based on that Dirk Gently describes in the book 'The Long Dark Tea-time of the Soul'.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://www.thateden.co.uk/dirk/"} +{"d:Title": "Douglas Adams", "d:Description": "The official web site for the author (1952-2001), with tributes, his biography and articles.", "priority": "1", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://www.douglasadams.com/"} +{"d:Title": "Wikipedia: Douglas Adams", "d:Description": "Provides information about the \"Hitchhiker\" author and his work.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas", "url": "http://en.wikipedia.org/wiki/Douglas_Adams"} +{"d:Title": "BBC Online: Douglas Adams", "d:Description": "Information about the life and works of the creator of the \"Hitchhiker's Guide to the Galaxy\". Includes video and audio clips.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "http://www.bbc.co.uk/cult/hitchhikers/dna/biog.shtml"} +{"d:Title": "DailyLlama: Douglas Adams", "d:Description": "Offers information about the author's biography \"Hitchhiker: A Biography of Douglas Adams\" and his book \"Salmon of Doubt\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "http://www.dailyllama.com/news/2003/llama187.html"} +{"d:Title": "Douglas Adams - Recent and Upcoming Books", "d:Description": "Bibliography with short summary for each book.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "http://www.non.com/books/Adams_Douglas_r.html"} +{"d:Title": "Douglas Adams: \"The Man Who Blew Up The World\"", "d:Description": "Provides information about the authors' life and work.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "http://www.starshipbistromath.iwarp.com/works.html"} +{"d:Title": "FantasyLibrary.net: Douglas Adams", "d:Description": "Provides a biography of the \"Hitchhiker's Guide to the Galaxy\" author.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "http://www.fantasylibrary.net/author.php/68"} +{"d:Title": "Infocom Authors - Douglas Adams", "d:Description": "Biography centered on his work with text-adventure company Infocom.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "http://www.infocom-if.org/authors/adams.html"} +{"d:Title": "Infocomicon: Douglas Adams", "d:Description": "Offers biography and pictures of the \"Hitchhiker's Guide\" author.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "http://egotron.com/infocom/author.php"} +{"d:Title": "Douglas Adams Bibliography", "d:Description": "A bibliography of Douglas Adams's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Biographies", "url": "https://www.fantasticfiction.com/a/douglas-adams/"} +{"d:Title": "Vogon Heavy Industries", "d:Description": "Features an online Hitchhiker's Guide to the Galaxy.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.vogon.com/vhi/"} +{"d:Title": "ZZ9 Plural Z Alpha", "d:Description": "Hitchhiker's Guide to the Galaxy Appreciation Society", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.zz9.org/"} +{"d:Title": "Faoileag's Ford Prefect Worship Page", "d:Description": "Fan page with Ford Prefect quotes.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.faoileag.de/ford/"} +{"d:Title": "Grudge Match", "d:Description": "Fan fiction featuring Marvin the Paranoid Android vs. C3PO in a battle of neurotic robots.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.grudge-match.com/History/marvin-c3po.shtml"} +{"d:Title": "Hitchhiker's Guide DVD to be released on January 28", "d:Description": "Article reporting release of the six-episode BBC show. [Slashdot]", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://slashdot.org/article.pl?sid=02/01/20/029256"} +{"d:Title": "Marvin's Page", "d:Description": "Marvin's sayings, interview, hit single, hit single that wasn't quite as big a hit as his other hit single, and fan club.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://members.tripod.com/martin_leese/Marvin/"} +{"d:Title": "Mind Gazer Don't Panic", "d:Description": "Quotes, online books, online game, and a FAQ.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.mindgazer.org/dontpanic/"} +{"d:Title": "The Hitchiker's Guide To The Hitchhiker's Guide To The Galaxy: An Encyclopedia", "d:Description": "This is an encyclopedic reference to the Hitchiker's Guide To The Galaxy series by Douglas Adams.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://hhgproject.org/"} +{"d:Title": "Final Adams Novel 'To Be Published'", "d:Description": "\"A Salmon of Doubt, the sixth episode the Hitch Hiker's Guide to the Galaxy series, will hit book shops next May on the anniversary of the writer's death.\" [BBC News]", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1663306.stm"} +{"d:Title": "Quantum Muse: The Ultimate Hitchhiker's Guide to the Galaxy", "d:Description": "Book review of \"The Ultimate Hitchhiker's Guide to the Galaxy\" by Douglas Adams.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.quantummuse.com/Hitchhikers_Guide_to_the_Galaxy.html"} +{"d:Title": "Douglas Adams - a science fiction writer?", "d:Description": "Read everything about Douglas Adams and his successful book \"The Hitch Hiker's Guide to the Galaxy\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://home.in.tum.de/~creighto/dna/Introduction.html"} +{"d:Title": "h2g2", "d:Description": "The Official Earth Edition of The Hitchhiker's Guide To The Galaxy. Consists of hundreds of entries on subjects ranging from Aerosol Deodorants to Zoos, and discussions upon them.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.h2g2.com/"} +{"d:Title": "Douglas Adams and The Hitchhiker's Guide to the Future", "d:Description": "Jim Regan about Adams' work \"The Hitchhiker's Guide to the Galaxy\". [Christian Science Monitor]", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Hitchhiker's_Guide_to_the_Galaxy", "url": "http://www.csmonitor.com/2001/0830/p25s1-stin.html"} +{"d:Title": "Our Tribute To Douglas Adams", "d:Description": "Tribute to the science fiction author, who died at the age of 49.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/In_Memoriam", "url": "http://www.hackwriters.com/douglasadams.htm"} +{"d:Title": "Procol Harum: Douglas Adams", "d:Description": "Read all about Douglas Adam's Memorial Service. Includes video material.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/In_Memoriam", "url": "http://www.procolharum.com/procol_da.htm"} +{"d:Title": "Farewell to the Ultimate Hitchhiker... Douglas Adams 1952-2001", "d:Description": "Tribute to the \"Hitchhiker's Guide\" author by Andy Grieser. [ESCmag]", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/In_Memoriam", "url": "http://www.escmag.com/features/douglasadams.cfm"} +{"d:Title": "Douglas Adams, 1952 - 2001", "d:Description": "Obituary summarizing his work. [Locus Online News]", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/In_Memoriam", "url": "http://www.locusmag.com/2001/News/News05a.html"} +{"d:Title": "Lament for Douglas Adams", "d:Description": "Lament by Richard Dawkins, a friend of Adams, relating to the author's death in May 2001. [Guardian]", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/In_Memoriam", "url": "http://www.theguardian.com/uk/2001/may/14/books.booksnews"} +{"d:Title": "Douglas Adams", "d:Description": "Robert Marks describes his feelings about the death of the author.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/In_Memoriam", "url": "http://www.agsm.edu.au/bobm/odds+ends/dna.html"} +{"d:Title": "Douglas Adams Quotes", "d:Description": "Collection of quotes from several of Adams' books.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Quotations", "url": "http://www.some-guy.com/quotes/adams.html"} +{"d:Title": "The Quotations Page: Douglas Adams", "d:Description": "Collection of Douglas Adams quotes.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Quotations", "url": "http://www.quotationspage.com/quotes.php3?author=Douglas+Adams"} +{"d:Title": "Douglas Noel Adams Quotes", "d:Description": "Collection of quotes from several of the author's novels.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Quotations", "url": "http://www.phnet.fi/public/mamaa1/adams.htm"} +{"d:Title": "Mini-review of \"Salmon of Doubt\"", "d:Description": "Andersja's critical remarks on the last book published in Douglas Adams' name.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.jacobsen.no/anders/blog/archives/2002/07/26/mini_review_salmon_of_doubt.html"} +{"d:Title": "BBC News", "d:Description": "Last Adams book planned - Final works including \"The Salmon of Doubt\", an unfinished novel, and the screenplay to the proposed film of \"The Hitch Hiker's Guide To The Galaxy\" are set to be published this year.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1367344.stm"} +{"d:Title": "SF Site: The Salmon of Doubt", "d:Description": "Detailed review by Steven H Silver.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.sfsite.com/05a/sd127.htm"} +{"d:Title": "theage.com.au: Salmon of Doubt", "d:Description": "Read Andrew Masterson's review of the Douglas Adams book \"Salmon of Doubt\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.theage.com.au/articles/2002/09/07/1031115956488.html"} +{"d:Title": "The Salmon of Doubt: Hitchhiking the Galaxy One Last Time", "d:Description": "Don Smith reviews the Douglas Adams book \"Salmon of Doubt\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.sfrevu.com/ISSUES/2002/0207/Review-Salmon/review.htm"} +{"d:Title": "BooksForABuck: Salmon of Doubt", "d:Description": "Critical review of the last work of Douglas Adams.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.booksforabuck.com/sfpages/salmon_doubt.html"} +{"d:Title": "Horatio's Book Club: The Salmon of Doubt", "d:Description": "Review published by Harmony Books, May 2002.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.angelfire.com/falcon/horatiosbookclub/salmonofdoubt.htm"} +{"d:Title": "BBC NEWS:| The Salmon of Doubt", "d:Description": "Read short reviews of Douglas Adams' final work.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://news.bbc.co.uk/1/hi/programmes/newsnight/review/1976981.stm"} +{"d:Title": "smh.com.au: Salmon of Doubt", "d:Description": "Tony Davis about Douglas Adams' \"Hitchhiking the Galaxy One Last Time\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.smh.com.au/articles/2002/06/28/1023864649972.html"} +{"d:Title": "SF book reviews: The Salmon of Doubt", "d:Description": "Review of \"The Salmon of Doubt\" and lament for the dead author Douglas Adams.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.bookloons.com/cgi-bin/Review.asp?bookid=1755"} +{"d:Title": "Salmon of Doubt", "d:Description": "Read a review of Douglas Adams' final work by Margaret L Ruwoldt.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.angelfire.com/grrl/flipsock/review_salmonofdoubt.html"} +{"d:Title": "Booklore: Salmon of Doubt", "d:Description": "Read two reviews of the last part of the \"Hitchhiker's Series\" - The Salmon of Doubt.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.booklore.co.uk/PastReviews/AdamsDouglas/TheSalmonOfDoubt/TheSalmonOfDoubtReview.htm"} +{"d:Title": "Guardian Unlimited: The Salmon of Doubt", "d:Description": "Read detailed review of the Douglas Adams work.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "http://www.theguardian.com/books/2002/may/11/fiction.douglasadams"} +{"d:Title": "Slashdot: Hitchhiker's Guide, Salmon of Doubt", "d:Description": "Article announcing the release of the unfinished book including places where it can be purchased.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Douglas/Salmon_of_Doubt", "url": "https://news.slashdot.org/story/02/05/03/1118215/hitchhikers-guide-salmon-of-doubt"} +{"d:Title": "An Education on The Education of Henry Adams", "d:Description": "An annotated guide to The Education of Henry Adams.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Henry", "url": "http://www.appstate.edu/~stanovskydj/adams.html"} +{"d:Title": "Henry Adams", "d:Description": "Pictures of him with dog and his final resting place, birth and death information, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Henry", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5"} +{"d:Title": "The Education of Henry Adams", "d:Description": "Electronic text of Henry Adams' most famous work, from the American Studies Program at the University of Virginia.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Henry/Works", "url": "http://xroads.virginia.edu/~HYPER/HADAMS/ha_home.html"} +{"d:Title": "Democracy - An American Novel", "d:Description": "Adams's 1880 novel in HTML format.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Henry/Works", "url": "http://www.eldritchpress.org/hadams/democ.htm"} +{"d:Title": "Bartleby.com - The Education of Henry Adams", "d:Description": "Online publication of Henry Adams's classic \"The Education of Henry Adams.\"", "topic": "Top/Arts/Literature/Authors/A/Adams,_Henry/Works", "url": "http://www.bartleby.com/159/"} +{"d:Title": "L\u00e9onie Adams", "d:Description": "The Academy of American Poets presents a biography, selected bibliography and links.", "topic": "Top/Arts/Literature/Authors/A/Adams,_L\u00e9onie", "url": "http://www.poets.org/poetsorg/poet/l%C3%A9onie-adams"} +{"d:Title": "Danny Yee's Book Reviews: Richard Adams", "d:Description": "Reviews of Richard Adams' novels \"Maia\" and \"The Girl in a Swing\".", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard", "url": "http://dannyreviews.com/a/Richard_Adams.html"} +{"d:Title": "Watership Down According to Captain Campion", "d:Description": "Features literary analyses and teaching materials for high school level.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down", "url": "http://www.angelfire.com/nc2/watershipdown/index.html"} +{"d:Title": "Bits'n'Bob-stones", "d:Description": "A journal and weblog providing links and observations on Watership Down.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down", "url": "http://frith.blogspot.com/"} +{"d:Title": "Watership Down", "d:Description": "From the Denver Science Fiction and Fantasy Book Club selection. Includes plot summary, ratings, and the cover art.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down", "url": "http://www.denversfbookclub.com/adamsr.htm"} +{"d:Title": "Watership Down (Tripod)", "d:Description": "Information about the culture of each of the warrens in the novel.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down", "url": "http://wdown.tripod.com/"} +{"d:Title": "Posy-rah's Warren", "d:Description": "Fan page including a message board and interactive stories.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down", "url": "http://simone2001.tripod.com/warren.html"} +{"d:Title": "Watership Down Forum", "d:Description": "Forum for discussion of the book, movie and tv series.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down", "url": "http://watership-down.proboards.com/"} +{"d:Title": "Alethia's Alternative Art Gallery", "d:Description": "Drawings of most of the major characters from the film, plus a few original characters.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down/Fan_Art", "url": "http://members.tripod.com/~Bombalurina_3/watershipart.html"} +{"d:Title": "Rosey's Watership Down", "d:Description": "Pictures from the movie, drawings, information on the characters, and a rabbit dictionary.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down/Fan_Art", "url": "http://members.shaw.ca/canadianrosey/wd/"} +{"d:Title": "Nepenthes Warren", "d:Description": "An online role-playing group centering on an Efrafa-like, militaristic warren.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down/Roleplaying", "url": "http://calrah.tripod.com/"} +{"d:Title": "River Bend Warren", "d:Description": "An online RPG based mostly on the world of Watership Down, with the exception that elil are allowed to join the warren.", "topic": "Top/Arts/Literature/Authors/A/Adams,_Richard/Watership_Down/Roleplaying", "url": "http://www.angelfire.com/falcon/riverbend/"} +{"d:Title": "Joseph Addison, Material Sublimity, and the Aesthetics of Bigness", "d:Description": "Short academic essay by George Landow at Brown University.", "topic": "Top/Arts/Literature/Authors/A/Addison,_Joseph", "url": "http://www.victorianweb.org/philosophy/sublime/addison.html"} +{"d:Title": "Joseph Addison (1672-1719)", "d:Description": "Includes biography, works, and study resources.", "topic": "Top/Arts/Literature/Authors/A/Addison,_Joseph", "url": "http://www.luminarium.org/eightlit/addison/"} +{"d:Title": "Essays from Addison", "d:Description": "Includes brief biography, and a literary criticism by Samuel Johnson.", "topic": "Top/Arts/Literature/Authors/A/Addison,_Joseph/Works", "url": "http://www.ourcivilisation.com/smartboard/shop/fowlerjh/index.htm"} +{"d:Title": "An Account of the Greatest English Poets", "d:Description": "Addison's 1694 poem.", "topic": "Top/Arts/Literature/Authors/A/Addison,_Joseph/Works", "url": "http://www.orgs.miamioh.edu/anthologies/add.htm"} +{"d:Title": "Cyber-Hymnal: Joseph Addison", "d:Description": "Biographical note; four hymn lyrics (with suggested music), including \"The Spacious Firmament on High\" and \"When All Thy Mercies, O My God.\"", "topic": "Top/Arts/Literature/Authors/A/Addison,_Joseph/Works/Hymns", "url": "http://www.hymntime.com/tch/bio/a/d/d/addison_j.htm"} +{"d:Title": "Kim Addonizio", "d:Description": "The Academy of American Poets presents a biography and links.", "topic": "Top/Arts/Literature/Authors/A/Addonzio,_Kim", "url": "http://www.poets.org/poetsorg/poet/kim-addonizio"} +{"d:Title": "Warren Adler", "d:Description": "The official site of fiction author of Random Hearts, The War of the Roses, and Mourning Glory.", "topic": "Top/Arts/Literature/Authors/A/Adler,_Warren", "url": "http://www.warrenadler.com/"} +{"d:Title": "PAL Research and Reference Guide: James Agee (1909-1955)", "d:Description": "Bibliographic lists of primary works by Agee and books and articles about him and his works.", "topic": "Top/Arts/Literature/Authors/A/Agee,_James", "url": "http://www.csustan.edu/english/reuben/pal/chap10/agee.html"} +{"d:Title": "I Hear America Singing: Poet/Writer James Agee", "d:Description": "Short biography.", "topic": "Top/Arts/Literature/Authors/A/Agee,_James", "url": "http://www.pbs.org/wnet/ihas/poet/agee.html"} +{"d:Title": "Biography of Shmuel Yosef Agnon", "d:Description": "Nobel Prize in literature 1966. Includes a link to his acceptance speech.", "topic": "Top/Arts/Literature/Authors/A/Agnon,_Shmuel_Yosef", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1966/agnon-bio.html"} +{"d:Title": "Jack Agueros", "d:Description": "The Academy of American Poets presents a biography, photo, poems and links.", "topic": "Top/Arts/Literature/Authors/A/Ag\u00fceros,_Jack", "url": "http://www.poets.org/poetsorg/poet/jack-ag%C3%BCeros"} +{"d:Title": "Drunken Boat | Delmira Agustini", "d:Description": "Selection of poems by Uruguayan modernist Delmira Agustini in Spanish and English translation. With an introduction by the translator, Valerie Mart\u00ednez.", "topic": "Top/Arts/Literature/Authors/A/Agustini,_Delmira", "url": "http://www.drunkenboat.com/db3/martinez/martinez.html"} +{"d:Title": "Wikipedia: Cecelia Ahern", "d:Description": "Offers brief biography and bibliography.", "topic": "Top/Arts/Literature/Authors/A/Ahern,_Cecelia", "url": "http://en.wikipedia.org/wiki/Cecelia_Ahern"} +{"d:Title": "Written In The Night", "d:Description": "Fan site devoted to author Cecelia Ahern includes news, photos, multimedia downloads and desktop wallpapers.", "topic": "Top/Arts/Literature/Authors/A/Ahern,_Cecelia", "url": "http://cecelia.lynchi.de/"} +{"d:Title": "Cecelia Ahern", "d:Description": "Official site offers biography, interviews, book and short story listings, tour information, and book cover gallery.", "topic": "Top/Arts/Literature/Authors/A/Ahern,_Cecelia", "url": "http://cecelia-ahern.com/"} +{"d:Title": "Wikipedia: PS, I Love You", "d:Description": "Offers plot summary, characters and movie adaption information.", "topic": "Top/Arts/Literature/Authors/A/Ahern,_Cecelia/Works", "url": "http://en.wikipedia.org/wiki/PS,_I_Love_You"} +{"d:Title": "Review: PS, I Love You", "d:Description": "It is soapy, sappy and inexpertly crafted. By Linda L. Richards.", "topic": "Top/Arts/Literature/Authors/A/Ahern,_Cecelia/Works", "url": "http://www.januarymagazine.com/fiction/psonanight.html"} +{"d:Title": "Book Review: P.S. I Love You", "d:Description": "Three brief reviews offered by Renee Hawes, Brittany S and Harriet Klausner.", "topic": "Top/Arts/Literature/Authors/A/Ahern,_Cecelia/Works", "url": "http://allreaders.com/book-review-summary/ps-i-love-you-30371"} +{"d:Title": "Conrad Aiken: Poems", "d:Description": "Four poems: \"Chance Meetings,\" \"Miracles\", \"Morning Song of Senlin\", and \"Zudora.\"", "topic": "Top/Arts/Literature/Authors/A/Aiken,_Conrad", "url": "http://www.poetry-archive.com/a/aiken_conrad.html"} +{"d:Title": "Conrad Aiken", "d:Description": "The Academy of American Poets presents a biography, photograph, bibliography and links.", "topic": "Top/Arts/Literature/Authors/A/Aiken,_Conrad", "url": "http://www.poets.org/poetsorg/poet/conrad-aiken"} +{"d:Title": "Brian Dana Akers", "d:Description": "The home page of a science fiction writer. Includes a photograph, biography, bibliography, reviews, free stories and e-mail address.", "topic": "Top/Arts/Literature/Authors/A/Akers,_Brian_Dana", "url": "http://www.briandanaakers.com/"} +{"d:Title": "Olga's Gallery", "d:Description": "Biography of the poet illustrated with her portraits by famous artists.", "topic": "Top/Arts/Literature/Authors/A/Akhmatova,_Anna", "url": "http://www.abcgallery.com/liter/akhmatova.html"} +{"d:Title": "Huck Gutman: Anna Akhmatova", "d:Description": "Biographical information with an audio presentation and links featuring the poet's works in Russian.", "topic": "Top/Arts/Literature/Authors/A/Akhmatova,_Anna", "url": "http://www.uvm.edu/~sgutman/Akhmatova.htm"} +{"d:Title": "Anna Akhmatova Page", "d:Description": "Site about the Russian poet Anna Akhmatova (1889-1966). Poetry, images, video, links, and biographical/historical overview.", "topic": "Top/Arts/Literature/Authors/A/Akhmatova,_Anna", "url": "http://www.jazzkeyboard.com/jilly/anna-akhmatova-page/"} +{"d:Title": "Anna Akhmatova", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/A/Akhmatova,_Anna", "url": "http://www.poets.org/poetsorg/poet/anna-akhmatova"} +{"d:Title": "Sandra Alcosser", "d:Description": "Features a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/A/Alcosser,_Sandra", "url": "http://www.poets.org/poetsorg/poet/sandra-alcosser"} +{"d:Title": "Orchard House/Home of the Alcotts", "d:Description": "A virtual visit to the home of the Alcott family, the site where Louisa May Alcott wrote \"Little Women.\"", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May", "url": "http://www.louisamayalcott.org/"} +{"d:Title": "Louisa May Alcott, Domestic Goddess", "d:Description": "Examines Alcott's Little Women in light of the author's own life. Includes detailed look at Alcott's upbringing.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May", "url": "http://www.womenwriters.net/domesticgoddess/lma.htm"} +{"d:Title": "Louisa May Alcott", "d:Description": "Pictures, location, and directions to the place where she is buried with biographical information, photograph, and interactive visitor comments. From Find A Grave.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=14"} +{"d:Title": "IHAS: Poet", "d:Description": "Thomas Hampson's coverage of the entire Alcott family.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May", "url": "http://www.pbs.org/wnet/ihas/poet/alcotts.html"} +{"d:Title": "Louisa May Alcott Quotations", "d:Description": "Collection from About.com.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May", "url": "http://womenshistory.about.com/cs/quotes/a/qu_lm_alcott.htm"} +{"d:Title": "Little Men", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.online-literature.com/alcott/little_men/"} +{"d:Title": "Jack and Jill", "d:Description": "E-text of the novel.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.online-literature.com/alcott/jack_and_jill/"} +{"d:Title": "Rose in Bloom", "d:Description": "HTML version of novel.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.online-literature.com/alcott/rose_in_bloom/"} +{"d:Title": "An Old-fashioned Girl", "d:Description": "Html version of Alcott's novel.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.online-literature.com/alcott/old_fashioned_girl/"} +{"d:Title": "An Old-Fashioned Thanksgiving", "d:Description": "Alcott's 1881 work about an 1821 Thanksgiving.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.eldritchpress.org/lma/oft.html"} +{"d:Title": "Bibliomania: Louisa M. Alcott", "d:Description": "Includes \"Little Women\" and \"Good Wives\".", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.bibliomania.com/Fiction/alcott/index.html"} +{"d:Title": "Encyclopedia of the Soul: Louisa M. Alcott", "d:Description": "Includes annotated etexts of \"Flower Fables\" and \"Little Women\".", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.selfknowledge.com/6au.htm"} +{"d:Title": "Classic Bookshelf", "d:Description": "The works of Louisa May Alcott free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.classicbookshelf.com/library/Alcott/"} +{"d:Title": "Good Wives", "d:Description": "Bibliomania: Good Wives by Louisa May Alcott, sequel to Little Women, full text searchable HTML.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.bibliomania.com/0/0/5/6/frameset.html"} +{"d:Title": "Love and Self-Love - 1860.03", "d:Description": "Atlantic Unbound: The Atlantic Monthly Magazine Online's reposting of the short story submitted by Alcott March, 1860.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.theatlantic.com/unbound/aandc/alcott/selflovf.htm"} +{"d:Title": "A Modern Cinderella - 1860.10", "d:Description": "Atlantic Unbound: The Atlantic Monthly Magazine Online reposting of this short story Alcott submitted in Oct. 1860.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.theatlantic.com/unbound/aandc/alcott/cinderf.htm"} +{"d:Title": "The Brothers - 1863.11", "d:Description": "Atlantic Unbound: The Atlantic Monthly Magazine Online's reposting of the short story submitted by Alcott in November, 1863.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.theatlantic.com/past/docs/unbound/aandc/alcott/brotherf.htm"} +{"d:Title": "Debby's Debut - 1863.08", "d:Description": "Atlantic Unbound: The Atlantic Monthly Magazine Online's reposting of the short story submitted by Alcott in 1863.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://www.theatlantic.com/past/docs/unbound/aandc/alcott/debbyf.htm"} +{"d:Title": "Hospital Sketches", "d:Description": "\"Alcott briefly served as a nurse during the Civil War. These three brief 'sketches' recount her experiences, though she gives herself a pseudonym and presumably embellishes her tale.\"", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works", "url": "http://medhum.med.nyu.edu/view/289"} +{"d:Title": "The Literature Network: Little Women", "d:Description": "HTML version of the novel.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works/Little_Women", "url": "http://www.online-literature.com/alcott/littlewomen/"} +{"d:Title": "Literature Project: Little Women", "d:Description": "Chapter-indexed HTML version.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works/Little_Women", "url": "http://literatureproject.com/little-women/index.htm"} +{"d:Title": "Bibliomania: Little Women", "d:Description": "HTML version of the novel.", "topic": "Top/Arts/Literature/Authors/A/Alcott,_Louisa_May/Works/Little_Women", "url": "http://www.bibliomania.com/0/0/5/4/frameset.html"} +{"d:Title": "The Templeton Gate: Brian W. Aldiss", "d:Description": "Profile of UK SF author Brian Aldiss.", "topic": "Top/Arts/Literature/Authors/A/Aldiss,_Brian_W.", "url": "http://templetongate.net/aldiss.htm"} +{"d:Title": "Super-toys Last All Summer Long", "d:Description": "Short story which was the basis of the film A.I.", "topic": "Top/Arts/Literature/Authors/A/Aldiss,_Brian_W.", "url": "http://www.wired.com/1997/01/ffsupertoys/"} +{"d:Title": "Brian Aldiss Bibliography", "d:Description": "A bibliography of Brian Aldiss's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/A/Aldiss,_Brian_W.", "url": "https://www.fantasticfiction.com/a/brian-aldiss/"} +{"d:Title": "Center for the Book: Thomas Bailey Aldrich, 1836-1907", "d:Description": "Biographical sketch. Includes portrait, annotated links to works online, bibliography (in PDF), links to collections of his papers.", "topic": "Top/Arts/Literature/Authors/A/Aldrich,_Thomas_Bailey", "url": "http://www.nh.gov/nhsl/bookcenter/literary/aldrich.htm"} +{"d:Title": "Aldrich, Thomas Bailey (1836-1907)", "d:Description": "Short biography of the writer and editor. Includes references to, and quotes from, relevant books and magazines. Special interest in Aldrich's participation in the New York literary scense.", "topic": "Top/Arts/Literature/Authors/A/Aldrich,_Thomas_Bailey", "url": "http://pfaffs.web.lehigh.edu/node/54112"} +{"d:Title": "The Poems of Thomas Bailey Aldrich", "d:Description": "Illustrated, searchable HTML version of 1885 collection. All in one file, or with each poem in a separate file. From the American Verse Project.", "topic": "Top/Arts/Literature/Authors/A/Aldrich,_Thomas_Bailey/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=amverse;idno=BAD9188.0001.001"} +{"d:Title": "Claribel Alegr\u00eda", "d:Description": "The Academy of American Poets presents a biography, photograph and links.", "topic": "Top/Arts/Literature/Authors/A/Alegr\u00eda,_Claribel", "url": "http://www.poets.org/poetsorg/poet/claribel-alegr%C3%ADa"} +{"d:Title": "Elizabeth Alexander", "d:Description": "The Academy of American Poets presents a biography, photograph and links.", "topic": "Top/Arts/Literature/Authors/A/Alexander,_Elizabeth", "url": "http://www.poets.org/poetsorg/poet/elizabeth-alexander"} +{"d:Title": "Sherman Alexie's Website", "d:Description": "Official website of Sherman Alexie. Includes latest news, interviews, tour dates and gallery.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.fallsapart.com/"} +{"d:Title": "Sending Cinematic Smoke Symbols", "d:Description": "Interview conducted by Cineaste Film Magazine and reprinted by the UC Berkley Media Resources Center.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.lib.berkeley.edu/MRC/alexie.html"} +{"d:Title": "Sherman Alexie reads \"Dear John Wayne\"", "d:Description": "Short biography and audio clip of Alexie reading \"Dear John Wayne\".", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.salon.com/audio/2000/10/05/alexie/"} +{"d:Title": "Canku Ota: Sherman Alexie", "d:Description": "Biography, bibliography and photo from Canku Ota (Many Paths) Newsletter.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.turtletrack.org/Issues00/Co06172000/CO_06172000_Alexie.htm"} +{"d:Title": "Universal Story", "d:Description": "Boston \"Phoenix\" review of Smoke Signals, including interview with the author.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.bostonphoenix.com/archive/movies/98/07/02/filmculture.html"} +{"d:Title": "Owl Dancing with Fred Astaire", "d:Description": "Reprint of poem.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.colorado.edu/journals/standards/V7N1/MMM/alexie1.html"} +{"d:Title": "Seeing Red", "d:Description": "Review of 1996 release of Alexie's book, \"Indian Killer.\" Includes brief interview with the author.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.metroactive.com/papers/sonoma/10.03.96/books-9640.html"} +{"d:Title": "Up All Night", "d:Description": "Transcript of Alexie's interview on PBS's \"Now\" with Bill Moyers.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.pbs.org/now/transcript/transcript_alexie.html"} +{"d:Title": "The Business of Fancydancing: Review", "d:Description": "Review of Alexie's movie, \"The Business of Fancydancing\"", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.splicedwire.com/02reviews/fancydancing.html"} +{"d:Title": "The Toughest Indian In The World: Book Review", "d:Description": "Review of \"The Toughest Indian in the World,\" May 2000 in The Post Gazette (Pittsburgh, PA)", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.post-gazette.com/books/reviews/20000827review572.asp"} +{"d:Title": "Chilling with an Indian Icon", "d:Description": "Conversation with the \"Indian Superstar.\"", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.ustrek.org/odyssey/semester1/092700/092700irenesherman.html"} +{"d:Title": "Stereotype of the Month contest", "d:Description": "Article about Indian stereotyping, feature quotes from Alexie.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.bluecorncomics.com/stquotes.htm"} +{"d:Title": "Ten Little Indians Book Review", "d:Description": "Book Review by the New York Times", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.nytimes.com/2003/06/15/books/chapters/15WEINBET.html"} +{"d:Title": "At Navajo Monument Valley Tribal School", "d:Description": "Poem reprinted from \"The Business of Fancydancing\"", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://hanksville.org/voyage/poems/NavajoSchool.html"} +{"d:Title": "Border Talk with Sherman Alexie", "d:Description": "The author answers questions sent in by viewers of PBS's \"P.O.V.\" program.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.pbs.org/pov/pov2002/borders/talk/dialogue010_sa.html"} +{"d:Title": "Sherman Alexie - Wikipedia entry", "d:Description": "Wikipedia entry on the author, with film and book lists.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://en.wikipedia.org/wiki/Sherman_Alexie"} +{"d:Title": "Reservation Blues: Enrichment Activities", "d:Description": "Lesson plan for enrichment activities for senior high school students reading \"Resevation Blues.\"", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://teachers.net/lessons/posts/1955.html"} +{"d:Title": "NPR : Sherman Alexie, 'Sitcom American'", "d:Description": "NPR interview; brief text description and online transcript.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.npr.org/templates/story/story.php?storyId=1397737"} +{"d:Title": "Pictures and Words: Meeting Sherman Alexie", "d:Description": "Photos and quotes from students following Alexie's visit to an Alaskan high school.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.litsite.org/index.cfm?section=History+and+Culture&page=Cultural+Heritage&ContentId=867&viewpost=2&pg=106&crt=1"} +{"d:Title": "Sherman Alexie Explores His Paradoxes", "d:Description": "Discussion and brief review of Alexie's movie, \"The Business of Fancydancing\"", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.thestranger.com/seattle/shocking-business/Content?oid=10732"} +{"d:Title": "Sherman Alexie's Directorial Debut Hits Close to Home", "d:Description": "Seattle Times article (May 2002) about \"The Business of Fancydancing\" being made in the Pacific Northwest. Includes quotes from the author. [Requires registration]", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://community.seattletimes.nwsource.com/archive/?date=20020510&slug=sherman10"} +{"d:Title": "On Sherman Alexie", "d:Description": "A guide to author's work, from the University of Illinois English Department's \"Modern American Poetry.\"", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.english.illinois.edu/maps/poets/a_f/alexie/alexie.htm"} +{"d:Title": "Ghost Dance", "d:Description": "Excerpt from a short story written by the author.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.mcsweeneys.net/articles/ghost-dance"} +{"d:Title": "Interview with Sherman Alexie", "d:Description": "Interview by \"The Atlantic Online\" in June of 2000.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.theatlantic.com/past/docs/unbound/interviews/ba2000-06-01.htm"} +{"d:Title": "Poet Sherman Alexie", "d:Description": "Academy of American Poets page featuring the author. Includes author photo, description of publications, and brief biography.", "topic": "Top/Arts/Literature/Authors/A/Alexie,_Sherman", "url": "http://www.poets.org/poetsorg/poet/sherman-alexie"} +{"d:Title": "The Language of Contemporary French Poetry", "d:Description": "A dialogue with Pierre Alferi by Aryanil Mukherjee (2007)", "topic": "Top/Arts/Literature/Authors/A/Alferi,_Pierre", "url": "http://kaurab.tripod.com/english/interviews/alferi.html"} +{"d:Title": "Les Allures Naturelles", "d:Description": "Poem by Pierre Alferi in Violence of the White Page. Duration Press, 1991.", "topic": "Top/Arts/Literature/Authors/A/Alferi,_Pierre", "url": "http://www.durationpress.com/archives/tyuonyi/whitepage/violence%20of%20the%20white%20page.pdf"} +{"d:Title": "The Cash Boy", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/cashb10.htm"} +{"d:Title": "Cast Upon the Breakers", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/cubrk10.htm"} +{"d:Title": "Driven From Home", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/drvhm10.htm"} +{"d:Title": "The Errand Boy", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/rndby10.htm"} +{"d:Title": "Frank's Campaign or The Farm and the Camp", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/frcmp10.htm"} +{"d:Title": "Joe The Hotel Boy", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/jothb10.htm"} +{"d:Title": "Phil, the Fiddler", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/phidl10.htm"} +{"d:Title": "Paul The Peddler", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/ptped10.htm"} +{"d:Title": "Paul Prescott's Charge", "d:Description": "Includes annotated text from the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Authors/A/Alger,_Horatio/Works", "url": "http://selfknowledge.com/presc10.htm"} +{"d:Title": "Nelson Algren Committee", "d:Description": "A Chicago committee that hosts events and publishes a newsletter devoted to the author.", "topic": "Top/Arts/Literature/Authors/A/Algren,_Nelson", "url": "http://www.nelsonalgren.org/"} +{"d:Title": "Nelson Algren: Gone on the Arfy Darfy", "d:Description": "A discussion of Nelson Algren's use of the cryptic slang term \"arfy darfy\" in the Google Answers forum.", "topic": "Top/Arts/Literature/Authors/A/Algren,_Nelson", "url": "http://answers.google.com/answers/main?cmd=threadview&id=170717"} +{"d:Title": "Allreaders Nelson Algren Spotlight", "d:Description": "A summary and review of several of Algren's books.", "topic": "Top/Arts/Literature/Authors/A/Algren,_Nelson", "url": "http://allreaders.com/books/nelson-algren-332"} +{"d:Title": "Allen, John", "d:Description": "Author's home page.", "topic": "Top/Arts/Literature/Authors/A/Allen,_John", "url": "http://www.johnallenbooks.com/"} +{"d:Title": "Isabel Allende", "d:Description": "The author's own site, with biographical and bibliographical information, an album of family photographs, and trivia.", "priority": "1", "topic": "Top/Arts/Literature/Authors/A/Allende,_Isabel", "url": "http://www.isabelallende.com/"} +{"d:Title": "Onstage with Isabel Allende, part 1", "d:Description": "Holt Uncensored, column number 289 (12/18/01), an interview with the author by Pat Holt.", "topic": "Top/Arts/Literature/Authors/A/Allende,_Isabel", "url": "http://www.holtuncensored.com/members/column289.html"} +{"d:Title": "Onstage with Isabel Allende, part 2", "d:Description": "Holt Uncensored, column number 290 (12/21/01), an interview with the author by Pat Holt.", "topic": "Top/Arts/Literature/Authors/A/Allende,_Isabel", "url": "http://www.holtuncensored.com/members/column290.html"} +{"d:Title": "Surviving Affliction", "d:Description": "In an interview with Victor Perera, Allende discusses her book 'Paula' and the meaning of her daughter's death.", "topic": "Top/Arts/Literature/Authors/A/Allende,_Isabel", "url": "http://www.metroactive.com/papers/metro/12.14.95/allende-9550.html"} +{"d:Title": "January Magazine: Isabel Allende", "d:Description": "In an interview with Linda Richards in November 1999, the author discusses her writing. Includes photos and links.", "topic": "Top/Arts/Literature/Authors/A/Allende,_Isabel", "url": "http://www.januarymagazine.com/profiles/allende.html"} +{"d:Title": "The Guardian: Isabel Allende", "d:Description": "Profile, reviews, articles and links.", "topic": "Top/Arts/Literature/Authors/A/Allende,_Isabel", "url": "http://www.theguardian.com/books/2008/jun/09/isabelallende"} +{"d:Title": "The Fairies", "d:Description": "Poem by Allingham at Literature of the Fantastic.", "topic": "Top/Arts/Literature/Authors/A/Allingham,_William", "url": "http://www.sff.net/people/DoyleMacdonald/l_fairie.htm"} +{"d:Title": "Skellig", "d:Description": "Reader reviews of the book Skellig.", "topic": "Top/Arts/Literature/Authors/A/Almond,_David", "url": "http://www.sffworld.com/book/38.html"} +{"d:Title": "Penguin Random House - David Almond", "d:Description": "Includes list of books, review snippets and biography.", "topic": "Top/Arts/Literature/Authors/A/Almond,_David", "url": "http://www.penguinrandomhouse.com/authors/450/david-almond"} +{"d:Title": "In the Amusement Park and My Ideals", "d:Description": "Two short stories by Peter Altenberg (Austria, 1859-1919).", "topic": "Top/Arts/Literature/Authors/A/Altenberg,_Peter", "url": "http://www.jbeilharz.de/austria/altenberg/"} +{"d:Title": "Alvarez, Aldo", "d:Description": "Includes book information, reading tour schedule and journal.", "topic": "Top/Arts/Literature/Authors/A/Alvarez,_Aldo", "url": "http://www.blithe.com/aa/"} +{"d:Title": "Dona Flor and Her Two Husbands", "d:Description": "Mostly Fiction: recommended books by Jorge Amado.", "topic": "Top/Arts/Literature/Authors/A/Amado,_Jorge", "url": "http://www.mostlyfiction.com/latin/amado.htm"} +{"d:Title": "Bahia's Dr. Faustus", "d:Description": "Jorge Amado's darker side - Brazilian Literature - Books and Authors - April 1998.", "topic": "Top/Arts/Literature/Authors/A/Amado,_Jorge", "url": "http://www.brazzil.com/p26apr98.htm"} +{"d:Title": "Allreaders Joye Ames Spotlight", "d:Description": "An analysis of her books, and a list of books by similar authors.", "topic": "Top/Arts/Literature/Authors/A/Ames,_Joye", "url": "http://allreaders.com/books/joye-ames-898"} +{"d:Title": "Yehuda Amichai", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/A/Amichai,_Yehuda", "url": "http://www.poets.org/poetsorg/poet/yehuda-amichai"} +{"d:Title": "Sorry to Go On Like This", "d:Description": "Ian Hamilton reviews \"The Letters of Kingsley Amis\" (from the London Review of Books).", "topic": "Top/Arts/Literature/Authors/A/Amis,_Kingsley", "url": "http://www.lrb.co.uk/v22/n11/hami01_.html"} +{"d:Title": "The Guardian: Kingsley Amis", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/A/Amis,_Kingsley", "url": "http://www.theguardian.com/books/2008/jun/09/kingsleyamis"} +{"d:Title": "Fantastic Fiction Profile", "d:Description": "Basic but thorough bibliography, and two science fiction recommendations by Amis.", "topic": "Top/Arts/Literature/Authors/A/Amis,_Kingsley", "url": "https://www.fantasticfiction.com/a/kingsley-amis/"} +{"d:Title": "January Magazine: Martin Amis", "d:Description": "Interview including original photos.", "topic": "Top/Arts/Literature/Authors/A/Amis,_Martin", "url": "http://www.januarymagazine.com/profiles/amis.html"} +{"d:Title": "The Infography: Martin Amis", "d:Description": "Guide to sources of information on the author, and to reviews of his works.", "topic": "Top/Arts/Literature/Authors/A/Amis,_Martin", "url": "http://www.infography.com/content/881493498516.html"} +{"d:Title": "The Guardian: Martin Amis", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/A/Amis,_Martin", "url": "http://www.theguardian.com/books/2008/jun/09/martinamis"} +{"d:Title": "Allreaders Martin Amis Spotlight", "d:Description": "An analysis of the plot, setting, characters, theme, and structure of his novels.", "topic": "Top/Arts/Literature/Authors/A/Amis,_Martin", "url": "http://allreaders.com/books/martin-amis-1718"} +{"d:Title": "A Thriller With Something on Its Mind - 98.02", "d:Description": "Martin Amis is teetering on the edge of profundity", "topic": "Top/Arts/Literature/Authors/A/Amis,_Martin", "url": "http://www.theatlantic.com/magazine/archive/1998/02/a-thriller-with-something-on-its-mind/377064/"} +{"d:Title": "A. R. Ammons", "d:Description": "Includes a biography, photograph, and selected poems from the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/A/Ammons,_A._R.", "url": "http://www.poets.org/poetsorg/poet/r-ammons"} +{"d:Title": "Corsons Inlet", "d:Description": "Text of poem.", "topic": "Top/Arts/Literature/Authors/A/Ammons,_A._R./Works", "url": "http://www.boppin.com/poets/ammons.htm"} +{"d:Title": "Private Parts", "d:Description": "\"The Anatomist\" by Federico Andahazi. Reviewed by Lisa Zeidner.", "topic": "Top/Arts/Literature/Authors/A/Andahazi,_Federico", "url": "http://www.nytimes.com/books/98/09/13/reviews/980913.13zeidnet.html"} +{"d:Title": "Hans Christian Andersen Museum", "d:Description": "Describes this small museum, located in Solvang, California. Includes brief biography and a famous tale.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian", "url": "http://www.solvangca.com/museum/h1.htm"} +{"d:Title": "Hans Christian Andersen: Fairy Tales and Stories", "d:Description": "From the English Translation: H. P. Paull (1872). Original Illustrations by Vilhelm Pedersen and Lorenz Fr\u00f8lich.", "priority": "1", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian", "url": "http://hca.gilead.org.il/"} +{"d:Title": "The Hans Christian Andersen Center", "d:Description": "English section describing his life and works. Extensive timetable, and chronology. Further material available in Danish. Edited by dr. Johan de Mylius, University of Southern Denmark.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian", "url": "http://www.andersen.sdu.dk/index_e.html"} +{"d:Title": "Idiotprogrammer Book Notes: Stories of Hans Christian Anderson", "d:Description": "Literary essay about Anderson's whimsical sense of storytelling. By technical writer R.J. Nagle.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian", "url": "http://www.imaginaryplanet.net/essays/literary/hcastories.php"} +{"d:Title": "Project Runeberg", "d:Description": "Entries and links for Andersen.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian", "url": "http://runeberg.org/authors/andersen.html"} +{"d:Title": "Hans Christian Andersen", "d:Description": "Pictures of him and his grave site, birth and death information, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Biographies", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4956"} +{"d:Title": "Asbj\u00f8rn L\u00f8nvig", "d:Description": "Special prints of 8 motifs inspired by Hans Christian Andersens's fairy tales.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Image_Galleries", "url": "http://www.lonvig.dk/hcaprints.htm"} +{"d:Title": "Once Upon a Drawing: The Wild Swans", "d:Description": "From an exhibit about the picture book illustations of Marcia Brown.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Image_Galleries", "url": "http://www.albany.edu/museum/wwwmuseum/Brown/Swans_about.html"} +{"d:Title": "Astoft: Hans Christian Andersen", "d:Description": "Photos of Andersen's many residences, including comments and diary extracts.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Image_Galleries", "url": "http://www.astoft.co.uk/andersenuk.htm"} +{"d:Title": "Free Sci-Fi Classics", "d:Description": "Full text of short story \"In a Thousand Years\", and a very brief bibliographical note.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://wondersmith.com/scifi/andersen.htm"} +{"d:Title": "Andersen Fairy Tales", "d:Description": "Flash-animated versions of a few of Andersen's Fairy Tales.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://www.andersenfairytales.com/"} +{"d:Title": "Free Online Library - Hans Christian Andersen", "d:Description": "Short biography, related links, and text of a few fairy tales.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://andersen.thefreelibrary.com/"} +{"d:Title": "Leaping Match", "d:Description": "Flash-animated retelling of this tale.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://www.leapingmatch.com/"} +{"d:Title": "\u00c6sop's Fables", "d:Description": "127 fairy tales of Hans Christian Andersen.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://www.aesopfables.com/aesophca.html"} +{"d:Title": "Read Print: Hans Christian Andersen", "d:Description": "Searchable selection of short stories.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://www.readprint.com/author-3/Hans-Christian-Andersen-books"} +{"d:Title": "Internet Movie Data Base", "d:Description": "Movies based on Hans Christian Andersen and his stories.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://www.imdb.com/name/nm0026153/"} +{"d:Title": "The Lied and Song Texts Page", "d:Description": "Hans Christian Andersen (1805-1875). Song texts in Danish, French and German. Some with English translation.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=56"} +{"d:Title": "Classic Bookshelf", "d:Description": "\"Electronic book\" version of 18 tales, with online reader.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works/Andersen's_Fairy_Tales", "url": "http://www.classicbookshelf.com/library/Andersen/"} +{"d:Title": "Learn Library", "d:Description": "Text of 18 fairy tales.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works/Andersen's_Fairy_Tales", "url": "http://learnlibrary.com/andersen-tales/index.htm"} +{"d:Title": "Reading Room", "d:Description": "Full text of some tales available via Java applet.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works/Andersen's_Fairy_Tales", "url": "http://www.classicbookshelf.com/Reader/BookReader.htm?code=b4&book=andersen+s+fairy+tales"} +{"d:Title": "Hans Christian Anderson Fairy Tales", "d:Description": "Collection of full text stories for children.", "topic": "Top/Arts/Literature/Authors/A/Andersen,_Hans_Christian/Works/Andersen's_Fairy_Tales", "url": "http://www.writing-resources.com/fairy_tales/andersons_fairy_tales.html"} +{"d:Title": "Catherine Anderson", "d:Description": "Includes a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Catherine", "url": "http://www.poets.org/poetsorg/poet/catherine-anderson"} +{"d:Title": "WordFire: Kevin J. Anderson and Rebecca Moesta", "d:Description": "Information about novels, stories, appearances, future projects, and comics. Also includes biography and interviews.", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Kevin_J.", "url": "http://www.wordfire.com/"} +{"d:Title": "Scoular Anderson: Author and Illustrator", "d:Description": "Official site for the Scottish author and illustrator of children's books.", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Scoular", "url": "http://www.scoularanderson.co.uk/"} +{"d:Title": "Bartleby.com - Sherwood Anderson", "d:Description": "Online publication of Sherwood Anderson's classic \"Winesburg, Ohio.\"", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Sherwood", "url": "http://www.bartleby.com/156/"} +{"d:Title": "Gertrude Stein and Sherwood Anderson Links", "d:Description": "Links to articles, books, and pictures for researchers. Compiled by Duane Simolke, Ph.D.", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Sherwood", "url": "http://duanesimolke.blogspot.com/search/label/Gertrude%20Stein"} +{"d:Title": "Allreaders Sherwood Anderson Spotlight", "d:Description": "Analysis of the plot, setting, characters, theme, and structure of the author's works.", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Sherwood", "url": "http://allreaders.com/books/sherwood-anderson-536"} +{"d:Title": "Classic Bookshelf", "d:Description": "Free to read online book with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Sherwood/Works", "url": "http://www.classicbookshelf.com/library/Anderson/"} +{"d:Title": "Page By Page Books: Winesburg, Ohio", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/A/Anderson,_Sherwood/Works/Winesburg,_Ohio", "url": "http://www.pagebypagebooks.com/Sherwood_Anderson/Winesburg_Ohio/"} +{"d:Title": "Eternally Andrews", "d:Description": "Monthly Newsletter for fans of the author. Contains articles pertaining to the books, the plots, theories and characters.", "topic": "Top/Arts/Literature/Authors/A/Andrews,_V._C.", "url": "http://www.eternallyandrews.0catch.com/"} +{"d:Title": "V.C. Andrews Page", "d:Description": "Fan site which includes news, reviews, and book summaries.", "topic": "Top/Arts/Literature/Authors/A/Andrews,_V._C.", "url": "http://www.angelfire.com/va3/vcandrewspage/"} +{"d:Title": "The V.C. Andrews Gateway", "d:Description": "Fan site devoted to the author. Contains biography, paper dolls, quotes, quizzes, and art gallery.", "topic": "Top/Arts/Literature/Authors/A/Andrews,_V._C.", "url": "http://members.tripod.com/VCAndrews_2/enter.html"} +{"d:Title": "The Magic Pond", "d:Description": "Fan site in honor of the late author. Contains novel information, fun facts, and games.", "topic": "Top/Arts/Literature/Authors/A/Andrews,_V._C.", "url": "http://www.angelfire.com/ca6/VCAndrews/"} +{"d:Title": "Sisters of VCA", "d:Description": "Fan site devoted to the novels. Features author and book information, a roleplay, games, and awards.", "topic": "Top/Arts/Literature/Authors/A/Andrews,_V._C.", "url": "http://angelfire.com/il2/sistersofvca"} +{"d:Title": "The Complete V.C. Andrews", "d:Description": "Fan site devoted to the author. Contains images, biographical information, news, novel details and links.", "priority": "1", "topic": "Top/Arts/Literature/Authors/A/Andrews,_V._C.", "url": "http://www.completevca.com/"} +{"d:Title": "Ralph Angel", "d:Description": "Features a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/A/Angel,_Ralph", "url": "http://www.poets.org/poetsorg/poet/ralph-angel"} +{"d:Title": "AfroPoets: Maya Angelou", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.afropoets.net/mayaangelou.html"} +{"d:Title": "Wikipedia: Maya Angelou", "d:Description": "Online encyclopedia article. Includes biographical information.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://en.wikipedia.org/wiki/Maya_Angelou"} +{"d:Title": "Maya Angelou", "d:Description": "Official website includes biographical information, lists of the poet's works, recent interviews, books and recordings for sale, and agent's contact information.", "priority": "1", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.mayaangelou.com/"} +{"d:Title": "National Visionary Leadership Project: Dr. Maya Angelou", "d:Description": "Short biography of the poet, educator, author, actress, playwright, producer, and director; photo; and interview (online video and transcript).", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.visionaryproject.org/angeloumaya/"} +{"d:Title": "Mother Jones: Visions: Maya Angelou", "d:Description": "1995 interview by Ken Kelley.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.motherjones.com/media/1995/05/visions-maya-angelou"} +{"d:Title": "WorldCat Identities - Maya Angelou", "d:Description": "Works by and about the subject with reviews, online copies, purchase and library availability for each. Includes related identities and associated subjects.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.worldcat.org/identities/lccn-n50024879/"} +{"d:Title": "Academy of American Poets: Maya Angelou", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.poets.org/poetsorg/poet/maya-angelou"} +{"d:Title": "The Guardian: Maya Angelou", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.theguardian.com/books/mayaangelou"} +{"d:Title": "IMDb: Maya Angelou", "d:Description": "Lists of film and television appearances along with related videos.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.imdb.com/name/nm0029723/"} +{"d:Title": "Lortel Archives: Maya Angelou", "d:Description": "Information about her appearances in off-Broadway shows, from the Internet Off-Broadway Database.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.lortel.org/LLA_archive/index.cfm?search_by=people&first=Maya&last=Angelou&middle="} +{"d:Title": "BrainyQuote: Maya Angelou Quotes", "d:Description": "Collected quotations by the American poet, born April 4, 1928.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.brainyquote.com/quotes/authors/m/maya_angelou.html"} +{"d:Title": "Biography.com: Maya Angelou", "d:Description": "Profile of the American poet, author and civil rights activist. Includes quick facts, videos and related stories.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.biography.com/people/maya-angelou-9185388"} +{"d:Title": "Poetry Foundation: Maya Angelou", "d:Description": "Profile of acclaimed American poet, storyteller, activist and autobiographer.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.poetryfoundation.org/bio/maya-angelou"} +{"d:Title": "Democracy Now!: Maya Angelou", "d:Description": "Video and transcripts of shows featuring the American poet and activist.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.democracynow.org/appearances/maya_angelou"} +{"d:Title": "Twitter: @DrMayaAngelou", "d:Description": "Tweets from the official account of Maya Angelou, poet and civil rights activist.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "https://twitter.com/DrMayaAngelou"} +{"d:Title": "Harvard Business Review: Life's Work: Maya Angelou", "d:Description": "Interview by Alison Beard: \"Now 85 and a professor at Wake Forest University, she says her success as a storyteller stems from \u201cseeing us as more alike than we are unalike\u201d\u2014that is, from finding universal themes.\"", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://hbr.org/2013/05/maya-angelou/ar/1"} +{"d:Title": "Poet Seers: Maya Angelou Poems", "d:Description": "Selected collection of poems written by the famed American poet, author and civil rights activist.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.poetseers.org/contemporary-poets/maya-angelou-poems/"} +{"d:Title": "Facebook: Maya Angelou", "d:Description": "Official account of the American icon. \"We are more alike than unalike.\"", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "https://www.facebook.com/MayaAngelou/"} +{"d:Title": "YouTube: Charlie Rose Show November 2, 19", "d:Description": "Video of the discussion with acclaimed poet Maya Angelou about the inspiration and process of writing her inaugural poem for President Clinton. She also talked about her new collection of essays about tolerance and understanding.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.youtube.com/watch?v=FT2Ie2UZQig"} +{"d:Title": "BBC News: Obituary: Maya Angelou", "d:Description": "Obituary of the American author and poet, with links to related stories, pictures and videos.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.bbc.com/news/entertainment-arts-23514894"} +{"d:Title": "Oprah.com: Dr. Maya Angelou (April 4, 1928 - May 28, 2014)", "d:Description": "Collected videos and articles along with quotes and tributes.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.oprah.com/app/maya-angelou.html"} +{"d:Title": "Turner Classic Movies: Calypso Heat Wave (1957)", "d:Description": "Article about the film in which Maya Angelou played singer Miss Calypso. Joel Grey had a solo dance routine, and Alan Arkin appeared as a lead singer.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.tcm.com/this-month/article/290605|0/Calypso-Heat-Wave.html"} +{"d:Title": "NPR: 'Fresh Air' Remembers Poet And Memoirist Maya Angelou", "d:Description": "Highlights of her interview by Terry Gross in 1986. Includes links to other NPR interviews and articles about the American icon.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.npr.org/2014/05/28/316707321/fresh-air-remembers-poet-and-memoirist-maya-angelou"} +{"d:Title": "C-SPAN Video Library: Maya Angelou", "d:Description": "Videos and transcripts of appearances on C-SPAN, from 1992 through 2013.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.c-span.org/person/?mayaangelou"} +{"d:Title": "Encyclopedia Britannica: Maya Angelou (American poet)", "d:Description": "Encyclopedia article includes related web sites.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.britannica.com/biography/Maya-Angelou"} +{"d:Title": "The New York Times: Maya Angelou News", "d:Description": "Collection of recent and archived news and commentary, photos, multimedia and selected web resources.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://www.nytimes.com/topic/person/maya-angelou"} +{"d:Title": "AALBC: Maya Angelou", "d:Description": "Profile of the author and each of her books, including some videos. From the African American Literature Book Club.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "http://aalbc.com/authors/author.php?author_name=Maya+Angelou"} +{"d:Title": "IBDB: Maya Angelou", "d:Description": "Broadway credits and awards, from the official source for information on every Broadway theatre and production.", "topic": "Top/Arts/Literature/Authors/A/Angelou,_Maya", "url": "https://www.ibdb.com/broadway-cast-staff/maya-angelou-84766"} +{"d:Title": "Official Website of Mike Anka, Author", "d:Description": "New novels: Perplex Vision - psychological drama/adventure, Repent 2000 - paranormal thriller.", "topic": "Top/Arts/Literature/Authors/A/Anka,_Michael", "url": "http://www.members.tripod.com/mikeanka/"} +{"d:Title": "Allreaders Jean Anouilh Spotlight", "d:Description": "Analysis of the author's books.", "topic": "Top/Arts/Literature/Authors/A/Anouilh,_Jean", "url": "http://allreaders.com/books/jean-anouilh-640"} +{"d:Title": "Hi Piers", "d:Description": "The author's own site. Includes a newsletter, Internet publishing information for writers, and latest news as well as a Xanth character database, timeline, and family tree.", "topic": "Top/Arts/Literature/Authors/A/Anthony,_Piers", "url": "http://www.hipiers.com/"} +{"d:Title": "Castle Roogna", "d:Description": "Site with family trees, downloads, information on places, timeline, calendar, and games.", "topic": "Top/Arts/Literature/Authors/A/Anthony,_Piers/Xanth", "url": "http://www.angelfire.com/va2/maintable20/xanth.html"} +{"d:Title": "Castle Roogna", "d:Description": "Xanth site with the mandatory bibliography, and a very simple trivia section.", "topic": "Top/Arts/Literature/Authors/A/Anthony,_Piers/Xanth", "url": "http://members.tripod.com/~Jordan_the_barbarian/"} +{"d:Title": "Xanth World", "d:Description": "Character and creature biographies, pictures, book descriptions, and links.", "topic": "Top/Arts/Literature/Authors/A/Anthony,_Piers/Xanth", "url": "http://www.angelfire.com/ma/wuzhappning/index.html"} +{"d:Title": "Land of Xanth", "d:Description": "A brief lexicon of Xanth, as well as pictures and a Xanthian calendar. Also includes information on Piers Anthony, and a bibliography.", "topic": "Top/Arts/Literature/Authors/A/Anthony,_Piers/Xanth", "url": "http://capn1.tripod.com/xanth/xanth.html"} +{"d:Title": "Robert Antoni", "d:Description": "Excerpts from my novels \"Divina Trace,\" \"Blessed is the Fruit,\" and \"My Grandmothers Erotic Folktales,\" plus reviews and academic articles. Also, hear me read from my collection.", "topic": "Top/Arts/Literature/Authors/A/Antoni,_Robert", "url": "http://www.robertantoni.com/"} +{"d:Title": "The Tale of How Iguana Got Her Wrinkles", "d:Description": "Online text of the story, from \"My Grandmother's Erotic Folktales\".", "topic": "Top/Arts/Literature/Authors/A/Antoni,_Robert", "url": "http://www.barcelonareview.com/20/e_ra.htm"} +{"d:Title": "Laura, Leather and Life", "d:Description": "A lecture transcript, dealing with - among other things - the Marketplace series, Laura's life, the Public Scene and the Safe, Sane and Consensual slogan.", "topic": "Top/Arts/Literature/Authors/A/Antoniou,_Laura", "url": "http://www.sexuality.org/latrans.html"} +{"d:Title": "Review of The Marketplace from \"Enslavement\"", "d:Description": "An essay review of the first book of the Marketplace series, comparing it with the BDSM Scene, and with real life Internal Enslavement and Total Power Exchange relationships.", "topic": "Top/Arts/Literature/Authors/A/Antoniou,_Laura", "url": "http://www.enslavement.org.uk/mrktreview"} +{"d:Title": "Nimrod: Charles James Apperley", "d:Description": "Brief biography from The Cambridge History of English and American Literature.", "topic": "Top/Arts/Literature/Authors/A/Apperley,_Charles_James", "url": "http://www.bartleby.com/224/0615.html"} +{"d:Title": "Fred Archer", "d:Description": "Provides a biography, lists of works, and details about a fan club relating to the English farmer, author and humorist.", "topic": "Top/Arts/Literature/Authors/A/Archer,_Fred", "url": "http://www.fredarcher.co.uk/"} +{"d:Title": "FireAndWater.com", "d:Description": "Profile of the author and links to interviews.", "topic": "Top/Arts/Literature/Authors/A/Archer,_Jeffrey", "url": "http://www.jeffreyarcher.co.uk/"} +{"d:Title": "Guardian Special report: Jeffrey Archer", "d:Description": "Dossier of news articles and features about Lord Archer.", "topic": "Top/Arts/Literature/Authors/A/Archer,_Jeffrey", "url": "http://www.theguardian.com/uk/archer"} +{"d:Title": "Louis Armand", "d:Description": "Website of Louis Armand, Australian poet and artist living in Prague.", "topic": "Top/Arts/Literature/Authors/A/Armand,_Louis", "url": "http://members.tripod.com/~louis_armand/index.html"} +{"d:Title": "EPC/Rae Armantrout Home Page", "d:Description": "SUNY Buffalo's always perfect resource for experimental writing.", "topic": "Top/Arts/Literature/Authors/A/Armantrout,_Rae", "url": "http://epc.buffalo.edu/authors/armantrout/"} +{"d:Title": "The Night Wire", "d:Description": "Text at Literature of the Fantastic.", "topic": "Top/Arts/Literature/Authors/A/Arnold,_H._F.", "url": "http://www.sff.net/people/DoyleMacdonald/l_nightw.htm"} +{"d:Title": "Academy of American Poets: Matthew Arnold", "d:Description": "Biographical and bibliographical information, links to related resources, and the text of \"Dover Beach.\"", "topic": "Top/Arts/Literature/Authors/A/Arnold,_Matthew", "url": "http://www.poets.org/poetsorg/poet/matthew-arnold"} +{"d:Title": "The Literary Criticism of Matthew Arnold", "d:Description": "Essay by S. N. Radhika Lakshmi.", "topic": "Top/Arts/Literature/Authors/A/Arnold,_Matthew/Reviews", "url": "http://www.literature-study-online.com/essays/arnold.html"} +{"d:Title": "Culture and Anarchy", "d:Description": "HTML text of the work at the University of Toronto English Library.", "topic": "Top/Arts/Literature/Authors/A/Arnold,_Matthew/Works", "url": "http://www.library.utoronto.ca/utel/nonfiction_u/arnoldm_ca/ca_titlepage.html"} +{"d:Title": "Matthew Arnold - Selected Works", "d:Description": "At the Poets' Corner archive.", "topic": "Top/Arts/Literature/Authors/A/Arnold,_Matthew/Works", "url": "http://www.theotherpages.org/poems/arnold01.html"} +{"d:Title": "Dover Beach", "d:Description": "Arnold's poem.", "topic": "Top/Arts/Literature/Authors/A/Arnold,_Matthew/Works", "url": "http://www.naic.edu/~gibson/poems/arnold1.html"} +{"d:Title": "Artaud's influence on Patti Smith", "d:Description": "An article by Mary Lynch and Fiona Webster.", "topic": "Top/Arts/Literature/Authors/A/Artaud,_Antonin", "url": "http://www.oceanstar.com/patti/bio/artaud.htm"} +{"d:Title": "Cosmic Baseball Association - Antonin Artaud", "d:Description": "Profile with quotations, bibliography, and some small images.", "topic": "Top/Arts/Literature/Authors/A/Artaud,_Antonin", "url": "http://www.cosmicbaseball.com/artaud8.html"} +{"d:Title": "Poems by H.C. Artmann in English translation", "d:Description": "Small selection of poetry by H.C. Artmann (Austria, *1921) in English translation.", "topic": "Top/Arts/Literature/Authors/A/Artmann,_Hans_Carl/Poetry", "url": "http://www.alb-neckar-schwarzwald.de/artmann/artmann-e.html"} +{"d:Title": "John Ashbery interviewed by John Tranter, 1985", "d:Description": "Published in Jacket # 2.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://www.jacketmagazine.com/02/jaiv1988.html"} +{"d:Title": "Three John Ashberys", "d:Description": "Essay by John Tranter in Jacket # 2.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://jacketmagazine.com/02/3jas.html"} +{"d:Title": "EPC/Ashbery Author Home Page", "d:Description": "Ashbery's homepage at SUNY Buffalo's Electronic Poetry Center.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://epc.buffalo.edu/authors/ashbery/"} +{"d:Title": "Normalizing John Ashbery", "d:Description": "An essay by Marjorie Perloff.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://epc.buffalo.edu/authors/perloff/ashbery.html"} +{"d:Title": "Ashbery Resource Center", "d:Description": "The ARC offers a searchable catalogue of works by, about, and relating to John Ashbery and provides multidisciplinary indices illuminating the relationship of Ashbery's work to other art forms.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://www.flowchartfoundation.org/arc/"} +{"d:Title": "Modern American Poetry Essays on John Ashbery", "d:Description": "Compiled by Edward Brunner.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://www.english.illinois.edu/maps/poets/a_f/ashbery/ashbery.htm"} +{"d:Title": "The New York Review of Books: John Ashbery", "d:Description": "Links to reviews, articles, and works by and about the author in the New York Review of Books, plus a primary bibliography.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://www.nybooks.com/contributors/john-ashbery/"} +{"d:Title": "The Academy of American Poets: John Ashbery", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/A/Ashbery,_John", "url": "http://www.poets.org/poetsorg/poet/john-ashbery"} +{"d:Title": "Wikipedia: Isaac Asimov", "d:Description": "Article including a biography, a survey of his works, criticism, quotes and a bibliography.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://en.wikipedia.org/wiki/Isaac_Asimov"} +{"d:Title": "Isaac Asimov, Whose Thoughts and Books Traveled the Universe, Is Dead at 72", "d:Description": "Obituary by Mervyn Rothstein. Requires free registration. [New York Times]", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://www.nytimes.com/books/97/03/23/lifetimes/asi-v-obit.html"} +{"d:Title": "Religion in Asimov's Writings", "d:Description": "Discusses how the life and beliefs of the writer are reflected in his fictional works.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://www.angelfire.com/wi/mikebru/Alps.html"} +{"d:Title": "Isaac Asimov Home Page", "d:Description": "A collection of resources pertaining to Asimov.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://www.asimovonline.com/"} +{"d:Title": "Kaedrin's Guide to Isaac Asimov", "d:Description": "Focus on his fictional works, including the Robot, Empire, and Foundation series.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://kaedrin.com/fun/asimov/asimov.html"} +{"d:Title": "The Caves of Steel", "d:Description": "Book club selection page with commentary on the book, a list of characters, several ratings, original book covers, and an Asimov bibliography.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://www.denversfbookclub.com/asimov.htm"} +{"d:Title": "The Guardian: Isaac Asimov", "d:Description": "Profile, reviews, obituaries and links.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://www.theguardian.com/books/2008/jun/09/isaacasimov"} +{"d:Title": "Aardwolf's Isaac Asimov Appreciation Ring", "d:Description": "Webring of sites devoted to the prolific author.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://www.webring.org/hub?ring=isaacasimov"} +{"d:Title": "'Profession' by Isaac Asimov", "d:Description": "An allegorical description of the manner in which education currently functions in our primitive Western societies.", "topic": "Top/Arts/Literature/Authors/A/Asimov,_Isaac", "url": "http://www.abelard.org/asimov.php"} +{"d:Title": "Robert Lynn Asprin FAQs", "d:Description": "Questions and answers about the author, his books, and alt.fan.asprin.", "topic": "Top/Arts/Literature/Authors/A/Asprin,_Robert_Lynn", "url": "http://www.olywa.net/cook/rlafaq.htm"} +{"d:Title": "Nobel Prize for Literature 1967: Miguel Angel Asturias", "d:Description": "Presentation and acceptance speeches, biography, lecture, and the diploma.", "topic": "Top/Arts/Literature/Authors/A/Asturias,_Miguel_\u00c1ngel", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1967/press.html"} +{"d:Title": "The W.H. Auden Society", "d:Description": "Includes books by Auden, links to selected poems, and a list of recordings of his readings and of musical settings of his poems. Also news of publications and events of interest to readers of Auden.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H.", "url": "http://www.audensociety.org/"} +{"d:Title": "W.H. Auden", "d:Description": "An introduction to the poet by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H.", "url": "http://www.lit.kobe-u.ac.jp/~hishika/auden.htm"} +{"d:Title": "The Guardian: W. H. Auden", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H.", "url": "http://www.theguardian.com/books/2008/jul/02/wh.auden"} +{"d:Title": "Academy of American Poets: W.H. Auden", "d:Description": "Includes a brief biography, a selection of poems and an audio clip of Auden reading his poem \"On the Circuit.\"", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H.", "url": "http://www.poets.org/poetsorg/poet/w-h-auden"} +{"d:Title": "Auden's Poetry and his Last Years", "d:Description": "Review on the World Socialist Website of Edward Mendelson's book \"Later Auden\", including a discussion of Auden's life and development as a poet.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H.", "url": "http://www.wsws.org/en/articles/1999/11/aud-n20.html"} +{"d:Title": "Auden at Home", "d:Description": "An article on Auden's poetry by James Fenton in \"The New York Review of Books.\"", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H.", "url": "http://www.nybooks.com/articles/2000/04/27/auden-at-home/"} +{"d:Title": "W.H. Auden at the University of Dundee", "d:Description": "Text of \"In Praise of Limestone\" and three short poems.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.sat.dundee.ac.uk/~arb/speleo/auden.html"} +{"d:Title": "Mus\u00e9e des Beaux Arts", "d:Description": "Analysis of poem. First line: \"About suffering they were never wrong.\" Includes Breughel's painting \"The Fall of Icarus\", which the poem refers to.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://poetrypages.lemon8.nl/life/musee/museebeauxarts.htm"} +{"d:Title": "Readings: Two Songs for Hedli Anderson", "d:Description": "Text of two Auden poems, \"Funeral Blues\" and \"Johnny\".", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.npr.org/programs/death/readings/poetry/aude.html"} +{"d:Title": "The Watershed", "d:Description": "One of Auden's earliest poems.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.thebeckoning.com/poetry/auden/auden1.html"} +{"d:Title": "Demon or Gift: from Later Auden", "d:Description": "This, the first chapter from Edward Mendelson's book \"Later Auden,\" analyses the poem \"In Memory of W.B. Yeats.\"", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.nytimes.com/books/first/m/mendelson-auden.html"} +{"d:Title": "The Shield of Achilles", "d:Description": "Text of this frequently anthologized poem.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.sccs.swarthmore.edu/users/99/jrieffel/poetry/auden/achilles.html"} +{"d:Title": "A Selection of British Poetry: Auden", "d:Description": "Many Auden poems, including \"Canzone\", \"As We Like It\", \"The Labyrinth\" and selections from \"Songs and Musical Pieces\"", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.daimi.au.dk/~sorsha/lit/WHAuden.html"} +{"d:Title": "Frank Kermode on Auden's Shakespeare", "d:Description": "Auden's Lectures on Shakespeare (ed. Arthur Kirsch), reviewed for the London Review of Books by Frank Kermode.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.lrb.co.uk/v23/n04/kerm01_.html"} +{"d:Title": "Funeral Blues", "d:Description": "Text, discussion of the correct title, and scans of the original published version of this Auden poem.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.wussu.com/poems/whafb.htm"} +{"d:Title": "As I Walked Out One Evening", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.naic.edu/~gibson/poems/auden1.html"} +{"d:Title": "There Will Be No Peace", "d:Description": "Auden's poem at American Digest.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://americandigest.org/mt-archives/myths_texts/audens_there_wi.php"} +{"d:Title": "Law Like Love", "d:Description": "Text of this Auden poem. First line: \"Law, say the gardeners, is the sun\".", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://wonderingminstrels.blogspot.com/2004/04/law-like-love-w-h-auden.html"} +{"d:Title": "Bumbleshoot: W.H. Auden", "d:Description": "Twenty-eight poems by Auden. Includes many short poems.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://bumbleshoot.tripod.com/poetry/auden.html"} +{"d:Title": "Under Which Lyre", "d:Description": "Text of this poem, subtitled \"A Reactionary Tract for the Times\".", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://members.wizzards.net/~mlworden/atyp/auden.htm"} +{"d:Title": "Redeeming the Rake by David Schiff", "d:Description": "Discussion of Stravinsky's Opera, \"The Rake's Progress\", for which Auden wrote the libretto.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.theatlantic.com/past/docs/issues/97nov/rake.htm"} +{"d:Title": "Song Texts", "d:Description": "Texts of poems that have been set to music by Stravinsky, Benjamin Britten and others, including \"Lullaby\", \"Nocturne\" and \"Elegy for JFK\".", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=113"} +{"d:Title": "The Dyer's Hand", "d:Description": "A review of Auden's collection of essays, by the poet John Berryman.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.nybooks.com/articles/1963/02/01/audens-prose/"} +{"d:Title": "Salon.com Audio: W. H. Auden", "d:Description": "Recordings of Auden reading two poems, \"Under Which Lyre\" and \"Law Like Love\". Available in mp3 and RealMedia formats.", "topic": "Top/Arts/Literature/Authors/A/Auden,_W._H./Works", "url": "http://www.salon.com/2000/10/05/auden/"} +{"d:Title": "Republic of Pemberley", "d:Description": "Discussion group and information on the works, life, and culture of Jane Austen. Online copies of her letters and novels.", "priority": "1", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://pemberley.com/"} +{"d:Title": "Tilneys and Trap-doors", "d:Description": "Personal thoughts and humorous opinions on the works of Jane Austen. Fan fiction and e-texts of a few of her novels.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.tilneysandtrapdoors.com/"} +{"d:Title": "Jane \"Persuasion\" Austen", "d:Description": "Biography and opinion.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.incompetech.com/authors/austen/"} +{"d:Title": "Jane Austen, Your Questions Answered", "d:Description": "A literature academic provides answers to e-mailed questions. Brief history of Austen, with book and article reviews.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.janeausten.faithweb.com/"} +{"d:Title": "Today in Literature: Jane Austen", "d:Description": "Biographical articles about Austen's life and the creation of specific works. Requires free registration to read full articles.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.todayinliterature.com/biography/jane.austen.asp"} +{"d:Title": "Jane Austen Jewelry", "d:Description": "Photographs with links to create earrings like those worn by a few of her female characters.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://jewelrymaking.about.com/library/bljanea.htm?once=true&"} +{"d:Title": "SelectSmart.com: Jane Austen Character Selector", "d:Description": "Quiz to determine your true self as a character from one of her books.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.selectsmart.com/FREE/select.php?client=babyviolet"} +{"d:Title": "Austen for Beginners", "d:Description": "Short biography of Jane Austen, information about her books and other writings, summaries of the plots and quotations from the novels, full text of all six novels with explanatory notes, full text of all the juvenilia, and links to other web resources.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.austen-beginners.com/index.shtml"} +{"d:Title": "Molland's Jane Austen Resource", "d:Description": "A community and resource site for Jane Austen fans, including e-texts of Jane Austen's novels and other texts about her work, links, e-cards, and a forum.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.mollands.net/"} +{"d:Title": "The Guardian: Jane Austen", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.theguardian.com/books/2008/jun/09/janeausten"} +{"d:Title": "Calendars Behind Jane Austen's Novels", "d:Description": "Probable calendars, which supports Jane Austen's prose narratives, are given for a few of her works.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane", "url": "http://www.jimandellen.org/austen/emcalendars.html"} +{"d:Title": "Jane Austen Society of North America", "d:Description": "Information on upcoming meetings, activities, and conferences, as well as biographical information and critical essays about Jane Austen.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Associations", "url": "http://www.jasna.org/"} +{"d:Title": "Jane Austen Society of North America: Northern California Region", "d:Description": "Meetings focus on Jane Austen's life and times. News and information.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Associations", "url": "http://www.jasnanorcal.org/"} +{"d:Title": "Jane Austen Society of the United Kingdom", "d:Description": "Promotes interest in her life and works. Conferences, events, and membership information.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Associations", "url": "http://www.janeaustensoci.freeuk.com/"} +{"d:Title": "Jane Austen Society of North America: Maryland Chapter", "d:Description": "Celebrates the works of this classic novelist. Features the group's history and purpose. Information on upcoming meetings, activities, and conferences.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Associations", "url": "http://www.jasnamd.org/"} +{"d:Title": "The Jane Austen Society of North America: Eastern Pennsylvania - Delaware Valley Region", "d:Description": "Regional group also includes southern New Jersey and Delaware. Includes information on membership, events program, regional news, and contact data.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Associations", "url": "http://www.jasnaeastpa.org/"} +{"d:Title": "Jane Austen Society of North America, Greater New York Region", "d:Description": "The New York Chapter of the Jane Austen Society of North America is dedicated to the enjoyment and appreciation of author Jane Austen and her writing.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Associations", "url": "http://www.jasnany.org/"} +{"d:Title": "Janeites", "d:Description": "A Jane Austin discussion list.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Chats_and_Forums", "url": "http://homepages.ihug.co.nz/~awoodley/janeites.html"} +{"d:Title": "AustenBlog", "d:Description": "News, personal thoughts, and links about Jane Austen in popular culture.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Chats_and_Forums", "url": "http://www.austenblog.com/"} +{"d:Title": "Austen.com", "d:Description": "Listing of links to her literary works and letters, biographies, web rings, writer's guild, historical information, related tours, and other subjects.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Directories", "url": "http://www.austen.com/"} +{"d:Title": "All Things Austen", "d:Description": "Links to related topics, a chat room, some photos, and a poll.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Directories", "url": "http://www.angelfire.com/mt/rosewynds/jalinks.html"} +{"d:Title": "Literaryhistory.com: Jane Austen", "d:Description": "Link archive to articles, biographical studies, introductions and discussions of her novels, book reviews, journals, and other related material.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Directories", "url": "http://www.literaryhistory.com/19thC/AUSTEN.htm"} +{"d:Title": "Jane Austen Festival", "d:Description": "News, reviews, and information on past and present festivals held annually in Bath, U.K. Information on event program and travel arrangements.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Events", "url": "http://www.janeaustenfestivalbath.co.uk/"} +{"d:Title": "The Jane Austen Centre in Bath, England", "d:Description": "Tells the story of Austen's six years in Bath and the effect on her writings. Features a gift shop and a virtual tour. Location and information.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Locations", "url": "http://www.janeausten.co.uk/"} +{"d:Title": "Jane Austen's House Museum", "d:Description": "Information and history of the home where she wrote and revised her famous novels. Location and hours of operation of museum now located on site.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Locations", "url": "http://www.jane-austens-house-museum.org.uk/"} +{"d:Title": "Footstep Maps: Jane Austen's England", "d:Description": "Information on this literary tourist map; with pictures, travel details, and commentaries. Link to purchase.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Locations", "url": "http://www.footstepmaps.com.au/jane_austen.htm"} +{"d:Title": "Allreaders.com: Jane Austen", "d:Description": "Reviews and commentaries on her novels. Invitation to visitors to sign up as a scholar and post own analyses.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Reviews", "url": "http://allreaders.com/books/jane-austen-321"} +{"d:Title": "Classic Bookshelf: Jane Austen", "d:Description": "The works of Jane Austen free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works", "url": "http://www.classicbookshelf.com/library/Austen/"} +{"d:Title": "Literature Network: Jane Austen", "d:Description": "Detailed biography and online copies of her six novels.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works", "url": "http://www.online-literature.com/austen"} +{"d:Title": "TheFreeLibrary.com: Austen, Jane", "d:Description": "Short profile, related links, and complete texts of her six well known novels, as well as Love and Friendship.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works", "url": "http://austen.thefreelibrary.com/"} +{"d:Title": "Bibliomania: Jane Austen", "d:Description": "Complete presentation of seven of Austen's novels. Study guides and related links.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works", "url": "http://www.bibliomania.com/0/0/6/frameset.html"} +{"d:Title": "Classic Literature Library - Jane Austen Romance Books", "d:Description": "Romance Books by Jane Austen in html format.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works", "url": "http://romance-books.classic-literature.co.uk/jane-austen/"} +{"d:Title": "Ria Press Classic Books - Jane Austen", "d:Description": "Books available in typeset PDF format for download.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works", "url": "http://www.riapress.com/riapress/author.lasso?goto=39"} +{"d:Title": "Read Print: Jane Austen", "d:Description": "Complete works in searchable format.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works", "url": "http://www.readprint.com/author-4/Jane-Austen-books"} +{"d:Title": "ClassicReader.com: Lady Susan", "d:Description": "Complete text, with letters presented in chapter form.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works/Lady_Susan", "url": "http://www.classicreader.com/book/25/"} +{"d:Title": "Book Reviews: Mansfield Park", "d:Description": "Book review and essay. Link to online text.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works/Mansfield_Park", "url": "http://bookreviews.nabou.com/reviews/mansfield_park.html"} +{"d:Title": "Jane Austen's 'Persuasion': The Authorial Voice and the Heroine's Point of View", "d:Description": "In relation to Jane Austen's novel 'Persuasion', the role of the heroine Anne Eliot is considered, particularly the question of whether Jane Austen succeeded completely in keeping her authorial voice separate from the point of view of her central character.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works/Persuasion", "url": "http://www.literature-study-online.com/essays/austen.html"} +{"d:Title": "Bartleby.com: Pride and Prejudice", "d:Description": "Bibliographic record and notes, a collection of criticisms and interpretations, list of characters, and a searchable text.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works/Pride_and_Prejudice", "url": "http://www.bartleby.com/303/2/"} +{"d:Title": "eBooks: Pride and Prejudice", "d:Description": "Chapter indexed e-text.", "topic": "Top/Arts/Literature/Authors/A/Austen,_Jane/Works/Pride_and_Prejudice", "url": "http://www.ebooks3.com/ebooks/pride_and_prejudice.html"} +{"d:Title": "Invisible Father", "d:Description": "A review of Paul Auster's novel \"The Invention of Solitude\". By W.S. Merwin in the New York Times.", "topic": "Top/Arts/Literature/Authors/A/Auster,_Paul", "url": "http://www.nytimes.com/books/99/06/20/specials/auster-solitude.html"} +{"d:Title": "The Guardian: Paul Auster", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/A/Auster,_Paul", "url": "http://www.theguardian.com/books/2008/jun/10/paulauster"} +{"d:Title": "Salon People | Paul Auster", "d:Description": "The author of the recent novel \"Timbuktu\" and the screenplays for \"Smoke\" and \"Blue in the Face\" discusses cynicism, sentimentality, Brooklyn and the strange things he creates.", "topic": "Top/Arts/Literature/Authors/A/Auster,_Paul", "url": "http://www.salon.com/1999/07/23/auster/"} +{"d:Title": "Steve Aylett at the Complete Review", "d:Description": "An overview of the life and works of Steve Aylett, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/A/Aylett,_Steve", "url": "http://www.complete-review.com/authors/ayletts.htm"} +{"d:Title": "Steve Aylett Official Website", "d:Description": "Site about satire cyberpunk science fiction author Steve Aylett.", "topic": "Top/Arts/Literature/Authors/A/Aylett,_Steve", "url": "http://www.steveaylett.com/"} +{"d:Title": "M. D. Benoit", "d:Description": "Speculative and future fiction writer. Ottawa, Canada.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://mdbenoit.com/"} +{"d:Title": "Blackburn, Stephen", "d:Description": "Author's site features a biography, reviews, and excerpts from his published books.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.stephenblackburn.org/"} +{"d:Title": "Bazhe", "d:Description": "Author's homepage includes a brief biography, reviews, and excerpts of his work.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.bazhe.com/"} +{"d:Title": "Balfour, Bruce", "d:Description": "Author site covering the novels and comic books of Bruce Balfour. Brief bio, credit list, book summaries, and links.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.brucebalfour.com/"} +{"d:Title": "Bryan, Lynne", "d:Description": "British author's homepage includes her biography, summaries and reviews of her work, and information about her creative writing courses.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.lynnebryan.co.uk/"} +{"d:Title": "Baratz-Logsted, Lauren", "d:Description": "News, information, reviews, and book discussion group questions.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.laurenbaratzlogsted.com/"} +{"d:Title": "Beard, Richard", "d:Description": "Author's site includes reviews, book information, sample stories and sports articles, and biography.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.richardbeard.info/"} +{"d:Title": "Bauer,Tricia", "d:Description": "Author's site includes biographical information, information on her titles, photos, and an interview.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.triciabauer.com/"} +{"d:Title": "Ballard, Allen", "d:Description": "Biography, contact and information on his three published works.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://members.authorsguild.net/aballard/"} +{"d:Title": "Brown, Jim", "d:Description": "The official website of author and journalist providing details of published books, brief biography, events/FAQ, newsletter, and images.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.jimbrownbooks.com/"} +{"d:Title": "Bird, Christiane", "d:Description": "This New York city author's site includes biographical information, brief review comments, photos, and information on her publications.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.christianebird.com/"} +{"d:Title": "Black, Kathryn", "d:Description": "A Colorado site including biographical information, reviews of her publications, an interview and information about her workshops on mothering and memoir writing.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://kathrynblack.com/"} +{"d:Title": "Buckell, Tobias S.", "d:Description": "Speculative fiction author with site offering a weblog, bibliography, newsletter, and schedule of appearances.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.tobiasbuckell.com/"} +{"d:Title": "Bottoms, Greg", "d:Description": "Interview with the author of The Colorful Apocalypse: Journeys in Outsider Art.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.press.uchicago.edu/Misc/Chicago/066851in.html"} +{"d:Title": "Bullough, Tom", "d:Description": "Author's site featuring information on books, excerpts, reviews, biography, weblog and bookshop.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.tombullough.com/"} +{"d:Title": "Boyar, Theresa", "d:Description": "Author's homepage includes her biography, news, weblog, and excerpts of her work.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.theresaboyar.com/"} +{"d:Title": "Binning, Bj", "d:Description": "Provides details about the author and her inspirational books, \"Circumstances\" and \"Love me already\".", "topic": "Top/Arts/Literature/Authors/B", "url": "http://bjbinning.com/"} +{"d:Title": "Christian Blake", "d:Description": "Collected writings, essays, and random thoughts of Christian Blake.", "topic": "Top/Arts/Literature/Authors/B", "url": "http://www.christianblake.com/"} +{"d:Title": "Storybites: Isaac Babel", "d:Description": "Biography and commentary on the Russian Jewish author.", "topic": "Top/Arts/Literature/Authors/B/Babel,_Isaac", "url": "http://www.storybites.com/babel.htm"} +{"d:Title": "Isaac Babel", "d:Description": "Biography and literary quotes regarding the dissident Soviet author.", "topic": "Top/Arts/Literature/Authors/B/Babel,_Isaac", "url": "http://spartacus-educational.com/RUSbabel.htm"} +{"d:Title": "Amit Phansalkar", "d:Description": "Richard Bach. \"There are few works that make you feel proud, for being able to appreciate them.\"", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard", "url": "http://shimoda42.tripod.com/bach.html"} +{"d:Title": "Richard Bach: a fan site", "d:Description": "Book reviews and quotes. Brief biography, photos and discussion board.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard", "url": "http://www.inner-growth.info/main_bach.htm"} +{"d:Title": "Wikipedia: Richard Bach", "d:Description": "Encyclopedia article.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Bach"} +{"d:Title": "Purple House Press: Author signatures", "d:Description": "Richard Bach from spring 1972.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard", "url": "http://www.purplehousepress.com/sig/bach.jpg"} +{"d:Title": "Yahoo! Groups: RBachFans", "d:Description": "Discussions about Richard Bach's books and philosophy.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard/Chats_and_Forums", "url": "http://groups.yahoo.com/group/RBachFans/"} +{"d:Title": "Yahoo! Groups", "d:Description": "Richard Bach Readers Club.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard/Chats_and_Forums", "url": "http://groups.yahoo.com/group/richardbachreadersclub/"} +{"d:Title": "Jonathan Livingston, a siraly", "d:Description": "Full text of book, in Hungarian and English.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard/Works/Jonathan_Livingston_Seagull", "url": "http://www.szepi.hu/irodalom/jonathan/"} +{"d:Title": "Wikipedia: Jonathan Livingston Seagull", "d:Description": "Encyclopedia article.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard/Works/Jonathan_Livingston_Seagull", "url": "http://en.wikipedia.org/wiki/Jonathan_Livingston_Seagull"} +{"d:Title": "Jonathan Livingston Seagull Translations", "d:Description": "Includes a detailed list of translations of the book in different languages.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard/Works/Jonathan_Livingston_Seagull", "url": "http://www.littlecamels.com/jonathan-livingston-seagull/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Cast, credits and reviews for a movie based on the book.", "topic": "Top/Arts/Literature/Authors/B/Bach,_Richard/Works/Jonathan_Livingston_Seagull", "url": "http://www.imdb.com/title/tt0070248/"} +{"d:Title": "Expressing the Dark", "d:Description": "Article about Austrian author Ingeborg Bachmann (1926-1973), by Hans-Gunnar Peterson.", "topic": "Top/Arts/Literature/Authors/B/Bachmann,_Ingeborg", "url": "http://art-bin.com/art/abachmanneng.html"} +{"d:Title": "The Murderer in Her Dreams", "d:Description": "Article about the English translation of Bachmann's novel \"Malina\" in The New York Times on the Web.", "topic": "Top/Arts/Literature/Authors/B/Bachmann,_Ingeborg", "url": "http://www.nytimes.com/books/99/10/03/nnp/bachman-malina.html"} +{"d:Title": "Brotherhood / Do Not Decree Faith on this Race / After this Deluge", "d:Description": "Three poems by Ingeborg Bachmann in Jacket 18.", "topic": "Top/Arts/Literature/Authors/B/Bachmann,_Ingeborg", "url": "http://jacketmagazine.com/18/bachm.html"} +{"d:Title": "Sara Backer", "d:Description": "Reviews, biography, schedule of events, and reading group information.", "topic": "Top/Arts/Literature/Authors/B/Backer,_Sara", "url": "http://www.sarabacker.com/"} +{"d:Title": "Printed Matter: Sara Backer", "d:Description": "Review of the author's first book, American Fuji.", "topic": "Top/Arts/Literature/Authors/B/Backer,_Sara", "url": "http://www.dcn.davis.ca.us/go/gizmo/2001/fuji.html"} +{"d:Title": "An American Learns to Love Life in Japan", "d:Description": "Newspaper article about author Sara Backer's experiences as an expatriate.", "topic": "Top/Arts/Literature/Authors/B/Backer,_Sara", "url": "http://the.honoluluadvertiser.com/article/2002/Jun/02/il/il41a.html"} +{"d:Title": "Author Profile: Sara Backer", "d:Description": "Biography and interview with the 21st-century American novelist.", "topic": "Top/Arts/Literature/Authors/B/Backer,_Sara", "url": "http://www.bookreporter.com/authors/sara-backer"} +{"d:Title": "Bagley, Desmond", "d:Description": "Bibliography with short summary of plots of currently in print novels.", "topic": "Top/Arts/Literature/Authors/B/Bagley,_Desmond", "url": "http://www.crimetime.co.uk/profiles/desmondbagley.php"} +{"d:Title": "Complete Review: Murray Bail", "d:Description": "Overview of the Australian writer's life and work, with links to extensive reviews.", "topic": "Top/Arts/Literature/Authors/B/Bail,_Murray", "url": "http://www.complete-review.com/authors/bailmur.htm"} +{"d:Title": "Murray Bail's Eucalyptus", "d:Description": "Review of this quirky Australian fairy tale.", "topic": "Top/Arts/Literature/Authors/B/Bail,_Murray", "url": "http://dannyreviews.com/h/Eucalyptus.html"} +{"d:Title": "Australian Authors: Murray Bail", "d:Description": "Biography and list of works.", "topic": "Top/Arts/Literature/Authors/B/Bail,_Murray", "url": "http://www.middlemiss.org/lit/authors/bailm/bailm.html"} +{"d:Title": "Murray Bail's Homesickness", "d:Description": "A review of Bail's unusual novel about an Australian tour group travelling the world.", "topic": "Top/Arts/Literature/Authors/B/Bail,_Murray", "url": "http://dannyreviews.com/h/Homesickness.html"} +{"d:Title": "Maid of Llanwellyn", "d:Description": "Lyrics written by Baillie and published by George Thompson.", "topic": "Top/Arts/Literature/Authors/B/Baillie,_Joanna", "url": "http://ingeb.org/songs/maidofll.html"} +{"d:Title": "Night Scenes of Other Times: A Poem, in Three Parts", "d:Description": "Baillie's 1790 poem.", "topic": "Top/Arts/Literature/Authors/B/Baillie,_Joanna", "url": "http://www.english.upenn.edu/~mgamer/Etexts/baillie.poem"} +{"d:Title": "Bain, Donald", "d:Description": "Ghost writer of 80 books over 35 years. Under his own name he has published an autobiography: Every Midget Has an Uncle Sam Costume.", "topic": "Top/Arts/Literature/Authors/B/Bain,_Donald", "url": "http://www.donaldbain.com/"} +{"d:Title": "Elizabeth Baines", "d:Description": "Bibliography, reviews, and reading group discussions from the author's homepage.", "topic": "Top/Arts/Literature/Authors/B/Baines,_Elizabeth", "url": "http://www.e.baines.zen.co.uk/"} +{"d:Title": "Humanistic Texts: Po Ch\u00a8\u00b9-i", "d:Description": "Introduction to the writer and sixteen tranaslations or extracts, by various writers.", "topic": "Top/Arts/Literature/Authors/B/Bai_Juyi", "url": "http://www.humanistictexts.org/po_chu_i.htm#_Toc483883516"} +{"d:Title": "Chinese Poems: Bai Juyi", "d:Description": "Chinese and English texts of seventeen works.", "topic": "Top/Arts/Literature/Authors/B/Bai_Juyi", "url": "http://www.chinese-poems.com/bo.html"} +{"d:Title": "The Coming of Age of Jane", "d:Description": "Brief publisher's information on the book of poetry by Lori Baker.", "topic": "Top/Arts/Literature/Authors/B/Baker,_Lori", "url": "http://www.durationpress.com/paradigm/comingofage.htm"} +{"d:Title": "Scraps", "d:Description": "Publisher's information on the short story collection by Lori Baker.", "topic": "Top/Arts/Literature/Authors/B/Baker,_Lori", "url": "http://www.durationpress.com/paradigm/scraps.html"} +{"d:Title": "Nicholson Baker Fan Page", "d:Description": "Site includes information on Baker's books, critiques of his works, interviews and author quotes.", "topic": "Top/Arts/Literature/Authors/B/Baker,_Nicholson", "url": "http://www.j-walk.com/nbaker/"} +{"d:Title": "An Interview with Nicholson Baker", "d:Description": "Conversation with the author about \"The Mezzanine\" and \"The Fermata.\"", "topic": "Top/Arts/Literature/Authors/B/Baker,_Nicholson", "url": "http://www.altx.com/interviews/nicholson.baker.html"} +{"d:Title": "Nicholson Baker: A Life in Detail", "d:Description": "NPR interview with the writer.", "topic": "Top/Arts/Literature/Authors/B/Baker,_Nicholson", "url": "http://www.npr.org/templates/story/story.php?storyId=921674"} +{"d:Title": "Nicholson Baker", "d:Description": "Index of reviews, articles, and responses to the author.", "topic": "Top/Arts/Literature/Authors/B/Baker,_Nicholson", "url": "http://www.arl.org/preserv/presresources/Nicolas_Baker.shtml"} +{"d:Title": "Last Man Standing by David Baldacci", "d:Description": "Review of the psychological thriller.", "topic": "Top/Arts/Literature/Authors/B/Baldacci,_David", "url": "http://mostlyfiction.com/spy-thriller/baldacci.htm"} +{"d:Title": "Wikipedia - David Baldacci", "d:Description": "From the free encyclopedia, a short biography and list of the works by this bestselling thriller author.", "topic": "Top/Arts/Literature/Authors/B/Baldacci,_David", "url": "http://en.wikipedia.org/wiki/David_Baldacci"} +{"d:Title": "Official Website of David Baldacci", "d:Description": "Author's site include biography and information on his works.", "topic": "Top/Arts/Literature/Authors/B/Baldacci,_David", "url": "http://davidbaldacci.com/"} +{"d:Title": "Interview with David Baldacci", "d:Description": "Conversation with the author about his life and his novel \"The Christmas Train.\"", "topic": "Top/Arts/Literature/Authors/B/Baldacci,_David", "url": "http://www.writerswrite.com/books/david-baldacci-talks-the-christmas-train-110120021"} +{"d:Title": "99 StellaVista", "d:Description": "J.G. Ballard resource site including reviews, publishing information, author and cover pictures, and titles for purchase. Also videos available of movies based on Ballard books, such as \"Crash\" and \"Empire of the Sun\".", "topic": "Top/Arts/Literature/Authors/B/Ballard,_J._G.", "url": "http://www.relache.com/jg_ballard/"} +{"d:Title": "JG Ballard: Extreme Metaphor: A Crash Course In The Fiction Of JG Ballard", "d:Description": "\"Spike delves into the strange world of England's finest postwar writer,\" from spikemagazine.com.", "topic": "Top/Arts/Literature/Authors/B/Ballard,_J._G.", "url": "http://www.spikemagazine.com/0697lard.php"} +{"d:Title": "The Guardian: J.G. Ballard", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/B/Ballard,_J._G.", "url": "http://www.theguardian.com/books/2008/jun/10/jgballard"} +{"d:Title": "Allreaders J.G. Ballard Spotlight", "d:Description": "An analysis of the plot, setting, characters, theme, and structure of his works.", "topic": "Top/Arts/Literature/Authors/B/Ballard,_J._G.", "url": "http://allreaders.com/books/j-g-ballard-641"} +{"d:Title": "Uitgeverij - Judith Balso", "d:Description": "Short biographical profile of the writer and author.", "topic": "Top/Arts/Literature/Authors/B/Balso,_Judith", "url": "http://www.uitgeverij.cc/writers/judith-balso/"} +{"d:Title": "Nick Bantock: The Griffin&Sabine Trilogy", "d:Description": "Brief reviews by Audrey M. Clark.", "topic": "Top/Arts/Literature/Authors/B/Bantock,_Nick", "url": "http://www.rambles.net/bantock_griffin.html"} +{"d:Title": "Nick Bantock", "d:Description": "Official entertainment site of the artist and author covers books, interviews, games, art, gifts and contests.", "topic": "Top/Arts/Literature/Authors/B/Bantock,_Nick", "url": "http://www.nickbantock.com/"} +{"d:Title": "Interview: Nick Bantock", "d:Description": "Linda Richards interviews Nick Bantock in January Magazine.", "topic": "Top/Arts/Literature/Authors/B/Bantock,_Nick", "url": "http://www.januarymagazine.com/profiles/bantock.html"} +{"d:Title": "Amiri Baraka", "d:Description": "Official homepage of the poet includes publications, audio recordings, news and photos.", "topic": "Top/Arts/Literature/Authors/B/Baraka,_Imamu_Amiri", "url": "http://www.amiribaraka.com/"} +{"d:Title": "Amiri Baraka", "d:Description": "The Academy of American Poets presents a biography, bibliography, and links.", "topic": "Top/Arts/Literature/Authors/B/Baraka,_Imamu_Amiri", "url": "http://www.poets.org/poetsorg/poet/amiri-baraka"} +{"d:Title": "Anna L\u00e6titia Aikin Barbauld (1743-1825)", "d:Description": "Biographical site of English writer, essayist, and poet.", "topic": "Top/Arts/Literature/Authors/B/Barbauld,_Anna_L.", "url": "http://digital.library.upenn.edu/women/barbauld/biography.html"} +{"d:Title": "The Anna Laetitia Barbauld Web Site", "d:Description": "Resource site for Barbauld and her works.", "topic": "Top/Arts/Literature/Authors/B/Barbauld,_Anna_L.", "url": "http://www.usask.ca/english/barbauld/"} +{"d:Title": "Poems", "d:Description": "1772 edition of Barbauld's volume of poetry.", "topic": "Top/Arts/Literature/Authors/B/Barbauld,_Anna_L./Works", "url": "http://digital.library.upenn.edu/women/barbauld/1773/1773-poems.html"} +{"d:Title": "Hymns in Prose for Children", "d:Description": "E-text of 1781 work by Barbauld.", "topic": "Top/Arts/Literature/Authors/B/Barbauld,_Anna_L./Works", "url": "http://digital.library.upenn.edu/women/barbauld/hymns/hymns-in-prose.html"} +{"d:Title": "Aikin, from Misc. Pieces in Prose (1773)", "d:Description": "Two brief essays by Barbauld, written with her brother, John Aikin.", "topic": "Top/Arts/Literature/Authors/B/Barbauld,_Anna_L./Works", "url": "http://www.english.upenn.edu/~mgamer/Etexts/barbauldessays.html"} +{"d:Title": "The Works of Anna L\u00e6titia Barbauld", "d:Description": "Complete text of her two-volume poetry collection.", "topic": "Top/Arts/Literature/Authors/B/Barbauld,_Anna_L./Works", "url": "http://www.usask.ca/english/barbauld/works/Works1825/"} +{"d:Title": "Sins of the Government, Sins of the Nation", "d:Description": "Subtitled \"Or, A Discourse for the Fast\", the text of Barbauld's 1793 essay is presented.", "topic": "Top/Arts/Literature/Authors/B/Barbauld,_Anna_L./Works", "url": "http://idhmcmain.tamu.edu/poetess/creators/index.php?crID=153&radBib=All&chkPrimary=Primary&chkSecondary=Secondary&radSort=Author&chkAllCrTypes=All+Creator+Types&chkWorkList=WorkList"} +{"d:Title": "Djuna Barnes - The Book of Repulsive Women", "d:Description": "Online text with explanatory notes.", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Djuna", "url": "http://www.alb-neckar-schwarzwald.de/dbarnes/repulsive.html"} +{"d:Title": "Djuna Barnes: An Amazing Woman", "d:Description": "Feminist writer and illustrator Djuna Barnes, her life, loves, works and her inspirational story.", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Djuna", "url": "http://www.amybrown.net/women/djuna.html"} +{"d:Title": "Djuna Barnes", "d:Description": "Biography of the writer including bibliography of works, an essay, and photos.", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Djuna", "url": "http://www.studiocleo.com/librarie/barnes/djunabarnes.html"} +{"d:Title": "Julian Barnes", "d:Description": "Official site. Includes reviews, bibliography, discussion board, a study resource area and information about upcoming and recent publications.", "priority": "1", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Julian", "url": "http://www.julianbarnes.com/"} +{"d:Title": "Julian Barnes in Conversation", "d:Description": "Interview conducted during a 2001 conference on the author's novel Flaubert's Parrot.", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Julian", "url": "http://www.cercles.com/n4/barnes.pdf"} +{"d:Title": "The Guardian: Julian Barnes", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Julian", "url": "http://www.theguardian.com/books/2008/jun/10/julianbarnes"} +{"d:Title": "Inventing Towards Truth: Theories of History and the Novels of Julian Barnes", "d:Description": "Thesis written by James E. Martin (M.A., University of Arkansas, 2001).", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Julian", "url": "http://wheatdesign.com/pdf/martin_barnes_thesis.pdf"} +{"d:Title": "Julian Barnes", "d:Description": "Photo, biography, and list of works.", "topic": "Top/Arts/Literature/Authors/B/Barnes,_Julian", "url": "https://literature.britishcouncil.org/writer/julian-barnes"} +{"d:Title": "Dinkum Aussies Literature: Robert G Barrett", "d:Description": "Biography of the Australian mystery writer.", "topic": "Top/Arts/Literature/Authors/B/Barrett,_Robert_G.", "url": "http://www.dinkumaussies.com/LITERATURE/Robert%20G%20Barrett.htm"} +{"d:Title": "Mystery Bay Blues", "d:Description": "Review of the Australian writer's novel.", "topic": "Top/Arts/Literature/Authors/B/Barrett,_Robert_G.", "url": "http://www.smh.com.au/articles/2002/12/20/1040174387931.html"} +{"d:Title": "ANON: the J. M. Barrie Society", "d:Description": "A site celebrating the works and life of the author, and providing an online email list for discussion thereof.", "topic": "Top/Arts/Literature/Authors/B/Barrie,_James_M.", "url": "http://www.jmbarrie.net/"} +{"d:Title": "The Story of J.M.B.", "d:Description": "A look inside the mind of Scottish playwright James M. Barrie.", "topic": "Top/Arts/Literature/Authors/B/Barrie,_James_M.", "url": "http://www.theatrehistory.com/british/barrie002.html"} +{"d:Title": "The Adventure of the Two Collaborators", "d:Description": "This was written by Barrie to Conan Doyle after their failed co-authorship of an opera libretto. It's in the form of a Sherlock Holmes spoof.", "topic": "Top/Arts/Literature/Authors/B/Barrie,_James_M./Works", "url": "http://www.diogenes-club.com/collab.htm"} +{"d:Title": "Peter Pan", "d:Description": "A searchable online version of the book including author information.", "topic": "Top/Arts/Literature/Authors/B/Barrie,_James_M./Works/Peter_Pan", "url": "http://www.online-literature.com/barrie/peterpan/"} +{"d:Title": "Mostly Fiction: The Jennifer Government", "d:Description": "Review of Max Barry's second novel.", "topic": "Top/Arts/Literature/Authors/B/Barry,_Max", "url": "http://mostlyfiction.com/humor/barry.htm"} +{"d:Title": "Fantastic Fiction: Jennifer Government", "d:Description": "Review of the dystopic novel.", "topic": "Top/Arts/Literature/Authors/B/Barry,_Max", "url": "http://www.infinityplus.co.uk/fantasticfiction/jennifergovt.htm"} +{"d:Title": "Complete Review: Jennifer Government", "d:Description": "Reviews and links about Max Barry's futuristic novel.", "topic": "Top/Arts/Literature/Authors/B/Barry,_Max", "url": "http://www.complete-review.com/reviews/barrym/jgovern.htm"} +{"d:Title": "Max Barry", "d:Description": "Official web site of the Australian novelist offers news, reviews and trivia about Max and his life.", "topic": "Top/Arts/Literature/Authors/B/Barry,_Max", "url": "http://maxbarry.com/"} +{"d:Title": "Sebastian Barry", "d:Description": "Booker Prize-shortlisted novelist and playwright; with a biography, bibliography and prize list.", "topic": "Top/Arts/Literature/Authors/B/Barry,_Sebastian", "url": "https://literature.britishcouncil.org/writer/sebastian-barry"} +{"d:Title": "The Literature of Stan Barstow", "d:Description": "Official page of the novelist and playwright includes biography, news, FAQ, and bibliography of works.", "topic": "Top/Arts/Literature/Authors/B/Barstow,_Stan", "url": "http://www.stanbarstow.info/"} +{"d:Title": "IMDb: Stan Barstow", "d:Description": "Filmography for the screenwriter.", "topic": "Top/Arts/Literature/Authors/B/Barstow,_Stan", "url": "http://www.imdb.com/name/nm0058337/"} +{"d:Title": "John Barth Discussion Group", "d:Description": "Forum for fans of the author.", "topic": "Top/Arts/Literature/Authors/B/Barth,_John", "url": "http://groups.yahoo.com/group/johnbarth/"} +{"d:Title": "John Barth", "d:Description": "Biography and works, discussion, and analysis of the author's postmodernist fiction.", "topic": "Top/Arts/Literature/Authors/B/Barth,_John", "url": "http://www.eng.fju.edu.tw/English_Literature/barth/"} +{"d:Title": "John Barth", "d:Description": "Teaching guide to \"Lost in the Funhouse\" and Barth's other works.", "topic": "Top/Arts/Literature/Authors/B/Barth,_John", "url": "http://www.georgetown.edu/faculty/bassr/heath/syllabuild/iguide/barth.html"} +{"d:Title": "Virtuality", "d:Description": "Essay by John Barth from the Johns Hopkins Magazine.", "topic": "Top/Arts/Literature/Authors/B/Barth,_John", "url": "http://pages.jh.edu/~jhumag/994web/culture1.html"} +{"d:Title": "Coldbacon's Tribute to Donald Barthelme", "d:Description": "Drawing associations between Barthelmes work and other art forms.", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Donald", "url": "http://www.coldbacon.com/barthelme.html"} +{"d:Title": "Mutant Anxiety: On Reading Barthelmes Narrator in \"Me and Miss Mandible.\"", "d:Description": "An essay by Dave Amadio.", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Donald/Reviews", "url": "http://courses.wcupa.edu/fletcher/amadio.htm"} +{"d:Title": "Game", "d:Description": "A short story by Donald Barthelme.", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Donald/Works", "url": "http://www.latexnet.org/~burnt/Game.html"} +{"d:Title": "Readings: The School", "d:Description": "Contains etext for this selection from \"Sixty Stories.\"", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Donald/Works", "url": "http://www.npr.org/programs/death/readings/stories/bart.html"} +{"d:Title": "Driver", "d:Description": "Online fiction by Frederick Barthelme.", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Frederick", "url": "http://www.barcelonareview.com/22/e_fb.htm"} +{"d:Title": "The Web Is a Gun", "d:Description": "Atlantic Monthly interview with Frederick Barthelme on Internet publishing.", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Frederick", "url": "http://www.theatlantic.com/past/docs/unbound/digicult/dc9702/barthelm.htm"} +{"d:Title": "The Law of Averages", "d:Description": "Review of the minimalist story collection.", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Frederick", "url": "http://www.salon.com/2000/11/15/barthelme_2/"} +{"d:Title": "Frederick Barthelme", "d:Description": "Biography, bibliography, and links about the writer currently teaching at the University of Southern Mississippi.", "topic": "Top/Arts/Literature/Authors/B/Barthelme,_Frederick", "url": "http://mwp.olemiss.edu/dir/barthelme_frederick/"} +{"d:Title": "Basho Matsuo", "d:Description": "Analysis of the haiku poet's writing and importance.", "topic": "Top/Arts/Literature/Authors/B/Basho,_Matsuo_Munefusa", "url": "http://www.big.or.jp/~loupe/links/ehisto/ebasho.shtml"} +{"d:Title": "Introduction to Bash\u00f4's Narrow Road to the Deep North", "d:Description": "Discussion of the poet's travel writings.", "topic": "Top/Arts/Literature/Authors/B/Basho,_Matsuo_Munefusa", "url": "http://www.columbia.edu/itc/eacp/asiasite/topics/index.html?topic=Basho+subtopic=Intro"} +{"d:Title": "Grand Inspiritors: Matsuo Basho", "d:Description": "Links to a variety of sites about the Japanese poet in English and Japanese.", "topic": "Top/Arts/Literature/Authors/B/Basho,_Matsuo_Munefusa", "url": "http://opening.hefko.net/gi_basho.html"} +{"d:Title": "Interview with Rick Bass", "d:Description": "Interview with the author by Scott Slovic.", "topic": "Top/Arts/Literature/Authors/B/Bass,_Rick", "url": "http://weberstudies.weber.edu/archive/archive%20B%20Vol.%2011-16.1/Vol.%2011.3/11.3BassIterview.htm"} +{"d:Title": "That Dog Will Hunt", "d:Description": "Review of the book Colter: The True Story of the Best Dog I Ever Had by Richard Conniff for the New York Times. Includes an excerpt from the book's first chapter.", "topic": "Top/Arts/Literature/Authors/B/Bass,_Rick/Reviews", "url": "http://www.nytimes.com/books/00/07/16/reviews/000716.16conn.html"} +{"d:Title": "Outside", "d:Description": "Review of the three novellas published in The Sky, the Stars, the Wilderness in The New York Times. Includes an excerpt from the book's first chapter.", "topic": "Top/Arts/Literature/Authors/B/Bass,_Rick/Reviews", "url": "http://www.nytimes.com/books/97/12/14/reviews/971214.14gorrat.html"} +{"d:Title": "Geology Is Destiny", "d:Description": "Review of the novel Where the Sea Used To Be in The New York Times. Includes an excerpt of the first chapter, as well as an audio feature of the author reading from his work.", "topic": "Top/Arts/Literature/Authors/B/Bass,_Rick/Reviews", "url": "http://www.nytimes.com/books/98/08/02/reviews/980802.02mcmanut.html"} +{"d:Title": "Some Safe Place", "d:Description": "Essay in which Bass recalls his mother's struggle with leukemia. Also offers discussion questions.", "topic": "Top/Arts/Literature/Authors/B/Bass,_Rick/Works", "url": "http://www.doubletakemagazine.org/edu/teachersguide/activities/place/bass/"} +{"d:Title": "Writers on Writing: To Engage the World More Fully, Follow a Dog", "d:Description": "An article for the New York Times about his relationship with his dog, Colter.", "topic": "Top/Arts/Literature/Authors/B/Bass,_Rick/Works", "url": "http://www.nytimes.com/library/books/050800bass-writing.html"} +{"d:Title": "A Winter's Tale", "d:Description": "An essay in which the author thinks about the meaning of winter, and the memories he has of the season.", "topic": "Top/Arts/Literature/Authors/B/Bass,_Rick/Works", "url": "http://www.theatlantic.com/past/docs/issues/2000/01/001bass.htm"} +{"d:Title": "Charles Baudelaire", "d:Description": "The Academy of American Poets presents a biography, poems, and links.", "topic": "Top/Arts/Literature/Authors/B/Baudelaire,_Charles", "url": "http://www.poets.org/poetsorg/poet/charles-baudelaire"} +{"d:Title": "Charles Baudelaire", "d:Description": "\"A small selection of poems by Charles Baudelaire.\" English alongside the French originals, with translator's notes.", "topic": "Top/Arts/Literature/Authors/B/Baudelaire,_Charles/Poetry", "url": "http://www.raingod.com/angus/Poetry/Poems/c_baudelaire.html"} +{"d:Title": "Three poems from Les Fleurs du Mal", "d:Description": "Three poems in French and English include an excerpt from Selected Poems from Les Fleurs du Mal, translated by Norman Shapiro.", "topic": "Top/Arts/Literature/Authors/B/Baudelaire,_Charles/Poetry", "url": "http://www.press.uchicago.edu/Misc/Chicago/039250.html"} +{"d:Title": "Poetry Archive: Charles Baudelaire", "d:Description": "A collection of poems.", "topic": "Top/Arts/Literature/Authors/B/Baudelaire,_Charles/Poetry", "url": "http://www.poetry-archive.com/b/baudelaire_charles.html"} +{"d:Title": "The Idea Man", "d:Description": "Short story by Steve Beai.", "topic": "Top/Arts/Literature/Authors/B/Beai,_Steve", "url": "http://darkplanet.basespace.net/fiction/ideaman.html"} +{"d:Title": "Gorman Bechard", "d:Description": "Author's website includes information on his novels, short stories and films.", "topic": "Top/Arts/Literature/Authors/B/Bechard,_Gorman", "url": "http://www.gormanbechard.com/"} +{"d:Title": "IMDB: Gorman Bechard", "d:Description": "Filmography for the scriptwriter.", "topic": "Top/Arts/Literature/Authors/B/Bechard,_Gorman", "url": "http://www.imdb.com/name/nm0064961/"} +{"d:Title": "Louis Becke, Writer", "d:Description": "A categorized bibliography.", "topic": "Top/Arts/Literature/Authors/B/Becke,_George_Lewis", "url": "http://www.trussel.com/kir/beckebib.htm"} +{"d:Title": "Becke, George Lewis ('Louis') (1855-1913)", "d:Description": "Biography, bibliography, list of this Australian author's works.", "topic": "Top/Arts/Literature/Authors/B/Becke,_George_Lewis", "url": "http://marshall.csu.edu.au/Marshalls/html/becke/becke.html"} +{"d:Title": "Moonstruck Drama Bookstore: Samuel Beckett", "d:Description": "Biography of the absurdist playwright.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel", "url": "http://www.imagi-nation.com/moonstruck/clsc7.htm"} +{"d:Title": "Samuel Beckett's Postmodern Fictions", "d:Description": "Discussion of the postmodernity of the author's prose fictions. By Brian Finney.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel", "url": "http://www.csulb.edu/~bhfinney/beckett.html"} +{"d:Title": "The Samuel Beckett On-Line Resources and Links Pages", "d:Description": "Essays, reviews, analyses and various other material related to the author and his works.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel", "url": "http://www.samuel-beckett.net/"} +{"d:Title": "Guardian Newspapers: Samuel Beckett (1906-1989)", "d:Description": "Profile, articles, obituary, reviews and links.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel", "url": "http://www.theguardian.com/books/2008/jun/10/samuelbeckett"} +{"d:Title": "Samuel Beckett Endpage", "d:Description": "Timeline, short biography, bibliography, and related links.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel", "url": "https://www.uantwerpen.be/en/rg/the-samuel-beckett-endpage/"} +{"d:Title": "Beckett on Film", "d:Description": "Information on the author's plays and the project to film each of them.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel/Plays", "url": "http://www.beckettonfilm.com/"} +{"d:Title": "Endgame: A Play in One Act", "d:Description": "Full e-text of Beckett's play.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel/Plays/Endgame", "url": "http://www.samuel-beckett.net/endgame.html"} +{"d:Title": "Essay on Waiting for Godot", "d:Description": "Short essay by Michael Sinclair.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel/Plays/Waiting_for_Godot", "url": "http://www.samuel-beckett.net/L2BeckEssay.html"} +{"d:Title": "Guardian Books: Godotmania", "d:Description": "Essay on the importance of Beckett's watershed play.", "topic": "Top/Arts/Literature/Authors/B/Beckett,_Samuel/Plays/Waiting_for_Godot", "url": "http://www.theguardian.com/stage/2003/jan/04/theatre.beckettat100"} +{"d:Title": "William Beebe: Going Deeper", "d:Description": "Biography of the naturalist and his deep-sea explorations.", "topic": "Top/Arts/Literature/Authors/B/Beebe,_William", "url": "http://www.pbs.org/wgbh/amex/ice/sfeature/beebe.html"} +{"d:Title": "Half Mile Down", "d:Description": "1934 essay by William Beebe on his descent in a bathysphere.", "topic": "Top/Arts/Literature/Authors/B/Beebe,_William", "url": "http://seawifs.gsfc.nasa.gov/OCEAN_PLANET/HTML/ocean_planet_book_beebe1.html"} +{"d:Title": "The Confirmation Suit", "d:Description": "A short story by Brendan Behan.", "topic": "Top/Arts/Literature/Authors/B/Behan,_Brendan", "url": "http://www.ireland-information.com/confirmationsuit.htm"} +{"d:Title": "Famous Irish Lives: Brendan Behan", "d:Description": "Biography of the Irish playwright and author.", "topic": "Top/Arts/Literature/Authors/B/Behan,_Brendan", "url": "http://www.irelandseye.com/irish/people/famous/behan.shtm"} +{"d:Title": "Aphra Behn (1640 - 1689)", "d:Description": "Biography of the first professional female playwright, plus links to all of her works currently in print.", "topic": "Top/Arts/Literature/Authors/B/Behn,_Aphra", "url": "http://www.imagi-nation.com/moonstruck/clsc17.htm"} +{"d:Title": "What Is Triumph in Love?", "d:Description": "School paper about the life and work of the 17th century English writer.", "topic": "Top/Arts/Literature/Authors/B/Behn,_Aphra", "url": "http://www.aestheticrealism.net/aesnyc141/Aphra_Behn_NH.htm"} +{"d:Title": "Aphra Behn - Selected Works", "d:Description": "Two poems from the Poets' Corner.", "topic": "Top/Arts/Literature/Authors/B/Behn,_Aphra/Works", "url": "http://www.theotherpages.org/poems/behn01.html"} +{"d:Title": "Alan Behr", "d:Description": "Author's site includes a series of articles, information and excerpts from his first novel, and samples of his travel photography.", "topic": "Top/Arts/Literature/Authors/B/Behr,_Alan", "url": "http://alanbehr.com/"} +{"d:Title": "Uncertainty Injected by Robert Bly, Two Pages Further", "d:Description": "Two poems published in The Salt River Review in 2001.", "topic": "Top/Arts/Literature/Authors/B/Beilharz,_Johannes/Poetry", "url": "http://www.poetserv.org/SRR11/beilharz.html"} +{"d:Title": "But But and other incomprehensible poems", "d:Description": "Four poems by Johannes Beilharz.", "topic": "Top/Arts/Literature/Authors/B/Beilharz,_Johannes/Poetry", "url": "http://www.jbeilharz.de/jb/butbut.html"} +{"d:Title": "Johannes Beilharz: four poems", "d:Description": "Text of the works published in Jacket Magazine.", "topic": "Top/Arts/Literature/Authors/B/Beilharz,_Johannes/Poetry", "url": "http://jacketmagazine.com/16/beil.html"} +{"d:Title": "Ellie Belew", "d:Description": "Author site includes biography, information about her published works, and her upcoming book tour.", "topic": "Top/Arts/Literature/Authors/B/Belew,_Ellie", "url": "http://www.elliebelew.com/"} +{"d:Title": "Madison Smartt Bell", "d:Description": "Author's homepage includes an interview, biographical data, a bibliography, excerpts from various works, and complete text of the original, uncut and unpublished version of Bell's 1995 novel All Soul's Rising.", "topic": "Top/Arts/Literature/Authors/B/Bell,_Madison_Smartt", "url": "http://webdelsol.com/msbell/"} +{"d:Title": "Madison Smartt Bell: Portrait d'un Ecrivain", "d:Description": "The author and English professor's faculty site includes a biography, published works, and links.", "topic": "Top/Arts/Literature/Authors/B/Bell,_Madison_Smartt", "url": "http://faculty.goucher.edu/mbell/"} +{"d:Title": "Beatrice Interview: Madison Smartt Bell", "d:Description": "A conversation with the novelist about race relations and his novel Ten Indians.", "topic": "Top/Arts/Literature/Authors/B/Bell,_Madison_Smartt", "url": "http://www.beatrice.com/interviews/bell/"} +{"d:Title": "An E-view with Marvin Bell", "d:Description": "Interview with the poet from The Drunken Boat.", "topic": "Top/Arts/Literature/Authors/B/Bell,_Marvin", "url": "http://www.thedrunkenboat.com/bellview.html"} +{"d:Title": "Secrets: Who Doesn't Know?", "d:Description": "1997 essay by author Marvin Bell.", "topic": "Top/Arts/Literature/Authors/B/Bell,_Marvin", "url": "http://www.colorado.edu/journals/standards/V6N1/REVIEWS/bell.html"} +{"d:Title": "Academy of American Poets: Marvin Bell", "d:Description": "Biography and photograph of the contemporary poet.", "topic": "Top/Arts/Literature/Authors/B/Bell,_Marvin", "url": "http://www.poets.org/poetsorg/poet/marvin-bell"} +{"d:Title": "By the Neck Until Dead", "d:Description": "Text of Bellamy's book exploring the history of public execution in Cleveland.", "topic": "Top/Arts/Literature/Authors/B/Bellamy,_John_Stark", "url": "http://www.clevelandmemory.org/SpecColl/bellamy/"} +{"d:Title": "Ballads and Songs", "d:Description": "Book of poetry published in 1863. 161K.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Bessie_Rayner", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7022"} +{"d:Title": "Poems", "d:Description": "A collection published in 1852. All in one file. 103K.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Bessie_Rayner", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7124"} +{"d:Title": "In a Walled Garden", "d:Description": "Collection of essays published in 1895. Character sketches of her social circle, including George Eliot and Cardinal Manning. Biographies, travel writing, literary criticism. All in one file. 338K.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Bessie_Rayner", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7193"} +{"d:Title": "Bessie Parkes", "d:Description": "A biography of the women's rights advocate.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Bessie_Rayner", "url": "http://spartacus-educational.com/Wbelloc.htm"} +{"d:Title": "Hilaire Belloc's View of History", "d:Description": "Article examining the Catholic writer's book \"Europe and the Faith.\"", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire", "url": "http://www.ewtn.com/library/HOMELIBR/BELLVIEW.TXT"} +{"d:Title": "Hilaire Belloc", "d:Description": "Brief biography, bibliography covering his books of poetry, and links.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire", "url": "http://www.tallett.com/fr312k/cba4332/belloc/"} +{"d:Title": "Hilaire Belloc \"and All the Rest of It\"", "d:Description": "Catholic Dossier article disputing the perception of Belloc as an anti-Semite, and addressing the discomfort of conservative Catholics over the author's blunt apologetics.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire", "url": "http://www.freerepublic.com/focus/religion/746471/posts"} +{"d:Title": "Spartacus Educational: Hilaire Belloc", "d:Description": "Biography, with hyperlinks.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire", "url": "http://spartacus-educational.com/PRbelloc.htm"} +{"d:Title": "The Academy of American Poets: Hilaire Belloc", "d:Description": "Biographical profile and selected bibliography.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire", "url": "http://www.poets.org/poetsorg/poet/hilaire-belloc"} +{"d:Title": "Hilaire Belloc: Defender of the Faith", "d:Description": "Lengthy essay on Belloc as an apologist, by the late Frederick D. Wilhelmsen.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire", "url": "http://www.catholiceducation.org/en/religion-and-philosophy/apologetics/hilaire-belloc-defender-of-the-faith.html"} +{"d:Title": "Belloc remembered, 50 years on", "d:Description": "Family members met to mark the fiftieth anniversary of writer Hilaire Belloc's death. [The Argus]", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire", "url": "http://www.theargus.co.uk/news/5113173.Belloc_remembered__50_years_on/"} +{"d:Title": "Joseph Hilaire Pierre Belloc", "d:Description": "A selection of online electronic texts.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://rcatholic-l.freeservers.com/belloc.html"} +{"d:Title": "The Mowing of a Field", "d:Description": "Essay by Hilaire Belloc. Reprinted with an introduction by editor Christopher Morley in \"Modern Essays.\"", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://www.bartleby.com/237/11.html"} +{"d:Title": "The South Country", "d:Description": "A poem by Belloc. With line numbers. In \"Modern British Poetry.\"", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://www.bartleby.com/103/72.html"} +{"d:Title": "Survivals and New Arrivals", "d:Description": "Full text of Belloc's book online. 364K.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://www.ewtn.com/library/ANSWERS/SURVIV.HTM"} +{"d:Title": "Tarantella", "d:Description": "A poem by Hilaire Belloc.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://unix.cc.wmich.edu/~cooneys/poems/belloc.tarantella.html"} +{"d:Title": "Hilaire Belloc (1870-1953)", "d:Description": "More than three dozen poems, including some of his nonsense verse.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://www.emule.com/poetry/?page=overview&author=142"} +{"d:Title": "The Great Heresies", "d:Description": "Online edition of Hilaire Belloc's work, courtesy of EWTN.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://www.cs.cmu.edu/~spok/metabook/heresies.html"} +{"d:Title": "October", "d:Description": "Poem by Belloc.", "topic": "Top/Arts/Literature/Authors/B/Belloc,_Hilaire/Works", "url": "http://wonderingminstrels.blogspot.com/1999/10/october-hilaire-belloc.html"} +{"d:Title": "Bellow Reviews", "d:Description": "Reviews of the Nobel laureate's fiction from the New York Times. Registration required.", "topic": "Top/Arts/Literature/Authors/B/Bellow,_Saul", "url": "http://www.nytimes.com/books/97/05/25/reviews/970525.25begleyt.html#1"} +{"d:Title": "Ravelstein", "d:Description": "Hypertext of the first chapter of Bellow's work, provided by the New York Times Book Review.", "topic": "Top/Arts/Literature/Authors/B/Bellow,_Saul", "url": "http://partners.nytimes.com/books/first/b/bellow-ravelstein.html"} +{"d:Title": "New York Times Magazine: With Friends Like Saul Bellow", "d:Description": "Discusses Bellow's relationship with Allan Bloom, the extent to which Ravelstein is modeled on the latter, and the debate over whether the book's publication was an act of tribute or of betrayal.", "topic": "Top/Arts/Literature/Authors/B/Bellow,_Saul", "url": "http://www.nytimes.com/library/magazine/home/20000416mag-ravelstein.html"} +{"d:Title": "The Guardian: Saul Bellow", "d:Description": "Profile and links.", "topic": "Top/Arts/Literature/Authors/B/Bellow,_Saul", "url": "http://www.theguardian.com/books/2008/jun/10/saulbellow"} +{"d:Title": "Saul Bellow, 1976 Literature Laureate", "d:Description": "Biography, speech texts, and resources on the author from the Nobel Foundation.", "topic": "Top/Arts/Literature/Authors/B/Bellow,_Saul", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1976/"} +{"d:Title": "Saul Bellow, Allan Bloom, and Abe Ravelstein", "d:Description": "Review of the Nobel Laureate's 1999 novel Ravelstein.", "topic": "Top/Arts/Literature/Authors/B/Bellow,_Saul", "url": "http://www.robertfulford.com/Bellow.html"} +{"d:Title": "Michael Benedikt", "d:Description": "Author's homepage includes poems, translations, biography, and a bibliography.", "topic": "Top/Arts/Literature/Authors/B/Benedikt,_Michael", "url": "http://www.angelfire.com/ny/MichaelBenedikt/ind2.html"} +{"d:Title": "Cyberspace: First Steps", "d:Description": "Review of a collection edited by Michael Benedikt.", "topic": "Top/Arts/Literature/Authors/B/Benedikt,_Michael", "url": "http://dannyreviews.com/h/Cyberspace_First_Steps.html"} +{"d:Title": "Find a Grave: Stephen Vincent Benet", "d:Description": "Photographs and location of the poet's gravestone.", "topic": "Top/Arts/Literature/Authors/B/Ben\u00e9t,_Stephen_Vincent", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3073"} +{"d:Title": "IMDb: Stephen Vincent Benet", "d:Description": "Film and television credits.", "topic": "Top/Arts/Literature/Authors/B/Ben\u00e9t,_Stephen_Vincent", "url": "http://www.imdb.com/name/nm0070948/"} +{"d:Title": "Minor Litany", "d:Description": "Text of the poem by Benet.", "topic": "Top/Arts/Literature/Authors/B/Ben\u00e9t,_Stephen_Vincent/Works", "url": "http://www.swans.com/library/art6/xxx041.html"} +{"d:Title": "Litany for Dictatorships", "d:Description": "Text of Benet's poem.", "topic": "Top/Arts/Literature/Authors/B/Ben\u00e9t,_Stephen_Vincent/Works", "url": "http://www.swans.com/library/art6/zig053.html"} +{"d:Title": "Winged Man", "d:Description": "Text of Benet's poem from the Wondering Minstrels.", "topic": "Top/Arts/Literature/Authors/B/Ben\u00e9t,_Stephen_Vincent/Works", "url": "http://wonderingminstrels.blogspot.com/2000/11/winged-man-stephen-vincent-benet.html"} +{"d:Title": "The General Public", "d:Description": "Text of Benet's poem from the Wondering Minstrels.", "topic": "Top/Arts/Literature/Authors/B/Ben\u00e9t,_Stephen_Vincent/Works", "url": "http://wonderingminstrels.blogspot.com/2002/01/general-public-stephen-vincent-benet.html"} +{"d:Title": "Arnold Bennett", "d:Description": "Biography, bibliography and literary assessment of the British novelist's works.", "topic": "Top/Arts/Literature/Authors/B/Bennett,_Arnold", "url": "http://www.ourcivilisation.com/smartboard/shop/swnnrtn/bennett/index.htm"} +{"d:Title": "The Classical Fiction Writers: Arnold Bennett", "d:Description": "Brief biography of the English writer, with the texts of two of his essays.", "topic": "Top/Arts/Literature/Authors/B/Bennett,_Arnold", "url": "http://www.blupete.com/Literature/Biographies/Literary/Bennett.htm"} +{"d:Title": "Frank Harris and Arnold Bennett", "d:Description": "Essay on the relationship between the two authors, with literary criticism of Harris' work by Bennett.", "topic": "Top/Arts/Literature/Authors/B/Bennett,_Arnold", "url": "http://www.oddbooks.co.uk/harris/bennett.html"} +{"d:Title": "Arnold Bennett: Son of Stoke-on-Trent", "d:Description": "Biography and bibliography of the English writer.", "topic": "Top/Arts/Literature/Authors/B/Bennett,_Arnold", "url": "http://www.thepotteries.org/bennett.html"} +{"d:Title": "Clerihews from Biography for Beginners", "d:Description": "Edmund Clerihew Bentley's trademark biographical doggerel rhymes.", "topic": "Top/Arts/Literature/Authors/B/Bentley,_Edmund_Clerihew", "url": "http://www.theotherpages.org/poems/bentley1.html"} +{"d:Title": "Vampire Author Spotlights: Elaine Bergstrom", "d:Description": "Biography and interview of the Ravenloft fictionwriter.", "topic": "Top/Arts/Literature/Authors/B/Bergstrom,_Elaine", "url": "http://www.simegen.com/writers/spotlights/vampires/0801/bergstrom.html"} +{"d:Title": "Catholic Authors: Georges Bernanos", "d:Description": "1948 biography of the French writer.", "topic": "Top/Arts/Literature/Authors/B/Bernanos,_Georges", "url": "http://www.catholicauthors.com/bernanos.html"} +{"d:Title": "Wikipedia: Georges Bernanos", "d:Description": "Short biography of the French writer.", "topic": "Top/Arts/Literature/Authors/B/Bernanos,_Georges", "url": "http://en.wikipedia.org/wiki/Georges_Bernanos"} +{"d:Title": "Poetry and/or the Sacred", "d:Description": "Article by the poet and critic.", "topic": "Top/Arts/Literature/Authors/B/Bernstein,_Charles", "url": "http://jacketmagazine.com/14/bernstein-sacred.html"} +{"d:Title": "Charles Bernstein Interview", "d:Description": "Conversation with the writer about language poetry and his poetic vision.", "topic": "Top/Arts/Literature/Authors/B/Bernstein,_Charles", "url": "http://home.jps.net/~nada/bernstein.htm"} +{"d:Title": "Department of English: Charles Bernstein", "d:Description": "Publications and poetics syllabi.", "topic": "Top/Arts/Literature/Authors/B/Bernstein,_Charles", "url": "http://epc.buffalo.edu/authors/bernstein/"} +{"d:Title": "Charles Bernstein", "d:Description": "Biography and links about the proponent of language poetry from the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/B/Bernstein,_Charles", "url": "http://www.poets.org/poetsorg/poet/charles-bernstein"} +{"d:Title": "Wendell Berry", "d:Description": "Brief biography and links at the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/B/Berry,_Wendell", "url": "http://www.poets.org/poetsorg/poet/wendell-berry"} +{"d:Title": "Today in Literature: John Berryman", "d:Description": "Two biographical stories about the author's life and work. Requires free registration to read full articles.", "topic": "Top/Arts/Literature/Authors/B/Berryman,_John", "url": "http://www.todayinliterature.com/biography/john.berryman.asp"} +{"d:Title": "John Berryman", "d:Description": "Biography of the poet, criticism, and texts.", "topic": "Top/Arts/Literature/Authors/B/Berryman,_John", "url": "http://www.english.illinois.edu/maps/poets/a_f/berryman/berryman.htm"} +{"d:Title": "John Berryman", "d:Description": "Academy of American Poets profile of the author including biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Berryman,_John", "url": "http://www.poets.org/poetsorg/poet/john-berryman"} +{"d:Title": "From A Context of a Wave", "d:Description": "In Conjunctions 17, Fall 1991.", "topic": "Top/Arts/Literature/Authors/B/Berssenbrugge,_Mei-Mei/Works", "url": "http://www.conjunctions.com/archives/c17-mm.htm"} +{"d:Title": "Kate's Talk", "d:Description": "A Talk presented by Mei-mei Berssenbrugge at The Poetry Project, St. Mark's on the Bowery, October, 1987. In alerts(.", "topic": "Top/Arts/Literature/Authors/B/Berssenbrugge,_Mei-Mei/Works", "url": "http://www.asu.edu/pipercwcenter/how2journal/archive/print_archive/alerts0189.html"} +{"d:Title": "The John Betjeman Homepage", "d:Description": "The British author's work, biography, photographs, bibliography, and links.", "topic": "Top/Arts/Literature/Authors/B/Betjeman,_John", "url": "http://www.johnbetjeman.com/"} +{"d:Title": "John Betjeman", "d:Description": "A brief biography and literary assessment of the poet's works by Jocelyn Brooke.", "topic": "Top/Arts/Literature/Authors/B/Betjeman,_John", "url": "http://www.ourcivilisation.com/smartboard/shop/brookej/btjmn/index.htm"} +{"d:Title": "Slough", "d:Description": "Betjeman's 1937 poem from the collected works \"Continual Dew.\"", "topic": "Top/Arts/Literature/Authors/B/Betjeman,_John", "url": "http://www-cdr.stanford.edu/intuition/Slough.html"} +{"d:Title": "Los Angeles Times - The Karnau Tapes", "d:Description": "Review of by Richard Eder, translated from the German by John Brown", "topic": "Top/Arts/Literature/Authors/B/Beyer,_Marcel", "url": "http://articles.latimes.com/1997/nov/16/books/bk-54172"} +{"d:Title": "Goethe-Institute\u00a0Australia - Spies", "d:Description": "Andrew Riemer reviewing the novel \"Spies\" by Beyer. Goethe-Institut Australien.", "topic": "Top/Arts/Literature/Authors/B/Beyer,_Marcel", "url": "http://www.goethe.de/ins/au/lp/prj/bkm/rev/aut/bey/enindex.htm"} +{"d:Title": "Jill Bialosky", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Bialosky,_Jill", "url": "http://www.poets.org/poetsorg/poet/jill-bialosky"} +{"d:Title": "The Journey of a Maker", "d:Description": "Interview about the poetry of Robert Lowell, whose collected works Bidart edited.", "topic": "Top/Arts/Literature/Authors/B/Bidart,_Frank", "url": "http://www.theatlantic.com/past/docs/unbound/interviews/int2003-07-03.htm"} +{"d:Title": "Frank Bidart", "d:Description": "Biography, selected texts, and an audio clip of the poet reading his work.", "topic": "Top/Arts/Literature/Authors/B/Bidart,_Frank", "url": "http://www.poets.org/poetsorg/poet/frank-bidart"} +{"d:Title": "Modern American Poetry: Elizabeth Bishop", "d:Description": "Biography, letters, poems and reviews.", "topic": "Top/Arts/Literature/Authors/B/Bishop,_Elizabeth", "url": "http://www.english.illinois.edu/maps/poets/a_f/bishop/bishop.htm"} +{"d:Title": "Elizabeth Bishop (1911-1979)", "d:Description": "Strategies for teaching Bishop's work in the classroom.", "topic": "Top/Arts/Literature/Authors/B/Bishop,_Elizabeth", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/bishop.html"} +{"d:Title": "Soundings - Elizabeth Bishop's \"Sonnet\"", "d:Description": "Audio files of four prominent poets reading Bishop's last poem, also with a discussion of the writer and her work.", "topic": "Top/Arts/Literature/Authors/B/Bishop,_Elizabeth", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/soundings/bishop.htm"} +{"d:Title": "Elizabeth Bishop", "d:Description": "Biography, photograph, selected poems, and links.", "topic": "Top/Arts/Literature/Authors/B/Bishop,_Elizabeth", "url": "http://www.poets.org/poetsorg/poet/elizabeth-bishop"} +{"d:Title": "John Peale Bishop on Jorge Carrera Andrade", "d:Description": "Essay prefacing Andrade's book of poems.", "topic": "Top/Arts/Literature/Authors/B/Bishop,_John_Peale", "url": "http://jacketmagazine.com/12/andr-bishop.html"} +{"d:Title": "A Recollection", "d:Description": "Bishop's poem written in the 1920s.", "topic": "Top/Arts/Literature/Authors/B/Bishop,_John_Peale", "url": "http://www.writing.upenn.edu/~afilreis/50s/talk-bishop-smith.html"} +{"d:Title": "Millenia Black", "d:Description": "Author's homepage offers biography, mailing list and information about her romance novel.", "topic": "Top/Arts/Literature/Authors/B/Black,_Millenia", "url": "http://www.milleniablack.com/"} +{"d:Title": "Terence Blacker", "d:Description": "Official website featuring his books for adults and children, latest articles, blogs and news.", "topic": "Top/Arts/Literature/Authors/B/Blacker,_Terence", "url": "http://www.terenceblacker.com/"} +{"d:Title": "Dominus Illuminatio Mea", "d:Description": "Text of one of the Victorian author's poems.", "topic": "Top/Arts/Literature/Authors/B/Blackmore,_R._D.", "url": "http://users.compaqnet.be/cn127848/obev/obev327.html"} +{"d:Title": "Richard Blackmore - Wikipedia", "d:Description": "Offers a biography.", "topic": "Top/Arts/Literature/Authors/B/Blackmore,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Blackmore"} +{"d:Title": "Sir Edward Elgar and The Starlight Express", "d:Description": "Page on Elgar's music written for the play based upon Blackwood's novel A Prisoner in Fairyland.", "topic": "Top/Arts/Literature/Authors/B/Blackwood,_Algernon", "url": "http://www.elgar.org/3express.htm"} +{"d:Title": "An Egyptian Hornet", "d:Description": "Text of the short story.", "topic": "Top/Arts/Literature/Authors/B/Blackwood,_Algernon/Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_hornet.htm"} +{"d:Title": "The Goblin's Collection", "d:Description": "Stories by Algernon Blackwood.", "topic": "Top/Arts/Literature/Authors/B/Blackwood,_Algernon/Works", "url": "http://www.angelfire.com/my/blackwood20010/goblin.html"} +{"d:Title": "William Blake and Allen Ginsberg: Poets of a Fallen World, Prophets of the New World", "d:Description": "A college thesis by Topher Thomas on the prophetic voice in the poetry of Allen Ginsberg and William Blake.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.angelfire.com/ab2/blake1/"} +{"d:Title": "Ch-an Buddhism and the prophetic poems of William Blake", "d:Description": "Mark S. Ferrara: An essay discussing the relation between William Blake's poetry and Ch-an Buddhism.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://ccbs.ntu.edu.tw/FULLTEXT/JR-JOCP/ferrar1.htm"} +{"d:Title": "William \"New Age\" Blake", "d:Description": "Incompetech's satirical take on Blake.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.incompetech.com/authors/blake/"} +{"d:Title": "Keith Sagar on William Blake", "d:Description": "Essays on 'Innocence and Experience' and 'Reading Blake' in .doc and .PDF.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.keithsagar.co.uk/blake"} +{"d:Title": "Literature Network: William Blake", "d:Description": "Includes selected works, a biography, and a visitor comment section.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.online-literature.com/blake/"} +{"d:Title": "The William Blake Page", "d:Description": "A website devoted to Blake's paintings and poetry, including a selection of complete texts and full-color reproductions of the etchings Blake used to illuminate his original manuscripts. Also includes \"Glad Day,\" a poem about Blake by Richard Record.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.gailgastfield.com/Blake.html"} +{"d:Title": "The Blake List Home Page", "d:Description": "The Blake list archive for 1995-1999.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.albion.com/blake/"} +{"d:Title": "The Guardian: William Blake", "d:Description": "Profile, articles and links.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.theguardian.com/books/2008/jun/10/williamblake"} +{"d:Title": "William Blake Archive", "d:Description": "University of Virginia online archive of William Blake's poetry, prose, illuminated printing, and visual art.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.blakearchive.org/"} +{"d:Title": "William Blake: A Helpfile", "d:Description": "This extensive resource on Blake and his work includes a selection of online texts, a biography, and reproductions of some of Blake's artwork.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.glyndwr.ac.uk/rdover/blake/"} +{"d:Title": "William Blake - The Academy of American Poets", "d:Description": "Biography, portrait, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William", "url": "http://www.poets.org/poetsorg/poet/william-blake"} +{"d:Title": "Everypoet: William Blake", "d:Description": "Contains the full text of Songs of Innocence and Songs of Experience.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William/Works", "url": "http://www.everypoet.com/archive/poetry/william_blake/william_blake_contents.htm"} +{"d:Title": "Classic Bookshelf: William Blake", "d:Description": "Songs of Experience, Songs of Innocence, and The Book Of Thel. With adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William/Works", "url": "http://www.classicbookshelf.com/library/Blake/"} +{"d:Title": "Songs of Innocence and of Experience", "d:Description": "54 color plates with text from the book. [not suitable for all browsers]", "topic": "Top/Arts/Literature/Authors/B/Blake,_William/Works", "url": "http://www.members.tripod.com/cimmay_1/index.htm"} +{"d:Title": "William Blake - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William/Works", "url": "http://www.theotherpages.org/poems/blake01.html#1"} +{"d:Title": "Understanding William Blake's \"The Tyger\"", "d:Description": "Study notes.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William/Works", "url": "http://www.pathguy.com/tyger.htm"} +{"d:Title": "Enjoying \"The Book of Thel\" by William Blake", "d:Description": "Provides illustrated summary and notes.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William/Works", "url": "http://www.pathguy.com/thel.htm"} +{"d:Title": "The Anti-Teleological Dialogism of the Imagination in William Blake\u2019s The Marriage of Heaven and Hell", "d:Description": "Discusses the imagination and creativity of Blake. by Steven M. Streufert.", "topic": "Top/Arts/Literature/Authors/B/Blake,_William/Works", "url": "http://streufert.www4.50megs.com/blaketext.html"} +{"d:Title": "The Poetical Works of Miss Susanna Blamire", "d:Description": "Complete text.", "topic": "Top/Arts/Literature/Authors/B/Blamire,_Susanna", "url": "http://www.lib.ucdavis.edu/English/BWRP/Works/BlamSPoeti.htm"} +{"d:Title": "The Negative Eschatology of Maurice Blanchot", "d:Description": "Kevin Fitzgerald's masters' thesis on the writer and his works.", "topic": "Top/Arts/Literature/Authors/B/Blanchot,_Maurice", "url": "http://www.studiocleo.com/librarie/blanchot/kf/tocmn.html"} +{"d:Title": "The Four Horsemen of the Apocalypse", "d:Description": "On-line text of the work by Vicente Blasco Ib\u00e1\u00f1ez.", "topic": "Top/Arts/Literature/Authors/B/Blasco_Ib\u00e1\u00f1ez,_Vicente", "url": "http://www.learnlibrary.com/four-horsemen/"} +{"d:Title": "Famous Hispanics: Vicente Blasco-Ib\u00e1\u00f1ez", "d:Description": "Biography of the Spanish novelist and politician.", "topic": "Top/Arts/Literature/Authors/B/Blasco_Ib\u00e1\u00f1ez,_Vicente", "url": "http://coloquio.com/famosos/blascoibanez.htm"} +{"d:Title": "Vicente Blasco Ib\u00e1\u00f1ez", "d:Description": "Article on the Spanish author's life, political activism, and writing.", "topic": "Top/Arts/Literature/Authors/B/Blasco_Ib\u00e1\u00f1ez,_Vicente", "url": "http://www.ctspanish.com/literature/ibeneaz.htm"} +{"d:Title": "Words&Pictures Press", "d:Description": "Home of Dean Blehert and Pam Blehert. Sample poems and essays from Dean Blehert; paintings by Pam Blehert. Free ezine. Poetry books, Fine and Commercial Art.", "topic": "Top/Arts/Literature/Authors/B/Blehert,_Dean", "url": "http://www.blehert.com/"} +{"d:Title": "Article: Pseudo-Science - Biopsychiatry Illuminated", "d:Description": "Article by Dean Blehert, Part I of \"Pseudo-Science Among Us\".", "topic": "Top/Arts/Literature/Authors/B/Blehert,_Dean", "url": "http://adhd-report.com/biopsychiatry/bio_21.html"} +{"d:Title": "Poem: Guilty Cages of the Guild", "d:Description": "Poem by Dean Blehert in Wordwrights Issue #16", "topic": "Top/Arts/Literature/Authors/B/Blehert,_Dean", "url": "http://www.angelfire.com/art/ww/WWBest/ww16best.html"} +{"d:Title": "Waterbug", "d:Description": "A weblog featuring dialogues between Dean Blehert and Pam Coulter.", "topic": "Top/Arts/Literature/Authors/B/Blehert,_Dean", "url": "http://waterbug.typepad.com/waterbug/2005/12/index.html"} +{"d:Title": "Dear Reader", "d:Description": "A weblog of poetical conversations between Pam and Dean Blehert", "topic": "Top/Arts/Literature/Authors/B/Blehert,_Dean", "url": "http://dearreader08.blogspot.com/"} +{"d:Title": "ars poetica: Thirst", "d:Description": "A poem about drinking by Dean Blehert", "topic": "Top/Arts/Literature/Authors/B/Blehert,_Dean", "url": "http://www.logolalia.com/arspoetica/archives/003511.html"} +{"d:Title": "Richard Blevins, Papers", "d:Description": "A collection of correspondences, manuscripts, journals, and notes.", "topic": "Top/Arts/Literature/Authors/B/Blevins,_Richard", "url": "http://www.library.kent.edu/richard-blevins-papers"} +{"d:Title": "Robert Bloch on Poe and Lovecraft", "d:Description": "The author's comparison two American authors of supernatural horror and fantasy.", "topic": "Top/Arts/Literature/Authors/B/Bloch,_Robert", "url": "http://alangullette.com/lit/hpl/bloch.htm"} +{"d:Title": "The Bat is my Brother", "d:Description": "An unofficial Robert Bloch homepage with biographical information, FAQ, and links to related resources.", "topic": "Top/Arts/Literature/Authors/B/Bloch,_Robert", "url": "http://home.sprynet.com/~mgpfeff/bloch.html"} +{"d:Title": "Tabula Rasa: Robert Bloch", "d:Description": "A tribute to Bloch's life and work, including a detailed bibliography.", "topic": "Top/Arts/Literature/Authors/B/Bloch,_Robert", "url": "http://www.tabula-rasa.info/DarkAges/RobertBloch.html"} +{"d:Title": "Bloomberg, Sandi", "d:Description": "Poet, essayist, short story and children's book author.", "topic": "Top/Arts/Literature/Authors/B/Bloomberg,_Sandi", "url": "http://www.sandibloomberg.com/"} +{"d:Title": "Elizabeth Bluehorse", "d:Description": "Author's site includes biography, anecdotes, contact information, wholesale/retail ordering information, and special offers.", "topic": "Top/Arts/Literature/Authors/B/Bluehorse,_Elizabeth", "url": "http://www.angelfire.com/nm/bluehorse/"} +{"d:Title": "Marianne Bluger", "d:Description": "Poems and profile of the author from the Canadian Poetry website.", "topic": "Top/Arts/Literature/Authors/B/Bluger,_Marianne", "url": "http://www.library.utoronto.ca/canpoetry/bluger/"} +{"d:Title": "Robert Bly", "d:Description": "Official homepage of the American poet, including biography, works, news, reviews, and an interview.", "topic": "Top/Arts/Literature/Authors/B/Bly,_Robert", "url": "http://www.robertbly.com/"} +{"d:Title": "Fat Poetry: Robert Bly", "d:Description": "An online collection of the author's poems.", "topic": "Top/Arts/Literature/Authors/B/Bly,_Robert", "url": "http://www.math.buffalo.edu/~sww/poetry2/bly_robert.html"} +{"d:Title": "Interview: Robert Bly", "d:Description": "The founder of the Mythopoetic Men's Movement discusses the roots of male violence.", "topic": "Top/Arts/Literature/Authors/B/Bly,_Robert", "url": "http://www.pbs.org/kued/nosafeplace/interv/bly.html"} +{"d:Title": "Modern American Poetry: Robert Bly", "d:Description": "Biography, reviews, online poetry samples, and an interview with the poet.", "topic": "Top/Arts/Literature/Authors/B/Bly,_Robert", "url": "http://www.english.illinois.edu/maps/poets/a_f/bly/bly.htm"} +{"d:Title": "Robert Bly", "d:Description": "The Academy of American Poets presents a biography, photograph, poems, and links.", "topic": "Top/Arts/Literature/Authors/B/Bly,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-bly"} +{"d:Title": "Steffi's Club by D.A. Blyler", "d:Description": "Review of the author's first novel by Richard Oleska.", "topic": "Top/Arts/Literature/Authors/B/Blyler,_David", "url": "http://www.hackwriters.com/steffisclub.htm"} +{"d:Title": "Women", "d:Description": "Bogan's poem at Poetry Corner.", "topic": "Top/Arts/Literature/Authors/B/Bogan,_Louise", "url": "http://www.theotherpages.org/poems/bogan01.html"} +{"d:Title": "Modern American Poetry: Louise Bogan", "d:Description": "Biography, works, analysis and links on the American poet.", "topic": "Top/Arts/Literature/Authors/B/Bogan,_Louise", "url": "http://www.english.illinois.edu/maps/poets/a_f/bogan/bogan.htm"} +{"d:Title": "William Butler Yeats", "d:Description": "Article reviewing Yeats' work by his contemporary, American poet Louise Bogan.", "topic": "Top/Arts/Literature/Authors/B/Bogan,_Louise", "url": "http://www.theatlantic.com/magazine/archive/1938/05/william-butler-yeats/304672/"} +{"d:Title": "Louise Bogan", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Bogan,_Louise", "url": "http://www.poets.org/poetsorg/poet/louise-bogan"} +{"d:Title": "The Americanization of Edward Bok", "d:Description": "Online publication of Edward Bok's 1921 classic.", "topic": "Top/Arts/Literature/Authors/B/Bok,_Edward", "url": "http://www.bartleby.com/197"} +{"d:Title": "Eavan Boland", "d:Description": "Biography, photograph, interview, and selected poems from the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/B/Boland,_Eavan", "url": "http://www.poets.org/poetsorg/poet/eavan-boland"} +{"d:Title": "Heinrich B\u00f6ll", "d:Description": "Biography of the prominent writer, selected bibliography.", "topic": "Top/Arts/Literature/Authors/B/B\u00f6ll,_Heinrich", "url": "http://en.wikipedia.org/wiki/Heinrich_B%C3%B6ll"} +{"d:Title": "Nobel Prize for Literature 1972: Heinrich B\u00f6ll", "d:Description": "Press release, lecture, and autobiography.", "topic": "Top/Arts/Literature/Authors/B/B\u00f6ll,_Heinrich", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1972/press.html"} +{"d:Title": "Kola Boof: Womanist Novelist Poet", "d:Description": "Official web site of the Sudanese writer.", "topic": "Top/Arts/Literature/Authors/B/Boof,_Kola", "url": "http://www.kolaboof.com/"} +{"d:Title": "Kola Boof", "d:Description": "Biographical and bibliographical information.", "topic": "Top/Arts/Literature/Authors/B/Boof,_Kola", "url": "http://aalbc.com/authors/kola_boof.htm"} +{"d:Title": "Philip Booth: Poet of the Hard Country", "d:Description": "Review and critical analysis of Booth's collected works, Lifelines.", "topic": "Top/Arts/Literature/Authors/B/Booth,_Philip", "url": "http://www.frigatezine.com/review/poetry/rpy02har.html"} +{"d:Title": "Philip Booth", "d:Description": "Biography, photograph, and selected poems from the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/B/Booth,_Philip", "url": "http://www.poets.org/poetsorg/poet/philip-booth"} +{"d:Title": "Pat Boran", "d:Description": "Four poems by the Irish author.", "topic": "Top/Arts/Literature/Authors/B/Boran,_Pat", "url": "http://www.2river.org/2RView/2_3/bios/boran.html"} +{"d:Title": "Pat Boran", "d:Description": "Homepage of the Irish poet and fiction writer includes articles, excerpts, and book information.", "topic": "Top/Arts/Literature/Authors/B/Boran,_Pat", "url": "http://homepage.eircom.net/~patboran/"} +{"d:Title": "Wolfgang Borchert", "d:Description": "Biographical essay on the German playwright.", "topic": "Top/Arts/Literature/Authors/B/Borchert,_Wolfgang", "url": "http://en.wikipedia.org/wiki/Wolfgang_Borchert"} +{"d:Title": "The Secret Books", "d:Description": "A photographic tribute to Borges.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.thesecretbooks.com/"} +{"d:Title": "Borges, a Blind Writer with Insight", "d:Description": "1971 article interviewing the Argentinian author. [Site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.nytimes.com/books/97/08/31/reviews/borges-insight.html"} +{"d:Title": "Borges: A Life", "d:Description": "The first chapter of James' Woodall's biography of Borges. [Site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.nytimes.com/books/first/w/woodall-borges.html"} +{"d:Title": "The Brass Plaque Said 'Borges'", "d:Description": "An excerpt from Paul Theroux's book The Old Patagonian Express, describing the author's encounter with Borges in Argentina. [Site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.nytimes.com/books/97/08/31/reviews/borges-theroux.html"} +{"d:Title": "Meeting Borges", "d:Description": "An essay by Alfred Kazin. [Site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.nytimes.com/books/97/08/31/reviews/borges-meeting.html"} +{"d:Title": "Preliminary Guide to Borges", "d:Description": "Research information prepared by Harry V\u00e9lez Qui\u00f1ones.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www2.ups.edu/faculty/velez/FL380/Borintro.htm"} +{"d:Title": "A Writer on the Edge", "d:Description": "An article, by Beatriz Sarlo. Concludes, in short, that there is no writer in Argentine literature more Argentine than Jorge Luis Borges.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://prelectur.stanford.edu/lecturers/sarlo/excerpts/borges.html"} +{"d:Title": "The Guardian: Jorge Luis Borges", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.theguardian.com/books/2008/jun/10/jorgeluisborges"} +{"d:Title": "Webmaster Borges", "d:Description": "Salon article on the Library of Babel as metaphor for the Internet.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.salon.com/1999/12/06/borges/"} +{"d:Title": "Borges: a Writer on the Edge", "d:Description": "J. L. Borges Center for Studies&Documentation. An article by Beatriz Sarlo.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis", "url": "http://www.borges.pitt.edu/bsol/bsi0.php"} +{"d:Title": "The Magician", "d:Description": "A review by Mavis Gallant of the book Collected Fictions.[Site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Reviews", "url": "http://www.nytimes.com/books/98/09/13/reviews/980913.13gallant.html"} +{"d:Title": "Collected Fictions: Savoring a Borges Blend of Imaginings", "d:Description": "Richard Bernstein's review of Borges' book. [Site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Reviews", "url": "http://www.nytimes.com/books/98/09/06/daily/borges-book-review.html"} +{"d:Title": "Borges under Review", "d:Description": "An essay looking at the critical responses to the \"Collected Fictions.\"", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Reviews", "url": "http://www.complete-review.com/quarterly/vol1/issue2/bunderr.htm"} +{"d:Title": "Who Needs Poets?", "d:Description": "A brief essay by Borges, originally printed in the New York Times. [Site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Works", "url": "http://www.nytimes.com/books/97/08/31/reviews/borges-poets.html"} +{"d:Title": "The Cruel Redeemer Lazarus Morell", "d:Description": "Archived at the New York Times [site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Works", "url": "http://www.nytimes.com/books/first/b/borges-fictions.html"} +{"d:Title": "Ulrike", "d:Description": "From the NY Times archives [site requires free registration to access.]", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Works", "url": "http://www.nytimes.com/books/97/08/31/reviews/borge-ulrike.html"} +{"d:Title": "Wikipedia: Tl\u00f6n, Uqbar, Orbis Tertius", "d:Description": "Article with a summary of the story and sections on its themes, use of fact and fiction, context and publication history.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Works", "url": "http://en.wikipedia.org/wiki/Tl%C3%B6n,_Uqbar,_Orbis_Tertius"} +{"d:Title": "The Library of Babel", "d:Description": "An image inspired by Borges' story, accompanied by the text of the story itself.", "topic": "Top/Arts/Literature/Authors/B/Borges,_Jorge_Luis/Works", "url": "http://hyperdiscordia.crywalt.com/library_of_babel.html"} +{"d:Title": "Cynthia Boris", "d:Description": "Personal website of the Buffy the Vampire Slayer fan magazine author.", "topic": "Top/Arts/Literature/Authors/B/Boris,_Cynthia", "url": "http://www.cynthiaboris.com/"} +{"d:Title": "Wikipedia - James Boswell", "d:Description": "Encyclopedia article about James Boswell.", "topic": "Top/Arts/Literature/Authors/B/Boswell,_James", "url": "http://en.wikipedia.org/wiki/James_Boswell"} +{"d:Title": "James Boswell - a Guide", "d:Description": "Includes biographies, bibliography, pictures, index of friends and acquaintances and a discussion forum.", "topic": "Top/Arts/Literature/Authors/B/Boswell,_James", "url": "http://www.jamesboswell.info/"} +{"d:Title": "The Rolling Circle", "d:Description": "Edward Byrne's review of David Bottoms' book Armored Hearts and Vagrant Grace.", "topic": "Top/Arts/Literature/Authors/B/Bottoms,_David", "url": "http://www.valpo.edu/vpr/byrnereviewbottoms.html"} +{"d:Title": "The Academy of American Poets: David Bottoms", "d:Description": "Biography, photograph and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Bottoms,_David", "url": "http://www.poets.org/poetsorg/poet/david-bottoms"} +{"d:Title": "Living Together", "d:Description": "Poem by Edgar Bowers, with commentary by Donald Justice.", "topic": "Top/Arts/Literature/Authors/B/Bowers,_Edgar", "url": "http://www.randomhouse.com/knopf/authors/bowers/poem.html"} +{"d:Title": "On Edgar Bowers", "d:Description": "Elegy for the American poet by fellow writer David Rigsbee.", "topic": "Top/Arts/Literature/Authors/B/Bowers,_Edgar", "url": "http://www.cortlandreview.com/features/00/04/rigsbee.html"} +{"d:Title": "The Guardian: Edgar Bowers", "d:Description": "Obituary memorializing the American poet.", "topic": "Top/Arts/Literature/Authors/B/Bowers,_Edgar", "url": "http://www.theguardian.com/books/2000/feb/15/poetry.features"} +{"d:Title": "Academy of American Poets: Edgar Bowers", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Bowers,_Edgar", "url": "http://www.poets.org/poetsorg/poet/edgar-bowers"} +{"d:Title": "Jane Bowles: The Authorized Paul Bowles Web Site", "d:Description": "Official site. Contains a biography by Millicent Dillon, catalogue of literary works, photographs, Paul Bowles comments on her play \"In the Summer house\", obituary, information on The Jane and Paul Bowles Society and resources.", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Jane", "url": "http://www.paulbowles.org/janebowles.html"} +{"d:Title": "Jane and Paul Bowles Society", "d:Description": "International author society for writer and playwright Jane Bowles and writer-composer Paul Bowles, which presents academic panels at literary conferences and publishes the literary journal \"Bowles Notes\".", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Jane", "url": "http://www.paulbowles.org/janeandpaulbowles.html"} +{"d:Title": "LitKicks: Jane Bowles", "d:Description": "Short biography.", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Jane", "url": "http://www.litkicks.com/JaneBowles"} +{"d:Title": "Jane and Paul Bowles Society", "d:Description": "International author society for Jane and Paul Bowles. Publishes \"Bowles Notes\" and organizes panel discussions at literary conferences and conducts academic research on the Bowleses.", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Paul", "url": "http://www.paulbowles.org/janeandpaulbowles.html"} +{"d:Title": "Paul Bowles Online Exhibition at University of Delaware", "d:Description": "Archives, biography, essays, and papers from the University of Delaware Library Special Collections Department.", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Paul", "url": "http://www.lib.udel.edu/ud/spec/exhibits/bowles/"} +{"d:Title": "Authorized Paul Bowles Web Site", "d:Description": "Official site established by the estate of Paul Bowles includes biography of him and wife Jane, catalogue of literary and musical works, sound clips, music and scores, memoirs by friends, interviews, galleries of photographs, information on the Jane and Paul Bowles Society and resources and links.", "priority": "1", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Paul", "url": "http://www.paulbowles.org/"} +{"d:Title": "Peace Corps Writers: Remembering Paul Bowles", "d:Description": "A Peace Corps volunteer writes about meeting the expatriate American novelist during his service in Morocco.", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Paul", "url": "http://www.peacecorpswriters.org/pages/2002/0201/201wrwr.html"} +{"d:Title": "Moroccan Sojourn: A Visit with Paul Bowles", "d:Description": "Annette Solyst writes about meeting Paul Bowles.", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Paul", "url": "http://www.paulbowlesetc.blogspot.com/"} +{"d:Title": "LitKicks: Paul Bowles", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/Authors/B/Bowles,_Paul", "url": "http://www.litkicks.com/PaulBowles"} +{"d:Title": "William Boyd", "d:Description": "Filmography of the British screenwriter.", "topic": "Top/Arts/Literature/Authors/B/Boyd,_William", "url": "http://www.imdb.com/name/nm0101956/"} +{"d:Title": "William Boyd Interview", "d:Description": "Conversation with the British novelist about his faux-biographies Any Human Heart, Nat Tate, and The New Confessions.", "topic": "Top/Arts/Literature/Authors/B/Boyd,_William", "url": "https://www.bookbrowse.com/author_interviews/full/index.cfm/author_number/851/william-boyd"} +{"d:Title": "William Boyd", "d:Description": "Brief bibliography of the British humorist.", "topic": "Top/Arts/Literature/Authors/B/Boyd,_William", "url": "https://literature.britishcouncil.org/writer/william-boyd"} +{"d:Title": "Charlie Ossining Goes Downtown, Thanks to Alan Parker", "d:Description": "Essay by Boyle in Zoetrope literary magazine.", "topic": "Top/Arts/Literature/Authors/B/Boyle,_T._Coraghessan", "url": "http://www.all-story.com/issues.cgi?action=show_story&story_id=35"} +{"d:Title": "T. Coraghessan Boyle", "d:Description": "Official web site. Excerpts/reader's guide, about the author, cartoons, photo gallery, message board, frequently asked questions, links.", "topic": "Top/Arts/Literature/Authors/B/Boyle,_T._Coraghessan", "url": "http://www.tcboyle.com/"} +{"d:Title": "Sandye's T. Coraghessan Boyle Resource Center", "d:Description": "Information on T.C. Boyle's books, with FAQ, bibliography, and links to related materials.", "topic": "Top/Arts/Literature/Authors/B/Boyle,_T._Coraghessan", "url": "http://www.tcboyle.net/"} +{"d:Title": "Michael Bracken", "d:Description": "Biographical and bibliographical information about the writer and samples of his work.", "topic": "Top/Arts/Literature/Authors/B/Bracken,_Michael", "url": "http://www.crimefictionwriter.com/"} +{"d:Title": "Poets' Corner - Selected Works", "d:Description": "Several Bradstreet poems in HTML.", "topic": "Top/Arts/Literature/Authors/B/Bradstreet,_Anne/Works", "url": "http://www.theotherpages.org/poems/bradstr1.html#2"} +{"d:Title": "Melvyn Bragg", "d:Description": "IMDb entry for Melvyn Bragg, listing the television programs he has appeared in.", "topic": "Top/Arts/Literature/Authors/B/Bragg,_Melvyn", "url": "http://imdb.com/name/nm0103905/"} +{"d:Title": "Melvyn Bragg", "d:Description": "Photo, biography, and list of works.", "topic": "Top/Arts/Literature/Authors/B/Bragg,_Melvyn", "url": "https://literature.britishcouncil.org/writer/melvyn-bragg"} +{"d:Title": "Brands, H W", "d:Description": "Author of sixteen books, including \"The Strange Death of American Liberalism\", \"What America Owes the World\", \"The Reckless Decade\", and \"The Devil We Knew\", and edited four others.", "topic": "Top/Arts/Literature/Authors/B/Brands,_H._W.", "url": "http://www.hwbrands.com/"} +{"d:Title": "Remembering Brann the Great Iconoclast", "d:Description": "Springhouse Magazine", "topic": "Top/Arts/Literature/Authors/B/Brann,_William_Cowper", "url": "http://www.springhousemagazine.com/brann.htm"} +{"d:Title": "William Cowper Brann", "d:Description": "Portrait, photos from Oakwood Cemetery in Waco, Texas, brief biography with details of his murder, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/B/Brann,_William_Cowper", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4671"} +{"d:Title": "The Iconoclast", "d:Description": "The Handbook of Texas Online entry.", "topic": "Top/Arts/Literature/Authors/B/Brann,_William_Cowper", "url": "http://www.tshaonline.org/handbook/online/articles/edi01"} +{"d:Title": "The Right Brann", "d:Description": "Amarillo Globe-News article on the author with quotes.", "topic": "Top/Arts/Literature/Authors/B/Brann,_William_Cowper", "url": "http://amarillo.com/stories/1999/11/07/boo_139-2308.shtml#.VnWwAlnT18E"} +{"d:Title": "Brann The Iconoclast: Volume X", "d:Description": "Online text from the World Wide School Library.", "topic": "Top/Arts/Literature/Authors/B/Brann,_William_Cowper/Works", "url": "http://www.worldwideschool.com/library/books/lit/socialcommentary/BrannTheIconoclastVol-10/toc.html"} +{"d:Title": "Brann The Iconoclast: Volume I", "d:Description": "Online text from the World Wide School Library.", "topic": "Top/Arts/Literature/Authors/B/Brann,_William_Cowper/Works", "url": "http://www.worldwideschool.com/library/books/lit/socialcommentary/BrannTheIconoclastVol-1/toc.html"} +{"d:Title": "Brann The Iconoclast: Volume XII", "d:Description": "Online text from the World Wide School Library.", "topic": "Top/Arts/Literature/Authors/B/Brann,_William_Cowper/Works", "url": "http://www.worldwideschool.com/library/books/lit/socialcommentary/BrannTheIconoclastVol-12/toc.html"} +{"d:Title": "Sebastian Brant", "d:Description": "Short biography of the German humanist and poet.", "topic": "Top/Arts/Literature/Authors/B/Brant,_Sebastian", "url": "http://www.newadvent.org/cathen/02741a.htm"} +{"d:Title": "The Brautigan Pages", "d:Description": "These pages on the unique Richard Brautigan include both biographical and bibliographical information and a selection of excerpts from various Brautigan works, a selection of photographs originally used on the covers of Brautigan's books, a mailing list, and the usual links to related stuff on the net.", "topic": "Top/Arts/Literature/Authors/B/Brautigan,_Richard", "url": "http://www.riza.com/richard/"} +{"d:Title": "Please Plant This Book", "d:Description": "Interactive version of Richard Brautigan's Please Plant This Book, eight packets of garden seeds, each printed with a poem.", "topic": "Top/Arts/Literature/Authors/B/Brautigan,_Richard", "url": "http://www.pleaseplantthisbook.com/"} +{"d:Title": "Encyclop\u00e6dia Britannica: Brecht, Bertolt", "d:Description": "Biography of German playwright Bertolt Brecht.", "topic": "Top/Arts/Literature/Authors/B/Brecht,_Bertolt", "url": "http://www.cs.brandeis.edu/~jamesf/goodwoman/brecht_bio.html"} +{"d:Title": "Moonstruck Drama Bookstore: Bertolt Brecht", "d:Description": "Biography of German playwright.", "topic": "Top/Arts/Literature/Authors/B/Brecht,_Bertolt", "url": "http://www.imagi-nation.com/moonstruck/clsc15.htm"} +{"d:Title": "Wikipedia: Bertolt Brecht", "d:Description": "Biographical article on the dramatist.", "topic": "Top/Arts/Literature/Authors/B/Brecht,_Bertolt", "url": "http://en.wikipedia.org/wiki/Bertolt_Brecht"} +{"d:Title": "International Brecht Society", "d:Description": "Society serving scholars, critics, students, and theater people around the world who are interested in the works and thought of Bertolt Brecht.", "topic": "Top/Arts/Literature/Authors/B/Brecht,_Bertolt", "url": "http://www.brechtsociety.org/"} +{"d:Title": "My Young Son Asks Me", "d:Description": "Poem in English translation by H.R. Hays and Edwin Morgan.", "topic": "Top/Arts/Literature/Authors/B/Brecht,_Bertolt/Poetry", "url": "http://www.artofeurope.com/brecht/bre1.htm"} +{"d:Title": "O Germany, Pale Mother", "d:Description": "Poem in English translation by H.R. Hays and Edwin Morgan.", "topic": "Top/Arts/Literature/Authors/B/Brecht,_Bertolt/Poetry", "url": "http://www.artofeurope.com/brecht/bre2.htm"} +{"d:Title": "Bertolt Brecht: Love Poems", "d:Description": "Small selection of poems by Bertolt Brecht in German and English translation.", "topic": "Top/Arts/Literature/Authors/B/Brecht,_Bertolt/Poetry", "url": "http://www.jbeilharz.de/poetas/brecht/"} +{"d:Title": "Brentano, Klemens (1778-1842)", "d:Description": "Brief introduction to the German Romantic writer and evaluation of his works, with bibliography. From the 1911 Encyclop\u00e6dia Britannica.", "topic": "Top/Arts/Literature/Authors/B/Brentano,_Clemens", "url": "http://encyclopedia.jrank.org/BOS_BRI/BRENTANO_KLEMENS_1778_1842_.html"} +{"d:Title": "Klemens Maria Brentano", "d:Description": "Biographical article on the German poet, with brief bibliography. From the Catholic Encyclopedia.", "topic": "Top/Arts/Literature/Authors/B/Brentano,_Clemens", "url": "http://www.newadvent.org/cathen/02759a.htm"} +{"d:Title": "Clemens Brentano in Bad Langensalza", "d:Description": "Translation of a letter written to his sister Sophie in 1796.", "topic": "Top/Arts/Literature/Authors/B/Brentano,_Clemens", "url": "http://www.natureparktravel.com/langensalza/brentano.htm"} +{"d:Title": "Clemens Maria Wenzeslaus von Brentano", "d:Description": "Poems of his which have been set to music. Does not claim to be exhaustive. By title or by first line. For some, translation into another language is available.", "topic": "Top/Arts/Literature/Authors/B/Brentano,_Clemens", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=370"} +{"d:Title": "Studio Cleo: Andr\u00e9 Breton", "d:Description": "Biography, bibliography, photographs and exhibition of 'Poem-Objects' created by Breton.", "topic": "Top/Arts/Literature/Authors/B/Breton,_Andr\u00e9", "url": "http://www.studiocleo.com/librarie/breton/bretonpage.html"} +{"d:Title": "Andre Breton and the Surrealist Manifesto", "d:Description": "Excerpt of G\u00e9rard Durozoi's \"History of the Surrealist Movement\".", "topic": "Top/Arts/Literature/Authors/B/Breton,_Andr\u00e9", "url": "http://www.press.uchicago.edu/Misc/Chicago/174115.html"} +{"d:Title": "Karen Brichoux", "d:Description": "The author's web site includes biographical information, photos, information and excerpts from her titles, and a newsletter.", "topic": "Top/Arts/Literature/Authors/B/Brichoux,_Karen", "url": "http://www.karenbrichoux.com/"} +{"d:Title": "Joe Bright", "d:Description": "Biography of the California screenwriter and novelist, and information and reviews about his works.", "topic": "Top/Arts/Literature/Authors/B/Bright,_Joe", "url": "http://www.joebrightbooks.com/"} +{"d:Title": "Poems by Joseph Brodsky", "d:Description": "A selection of poems by Joseph Brodsky in English translation.", "topic": "Top/Arts/Literature/Authors/B/Brodsky,_Joseph", "url": "http://www-users.cs.umn.edu/~safonov/brodsky/index.html"} +{"d:Title": "Infography: Brodsky, Joseph (1940-1996)", "d:Description": "Books and articles recommended by a professor who specializes in research about the Russian-born author.", "topic": "Top/Arts/Literature/Authors/B/Brodsky,_Joseph", "url": "http://www.infography.com/content/158501811399.html"} +{"d:Title": "Nobel Prize in Literature: Joseph Brodsky", "d:Description": "Profile of the 1987 laureate, with biography, transcript of his acceptance speech, and resources.", "topic": "Top/Arts/Literature/Authors/B/Brodsky,_Joseph", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1987/"} +{"d:Title": "Joseph Brodsky", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Brodsky,_Joseph", "url": "http://www.poets.org/poetsorg/poet/joseph-brodsky"} +{"d:Title": "Anne \"Agnes Grey\" Bront\u00eb", "d:Description": "An Incompetech British Authors biography of Anne Bront\u00eb.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne", "url": "http://www.incompetech.com/authors/abronte/"} +{"d:Title": "Anne Bront\u00eb", "d:Description": "Contains a biography, selected poems and a bibliography.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne", "url": "http://digital.library.upenn.edu/women/bronte/bronte-anne.html"} +{"d:Title": "Biography of Anne Bront\u00eb", "d:Description": "An introduction to the life of Anne Bront\u00eb.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne", "url": "http://www.mick-armitage.staff.shef.ac.uk/anne/annebiog.html"} +{"d:Title": "Read Print: Anne Bronte", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne", "url": "http://www.readprint.com/author-11/Anne-Bronte-books"} +{"d:Title": "Anne Bronte - The Scarborough Connection", "d:Description": "Notes and pictures about her life and work focused on her connections with the seaside resort.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne", "url": "http://www.mick-armitage.staff.shef.ac.uk/anne/bronte.html"} +{"d:Title": "Literature Network: Agnes Grey", "d:Description": "Free online version of book.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne/Works", "url": "http://www.online-literature.com/brontea/agnes_grey/"} +{"d:Title": "Literature Network: The Tenant of Wildfell Hall", "d:Description": "Free online version of book.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne/Works", "url": "http://www.online-literature.com/brontea/wildfell_hall/"} +{"d:Title": "Classic Bookshelf", "d:Description": "The works of Anne Bronte, free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne/Works", "url": "http://www.classicbookshelf.com/library/BronteA/"} +{"d:Title": "The Poems of Anne Bront\u00eb", "d:Description": "Poems presented in order of composition.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Anne/Works", "url": "http://mick-armitage.staff.shef.ac.uk/anne/poems/an-poems.html"} +{"d:Title": "Branwell \"The Forgotten\" Bront\u00eb", "d:Description": "An Incompetech British Authors biography of Branwell Bront\u00eb.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Branwell", "url": "http://www.incompetech.com/authors/bbronte/"} +{"d:Title": "The Bront\u00eb Sisters", "d:Description": "Links to sites on Charlotte, Emily and Anne Bronte. [English, Swedish]", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://www.ceciliafalk.com/bronte.htm"} +{"d:Title": "Charlotte \"Jane Eyre\" Bront\u00eb", "d:Description": "An Incompetech British Authors biography.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://www.incompetech.com/authors/cbronte/"} +{"d:Title": "Charlotte Bronte", "d:Description": "Biography and e-texts.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://brontec.thefreelibrary.com/"} +{"d:Title": "Charlotte Bronte and Jean Rhys. Doubles", "d:Description": "Representation of the doubleness of selfhood in Charlotte Bronte's Jane Eyre and Jean Rhys's Wide Sargasso Sea.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://www.english-literature.org/essays/bronte_rhys.php"} +{"d:Title": "Jean Rhys and Charlotte Bronte", "d:Description": "The use of symbolism in the presentation of characters and plots in Jane Eyre and Wide Sargasso Sea.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://www.english-literature.org/essays/bronte_rhys_symbolism.php"} +{"d:Title": "JaneEyre.net", "d:Description": "Essays on themes within Jane Eyre; comments on related books and other resources; detailed reviews of many film versions of the book.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://janeeyre.net/"} +{"d:Title": "The Guardian: Charlotte Bront\u00eb", "d:Description": "Profile, articles and links.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://www.theguardian.com/books/2008/jun/10/charlottebronte"} +{"d:Title": "The Victorian Web: Charlotte Bront\u00eb", "d:Description": "Analysis and contextual information on Bront\u00eb's works and their relation to the Victorian style. List of works and biographical information.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte", "url": "http://www.victorianweb.org/authors/bronte/cbronte/index.html"} +{"d:Title": "Literature Network: Jane Eyre", "d:Description": "Online text.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://www.online-literature.com/brontec/janeeyre/"} +{"d:Title": "Literature Network: The Professor", "d:Description": "Free online version of book.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://www.online-literature.com/brontec/the_professor/"} +{"d:Title": "Jane Eyre and The Professor online texts", "d:Description": "The Online Literature Library's representation of Project Gutenberg's e-texts of The Professor and Jane Eyre by Charlotte Bronte.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://www.literature.org/authors/bronte-charlotte/"} +{"d:Title": "Online text of Shirley by Charlotte Bronte", "d:Description": "Mitsuharu Matsuoka's online e-text of Shirley by Charlotte Bronte.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/Bronte-Shirley.html"} +{"d:Title": "Online text of Villette by Charlotte Bronte", "d:Description": "Mitsuharu Matsuoka's online e-text of Villette by Charlotte Bronte.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/Bronte-Villette.html"} +{"d:Title": "The Life of Charlotte Bronte", "d:Description": "Mitsuharu Matsuoka's online e-text of the biography of Charlotte Bronte, written by Elizabeth Gaskell.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/EG-Charlotte.html"} +{"d:Title": "Classic Bookshelf", "d:Description": "The works of Charlotte Bronte, free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://www.classicbookshelf.com/library/BronteC/"} +{"d:Title": "Charlotte Bronte Online - University of Adelaide", "d:Description": "The complete text of all four Charlotte Bronte novels, \"Jane Eyre\", \"Shirley\", \"The Professor\", and \"Villette\".", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://ebooks.adelaide.edu.au/b/bronte/charlotte/index.html"} +{"d:Title": "Allreaders Charlotte Bronte Spotlight", "d:Description": "Analysis of the plot, setting, characters, theme, and structure.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Charlotte/Works", "url": "http://allreaders.com/books/charlotte-bronte-333"} +{"d:Title": "Emily \"The Strange\" Bront\u00eb", "d:Description": "An Incompetech British Authors biography of Emily Bront\u00eb.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily", "url": "http://www.incompetech.com/authors/ebronte/"} +{"d:Title": "Today in Literature: Emily Bronte", "d:Description": "Two essays about Bronte's life and work. Requires free registration to read full articles.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily", "url": "http://www.todayinliterature.com/biography/emily.bronte.asp"} +{"d:Title": "Emily Bronte", "d:Description": "A brief biographical sketch of Bronte, including a sample poem.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily", "url": "http://www.ibiblio.org/cheryb/women/Emily-Bronte.html"} +{"d:Title": "The Bront\u00eb Sisters", "d:Description": "A biographical site by Cecilia Falk. [English and Swedish]", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily", "url": "http://www.ceciliafalk.com/bronte.htm"} +{"d:Title": "Read Print: Emily Bronte", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily", "url": "http://www.readprint.com/author-9/Emily-Bronte-books"} +{"d:Title": "Poetry Archive: Emily Bronte", "d:Description": "Etexts of several poems.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works", "url": "http://www.poetry-archive.com/b/bronte_emily.html"} +{"d:Title": "Emily Bront\u00eb - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works", "url": "http://www.theotherpages.org/poems/bronte01.html"} +{"d:Title": "AllReaders.com: Emily Bronte", "d:Description": "Reader group includes reviews and message board.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works", "url": "http://allreaders.com/books/emily-bronte-873"} +{"d:Title": "Literature Network: Wuthering Heights", "d:Description": "Online text.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works/Wuthering_Heights", "url": "http://www.online-literature.com/bronte/wuthering/"} +{"d:Title": "Classic Bookshelf", "d:Description": "Wuthering Heights by Emily Bronte, free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works/Wuthering_Heights", "url": "http://www.classicbookshelf.com/library/BronteE/"} +{"d:Title": "Wuthering Heights", "d:Description": "Hypertext version.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works/Wuthering_Heights", "url": "http://www.literature.org/authors/bronte-emily/wuthering-heights/"} +{"d:Title": "Wuthering Heights", "d:Description": "Free HTML EText of the classic story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works/Wuthering_Heights", "url": "http://www.pagebypagebooks.com/Emily_Bronte/Wuthering_Heights/"} +{"d:Title": "A Reader's Guide to Wuthering Heights", "d:Description": "A guide to Emily Bronte's masterpiece Wuthering Heights - the book, characters and locations.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works/Wuthering_Heights", "url": "http://wuthering-heights.co.uk/"} +{"d:Title": "Bront\u00eb Family Collection 1833-1858", "d:Description": "Details of the Bronte Collection at the University of Texas.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb,_Emily/Works/Wuthering_Heights", "url": "http://norman.hrc.utexas.edu/fasearch/findingaid.cfm?eadid=00001"} +{"d:Title": "Bronte Country", "d:Description": "Bronte Country, in the West Yorkshire Pennines - where the Brontes lived and wrote their famous novels. Contains information on the area and the places to visit, as well as links to information on the novelist sisters.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb_Family", "url": "http://www.eagle.co.uk/Bronte/index.html"} +{"d:Title": "Bront\u00eb Parsonage Museum", "d:Description": "The Parsonage, built in 1778-9 was the lifelong home of the Bront\u00eb family. It was opened as a museum in 1928. This site contains information on the Bront\u00ebs, the museum, and other topics relating to their family.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb_Family", "url": "http://www.bronte.org.uk/"} +{"d:Title": "The Bronte Sisters Web", "d:Description": "Extensive online resource for all three Bront\u00eb sisters and their writings.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb_Family", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/Bronte.html"} +{"d:Title": "Poems", "d:Description": "E-text of the book by Charlotte, Emily, and Anne Bronte, a. k. a. the Bell Brothers.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb_Family", "url": "http://www.worldwideschool.org/library/books/lit/poetry/CurrerEllisandActonBell/Chap0.html"} +{"d:Title": "Poems by Currer, Ellis, And Acton Bell", "d:Description": "Poems by Anne Bronte, Charlotte Bronte, Emily Bronte. 1846 ed.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb_Family", "url": "http://digital.library.upenn.edu/women/bronte/poems/poems.html"} +{"d:Title": "Bront\u00eb Blog", "d:Description": "Current events and information about the life and work of the Bront\u00eb family.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb_Family", "url": "http://bronteblog.blogspot.com/"} +{"d:Title": "The Bront\u00ebs", "d:Description": "Information on books owned, and literature alluded to by members of the Bront\u00eb family, as well as links to e-texts of their writings and secondary sources.", "topic": "Top/Arts/Literature/Authors/B/Bront\u00eb_Family", "url": "http://www.thebrontes.net/"} +{"d:Title": "Rupert Brooke on Skyros", "d:Description": "Directions to the poet's grave in Greece, a short biography, selected poems, bibliography and web links.", "topic": "Top/Arts/Literature/Authors/B/Brooke,_Rupert", "url": "http://www.rupertbrookeonskyros.com/"} +{"d:Title": "The Academy of American Poets: Rupert Brooke", "d:Description": "A biography, photograph, etexts of selected poems, a selected bibliography of poetry and prose, and links to web resources.", "topic": "Top/Arts/Literature/Authors/B/Brooke,_Rupert", "url": "http://www.poets.org/poetsorg/poet/rupert-brooke"} +{"d:Title": "Bartleby: Rupert Brooke", "d:Description": "Etext of 'Collected Poems' and some anthologized verse.", "topic": "Top/Arts/Literature/Authors/B/Brooke,_Rupert/Works", "url": "http://www.bartleby.com/people/BrookeR.html"} +{"d:Title": "Poets' Corner: Rupert Brooke", "d:Description": "Includes selected works.", "topic": "Top/Arts/Literature/Authors/B/Brooke,_Rupert/Works", "url": "http://www.theotherpages.org/poems/brooke01.html"} +{"d:Title": "Poetry Archive: Rupert Brooke", "d:Description": "An index of poems.", "topic": "Top/Arts/Literature/Authors/B/Brooke,_Rupert/Works", "url": "http://www.poetry-archive.com/b/brooke_rupert.html"} +{"d:Title": "AfroPoets.Net Famous Black Writers", "d:Description": "Includes a brief biography, photograph, and some selected poems.", "topic": "Top/Arts/Literature/Authors/B/Brooks,_Gwendolyn", "url": "http://www.afropoets.net/gwendolynbrooks.html"} +{"d:Title": "Gwendolyn Brooks", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/B/Brooks,_Gwendolyn", "url": "http://www.poets.org/poetsorg/poet/gwendolyn-brooks"} +{"d:Title": "Brooks, K. S.", "d:Description": "Action-adventure novelist whose work includes Lust for Danger. Biography, contact information, author events and links to her award-winning photography.", "topic": "Top/Arts/Literature/Authors/B/Brooks,_K._S.", "url": "http://www.ksbrooks.com/"} +{"d:Title": "Wieland, by Charles Brockden Brown", "d:Description": "Excerpts and information about Wieland, an almost forgotten classic of American Literature.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Charles_Brockden", "url": "http://www.invispress.com/WEI/index.html"} +{"d:Title": "Wikipedia: Charles Brockden Brown", "d:Description": "Biographical essay on the American novelist.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Charles_Brockden", "url": "http://en.wikipedia.org/wiki/Charles_Brockden_Brown"} +{"d:Title": "The Charles Brockden Brown Electronic Archive and Scholarly Edition", "d:Description": "Project to produce a six-volume print edition of selected works, and an electronic edition of uncollected works. Biography, bibliographies, plot summaries, page images.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Charles_Brockden", "url": "http://brockdenbrown.cah.ucf.edu/"} +{"d:Title": "Dan Brown", "d:Description": "Official site includes author biography, information about his books, and background and trivia about codes and espionage.", "priority": "1", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan", "url": "http://www.danbrown.com/"} +{"d:Title": "Wikipedia - Dan Brown", "d:Description": "Short description of the author and links to reviews of all his books.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan", "url": "http://en.wikipedia.org/wiki/Dan_Brown"} +{"d:Title": "Writers Write", "d:Description": "Interview with Dan Brown from 1998.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan", "url": "http://www.writerswrite.com/journal/may98/brown.htm"} +{"d:Title": "Wikipedia - Angels and Demons", "d:Description": "Provides a plot review, comparisons to 'The Da Vinci Code' and discusses plot inaccuracies.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/Angels_and_Demons", "url": "http://en.wikipedia.org/wiki/Angels_and_Demons"} +{"d:Title": "Wikipedia - Deception Point", "d:Description": "Provides a plot review, information on the main characters and how to solve some of the codes in the book.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/Deception_Point", "url": "http://en.wikipedia.org/wiki/Deception_Point"} +{"d:Title": "Wikipedia - Digital Fortress", "d:Description": "Provides plot, character descriptions and code explanations from the book.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/Digital_Fortress", "url": "http://en.wikipedia.org/wiki/Digital_Fortress"} +{"d:Title": "Wikipedia - The Da Vinci Code", "d:Description": "Provides plot and character descriptions of the book.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/The_Da_Vinci_Code", "url": "http://en.wikipedia.org/wiki/The_Da_Vinci_Code"} +{"d:Title": "The DaVinci Code", "d:Description": "Companion site to the novel includes riddles and games.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/The_Da_Vinci_Code", "url": "http://www.randomhouse.com/doubleday/davinci/"} +{"d:Title": "The Answers to Dan Brown's DaVinci code webquest", "d:Description": "Contains detailed and worked answers to the Da Vinci Code webquest on Dan Brown's own site.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/The_Da_Vinci_Code", "url": "http://www.nigelcoldwell.co.uk/davincicode/"} +{"d:Title": "Demystifying The Da Vinci Code", "d:Description": "Information and analysis regarding the book, \"The Da Vinci Code.\"", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/The_Da_Vinci_Code", "url": "http://www.re-quest.net/mystery/da-vinci-code/index.htm"} +{"d:Title": "Opus Dei - The Da Vinci Code", "d:Description": "A response to The Da Vinci Code by the Prelature of Opus Dei in the United States.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Dan/Works/The_Da_Vinci_Code", "url": "http://opusdei.us/en-us/article/the-da-vinci-code-the-catholic-church-and-opus-dei-2/"} +{"d:Title": "Rosellen Brown: Before and After", "d:Description": "Book review in Women's Books Online.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Rosellen", "url": "http://home.cybergrrl.com/review/gb-q4-96.html#Before"} +{"d:Title": "Brown, Rosellen: How to Win", "d:Description": "Summary of and commentary on short story by Rosellen Brown.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Rosellen", "url": "http://medhum.med.nyu.edu/view/762"} +{"d:Title": "Brown, Rosellen: Tender Mercies", "d:Description": "Summary of and commentary on the novel by Rosellen Brown.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Rosellen", "url": "http://medhum.med.nyu.edu/view/763"} +{"d:Title": "Sterling A. Brown - The Academy of American Poets", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Brown,_Sterling_A.", "url": "http://www.poets.org/poetsorg/poet/sterling-brown"} +{"d:Title": "T.E.Brown", "d:Description": "Biography, bibliography and excerpts from the work of the Manx author.", "topic": "Top/Arts/Literature/Authors/B/Brown,_T.E.", "url": "http://www.isle-of-man.com/manxnotebook/people/writers/teb.htm"} +{"d:Title": "Elizabeth \"Ba\" Barrett Browning", "d:Description": "An off-the-wall biography of Browning.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett", "url": "http://www.incompetech.com/authors/ebrowning/"} +{"d:Title": "Elizabeth Barrett Browning", "d:Description": "The Victorian Web provides biographical and bibliographical information on Browning, plus extensive multi-disciplinary literary-historical criticism and commentary.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett", "url": "http://www.victorianweb.org/authors/ebb/browningov.html"} +{"d:Title": "The Academy of American Poets: Elizabeth Barrett Browning", "d:Description": "Biography, portrait, etexts of selected poems, selected bibliography of poetry and prose, and links to web resources.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett", "url": "http://www.poets.org/poetsorg/poet/elizabeth-barrett-browning"} +{"d:Title": "Sonnets from the Portuguese", "d:Description": "Text of Browning's sonnets.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett/Works", "url": "http://theotherpages.org/poems/ebb01.html"} +{"d:Title": "Sonnets from the Portuguese", "d:Description": "HTML e-text at everypoet.com.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett/Works", "url": "http://www.everypoet.com/archive/poetry/Elizabeth_Barrett_Browning/elizabeth_browning_contents.htm"} +{"d:Title": "Poems", "d:Description": "Sonnets from the Portuguese, indexed by number and first line, plus 38 other poems.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett/Works", "url": "http://www.webterrace.com/browning/poems.htm"} +{"d:Title": "Poets Corner: Elizabeth Barrett Browning", "d:Description": "Includes several poems.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett/Works", "url": "http://www.theotherpages.org/poems/browne01.html"} +{"d:Title": "Translations from the Greek Christian Poets", "d:Description": "HTML e-text at the St. Pachomius Library.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Elizabeth_Barrett/Works", "url": "http://www.voskrese.info/spl/browning.html"} +{"d:Title": "Incompetech: Robert Browning", "d:Description": "Annotated profile.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert", "url": "http://www.incompetech.com/authors/rbrowning/"} +{"d:Title": "Robert Browning", "d:Description": "Biography and essays on his works from the Victorian Web.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert", "url": "http://www.victorianweb.org/authors/rb/rbov.html"} +{"d:Title": "Read Print: Robert Browning", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert", "url": "http://www.readprint.com/author-124/Robert-Browning-books"} +{"d:Title": "The Academy of American Poets: Robert Browning", "d:Description": "Biography, photograph, etexts of selected poems, selected bibliography of poetry and prose, and links to web resources.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-browning"} +{"d:Title": "Porphyria's Lover by Scott McCloud", "d:Description": "Psychedelic online comic strip adaptation of Browning's poem.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert", "url": "http://scottmccloud.com/1-webcomics/porphyria/index.html"} +{"d:Title": "Casa Guidi: Home of Robert and Elizabeth Browning", "d:Description": "An article about the home of the poets Robert and Elizabeth Browning called Casa Guidi in Florence, Italy.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert", "url": "http://www.literarytraveler.com/articles/casa-guidi-home-of-the-brownings-in-italy/"} +{"d:Title": "Poetry Archive: Robert Browning", "d:Description": "Etexts of several poems.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert/Works", "url": "http://www.poetry-archive.com/b/browning_robert.html"} +{"d:Title": "Robert Browning - Selected Works 1", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert/Works", "url": "http://www.theotherpages.org/poems/brown01.html"} +{"d:Title": "Robert Browning - Selected Works 2", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert/Works", "url": "http://www.theotherpages.org/poems/brown02.html"} +{"d:Title": "Robert Browning (1812-1889)", "d:Description": "Selected short poems.", "topic": "Top/Arts/Literature/Authors/B/Browning,_Robert/Works", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=391"} +{"d:Title": "Elizabeth Brownrigg", "d:Description": "The published fiction of Elizabeth Brownrigg, plus reading tour and author biography.", "topic": "Top/Arts/Literature/Authors/B/Brownrigg,_Elizabeth", "url": "http://egb.home.mindspring.com/"} +{"d:Title": "Anthony Bruce", "d:Description": "Information on the author and his books, plus online ordering.", "topic": "Top/Arts/Literature/Authors/B/Bruce,_Anthony", "url": "http://www.anthonybruce.com/"} +{"d:Title": "A Chat with Joseph Bruchac", "d:Description": "Online interview with the writer about ethnicity and the relevance of poetry.", "topic": "Top/Arts/Literature/Authors/B/Bruchac,_Joseph", "url": "http://www.wordsmith.org/chat/bruchac.html"} +{"d:Title": "Native American Authors: Joseph Bruchac", "d:Description": "Profile of the Abenaki writer, with links to some of his works.", "topic": "Top/Arts/Literature/Authors/B/Bruchac,_Joseph", "url": "http://www.ipl.org/div/natam/bin/browse.pl/A22"} +{"d:Title": "Heroes Like Us at the Complete Review", "d:Description": "Review of Thomas Brussig's novel \"Helden wie Wir\" and links to other reviews.", "topic": "Top/Arts/Literature/Authors/B/Brussig,_Thomas", "url": "http://www.complete-review.com/reviews/brussigt/heldenww.htm"} +{"d:Title": "Sonnenallee at the Complete Review", "d:Description": "Review of Thomas Brussig's \"Am k\u00fcrzeren Ende der Sonnenallee\", with links to other reviews.", "topic": "Top/Arts/Literature/Authors/B/Brussig,_Thomas", "url": "http://www.complete-review.com/reviews/brussigt/kurzende.htm"} +{"d:Title": "Moonstruck Drama Bookstore: Georg B\u00fcchner", "d:Description": "Biography of German playwright.", "topic": "Top/Arts/Literature/Authors/B/B\u00fcchner,_Georg", "url": "http://www.imagi-nation.com/moonstruck/clsc29.html"} +{"d:Title": "Georg B\u00fcchner", "d:Description": "Short biography of the dramatist, with copious links to related topics.", "topic": "Top/Arts/Literature/Authors/B/B\u00fcchner,_Georg", "url": "http://en.wikipedia.org/wiki/Georg_B%C3%BCchner"} +{"d:Title": "Georg B\u00fcchner's Woyzeck", "d:Description": "Brief summary of B\u00fcchner's unfinished masterpiece.", "topic": "Top/Arts/Literature/Authors/B/B\u00fcchner,_Georg", "url": "http://medhum.med.nyu.edu/view/258"} +{"d:Title": "Pearl S. Buck: A Cultural Biography", "d:Description": "Information on the book by Peter Conn.", "topic": "Top/Arts/Literature/Authors/B/Buck,_Pearl_S.", "url": "http://www.english.upenn.edu/Projects/Buck/conn-book.html"} +{"d:Title": "Pearl S. Buck", "d:Description": "University of Pennsylvania site dedicated to Pearl S. Buck.", "topic": "Top/Arts/Literature/Authors/B/Buck,_Pearl_S.", "url": "http://www.english.upenn.edu/Projects/Buck/"} +{"d:Title": "Pearl Buck: Nobel Prize in Literature 1938", "d:Description": "Prize presentation, biography, acceptance speech, Nobel lecture, other resources.", "topic": "Top/Arts/Literature/Authors/B/Buck,_Pearl_S.", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1938/"} +{"d:Title": "Sure,", "d:Description": "Journal, published annually, devoted to Charles Bukowski. It began as \"The Charles Bukowski Newsletter,\" and the first issue was published in May, 1991.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.litkicks.com/Buk/sure.html"} +{"d:Title": "Buk's Page", "d:Description": "The poetry of Charles Bukowski. Letters, art, biography, newsletter.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://realbeer.com/buk/"} +{"d:Title": "The Charles Bukowski Memorial Center for Classical Latin Studies", "d:Description": "The ancient Romans had lusty appetites; just like modern people, they seemed to have one thing on their minds. The Charles Bukowski Memorial Center for Classical Latin Studies seeks to drag obscenity out of those dusty tomes and stick it right where it belongs.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.obscure.org/obscene-latin/"} +{"d:Title": "Charles Bukowski - The Great Poet", "d:Description": "My man Buk. The life and deeds of Charles Bukowski. Poetry. Books. Links.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://home.swipnet.se/~w-15266/cultur/bukowski/"} +{"d:Title": "Charles Bukowski, American Author", "d:Description": "Poems, letters, scanned manuscripts, and pictures.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://bukowski.net/"} +{"d:Title": "Charles Bukowski: Elms Lesters Celebrates", "d:Description": "An art exhibition of Hank's life.", "priority": "1", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.elmslesters.co.uk/Pages/bukhome.html"} +{"d:Title": "Literary Kicks - Charles Bukowski", "d:Description": "Brief biography and links.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.litkicks.com/CharlesBukowski/"} +{"d:Title": "Charles Bukowski - The Beat Page", "d:Description": "Biography including photos and four poems.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.rooknet.net/beatpage/writers/bukowski.html"} +{"d:Title": "An Introduction to Charles Bukowski", "d:Description": "Charles Bukowski took American literature away from the critics and the academics and gave it back to the peoples.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.jaydougherty.com/bukowski/index.html"} +{"d:Title": "Charles Bukowski: These Words I Write Keep Me from Total Madness", "d:Description": "A selective, annotated bibliography of published works by and about Charles Bukowski.", "priority": "1", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.levee67.org/bukowski/"} +{"d:Title": "Allreaders Charles Bukowski Spotlight", "d:Description": "An analysis of Women.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://allreaders.com/books/charles-bukowski-655"} +{"d:Title": "Barfly", "d:Description": "Review of the film by Roger Ebert. Chicago Sun-Times, 1987.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.rogerebert.com/reviews/barfly-1987"} +{"d:Title": "Locked in the Arms of a Crazy Life by Howard Sounes", "d:Description": "A Salon Books review of the latest biography of Bukowski.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles", "url": "http://www.salon.com/1999/05/27/sounes/"} +{"d:Title": "Buk-L", "d:Description": "For the discussion of Charles Bukowski and his work.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Mailing_Lists", "url": "http://groups.yahoo.com/group/buk-l/"} +{"d:Title": "Bukowski", "d:Description": "Mailing list for discussing Bukowski, his works, and critical texts.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Mailing_Lists", "url": "http://groups.yahoo.com/group/bukowski/"} +{"d:Title": "Factotum", "d:Description": "Information on the novel with a brief excerpt.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing", "url": "http://www.litkicks.com/Buk/factotum.html"} +{"d:Title": "Ham on Rye", "d:Description": "Information about the semi-autobiographical novel.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing", "url": "http://www.litkicks.com/Buk/ham.html"} +{"d:Title": "Charles Bukowski Letters", "d:Description": "Two Letters: to his publisher, John Martin (of Black Sparrow Press) and to William Packard, Editor of New York Quarterly.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing", "url": "http://unix.cc.wmich.edu/~cooneys/poems/buk.letters.html"} +{"d:Title": "The Great Poet", "d:Description": "Short story from 'Hot Water Music - Poems and Stories'.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing", "url": "http://home.swipnet.se/~w-15266/cultur/bukowski/short01.htm"} +{"d:Title": "The Blackbirds Are Rough Today", "d:Description": "A poem from 'The Romminghouse Madrigals: Early Selected Poems 1946-1966'.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing/Poetry", "url": "http://www.litkicks.com/Buk/birds.html"} +{"d:Title": "Young in New Orleans", "d:Description": "A poem from 'The Last Night on Earth Poems'.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing/Poetry", "url": "http://www.litkicks.com/Buk/young.html"} +{"d:Title": "To The Whore Who Took My Poems", "d:Description": "From Burning in Water Drowning in Flame: Selected Poems 1955-1973", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing/Poetry", "url": "http://realbeer.com/buk/bio.html#poems"} +{"d:Title": "Buk", "d:Description": "Full text of many Bukowski poems.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing/Poetry", "url": "http://bukowskicharles.tripod.com/"} +{"d:Title": "Poetry Previews: Charles Bukowski", "d:Description": "Review of Charles Bukowski's poetry.", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing/Poetry", "url": "http://www.poetrypreviews.com/poets/poet-bukowski.html"} +{"d:Title": "ah..!", "d:Description": "bukowski poetry", "topic": "Top/Arts/Literature/Authors/B/Bukowski,_Charles/Writing/Poetry", "url": "http://buk.pitas.com/"} +{"d:Title": "Mikhail Bulgakov's The Master and Margarita: A Literary Mystification", "d:Description": "Alfred Barkov's explains that the author might have been executed had the true content of his novel been revealed in the thirties.", "topic": "Top/Arts/Literature/Authors/B/Bulgakov,_Mikhail", "url": "http://bulgakov.stormloader.com/"} +{"d:Title": "John Bunyan (1628-1688)", "d:Description": "Biographical sketch.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John", "url": "http://homepages.which.net/~gk.sherman/eaaaaabw.htm"} +{"d:Title": "John Bunyan, Writer", "d:Description": "Biographical sketch.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John", "url": "http://justus.anglican.org/resources/bio/51.html"} +{"d:Title": "Wholesome Words: John Bunyan", "d:Description": "Includes several biographical articles, links.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John", "url": "http://www.wholesomewords.org/biography/biorpbunyan.html"} +{"d:Title": "The Pilgrim's Progress: Illustrations", "d:Description": "10 engravings illustrating an old edition: suitable for use in screensavers.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Illustrations_and_Memorials", "url": "http://www.anesi.com/pilgrim.htm"} +{"d:Title": "Find A Grave: John Bunyan", "d:Description": "Includes several pictures.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Illustrations_and_Memorials", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1930"} +{"d:Title": "Acacia John Bunyan Online Library", "d:Description": "Includes John Bunyan's sermons, allegories, and poetry.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://acacia.pair.com/Acacia.John.Bunyan/"} +{"d:Title": "Bunyan Before the Courts", "d:Description": "Autobiographical book.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://www.embassyofheaven.com/catalog/bunyanco.htm"} +{"d:Title": "Classic Bookshelf", "d:Description": "Pilgrim's Progress by John Bunyan, free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://www.classicbookshelf.com/library/Bunyan/"} +{"d:Title": "Pilgrim's Progress", "d:Description": "Text is available online in several formats, including HTML and PDF. From the Christian Classics Ethereal Library.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://www.ccel.org/ccel/bunyan/pilgrim.html"} +{"d:Title": "Divine emblems; or Temporal things spiritualized", "d:Description": "Page images of posthumous collection of poems for children, at the Internet Archive.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://www.archive.org/details/divineemblemsort00buny"} +{"d:Title": "Temporal Things Spiritualized", "d:Description": "HTML e-text at whatsaiththescripture.com.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://whatsaiththescripture.com/Poetry/Temporal.Spiritualized.html"} +{"d:Title": "Grace Abounding to the Chief of Sinners", "d:Description": "Complete online text of the Autobiography of John Bunyan.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://bible.christiansunite.com/bun/graceindex.shtml"} +{"d:Title": "Pilgrim's Progress", "d:Description": "Complete online text of books one and two.", "topic": "Top/Arts/Literature/Authors/B/Bunyan,_John/Works", "url": "http://bible.christiansunite.com/bun/pilgrim01.shtml"} +{"d:Title": "\"Lenore\"", "d:Description": "Translated by Dante Gabriel Rossetti.", "topic": "Top/Arts/Literature/Authors/B/B\u00fcrger,_Gottfried_August/Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_lenore.htm"} +{"d:Title": "Anthony Burgess - not only Clockwork Orange", "d:Description": "Page which includes many links to other Burgess sites, reviews, interviews and dedicated clubs", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://beifaust.tripod.com/AnthonyBurgess.htm"} +{"d:Title": "Anthony Burgess from the Archives of The New York Times", "d:Description": "Includes an obituary, articles and reviews by Burgess, and reviews of his works.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.nytimes.com/books/97/11/30/home/burgess.html"} +{"d:Title": "The International Anthony Burgess Foundation - Homepage", "d:Description": "Website of the home in Manchester, England of the Anthony Burgess Foundation. Includes newsletter, forum and description of the Foundation's holdings", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.anthonyburgess.org/"} +{"d:Title": "Anthony Burgess Life Stories, Books,&Links", "d:Description": "Brief biographical outline with some links to material about the works.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.todayinliterature.com/biography/anthony.burgess.asp"} +{"d:Title": "Burgess's 99 great novels", "d:Description": "Article by Burgess written to coincide with the publication of his book 99 Novels, which lists his choice of the best fiction since 1939.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.nytimes.com/books/97/11/30/home/burgess-bestnovels.html?oref=login"} +{"d:Title": "Wikipedia entry for Burgess", "d:Description": "Account of the life and works.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://en.wikipedia.org/wiki/Anthony_Burgess"} +{"d:Title": "Novelist as composer by Tess Crebbin", "d:Description": "Article discussing the forthcoming book on Burgess as composer by Paul Phillips.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.mvdaily.com/articles/2004/11/burgess1.htm"} +{"d:Title": "Theodore Dalrymple on A Clockwork Orange", "d:Description": "Dalrymple sees Burgess's novel as a prescient account of today's society.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.city-journal.org/html/16_1_oh_to_be.html"} +{"d:Title": "Liar, liar, pants on fire", "d:Description": "Duncan Fallowell's review of Andrew Biswell's new biography of Burgess. Published October 23rd 2005. Requires free registration.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.telegraph.co.uk/culture/books/3647368/Liar-liar-pants-on-fire.html"} +{"d:Title": "Review of Revolutionary Sonnets by Anthony Burgess", "d:Description": "Andrew Biswell's review of Burgess's only published collection of poems.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.theguardian.com/books/2003/jan/25/poetry.fiction"} +{"d:Title": "The Guardian: Anthony Burgess", "d:Description": "Profile, reviews, articles, obituary and links.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.theguardian.com/books/2008/jun/10/anthonyburgess"} +{"d:Title": "IMDb: Anthony Burgess", "d:Description": "Filmography.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.imdb.com/name/nm0121256/"} +{"d:Title": "The Paris Review - The Art of Fiction No. 48: Interview with Burgess", "d:Description": "Summary and PDF of interview from 1973.", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.theparisreview.org/interviews/3994/the-art-of-fiction-no-48-anthony-burgess"} +{"d:Title": "Scotsman.com News - Features - Peeling the Clockwork Orange", "d:Description": "Review of Andrew Biswell's The Real Life Of Anthony Burgess", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony", "url": "http://www.scotsman.com/lifestyle/books/peeling-the-clockwork-orange-1-1405446"} +{"d:Title": "A Clockwork Orange Message Board", "d:Description": "A message board, devoted to the discussion and debate of NASDAT related topics", "topic": "Top/Arts/Literature/Authors/B/Burgess,_Anthony/A_Clockwork_Orange", "url": "http://www.aclockworkorange.com/"} +{"d:Title": "Alafair Burke", "d:Description": "Official site includes author bio, press releases, forum, and information about her first novel, Judgment Calls.", "topic": "Top/Arts/Literature/Authors/B/Burke,_Alafair", "url": "http://www.alafairburke.com/"} +{"d:Title": "Allreaders James Lee Burke Spotlight", "d:Description": "Analysis of the plot, setting, characters, theme, and structure of his best books, and links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Authors/B/Burke,_James", "url": "http://allreaders.com/books/james-lee-burke-136"} +{"d:Title": "Martyn Burke Books", "d:Description": "Martyn Burke is the author of Laughing War, The Commissar's Report, Ivory Joe, Tiara, and The Shelling of Beverly Hills.", "topic": "Top/Arts/Literature/Authors/B/Burke,_Martyn", "url": "http://www.martynburke.com/"} +{"d:Title": "Burleson, Clyde W", "d:Description": "Author of fourteen published books and novels. Recent work includes 'The Jennifer Project' a \"true Cold War tale of high-tech espionage, money, power, and politics.\"", "topic": "Top/Arts/Literature/Authors/B/Burleson,_Clyde", "url": "http://www.clydewburleson.com/"} +{"d:Title": "Works by Fanny Burney", "d:Description": "Complete list of works by Burney with dates of publication.", "topic": "Top/Arts/Literature/Authors/B/Burney,_Frances", "url": "http://digital.library.upenn.edu/women/burney/burneyf-bibliography.html"} +{"d:Title": "Frances (Fanny) Burney", "d:Description": "A biography of provided by the Burney Centre at McGill University.", "topic": "Top/Arts/Literature/Authors/B/Burney,_Frances", "url": "http://burneycentre.mcgill.ca/bio_frances.html"} +{"d:Title": "Evelina", "d:Description": "1778 poem subtitled \"The History of a Young Lady's Entrance into the World.\"", "topic": "Top/Arts/Literature/Authors/B/Burney,_Frances/Works", "url": "http://digital.library.upenn.edu/women/burney/evelina/evelina.html"} +{"d:Title": "Incompetech's British Author Series", "d:Description": "Contains a biography.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://www.incompetech.com/authors/burns/"} +{"d:Title": "The Bard", "d:Description": "Describes the man, hist poems, and his lifestyle.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://www.rabbie-burns.com/"} +{"d:Title": "Ellisland Farm", "d:Description": "Robert Burns museum and visitor attraction. Contains news, information, history, visitor information, biography, poetry, and links.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://www.ellislandfarm.co.uk/"} +{"d:Title": "Heritage of Scotland: Robert Burns", "d:Description": "Biography of the Scottish poet and a sample of his work.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://www.heritage-of-scotland.com/burns.htm"} +{"d:Title": "Today in Literature: Robert Burns", "d:Description": "Seven essays about the Scottish author's life and works. Requires free registration to read full articles.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://www.todayinliterature.com/biography/robert.burns.asp"} +{"d:Title": "Burns Howff Club", "d:Description": "Club in the hometown of Robert Burns offers events, walking tours, and an email newspaper. Brief history of the poet's life.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://www.burnshowffclub.org/"} +{"d:Title": "Irvine Burns Museum and Club", "d:Description": "Museum with exhibits, library, and a concert room. Site contains club history and photos of local craftwork. Located in Irvine, North Ayrshire, Scotland.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://www.irvineburnsclub.org/"} +{"d:Title": "The Robert Burns Club of Milwaukee", "d:Description": "Includes texts of the author's works indexed chronologically and by title and first line, articles and a glossary.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://milwburnsclub.tripod.com/"} +{"d:Title": "The Robert Burns Club of Milwaukee", "d:Description": "Non-profit organization dedicated to preserving the living memory of Scotland's 18th century bard Robert Burns.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert", "url": "http://milwburnsclub.virtualimprint.com/"} +{"d:Title": "Bartleby: Robert Burns", "d:Description": "Etexts of poems and the Burns chapter from the Cambridge History of English Literature.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert/Works", "url": "http://www.bartleby.com/people/Burns-Ro.html"} +{"d:Title": "Poetry Archive: Robert Burns", "d:Description": "Etexts of several poems.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert/Works", "url": "http://www.poetry-archive.com/b/burns_robert.html"} +{"d:Title": "Robert Burns - Selected Works 1", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert/Works", "url": "http://www.theotherpages.org/poems/burns01.html"} +{"d:Title": "Poets' Corner: Robert Burns", "d:Description": "Provides etext for several of the author's poems.", "topic": "Top/Arts/Literature/Authors/B/Burns,_Robert/Works", "url": "http://www.theotherpages.org/poems/burns02.html"} +{"d:Title": "Burr, Catherine", "d:Description": "Canadian-born writer based in California. Books include the humorous \"Motherhood Is Not for Wimps\" and the novel \"Silicone Secrets.\" Biography with photo.", "topic": "Top/Arts/Literature/Authors/B/Burr,_Catherine", "url": "http://www.catherineburr.com/"} +{"d:Title": "The Wold Newton Universe", "d:Description": "An expansion of Philip Jose Farmer's speculation on the family tree which connects many well known pulp and literary heroic figures including many of ERB's fictional heroes.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://www.pjfarmer.com/woldnewton/Pulp.htm"} +{"d:Title": "ERB Chain of Friendship Listserv", "d:Description": "The erbcof-list is an electronic discussion group and communication service. Officially known as erbcof-l, the list was established for persons interested in the life, works, and worlds of author edgar rice burroughs. Although anyone may subscribe to the list, it will be of most interest to serious burroughs enthusiasts.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://www.erblist.com/"} +{"d:Title": "Edgar Rice Burroughs", "d:Description": "Biography and list of texts on-line at Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://oprf.com/Burroughs/index.html"} +{"d:Title": "Free Online Library: Edgar Rice Burroughs", "d:Description": "Biography, links, and free online texts with plot summaries,", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://burroughs.thefreelibrary.com/"} +{"d:Title": "Edgar Rice Burroughs Web Museum", "d:Description": "Articles, photographs, biography and Tarzana from a Canadian enthusiast.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://www.angelfire.com/trek/erbmuseum/"} +{"d:Title": "ERB Firsts", "d:Description": "Descriptions, photos, and information for collectors about first edition Edgar Rice Burroughs books. Includes values and statistics of based on book auction sales, video of the ERB collection at Ekstrom Library, and audio of the author's voice.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://www.erbfirsts.com/"} +{"d:Title": "Kaor: Bill Hillman's ERB World", "d:Description": "An online fanzine. Includes a book-collector's bibliography as well as assorted other ERB-related material.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://home.westman.wave.ca/~hillmans/erbkaor.html"} +{"d:Title": "The Tarzana Project: New Edgar Rice Burroughs Books", "d:Description": "Dedicated to bringing into print in book form all of the remaining unpublished/uncollected works of Edgar Rice Burroughs.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://strangeexcursions.com/tarzana/tarzana.htm"} +{"d:Title": "The Dream Vaults of Opar", "d:Description": "Personal explorations of the worlds of Edgar Rice Burroughs.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://www.strangeexcursions.com/vaults/"} +{"d:Title": "How I Wrote the Tarzan Books", "d:Description": "A brief biography by ERB himself detailing his early failures and what eventually led him to begin a career as a writer.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://www.erbzine.com/mag0/0052.html"} +{"d:Title": "Bill and Sue-On Hillman's ERBzine", "d:Description": "Weekly fanzine dedicated to the works of ERB. By Bill Hillman.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice", "url": "http://www.erbzine.com/"} +{"d:Title": "Page By Page Books: The Land that Time Forgot", "d:Description": "Chapter-indexed and paged HTML text of the novel.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Lost_Continent,_The", "url": "http://pagebypagebooks.com/Edgar_Rice_Burroughs/The_Land_That_Time_Forgot/"} +{"d:Title": "Page By Page Books: The Lost Continent", "d:Description": "Chapter-indexed and paged HTML text of the novel.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Lost_Continent,_The", "url": "http://pagebypagebooks.com/Edgar_Rice_Burroughs/The_Lost_Continent/"} +{"d:Title": "Page By Page Books: Out of Time's Abyss", "d:Description": "Chapter-indexed and paged HTML text of the novel.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Lost_Continent,_The", "url": "http://pagebypagebooks.com/Edgar_Rice_Burroughs/Out_of_Times_Abyss/"} +{"d:Title": "Page By Page Books: The People that Time Forgot", "d:Description": "Chapter-indexed and paged HTML text of the novel.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Lost_Continent,_The", "url": "http://pagebypagebooks.com/Edgar_Rice_Burroughs/The_People_That_Time_Forgot/"} +{"d:Title": "Literature Network: The Lost Continent", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Lost_Continent,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/lost_continent/"} +{"d:Title": "Literature Network: The Land that Time Forgot", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Lost_Continent,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/time_forgot/"} +{"d:Title": "A Barsoom Glossary", "d:Description": "A website devoted to Burroughs' pulp vision of Mars. Includes fan fiction, pastiches, among much else, as well as links to numerous other ERB resources on the internet.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Mars_Series", "url": "http://www.erblist.com/"} +{"d:Title": "Literature Network: The Chessmen of Mars", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Mars_Series/Chessmen_of_Mars,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/chessmen_mars/"} +{"d:Title": "The Gods of Mars", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Mars_Series/Gods_of_Mars,_The", "url": "http://www.umich.edu/~umfandsf/other/ebooks/gmars11.txt"} +{"d:Title": "Literature Network: The Gods of Mars", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Mars_Series/Gods_of_Mars,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/gods_mars/"} +{"d:Title": "A Princess of Mars", "d:Description": "The entire book in one text file.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Mars_Series/Princess_of_Mars,_A", "url": "http://www.umich.edu/~umfandsf/other/ebooks/pmars10.txt"} +{"d:Title": "Thuvia, Maid of Mars", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Mars_Series/Thuvia,_Maid_of_Mars", "url": "http://www.umich.edu/~umfandsf/other/ebooks/mmars10.txt"} +{"d:Title": "The Warlord of Mars", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Mars_Series/Warlord_of_Mars,_The", "url": "http://www.umich.edu/~umfandsf/other/ebooks/wmars11.txt"} +{"d:Title": "The Monster Men", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Monster_Men,_The", "url": "http://www.umich.edu/~umfandsf/other/ebooks/monst10.txt"} +{"d:Title": "Literature Network: The Monster Men", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Monster_Men,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/monster_men/"} +{"d:Title": "At the Earth's Core", "d:Description": "Review of ERB's At the Earth's Core from a current perspective.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Pellucidar", "url": "http://www.sfsite.com/~silverag/burroughs.html"} +{"d:Title": "Page By Page Books: At the Earth's Core", "d:Description": "Chapter-indexed and paged HTML text of the novel.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Pellucidar", "url": "http://www.pagebypagebooks.com/Edgar_Rice_Burroughs/At_the_Earths_Core/"} +{"d:Title": "Tarzan and the Lost Empire", "d:Description": "Biography of one of the many illustrators of Tarzan novels, Armstrong Sperry.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series", "url": "http://www.ogram.org/sperry/illustrator/tarzanlostempire/index.shtml"} +{"d:Title": "Aping the Ape-Man", "d:Description": "Review of Tarzan: The Lost Adventure an uncompleted ERB manuscript turned book by Joe R. Lansdale.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series", "url": "http://www.metroactive.com/papers/metro/01.09.97/books-9702.html"} +{"d:Title": "Literature Network: Tarzan the Terrible", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series", "url": "http://www.online-literature.com/edgar_rice_burroughs/tarzan_terrible/"} +{"d:Title": "The Beasts of Tarzan", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Beasts_of_Tarzan,_The", "url": "http://www.umich.edu/~umfandsf/other/ebooks/tarz310.txt"} +{"d:Title": "Literature Network: The Beasts of Tarzan", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Beasts_of_Tarzan,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/beasts_tarzan/"} +{"d:Title": "Literature Network: The Jungle Tales of Tarzan", "d:Description": "Indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Jungle_Tales_of_Tarzan", "url": "http://www.online-literature.com/edgar_rice_burroughs/jungle_tales_tarzan/"} +{"d:Title": "The Return of Tarzan", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Return_of_Tarzan,_The", "url": "http://www.umich.edu/~umfandsf/other/ebooks/tarz210.txt"} +{"d:Title": "Literature Network: The Return of Tarzan", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Return_of_Tarzan,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/return_tarzan/"} +{"d:Title": "The Son of Tarzan", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Son_of_Tarzan,_The", "url": "http://www.umich.edu/~umfandsf/other/ebooks/tarz410.txt"} +{"d:Title": "Literature Network: The Son of Tarzan", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Son_of_Tarzan,_The", "url": "http://www.online-literature.com/edgar_rice_burroughs/son_tarzan/"} +{"d:Title": "Tarzan and the Jewels of Opar", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Tarzan_and_the_Jewels_of_Opar", "url": "http://www.umich.edu/~umfandsf/other/ebooks/tarz510.txt"} +{"d:Title": "Literature Network: Tarzan and the Jewels of Opar", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Tarzan_and_the_Jewels_of_Opar", "url": "http://www.online-literature.com/edgar_rice_burroughs/tarzan_jewels_opar/"} +{"d:Title": "Tarzan of the Apes", "d:Description": "Text of the work in plain-text format.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Tarzan_of_the_Apes", "url": "http://www.umich.edu/~umfandsf/other/ebooks/tarzn10.txt"} +{"d:Title": "Page By Page Books: Tarzan of the Apes", "d:Description": "Chapter-indexed and paged HTML text of the novel.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Tarzan_of_the_Apes", "url": "http://www.pagebypagebooks.com/Edgar_Rice_Burroughs/Tarzan_of_the_Apes/"} +{"d:Title": "Literature Network: Tarzan of the Apes", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Tarzan_of_the_Apes", "url": "http://www.online-literature.com/edgar_rice_burroughs/tarzan_apes/"} +{"d:Title": "Tarzan of the Apes", "d:Description": "by Edgar Rice Burroughs. From the Online Literature Library.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_Edgar_Rice/Works/Tarzan_Series/Tarzan_of_the_Apes", "url": "http://www.literature.org/authors/burroughs-edgar-rice/tarzan-of-the-apes/"} +{"d:Title": "Naked Lunch: The Film", "d:Description": "A review of the film by David Cronenberg.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.litkicks.com/Films/NakedLunchFilm.html"} +{"d:Title": "Sliced Bardo", "d:Description": "A memorial by Levi Asher.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.litkicks.com/SlicedBardo/"} +{"d:Title": "Obituary", "d:Description": "New York Times.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.nytimes.com/library/books/970803burroughs-obit.html"} +{"d:Title": "Storm the Reality Studio", "d:Description": "This dedicated WSB site features updated news, links, texts by Burroughs, and a community forum.", "priority": "1", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.realitystudio.org/"} +{"d:Title": "William S. Burroughs Web Memorial", "d:Description": "\" . . . living, breathing and ever growing . . . .\" An online bulletin-board where people may post there views on author and cult-figure Burroughs.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.ibiblio.org/mal/MO/wsb/"} +{"d:Title": "William Burroughs Photo Gallery (1914-1997)", "d:Description": "William Burroughs Photo Gallery with Allen Ginsberg, Anne Waldman and Patti Smith. Most are from last year of his life.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.vlib.us/beats/beatgallery/"} +{"d:Title": "Shooting Joan Burroughs", "d:Description": "Beat writer William S. Burroughs at home in Lawrence, Kansas. Original photographs of William and Allen Ginsberg included. A view of the old beat feeding his fish, talking about Beckett and about shooting his common-law wife, Joan Vollmer Burroughs.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.vlib.us/beats/shootingjoan.html"} +{"d:Title": "The Guardian: William Burroughs", "d:Description": "Profile, reviews, obituary and links.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.theguardian.com/books/2008/jun/10/williamburroughs"} +{"d:Title": "Literary Kicks: William S. Burroughs", "d:Description": "A biography with links.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S.", "url": "http://www.litkicks.com/WilliamSBurroughs"} +{"d:Title": "The Job", "d:Description": "Small excerpt from the book written with Daniel Odier.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S./Works", "url": "http://www.cs.cmu.edu/afs/cs.cmu.edu/user/ehn/Web/release/burroughs.html"} +{"d:Title": "Salt Chunk Mary", "d:Description": "Excerpt from \"The Soft Machine\".", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S./Works", "url": "http://www.cs.cmu.edu/afs/cs.cmu.edu/user/ehn/Web/release/salt-chunk.html"} +{"d:Title": "Letter From A Master Addict To Dangerous Drugs", "d:Description": "British Journal of Addiction, Vol. 53, No. 2.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S./Works", "url": "http://www.cs.cmu.edu/afs/cs.cmu.edu/user/ehn/Web/release/BurroughsLetter.html"} +{"d:Title": "Junky", "d:Description": "Information about the book.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S./Works", "url": "http://www.litkicks.com/Books/Junky.html"} +{"d:Title": "Interzone", "d:Description": "Brief excerpt from the book.", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S./Works/Interzone", "url": "http://www.cs.cmu.edu/afs/cs.cmu.edu/user/ehn/Web/release/interzone.html"} +{"d:Title": "Testimony Concerning A Sickness", "d:Description": "Excerpt from \"Naked Lunch\".", "topic": "Top/Arts/Literature/Authors/B/Burroughs,_William_S./Works/Naked_Lunch", "url": "http://www.cs.cmu.edu/afs/cs.cmu.edu/user/ehn/Web/release/testimony.html"} +{"d:Title": "Busch: Inventor of the Comic Strip", "d:Description": "An online tribute to this German artist and author of nonsense verse, including a good selection of poems, with Busch's original illustrations, translated into English.", "topic": "Top/Arts/Literature/Authors/B/Busch,_Wilhelm", "url": "http://www.rivertext.com/busch.shtml"} +{"d:Title": "Comiclopedia: Wilhelm Busch", "d:Description": "Illustrations and biography of the comic strip pioneer.", "topic": "Top/Arts/Literature/Authors/B/Busch,_Wilhelm", "url": "http://lambiek.net/artists/b/busch.htm"} +{"d:Title": "Voices from the Gaps: Octavia Butler", "d:Description": "A short biography of Butler at the Voices from the Gaps website. Includes a selected bibliography.", "topic": "Top/Arts/Literature/Authors/B/Butler,_Octavia", "url": "http://voices.cla.umn.edu/artistpages/butlerOctavia.php"} +{"d:Title": "God the Known and God the Unknown by Samuel Butler", "d:Description": "Online text of the book, from Learn Library.", "topic": "Top/Arts/Literature/Authors/B/Butler,_Samuel", "url": "http://www.learnlibrary.com/god-known/index.htm"} +{"d:Title": "Bibliomania: Samuel Butler", "d:Description": "Includes \"The Way of All Flesh\".", "topic": "Top/Arts/Literature/Authors/B/Butler,_Samuel", "url": "http://www.bibliomania.com/0/0/10/frameset.html"} +{"d:Title": "Hudibras by Samuel Butler", "d:Description": "Overview and text of the poem from Ex-Classics.", "topic": "Top/Arts/Literature/Authors/B/Butler,_Samuel,_The_Older", "url": "http://www.exclassics.com/hudibras/hbintro.htm"} +{"d:Title": "A.S. Byatt", "d:Description": "Official homepage includes news, book information, and essays by the author.", "topic": "Top/Arts/Literature/Authors/B/Byatt,_A._S.", "url": "http://www.asbyatt.com/"} +{"d:Title": "Dame Antonia Susan Byatt, Lady Byatt, DBE", "d:Description": "Life and career, The Harry Potter controversy, bibliography, prizes and awards.", "topic": "Top/Arts/Literature/Authors/B/Byatt,_A._S.", "url": "http://en.wikipedia.org/wiki/A._S._Byatt"} +{"d:Title": "The Guardian: A. S. Byatt", "d:Description": "Profile, reviews, articles and links.", "topic": "Top/Arts/Literature/Authors/B/Byatt,_A._S.", "url": "http://www.theguardian.com/books/2008/jun/10/asbyatt"} +{"d:Title": "Guardian Unlimited: How We Lost Our Sense of Smell", "d:Description": "An essay by AS Byatt on ubiquitous chemical scents.", "topic": "Top/Arts/Literature/Authors/B/Byatt,_A._S.", "url": "http://www.theguardian.com/books/2001/sep/01/scienceandnature.asbyatt"} +{"d:Title": "Allreaders A.S. Byatt Spotlight", "d:Description": "An analysis of the plot, setting, characters, theme, and structure of Byatt's works.", "topic": "Top/Arts/Literature/Authors/B/Byatt,_A._S.", "url": "http://allreaders.com/books/as-byatt-656"} +{"d:Title": "Dame A. S. Byatt", "d:Description": "Photo, biography, and list of works.", "topic": "Top/Arts/Literature/Authors/B/Byatt,_A._S.", "url": "https://literature.britishcouncil.org/writer/a-s-byatt"} +{"d:Title": "Kathryn Stripling Byer", "d:Description": "Four poems in the Cortland Review.", "topic": "Top/Arts/Literature/Authors/B/Byer,_Kathryn_Stripling", "url": "http://www.cortlandreview.com/issue/21/byer21.html"} +{"d:Title": "Closer", "d:Description": "A poem in the Blue Moon Review.", "topic": "Top/Arts/Literature/Authors/B/Byer,_Kathryn_Stripling", "url": "http://www.thebluemoon.com/poetry/ksbyer.shtml"} +{"d:Title": "Kathryn Stripling Byer", "d:Description": "Biography, photograph, and selected poems from the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/B/Byer,_Kathryn_Stripling", "url": "http://www.poets.org/poetsorg/poet/kathryn-stripling-byer"} +{"d:Title": "Literature Annotations: Byer, Kathryn Stripling", "d:Description": "Analysis of \"My Beautiful Grandmother.\"", "topic": "Top/Arts/Literature/Authors/B/Byer,_Kathryn_Stripling", "url": "http://medhum.med.nyu.edu/person/2701"} +{"d:Title": "George \"Don Juan\" Gordon, Lord Byron", "d:Description": "The Incompetech website's somewhat satirical take on Byron.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://www.incompetech.com/authors/byron/"} +{"d:Title": "The Byron Discussion List", "d:Description": "For discussing of specific works being read and discussed by participants.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://homepages.ihug.co.nz/~awoodley/Byron.html"} +{"d:Title": "Excitement, Byron, and the Trouble about Sex", "d:Description": "Articles by Eli Siegel and by Ellen Reiss in the journal The Right of Aesthetic Realism to Be Known.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://www.elisiegel.net/Byron_Tro1380.htm"} +{"d:Title": "Astrocartography of Lord Byron", "d:Description": "How the planetary metaphor of Mars was reflected in Byron's life and work", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://www.dominantstar.com/b_byr.htm"} +{"d:Title": "Crede Byron", "d:Description": "Byron's life and work, including the history and photos of his home Newstead Abbey, and selected poems.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://www.praxxis.co.uk/credebyron/index.htm"} +{"d:Title": "The real Lord Byron; new views of the poet's life", "d:Description": "Full text by John Cordy Jeaffeeson, published in 1883.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://openlibrary.org/b/OL20593565M/The-Real-Lord-Byron:-New-Views-of-the-Poet's-Life"} +{"d:Title": "The Body of Lord Byron", "d:Description": "Details on the burial location and disposition of Byron's physical remains.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://www.xs4all.nl/~androom/index.htm?dead/story002.htm"} +{"d:Title": "The Guardian: Byron", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://www.theguardian.com/books/2008/jun/10/lordbyron"} +{"d:Title": "IMDb: Lord Byron", "d:Description": "Filmography, and detailed biography.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://www.imdb.com/name/nm0126406/"} +{"d:Title": "Byron: A Comprehensive Study of His Life and Work", "d:Description": "An archive of material relating to Byron, including criticism.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon", "url": "http://englishhistory.net/byron/"} +{"d:Title": "Fragment of a Novel", "d:Description": "Text of the short story in HTML format.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon/Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_frag.htm"} +{"d:Title": "George Gordon Byron - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon/Works", "url": "http://www.theotherpages.org/poems/byron01.html"} +{"d:Title": "Poetry Archive: Lord Byron", "d:Description": "An index of poems.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon/Works", "url": "http://www.poetry-archive.com/b/byron_george_gordon.html"} +{"d:Title": "She Walks in Beauty", "d:Description": "Text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon/Works", "url": "http://www.naic.edu/~gibson/poems/byron1.html"} +{"d:Title": "So We'll Go No More A-Roving", "d:Description": "Text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon/Works", "url": "http://www.naic.edu/~gibson/poems/byron2.html"} +{"d:Title": "Byron's Don Juan", "d:Description": "Annotated online version.", "topic": "Top/Arts/Literature/Authors/B/Byron,_George_Gordon/Works", "url": "http://bob.fooguru.org/content/byron/donjuan/donjuan.htm"} +{"d:Title": "Craig, Amanda", "d:Description": "Author and journalist whose works include 'In a Dark Wood' and 'A Vicious Circle.' Biography, books, and articles.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.amandacraig.com/"} +{"d:Title": "Caldwell, Erskine", "d:Description": "Author of \"Tobacco Road\" and \"God's Little Acre\". Biography, publications, and resources.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://id.mind.net/~fletch/"} +{"d:Title": "Clay, Alan", "d:Description": "Biography and information on his novels \"Believers in Love\" and \"Dance Sisters.\"", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.artmedia.com.au/alanclay.htm"} +{"d:Title": "Carey, Jacqueline", "d:Description": "Author's site includes biography, information and reviews of her works, and excerpts from \"The Crossley Baby.\"", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.therealjacquelinecarey.com/"} +{"d:Title": "Chambers, Veronica", "d:Description": "Author's site contains biography, book descriptions, book tour details, favorite recipes and contact information.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.veronicachambers.com/"} +{"d:Title": "Cooper, T. L.", "d:Description": "Author's site includes short stories, poetry, and a sample chapter from her novel, \"All She Ever Wanted.\"", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.tlcooper.com/"} +{"d:Title": "Coram, Robert", "d:Description": "Includes author's biography, reviews, photos and contact information.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.robertcoram.com/"} +{"d:Title": "Chun, Pam", "d:Description": "Author shares her family history and the historical documents and photos from the National Archives that led her to write the saga of Hawaiian entrepreneur L. Ah Leong.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://members.authorsguild.net/pamchun/"} +{"d:Title": "Crew, Linda", "d:Description": "Author's site includes biographical information, a bibliography, contact information and pictures.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.lindacrew.com/"} +{"d:Title": "Cockrell, Amanda", "d:Description": "Author's web site includes biographical information, photos, an interview, and information on her titles.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.amandacockrell.com/"} +{"d:Title": "Cottle, John", "d:Description": "Author of the short story collection, \"The Blessings of Hard-Used Angels,\" winner of the 2003 George Garrett Fiction Prize.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://johncottle.net/"} +{"d:Title": "Cook, K.L.", "d:Description": "K.L. Cook is the author of the award-winning collection of linked stories, \"Last Call.\"", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.klcook.net/"} +{"d:Title": "Cunningham, M. Allen", "d:Description": "The official site for M. Allen Cunningham, author of \"The Green Age of Asher Witherow.\" Information about the novel, tour information, links to short fiction, excerpts, and a weblog.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.mallencunningham.com/"} +{"d:Title": "Charyn, Jerome", "d:Description": "Author's biography, an article, and some information about his books.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://jerome-charyn.00author.com/"} +{"d:Title": "Ciabattari, Jane", "d:Description": "Author's site includes reviews of her work, book sales, and links to her other published short stories, articles, and interviews.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.janeciabattari.com/"} +{"d:Title": "Conrad, Peter", "d:Description": "A review of Modern Time, Modern Places by the Marxist critic Terry Eagleton.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.lrb.co.uk/v20/n22/eagl01_.html"} +{"d:Title": "Crowther, Ray", "d:Description": "Author's site contains biography, reviews and excerpts from his novels.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://s113443122.websitehome.co.uk/RC/Author/"} +{"d:Title": "Cook Michael Lee", "d:Description": "Official site, with short stories, biography, and journal.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.literatureisnotdead.com/"} +{"d:Title": "Crombie, Deborah", "d:Description": "Author's website includes her biography and sample chapters from nine of her novels.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.deborahcrombie.com/"} +{"d:Title": "Castellani, Christopher", "d:Description": "Author's website includes news, reviews, biography, recommended reading and links.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.chriscastellani.com/"} +{"d:Title": "Csirmaz, Elod Pal", "d:Description": "Featuring information on published works and scholarly activity.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://www.epcsirmaz.com/"} +{"d:Title": "Cowley, Joseph", "d:Description": "Information about the author and the various books he has published.", "topic": "Top/Arts/Literature/Authors/C", "url": "http://sbprabooks.com/josephcowley/"} +{"d:Title": "George Washington Cable (1844-1925)", "d:Description": "List of primary works and a selected bibliography at Perspectives in American Literature.", "topic": "Top/Arts/Literature/Authors/C/Cable,_George_Washington", "url": "http://www.csustan.edu/english/reuben/pal/chap5/cable.html"} +{"d:Title": "George Washington Cable, 1844-1925", "d:Description": "Biography of the Southern writer and social critic.", "topic": "Top/Arts/Literature/Authors/C/Cable,_George_Washington", "url": "http://docsouth.unc.edu/southlit/cablecreole/bio.html"} +{"d:Title": "George Washington Cable", "d:Description": "Classroom study guide to the 19th-century American writer.", "topic": "Top/Arts/Literature/Authors/C/Cable,_George_Washington", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/cable.html"} +{"d:Title": "The New Arrival", "d:Description": "George Washington Cable's 19th-century poem to his daughter.", "topic": "Top/Arts/Literature/Authors/C/Cable,_George_Washington/Works", "url": "http://www.bartleby.com/248/1208.html"} +{"d:Title": "John March, Southerner", "d:Description": "HTML edition of George W. Cable's novel about a Southern youth.", "topic": "Top/Arts/Literature/Authors/C/Cable,_George_Washington/Works", "url": "http://docsouth.unc.edu/southlit/cablemarch/menu.html"} +{"d:Title": "The Grandissimes: A Story of Creole Life", "d:Description": "HTML edition of G.W. Cable's novel.", "topic": "Top/Arts/Literature/Authors/C/Cable,_George_Washington/Works", "url": "http://docsouth.unc.edu/southlit/cablegrand/menu.html"} +{"d:Title": "Meg Cabot", "d:Description": "Author's site includes biography and book ordering information.", "topic": "Top/Arts/Literature/Authors/C/Cabot,_Meg", "url": "http://www.megcabot.com/"} +{"d:Title": "Abraham Cahan: Classroom Issues and Strategies", "d:Description": "Suggestions for teaching Cahan in the classroom.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham", "url": "http://www.georgetown.edu/faculty/bassr/heath/syllabuild/iguide/cahan.html"} +{"d:Title": "Abraham Cahan (1860-1951)", "d:Description": "An introduction to the influential Jewish immigrant writer.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham", "url": "http://www.learner.org/amerpass/unit09/authors-2.html"} +{"d:Title": "Jewish Virtual Library: Abraham Cahan", "d:Description": "Biography of the influential Yiddish writer, with particular emphasis on his career as a journalist.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham", "url": "http://www.jewishvirtuallibrary.org/jsource/biography/cahan.html"} +{"d:Title": "The Heath Anthology of American Literature: Abraham Cahan", "d:Description": "Biography of the Jewish-American author and discussion of his literary legacy.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham", "url": "http://college.cengage.com/english/lauter/heath/4e/students/author_pages/late_nineteenth/cahan_ab.html"} +{"d:Title": "Jewish Encyclopedia: Abraham Cahan", "d:Description": "1901 biography of the Russian-American novelist and labor leader, with particular emphasis on his socialist activities.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham", "url": "http://www.jewishencyclopedia.com/articles/3891-cahan-abraham"} +{"d:Title": "Spartacus Educational: Abraham Cahan", "d:Description": "Short biography for schoolchildren. Includes portrait.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham", "url": "http://spartacus-educational.com/USAcahan.htm"} +{"d:Title": "The Rise of Abraham Cahan", "d:Description": "The story of the immigrant journalist and novelist.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham", "url": "http://www.myjewishlearning.com/article/abraham-cahan/"} +{"d:Title": "Yekl: A Tale of the New York Ghetto", "d:Description": "1896 novella upon which the movie \"Hester Street\" was based. Available in several formats.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham/Works", "url": "http://www.eldritchpress.org/cahan/yekl.htm"} +{"d:Title": "The Imported Bridegroom, and Other Stories", "d:Description": "Full text of the 1898 book, in HTML.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham/Works", "url": "http://www.eldritchpress.org/cahan/imbg.htm"} +{"d:Title": "The Rise of David Levinsky", "d:Description": "HTML text of Cahan's novel about a Jewish immigrant who becomes assimilated.", "topic": "Top/Arts/Literature/Authors/C/Cahan,_Abraham/Works/The_Rise_of_David_Levinsky", "url": "http://www.eldritchpress.org/cahan/rdl.htm"} +{"d:Title": "CNN: Tim Cahill chats about the IMAX film Dolphins", "d:Description": "Chat transcript.", "topic": "Top/Arts/Literature/Authors/C/Cahill,_Tim", "url": "http://www.cnn.com/chat/transcripts/2000/3/cahill/"} +{"d:Title": "Travel Writers: Tim Cahill", "d:Description": "Interview about the author's book, Lost in My Own Backyard.", "topic": "Top/Arts/Literature/Authors/C/Cahill,_Tim", "url": "http://www.rolfpotts.com/writers/cahill.php"} +{"d:Title": "Savvy Traveler: Taking a Dip in Shark Alley with Tim Cahill", "d:Description": "An interview in Real Audio format.", "topic": "Top/Arts/Literature/Authors/C/Cahill,_Tim", "url": "http://savvytraveler.publicradio.org/show/features/1998/19981024/cahill.shtml"} +{"d:Title": "Mother Jones: Wild Life: An Interview With Tim Cahill", "d:Description": "Interview by Erik Kancler about Cahill's writing and his book Lost In My Own Backyard.", "topic": "Top/Arts/Literature/Authors/C/Cahill,_Tim", "url": "http://www.motherjones.com/news/qa/2005/03/cahill.html"} +{"d:Title": "New York Times: Far Out", "d:Description": "A review by Ted Conover of Pass the Butterworms, in which \"there is adventure and, most important, there is Tim Cahill, with his winning, if corny, sense of humor.\"", "topic": "Top/Arts/Literature/Authors/C/Cahill,_Tim/Reviews", "url": "http://www.nytimes.com/books/97/03/23/reviews/970323.23conovet.html"} +{"d:Title": "James M. Cain", "d:Description": "Rara-Avis bibliography of the hardboiled fictionwriter.", "topic": "Top/Arts/Literature/Authors/C/Cain,_James_M.", "url": "http://www.miskatonic.org/rara-avis/biblio/jmcain.html"} +{"d:Title": "Dark City: James M. Cain", "d:Description": "Biography, photo and bibliography from the Film and Roman Noir site.", "topic": "Top/Arts/Literature/Authors/C/Cain,_James_M.", "url": "http://www.eskimo.com/~noir/btitles/cain/index.shtml"} +{"d:Title": "IMDb: James M. Cain", "d:Description": "Filmography of movies adapted from the mystery author's novels and novellas.", "topic": "Top/Arts/Literature/Authors/C/Cain,_James_M.", "url": "http://www.imdb.com/name/nm0128906/"} +{"d:Title": "Prince of Darkness", "d:Description": "Article on writer James M. Cain's difficult relationship with Hollywood and the adaptations of his novels into film noirs.", "topic": "Top/Arts/Literature/Authors/C/Cain,_James_M.", "url": "http://www.theguardian.com/film/2001/apr/13/features"} +{"d:Title": "Thomas Henry Hall Caine, 1853-1931", "d:Description": "Biography, genealogy, bibliography, and photos related to the Manx writer.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall", "url": "http://www.isle-of-man.com/manxnotebook/people/writers/thc.htm"} +{"d:Title": "The Sir Hall Caine Papers", "d:Description": "Finding aid for a special collection at Georgetown University. Includes biography and an overview of the collection.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall", "url": "http://www.library.georgetown.edu/dept/speccoll/cl97.htm"} +{"d:Title": "Caine (Sir Hall) Papers", "d:Description": "Finding aid for a special collection held by the University of California, Los Angeles, Library.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall", "url": "http://www.oac.cdlib.org/findaid/ark:/13030/kt1v19n78f"} +{"d:Title": "Caine MSS.", "d:Description": "Description of a collection of manuscripts at Indiana University.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall", "url": "http://www.indiana.edu/~liblilly/lilly/mss/html/caine.html"} +{"d:Title": "Sir Hall Caine (1853-1931), Novelist", "d:Description": "List and descriptions of portraits held at the National Portrait Gallery, London.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp00699"} +{"d:Title": "IMDb: Hall Caine", "d:Description": "Filmography. Novels and plays which have been made into films.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall", "url": "http://imdb.com/name/nm0128991/"} +{"d:Title": "Hall Caine (1853-1931): An Overview", "d:Description": "Portrait, biography, and select bibliography of the \"Bard of Manxland.\" From the Victorian Web.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall", "url": "http://www.victorianweb.org/authors/caine/index.html"} +{"d:Title": "The Scapegoat", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall/Works", "url": "http://www.worldwideschool.org/library/books/lit/drama/TheScapegoat/toc.html"} +{"d:Title": "The Christian", "d:Description": "A review of Hall Caine's 1897 book from a Christian perspective.", "topic": "Top/Arts/Literature/Authors/C/Caine,_Hall/Works", "url": "http://www.worldwideschool.org/library/books/lit/socialcommentary/BrannTheIconoclastVol-10/chap28.html"} +{"d:Title": "Paula Cooper Gallery - Take Care of Yourself", "d:Description": "An exhibition of Sophie Calle, featuring a body of work created for the French Pavilion of the 2007 Venice Biennale. April 9 - June 6, 2009", "topic": "Top/Arts/Literature/Authors/C/Calle,_Sophie", "url": "http://www.paulacoopergallery.com/exhibitions/56"} +{"d:Title": "Metropolitan Museum of Art - Sophie Calle Blind #14", "d:Description": "Text panel, Gelatin silver print, and two chromogenic prints at the Metropolitan Museum of Art.", "topic": "Top/Arts/Literature/Authors/C/Calle,_Sophie", "url": "http://www.metmuseum.org/toah/works-of-art/2000.409a-d"} +{"d:Title": "New York Times - Maria, Myself and I", "d:Description": "Book review of Double Game, a book by Sophie Calle in the New York Times.", "topic": "Top/Arts/Literature/Authors/C/Calle,_Sophie", "url": "http://www.nytimes.com/books/00/07/09/reviews/000709.09martint.html"} +{"d:Title": "Art Observed - Sophie Calle", "d:Description": "Describes an exhibition of the artist and author at the Louisiana Museum of Modern Art, in Denmark.", "topic": "Top/Arts/Literature/Authors/C/Calle,_Sophie", "url": "http://artobserved.com/2010/07/go-see-denmark-sophie-calle-at-the-louisiana-museum-of-modern-art-through-october-24th-2010/"} +{"d:Title": "Italo Calvino as Author/Game-master", "d:Description": "Essay on the metafictive elements of \"If on a winter's night a traveler\" from a literary theory perspective.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo", "url": "http://www.public.asu.edu/~dgilfill/digitaltexts/final_projects/moos/"} +{"d:Title": "Guardian Unlimited: Italo Calvino", "d:Description": "Five critical articles and a biographical sketch of the metafictional author.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo", "url": "http://www.theguardian.com/books/2008/jun/10/italocalvino"} +{"d:Title": "In Calvino Veritas: The Critical Calvino Page", "d:Description": "Online collection of essays on the author's allegorical fantasies.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo", "url": "http://www.sccs.swarthmore.edu/users/00/pwillen1/lit/index2.htm"} +{"d:Title": "Great Science-Fiction&Fantasy Works: Italo Calvino", "d:Description": "Critical discussion and excerpts from the fantasy author's works.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo", "url": "http://greatsfandf.com/AUTHORS/ItaloCalvino.php"} +{"d:Title": "Outside the town of Malbork", "d:Description": "Biography of the Italian fantasist and discussion of the importance of his works. Bibliography, quotes, book reviews, and links.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo", "url": "http://www.tcomer.faculty.defiance.edu/calvino/"} +{"d:Title": "OVI", "d:Description": "A series of collages by artist Shirley Sharoff inspired by Calvino's science-fiction opus Cosmicomics.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo/Fan_Fiction", "url": "http://colophon.com/sharoff/ovi.html"} +{"d:Title": "Swarthmore College: Invisible Cities", "d:Description": "Etext excerpts from Calvino's most famous work.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo/Works", "url": "http://www.sccs.swarthmore.edu/users/00/pwillen1/lit/citysum.htm"} +{"d:Title": "How Much Shall We Bet?", "d:Description": "Excerpt from the 1965 science-fiction novel Cosmicomics.", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo/Works", "url": "http://www.sccs.swarthmore.edu/users/00/pwillen1/lit/bet.htm"} +{"d:Title": "Swarthmore: The Soft Moon", "d:Description": "Includes an excerpt from the author's book, \"T Zero.\"", "topic": "Top/Arts/Literature/Authors/C/Calvino,_Italo/Works", "url": "http://www.sccs.swarthmore.edu/users/00/pwillen1/lit/moon.htm"} +{"d:Title": "Ada Cambridge", "d:Description": "Biography, portrait, and photo of her headstone. From Brighton Cemetery in Melbourne, where she is buried.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada", "url": "http://www.brightoncemetery.com/HistoricInterments/150Names/cambridgea.htm"} +{"d:Title": "Ada Cambridge Cross", "d:Description": "Portrait, very brief biographical profile, selected bibliography, list of some individual hymns she wrote.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada", "url": "http://www.hymntime.com/tch/bio/c/r/o/cross_ac.htm"} +{"d:Title": "Sisters", "d:Description": "Based on a 1904 publication. In PDF, at SETIS. 764K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://purl.library.usyd.edu.au/setis/id/p00029"} +{"d:Title": "At Midnight and Other Stories", "d:Description": "Plain text, at Project Gutenberg of Australia. 390K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://www.gutenberg.net.au/ebooks02/0200651.txt"} +{"d:Title": "At Midnight and Other Stories", "d:Description": "HTML transcription of an 1897 illustrated book. The text itself is 473K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://www.indiana.edu/~letrs/vwwp/cambridge/atmidnite.html"} +{"d:Title": "The Hand in the Dark and Other Poems", "d:Description": "HTML transcription of 1913 book. 111K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://www.indiana.edu/~letrs/vwwp/cambridge/hand.html"} +{"d:Title": "The Manor House and Other Poems", "d:Description": "HTML transcription of 1875 book. 243K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://www.indiana.edu/~letrs/vwwp/cambridge/manor.html"} +{"d:Title": "Unspoken Thoughts", "d:Description": "An 1887 collection of poetry, some of it religious. In PDF. 213K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://purl.library.usyd.edu.au/setis/id/v00026"} +{"d:Title": "At Midnight", "d:Description": "Collection of short fiction. In PDF, at SETIS. 612K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://purl.library.usyd.edu.au/setis/id/p00010"} +{"d:Title": "The Perversity of Human Nature", "d:Description": "Fiction. A marriage, perhaps entered too lightly, between a Melbourne businessman and an orphaned Englishwoman. In PDF. 327K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://purl.library.usyd.edu.au/setis/id/p00073"} +{"d:Title": "The Hand in the Dark", "d:Description": "A 1913 collection of verse. In PDF, at SETIS. 212K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://purl.library.usyd.edu.au/setis/id/v00017"} +{"d:Title": "The Manor House", "d:Description": "1875 collection of poetry. In PDF, at SETIS. 333K.", "topic": "Top/Arts/Literature/Authors/C/Cambridge,_Ada/Works", "url": "http://purl.library.usyd.edu.au/setis/id/v00045"} +{"d:Title": "Luis de Cam\u00f5es", "d:Description": "Biographical information and links.", "topic": "Top/Arts/Literature/Authors/C/Cam\u00f5es,_Lu\u00eds_de", "url": "http://en.wikipedia.org/wiki/Luis_de_Cam%C3%B5es"} +{"d:Title": "Luis de Cam\u00f5es", "d:Description": "Fourteen sonnets by the poet, and a link to other sonnets in the original Portuguese.", "topic": "Top/Arts/Literature/Authors/C/Cam\u00f5es,_Lu\u00eds_de/Works", "url": "http://www.sonnets.org/camoes.htm"} +{"d:Title": "IMDb: Wadsworth Camp", "d:Description": "Filmography.", "topic": "Top/Arts/Literature/Authors/C/Camp,_Wadsworth", "url": "http://imdb.com/name/nm0132017/"} +{"d:Title": "AFI Catalog: Wadsworth Camp", "d:Description": "Silent feature films made after 1911, based on Camp's books and stories. Casts, credits, plot summaries.", "topic": "Top/Arts/Literature/Authors/C/Camp,_Wadsworth", "url": "http://www.afi.com/members/catalog/SearchResult.aspx?s=1&TBL=PN&Type=YP&ID=152913&pName=+Wadsworth+Camp"} +{"d:Title": "John T. Campbell", "d:Description": "Author's site includes synopses and reviews of his technothriller books and descriptions of his unpublished works.", "topic": "Top/Arts/Literature/Authors/C/Campbell,_John_T.", "url": "http://tekauthor.tripod.com/home.html"} +{"d:Title": "Rafael Campo", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/C/Campo,_Rafael", "url": "http://www.poets.org/poetsorg/poet/rafael-campo"} +{"d:Title": "Electric Library: Albert Camus", "d:Description": "Biography.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert", "url": "http://www.imagi-nation.com/moonstruck/clsc40.html"} +{"d:Title": "Albert Camus Photo Gallery", "d:Description": "Collection of pictures of the author.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert", "url": "http://www.inch.com/~ari/ac1.html"} +{"d:Title": "Grave of Albert Camus", "d:Description": "Black and white photograph of Camus and his burial place in Lourmarin Cemetery, France.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2232"} +{"d:Title": "Today in Literature: Albert Camus", "d:Description": "Biographical stories about Camus's life and works, including \"The Outsider\" and \"The First Man.\" Requires free registration to read full articles.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert", "url": "http://www.todayinliterature.com/biography/albert.camus.asp"} +{"d:Title": "Solitaire et Solidaire", "d:Description": "Interview with Catherine Camus about her father's book The First Man. By Russell Wilkinson. At Spike magazine.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert", "url": "http://www.spikemagazine.com/0397camu.php"} +{"d:Title": "Critical Interpretation Homepage: Albert Camus", "d:Description": "Includes a selection of essays by the author himself and a short biography, as well as criticism.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert", "url": "http://www.sccs.swarthmore.edu/users/00/pwillen1/lit/indexa.htm"} +{"d:Title": "The Nobel Prize in Literature 1957", "d:Description": "Includes biography, transcripts of the prize presentation and Camus' acceptance speech, and links to related sites.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1957/"} +{"d:Title": "The Absurd Hero", "d:Description": "An overview of The Myth of Sisyphus.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert/Works", "url": "http://www.levity.com/corduroy/camusabs.htm"} +{"d:Title": "The Minotaur", "d:Description": "Brief essay.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert/Works", "url": "http://www.sccs.swarthmore.edu/users/00/pwillen1/lit/minot.htm"} +{"d:Title": "Allreaders: Albert Camus Spotlight", "d:Description": "Questionnaire format reviews of The Stranger.", "topic": "Top/Arts/Literature/Authors/C/Camus,_Albert/Works", "url": "http://allreaders.com/books/albert-camus-419"} +{"d:Title": "John Canaday", "d:Description": "The Academy of American Poets presents a biography, photograph, and links.", "topic": "Top/Arts/Literature/Authors/C/Canaday,_John", "url": "http://www.poets.org/poetsorg/poet/john-canaday"} +{"d:Title": "Elias Canetti, Winner of the 1981 Nobel Prize in Literature", "d:Description": "Elias Canetti, a Nobel Prize Laureate in Literature, at the Nobel Prize Internet Archive.", "topic": "Top/Arts/Literature/Authors/C/Canetti,_Elias", "url": "http://www.almaz.com/nobel/literature/1981a.html"} +{"d:Title": "Nobel Prize for Literature 1981: Elias Canetti", "d:Description": "Press release and curriculum vitae.", "topic": "Top/Arts/Literature/Authors/C/Canetti,_Elias", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1981/press.html"} +{"d:Title": "Hatrack River", "d:Description": "The official site. Contains biographical information, online library, book descriptions, several complete short stories, reviews by the author, forums, chat, calendar, interviews, and a regularly updated column on writing.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott", "url": "http://www.hatrack.com/"} +{"d:Title": "OSC List Gallery", "d:Description": "News, transcripts of online interviews and chats with the author, archived mailing list, web rings, poetry, and relations of meetings with Card.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott", "url": "http://www.timp.net/osclistgallery/"} +{"d:Title": "A Conversation With Orson Scott Card", "d:Description": "A conversation with the author by Claire White, as published in The Internet Writing Journal in September of 1999.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott", "url": "http://www.writerswrite.com/journal/sep99/card.htm"} +{"d:Title": "Salon Books: My Favorite Author, My Worst Interview", "d:Description": "Interview with the author on February 3, 2000 following the publication of Ender's Shadow.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott", "url": "http://www.salon.com/2000/02/03/card/"} +{"d:Title": "Fantastic Fiction: Orson Scott Card", "d:Description": "A bibliography of Orson Scott Card's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Bibliographies", "url": "https://www.fantasticfiction.com/c/orson-scott-card/"} +{"d:Title": "Bean's Place", "d:Description": "A tribute to Orson Scott Card's Ender's Game.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://www.angelfire.com/nh/kc/"} +{"d:Title": "Ender's Game Domain", "d:Description": "A fansite dedicated to the novel.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://www.angelfire.com/or/PeterWiggin/"} +{"d:Title": "The Unofficial Virtual Battle School", "d:Description": "Based on the virtual battle school, a component of \"Ender's Game\", this is an online game utilizing a forum that exists on the author's board, Hatrack River.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://www.angelfire.com/ut/battleschool/"} +{"d:Title": "Ender.Net", "d:Description": "An Ender's Game site. Includes an excerpt, movie news, list of armies, mistakes, quotes, book covers, and a quiz.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://endernet000.tripod.com/"} +{"d:Title": "Ender's Game Depository", "d:Description": "Ender's Game movie updates, news, and trivia.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://www.angelfire.com/mo/Wiggin/Ender.html"} +{"d:Title": "SF Site Featured Review: Ender's Shadow", "d:Description": "Steven H. Silver review of the author's book, with links to SF Site reviews of the author's books \"Homebody\", \"Heartfire\", and \"Enchantment\".", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://www.sfsite.com/09b/end65.htm"} +{"d:Title": "Ender and Shadow Series Quotes", "d:Description": "Contains quotations the Ender Series and Shadow Series books, written by Orson Scott Card.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://www.angelfire.com/yt2/juliandelphinki/index.html"} +{"d:Title": "Ender's Game Series", "d:Description": "Dedicated to the famous series by Orson Scott Card. Includes forum, polls, links, and reviews.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://enderseries.tripod.com/"} +{"d:Title": "The Philotic Web", "d:Description": "Dedicated to exploring Orson Scott Card's Ender novels. Contains searchable encyclopedia and a forum.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://www.philoticweb.net/"} +{"d:Title": "Ender's Game Movie News", "d:Description": "Weblog about the upcoming Ender's Game movie.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://endersgamemovie.blogspot.com/"} +{"d:Title": "Fantastic Reviews:: Shadow of the Giant", "d:Description": "Review of Orson Scott Card's fourth book in the Bean sequence, set in the Ender universe.", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://fantasticreviews.com/shadow_giant.htm"} +{"d:Title": "Tal Cohen's Bookshelf: The Ender Wiggin Series", "d:Description": "Reviews and ratings of \"Ender's Game\", \"Speaker for the Dead\", \"Xenocide\", \"Children of the Mind\", and \"Ender's Shadow\".", "topic": "Top/Arts/Literature/Authors/C/Card,_Orson_Scott/Reviews", "url": "http://tal.forum2.org/ender"} +{"d:Title": "Richard Carew", "d:Description": "Dates of birth and death, his parents and children, and a biography.", "topic": "Top/Arts/Literature/Authors/C/Carew,_Richard", "url": "http://www.tudorplace.com.ar/Bios/RichardCarew.htm"} +{"d:Title": "Thomas Carew (1594-1640)", "d:Description": "Webpages at luminarium.org devoted to the author and poet, including biographical and historical information, a timeline, essays and criticism, links to online texts and to further resources on the internet.", "topic": "Top/Arts/Literature/Authors/C/Carew,_Thomas", "url": "http://www.luminarium.org/sevenlit/carew/"} +{"d:Title": "Australian Authors: Peter Carey", "d:Description": "Biography, bibliography and excerpts from reviews of his works. By Perry Middlemiss.", "topic": "Top/Arts/Literature/Authors/C/Carey,_Peter", "url": "http://www.middlemiss.org/lit/authors/careyp/careyp.html"} +{"d:Title": "The Guardian: Peter Carey", "d:Description": "Introduction to the author, plus news articles and reviews of his works.", "topic": "Top/Arts/Literature/Authors/C/Carey,_Peter", "url": "http://www.theguardian.com/books/2008/jun/10/petercarey"} +{"d:Title": "The Carlyle Letters Online", "d:Description": "The collected letters of Thomas and Jane Welsh Carlyle.", "topic": "Top/Arts/Literature/Authors/C/Carlyle,_Thomas", "url": "http://carlyleletters.dukeupress.edu/"} +{"d:Title": "17th Street", "d:Description": "Dedicated to The Alienist and The Angel of Darkness. Features information about the books, the author, and the era in which the books are set.", "topic": "Top/Arts/Literature/Authors/C/Carr,_Caleb", "url": "http://www.17thstreet.net/"} +{"d:Title": "Jorge Carrera Andrade", "d:Description": "Feature on the Ecuadoran poet in The Drunken Boat.", "topic": "Top/Arts/Literature/Authors/C/Carrera_Andrade,_Jorge", "url": "http://www.thedrunkenboat.com/andradefeat.htm"} +{"d:Title": "Jorge Carrera Andrade in America", "d:Description": "An introduction to the Jorge Carrera Andrade feature in Jacket # 12, by Steven Ford Brown. With links to other articles on the Ecuadorian poet.", "topic": "Top/Arts/Literature/Authors/C/Carrera_Andrade,_Jorge", "url": "http://jacketmagazine.com/12/andr-intro-brown.html"} +{"d:Title": "Poems", "d:Description": "Translated by Steven Ford Brown (from Jacket # 12).", "topic": "Top/Arts/Literature/Authors/C/Carrera_Andrade,_Jorge/Works", "url": "http://jacketmagazine.com/12/andr-poems.html"} +{"d:Title": "Leonora Carrington", "d:Description": "Links to other sites.", "topic": "Top/Arts/Literature/Authors/C/Carrington,_Leonora", "url": "http://www.hungryflower.com/leorem/carrington.html"} +{"d:Title": "Catholicboy.com", "d:Description": "Everything by and about poet/diarist/performer Jim Carroll, author of \"The Basketball Diaries.\"", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Jim", "url": "http://www.catholicboy.com/"} +{"d:Title": "Lewis Carroll Society of North America (LCSNA)", "d:Description": "A non-profit organization dedicated to furthering Carroll studies. Site features publications, meetings and announcements. The Lewis Carroll Home Page offers links to other Carroll sites.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://www.lewiscarroll.org/"} +{"d:Title": "Tabula Rasa: Lewis Carroll", "d:Description": "An article looking at Carroll's work and his influence on modern writing.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://www.tabula-rasa.info/DarkAges/LewisCarroll.html"} +{"d:Title": "Lewis Carroll", "d:Description": "A brief biography, appraisal of his work, and bibliography.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://www.ourcivilisation.com/smartboard/shop/hudsond/carroll/index.htm"} +{"d:Title": "The Lewis Carroll Society", "d:Description": "A non-profit organization seeking to promote research in the life and works of Lewis Carroll. Information about membership and upcoming publications is provided.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://lewiscarrollsociety.org.uk/"} +{"d:Title": "Lewis Carroll Discussion List", "d:Description": "An active discussion list with extensive archives; members include Carroll scholars. For anyone interested in Carroll's life and work.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://groups.yahoo.com/group/lewiscarroll/"} +{"d:Title": "The Lewis Carroll Scrapbook Collection", "d:Description": "The contents of a scrapbook kept by Carroll from 1855 to 1871. Maintained by the Library of Congress.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://international.loc.gov/intldl/carrollhtml/lchome.html"} +{"d:Title": "Alice, Harry Potter and the Computer Game", "d:Description": "A study of the relationship between children's fantasy adventure stories and interactive computer games.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://www.literature-study-online.com/essays/alice_video.html"} +{"d:Title": "Lewis Carroll", "d:Description": "Scholarly-oriented pages on Carroll and his work, as seen from many angles (religious, historical, and political) at the Victorian Web.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://www.victorianweb.org/authors/carroll/index.html"} +{"d:Title": "Rev. Charles Lutwidge Dodgson", "d:Description": "Contains Dodgson/Carroll's work as an amateur photographer; with reproductions of his photographs.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://www.people.virginia.edu/~ds8s/carroll/dodgson.html"} +{"d:Title": "Lewis Carroll", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis", "url": "http://www.poets.org/poetsorg/poet/lewis-carroll"} +{"d:Title": "Jabberwocky", "d:Description": "An early translation into Latin, side-by-side with Carroll's original.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works", "url": "http://www.ruthannzaroff.com/wonderland/jabberwocky.htm"} +{"d:Title": "Bibliomania: Lewis Carroll", "d:Description": "Texts of Carroll's works, including short stories and poems, and a brief introduction to the author.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works", "url": "http://www.bibliomania.com/Fiction/Caroll/"} +{"d:Title": "Lewis Carroll", "d:Description": "The Online Literature Library's archive of Carroll's major works, including \"Alice in Wonderland\" with original Tenniel illustrations.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works", "url": "http://www.literature.org/authors/carroll-lewis/"} +{"d:Title": "Classic Bookshelf - Lewis Carroll", "d:Description": "The works of Lewis Carroll free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works", "url": "http://www.classicbookshelf.com/library/Carroll/"} +{"d:Title": "Lewis Carroll Books Online", "d:Description": "E-texts of major works, both poetry and prose.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works", "url": "http://www.selfknowledge.com/76au.htm"} +{"d:Title": "Carroll, Lewis", "d:Description": "TheFreeLibrary provides e-texts of Carroll's major works, famous quotes, and information on his life.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works", "url": "http://carroll.thefreelibrary.com/"} +{"d:Title": "Through the Looking Glass", "d:Description": "Contains the book to read online. From World Wide School.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works", "url": "http://www.worldwideschool.org/library/books/youth/alice/ThroughtheLookingGlass/toc.html"} +{"d:Title": "Alice in Wonderland - An Interactive Adventure", "d:Description": "\"An interactive website of Java games, and word puzzles featuring the characters from Lewis Carroll's Alice in Wonderland.\"", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books", "url": "http://www.ruthannzaroff.com/wonderland/"} +{"d:Title": "Lenny's Alice in Wonderland Site", "d:Description": "Background information, pictures, full texts, story origins, literary analyses, Disney's movie, and the text of a lost chapter of \"Through the Looking Glass.\"", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books", "url": "http://www.alice-in-wonderland.net/"} +{"d:Title": "Lewis Carroll: Theme and Subject", "d:Description": "Essays about the Alice series.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books", "url": "http://www.victorianweb.org/authors/carroll/themeov.html"} +{"d:Title": "Personal Best: Alice in Wonderland", "d:Description": "Essay by Joyce Carol Oates, at Salon.com.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books", "url": "http://www.salon.com/1996/09/30/carroll_3/"} +{"d:Title": "Alice in Wonderland Illustrated by Arthur Rackham", "d:Description": "Reproductions of plates from a 1907 edition of Lewis Carroll's masterpiece.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Illustrations_and_Images", "url": "http://www.bugtown.com/alice/"} +{"d:Title": "Alice Illustrators", "d:Description": "Complete catalog of color plates illustrating Alice's Adventures in Wonderland by artists other than John Tenniel, including Arthur Rackham, Gwynedd Hudson, and Bessie Pease Gutmann.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Illustrations_and_Images", "url": "http://www.exit109.com/~dnn/alice/"} +{"d:Title": "The Mouse's Tale", "d:Description": "Includes the famous poem (with original format) and some background information.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Illustrations_and_Images", "url": "http://bootless.net/mouse.html"} +{"d:Title": "Caroll, Lewis; Tenniel, Sir John", "d:Description": "A small selection of Tenniel's famous illustrations for Caroll's Alice books.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Illustrations_and_Images", "url": "http://www.ibiblio.org/wm/paint/auth/tenniel/"} +{"d:Title": "Lauren's Alice in Wonderland Page", "d:Description": "Samples of nearly 100 illustrators' work shown with bibliographical information.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Illustrations_and_Images", "url": "http://www.laurenharman.com/"} +{"d:Title": "Literature Network: Alice's Adventures in Wonderland", "d:Description": "Book available to read or search online.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Texts", "url": "http://www.online-literature.com/carroll/alice/"} +{"d:Title": "Literature Network: Through the Looking Glass", "d:Description": "Searchable chapter indexed etext, with background on the book.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Texts", "url": "http://www.online-literature.com/carroll/lookingglass/"} +{"d:Title": "Alice Through the Looking Glass", "d:Description": "Complete text compliments of Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Texts", "url": "http://www.cs.indiana.edu/metastuff/looking/lookingdir.html"} +{"d:Title": "Alice's Adventures in Wonderland", "d:Description": "Chapter indexed hypertext version, from Literature Project.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Texts", "url": "http://www.literatureproject.com/alice/index.htm"} +{"d:Title": "Alice's Adventures in Wonderland", "d:Description": "Robert Stockton's hypertext formatted \"Millennium Fulcrum Edition\" with the original Tenniel illustrations, based upon the Project Gutenberg edition.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Texts", "url": "http://www.cs.cmu.edu/afs/cs/user/rgs/mosaic/alice-table.html"} +{"d:Title": "Wikisource: Alice's Adventures in Wonderland", "d:Description": "Includes Tenniel illustrations and spoken word recordings.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Alice_Books/Texts", "url": "http://en.wikisource.org/wiki/Alice's_Adventures_in_Wonderland"} +{"d:Title": "Phantasmagoria and Other Poems", "d:Description": "HTML version of the book.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.worldwideschool.org/library/books/lit/poetry/PhantasmagoriaandOtherPoems/Chap1.html"} +{"d:Title": "The Hunting of the Snark", "d:Description": "Complete text of the poem at Pagan Library.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.paganlibrary.com/humor/hunting_of_the_snark.php"} +{"d:Title": "Collected Poems of Lewis Carroll", "d:Description": "Includes the complete \"Phantasmagoria\", \"Jabberwocky\", and \"The Hunting of the Snark\".", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.everypoet.com/archive/poetry/Lewis_Carroll/lewis_carroll_contents.htm"} +{"d:Title": "Literature Network: The Hunting of the Snark", "d:Description": "Indexed HTML of the complete text. Includes a search feature and user comments.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.online-literature.com/carroll/hunting_snark/"} +{"d:Title": "Online Literature Library: The Hunting of the Snark", "d:Description": "Chapter indexed, HTML format.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.literature.org/authors/carroll-lewis/the-hunting-of-the-snark/"} +{"d:Title": "Poets' Corner: Lewis Carroll", "d:Description": "Links to the texts of poems from \"Alice in Wonderland,\" \"Silvia and Bruno,\" and others.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.theotherpages.org/poems/poem-cd.html#carroll"} +{"d:Title": "Glorious Nonsense: Jabberwocky", "d:Description": "\"See what happens when someone really, really, likes a poem? Bah. This is a whole page of Jabberwockies found in movies, print, and song.\" A page dedicated to spin-offs from Carroll's famous poem.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.jabberwocky.com/carroll/jabber/"} +{"d:Title": "Jabberwocky Variations", "d:Description": "\"Translations, parodies, and other variants of Lewis Carroll's 'Jabberwocky.'\"", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www76.pair.com/keithlim/jabberwocky/"} +{"d:Title": "Literature Network: Jabberwocky", "d:Description": "Complete text. Includes author biography.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.online-literature.com/carroll/336/"} +{"d:Title": "Jabberwocky", "d:Description": "At Glasswings.com.", "topic": "Top/Arts/Literature/Authors/C/Carroll,_Lewis/Works/Poetry_and_Phantasmagoria", "url": "http://www.glasswings.com.au/giggle/jabberwocky.html"} +{"d:Title": "Hayden Carruth", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/C/Carruth,_Hayden", "url": "http://www.poets.org/poetsorg/poet/hayden-carruth"} +{"d:Title": "The Ravished Reader:", "d:Description": "Thesis about Angela Carter's allegory in Nights at the Circus, written by Marita Kristiansen at the University of Bergen.", "topic": "Top/Arts/Literature/Authors/C/Carter,_Angela", "url": "http://www.ub.uib.no/elpub/2000/h/501001/"} +{"d:Title": "AmericanPoems.com: Raymond Carver", "d:Description": "A brief biography and a selection of his poems.", "topic": "Top/Arts/Literature/Authors/C/Carver,_Raymond", "url": "http://www.americanpoems.com/poets/carver/"} +{"d:Title": "Featured Author: Raymond Carver", "d:Description": "News and reviews from The New York Times archives. Includes \"The Carver Chronicles\", DT Max's (in)famous 1998 article for The Times Magazine.", "topic": "Top/Arts/Literature/Authors/C/Carver,_Raymond", "url": "http://www.nytimes.com/books/01/01/21/specials/carver.html?0119bk"} +{"d:Title": "Prose as Architecture: Two Interviews with Raymond Carver", "d:Description": "From Paris, 1987 and the Italian magazine Panorama, 1986. Translated by Professor William L Stull.", "topic": "Top/Arts/Literature/Authors/C/Carver,_Raymond", "url": "http://sun.iwu.edu/~jplath/carver.html"} +{"d:Title": "Atlantic Unbound: Carver's Last Stand", "d:Description": "2001 essay on the influence of Carver's style.", "topic": "Top/Arts/Literature/Authors/C/Carver,_Raymond/Reviews", "url": "http://www.theatlantic.com/past/docs/unbound/crosscurrents/cc2001-01-24.htm"} +{"d:Title": "Hazardous Media", "d:Description": "Check out Neal Cassady, the fastest man alive, in Quicktime.", "topic": "Top/Arts/Literature/Authors/C/Cassady,_Neal", "url": "http://www.hazardous.com/sx/"} +{"d:Title": "The Beat Goes Down", "d:Description": "Neal Cassady's house--once a pit stop for Kerouac and Ginsberg, bites the dust.", "topic": "Top/Arts/Literature/Authors/C/Cassady,_Neal", "url": "http://www.metroactive.com/papers/metro/08.28.97/slices-9735.html"} +{"d:Title": "A Note From Los Gatos", "d:Description": "Interview with John Cassady, the son of late Beat hero Neal Cassady.", "topic": "Top/Arts/Literature/Authors/C/Cassady,_Neal", "url": "http://www.litkicks.com/JCI/JCInterview.html"} +{"d:Title": "Neal Cassady, Behind the Myth", "d:Description": "Neal Cassady\u2019s legend lives, kept alive by his family who share their memories of husband, father, friends, Jack Kerouac, Allen Ginsberg and the Beat Generation.", "topic": "Top/Arts/Literature/Authors/C/Cassady,_Neal", "url": "http://www.nealcassadyestate.com/"} +{"d:Title": "Literary Kicks: Neal Cassady", "d:Description": "A biography with links.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Cassady,_Neal", "url": "http://www.litkicks.com/NealCassady"} +{"d:Title": "Cassidy's Tale", "d:Description": "John Perry Barlow's memories of Neal Cassady and the song he wrote about him.", "topic": "Top/Arts/Literature/Authors/C/Cassady,_Neal", "url": "http://www.litkicks.com/BarlowOnNeal"} +{"d:Title": "Catherland Online", "d:Description": "The official website of the Willa Cather Pioneer Memorial and Educational Foundation, located in the author's hometown of Red Cloud, Nebraska.", "topic": "Top/Arts/Literature/Authors/C/Cather,_Willa", "url": "http://www.willacather.org/"} +{"d:Title": "The Willa Cather Archive", "d:Description": "Resource provided by the University of Nebraska.", "topic": "Top/Arts/Literature/Authors/C/Cather,_Willa", "url": "http://cather.unl.edu/"} +{"d:Title": "Willa Cather Page", "d:Description": "Pulitzer Prize winning novelist opened the door to women writing westerns.", "topic": "Top/Arts/Literature/Authors/C/Cather,_Willa", "url": "https://gustavus.edu/english/cather/"} +{"d:Title": "One of Ours", "d:Description": "Online publication of the 1922 edition of Willa Cather's classic.", "topic": "Top/Arts/Literature/Authors/C/Cather,_Willa/Works", "url": "http://www.bartleby.com/1006"} +{"d:Title": "O Pioneers!", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/C/Cather,_Willa/Works", "url": "http://www.pagebypagebooks.com/Willa_Cather/O_Pioneers/"} +{"d:Title": "Alexander's Bridge", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/C/Cather,_Willa/Works", "url": "http://www.pagebypagebooks.com/Willa_Cather/Alexanders_Bridge/"} +{"d:Title": "Constantine P. Cavafy", "d:Description": "Includes a brief biography and an extensive selection of poems translated into English in online text form, with the original Greek versions as well.", "topic": "Top/Arts/Literature/Authors/C/Cavafy,_Constantine", "url": "http://users.hol.gr/~barbanis/cavafy/"} +{"d:Title": "Ars Gratia Artis from the writings of C. P. Cavafy", "d:Description": "A brief excerpt of prose by Cavafy on the condition of being a poet.", "topic": "Top/Arts/Literature/Authors/C/Cavafy,_Constantine", "url": "http://plexipages.com/reflections/cavafy.html"} +{"d:Title": "C.P. Cavafy", "d:Description": "The Academy of American Poets presents a biography and links.", "topic": "Top/Arts/Literature/Authors/C/Cavafy,_Constantine", "url": "http://www.poets.org/poetsorg/poet/c-p-cavafy"} +{"d:Title": "Jeanne Cavelos", "d:Description": "Official homepage of fiction and non-fiction author Jeanne Cavelos.", "topic": "Top/Arts/Literature/Authors/C/Cavelos,_Jeanne", "url": "http://www.sff.net/people/jcavelos/"} +{"d:Title": "The World of Philip Caveney", "d:Description": "British author of thrillers and mystery novels such as Slayground, Speak No Evil, Burn Down Easy, Black Wolf, Bad To The Bone,and Tiger,Tiger.", "topic": "Top/Arts/Literature/Authors/C/Caveney,_Philip", "url": "http://www.philip-caveney.co.uk/"} +{"d:Title": "Siv Cedering", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/C/Cedering,_Siv", "url": "http://www.poets.org/poetsorg/poet/siv-cedering"} +{"d:Title": "Joy Chambers - Novelist", "d:Description": "The official site of Australian novelist Joy Chambers, author of numerous historical novels.", "topic": "Top/Arts/Literature/Authors/C/Chambers,_Joy", "url": "http://www.joychambers.com/"} +{"d:Title": "The King in Yellow", "d:Description": "Text of the story.", "topic": "Top/Arts/Literature/Authors/C/Chambers,_Robert_W.", "url": "http://www.sff.net/people/DoyleMacdonald/l_kiy.htm"} +{"d:Title": "Leonard Chang", "d:Description": "The official Leonard Chang website, with excerpts of his novels and stories, biographical information, interviews, updates,and links.", "topic": "Top/Arts/Literature/Authors/C/Chang,_Leonard", "url": "http://leonardchang.tumblr.com/"} +{"d:Title": "The Saint Novels in French", "d:Description": "Illustrated history of the French Saint novels.", "topic": "Top/Arts/Literature/Authors/C/Charteris,_Leslie", "url": "http://www.lofficier.com/saint.html"} +{"d:Title": "Leslie Charteris Books", "d:Description": "Bibliography of Leslie Charteris", "topic": "Top/Arts/Literature/Authors/C/Charteris,_Leslie", "url": "http://www.saint.org/books.htm"} +{"d:Title": "The Saint (\"No Hiding Place\")", "d:Description": "Text of The Saint radio show which was originally broadcast November 19, 1950.", "topic": "Top/Arts/Literature/Authors/C/Charteris,_Leslie", "url": "http://www.genericradio.com/show.php?id=7d7c8051180fb296"} +{"d:Title": "Project: The Saint by Leslie Charteris", "d:Description": "Information about Simon Templar and his creator, Leslie Charteris.", "topic": "Top/Arts/Literature/Authors/C/Charteris,_Leslie", "url": "http://h2g2.com/entry/A707690"} +{"d:Title": "Crime Fiction: Leslie Charteris", "d:Description": "Very brief biography and complete bibliography.", "topic": "Top/Arts/Literature/Authors/C/Charteris,_Leslie", "url": "https://embden11.home.xs4all.nl/Engels/charteris.htm"} +{"d:Title": "Upamanyu Chatterjee at the Complete Review", "d:Description": "Biographical information about the author.", "topic": "Top/Arts/Literature/Authors/C/Chatterjee,_Upamanyu", "url": "http://www.complete-review.com/authors/chattu.htm"} +{"d:Title": "The Lyman Family by Bruce Chatwin", "d:Description": "A story by Bruce Chatwin.", "topic": "Top/Arts/Literature/Authors/C/Chatwin,_Bruce", "url": "http://www.trussel.com/lyman/chatwin.htm"} +{"d:Title": "The Golden Dilettante", "d:Description": "Nicholas Shakespeare's biography of Bruce Chatwin reviewed by Jay Currie.", "topic": "Top/Arts/Literature/Authors/C/Chatwin,_Bruce", "url": "http://www.januarymagazine.com/nonfiction/chatwin.html"} +{"d:Title": "In Search of the Miraculous", "d:Description": "Nick Clapson on the enduring enigma of Bruce Chatwin's travel writing.", "topic": "Top/Arts/Literature/Authors/C/Chatwin,_Bruce", "url": "http://www.spikemagazine.com/0896chat.php"} +{"d:Title": "Geoffrey Chaucer, ca. 1343 - 1400", "d:Description": "Provides extensive information on Chaucer, including links to his works, a biography, critical essays, portraits, quotations, links to online resources, and other information. From the Luminarium site.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://www.luminarium.org/medlit/chaucer.htm"} +{"d:Title": "Chaucer Bibliography Online", "d:Description": "Covers printed Chaucer studies from 1975 until the present. Includes a search engine.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://uchaucer.utsa.edu/"} +{"d:Title": "Essential Chaucer", "d:Description": "Annotated bibliography of printed Chaucer studies, 1900-1984, Includes more than 900 records categorized into 90 topics. By Mark Allen and John H. Fisher.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://colfa.utsa.edu/chaucer/"} +{"d:Title": "Geoffrey Chaucer: an Overall Survey", "d:Description": "Includes an illustrated biography, summaries of Chaucer's poems, and introductions to some of the Canterbury Tales.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://hompi.sogang.ac.kr/anthony/Chaucer/"} +{"d:Title": "New Chaucer Society", "d:Description": "A site for teachers and scholars of Chaucer and his age.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://newchaucersociety.org/"} +{"d:Title": "Geoffrey Chaucer", "d:Description": "Provides comprehensive resources for the study of Chaucer. Includes a biography, a bibliography, an online course in Chaucer's English, an introduction to each Canterbury Tale, an interlinear translation of each Canterbury Tale, a list-type glossary, a searchable glossary, background information on topics like courtly love and pilgrimage, and many other resources.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://sites.fas.harvard.edu/~chaucer/"} +{"d:Title": "Basic Chaucer Glossary", "d:Description": "A glossary of common words used by Chaucer.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://pages.towson.edu/duncan/glossary.html"} +{"d:Title": "Chaucer MetaPage", "d:Description": "A guide to Chaucer resources on the web. Includes links to Chaucer websites, Chaucer bibliographies, texts of Chaucer's works, pedagogical sites, and background materials. All links are annotated.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://www.unc.edu/depts/chaucer/"} +{"d:Title": "Chaucer", "d:Description": "A literary study of Chaucer's works from the Cambridge History of English and American Literature.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey", "url": "http://www.bartleby.com/212/0701.html"} +{"d:Title": "House of Fame", "d:Description": "Complete text of the poem. From the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://omacl.org/Houseoffame/index.html"} +{"d:Title": "Parliament of Fowls", "d:Description": "Complete text of the poem. From the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://omacl.org/Parliament/index.html"} +{"d:Title": "Legend of Good Women", "d:Description": "Complete text of the poem. From the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://omacl.org/GoodWomen/"} +{"d:Title": "Book of the Duchess", "d:Description": "Complete text of the poem. From the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://omacl.org/Duchess/"} +{"d:Title": "Selected Poems", "d:Description": "A.S. Kline's Modern English translation of Truth, Nobleness, Lack of Steadfastness, To Rosamund, Fickleness, and Merciless Beauty.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://www.poetryintranslation.com/PITBR/English/ChaucerPoems.htm"} +{"d:Title": "Complete Poetical Works", "d:Description": "W.W. Skeat's edition of all of Chaucer's poems. Includes search feature.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://www.bartleby.com/258/"} +{"d:Title": "Criyng and the Soun: Chaucer Audio Files", "d:Description": "Readings from the Canterbury Tales, Troilus and Criseyde, and other poems.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "https://alanbaragona.wordpress.com/the-criyng-and-the-soun/"} +{"d:Title": "Boethius's Consolation of Philosophy", "d:Description": "Chaucer's translation of Boethius's Latin text. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://www.gutenberg.org/ebooks/42083"} +{"d:Title": "Treatise on the Astrolabe", "d:Description": "An edition by F.N. Robinson.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works", "url": "http://sourcebooks.fordham.edu/Halsall/source/chaucer-astro.asp"} +{"d:Title": "Canterbury Tales and Other Poems", "d:Description": "D. Laing Purves's edition of the Canterbury Tales and other poems. Includes a life of Chaucer.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "http://www.everypoet.com/archive/poetry/Geoffrey_Chaucer/"} +{"d:Title": "Canterbury Tales", "d:Description": "Side-by-side translations of the Canterbury Tales and a hypertext version of each tale with each difficult word hot-linked to a corresponding glossary entry. From the Librarius site.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "http://www.librarius.com/cantales.htm"} +{"d:Title": "Canterbury Tales", "d:Description": "Original text in the F.N. Robinson edition.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=ct"} +{"d:Title": "Canterbury Tales", "d:Description": "Selected tales with modernized spelling by Michael Murphy. Includes introduction to each tale and explanatory notes.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "http://academic.brooklyn.cuny.edu/webcore/murphy/canterbury/"} +{"d:Title": "General Prologue to the Canterbury Tales", "d:Description": "Text of the General Prologue (lines 1-858) with facing Modern English translation.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "http://www.fordham.edu/halsall/source/CT-prolog-para.asp"} +{"d:Title": "Chaucer's Tales and Other Works", "d:Description": "The Canterbury Tales in modernized spelling, with glosses of difficult words and explanatory notes. Also includes a few of the short poems.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "http://chaucertales.blogspot.com/"} +{"d:Title": "Canterbury Tales", "d:Description": "Modern English translation of all the tales.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "http://oaks.nvg.org/canter-verse.html"} +{"d:Title": "General Prologue to the Canterbury Tales", "d:Description": "YouTube video includes sound recording of lines 1-18 and simplified text that shows how to pronounce the words.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Canterbury_Tales", "url": "https://www.youtube.com/watch?v=QE0MtENfOMU"} +{"d:Title": "Troilus and Criseyde", "d:Description": "The text in modernized spelling by Michael Murphy. Available in unabridged and abridged versions. Includes introduction and notes.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Troilus_and_Criseyde", "url": "http://academic.brooklyn.cuny.edu/webcore/murphy/troilus/"} +{"d:Title": "Troilus and Criseyde", "d:Description": "Original text in the W.W. Skeat edition. From the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Troilus_and_Criseyde", "url": "http://omacl.org/Troilus/"} +{"d:Title": "Troilus and Criseyde", "d:Description": "Original text. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Troilus_and_Criseyde", "url": "http://www.gutenberg.org/ebooks/257"} +{"d:Title": "Troilus and Criseyde", "d:Description": "Modern English translation by A.S. Kline.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Troilus_and_Criseyde", "url": "http://www.poetryintranslation.com/PITBR/English/Chaucerhome.htm"} +{"d:Title": "Troilus and Criseyde", "d:Description": "Sound recording of the poem. Read by Kevin Johnson.", "topic": "Top/Arts/Literature/Authors/C/Chaucer,_Geoffrey/Works/Troilus_and_Criseyde", "url": "https://librivox.org/troilus-and-criseyde-by-geoffrey-chaucer/"} +{"d:Title": "Charles Chesnutt Literary Web", "d:Description": "Criticism and analysis of Charles Chesnutt's literary writings.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell", "url": "http://www.eden.rutgers.edu/~c350445/index.html"} +{"d:Title": "The Charles Chesnutt Digital Archive", "d:Description": "Educational site whose goal is to make this African American writer more widely known. Short illustrated biography, bibliography, a selection of his works, reviews by contemporaries, student projects on related topics.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell", "url": "http://www.chesnuttarchive.org/"} +{"d:Title": "Frederick Douglass", "d:Description": "In HTML, all in one file, with page numbering from 1899 edition. Includes portrait of Douglass that was the frontispiece. At Documenting the American South.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell/Works", "url": "http://docsouth.unc.edu/neh/chesnutt/menu.html"} +{"d:Title": "The Marrow of Tradition", "d:Description": "Novel based on a race riot in North Carolina depicts the many ways in which the lives of two prominent families intersect. HTML, all in one file, with page numbering from 1901 edition. At Documenting the American South.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell/Works", "url": "http://docsouth.unc.edu/southlit/chesnuttmarrow/menu.html"} +{"d:Title": "The Conjure Woman.", "d:Description": "HTML, all in one file, with page numbering from the 1899 edition. At Documenting the American South.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell/Works", "url": "http://docsouth.unc.edu/southlit/chesnuttconjure/menu.html"} +{"d:Title": "The Colonel's Dream", "d:Description": "Chesnutt's last novel. HTML, all in one file, with page numbering from 1905 edition. Courtesy of Documenting the American South.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell/Works", "url": "http://docsouth.unc.edu/southlit/chesnuttcolonel/menu.html"} +{"d:Title": "The House Behind the Cedars", "d:Description": "HTML, all in one file, with page numbering from 1900 edition. At Documenting the American South.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell/Works", "url": "http://docsouth.unc.edu/southlit/chesnutthouse/menu.html"} +{"d:Title": "The Wife of His Youth and Other Stories of the Color Line", "d:Description": "HTML, all in one file, with page numbering from 1901 edition. Includes illustrations by Clyde O. De Land. At Documenting the American South.", "topic": "Top/Arts/Literature/Authors/C/Chesnutt,_Charles_Waddell/Works", "url": "http://docsouth.unc.edu/southlit/chesnuttwife/menu.html"} +{"d:Title": "The American Chesterton Society", "d:Description": "Membership organization promotes scholarship, sponsors an annual conference. Biography, suggested reading for beginners, directory of local groups, blog, and FAQs.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://www.chesterton.org/"} +{"d:Title": "G.K. Chesterton, Writer", "d:Description": "From James Kiefer's Christian Biographies.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://elvis.rowan.edu/~kilroy/JEK/06/12.html"} +{"d:Title": "Top Meadow's Chesterton Gallery", "d:Description": "Computer game firm named after Chesterton's home in Beaconsfield presents images including an autographed portrait of Chesterton, a photo of a poem in GKC's own handwriting, and the illustrations which accompanied Fr. Brown's debut in print.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://www.topm.com/gkc/"} +{"d:Title": "G.K. Chesterton: The Apostle of Common Sense", "d:Description": "Television series carried on EWTN. Information on hosts, schedule, two promotional videos, and an archive of episodes in RealAudio.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://www.ewtn.com/series/chesterton/index.htm"} +{"d:Title": "G.K. Chesterton", "d:Description": "Large collection of books, articles, and essays, most in several formats (HTML, text, zip). Brief biography, picture archive, and web resources.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://www.cse.dmu.ac.uk/~mward/gkc/"} +{"d:Title": "Chesterton and Friends", "d:Description": "Group blog discussing Chesterton's thought, influence, and his impact upon contemporaries.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://chestertonandfriends.blogspot.com/"} +{"d:Title": "Ignatius Insight: G.K. Chesterton", "d:Description": "Survey and introduction. Includes additional articles and excerpts.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://www.ignatiusinsight.com/authors/gkchesterton.asp"} +{"d:Title": "Chesterton Reformed: A Protestant Interpretation", "d:Description": "James Sauer tries to figure out why he, an American evangelical Protestant, finds himself charmed and edified by G.K. Chesterton, a British Catholic apologist.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://www.reformed.org/webfiles/antithesis/index.html?mainframe=/webfiles/antithesis/v1n6/ant_v1n6_Chesterton.html"} +{"d:Title": "The GK Chesterton Institute for Faith&Culture", "d:Description": "Located at Seton Hall University. Sponsors conferences, lecture series, research and writing. Publisher of The Chesterton Review.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://www.shu.edu/catholic-mission/chesterton-index.cfm"} +{"d:Title": "Gilbert Keith Chesterton", "d:Description": "Brief illustrated biography, with links to related topics.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K.", "url": "http://spartacus-educational.com/Jchesterton.htm"} +{"d:Title": "G.K. Chesterton (1874-1936)", "d:Description": "Over a dozen quotes on various topics. At the Quotations Page.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Quotations", "url": "http://www.quotationspage.com/quotes/G._K._Chesterton/"} +{"d:Title": "The Man Who Was Thursday", "d:Description": "Brief review by Greg Slade.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Reviews", "url": "http://www.swcp.com/christian-fandom/chesterton2.html"} +{"d:Title": "Internet Archive: G. K. Chesterton: A Critical Study", "d:Description": "E-text, 1915 by Julius West. Recognizes that much of Chesterton's writings defy simple genre classification.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Reviews", "url": "http://www.archive.org/details/criticalstudy00westuoft/"} +{"d:Title": "Impossible Crime Fiction: G. K. Chesterton", "d:Description": "Where Chesterton stands in the development of the \"impossible crime\" mystery.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Reviews", "url": "http://mikegrost.com/hanshews.htm#Chesterton"} +{"d:Title": "The Man Who Was Thursday: Revisiting Chesterton's Masterpiece", "d:Description": "Martin Gardner considers Chesterton's book, in an essay on Nature, free will, and evil. From Christianity Today, May/June 2000.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Reviews", "url": "http://www.booksandculture.com/articles/2000/mayjun/10.30.html"} +{"d:Title": "Orthodoxy", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Apologetics/Orthodoxy", "url": "http://www.worldwideschool.org/library/books/phil/modernwesternphilosophy/Orthodoxy/toc.html"} +{"d:Title": "Orthodoxy", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Apologetics/Orthodoxy", "url": "http://www.pagebypagebooks.com/Gilbert_K_Chesterton/Orthodoxy/"} +{"d:Title": "Orthodoxy", "d:Description": "In HTML, at Christian Classics Ethereal Library. Each chapter has its own file, with a link to the next chapter. Also available in plain text, PDF, RTF.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Apologetics/Orthodoxy", "url": "http://www.ccel.org/ccel/chesterton/orthodoxy.html"} +{"d:Title": "Internet Archive: What I Saw in America", "d:Description": "1922. Chesterton's wry observations and analysis of American character, society and life.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Essays", "url": "http://www.archive.org/details/whatisawinamer00chesrich/"} +{"d:Title": "Quotidiana: G. K. Chesterton", "d:Description": "Short biography and several essays.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Essays", "url": "http://essays.quotidiana.org/chesterton/"} +{"d:Title": "Heretics", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Essays/Heretics", "url": "http://www.worldwideschool.org/library/books/lit/socialcommentary/Heretics/toc.html"} +{"d:Title": "Heretics", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Essays/Heretics", "url": "http://www.pagebypagebooks.com/Gilbert_K_Chesterton/Heretics/"} +{"d:Title": "Heretics", "d:Description": "In HTML, at Christian Classics Ethereal Library. Each chapter has its own file, with links to previous and next chapter. Also available in plain text and in Microsoft Reader format.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Essays/Heretics", "url": "http://www.ccel.org/ccel/chesterton/heretics.html"} +{"d:Title": "Miscellany of Men", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Essays/Miscellany_of_Men,_A", "url": "http://www.worldwideschool.org/library/books/lit/shortstories/MiscellanyofMen/toc.html"} +{"d:Title": "What's Wrong with the World", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Essays/What's_Wrong_with_the_World", "url": "http://www.worldwideschool.org/library/books/lit/socialcommentary/WhatsWrongWiththeWorld/toc.html"} +{"d:Title": "The Club of Queer Trades", "d:Description": "HTML. Each chapter has multiple pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Club_of_Queer_Trades,_The", "url": "http://www.pagebypagebooks.com/Gilbert_K_Chesterton/The_Club_of_Queer_Trades/"} +{"d:Title": "The Club of Queer Trades", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, with links to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Club_of_Queer_Trades,_The", "url": "http://www.worldwideschool.org/library/books/lit/detective/TheClubofQueerTrades/toc.html"} +{"d:Title": "The Club of Queer Trades", "d:Description": "Available in HTML, PDF, and MP3, at Christian Classics Etheral Library.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Club_of_Queer_Trades,_The", "url": "http://www.ccel.org/c/chesterton/queertrades/"} +{"d:Title": "The Innocence of Father Brown", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Innocence_of_Father_Brown,_The", "url": "http://www.pagebypagebooks.com/Gilbert_K_Chesterton/The_Innocence_of_Father_Brown/"} +{"d:Title": "The Innocence of Father Brown", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, linked to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Innocence_of_Father_Brown,_The", "url": "http://www.worldwideschool.org/library/books/lit/mystery/TheInnocenceofFatherBrown/toc.html"} +{"d:Title": "The Innocence of Father Brown", "d:Description": "HTML, at Classic Reader. Searchable. Each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Innocence_of_Father_Brown,_The", "url": "http://www.classicreader.com/book/442/"} +{"d:Title": "Manalive", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, with links to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Manalive", "url": "http://www.worldwideschool.org/library/books/lit/adventure/Manalive/toc.html"} +{"d:Title": "Manalive", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Manalive", "url": "http://www.pagebypagebooks.com/Gilbert_K_Chesterton/Manalive/"} +{"d:Title": "The Man Who Knew Too Much", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Knew_Too_Much,_The", "url": "http://pagebypagebooks.com/Gilbert_K_Chesterton/The_Man_Who_Knew_Too_Much/"} +{"d:Title": "The Man Who Knew Too Much", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, with links to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Knew_Too_Much,_The", "url": "http://www.worldwideschool.org/library/books/lit/drama/TheManWhoKnewTooMuch/toc.html"} +{"d:Title": "The Man Who Knew Too Much", "d:Description": "HTML, at Classic Reader. Searchable. Each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Knew_Too_Much,_The", "url": "http://www.classicreader.com/book/485/"} +{"d:Title": "The Man Who Was Thursday", "d:Description": "In HTML, at Christian Classics Ethereal Library. A file for each chapter, with links to previous, next, top. Also available in plain text, PDF, and RTF.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Was_Thursday,_The", "url": "http://www.ccel.org/c/chesterton/thursday/thursday.html"} +{"d:Title": "The Man Who Was Thursday", "d:Description": "In HTML at Bartleby.com. Numbered paragraphs, no illustrations. Uses some Windows-only characters.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Was_Thursday,_The", "url": "http://www.bartleby.com/158/"} +{"d:Title": "The Man Who Was Thursday", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Was_Thursday,_The", "url": "http://www.worldwideschool.org/library/books/lit/detective/TheManWhoWasThursday/toc.html"} +{"d:Title": "The Man Who Was Thursday", "d:Description": "Page by Page Books HTML version. Each chapter has several pages.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Was_Thursday,_The", "url": "http://www.pagebypagebooks.com/Gilbert_K_Chesterton/The_Man_Who_Was_Thursday/"} +{"d:Title": "The Man Who Was Thursday", "d:Description": "HTML, at Classic Reader. Searchable. Each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Man_Who_Was_Thursday,_The", "url": "http://www.classicreader.com/book/1384/"} +{"d:Title": "The Trees of Pride", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, with links to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Trees_of_Pride,_The", "url": "http://www.worldwideschool.org/library/books/lit/mystery/TheTreesofPride/toc.html"} +{"d:Title": "The Trees of Pride", "d:Description": "HTML. Each chapter has multiple pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Trees_of_Pride,_The", "url": "http://www.pagebypagebooks.com/Gilbert_K_Chesterton/The_Trees_of_Pride/"} +{"d:Title": "The Trees of Pride", "d:Description": "HTML, at Classic Reader. Searchable. Each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Trees_of_Pride,_The", "url": "http://www.classicreader.com/book/981/"} +{"d:Title": "The Wisdom of Father Brown", "d:Description": "In HTML, at Christian Classics Ethereal Library. Each chapter has its own file, with links to previous and next chapter. Also available in plain text and as MP3 files.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Wisdom_of_Father_Brown,_The", "url": "http://www.ccel.org/c/chesterton/wisdom/title.html"} +{"d:Title": "The Wisdom of Father Brown", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Wisdom_of_Father_Brown,_The", "url": "http://www.worldwideschool.org/library/books/lit/detective/TheWisdomofFatherBrown/toc.html"} +{"d:Title": "The Wisdom of Father Brown", "d:Description": "HTML, at Classic Reader. Searchable. Each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Fiction/Wisdom_of_Father_Brown,_The", "url": "http://www.classicreader.com/book/915/"} +{"d:Title": "Charles Dickens", "d:Description": "Searchable. Each chapter in its own file. Some scanner errors. Too wide for smaller monitors, older Macs, or MSN TV. At Literature Network.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Literary_Criticism", "url": "http://www.online-literature.com/chesterton/charlesdickens/"} +{"d:Title": "Appreciations and Criticisms of the Works of Charles Dickens", "d:Description": "In HTML. Links to each chapter. The actual book is divided into two major parts, of 232 and 182K. At The Dickens Page.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Literary_Criticism", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/CD-Chesterton-A&C.html"} +{"d:Title": "Charles Dickens", "d:Description": "The text is divided into two major parts, of 191 and 184K, with links to each chapter. Some scanner errors. At The Dickens Page.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Literary_Criticism", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/CD-Chesterton-CD.html"} +{"d:Title": "Appreciations and Criticisms of the Works of Charles Dickens", "d:Description": "Searchable. Each chapter in its own file. Too wide for smaller monitors, older Macs, or MSN TV. At Literature Network.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Literary_Criticism", "url": "http://www.online-literature.com/chesterton/dickensworks/"} +{"d:Title": "The Victorian Age in Literature", "d:Description": "Survey of period novelists and poets with bibliography. Page images at the Internet Archive.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Literary_Criticism", "url": "http://www.archive.org/details/victorianageinli00chesuoft/"} +{"d:Title": "Twelve Types", "d:Description": "1910 collection of earlier essays on various writers including Charlotte Bronte, George Byron, Alexander Pope, Thomas Carlyle, Sir Walter Scott. Page images at the Internet Archive.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Literary_Criticism", "url": "http://www.archive.org/details/twelvetypes00chesuoft"} +{"d:Title": "A Ballade of an Anti-Puritan", "d:Description": "Poem by Chesterton.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.online-literature.com/chesterton/902/"} +{"d:Title": "A Prayer in Darkness", "d:Description": "In HTML, with line numbers. From Modern British Poetry, at BartlebyVerse.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.bartleby.com/103/92.html"} +{"d:Title": "The Donkey", "d:Description": "In HTML, with line numbers. From Modern British Poetry, at BartlebyVerse.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.bartleby.com/103/93.html"} +{"d:Title": "The Holy of Holies", "d:Description": "Poem by Chesterton included in The Oxford Book of English Mystical Verse.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.bartleby.com/236/313.html"} +{"d:Title": "The Secret People", "d:Description": "A poem about the voiceless and overlooked common folk of England.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.chiark.greenend.org.uk/~martinh/poems/SECRET"} +{"d:Title": "The Skeleton", "d:Description": "A very short poem by Chesterton.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.chiark.greenend.org.uk/~martinh/poems/SKELETON"} +{"d:Title": "The Human Tree", "d:Description": "A poem by Chesterton, in plain text.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.chiark.greenend.org.uk/~martinh/poems/TREE"} +{"d:Title": "G. K. Chesterton", "d:Description": "A small selection of poems by Chesterton.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://www.the-ridges.net/gkc.html"} +{"d:Title": "The Rolling English Road", "d:Description": "Perhaps the best known of Chesterton's poems.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry", "url": "http://wonderingminstrels.blogspot.com/1999/10/rolling-english-road-g-k-chesterton.html"} +{"d:Title": "The Ballad of the White Horse", "d:Description": "At World Wide School. In searchable HTML. Each book in its own file with links to table of contents, previous, next, or any other Book. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry/Ballad_of_the_White_Horse,_The", "url": "http://www.worldwideschool.org/library/books/lit/poetry/TheBalladoftheWhiteHorse/toc.html"} +{"d:Title": "Lepanto", "d:Description": "In HTML, with line numbers. From Modern British Poetry, at BartlebyVerse.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry/Lepanto", "url": "http://www.bartleby.com/103/91.html"} +{"d:Title": "Lepanto", "d:Description": "In plain text.", "topic": "Top/Arts/Literature/Authors/C/Chesterton,_G._K./Works/Poetry/Lepanto", "url": "http://www.chiark.greenend.org.uk/~martinh/poems/LEPANTO"} +{"d:Title": "Tracy Chevalier", "d:Description": "The official website for Tracy Chevalier, author of the bestselling novel Girl With a Pearl Earring and Falling Angels. Biography and news.", "topic": "Top/Arts/Literature/Authors/C/Chevalier,_Tracy", "url": "http://www.tchevalier.com/"} +{"d:Title": "Lee Child", "d:Description": "Official site - with biography, synopses of his novels, appearances, and a forum.", "topic": "Top/Arts/Literature/Authors/C/Child,_Lee", "url": "http://www.leechild.com/"} +{"d:Title": "January magazine: Interview with Lee Child", "d:Description": "Interview features his early career in television, and his best-known character, the ex-military policeman Jack Reacher.", "topic": "Top/Arts/Literature/Authors/C/Child,_Lee", "url": "http://www.januarymagazine.com/profiles/leechild.html"} +{"d:Title": "Writers Write: A Conversation With Lee Child", "d:Description": "Interview by Claire E. White.", "topic": "Top/Arts/Literature/Authors/C/Child,_Lee", "url": "http://www.writerswrite.com/journal/aug01/child.htm"} +{"d:Title": "The Mark Childress Page", "d:Description": "Biography, rants, essays, and information about his novels.", "topic": "Top/Arts/Literature/Authors/C/Childress,_Mark", "url": "http://www.crazyinalabama.com/"} +{"d:Title": "Marilyn Chin", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography, online text of an essay, and an audio recording of the author reading one of her poems.", "topic": "Top/Arts/Literature/Authors/C/Chin,_Marilyn", "url": "http://www.poets.org/poetsorg/poet/marilyn-chin"} +{"d:Title": "Kate Chopin: A Re-Awakening", "d:Description": "Companion web site to the Louisiana Public Broadcasting documentary profiling author Kate Chopin. Includes transcript, etexts and interviews.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate", "url": "http://www.pbs.org/katechopin/"} +{"d:Title": "Kate Chopin: A Woman Ahead of Her Time", "d:Description": "Small personal site dedicated to the writer. A biography and other essays.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate", "url": "http://www.angelfire.com/nv/English243/Chopin.html"} +{"d:Title": "Kate Chopin Web Page", "d:Description": "Biography, analysis, portrait of the writer and her family, and the context of romanticism.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate", "url": "http://www.assumption.edu/users/ady/HHRomanticism/HHChopin/hpChopin.html"} +{"d:Title": "Edna Pontellier and Nineteenth-Century Female Characters", "d:Description": "A study of the extent to which the character in \"The Awakening\" marks a departure from characters in earlier American novels.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate", "url": "http://www.literature-study-online.com/essays/chopin.html"} +{"d:Title": "The Awakening and Selected Short Stories", "d:Description": "In HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate/Works", "url": "http://www.pagebypagebooks.com/Kate_Chopin/The_Awakening_and_Selected_Short_Stories/"} +{"d:Title": "Electronic Library", "d:Description": "Etexts of The Awakening and a dozen short stories, in connection with PBS presentation, Kate Chopin: A Re-Awakening.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate/Works", "url": "http://www.pbs.org/katechopin/library/"} +{"d:Title": "A Night in Acadie", "d:Description": "1897 collection of short stories.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate/Works", "url": "http://docsouth.unc.edu/southlit/chopinnight/menu.html"} +{"d:Title": "The Awakening", "d:Description": "Transcription of 1899 edition. All in one HTML file, with page numbers. Part of \"Documenting the American South,\" at the University of North Carolina at Chapel Hill.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate/Works", "url": "http://docsouth.unc.edu/southlit/chopinawake/menu.html"} +{"d:Title": "Bayou Folk", "d:Description": "Transcription of an 1894 edition of this collection of short stories. From the University of North Carolina.", "topic": "Top/Arts/Literature/Authors/C/Chopin,_Kate/Works", "url": "http://docsouth.unc.edu/southlit/chopinbayou/menu.html"} +{"d:Title": "Deepak Chopra Home Page", "d:Description": "Official site from this best-selling author. Read excerpts of his works, or ask him questions, find out where he is speaking, or read about the upcoming events at the Chopra Center.", "topic": "Top/Arts/Literature/Authors/C/Chopra,_Deepak", "url": "http://www.chopra.com/"} +{"d:Title": "Deepak Chopra - Exclusive Interview", "d:Description": "MyPrimeTime's interview: \"Spirituality's New-Age Prophet: Deepak Chopra. The biology of spirit.\"", "topic": "Top/Arts/Literature/Authors/C/Chopra,_Deepak", "url": "http://www.myprimetime.com/work/life/content/chopra/index.shtml.htm"} +{"d:Title": "Deepak Chopra, M.D.", "d:Description": "An interview.", "topic": "Top/Arts/Literature/Authors/C/Chopra,_Deepak", "url": "http://www.peopleandpossibilities.com/chopra.html"} +{"d:Title": "The Charrette Project", "d:Description": "Multi-media electronic archive of Chr\u00e9tien de Troyes' Le Chevalier de la Charrette (Lancelot, ca. 1180), presented by the Department of Romance Languages, Princeton University.", "topic": "Top/Arts/Literature/Authors/C/Chr\u00e9tien_de_Troyes", "url": "http://www.princeton.edu/~lancelot/"} +{"d:Title": "Cliges", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/C/Chr\u00e9tien_de_Troyes", "url": "http://www.sacred-texts.com/neu/cliges/cliges.htm"} +{"d:Title": "Erec et Enide", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/C/Chr\u00e9tien_de_Troyes", "url": "http://www.sacred-texts.com/neu/erec/erec.htm"} +{"d:Title": "Erec et Enide", "d:Description": "Modern English translation of Chretien De Troyes' 12th century work.", "topic": "Top/Arts/Literature/Authors/C/Chr\u00e9tien_de_Troyes", "url": "http://omacl.org/Erec/"} +{"d:Title": "Chr\u00e9tien de Troyes", "d:Description": "Yvain or, The Knight with the Lion (12th century), in modern English translation.", "topic": "Top/Arts/Literature/Authors/C/Chr\u00e9tien_de_Troyes", "url": "http://omacl.org/Yvain/"} +{"d:Title": "Chr\u00e9tien de Troyes", "d:Description": "Lancelot or, The Knight of the Cart (12th century), in English translation.", "topic": "Top/Arts/Literature/Authors/C/Chr\u00e9tien_de_Troyes", "url": "http://omacl.org/Lancelot/"} +{"d:Title": "Eileen Christelow, Author&Illustrator", "d:Description": "Personally-maintained home page of the children's book author and illustrator.", "topic": "Top/Arts/Literature/Authors/C/Christelow,_Eileen", "url": "http://www.christelow.com/"} +{"d:Title": "Bringing Our Government Down to Earth", "d:Description": "An article by Carolyn Chute in Maine Sunday Telegram.", "topic": "Top/Arts/Literature/Authors/C/Chute,_Carolyn", "url": "http://www.ratical.org/corporations/GovDwn2Erth.html"} +{"d:Title": "Carolyn Chute: Snow Job", "d:Description": "Boston Phoenix book review.", "topic": "Top/Arts/Literature/Authors/C/Chute,_Carolyn", "url": "http://www.bostonphoenix.com/archive/books/99/08/05/SNOW_MAN.html"} +{"d:Title": "The Plausible and the Implausible in Carolyn Chute's Snow Man", "d:Description": "A book review by Sandy English.", "topic": "Top/Arts/Literature/Authors/C/Chute,_Carolyn", "url": "http://www.wsws.org/en/articles/1999/12/snow-d03.html"} +{"d:Title": "John Ciardi", "d:Description": "The Academy of American Poets presents a biography, bibliography, and links.", "topic": "Top/Arts/Literature/Authors/C/Ciardi,_John", "url": "http://www.poets.org/poetsorg/poet/john-ciardi"} +{"d:Title": "Amy Clampitt - Poems and Biography by AmericanPoems.com", "d:Description": "Brief biography and a selection of her most famous poems.", "topic": "Top/Arts/Literature/Authors/C/Clampitt,_Amy", "url": "http://www.americanpoems.com/poets/Amy-Clampitt/"} +{"d:Title": "Amy Clampitt", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems. Also an audio recording (in RealAudio file-format) of the author reading her own \"A Hermit Thrush.\"", "topic": "Top/Arts/Literature/Authors/C/Clampitt,_Amy", "url": "http://www.poets.org/poetsorg/poet/amy-clampitt"} +{"d:Title": "Tom Clancy, General Chuck Horner Return to Desert Storm", "d:Description": "CNN.com article about \"Every Man a Tiger,\" Tom Clancy's and retired General Chuck Horner's book about the Gulf War.", "topic": "Top/Arts/Literature/Authors/C/Clancy,_Tom", "url": "http://www.cnn.com/books/news/9905/12/clancy.horner/~hsindex.html"} +{"d:Title": "Hot Under the Epaulets", "d:Description": "Salon Magazine interview with Tom Clancy and retired General Fred Franks.", "topic": "Top/Arts/Literature/Authors/C/Clancy,_Tom", "url": "http://www.salon.com/1997/06/04/clancy970604/"} +{"d:Title": "Allreaders.com: Tom Clancy Club", "d:Description": "Analysis of the plot, setting, characters, theme, and structure of assorted Tom Clancy novels.", "topic": "Top/Arts/Literature/Authors/C/Clancy,_Tom", "url": "http://allreaders.com/books/tom-clancy-149"} +{"d:Title": "Allreaders Mary Higgins Clark Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her greatest novels, and links to similar works by other novelists.", "topic": "Top/Arts/Literature/Authors/C/Clark,_Mary_Higgins", "url": "http://allreaders.com/books/mary-higgins-clark-154"} +{"d:Title": "Simon Clark Bibliography", "d:Description": "Includes a bibliography with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/C/Clark,_Simon", "url": "https://www.fantasticfiction.com/c/simon-clark/"} +{"d:Title": "Jacket: Tom Clark's White Thought", "d:Description": "Reviewed by Dale Smith.", "topic": "Top/Arts/Literature/Authors/C/Clark,_Tom", "url": "http://jacketmagazine.com/04/white-thought.html"} +{"d:Title": "Forties Scene", "d:Description": "HTML text of the poem.", "topic": "Top/Arts/Literature/Authors/C/Clark,_Tom/Poetry", "url": "http://www.thing.net/~grist/bove/rbclark.htm"} +{"d:Title": "Artificial Light", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Authors/C/Clark,_Tom/Poetry", "url": "http://unix.cc.wmich.edu/~cooneys/poems/Clark.artificial.light.html"} +{"d:Title": "Three Poems", "d:Description": "Poems by Tom Clark in Jacket # 9.", "topic": "Top/Arts/Literature/Authors/C/Clark,_Tom/Poetry", "url": "http://jacketmagazine.com/09/clark-tom.html"} +{"d:Title": "Another Obscure Reverie and Little Hymn to Athene", "d:Description": "Two poems by Tom Clark in Jacket # 3.", "topic": "Top/Arts/Literature/Authors/C/Clark,_Tom/Poetry", "url": "http://www.jacketmagazine.com/03/tomclark03.html"} +{"d:Title": "Gillian Clarke", "d:Description": "Official site: includes readings, poems, bibliography, pictures and advice for students of poetry.", "topic": "Top/Arts/Literature/Authors/C/Clarke,_Gillian", "url": "http://gillianclarke.co.uk/"} +{"d:Title": "BBC Desert Island Discs: Gillian Clarke", "d:Description": "Kirsty Young talks to poet Gillian Clarke.", "topic": "Top/Arts/Literature/Authors/C/Clarke,_Gillian", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/08ba201e#b03lknds"} +{"d:Title": "The Haunted Author", "d:Description": "Text of the story.", "topic": "Top/Arts/Literature/Authors/C/Clarke,_Marcus", "url": "http://www.sff.net/people/DoyleMacdonald/l_author.htm"} +{"d:Title": "AfroPoets.Net Famous Black Writers: Lucille Clifton", "d:Description": "Brief biography, photograph, and ten selected poems.", "topic": "Top/Arts/Literature/Authors/C/Clifton,_Lucille", "url": "http://www.afropoets.net/lucilleclifton.html"} +{"d:Title": "Lucille Clifton Biography and Bibliography", "d:Description": "Includes Clifton's \"On Strength Gotten from Others\" and a brief biography and bibliography.", "topic": "Top/Arts/Literature/Authors/C/Clifton,_Lucille", "url": "http://www.math.buffalo.edu/~sww/clifton/clifton-biobib.html"} +{"d:Title": "Modern American Poetry: Lucille Clifton", "d:Description": "Short biographical and critical essays about Lucille Clifton and her poetry.", "topic": "Top/Arts/Literature/Authors/C/Clifton,_Lucille", "url": "http://www.english.illinois.edu/maps/poets/a_f/clifton/clifton.htm"} +{"d:Title": "Lucille Clifton", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/C/Clifton,_Lucille", "url": "http://www.poets.org/poetsorg/poet/lucille-clifton"} +{"d:Title": "Joshua Clover", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/C/Clover,_Joshua", "url": "http://www.poets.org/poetsorg/poet/joshua-clover"} +{"d:Title": "Mick Cochrane", "d:Description": "Official site for the author. Contains biography and short stories.", "topic": "Top/Arts/Literature/Authors/C/Cochrane,_Mick", "url": "http://www.mickcochrane.com/"} +{"d:Title": "Paulo Coelho: The Alchemist", "d:Description": "Book review.", "topic": "Top/Arts/Literature/Authors/C/Coelho,_Paulo", "url": "http://bookreviews.nabou.com/reviews/thealchemist.html"} +{"d:Title": "Paulo Coelho", "d:Description": "Official website, with biography, photos, and downloads.", "topic": "Top/Arts/Literature/Authors/C/Coelho,_Paulo", "url": "http://paulocoelhoblog.com/"} +{"d:Title": "Allreaders Paulo Coelho Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of his works, plus links to similar books.", "topic": "Top/Arts/Literature/Authors/C/Coelho,_Paulo", "url": "http://allreaders.com/books/paulo-coelho-513"} +{"d:Title": "Danny Yee's Book Reviews: Giving Offense", "d:Description": "Yee reviews Coetzee's collection of essays on censorship.", "topic": "Top/Arts/Literature/Authors/C/Coetzee,_J._M.", "url": "http://dannyreviews.com/h/Giving_Offense.html"} +{"d:Title": "Featured Author: J. M. Coetzee", "d:Description": "News and reviews from the archives of The New York Times.", "topic": "Top/Arts/Literature/Authors/C/Coetzee,_J._M.", "url": "http://www.nytimes.com/books/99/11/28/specials/coetzee.html"} +{"d:Title": "Disgrace", "d:Description": "Review of the 1999 Booker Prize winner from Salon Books.", "topic": "Top/Arts/Literature/Authors/C/Coetzee,_J._M.", "url": "http://www.salon.com/1999/11/05/coetzee/"} +{"d:Title": "AfroPoets.Net Famous Black Writers: Wanda Coleman", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Coleman,_Wanda", "url": "http://www.afropoets.net/wandacoleman.html"} +{"d:Title": "Wanda Coleman - The Academy of American Poets", "d:Description": "The Academy of American Poets presents a biography, photograph and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Coleman,_Wanda", "url": "http://www.poets.org/poetsorg/poet/wanda-coleman"} +{"d:Title": "Samuel Taylor \"Estese\" Coleridge", "d:Description": "A somewhat tongue-in-cheek biography.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor", "url": "http://www.incompetech.com/authors/coleridge/"} +{"d:Title": "Passions in Poetry - Classical Poems by Samuel Taylor Coleridge", "d:Description": "Biography and poetry.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor", "url": "http://netpoets.com/classic/016000.htm"} +{"d:Title": "Tabula Rasa: Samuel Taylor Coleridge", "d:Description": "Article on the life and works of Coleridge by David Carroll.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor", "url": "http://www.tabula-rasa.info/DarkAges/RareDevice.html"} +{"d:Title": "Wikipedia: Samuel Taylor Coleridge", "d:Description": "Biography and discussion of the author's works, with links and suggestions for further reading.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor", "url": "http://en.wikipedia.org/wiki/Samuel_Taylor_Coleridge"} +{"d:Title": "The Friends of Coleridge", "d:Description": "Includes events, articles from the Coleridge Bulletin, and a virtual tour of Coleridge Cottage.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor", "url": "http://www.friendsofcoleridge.com/"} +{"d:Title": "Poets.org: Samuel Taylor Coleridge", "d:Description": "Short biography and bibliography.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor", "url": "http://www.poets.org/poetsorg/poet/samuel-taylor-coleridge"} +{"d:Title": "Poetry of Samuel Taylor Coleridge", "d:Description": "Lists some of Coleridge's poetry.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works", "url": "http://www.everypoet.com/archive/poetry/Samuel_Taylor_Coleridge/samuel_taylor_coleridge_contents.htm"} +{"d:Title": "Literature Network: Samuel Taylor Coleridge", "d:Description": "Includes selected poetry, a biography, and a search feature.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works", "url": "http://www.online-literature.com/coleridge/"} +{"d:Title": "Poets' Corner: Samuel Taylor Coleridge", "d:Description": "Featured are several poems by this author.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works", "url": "http://www.theotherpages.org/poems/coler03.html"} +{"d:Title": "Poetry Archives: Samuel Taylor Coleridge", "d:Description": "Individual poems, listed in alphabetical order.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works", "url": "http://www.emule.com/poetry/?page=overview&author=36"} +{"d:Title": "The Fall of Robespierre", "d:Description": "Full text of the 1794 dramatic poem in three acts by Coleridge and Robert Southey, from the University of Maryland.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works", "url": "http://www.rc.umd.edu/editions/robespierre/"} +{"d:Title": "Literature Network: Christabel", "d:Description": "Includes the complete text, a search feature, and author information.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works/Christabel", "url": "http://www.online-literature.com/coleridge/655/"} +{"d:Title": "Poets' Corner: Christabel", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works/Christabel", "url": "http://www.theotherpages.org/poems/coler02.html"} +{"d:Title": "Literature Network: Kubla Khan", "d:Description": "Includes the complete text, a search feature, and author information.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works/Kubla_Khan", "url": "http://www.online-literature.com/coleridge/640/"} +{"d:Title": "Kubla Khan", "d:Description": "E-text.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works/Kubla_Khan", "url": "http://www.naic.edu/~gibson/poems/coleridge1.html"} +{"d:Title": "Page By Page Books: The Rime of the Ancient Mariner", "d:Description": "Contains the etext organized in seven parts.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works/Rime_of_the_Ancient_Mariner,_The", "url": "http://pagebypagebooks.com/Samuel_Taylor_Coleridge/The_Rime_of_the_Ancient_Mariner/"} +{"d:Title": "Literature Network: The Rime of the Ancient Mariner", "d:Description": "Includes the complete text, a search feature, and author information.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works/Rime_of_the_Ancient_Mariner,_The", "url": "http://www.online-literature.com/coleridge/646/"} +{"d:Title": "The Rime of the Ancient Mariner", "d:Description": "Contains the full text of the work with sidenotes.", "topic": "Top/Arts/Literature/Authors/C/Coleridge,_Samuel_Taylor/Works/Rime_of_the_Ancient_Mariner,_The", "url": "http://www.theotherpages.org/poems/coler01.html"} +{"d:Title": "Allreaders Colette Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of Colette's books, plus links to similar books.", "topic": "Top/Arts/Literature/Authors/C/Colette", "url": "http://allreaders.com/books/colette-1015"} +{"d:Title": "Guardian Unlimited Books: Eoin Colfer", "d:Description": "Interview.", "topic": "Top/Arts/Literature/Authors/C/Colfer,_Eoin", "url": "http://www.theguardian.com/books/2001/may/13/booksforchildrenandteenagers.features"} +{"d:Title": "O'Brien Books: Eoin Colfer", "d:Description": "Biography and bibliography.", "topic": "Top/Arts/Literature/Authors/C/Colfer,_Eoin", "url": "http://www.obrien.ie/eoin-colfer"} +{"d:Title": "Artemis Fowl", "d:Description": "Official site, including a virtual tour of the underworld, quotes, author information and tour dates, and two sample chapters. [Flash]", "topic": "Top/Arts/Literature/Authors/C/Colfer,_Eoin/Artemis_Fowl", "url": "http://www.artemisfowl.com/"} +{"d:Title": "Artemis Fowl Fun Site", "d:Description": "Games, reviews, rumours, and film information.", "topic": "Top/Arts/Literature/Authors/C/Colfer,_Eoin/Artemis_Fowl", "url": "http://artemisfowl.tripod.com/"} +{"d:Title": "USA Today: Artemis Fowl", "d:Description": "Review by Deirdre Donahue: \"Despite the hype, 'Artemis Fowl' is no 'Potter.'\"", "topic": "Top/Arts/Literature/Authors/C/Colfer,_Eoin/Artemis_Fowl", "url": "http://www.usatoday.com/life/enter/books/2001-05-01-artemis-fowl-review.htm"} +{"d:Title": "Artemis Fowl Confidential", "d:Description": "Fansite, with news, games, quizzes, movie and book information, interviews, a wiki and competitions to win signed merchandise.", "topic": "Top/Arts/Literature/Authors/C/Colfer,_Eoin/Artemis_Fowl", "url": "http://www.artemis-fowl.com/"} +{"d:Title": "Artemis Fowl FanGathering", "d:Description": "Fansite covering the books, movies, wallpapers, interactive fanart, fonts, games, trivia, and codes.", "topic": "Top/Arts/Literature/Authors/C/Colfer,_Eoin/Artemis_Fowl", "url": "http://artemisfowl.fangathering.com/"} +{"d:Title": "Ace Collins", "d:Description": "Ace Collins has written more fifty books on subjects such as Louise and Barbara Mandrell, Evel Knievel, the Cathedrals, Lassie, Bette Midler, country and gospel music, the Dixie Chicks, novelty songs and Negro baseball.", "topic": "Top/Arts/Literature/Authors/C/Collins,_Ace", "url": "http://www.acecollins.com/"} +{"d:Title": "Lit Controversy : Billy Collins", "d:Description": "Thread regarding American poet laureate Billy Collins and his work.", "topic": "Top/Arts/Literature/Authors/C/Collins,_Billy", "url": "http://www.beilharz.com/controversy/collins.html"} +{"d:Title": "Akoot.com Famous Writers: Billy Collins", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Collins,_Billy", "url": "http://www.akoot.com/billycollins.html"} +{"d:Title": "Billy Collins - The Academy of American Poets", "d:Description": "The Academy of American Poets presents a biography, photograph and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Collins,_Billy", "url": "http://www.poets.org/poetsorg/poet/billy-collins"} +{"d:Title": "Bartleby.com - Padraic Colum", "d:Description": "Online publication of Padraic Colum's classic retelling of \"The Adventures of Odysseus and the Tale of Troy.\"", "topic": "Top/Arts/Literature/Authors/C/Colum,_Padraic", "url": "http://www.bartleby.com/75/"} +{"d:Title": "Padraic Colum", "d:Description": "Many of his poems.", "topic": "Top/Arts/Literature/Authors/C/Colum,_Padraic", "url": "http://www.bartleby.com/people/Colum-Pa.html"} +{"d:Title": "The Ivy Compton-Burnett Home Page", "d:Description": "Examines the life and work of the English novelist Ivy Compton-Burnett (1884-1969).", "topic": "Top/Arts/Literature/Authors/C/Compton-Burnett,_Ivy", "url": "http://www.brightlightsfilm.com/ivy/"} +{"d:Title": "TheatreHistory.com: William Congreve", "d:Description": "Biography of the English dramatist, by Algernon Charles Swinburne in the Encyclop\u00e6dia Britannica, 11th ed.", "topic": "Top/Arts/Literature/Authors/C/Congreve,_William", "url": "http://www.theatrehistory.com/british/congreve001.html"} +{"d:Title": "Moonstruck Drama Bookstore: William Congreve", "d:Description": "Biography, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Authors/C/Congreve,_William", "url": "http://www.imagi-nation.com/moonstruck/clsc58.html"} +{"d:Title": "Find A Grave: William Congreve", "d:Description": "Photographs of the his final resting place in Westminster Abbey, links to those buried nearby, and interactive visitor comments.", "topic": "Top/Arts/Literature/Authors/C/Congreve,_William", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1273"} +{"d:Title": "Free Online Library: Joseph Conrad (1857-1924)", "d:Description": "Brief biography and texts of selected stories.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph", "url": "http://conrad.thefreelibrary.com/"} +{"d:Title": "Eldritch Press: Joseph Conrad (1857-1924)", "d:Description": "Online text of The Secret Agent, with an introduction to the novel, and a newspaper article about a possible connection with the Unabomber. Also two short stories.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph", "url": "http://www.eldritchpress.org/jc/conrad.html"} +{"d:Title": "The Joseph Conrad Society (UK)", "d:Description": "Promotes study of the life and writings of Joseph Conrad. Publishes a journal and holds an annual international conference. News items, tips for students, online reviews, membership information.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph", "url": "http://www.josephconradsociety.org/index.htm"} +{"d:Title": "Joseph Conrad Foundation", "d:Description": "This extensive site on Conrad and his work includes book reviews, information on collecting editions of Conrad's works, and numerous links to Conrad-related resources (academic and otherwise) on the 'net.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph", "url": "http://jtknk.tripod.com/"} +{"d:Title": "Joseph Conrad Study Centre", "d:Description": "Part of the Opole University in Poland. Information on the Centre and its activities, book news, illustrated biography, bibliography of Conrad criticism in English and Polish, photo gallery.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph", "url": "http://conrad-centre.w.interiowo.pl/pages/home_en.html"} +{"d:Title": "The Joseph Conrad Society of America", "d:Description": "Promotes the study of Conrad, primarily in the United States and Canada. Membership information, officers, upcoming conferences of interest, recent publications, links.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph", "url": "http://josephconrad.org/"} +{"d:Title": "Allreaders.com: Joseph Conrad", "d:Description": "An analysis of the author's works, and a list of similar books.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph", "url": "http://allreaders.com/books/joseph-conrad-224"} +{"d:Title": "Nostromo by Joseph Conrad", "d:Description": "Complete chapter-indexed hypertext and e-text from the Literature Project.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works", "url": "http://literatureproject.com/nostromo/index.htm"} +{"d:Title": "Nostromo", "d:Description": "The complete text, with annotations.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works", "url": "http://www.nostromoonline.com/"} +{"d:Title": "Bibliomania: Joseph Conrad", "d:Description": "Includes Lord Jim, Nostromo and The Secret Agent.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works", "url": "http://www.bibliomania.com/0/0/15/"} +{"d:Title": "Literature Network: Joseph Conrad", "d:Description": "Selected fiction, including Lord Jim, The Secret Agent, and Nostromo.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works", "url": "http://www.online-literature.com/conrad/"} +{"d:Title": "Conrad, Joseph (1857-1924)", "d:Description": "Several of the author's books. In HTML, with each chapter in its own file. Also available as zip files. At the University of Adelaide Library.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works", "url": "http://ebooks.adelaide.edu.au/c/conrad/joseph/"} +{"d:Title": "Page By Page Books: Heart of Darkness", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works/Heart_of_Darkness", "url": "http://www.pagebypagebooks.com/Joseph_Conrad/Heart_of_Darkness/"} +{"d:Title": "Literature Network: Heart of Darkness", "d:Description": "Free online version of book.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works/Heart_of_Darkness", "url": "http://www.online-literature.com/conrad/heart_of_darkness/"} +{"d:Title": "Novelguide.com", "d:Description": "Summary of the work's plot and themes.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works/Heart_of_Darkness", "url": "http://www.novelguide.com/heart-of-darkness"} +{"d:Title": "Literature Network: The Secret Agent", "d:Description": "Free online version.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works/Secret_Agent,_The", "url": "http://www.online-literature.com/conrad/secret_agent/"} +{"d:Title": "The Secret Agent", "d:Description": "1907 text, with author's introduction from 1920. In HTML, with one file per chapter.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works/Secret_Agent,_The", "url": "http://www.eldritchpress.org/jc/sa/sa.html"} +{"d:Title": "The Secret Agent: Married to the Devil", "d:Description": "Essay arguing that Winnie's fate shows the consequences of the passivity of the late-Victorian woman.", "topic": "Top/Arts/Literature/Authors/C/Conrad,_Joseph/Works/Secret_Agent,_The", "url": "http://www.literature-study-online.com/essays/conrad.html"} +{"d:Title": "Pat Conroy", "d:Description": "official website of the late author.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "http://www.patconroy.com/"} +{"d:Title": "Pat Conroy, the Lowcountry's Prince of Tides, passes away", "d:Description": "Article from the Charleston Post Courier.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "http://www.postandcourier.com/article/20160304/PC16/160309613"} +{"d:Title": "Goodreads: Pat Conroy", "d:Description": "Lists brief biography, quotes, reviews, and bibliography.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "http://www.goodreads.com/author/show/6942.Pat_Conroy"} +{"d:Title": "Wikipedia: Pat Conroy", "d:Description": "Provides biography, works, and writing career.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "https://en.wikipedia.org/wiki/Pat_Conroy"} +{"d:Title": "Southern Living: Pat Conroy's Lowcountry", "d:Description": "Article about and interview with the author.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "http://www.southernliving.com/travel/south-east/pat-conroys-lowcountry"} +{"d:Title": "The Island Packet: Beaufort\u2019s prince Pat Conroy rests at home", "d:Description": "Article about the life and death of the author in the Beaufort, SC newspaper.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "http://www.islandpacket.com/opinion/opn-columns-blogs/david-lauderdale/article64158467.html"} +{"d:Title": "Pat Conroy, best-selling author of \u2018Great Santini\u2019 and \u2018Prince of Tides,\u2019 dies at 70", "d:Description": "Article in the Washington Post.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "https://www.washingtonpost.com/entertainment/books/pat-conroy-best-selling-author-of-great-santini-and-prince-of-tides-dies-at-70/2016/03/04/9390e9a4-e288-11e5-8d98-4b3d9215ade1_story.html"} +{"d:Title": "Facebook: Pat Conroy", "d:Description": "News, videos, and photographs.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "https://www.facebook.com/PatConroyAuthor/"} +{"d:Title": "Pat Conroy Literary Festival", "d:Description": "Held in Beaufort, South Carolina. Features dates, presenters, events, schedule, and location.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "http://www.sc.edu/uscpress/microsites/conroyfestival/index.html"} +{"d:Title": "Pat Conroy Literary Center", "d:Description": "Will cultivate a passionate and inclusive reading and writing community in honor of the beloved Beaufort, South Carolina author Pat Conroy,. Includes news, events, programs, history, and contact information.", "topic": "Top/Arts/Literature/Authors/C/Conroy,_Pat", "url": "http://patconroyliterarycenter.org/"} +{"d:Title": "Robin Cook", "d:Description": "Book reviews, about the author, bibliography.", "topic": "Top/Arts/Literature/Authors/C/Cook,_Robin", "url": "http://www.twbooks.co.uk/authors/rcook.html"} +{"d:Title": "McNaughton Collection", "d:Description": "Brief summary of Acceptable Risk.", "topic": "Top/Arts/Literature/Authors/C/Cook,_Robin", "url": "http://www.bradley.edu/irt/lib/libweb/special/ellie/mcn/rcook-ar.html"} +{"d:Title": "Cook Review", "d:Description": "A review of Acceptable Risk and Contagion, by Robin Cook.", "topic": "Top/Arts/Literature/Authors/C/Cook,_Robin/Reviews", "url": "http://www.twbooks.co.uk/reviews/cook.html"} +{"d:Title": "Allreaders: Robin Cook", "d:Description": "Analysis of the plot, theme, setting and characters of the author's books, plus links to similar books.", "topic": "Top/Arts/Literature/Authors/C/Cook,_Robin/Reviews", "url": "http://allreaders.com/books/robin-cook-645"} +{"d:Title": "Enotes: Elizabeth Cook-Lynn", "d:Description": "Biography, major works, and critical reception.", "topic": "Top/Arts/Literature/Authors/C/Cook-Lynn,_Elizabeth", "url": "http://www.enotes.com/contemporary-literary-criticism/cook-lynn-elizabeth"} +{"d:Title": "Voices from the Gaps: Elizabeth Cook-Lynn", "d:Description": "Biography and criticism, bibliography, and links.", "topic": "Top/Arts/Literature/Authors/C/Cook-Lynn,_Elizabeth", "url": "http://voices.cla.umn.edu/artistpages/cookLynn.php"} +{"d:Title": "Catherine Cookson Dies", "d:Description": "Page from BBC news online, reporting the death of Dame Catherine Cookson, one of the most popular romantic authors, just nine days short of her 92nd birthday.", "topic": "Top/Arts/Literature/Authors/C/Cookson,_Catherine", "url": "http://news.bbc.co.uk/1/hi/uk/110851.stm"} +{"d:Title": "Elizabeth Cookson", "d:Description": "Biography and genealogy of the Manx author.", "topic": "Top/Arts/Literature/Authors/C/Cookson,_Elizabeth", "url": "http://www.isle-of-man.com/manxnotebook/people/writers/ecookson.htm"} +{"d:Title": "Jacket 13 - Clark Coolidge Feature", "d:Description": "Poems by, an interview with and essays on Clark Coolidge.", "topic": "Top/Arts/Literature/Authors/C/Coolidge,_Clark", "url": "http://jacketmagazine.com/13/coolidge-10.html"} +{"d:Title": "Clark Coolidge Author's Page, Electronic Poetry Center", "d:Description": "An edited resource of the Electronic Poetry Center devoted to the presentation of full-text resources for the writing of Clark Coolidge.", "topic": "Top/Arts/Literature/Authors/C/Coolidge,_Clark", "url": "http://epc.buffalo.edu/authors/coolidge/"} +{"d:Title": "Bibliomania: Susan Coolidge", "d:Description": "The full text of \"What Katy did Next\", along with a short biography.", "topic": "Top/Arts/Literature/Authors/C/Coolidge,_Susan", "url": "http://www.bibliomania.com/Fiction/coolidge/index.html"} +{"d:Title": "Allreaders Caroline Cooney Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Authors/C/Cooney,_Caroline", "url": "http://allreaders.com/books/caroline-b-cooney-995"} +{"d:Title": "Jane Cooper", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/C/Cooper,_Jane", "url": "http://www.poets.org/poetsorg/poet/jane-cooper"} +{"d:Title": "TheLostLand.com", "d:Description": "Official web site of author Susan Cooper. Biography, descriptions of all her books, information on her stage and screen adaptations.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Cooper,_Susan", "url": "http://www.thelostland.com/"} +{"d:Title": "Susan Cooper Yahoo Group", "d:Description": "Fan forum.", "topic": "Top/Arts/Literature/Authors/C/Cooper,_Susan", "url": "http://groups.yahoo.com/group/susancooper/"} +{"d:Title": "Interview with Susan Cooper", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/Authors/C/Cooper,_Susan", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-susan-cooper"} +{"d:Title": "Female Suffrage: A Letter to the Christian Women of America", "d:Description": "Project Gutenberg edition of the anti-suffrage writing of Susan Fenimore Cooper.", "topic": "Top/Arts/Literature/Authors/C/Cooper,_Susan_Fenimore", "url": "http://external.oneonta.edu/cooper/susan/suffrage.html"} +{"d:Title": "Susan Fenimore Cooper", "d:Description": "A page devoted to Susan Fenimore Cooper (1813-1894), with texts, articles, reference materials, and links.", "topic": "Top/Arts/Literature/Authors/C/Cooper,_Susan_Fenimore", "url": "http://external.oneonta.edu/cooper/susan.html"} +{"d:Title": "Off the page", "d:Description": "Rebecca Dorr interviews Robert Coover on the ways that hypertext might shape literature. [Providence Phoenix]", "topic": "Top/Arts/Literature/Authors/C/Coover,_Robert", "url": "http://www.providencephoenix.com/archive/books/99/04/01/COOVER.html"} +{"d:Title": "Featured Author: Robert Coover", "d:Description": "Book reviews and other articles from the New York Times. Requires free registration.", "topic": "Top/Arts/Literature/Authors/C/Coover,_Robert", "url": "http://www.nytimes.com/books/98/09/27/specials/coover.html"} +{"d:Title": "Jeanne Cordelier", "d:Description": "Biography and bibliography of the French writer; new book on Vietnam, words of the month and links to books", "topic": "Top/Arts/Literature/Authors/C/Cordelier,_Jeanne", "url": "http://www.jeannecordelier.com/"} +{"d:Title": "The Treasure of Heaven (1906)", "d:Description": "Bartleby etext.", "topic": "Top/Arts/Literature/Authors/C/Corelli,_Marie", "url": "http://www.indiana.edu/~letrs/vwwp/corelli/treasure.html"} +{"d:Title": "Tangled Web", "d:Description": "Synopses and bibliography for Cornwell's British fans.", "topic": "Top/Arts/Literature/Authors/C/Cornwell,_Patricia", "url": "http://www.twbooks.co.uk/authors/pcornwell.html"} +{"d:Title": "Patricia Cornwell", "d:Description": "A revealing look at Patricia Cornwell, her body of work, her lifestyle, and her charitable activities. The author's official site.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Cornwell,_Patricia", "url": "http://www.patriciacornwell.com/"} +{"d:Title": "AllReaders.com", "d:Description": "Patricia Cornwell reviews and message board.", "topic": "Top/Arts/Literature/Authors/C/Cornwell,_Patricia", "url": "http://allreaders.com/books/patricia-cornwell-113"} +{"d:Title": "Gregory Corso, 1930-2001", "d:Description": "Article by Robert Creeley on Corso's death, January 17, 2001.", "topic": "Top/Arts/Literature/Authors/C/Corso,_Gregory", "url": "http://epc.buffalo.edu/authors/creeley/corso.html"} +{"d:Title": "Gregory Corso", "d:Description": "Short biography by The Museum of American Poetics, with a link to a video from a Corso reading.", "topic": "Top/Arts/Literature/Authors/C/Corso,_Gregory", "url": "http://www.poetspath.com/CORSO.HTML"} +{"d:Title": "A Tribute to Gregory Corso", "d:Description": "Woodstock Journal, September 1-15, 2000 Vol. 6, No. 18 and September 15-29, 2000 - Vol. 6, No. 19.", "topic": "Top/Arts/Literature/Authors/C/Corso,_Gregory", "url": "http://www.woodstockjournal.com/corso.html"} +{"d:Title": "The Beat Page - Gregory Corso", "d:Description": "Brief biography and small selection of poems.", "topic": "Top/Arts/Literature/Authors/C/Corso,_Gregory", "url": "http://www.rooknet.net/beatpage/writers/corso.html"} +{"d:Title": "Modern American Poetry Site for Gregory Corso", "d:Description": "Biographical note, on \"Bomb,\" on \"Marriage,\" works cited. Prepared and Compiled by Michael Skau.", "topic": "Top/Arts/Literature/Authors/C/Corso,_Gregory", "url": "http://www.english.illinois.edu/maps/poets/a_f/corso/corso.htm"} +{"d:Title": "Gregory Corso", "d:Description": "The Academy of American Poets presents a biography, photograph, and links.", "topic": "Top/Arts/Literature/Authors/C/Corso,_Gregory", "url": "http://www.poets.org/poetsorg/poet/gregory-corso"} +{"d:Title": "The Maternal Figure as Object of Desire in the Short Stories of Julio Cort\u00e1zar", "d:Description": "or Writing/Fantasizing/Desiring the Maternal Body in the Short Stories of Julio Cort\u00e1zar. A paper by Cynthia Schmidt-Cruz, University of Delaware.", "topic": "Top/Arts/Literature/Authors/C/Cort\u00e1zar,_Julio", "url": "http://lanic.utexas.edu/project/lasa95/schmidt.html"} +{"d:Title": "Fafner the Dragon", "d:Description": "Short story by Julio Cort\u00e1zar.", "topic": "Top/Arts/Literature/Authors/C/Cort\u00e1zar,_Julio", "url": "http://www.vanagon.com/fun/literature/cortazar.html"} +{"d:Title": "Julio Cortazar", "d:Description": "Reading guides and notes about his book Hopscotch.", "topic": "Top/Arts/Literature/Authors/C/Cort\u00e1zar,_Julio", "url": "http://www2.ups.edu/faculty/velez/FL380/Cormain.htm"} +{"d:Title": "Julio Cort\u00e1zar Bibliography", "d:Description": "A bibliography of Julio Cortazar's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/C/Cort\u00e1zar,_Julio", "url": "https://www.fantasticfiction.com/c/julio-cortazar/"} +{"d:Title": "AfroPoets.Net Famous Black Writers: Jayne Cortez", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Cortez,_Jayne", "url": "http://www.afropoets.net/jaynecortez.html"} +{"d:Title": "Jayne Cortez", "d:Description": "The Academy of American Poets presents a biography and links.", "topic": "Top/Arts/Literature/Authors/C/Cortez,_Jayne", "url": "http://www.poets.org/poetsorg/poet/jayne-cortez"} +{"d:Title": "Douglas Coupland", "d:Description": "Official site. Includes articles, excerpts from novels and essays, tour diary, and a daily online magazine. [Requires Flash]", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas", "url": "http://www.coupland.com/"} +{"d:Title": "Yahoo! Groups: Douglas Coupland", "d:Description": "A fan club where fans of the Canadian author may chat or post messages.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas", "url": "http://groups.yahoo.com/group/douglascoupland/"} +{"d:Title": "The Douglas Coupland Webring", "d:Description": "Links to various Coupland sites around the web.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas", "url": "http://www.webring.org/hub?ring=coupland"} +{"d:Title": "Free Williamsburg: Douglas Coupland", "d:Description": "An interview by Alexander Laurence with a discussion about the author's book, \"Miss Wyoming\".", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Interviews", "url": "http://www.freewilliamsburg.com/still_fresh/coupland.html"} +{"d:Title": "Alt-X Online: Interview With Douglas Coupland", "d:Description": "An interview by Alexander Laurence from 1994.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Interviews", "url": "http://www.altx.com/interviews/douglas.coupland.html"} +{"d:Title": "Spike: From Fear To Eternity", "d:Description": "Chris Mitchell interviews the author about his book, \"Polaroids From The Dead\".", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Interviews", "url": "http://www.spikemagazine.com/1296coup.php"} +{"d:Title": "Wired: A Couple of Hyped Guys Sitting Around", "d:Description": "John Battelle interviews Douglas Coupland and director Richard Linklater and discusses celebrity, technology, and solitude as the ultimate radical act.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Interviews", "url": "http://www.wired.com/1994/12/linklater/"} +{"d:Title": "The Barcelona Review: Douglas Coupland", "d:Description": "Contains a blurb about the author and his short story, \"Fire at the Ativan Factory\". [English/Spanish/Catalan]", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Works", "url": "http://www.barcelonareview.com/bio/dc_b07.htm"} +{"d:Title": "Forbes.com: Lost in Solitaire", "d:Description": "The author writes about playing electronic solitaire and killing time on an airplane.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Works", "url": "http://www.forbes.com/asap/1998/1130/109.html"} +{"d:Title": "Guardian Unlimited Books: All Families are Psychotic", "d:Description": "Excerpt from the first chapter of the novel.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Works", "url": "http://www.theguardian.com/books/2001/sep/18/douglascoupland"} +{"d:Title": "Microserfs", "d:Description": "Excerpts from the novel. Originally published in WIRED 2.01.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Works", "url": "http://www.wired.com/1994/01/microserfs/"} +{"d:Title": "Microserfs:Transhumanity", "d:Description": "Excerpt from the last chapter of the novel.", "topic": "Top/Arts/Literature/Authors/C/Coupland,_Douglas/Works", "url": "http://www.wired.com/1995/07/coupland/"} +{"d:Title": "Dr. Pluss", "d:Description": "Fictionalized account based on actual dialogues with schizophrenic patients, psychotherapists and well-meaning yet unerringly destructive social workers.", "topic": "Top/Arts/Literature/Authors/C/Couteau,_Rob", "url": "http://www.tygersofwrath.com/plusscontents.htm"} +{"d:Title": "Psychology and Literature: \"Sublunary Delights\" by Rob Couteau", "d:Description": "Novella excerpt from \"Doctor Pluss\" by Rob Couteau, published in the Hawaii Pacific Review. Explores issues of religion, art, and psychology.", "topic": "Top/Arts/Literature/Authors/C/Couteau,_Rob", "url": "http://www.tygersofwrath.com/1hawaii.htm"} +{"d:Title": "Carmen Covito Official Website", "d:Description": "\"Contemporary Italian literature by an Italian woman novelist. Includes 'Utilities for Bookworms and Cyberfeminists.' (Bilingual: Italian and English).\"", "topic": "Top/Arts/Literature/Authors/C/Covito,_Carmen", "url": "http://www.carmencovito.com/"} +{"d:Title": "Bartlett's Familiar Quotations: William Cowper", "d:Description": "(at Bartleby Library)", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William", "url": "http://www.bartleby.com/100/278.html"} +{"d:Title": "William Cowper", "d:Description": "Brief biography and links at the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William", "url": "http://www.poets.org/poetsorg/poet/william-cowper"} +{"d:Title": "My Mary", "d:Description": "Poem (from The Oxford Book of English Verse)", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works", "url": "http://www.bartleby.com/101/471.html"} +{"d:Title": "Translations from the French of Madame de la Mothe Guion", "d:Description": "Meditative religious poetry: at CCEL.", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works", "url": "http://www.ccel.org/c/cowper/guyonpoems/"} +{"d:Title": "To Mary Unwin", "d:Description": "Poem (from The Oxford Book of English Verse)", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works", "url": "http://www.bartleby.com/101/470.html"} +{"d:Title": "Passions in Poetry - Classical Poems by William Cowper", "d:Description": "(with short biographical note)", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works", "url": "http://netpoets.com/classic/019000.htm"} +{"d:Title": "The Works of William Cowper", "d:Description": "Frequently anthologized and studied poems, Olney Hymns, and other works.", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works", "url": "http://www.luminarium.org/eightlit/cowper/cowperbib.php"} +{"d:Title": "Olney Hymns", "d:Description": "Hymnal written and published by Newton and William Cowper; complete online text @CCEL.", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works/Olney_Hymns", "url": "http://www.ccel.org/n/newton/olneyhymns/"} +{"d:Title": "The Poems of William Cowper", "d:Description": "An index of works of William Cowper on Fire and Ice, including many hymns.", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works/Olney_Hymns", "url": "http://www.puritansermons.com/poetry/COWPINDX.htm"} +{"d:Title": "Poets' Corner: William Cowper", "d:Description": "Includes all of Cowper's hymns from \"Olney Hymns.\"", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works/Olney_Hymns", "url": "http://www.theotherpages.org/poems/olney.html"} +{"d:Title": "The Olney Hymns by John Newton", "d:Description": "Biographical and literary notes from the Victorian Web.", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works/Olney_Hymns", "url": "http://www.victorianweb.org/religion/hymns/olney.html"} +{"d:Title": "William Cowper", "d:Description": "Biography and hymns of William Cowper (@CyberHymnal)", "topic": "Top/Arts/Literature/Authors/C/Cowper,_William/Works/Olney_Hymns", "url": "http://www.hymntime.com/tch/bio/c/o/w/cowper_w.htm"} +{"d:Title": "Hart Crane", "d:Description": "Includes brief biography and bibliography by Professor Eiichi Hishikawa.", "topic": "Top/Arts/Literature/Authors/C/Crane,_Hart", "url": "http://www.lit.kobe-u.ac.jp/~hishika/crane.htm"} +{"d:Title": "Hart Crane - Poems and Biography by AmericanPoems.com", "d:Description": "Short biography and a selection of poems including \"Fear\" and \"Summer.\"", "topic": "Top/Arts/Literature/Authors/C/Crane,_Hart", "url": "http://www.americanpoems.com/poets/Hart-Crane"} +{"d:Title": "For Hart Crane", "d:Description": "A text presentation of a poem on Crane's death.", "topic": "Top/Arts/Literature/Authors/C/Crane,_Hart", "url": "http://mailer.fsu.edu/~kbeattie/09_Crane/crane.html"} +{"d:Title": "Hart Crane", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Crane,_Hart", "url": "http://www.poets.org/poetsorg/poet/hart-crane"} +{"d:Title": "Hart Crane - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/C/Crane,_Hart/Works", "url": "http://www.theotherpages.org/poems/crane10.html"} +{"d:Title": "At Melville's Tomb", "d:Description": "Text of poem.", "topic": "Top/Arts/Literature/Authors/C/Crane,_Hart/Works", "url": "http://www.naic.edu/~gibson/poems/crane1.html"} +{"d:Title": "Adelaide Crapsey - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/C/Crapsey,_Adelaide", "url": "http://www.theotherpages.org/poems/crapsey1.html"} +{"d:Title": "Richard Crashaw (1613-1649)", "d:Description": "\"Biography, works, and web resources for the metaphysical poet.\" Webpages dedicated to Crashaw and his works at luminarium.org.", "topic": "Top/Arts/Literature/Authors/C/Crashaw,_Richard", "url": "http://www.luminarium.org/sevenlit/crashaw/"} +{"d:Title": "A Hymn to the Name and Honor of the Admirable Sainte Teresa", "d:Description": "A Poem by Richard Crashaw, from The Oxford Book of English Mystical Verse.", "topic": "Top/Arts/Literature/Authors/C/Crashaw,_Richard", "url": "http://www.bartleby.com/236/28.html"} +{"d:Title": "The Flaming Heart", "d:Description": "A Poem by Richard Crashaw: \"Vpon the book and Picture of the seraphicall saint Teresa, (as she is vsvally expressed with a Seraphim biside her),\" from The Oxford Book of English Mystical Verse.", "topic": "Top/Arts/Literature/Authors/C/Crashaw,_Richard", "url": "http://www.bartleby.com/236/29.html"} +{"d:Title": "Richard Crashaw", "d:Description": "Biographical article on the poet, in the Catholic Encyclopedia.", "topic": "Top/Arts/Literature/Authors/C/Crashaw,_Richard", "url": "http://www.newadvent.org/cathen/04467a.htm"} +{"d:Title": "Richard Crashaw: Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/Authors/C/Crashaw,_Richard", "url": "http://www.theotherpages.org/poems/crashaw1.html"} +{"d:Title": "Richard Crashaw", "d:Description": "Brief biography and links to several poems.", "topic": "Top/Arts/Literature/Authors/C/Crashaw,_Richard", "url": "http://www.englishverse.com/poets/crashaw_richard"} +{"d:Title": "Amarillo Bay", "d:Description": "Online literary magazine founded by Craven and Robert E. Whitsitt.", "topic": "Top/Arts/Literature/Authors/C/Craven,_Jerry", "url": "http://www.amarillobay.org/"} +{"d:Title": "Robert Creeley - Poems and Biography", "d:Description": "Short biography and a selection of his poems.", "topic": "Top/Arts/Literature/Authors/C/Creeley,_Robert", "url": "http://www.americanpoems.com/poets/Robert-Creeley"} +{"d:Title": "EPC/Robert Creeley Author Home Page", "d:Description": "Creeley's homepage at SUNY Buffalo's Electronic Poetry Center.", "topic": "Top/Arts/Literature/Authors/C/Creeley,_Robert", "url": "http://epc.buffalo.edu/authors/creeley/"} +{"d:Title": "Robert Creeley", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Creeley,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-creeley"} +{"d:Title": "A Form of Women", "d:Description": "Creeley's poem at Boppin' a Riff.", "topic": "Top/Arts/Literature/Authors/C/Creeley,_Robert/Works", "url": "http://www.boppin.com/poets/creeley.htm"} +{"d:Title": "Harry Crews: A Large&Startling Figure", "d:Description": "Includes a biography and extensive bibliographical information (combined with book-purchasing information).", "topic": "Top/Arts/Literature/Authors/C/Crews,_Harry", "url": "http://www.harrycrews.org/"} +{"d:Title": "Michael Crichton: From Scientist to Novelist", "d:Description": "A comparative review of \"The Andromeda Strain\" and \"Sphere\" show drastic changes over time in Crichton's writing style.", "topic": "Top/Arts/Literature/Authors/C/Crichton,_Michael", "url": "http://tal.forum2.org/crichton"} +{"d:Title": "The Official Michael Crichton Website", "d:Description": "The official site features in-depth information on his books and film projects.", "priority": "1", "topic": "Top/Arts/Literature/Authors/C/Crichton,_Michael", "url": "http://www.michaelcrichton.com/"} +{"d:Title": "Alison Croggon's Home Page", "d:Description": "Recent writing and information on Alison Croggon, poems, prose, interviews.", "topic": "Top/Arts/Literature/Authors/C/Croggon,_Alison", "url": "http://www.alisoncroggon.com/"} +{"d:Title": "The Poet in an Epoch of Affluence", "d:Description": "Poem by MTC Cronin in Jacket #8.", "topic": "Top/Arts/Literature/Authors/C/Cronin,_M._T._C.", "url": "http://jacketmagazine.com/08/cronin-poem.html"} +{"d:Title": "Huge&Pale and Apologizing to the Ladybird\u2019s Spirit", "d:Description": "Two poems by M.T.C. Cronin in Salt River Review.", "topic": "Top/Arts/Literature/Authors/C/Cronin,_M._T._C.", "url": "http://www.poetserv.org/SRR15/cronin.html"} +{"d:Title": "Autobiographies - Inventive Connections", "d:Description": "John Bennett reviews M.T.C. Cronin's poetry.", "topic": "Top/Arts/Literature/Authors/C/Cronin,_M._T._C.", "url": "http://jacketmagazine.com/11/benn-cron.html"} +{"d:Title": "Azuzena (Purity)", "d:Description": "Text of Azuzena and other poems.", "topic": "Top/Arts/Literature/Authors/C/Cronin,_M._T._C.", "url": "http://www.jackmagazine.com/issue8/poetrycronin.html"} +{"d:Title": "Allreaders Patricia Crossley Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her best novels, and links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Authors/C/Crossley,_Patricia", "url": "http://allreaders.com/books/patricia-crossley-542"} +{"d:Title": "Victor Hernandez Cruz", "d:Description": "The Academy of American Poets presents a biography, bibliography, and links.", "topic": "Top/Arts/Literature/Authors/C/Cruz,_Victor_Hern\u00e1ndez", "url": "http://www.poets.org/poetsorg/poet/victor-hern%C3%A1ndez-cruz"} +{"d:Title": "AfroPoets.Net Famous Black Writers: Countee Cullen", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/C/Cullen,_Countee", "url": "http://www.afropoets.net/counteecullen.html"} +{"d:Title": "Countee Cullen", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/C/Cullen,_Countee", "url": "http://www.poets.org/poetsorg/poet/countee-cullen"} +{"d:Title": "American Poems: E. E. Cummings", "d:Description": "Brief biography, selection of poems and recommended books.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E.", "url": "http://www.americanpoems.com/poets/eecummings/"} +{"d:Title": "E.E. Cummings top 10 lists", "d:Description": "Lists of the author's top 10 poems and top 10 phrases from Cummings' poetry.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E.", "url": "http://www.owenyoungman.com/Pages/cummings.html"} +{"d:Title": "An Unofficial E. E. Cummings Starting Point", "d:Description": "Informational page with links to related resources.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E.", "url": "http://dwipf.tripod.com/cummings.html"} +{"d:Title": "E. E. Cummings", "d:Description": "Biography, a photograph, selected poems and a bibliography.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E.", "url": "http://www.poets.org/poetsorg/poet/e-e-cummings"} +{"d:Title": "Modern American Poetry: E.E.Cummings", "d:Description": "Collection of criticisms from different sources, and 'Reviews of Selected Poetry Collections'.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Reviews", "url": "http://www.english.illinois.edu/maps/poets/a_f/cummings/cummings.htm"} +{"d:Title": "\"Buffalo Bill's...\"", "d:Description": "From \"Tulips and Chimneys\", 1923.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.boppin.com/cummings.html"} +{"d:Title": "\"next to of course god america i...\"", "d:Description": "From \"is 5\", 1926. Includes questions about the poem.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://unix.cc.wmich.edu/~cooneys/poems/cummings.nextto.html"} +{"d:Title": "\"ygUDuh ...\"", "d:Description": "From 1 x 1 [One Times One], 1944. With reader comments.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://unix.cc.wmich.edu/~cooneys/poems/cummings.ygUDuh.html"} +{"d:Title": "\"it is at moments after i have dreamed...\"", "d:Description": "From \"Tulips and Chimneys\", 1923.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.americanpoems.com/poets/eecummings/11932"} +{"d:Title": "\"(once like a spark)...\"", "d:Description": "From Marianne Mueller's page.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.americanpoems.com/poets/eecummings/325"} +{"d:Title": "\"all which isn't singing is mere talking...\"", "d:Description": "From \"73 poems\".", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.americanpoems.com/poets/eecummings/11876"} +{"d:Title": "\"enter no(silence is the blood whose flesh...\"", "d:Description": "Complete text of the poem.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.americanpoems.com/poets/eecummings/11898"} +{"d:Title": "\"Me up at does...\"", "d:Description": "From Marianne Mueller's page.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.americanpoems.com/poets/eecummings/305"} +{"d:Title": "\"i have found what you are like...\"", "d:Description": "From \"Tulips and Chimneys\", 1923.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.americanpoems.com/poets/eecummings/11921"} +{"d:Title": "\"what if a much of a which of a wind...\"", "d:Description": "From Leon Malinofsky's page.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://plexipages.com/reflections/whatif.html"} +{"d:Title": "\"The boys i mean are not refined...\"", "d:Description": "Complete text from Leon Malinofsky's page.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://plexipages.com/reflections/theboys.html"} +{"d:Title": "\"gee i like to think of dead it means nearer because deeper...\"", "d:Description": "From \"&[AND]\", 1925.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://plexipages.com/reflections/gee.html"} +{"d:Title": "\"in a middle of a room...\"", "d:Description": "From \"ViVa\", 1931.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://plexipages.com/reflections/middle.html"} +{"d:Title": "\"somewhere i have never travelled,gladly beyond...\"", "d:Description": "From \"ViVa\", 1931.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://poetry.eserver.org/somewhere.txt"} +{"d:Title": "\"Spring is like a perhaps hand...\"", "d:Description": "from \"and [AND]\", 1925.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://poetry.eserver.org/spring-is-like-a.txt"} +{"d:Title": "The Eagle", "d:Description": "Complete poem from internal.org.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.internal.org/e_e_cummings/The_Eagle"} +{"d:Title": "\"this is the garden:colours come and go, ...\"", "d:Description": "From \"Tulips and Chimneys\", 1923.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.internal.org/e_e_cummings/this_is_the_gardencolours_come_and_go"} +{"d:Title": "\"All in green went my love riding ...\"", "d:Description": "Complete poem from \"Tulips and Chimneys\", 1923.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.internal.org/e_e_cummings/All_in_green_my_love_went_riding"} +{"d:Title": "\"Where's Madge then...\"", "d:Description": "From \"Tulips and Chimneys\", 1923.", "topic": "Top/Arts/Literature/Authors/C/Cummings,_E._E./Works/Specific_Texts", "url": "http://www.internal.org/e_e_cummings/Wheres_Madge_then"} +{"d:Title": "J.V. Cunningham", "d:Description": "The Academy of American Poets presents a biography, photograph, bibliography and links.", "topic": "Top/Arts/Literature/Authors/C/Cunningham,_J._V.", "url": "http://www.poets.org/poetsorg/poet/j-v-cunningham"} +{"d:Title": "Pif Magazine: Interview with Michael Cunningham", "d:Description": "Interview with Lorri Holt, shortly after Michael Cunningham had written his third novel 'The Hours'.", "topic": "Top/Arts/Literature/Authors/C/Cunningham,_Michael", "url": "http://www.pifmagazine.com/1998/12/michael-cunningham/"} +{"d:Title": "Kelly Writers House Fellows - Michael Cunningham", "d:Description": "Biography, and audio recordings of readings and conversations.", "topic": "Top/Arts/Literature/Authors/C/Cunningham,_Michael", "url": "http://writing.upenn.edu/wh/people/fellows/cunningham.html"} +{"d:Title": "Driving Mrs Dalloway", "d:Description": "Nicholas Wroe interviews Michael Cunningham, the Pulitzer Prize winning author of The Hours which was inspired by Virginia Woolf's Mrs Dalloway. From the online edition of the Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/C/Cunningham,_Michael", "url": "http://www.theguardian.com/books/1999/nov/13/fiction"} +{"d:Title": "National Underwater and Marine Agency", "d:Description": "NUMA is a non-profit, volunteer and membership-supported foundation that believes we are entering an ocean-dependent new millennium and feels an appreciation of our maritime and seafaring heritage is vital to a renewed commitment to the oceans. Founded by Clive Cussler.", "topic": "Top/Arts/Literature/Authors/C/Cussler,_Clive", "url": "http://www.numa.net/"} +{"d:Title": "Clive Cussler Forum", "d:Description": "Forum with links and author information.", "topic": "Top/Arts/Literature/Authors/C/Cussler,_Clive", "url": "http://www.clivecusslerforum.com/"} +{"d:Title": "DOXA Meets Clive Cussler", "d:Description": "Photos from a site visit.", "topic": "Top/Arts/Literature/Authors/C/Cussler,_Clive", "url": "http://www.doxawatches.com/clive_cussler.htm"} +{"d:Title": "Penguin Putman Author Profile: Clive Cussler", "d:Description": "Author biography, tour schedule, excerpts, and news.", "topic": "Top/Arts/Literature/Authors/C/Cussler,_Clive", "url": "http://www.penguin.com/author/clive-cussler/1000008437"} +{"d:Title": "Clive Cussler", "d:Description": "Official publishers' site for Clive Cussler.", "topic": "Top/Arts/Literature/Authors/C/Cussler,_Clive", "url": "http://clive-cussler-books.com/"} +{"d:Title": "Clive Cussler Collector's Society", "d:Description": "Enthusiasts who appreciate the life and works of Cussler are able to learn more about past, present, and future Cussler related projects as well as locate other fans and collectors throughout the world.", "topic": "Top/Arts/Literature/Authors/C/Cussler,_Clive", "url": "http://www.cusslersociety.com/"} +{"d:Title": "Allreaders Clive Cussler Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his greatest novels, and lists of other books with similar writing styles.", "topic": "Top/Arts/Literature/Authors/C/Cussler,_Clive", "url": "http://allreaders.com/books/clive-cussler-147"} +{"d:Title": "DeMarco, Peg", "d:Description": "Period e-book author and columnist. Novels and synopses, editing, articles, interviews, and author profile.", "topic": "Top/Arts/Literature/Authors/D", "url": "http://pegdemarco.com/"} +{"d:Title": "de Bernieres, Louis", "d:Description": "UK author's site including biography and book list.", "topic": "Top/Arts/Literature/Authors/D", "url": "http://www.louisdebernieres.co.uk/"} +{"d:Title": "Gabriele d'Annunzio", "d:Description": "Biographical and bibliographical notes, photographs, links, and a newsletter about the Italian writer and politician.", "topic": "Top/Arts/Literature/Authors/D/D'Annunzio,_Gabriele", "url": "http://www.gabrieledannunzio.net/english/index.htm"} +{"d:Title": "Gabriele D'Annunzio", "d:Description": "Biography and related resources for the Italian writer, daredevil, and war hero.", "topic": "Top/Arts/Literature/Authors/D/D'Annunzio,_Gabriele", "url": "http://en.wikipedia.org/wiki/Gabriele_D'Annunzio"} +{"d:Title": "First World War: Gabriele D'Annunzio", "d:Description": "Biography of the Italian poet and political agitator, with an emphasis on his military career.", "topic": "Top/Arts/Literature/Authors/D/D'Annunzio,_Gabriele", "url": "http://www.firstworldwar.com/bio/dannunzio.htm"} +{"d:Title": "Samuel Daniel (1562-1619)", "d:Description": "\"Samuel Daniel, Renaissance English poet. Life, works, quotes, and resources.\" Webpages devoted to the poet at luminarium.org.", "topic": "Top/Arts/Literature/Authors/D/Daniel,_Samuel", "url": "http://www.luminarium.org/renlit/daniel.htm"} +{"d:Title": "Dart, Andrew", "d:Description": "Official site; with a biography and synopsis.", "topic": "Top/Arts/Literature/Authors/D/Dart,_Andrew", "url": "http://www.andrewdart.co.uk/"} +{"d:Title": "Sir John Davies (1569-1626)", "d:Description": "\"Sir John Davies, Renaissance English poet. Life, works, resources.\" Webpages on Davies and his works at luminarium.org.", "topic": "Top/Arts/Literature/Authors/D/Davies,_John", "url": "http://www.luminarium.org/renlit/davies.htm"} +{"d:Title": "Michele (Mickey) Davis", "d:Description": "Author's site includes biography, poems, list of publications and awards, and information on her young adult novel Evangeline Brown and the Cadillac Motel.", "topic": "Top/Arts/Literature/Authors/D/Davis,_Michele", "url": "http://micheleivydavis.com/"} +{"d:Title": "In Consideration of Corporate Power ...", "d:Description": "A text by Fielding Dawson.", "topic": "Top/Arts/Literature/Authors/D/Dawson,_Fielding", "url": "http://www.thing.net/~sabina/valentine/dawson.html"} +{"d:Title": "Daybell, Chad.", "d:Description": "Chad Daybell writes LDS Fiction novels.", "topic": "Top/Arts/Literature/Authors/D/Daybell,_Chad", "url": "http://www.cdaybell.com/"} +{"d:Title": "Jeffery Deaver", "d:Description": "Official site of the author of bestsellers such as The Bone Collector and The Coffin Dancer. Offers information about his books and provides his e-mail address so readers can write to him.", "topic": "Top/Arts/Literature/Authors/D/Deaver,_Jeffery", "url": "http://www.jefferydeaver.com/"} +{"d:Title": "Allreaders Jeffery Deaver Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Deaver scholar on the site.", "topic": "Top/Arts/Literature/Authors/D/Deaver,_Jeffery", "url": "http://allreaders.com/books/jeffery-deaver-179"} +{"d:Title": "Daniel \"The True-Born Englishman\" Defoe", "d:Description": "The Incompetech website's satirical take on Daniel Defoe.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel", "url": "http://www.incompetech.com/authors/defoe/"} +{"d:Title": "Daniel Defoe (c.1660-1731)", "d:Description": "Quotations, a long biography, e-texts, essays and online resources.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel", "url": "http://www.luminarium.org/eightlit/defoe/"} +{"d:Title": "\"A Relation of the Apparition of Mrs. Veal\"", "d:Description": "Contains the full text and a preface.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/veal.html"} +{"d:Title": "Tour Through the Eastern Counties of England", "d:Description": "Free HTML EText.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works", "url": "http://www.pagebypagebooks.com/Daniel_Defoe/Tour_Through_the_Eastern_Counties_of_England/"} +{"d:Title": "Everybody's Business is Nobody's Business", "d:Description": "Free HTML EText.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works", "url": "http://www.pagebypagebooks.com/Daniel_Defoe/Everybodys_Business_is_Nobodys_Business/"} +{"d:Title": "From London to Land's End", "d:Description": "Free HTML EText of \"From London to Land's End.\"", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works", "url": "http://www.pagebypagebooks.com/Daniel_Defoe/From_London_to_Lands_End/"} +{"d:Title": "Dickory Cronke", "d:Description": "Free HTML EText.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works", "url": "http://www.pagebypagebooks.com/Daniel_Defoe/Dickory_Cronke/"} +{"d:Title": "Literature Network: The Further Adventures of Robinson Crusoe", "d:Description": "Searchable HTML etext.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works/Robinson_Crusoe", "url": "http://www.online-literature.com/defoe/crusoe2/"} +{"d:Title": "Literature Network: Robinson Crusoe", "d:Description": "Searchable HTML etext.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works/Robinson_Crusoe", "url": "http://www.online-literature.com/defoe/crusoe/"} +{"d:Title": "Robinson Crusoe", "d:Description": "Text at Bibliomania.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works/Robinson_Crusoe", "url": "http://www.bibliomania.com/Fiction/defoe/index.html"} +{"d:Title": "Robinson Crusoe by Daniel Defoe", "d:Description": "Chapter indexed hypertext, downloadable text, and a discussion group.", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works/Robinson_Crusoe", "url": "http://www.learnlibrary.com/rob-crusoe/index.htm"} +{"d:Title": "Classic Bookshelf - Daniel Defoe", "d:Description": "Java applet reader for \"Robinson Crusoe\" and \"The Further Adventures of Robinson Crusoe\".", "topic": "Top/Arts/Literature/Authors/D/Defoe,_Daniel/Works/Robinson_Crusoe", "url": "http://www.classicbookshelf.com/library/Defoe/"} +{"d:Title": "Given Giving", "d:Description": "Selected poems by Michel Deguy, University of California Press, 1984", "topic": "Top/Arts/Literature/Authors/D/Deguy,_Michel", "url": "http://books.google.com/books?id=vRiqAjZ19ZsC&lpg=PP1&ots=YgzxUbQ55M&dq=michel+deguy&pg=PR1#v=onepage&q&f=false"} +{"d:Title": "Recumbents", "d:Description": "Poems by Michel Deguy, published by Wesleyan University Press, 2005", "topic": "Top/Arts/Literature/Authors/D/Deguy,_Michel", "url": "http://books.google.com/books?id=h1u6D9sPRMgC&lpg=PP1&ots=ytU3I4DSYH&dq=michel+deguy&pg=PP1#v=onepage&q&f=false"} +{"d:Title": "Delany email discussion list", "d:Description": "Serious Delany fans discuss his life and literature. The list shows a flurry of activity whenever Delany publishes.", "topic": "Top/Arts/Literature/Authors/D/Delany,_Samuel_R.", "url": "http://groups.yahoo.com/group/delany-list/"} +{"d:Title": "Samuel R. Delany Information", "d:Description": "A fan maintained site including reviews, bibliographical and publication information, biographical information, links and much else.", "topic": "Top/Arts/Literature/Authors/D/Delany,_Samuel_R.", "url": "http://www1.pcc.com/staff/jay/delany/"} +{"d:Title": "Broadbent Theatre Past Production: R. F. Delderfield", "d:Description": "Contains information on the author's play, \"Spark in Judea,\" which was performed in April, 1991.", "topic": "Top/Arts/Literature/Authors/D/Delderfield,_R._F.", "url": "http://broadbent.org/broadbent/shows/sparkinjudea.htm"} +{"d:Title": "Newi: R. F. Delderfield", "d:Description": "The author's book, \"To Serve Them All My Days,\" is reviewed and used for discussion in the study of approaches to popular fiction.", "topic": "Top/Arts/Literature/Authors/D/Delderfield,_R._F.", "url": "http://www.glyndwr.ac.uk/rdover/popfic/to_serve.htm"} +{"d:Title": "IMDb: R.F. Delderfield", "d:Description": "Contains information on the author's filmography.", "topic": "Top/Arts/Literature/Authors/D/Delderfield,_R._F.", "url": "http://www.imdb.com/name/nm0216684/"} +{"d:Title": "TV.com: R. F. Delderfield", "d:Description": "Information on the BBC drama series, \"A Horseman Riding By,\" based on the author's book.", "topic": "Top/Arts/Literature/Authors/D/Delderfield,_R._F.", "url": "http://www.tv.com/shows/a-horseman-riding-by/"} +{"d:Title": "An Underhistory of Mid-Century America", "d:Description": "Review of DeLillo's Underworld, by Tom LeClair. From the Atlantic Unbound's archives.", "topic": "Top/Arts/Literature/Authors/D/DeLillo,_Don", "url": "http://www.theatlantic.com/issues/97oct/delillo.htm"} +{"d:Title": "Don DeLillo's America", "d:Description": "Includes copious notes on DeLillo's novels, stories and plays, as well as detailed information on print resources related to the author and his work, as well as a few links to online critical analyses and reviews; a mailing list, biographical and bibliographical information, and much else.", "topic": "Top/Arts/Literature/Authors/D/DeLillo,_Don", "url": "http://www.perival.com/delillo"} +{"d:Title": "White Noise on White Noise", "d:Description": "A collection of 36 randomly selected fragments of text from Don DeLillo's novel.", "topic": "Top/Arts/Literature/Authors/D/DeLillo,_Don", "url": "http://www.theobvious.com/noise/"} +{"d:Title": "The Don DeLillo Society", "d:Description": "Contains information on his work and works about him, events listings, other DeLillo links, membership and Don DeLillo news.", "topic": "Top/Arts/Literature/Authors/D/DeLillo,_Don", "url": "http://www.k-state.edu/english/nelp/delillo/index.html"} +{"d:Title": "Dance Writings and Poetry", "d:Description": "Reviewed by Rick Whitaker in The New York Times on the Web.", "topic": "Top/Arts/Literature/Authors/D/Denby,_Edwin", "url": "http://www.nytimes.com/books/98/10/11/bib/981011.rv103728.html"} +{"d:Title": "Denby: Dance Writings and Poetry", "d:Description": "Publisher's information page with press reviews.", "topic": "Top/Arts/Literature/Authors/D/Denby,_Edwin", "url": "http://yalebooks.com/book/9780300069853/dance-writings-and-poetry"} +{"d:Title": "Joolz Denby", "d:Description": "Official site includes biography, published works, appearance dates, and reviews.", "topic": "Top/Arts/Literature/Authors/D/Denby,_Joolz", "url": "http://www.joolzdenby.co.uk/"} +{"d:Title": "Toi Derricotte", "d:Description": "An Academy of American Poets poetry \"exhibit\", including a brief biography and the online text of one of her poems.", "topic": "Top/Arts/Literature/Authors/D/Derricotte,_Toi", "url": "http://www.poets.org/poetsorg/poet/toi-derricotte"} +{"d:Title": "Voices from the Gaps: Anita Desai", "d:Description": "Biography, bibliography and related links on this writer born to a German mother and an Indian father, who writes in English.", "topic": "Top/Arts/Literature/Authors/D/Desai,_Anita", "url": "http://voices.cla.umn.edu/artistpages/desaiAnita.php"} +{"d:Title": "Robert Desnos", "d:Description": "The Academy of American Poets presents a biography, poems, and links.", "topic": "Top/Arts/Literature/Authors/D/Desnos,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-desnos"} +{"d:Title": "Ausland-Berlin - Ridefinizione - Reading environment", "d:Description": "Article about live performance of Alessandro de Francesco&Paolo Ingrosso at Printemps des Po\u00e8tes-Festival in 2009.", "topic": "Top/Arts/Literature/Authors/D/de_Francesco,_Alessandro", "url": "http://www.ausland-berlin.de/alessandro-de-francesco-paolo-ingrosso-it-ridefini"} +{"d:Title": "STEIM Project - Ridefninizione", "d:Description": "Alessandro de Francesco and Paolo Ingrosso writing about Ridefinizione, poetry with live voice processing.", "topic": "Top/Arts/Literature/Authors/D/de_Francesco,_Alessandro", "url": "http://steim.org/projectblog/?p=462"} +{"d:Title": "Jump Cut - Sub-Saharan Film Production", "d:Description": "Article by Manthia Diawara discussing the technological paternalism in African film production. Jump Cut, no. 32, April 1987", "topic": "Top/Arts/Literature/Authors/D/Diawara,_Manthia", "url": "http://www.ejumpcut.org/archive/onlinessays/JC32folder/SubsaharanFilmDiawara.html"} +{"d:Title": "Politics and Culture - The 1960's in Bamako", "d:Description": "Article by Manthia Diawara discussing the arts, politics and youth movements in Bamako. Edition 2002, Issue no. 1", "topic": "Top/Arts/Literature/Authors/D/Diawara,_Manthia", "url": "http://www.politicsandculture.org/2010/08/10/the-1960s-in-bamako-manthia-diawara-2/"} +{"d:Title": "The Phildickian", "d:Description": "A long one page listing of the author's works.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K.", "url": "http://www.thephildickian.com/philipkdick.html"} +{"d:Title": "Philip K. Dick - The Biography Project", "d:Description": "Biography, bibliography, filmography, essays, and related links.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K.", "url": "https://www.popsubculture.com/pop/bio_project/philip_k_dick.html"} +{"d:Title": "Philip K. Dick In Science Fiction", "d:Description": "List of science fiction novels which contain references to Dick, or in which he is a character.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K.", "url": "http://www.adherents.com/lit/sf_PKD.html"} +{"d:Title": "The SF Site: Philip K. Dick Reading List", "d:Description": "The SF Site's 10 part reading list, primarily based on the Vintage reprints.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K.", "url": "http://www.sfsite.com/lists/pkd11.htm"} +{"d:Title": "Philip K. Dick's Final Interview", "d:Description": "Interview about the film Blade Runner, which was in production at the time.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K.", "url": "http://www.devo.com/bladerunner/sector/1/philip.html"} +{"d:Title": "IMDb: Philip K. Dick", "d:Description": "Listing of films based on his fiction.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K.", "url": "http://www.imdb.com/name/nm0001140/"} +{"d:Title": "Philip K. Dick and Human Kindness", "d:Description": "Discusses the centrality of ethical themes in Dick's writing.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K./Reviews", "url": "http://www.spectacle.org/396/scifi/dick.html"} +{"d:Title": "The Dick Factor: Philip K. Dick's Hollywood", "d:Description": "Informative discussion of film adaptations of Dick's novels and stories.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K./Reviews", "url": "http://www.stim.com/Stim-x/0896August/Automedia/pkdick.html"} +{"d:Title": "Do Androids Dream of Being Human?", "d:Description": "Compares the presentation of artificial humans in PKD's DADOES? and Mary Shelley's Frankenstein.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K./Reviews", "url": "http://www.lysator.liu.se/lsff/mb-nr27/Do_Androids_Dream_of_Being_Human.html"} +{"d:Title": "The Three Stigmata of Palmer Eldritch", "d:Description": "Brief essay from Arrastra SF books.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K./Reviews", "url": "http://www.angelfire.com/scifi2/arrastra/pkd_eldritch.html"} +{"d:Title": "Philip K. Dick: The Other Side", "d:Description": "An exploration of the relationship of Dick's mystical experiences and his fiction with the Gnostic religious tradition.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K./Reviews", "url": "http://www.gnosis.org/pkd.biography.html"} +{"d:Title": "A difficult gift", "d:Description": "Michael Moorcock reconsiders \"The Three Stigmata of Palmer Eldritch\" after forty years.", "topic": "Top/Arts/Literature/Authors/D/Dick,_Philip_K./Reviews", "url": "http://www.theguardian.com/books/2003/mar/15/sciencefictionfantasyandhorror.philipkdick"} +{"d:Title": "Literature Network: Charles Dickens (1906)", "d:Description": "Extensive biography by Gilbert Keith Chesterton. Includes author information and a search feature.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.online-literature.com/chesterton/charlesdickens/"} +{"d:Title": "The Charles Dickens Museum", "d:Description": "His nineteenth-century home in London, now preserved as a historic house museum.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.dickensmuseum.com/"} +{"d:Title": "Carlo Dickens", "d:Description": "Includes essays, dissertations and news about academic Dickensian studies relating to Italy.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://users.unimi.it/dickens/"} +{"d:Title": "PinkMonkey.com: Dickens Chapter Summaries", "d:Description": "Summaries and notes for many of Charles Dickens' major works.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://pinkmonkey.com/search/dickens.asp"} +{"d:Title": "HyperHistory: Dickens", "d:Description": "A brief biography.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.hyperhistory.com/online_n2/people_n2/persons6_n2/images_persons6/dickens1.html"} +{"d:Title": "The Life of Charles Dickens", "d:Description": "Biography by John Forster.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/CD-Forster-3.html"} +{"d:Title": "A Charles Dickens Journal", "d:Description": "Timeline of events, chronological listing of his works, people in his life, residences.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.dickenslive.com/"} +{"d:Title": "David Perdue's Charles Dickens Page", "d:Description": "Extensive resource site including a complete bibliography with summaries for each book, a list of characters, and information on the author's life and times.", "priority": "1", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://charlesdickenspage.com/"} +{"d:Title": "Charles Dickens", "d:Description": "Chesterton's article, from the 1929 Encyclopaedia Britannica.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.cse.dmu.ac.uk/~mward/gkc/books/dickens_Britannica.txt"} +{"d:Title": "The Victorian Web: Charles Dickens", "d:Description": "Includes historical and biographical information as well as scholarly commentary and criticism of his writings.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.victorianweb.org/authors/dickens/index.html"} +{"d:Title": "Charles Dickens Info", "d:Description": "Assorted information including timeline of his life, discussion of his friends, little-known facts, online texts, themed crossword puzzles, and a searchable database.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.charlesdickensinfo.com/"} +{"d:Title": "Spartacus: Charles Dickens", "d:Description": "Portrait, brief biography, quotations, and some commentary on his importance.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://spartacus-educational.com/PRdickens.htm"} +{"d:Title": "IMDb: Charles Dickens", "d:Description": "History of films based on his novels as well as brief biographical information.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles", "url": "http://www.imdb.com/name/nm0002042/"} +{"d:Title": "The Inimitable-Boz", "d:Description": "Web page for the Dickens mailing list, with items from the list organised by topic.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Chats_and_Forums", "url": "http://home.earthlink.net/~bsabatini/Inimitable-Boz/index.html"} +{"d:Title": "Chicago Dickens Fellowship", "d:Description": "Branch of international association. Activities, past officers, membership information. Chicago libraries with Dickens collections.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Organizations", "url": "http://www.chicagodickensfellowship.org/"} +{"d:Title": "Cleveland Dickens Fellowship", "d:Description": "Ohio. Local branch of international association. Host of the 2009 annual conference. Where and when they meet, events calendar, newsletter subscription information.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Organizations", "url": "http://www.clevelanddickensfellowship.org/"} +{"d:Title": "Melbourne Dickens Fellowship", "d:Description": "Information on the society, plus texts of personal articles from its newsletter.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Organizations", "url": "http://www.dickens.asn.au/"} +{"d:Title": "The Dickens Project", "d:Description": "Scholarly consortium devoted to promoting study of the life, times, and works of Charles Dickens. Holds annual conference, publishes educational materials. Timeline, bibliographies.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Organizations", "url": "http://dickens.ucsc.edu/"} +{"d:Title": "The Friends of Dickens", "d:Description": "New York City area branch of the Dickens Fellowship. Which book they are currently reading together and what's up next, online articles, author profile, selected works in chronological order.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Organizations", "url": "http://www.thefriendsofdickens.org/"} +{"d:Title": "The Haarlem Branch of the Dickens Fellowship", "d:Description": "Dickens admirers in the Netherlands. Meeting schedule and topics, current book study, publications. Map of places of interest in the Netherlands. Some information, including book reviews, in Dutch.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Organizations", "url": "http://home.kpn.nl/aadel/Dickens.htm"} +{"d:Title": "From Barnaby Rudge to Martin Chuzzlewit: Dickens's Disillusionment with the United States", "d:Description": "Article by Nicholas Clark, University of Otago, in \"Deep South\".", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Reviews", "url": "http://www.otago.ac.nz/DeepSouth/vol2no1/n_clark.html"} +{"d:Title": "The Inimitable Becomes The Inimical", "d:Description": "Essay on the destruction of the correspondence between Dickens and Collins.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Reviews", "url": "http://wilkiecollins.com/Burning/burn.htm"} +{"d:Title": "Mark Twain on Charles Dickens", "d:Description": "Twain's 1868 lecture.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Reviews", "url": "http://www.twainquotes.com/18680205.html"} +{"d:Title": "Allreaders Charles Dickens Spotlight", "d:Description": "Reviews of selected books, and lists of books with similar writing styles.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Reviews", "url": "http://allreaders.com/books/charles-dickens-377"} +{"d:Title": "Bibliomania: Charles Dickens", "d:Description": "The complete works. Includes brief summaries and a brief author biography.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works", "url": "http://www.bibliomania.com/0/0/19/frameset.html"} +{"d:Title": "Page By Page Books: Charles Dickens", "d:Description": "Chapter-indexed and paged texts of many of Dickens' works.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works", "url": "http://www.pagebypagebooks.com/Charles_Dickens/"} +{"d:Title": "Literature Network: Charles Dickens", "d:Description": "Includes selected works, a biography, and a search feature.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works", "url": "http://www.online-literature.com/dickens/"} +{"d:Title": "Classic Bookshelf: Charles Dickens", "d:Description": "Complete works displayed using a Java Applet. Includes a feature to bookmark where you left off.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works", "url": "http://www.classicbookshelf.com/library/Dickens/"} +{"d:Title": "World Wide School: Charles Dickens", "d:Description": "Complete works. Indexed by book and chapter.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works", "url": "http://www.worldwideschool.org/library/catalogs/bysubject-lit-charlesdickens.html"} +{"d:Title": "Literature Project: A Christmas Carol", "d:Description": "Chapter indexed HTML of the complete text. Also available as a text download.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/Christmas_Carol,_A", "url": "http://www.literatureproject.com/xmas-carol/index.htm"} +{"d:Title": "A Christmas Carol", "d:Description": "Full text, with concordances and word frequency lists. Each section in its own file. At IntraText.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/Christmas_Carol,_A", "url": "http://www.intratext.com/X/ENG0131.htm"} +{"d:Title": "Bartleby: David Copperfield", "d:Description": "Chapter indexed HTML. Includes literary commentary.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/David_Copperfield", "url": "http://www.bartleby.com/307/"} +{"d:Title": "eBooks Cube: David Copperfield", "d:Description": "Free online version of the book.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/David_Copperfield", "url": "http://www.ebooks3.com/ebooks/david_copperfield.html"} +{"d:Title": "David Copperfield Site", "d:Description": "Features searchable text in HTML and Word formats, chapter summaries, original illustrations, message boards and study material", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/David_Copperfield", "url": "http://www.ellopos.net/dickens/copperfield.htm"} +{"d:Title": "Portrait of the Artist as a Minor Character", "d:Description": "From the introduction to Modern Library's recently published edition of David Copperfield.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/David_Copperfield", "url": "http://www.salon.com/2000/12/13/copperfield/"} +{"d:Title": "Literature Project: Great Expectations", "d:Description": "Chapter indexed HTML. Includes a text download.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/Great_Expectations", "url": "http://literatureproject.com/great-expectations/index.htm"} +{"d:Title": "Bibliomania: Great Expectations", "d:Description": "Chapter indexed HTML. Includes a brief summary and search feature.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/Great_Expectations", "url": "http://www.bibliomania.com/Fiction/dickens/greatexp/"} +{"d:Title": "A Tale of Two Cities", "d:Description": "Includes a short description of characters, themes, and the secrets and symbolism found in the novel.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/Tale_of_Two_Cities,_A", "url": "http://www.angelfire.com/ma3/jackalt2c/t2c1793.html"} +{"d:Title": "Literature Project: A Tale of Two Cities", "d:Description": "Chapter indexed HTML of the complete text. Also available in a text download.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/Tale_of_Two_Cities,_A", "url": "http://literatureproject.com/tale-two-cities/index.htm"} +{"d:Title": "Barron's Booknotes for A Tale of Two Cities", "d:Description": "Summary of the plot, background notes, and critical assessment.", "topic": "Top/Arts/Literature/Authors/D/Dickens,_Charles/Works/Tale_of_Two_Cities,_A", "url": "http://pinkmonkey.com/booknotes/barrons/taletwo.asp"} +{"d:Title": "Eric Jerome Dickey's Official Website", "d:Description": "Author maintained site includes tour information, guest book, and links to homepage at publisher.", "topic": "Top/Arts/Literature/Authors/D/Dickey,_Eric_Jerome", "url": "http://ericjeromedickey.com/"} +{"d:Title": "The Ecotheology of Annie Dillard: A Study in Ambivalence", "d:Description": "By Pamela A. Smith; an article in Cross Currents, the journal of the Association for Religion and Intellectual Life.", "topic": "Top/Arts/Literature/Authors/D/Dillard,_Annie", "url": "http://www.crosscurrents.org/dillard.htm"} +{"d:Title": "EarthSaint: Annie Dillard", "d:Description": "Sample article from past issue of EarthLight Magazine; includes selections from Dillard's books.", "topic": "Top/Arts/Literature/Authors/D/Dillard,_Annie", "url": "http://www.earthlight.org/earthsaint24.html"} +{"d:Title": "Official Annie Dillard Website", "d:Description": "A site maintained by Dillard herself, provides contact information as well as complete bibliographic information and a curriculum vitae.", "priority": "1", "topic": "Top/Arts/Literature/Authors/D/Dillard,_Annie", "url": "http://www.anniedillard.com/"} +{"d:Title": "Annie Dillard", "d:Description": "Offers a bibliography, biographical timeline, and comments by Dillard on other authors.", "topic": "Top/Arts/Literature/Authors/D/Dillard,_Annie", "url": "http://anniedillard.blogspot.com/"} +{"d:Title": "Dots in Blue Water", "d:Description": "Recording of a commentary written and read by Dillard on the unimaginable number of lives claimed by the 2004 Asian tsunami.", "topic": "Top/Arts/Literature/Authors/D/Dillard,_Annie", "url": "http://www.npr.org/templates/story/story.php?storyId=4270641"} +{"d:Title": "Movie-Map: Annie Dillard", "d:Description": "A visual representation mapping out Annie Dillard\u2019s relation to other major authors.", "topic": "Top/Arts/Literature/Authors/D/Dillard,_Annie", "url": "http://www.literature-map.com/annie+dillard.html"} +{"d:Title": "Chitra Banerjee Divakaruni [Emory Postcolonial Studies]", "d:Description": "Overview of Divakaruni's work, including biography and discussion of themes", "topic": "Top/Arts/Literature/Authors/D/Divakaruni,_Chitra_Banerjee", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/10/divakaruni-chitra-banerjee/"} +{"d:Title": "University of Delaware Special Collection", "d:Description": "Includes details such as the scope and contents of the Diane Di Prima collection.", "topic": "Top/Arts/Literature/Authors/D/Di_Prima,_Diane", "url": "http://www.lib.udel.edu/ud/spec/findaids/diprima.htm"} +{"d:Title": "Bohemian Ink", "d:Description": "Contains a biography, a short excerpt from a poem and links.", "topic": "Top/Arts/Literature/Authors/D/Di_Prima,_Diane", "url": "http://www.levity.com/corduroy/diprima.htm"} +{"d:Title": "Assia Djebar", "d:Description": "Short biography, description of \"Fantasia, an Algerian Cavalcade\", selected bibliography.", "topic": "Top/Arts/Literature/Authors/D/Djebar,_Assia", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/10/djebar-assia/"} +{"d:Title": "Translating the Untranslated: Djebar's Le blanc de l'Algerie", "d:Description": "Research in African Literature 30:3. Article by John Erickson.", "topic": "Top/Arts/Literature/Authors/D/Djebar,_Assia", "url": "http://muse.jhu.edu/article/29394"} +{"d:Title": "Doctorow, Cory", "d:Description": "Canadian journalist and science fiction author. Includes full-texts of selected works, reviews, and biography.", "topic": "Top/Arts/Literature/Authors/D/Doctorow,_Cory", "url": "http://craphound.com/"} +{"d:Title": "David Dodge Bibliography", "d:Description": "An annotated and illustrated biography-bibliography of mystery and travel writer David Dodge. Dodge's best-known work, To Catch a Thief, was made into a film by Alfred Hitchcock in 1955.", "topic": "Top/Arts/Literature/Authors/D/Dodge,_David", "url": "http://www.david-dodge.com/"} +{"d:Title": "Ivan Doig Online", "d:Description": "Author's official site includes reader's guides, author's notes, news and events, contact information, and information on audio books.", "priority": "1", "topic": "Top/Arts/Literature/Authors/D/Doig,_Ivan", "url": "http://www.ivandoig.com/"} +{"d:Title": "Bucking the Sun", "d:Description": "Review of the novel from Metroactive Books.", "topic": "Top/Arts/Literature/Authors/D/Doig,_Ivan/Reviews", "url": "http://www.metroactive.com/papers/metro/08.29.96/doig-9635.html"} +{"d:Title": "Donald, Elizabeth", "d:Description": "Official site of 'Nocturnal Urges' author; with a biography, bibliography, and news.", "topic": "Top/Arts/Literature/Authors/D/Donald,_Elizabeth", "url": "http://www.elizabethdonald.com/"} +{"d:Title": "Biographical Directory of the United States Congress: Donnelly, Ignatius (1831-1901)", "d:Description": "Key dates of Donnelly's life, with bibliography.", "topic": "Top/Arts/Literature/Authors/D/Donnelly,_Ignatius", "url": "http://bioguide.congress.gov/scripts/biodisplay.pl?index=D000417"} +{"d:Title": "Ignatius Donnelly and the End of the World", "d:Description": "A fictional presentation of Donnelly returning to modern-day California.", "topic": "Top/Arts/Literature/Authors/D/Donnelly,_Ignatius", "url": "http://www.stanford.edu/~meehan/donnelly/"} +{"d:Title": "Atlantis, the Antediluvian World", "d:Description": "Donnelly's 1882 classic of Atlantis studies, in HTML sections. Features an essay by Norm Wolcott, and an introduction by J.B. Hare.", "topic": "Top/Arts/Literature/Authors/D/Donnelly,_Ignatius/Works", "url": "http://www.sacred-texts.com/atl/ataw/"} +{"d:Title": "Ragnarok: The Age of Fire and Gravel", "d:Description": "Full text of this 1883 work by Donnelly, in which he presents evidence for a fiery cataclysm thousands of years ago. Includes introduction by J.B. Hare.", "topic": "Top/Arts/Literature/Authors/D/Donnelly,_Ignatius/Works", "url": "http://www.sacred-texts.com/atl/rag/"} +{"d:Title": "Richard Dooling - Author", "d:Description": "Books, essays, movies by author Richard Dooling", "topic": "Top/Arts/Literature/Authors/D/Dooling,_Richard", "url": "http://dooling.com/"} +{"d:Title": "Three Poems by Edward Dorn", "d:Description": "From \"Saluki's Soliloquy.\"", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://www.thing.net/~grist/bove/rbdorn.htm"} +{"d:Title": "The Denver Landing--11 Aug 1993", "d:Description": "Poem by Edward Dorn.", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://www.thing.net/~grist/bove/dorn.htm"} +{"d:Title": "Denver Upbringing", "d:Description": "Poem by Edward Dorn.", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://www.thing.net/~grist/bove/new/dorn3.htm"} +{"d:Title": "Electronic Poetry Center - Ed Dorn", "d:Description": "Brief biography, links to notes from Tom Raworth and Tom Clark and to a bibliography.", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://epc.buffalo.edu/authors/dorn/"} +{"d:Title": "Ed Dorn", "d:Description": "Poem by Amiri Baraka of 1/15/00.", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://www.poetspath.com/napalm/nhs01/baraka.html"} +{"d:Title": "Jacket # 9 - Tom Clark - Edward Dorn", "d:Description": "Tom Clark's obituary for Edward Dorn (1929-1999).", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://jacketmagazine.com/09/clark-dorn-obit.html"} +{"d:Title": "Cosmology of Finding Your Spot, The", "d:Description": "Poem by Ed Dorn, written in 1969 in Lawrence, Kansas.", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://www.vlib.us/beats/dorn.html"} +{"d:Title": "The Hazards of a Later Era: Variation on a Theme", "d:Description": "Ed Dorn's parody of William's \"This Is Just To Say.\"", "topic": "Top/Arts/Literature/Authors/D/Dorn,_Edward", "url": "http://www.writing.upenn.edu/~afilreis/88/dorn-plums-parody.html"} +{"d:Title": "One Man's Initiation: 1917", "d:Description": "First novel based largely on Dos Passos' own wartime experiences in France and Italy and published in London in 1920.", "topic": "Top/Arts/Literature/Authors/D/Dos_Passos,_John", "url": "http://www.eldritchpress.org/wwone/initiation.html"} +{"d:Title": "Ross Lockridge, Jr. on John Dos Passos", "d:Description": "A statement of some reasons for using \"U.S.A.\" by John Dos Passos as a representative of the modern American novel (1943) by Ross Lockridge, Jr.", "topic": "Top/Arts/Literature/Authors/D/Dos_Passos,_John", "url": "http://www.raintreecounty.com/DosPasso.html"} +{"d:Title": "Modernist Portraits: John Dos Passos", "d:Description": "The \"American Passages: A Literary Survey\" web site offers a brief biography of Dos Passos, artifacts, teaching tips, discussion questions, and an instructor's guide.", "topic": "Top/Arts/Literature/Authors/D/Dos_Passos,_John", "url": "http://www.learner.org/amerpass/unit11/authors-8.html"} +{"d:Title": "John Dos Passos per Daniel Aaron", "d:Description": "Chapter 15 of Aaron's \"Writers on the Left,\" which discusses Dos Passos's early career.", "topic": "Top/Arts/Literature/Authors/D/Dos_Passos,_John", "url": "http://www.writing.upenn.edu/~afilreis/50s/aaron-chap15.html"} +{"d:Title": "Fallen Beauty", "d:Description": "An interview with the author.", "topic": "Top/Arts/Literature/Authors/D/Doty,_Mark", "url": "http://www.theatlantic.com/unbound/interviews/ba991110.htm"} +{"d:Title": "Mark Doty", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography of the poet, a selection of texts, and links to further resources on the web.", "topic": "Top/Arts/Literature/Authors/D/Doty,_Mark", "url": "http://www.poets.org/poetsorg/contributor/mark-doty"} +{"d:Title": "Wikipedia: Lloyd_C._Douglas", "d:Description": "A brief biography of the author.", "topic": "Top/Arts/Literature/Authors/D/Douglas,_Lloyd_C.", "url": "http://en.wikipedia.org/wiki/Lloyd_C._Douglas"} +{"d:Title": "Lloyd C. Douglas", "d:Description": "A list of movie and TV versions of his books and stories.", "topic": "Top/Arts/Literature/Authors/D/Douglas,_Lloyd_C.", "url": "http://www.imdb.com/name/nm0235160/"} +{"d:Title": "Rita Dove", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/D/Dove,_Rita", "url": "http://www.poets.org/poetsorg/poet/rita-dove"} +{"d:Title": "The Arthur Conan Doyle Society", "d:Description": "Bringing together those people sharing a common interest in Sir Arthur Conan Doyle and his works, and promoting Sir Arthur's works to a wider audience.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://www.ash-tree.bc.ca/acdsocy.html"} +{"d:Title": "Sherlock Holmes: 221B Baker Street", "d:Description": "Photos and art work, wav files, information and links.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://www.sherylfranklin.com/sherlock.html"} +{"d:Title": "Sherlockian.Net", "d:Description": "Information about Sherlock Holmes including the original 60 stories and some background material about Victorian England and detective fiction.", "priority": "1", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://www.sherlockian.net/"} +{"d:Title": "The Diogenes Club", "d:Description": "Sherlockian scholarship, unusual Sherlockiana, the Canon, the Apocrypha, brief biographical sketches of important Sherlockians, collecting, and links.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://www.diogenes-club.com/"} +{"d:Title": "Sherlockian Resources on the Internet: A Survey", "d:Description": "A survey and review of Web sites and other Internet resources related to Sherlock Holmes. Contains many useful links to Sherlockian resources online.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://www.tc.umn.edu/~bergq003/holmes/"} +{"d:Title": "Allreaders.com: Sir Arthur Conan Doyle", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://allreaders.com/books/sir-arthur-conan-doyle-112"} +{"d:Title": "Mystery Timeline: Sherlock Holmes", "d:Description": "MysteryNet.Com presents one page on author and character with discussion board.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://www.mysterynet.com/holmes/"} +{"d:Title": "The Sherlock Holmes Collections", "d:Description": "Material related to Sherlock Holmes and his creator Sir Arthur Conan Doyle, from the University of Minnesota Library.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "https://www.lib.umn.edu/holmes"} +{"d:Title": "The Chronicles of Sir Arthur Conan Doyle", "d:Description": "The life and work of Sir Arthur Conan Doyle. Quotes, games and little-known facts about this remarkable man.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan", "url": "http://www.conandoyleinfo.com/"} +{"d:Title": "Peter Cushing and Sherlock Holmes", "d:Description": "An overview of Peter Cushing as Sherlock Holmes by Charles Prepolec.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Film", "url": "http://www.bakerstreetdozen.com/Cushing1.html"} +{"d:Title": "Sherlock Holmes (1954)", "d:Description": "A guide listing the title and air date for each episode of the Ronald Howard TV series, Sherlock Holmes (1954).", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Film", "url": "http://www.epguides.com/SherlockHolmes_1954/"} +{"d:Title": "The Hound of the Baskervilles", "d:Description": "A Canadian production of the film broadcast in fall 2000, featuring Matt Frewer.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Film", "url": "http://www.bakerstreetdozen.com/hound.html"} +{"d:Title": "Sherlocks Holmes In Film", "d:Description": "Articles about Sherlock Holmes in film and on television.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Film", "url": "http://bakerstreetdozen.com/Reviews.html"} +{"d:Title": "The Sherlock Holmes Museum", "d:Description": "Visit 221b Baker Street, London - the world's most famous address and the official home of Sherlock Holmes!", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Locations", "url": "http://www.sherlock-holmes.co.uk/"} +{"d:Title": "Sir Arthur Conan Doyle Society List", "d:Description": "To facilitate discussion on the life and works of the author.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Mailing_Lists", "url": "http://groups.yahoo.com/group/ConanDoyle/"} +{"d:Title": "Dancingmen", "d:Description": "Download a free Macintosh TrueType font based on a mystic alphabet described in the classic Sherlock Holmes case \"The Dancing Men\".", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Merchandise", "url": "http://goto.glocalnet.net/mabe/dancingmen/damen.html"} +{"d:Title": "Soci\u00e9t\u00e9 Sherlock Holmes de France", "d:Description": "Le site officiel de la Soci\u00e9t\u00e9 Sherlock Holmes de France / The Official Site of the Sherlock Holmes Society of France", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Sherlockian_Societies", "url": "http://www.sshf.com/"} +{"d:Title": "Singular Society of the Baker Street Dozen", "d:Description": "A Sherlock Holmes Society based in Calgary, AB, Canada. Operational since 1987.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Sherlockian_Societies", "url": "http://www.bakerstreetdozen.com/"} +{"d:Title": "The Sherlock Holmes Society of London", "d:Description": "A literary and social Society for study of the life and work of Sherlock Holmes and Dr Watson.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Sherlockian_Societies", "url": "http://www.sherlock-holmes.org.uk/"} +{"d:Title": "Nashville Scholars of the Three Pipe Problem", "d:Description": "Tennessee Sherlockian Society Club, meeting regularly at the Sherlock Holmes Pub in Nashville.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Sherlockian_Societies", "url": "http://www.nashvillescholars.net/"} +{"d:Title": "Goose Club of the Alpha Inn", "d:Description": "Founded in 1977, it is one of several Holmes societies based in the Los Angeles area.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Sherlockian_Societies", "url": "http://home.earthlink.net/~jpsohl/gcai.html"} +{"d:Title": "Sherlock Holmes Society of India", "d:Description": "A site for all Indian fans of Sherlock Holmes", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Sherlockian_Societies", "url": "http://in.groups.yahoo.com/group/sherlockholmessocietyofindia/"} +{"d:Title": "Sherlock Holmes Society of South Australia", "d:Description": "Based in Adelaide, South Australia.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Sherlockian_Societies", "url": "http://sites.google.com/site/sherlocksa/"} +{"d:Title": "Insidious Ring of Moriarty", "d:Description": "Dedicated to the works of Sir Arthur Conan Doyle, particularly the Sherlock Holmes Novels. Any web site containing material about Sherlock Holmes is eligible.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Web_Rings", "url": "http://delahanty.tripod.com/webring/moriarty.html"} +{"d:Title": "Arthur Conan Doyle", "d:Description": "The creator of Sherlock Holmes was also fond of the fantasy genre--and also the genre of historical fiction--for which he is less well-known--one or two examples, in addition to Holmes tales, are included here, complete.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works", "url": "http://www.literature.org/authors/doyle-arthur-conan/"} +{"d:Title": "Classic Bookshelf - Arthur Conan Doyle", "d:Description": "Works by Arthur Conan Doyle free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works", "url": "http://www.classicbookshelf.com/library/Doyle/"} +{"d:Title": "The Lost World", "d:Description": "An account of the original Lost World by Conan Doyle in text and film, as well as the further adventures of Professor Challenger.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works", "url": "http://silentmoviemonsters.tripod.com/TheLostWorld"} +{"d:Title": "Poetry Archive: Arthur Conan Doyle", "d:Description": "An index of poems by Sir Arthur Conan Doyle.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works", "url": "http://www.poetry-archive.com/d/doyle_arthur_conan.html"} +{"d:Title": "Sherlock Holmes Resources", "d:Description": "Collecting all Sherlock Holmes stories on the web.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works", "url": "http://www.mikekrejci.com/Doyle"} +{"d:Title": "'The Parasite'", "d:Description": "Online Literature Library.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.literature.org/authors/doyle-arthur-conan/parasite/"} +{"d:Title": "'The Poison Belt'", "d:Description": "University of Michigan Fantasy and Science Fiction Home Pages.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.umich.edu/~umfandsf/other/ebooks/poisn10.txt"} +{"d:Title": "Beyond The City", "d:Description": "Online Literature Library.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.literature.org/authors/doyle-arthur-conan/beyond-the-city/"} +{"d:Title": "The Vital Message", "d:Description": "Online Literature Library.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.literature.org/authors/doyle-arthur-conan/vital-message/"} +{"d:Title": "Parasite, The", "d:Description": "Free EText of \"The Parasite\". Read it online, page by page in HTML.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Parasite/"} +{"d:Title": "The Vital Message", "d:Description": "Free EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Vital_Message/"} +{"d:Title": "Poison Belt, The", "d:Description": "Free EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Poison_Belt/"} +{"d:Title": "New Revelation, The", "d:Description": "Free HTML EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_New_Revelation/"} +{"d:Title": "Round The Red Lamp", "d:Description": "Free HTML EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/Round_The_Red_Lamp/"} +{"d:Title": "Adventures of Gerard, The", "d:Description": "Free HTML EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventures_of_Gerard/"} +{"d:Title": "Lost World, The", "d:Description": "Free HTML EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Lost_World/"} +{"d:Title": "Captain of the Polestar, The", "d:Description": "Ten Short Stories. Free HTML EText.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Captain_of_the_Polestar/"} +{"d:Title": "Literature Network: The Lost World", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.online-literature.com/doyle/lost_world/"} +{"d:Title": "Literature Network: The Vital Message", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts", "url": "http://www.online-literature.com/doyle/vital_message/"} +{"d:Title": "The Hound of the Baskervilles", "d:Description": "Bibliomania.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.bibliomania.com/Fiction/Doyle/index.html"} +{"d:Title": "A Study In Scarlet", "d:Description": "Online Literature Library.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.literature.org/authors/doyle-arthur-conan/study-in-scarlet/"} +{"d:Title": "The Sign of Four", "d:Description": "Online Literature Library.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.literature.org/authors/doyle-arthur-conan/sign-of-four/"} +{"d:Title": "His Last Bow", "d:Description": "Free EText of the short story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/His_Last_Bow/His_Last_Bow_p1.html"} +{"d:Title": "Adventure of Wisteria Lodge, The", "d:Description": "Free EText. Read it online, page by page in HTML.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventure_of_Wisteria_Lodge/"} +{"d:Title": "Sign of the Four", "d:Description": "Free EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/Sign_of_the_Four/"} +{"d:Title": "Adventure of the Dying Detective, The", "d:Description": "Free EText. Read it online, page by page in HTML.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventure_of_the_Dying_Detective/The_Adventure_of_the_Dying_Detective_p1.html"} +{"d:Title": "Adventure of the Cardboard Box, The", "d:Description": "Free EText of the short story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventure_of_the_Cardboard_Box/The_Adventure_of_the_Cardboard_Box_p1.html"} +{"d:Title": "Adventure of the Devil's Foot, The", "d:Description": "Free EText of the short story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventure_of_the_Devils_Foot/The_Adventure_of_the_Devils_Foot_p1.html"} +{"d:Title": "Memoirs of Sherlock Holmes", "d:Description": "11 Tales of mystery. Free EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/Memoirs_of_Sherlock_Holmes/"} +{"d:Title": "Adventure of the Red Circle", "d:Description": "Free HTML EText of the short story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventure_of_the_Red_Circle/The_Adventure_of_the_Red_Circle_p1.html"} +{"d:Title": "Adventure of the Bruce-Partington Plans", "d:Description": "Free HTML EText of the short story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventure_of_the_Bruce_Partington_Plans/The_Adventure_of_the_Bruce_Partington_Plans_p1.html"} +{"d:Title": "A Study In Scarlet", "d:Description": "Free HTML EText of Doyle's Sherlock Holmes story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/A_Study_In_Scarlet/"} +{"d:Title": "Disappearance of Lady Frances Carfax, The", "d:Description": "Free HTML EText of the short story. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Disappearance_of_Lady_Frances_Carfax/The_Disappearance_of_Lady_Frances_Carfax_p1.html"} +{"d:Title": "Adventures of Sherlock Holmes", "d:Description": "Read the book The Adventures of Sherlock Holmes conveniently online, page by page. Free HTML etext.", "topic": "Top/Arts/Literature/Authors/D/Doyle,_Arthur_Conan/Works/Specific_Texts/Sherlock_Holmes", "url": "http://www.pagebypagebooks.com/Arthur_Conan_Doyle/The_Adventures_of_Sherlock_Holmes/index.html"} +{"d:Title": "Michael Drayton (1563-1631)", "d:Description": "\"Michael Drayton, Renaissance English poet. Life, works, resources.\" Webpages devoted to Drayton and his works at luminarium.org.", "topic": "Top/Arts/Literature/Authors/D/Drayton,_Michael", "url": "http://www.luminarium.org/renlit/drayton.htm"} +{"d:Title": "Melodrama and Theater in Theodore Dreiser's Sister Carrie", "d:Description": "Examines how melodrama functions in Sister Carrie along with the theatres, actors, and plays that are referenced in the novel.", "topic": "Top/Arts/Literature/Authors/D/Dreiser,_Theodore", "url": "http://xroads.virginia.edu/~MA02/volpe/theater/theater.html"} +{"d:Title": "Dreiser Mss. II", "d:Description": "Correspondence, pictures, and printed material of Theodore Dreiser at Indiana University's Lilly Library.", "topic": "Top/Arts/Literature/Authors/D/Dreiser,_Theodore", "url": "http://www.indiana.edu/~liblilly/lilly/mss/html/dreiser2.html"} +{"d:Title": "Murder in the Adirondacks", "d:Description": "Interesting comparison of characters from \"An American Tragedy\" and the individuals involved in the 1906 Chester Gillette murder case on which Dreiser based the novel.", "topic": "Top/Arts/Literature/Authors/D/Dreiser,_Theodore", "url": "http://www.craigbrandon.com/MITAhome.html"} +{"d:Title": "Photographs in the W. A. Swanberg Papers", "d:Description": "Scanned photographs about Theorore Dreiser from the Annenberg Rare Book and Manuscript Library at the University of Pennsylvania.", "topic": "Top/Arts/Literature/Authors/D/Dreiser,_Theodore", "url": "http://www.library.upenn.edu/collections/rbm/photos/swanberg/"} +{"d:Title": "Photographs in the Theodore Dreiser Papers", "d:Description": "Scanned photographs of the author from the Annenberg Rare Book and Manuscript Library at the University of Pennsylvania.", "topic": "Top/Arts/Literature/Authors/D/Dreiser,_Theodore", "url": "http://www.library.upenn.edu/collections/rbm/photos/dreiser/"} +{"d:Title": "\"The Church And Wealth In America\"", "d:Description": "Chapter 14 of Dreiser's \"Tragic America\".", "topic": "Top/Arts/Literature/Authors/D/Dreiser,_Theodore/Works", "url": "http://www.infidels.org/library/historical/theodore_dreiser/church_and_wealth_in_america.html"} +{"d:Title": "Germain Droogenbroodt - Positively Poets", "d:Description": "Brief biographical information and poem Actaeon.", "topic": "Top/Arts/Literature/Authors/D/Droogenbroodt,_Germain", "url": "http://www.alittlepoetry.com/droogen.html"} +{"d:Title": "Germain Droogenbroodt", "d:Description": "Gerard Manley Hopkins Society page for the Belgian poet, essayist, critic and poetry translator.", "topic": "Top/Arts/Literature/Authors/D/Droogenbroodt,_Germain", "url": "http://kildare.ie/community/Hopkins/Germain.htm"} +{"d:Title": "Annette Elisabeth, Baroness von H\u00fclshoff", "d:Description": "Biographical article on \"Germany's greatest poetess.\" In the Catholic Encyclopedia.", "topic": "Top/Arts/Literature/Authors/D/Droste-H\u00fclshoff,_Annette_von", "url": "http://www.newadvent.org/cathen/07537a.htm"} +{"d:Title": "Norman Dubie", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography and selected poems.", "topic": "Top/Arts/Literature/Authors/D/Dubie,_Norman", "url": "http://www.poets.org/poetsorg/poet/norman-dubie"} +{"d:Title": "Alan Duff: An Interview with the Author of Once Were Warriors", "d:Description": "Alan Duff, an interview with the New Zealand author of Once Were Warriors, One Night Out Stealing and now, What Becomes of the Broken-Hearted?", "topic": "Top/Arts/Literature/Authors/D/Duff,_Alan", "url": "http://members.optusnet.com.au/~waldrenm/duff.html"} +{"d:Title": "The Alexandre Dumas p\u00e8re Web Site", "d:Description": "His works with complete bibliography, photo/art gallery, and links. [French and English]", "priority": "1", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre", "url": "http://www.cadytech.com/dumas/"} +{"d:Title": "Ex Libris Reviews: Alexandre Dumas", "d:Description": "Reviews of certain of books. Includes information about which books comprise the Three Musketeers saga.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre", "url": "http://www.wjduquette.com/authors/adumas.html"} +{"d:Title": "The D'Artagnan Romances: Plot and Character Descriptions", "d:Description": "This site contains information on Alexandre Dumas' The D'Artagnan Romances including The Three Musketeers and The Vicomte de Bragelonne. Includes lists of characters to help tell who is who.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre", "url": "http://www.angelfire.com/geek/bookmomments/index.html"} +{"d:Title": "Alexandre Dumas - Two Centuries of Living Literature", "d:Description": "Includes a brief biographical section, contemporary reviews of his works, and a dictionary. In French and English.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre", "url": "http://www.dumaspere.com/pages/english/sommaire.html"} +{"d:Title": "Alexandre Dumas", "d:Description": "A biography and a bibliography of all titles translated into Dutch. In Dutch and English.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre", "url": "http://www.walsweer.eu/index.html?engels.htm"} +{"d:Title": "Classic Bookshelf: Alexandre Dumas", "d:Description": "Selected works online. Includes adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works", "url": "http://www.classicbookshelf.com/library/Dumas/"} +{"d:Title": "FireBlade Coffeehouse: Alexandre Dumas", "d:Description": "Selected chapter indexed works. Includes links to other resources.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works", "url": "http://www.hoboes.com/html/FireBlade/Dumas/"} +{"d:Title": "Literature Network: Alexandre Dumas", "d:Description": "Includes selected works, a search feature, and a biography.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works", "url": "http://www.online-literature.com/dumas/"} +{"d:Title": "Dumas, Alexandre, p\u00e8re", "d:Description": "Available works online, in French and English, at Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works", "url": "http://www.gutenberg.org/browse/authors/d#a492"} +{"d:Title": "Literature Network: The Black Tulip", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Black_Tulip,_The", "url": "http://www.online-literature.com/dumas/black_tulip/"} +{"d:Title": "Literature Network: The Count of Monte Cristo", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Count_of_Monte_Cristo,_The", "url": "http://www.online-literature.com/dumas/cristo/"} +{"d:Title": "eBooks Cube: The Count of Monte Cristo", "d:Description": "Chapter indexed HTML of the complete text. Includes author information.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Count_of_Monte_Cristo,_The", "url": "http://www.ebooks3.com/ebooks/the_count_of_monte_cristo.html"} +{"d:Title": "The Literature Network: The Man in the Iron Mask", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author information.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Man_in_the_Iron_Mask,_The", "url": "http://www.online-literature.com/dumas/man_in_the_iron_mask/"} +{"d:Title": "FireBlade Coffeehouse: The Man in the Iron Mask", "d:Description": "Chapter indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Man_in_the_Iron_Mask,_The", "url": "http://www.hoboes.com/html/FireBlade/Dumas/IronMask/"} +{"d:Title": "Literature Network: Ten Years Later", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Ten_Years_Later", "url": "http://www.online-literature.com/dumas/10_years/"} +{"d:Title": "FireBlade Coffeehouse: Ten Years Later", "d:Description": "Chapter indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Ten_Years_Later", "url": "http://www.hoboes.com/html/FireBlade/Dumas/Ten/"} +{"d:Title": "Literature Network: The Three Musketeers", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Three_Musketeers,_The", "url": "http://www.online-literature.com/dumas/threemusketeers/"} +{"d:Title": "eBooks Cube: The Three Musketeers", "d:Description": "Chapter indexed HTML of the complete text. Includes author information.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Three_Musketeers,_The", "url": "http://www.ebooks3.com/ebooks/the_three_musketeers.html"} +{"d:Title": "Literature Network: Twenty Years After", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Twenty_Years_After", "url": "http://www.online-literature.com/dumas/twenty_years/"} +{"d:Title": "FireBlade Coffeehouse: Twenty Years After", "d:Description": "Chapter indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/D/Dumas,_Alexandre/Works/Twenty_Years_After", "url": "http://www.hoboes.com/html/FireBlade/Dumas/Twenty/"} +{"d:Title": "Extinction Stops Here", "d:Description": "The rally's keynote speech by Duncan.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_David_James", "url": "http://www.ifish.net/davidjamesduncan.html"} +{"d:Title": "Orion: What Fundamentalists Need for Their Salvation", "d:Description": "A fresh look at Christianity's call to nature.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_David_James", "url": "http://www.orionmagazine.org/index.php/articles/article/156/"} +{"d:Title": "Orion: When Compassion Becomes Dissent", "d:Description": "Essay on the effects of war-making on language and on people.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_David_James", "url": "http://www.orionmagazine.org/index.php/articles/article/17/"} +{"d:Title": "High Country News: A Native Son of Oregon Writes of Heartbreak, Determination", "d:Description": "Interview by Adam Burke focusing on My Story as Told by Water.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_David_James", "url": "http://www.hcn.org/issues/251/13983"} +{"d:Title": "Lois Duncan", "d:Description": "Lois Duncan's personal website. Lois is the author of many novels for young adults, such as I Know What You Did Last Summer and Gallows Hill.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_Lois", "url": "http://loisduncan.arquettes.com/"} +{"d:Title": "IMDb: Lois Duncan", "d:Description": "TV and movie credits.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_Lois", "url": "http://www.imdb.com/name/nm0242028/"} +{"d:Title": "Robert Duncan (1919-1988)", "d:Description": "Essays on poems by Robert Duncan. Compiled by Cary Nelson.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_Robert", "url": "http://www.english.illinois.edu/maps/poets/a_f/duncan/duncan.htm"} +{"d:Title": "Robert Duncan - The Academy of American Poets", "d:Description": "The Academy of American Poets presents a biography, photograph, selected poems, and a RealAudio clip.", "topic": "Top/Arts/Literature/Authors/D/Duncan,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-duncan"} +{"d:Title": "The Book of Wonder", "d:Description": "This online collection of early fantasy stories is presented in the form of links to each work, with the epilogue.", "topic": "Top/Arts/Literature/Authors/D/Dunsany,_Edward_John_Moreton_Drax_Plunkett/Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_wonder.htm"} +{"d:Title": "Undecay", "d:Description": "Bilingual electronic library, beginning with selected prose, poetry and drama by Lord Dunsany.", "topic": "Top/Arts/Literature/Authors/D/Dunsany,_Edward_John_Moreton_Drax_Plunkett/Works", "url": "http://undecay.integrate.ru/"} +{"d:Title": "In Memory of Gerald Durrell", "d:Description": "Links to articles, pictures, books, essays, photographs, biographies, and other material about Gerald Durrell.", "topic": "Top/Arts/Literature/Authors/D/Durrell,_Gerald", "url": "http://www.cherepashka.com/durrell/"} +{"d:Title": "Durrell Wildlife Conservation Trust - Gerald Durrell OBE", "d:Description": "An appreciation of the author and naturalist from Durrell Wildlife Conservation Trust. Includes photographs, biography and list of his works.", "topic": "Top/Arts/Literature/Authors/D/Durrell,_Gerald", "url": "http://www.durrell.org/"} +{"d:Title": "International Lawrence Durrell Society", "d:Description": "Information on the society and on the twentieth-century novelist, poet, dramatist, and travel writer.", "topic": "Top/Arts/Literature/Authors/D/Durrell,_Lawrence", "url": "http://www.lawrencedurrell.org/"} +{"d:Title": "Du Bois, W. E. B. 1903. The Souls of Black Folk", "d:Description": "Bartleby etext.", "topic": "Top/Arts/Literature/Authors/D/Du_Bois,_W._E._B.", "url": "http://www.bartleby.com/114/"} +{"d:Title": "The Souls of Black Folk", "d:Description": "Online text.", "topic": "Top/Arts/Literature/Authors/D/Du_Bois,_W._E._B.", "url": "http://www.pagebypagebooks.com/W_E_B_DuBois/The_Souls_of_Black_Folk/index.html"} +{"d:Title": "Asian Topics: Du Fu", "d:Description": "Articles on reasons for his greatness, his use of language and the importance of his historical background, plus an analysis of View in Springtime.", "topic": "Top/Arts/Literature/Authors/D/Du_Fu", "url": "http://www.columbia.edu/itc/eacp/asiasite/topics/index.html?topic=DuFu+subtopic=Intro+mediatype=Image"} +{"d:Title": "Du Fu", "d:Description": "Translations of works.", "topic": "Top/Arts/Literature/Authors/D/Du_Fu", "url": "http://www.chinese-poems.com/du.html"} +{"d:Title": "Wikipedia: Tu Fu", "d:Description": "Article discussing the poet's life and works.", "topic": "Top/Arts/Literature/Authors/D/Du_Fu", "url": "http://en.wikipedia.org/wiki/Tu_Fu"} +{"d:Title": "Asian Topics on Asia for Educators - Great Tang Poets: Du Fu", "d:Description": "Contains video, translations and comments.", "topic": "Top/Arts/Literature/Authors/D/Du_Fu", "url": "http://afe.easia.columbia.edu/at/dufu/df01.html"} +{"d:Title": "The Daphne du Maurier Web Site", "d:Description": "Includes biography, bibliography, video conference and cruise information and obituary.", "topic": "Top/Arts/Literature/Authors/D/Du_Maurier,_Daphne", "url": "http://www.dumaurier.org/"} +{"d:Title": "Daphne du Maurier", "d:Description": "Writer filmography.", "topic": "Top/Arts/Literature/Authors/D/Du_Maurier,_Daphne", "url": "http://www.imdb.com/name/nm0238898/"} +{"d:Title": "Geoff Dyer at the Complete Review", "d:Description": "An introduction to the work of Geoff Dyer, with bibliography and links to reviews.", "topic": "Top/Arts/Literature/Authors/D/Dyer,_Geoff", "url": "http://www.complete-review.com/authors/dyerg.htm"} +{"d:Title": "Evslin, Tom", "d:Description": "Author's site includes resume, forum, and the full text of his novel hackoff.com.", "topic": "Top/Arts/Literature/Authors/E", "url": "http://www.hackoff.com/"} +{"d:Title": "Cornelius Eady", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/E/Eady,_Cornelius", "url": "https://www.poets.org/poetsorg/poet/cornelius-eady"} +{"d:Title": "The Nick Earls Appreciation Page", "d:Description": "Fan site for Australian contemporary author Nick Earls.", "topic": "Top/Arts/Literature/Authors/E/Earls,_Nick", "url": "http://www.nickearls.8m.com/index.html"} +{"d:Title": "Short Biography of Jos\u00e9 Echegaray y Eizaguirre", "d:Description": "Winner of Nobel Prize in Literature 1904.", "topic": "Top/Arts/Literature/Authors/E/Echegaray_y_Eizaguirre,_Jos\u00e9", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1904/eizaguirre-bio.html"} +{"d:Title": "Nobel Prize for Literature 1904 - Presentation Speech", "d:Description": "by C. D. af Wirs\u00e9n, Permanent Secretary of the Swedish Academy.", "topic": "Top/Arts/Literature/Authors/E/Echegaray_y_Eizaguirre,_Jos\u00e9", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1904/press.html"} +{"d:Title": "Umberto Eco and His Travels in Hyperreality", "d:Description": "\"Umberto Eco in his essay, Travels in Hyperreality, saw the emergence of the age of simulation. He recognized that when simulations promise us something better than real, it is often a disguised sales pitch.\" Commentary on Eco's commentary.", "topic": "Top/Arts/Literature/Authors/E/Eco,_Umberto", "url": "http://www.transparencynow.com/eco.htm"} +{"d:Title": "Umberto Eco's piece on Mac and DOS, Catholic and Protestant", "d:Description": "A brief bit of wit from Eco himself on personal computing operating systems, and the religious divide betwixt the two main churches.", "topic": "Top/Arts/Literature/Authors/E/Eco,_Umberto", "url": "http://www.simongrant.org/web/eco.html"} +{"d:Title": "The World According to Eco", "d:Description": "\"Italian novelist and semiotician Umberto Eco expounds upon the Net, writing, The Osteria, libraries, the continental divide, Marshall Mcluhan,and, well, God.\" A profile/interview.", "topic": "Top/Arts/Literature/Authors/E/Eco,_Umberto", "url": "http://www.wired.com/1997/03/ff-eco/"} +{"d:Title": "The Island of the Day Before", "d:Description": "A review of the book, with notes on sundry Eco-related matters.", "topic": "Top/Arts/Literature/Authors/E/Eco,_Umberto/Novels", "url": "http://tal.forum2.org/island"} +{"d:Title": "David Eddings", "d:Description": "Biography, detailed information about his books, quotations, trivia, maps, interviews, index of people and places in the novels. (English, Polish)", "topic": "Top/Arts/Literature/Authors/E/Eddings,_David", "url": "http://eddings.fantastyka.art.pl/"} +{"d:Title": "David Eddings and Role-Playing Muds", "d:Description": "Site offers biographical information and additional links. Also connect to the Prophecy MUD.", "topic": "Top/Arts/Literature/Authors/E/Eddings,_David", "url": "http://www.prophecy.lu/david_eddings/"} +{"d:Title": "David Eddings: SFFworld", "d:Description": "Biography, bibliography, news, book reviews and links to other David Eddings resources.", "topic": "Top/Arts/Literature/Authors/E/Eddings,_David", "url": "http://www.sffworld.com/author/165.html"} +{"d:Title": "Elenia", "d:Description": "A fantasy site mostly focusing on David Eddings and LotR.", "topic": "Top/Arts/Literature/Authors/E/Eddings,_David", "url": "http://www.angelfire.com/ego/elenia/main.html"} +{"d:Title": "The Conjuring in the Iron Tower", "d:Description": "from The Worm Ouroboros.", "topic": "Top/Arts/Literature/Authors/E/Eddison,_Eric_R\u00fccker/Works", "url": "http://plexipages.com/reflections/conjuring.html"} +{"d:Title": "Edson, Russell", "d:Description": "Short biographical sketch, a selection of his poems, and reader comments.", "topic": "Top/Arts/Literature/Authors/E/Edson,_Russell", "url": "http://www.americanpoems.com/poets/Russell-Edson/"} +{"d:Title": "Freiherr von Eichendorff", "d:Description": "Biographical article on the German Romantic poet, in the Catholic Encyclopedia.", "topic": "Top/Arts/Literature/Authors/E/Eichendorff,_Joseph_von", "url": "http://www.newadvent.org/cathen/05363b.htm"} +{"d:Title": "Wikipedia: Joseph Freiherr von Eichendorff", "d:Description": "Brief profile, selected bibliography.", "topic": "Top/Arts/Literature/Authors/E/Eichendorff,_Joseph_von", "url": "http://en.wikipedia.org/wiki/Joseph_Freiherr_von_Eichendorff"} +{"d:Title": "Joseph von Eichendorff", "d:Description": "Portrait and biographical timeline.", "topic": "Top/Arts/Literature/Authors/E/Eichendorff,_Joseph_von", "url": "http://www.goethe.de/ins/au/lp/prj/bkm/aut/vei/enindex.htm"} +{"d:Title": "Mondnacht", "d:Description": "German original, and English translation by Walter A. Aue. Links to a different English translation for comparison.", "topic": "Top/Arts/Literature/Authors/E/Eichendorff,_Joseph_von/Works", "url": "http://myweb.dal.ca/waue/Trans/Eichendorff-Mondnacht.html"} +{"d:Title": "Der Isegrimm", "d:Description": "In German and English. The translation is by Walter A. Aue.", "topic": "Top/Arts/Literature/Authors/E/Eichendorff,_Joseph_von/Works", "url": "http://myweb.dal.ca/waue/Trans/Eichendorff-Isegrimm.html"} +{"d:Title": "The Marble Statue", "d:Description": "Dr. Michael Haldane's translation of Das Marmorbild.", "topic": "Top/Arts/Literature/Authors/E/Eichendorff,_Joseph_von/Works", "url": "http://www.michaelhaldane.com/Marmorbild.htm"} +{"d:Title": "Josef Karl Benedikt von Eichendorff", "d:Description": "Poems of his which have been set to music. By title and by first line. For some, translation into another language is available.", "topic": "Top/Arts/Literature/Authors/E/Eichendorff,_Joseph_von/Works", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=770"} +{"d:Title": "Author Janice Eidus's Home Page", "d:Description": "The home page of novelist, short story writer, and essayist Janice Eidus contains a biography, bibliography, book reviews, and interviews.", "topic": "Top/Arts/Literature/Authors/E/Eidus,_Janice", "url": "http://www.janiceeidus.com/"} +{"d:Title": "Bartleby.com: T.S. Eliot", "d:Description": "Short biography, portrait, four of his works, quotations.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "http://www.bartleby.com/people/Eliot-Th.html"} +{"d:Title": "T. S. Eliot", "d:Description": "An introduction to the poet by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "http://www.lit.kobe-u.ac.jp/~hishika/eliot.htm"} +{"d:Title": "AmericanPoems.com: T. S. Eliot", "d:Description": "Short biography, and the poems from his first two collections: \"Prufrock and Other Observations\", and \"Poems\".", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "http://www.americanpoems.com/poets/tseliot"} +{"d:Title": "What the Thunder Said", "d:Description": "Time line, list of works, recommended resources, and links.", "priority": "1", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "http://www.whatthethundersaid.org/"} +{"d:Title": "T.S.Eliot Hypertext Project", "d:Description": "Annotated and non-annotated versions of Eliot's poetry, a bibliography, hyperlinks, and background articles", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "http://members.chello.nl/~a.vanarum8/EliotProject/"} +{"d:Title": "Thomas Stearns Eliot", "d:Description": "Includes a biography, the Nobel lecture, and a list of works.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1948/index.html"} +{"d:Title": "T.S. Eliot (1888-1965)", "d:Description": "Biography, selected bibliography, literary criticism of some of his works.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "http://www.english.illinois.edu/maps/poets/a_f/eliot/eliot.htm"} +{"d:Title": "Academy of American Poets: T. S. Eliot", "d:Description": "Brief biography, a small selection of poems, and links to related Eliot sites.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S.", "url": "https://www.poets.org/poetsorg/poet/t-s-eliot"} +{"d:Title": "Four Quartets", "d:Description": "Full text of Eliot's Four Quartets", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works", "url": "http://www.coldbacon.com/poems/eliotfq.html"} +{"d:Title": "Several Eliot poems (about 26)", "d:Description": "Wasteland, Prufrock and others at everypoet.com", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works", "url": "http://www.everypoet.com/archive/poetry/t_s_eliot/t_s_eliot_contents.htm"} +{"d:Title": "Poetry Archive: T.S. Eliot", "d:Description": "The Hippopotamus, Hysteria, The Love Song of J. Alfred Prufrock, Morning at the Window, Rhapsody on a Windy Night, and Sweeney Among the Nightingales.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works", "url": "http://www.poetry-archive.com/e/eliot_t_s.html"} +{"d:Title": "Prufrock and Other Observations", "d:Description": "from Bartleby.com", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works/Prufrock", "url": "http://www.bartleby.com/198"} +{"d:Title": "Prufrock and Other Observations", "d:Description": "Several poems online.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works/Prufrock", "url": "http://www.worldwideschool.org/library/books/lit/poetry/PrufrockandOtherObservations/toc.html"} +{"d:Title": "An Essay on \"The Love Song of J. Alfred Prufrock\"", "d:Description": "A study of the character of J. Alfred Prufrock, showing how his fear of his real self being known results in his leading a restricted and impoverished life", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works/Prufrock", "url": "http://www.literature-study-online.com/essays/eliot-prufrock.html"} +{"d:Title": "The Waste Land, Eliot, T. S. 1922.", "d:Description": "Bartleby.com's online publication of the classic 1922 edition of Eliot's The Waste Land", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works/The_Waste_Land", "url": "http://www.bartleby.com/201"} +{"d:Title": "T.S. Eliot", "d:Description": "Audio recording of the author reading \"The Waste Land.\" Available in .au, ram and gsm formats.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works/The_Waste_Land", "url": "http://town.hall.org/Archives/radio/IMS/HarperAudio/011894_harp_ITH.html"} +{"d:Title": "Exploring The Waste Land", "d:Description": "Hyperlinked notes, definitions, translations, cross references, texts of works alluded to, commentary, and questions to the reader.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works/The_Waste_Land", "url": "http://world.std.com/~raparker/exploring/thewasteland/explore.html"} +{"d:Title": "The Waste Land", "d:Description": "Hypertext presentation of 'The Waste Land' by T S Eliot.", "topic": "Top/Arts/Literature/Authors/E/Eliot,_T._S./Works/The_Waste_Land", "url": "http://eliotswasteland.tripod.com/"} +{"d:Title": "Celebrity Site: Kim Elizabeth", "d:Description": "Biography, booklists, cover art, and interviews.", "topic": "Top/Arts/Literature/Authors/E/Elizabeth,_Kim", "url": "http://www.celebritysites.8k.com/kimelizabeth.htm"} +{"d:Title": "Interview with Normandi Ellis", "d:Description": "From Obsidian Magazine, Copyright 1995-1999.", "topic": "Top/Arts/Literature/Authors/E/Ellis,_Normandi", "url": "http://obsidianmagazine.com/Pages/normandi.html"} +{"d:Title": "Excerpts from The Egyptian Book of the Dead", "d:Description": "translated by Normandi Ellis.", "topic": "Top/Arts/Literature/Authors/E/Ellis,_Normandi", "url": "http://www.jbeilharz.de/ellis/egypt.html"} +{"d:Title": "Boiled Him", "d:Description": "A short story by Normandi Ellis. In Margin: Exploring Modern Magical Realism.", "topic": "Top/Arts/Literature/Authors/E/Ellis,_Normandi", "url": "http://www.angelfire.com/wa2/margin/Ellis.html"} +{"d:Title": "Yahoo! Groups: ellisonalcove", "d:Description": "A corner to discuss Harlan Ellison and his works.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Harlan", "url": "http://groups.yahoo.com/group/ellisonalcove/"} +{"d:Title": "Harlan Ellison Webderland", "d:Description": "An informal fan site but still loaded with information and the latest news on the Dark Prince of Fantasy, this site has Ellison's \"official\" stamp of approval.", "priority": "1", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Harlan", "url": "http://harlanellison.com/"} +{"d:Title": "Ralph Ellison", "d:Description": "A short biography about Ralph Ellison and some links.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.aalbc.com/authors/ellison.htm"} +{"d:Title": "The Invisibility of Ralph Ellison", "d:Description": "An Essay by John Haber from New York City.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.haberarts.com/ellison.htm"} +{"d:Title": "Ralph Ellison", "d:Description": "Learn more about Ralph Ellison's life and works. A short biography and links to other interesting sites.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.bookspot.com/authors/ellison.htm"} +{"d:Title": "A Conversation With Ralph Ellison", "d:Description": "Excerpts from interviews with Ralph Ellison from the New York Times.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.nytimes.com/books/99/06/20/specials/ellison-conversation.html"} +{"d:Title": "Lives Well Lived: Ralph Ellison; Prescience, In Black and White", "d:Description": "An Article published at January 1st, 1995 in the New York Times by Roger Rosenblatt.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://partners.nytimes.com/books/99/06/20/specials/ellison-lives.html"} +{"d:Title": "A Home for Ralph Ellison's Work", "d:Description": "Article about a visit by Fanny McConnell Ellison at the Library of Congress.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.loc.gov/loc/lcib/970623/ellison.html"} +{"d:Title": "Ernest Kaiser, \"A Critical Look at Ellison's Fiction...\"", "d:Description": "Excerpts from: Ernest Kaiser, \"A Critical Look at Ellison's Fiction and at Social and Literary Criticism by and about the Author\" (Black World, December 1970 [a special Ralph Ellison issue]).", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.writing.upenn.edu/~afilreis/50s/kaiser-on-ellison.html"} +{"d:Title": "Alan Wald on Irving Howe", "d:Description": "An article titled \"The Cul-de-sac of Social Democracy\"", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.writing.upenn.edu/~afilreis/50s/wald-on-howe.html"} +{"d:Title": "Ralph Ellison - From leftist reviews to modernist interiority", "d:Description": "An excerpt from Thomas Hill Schaub's \"American Fiction\" in the Cold War (Wisconsin, 1991).", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.writing.upenn.edu/~afilreis/50s/ellison-early-reviews.html"} +{"d:Title": "John Corry's \"White View of Ralph Ellison\"", "d:Description": "An excerpt from: John Corry's \"Profile of an American Novelist, A White View of Ralph Ellison\" published in Black World (December 1970--a special Ralph Ellison issue).", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.writing.upenn.edu/~afilreis/50s/corry-on-ellison.html"} +{"d:Title": "The Art of John Coltrane and Ralph Ellison", "d:Description": "An essay by Derek Wright.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://pages.cs.wisc.edu/~wright/music/coltrane-ellison/"} +{"d:Title": "Two letters", "d:Description": "Description of the contents of two letters from Ralph Ellison to Lowry Ware.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://library.sc.edu/socar/uscs/1995/ellisn95.html"} +{"d:Title": "Ralph Ellison: Poet of Democratic Excellence by James Seaton", "d:Description": "An Article from On Principle, v4n3 June 1996 by James Seaton.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://ashbrook.org/publications/onprin-v4n3-seaton/"} +{"d:Title": "Robert O'Meally discusses Ralph Ellison", "d:Description": "Author Robert O'Meally discusses Ralph Ellison's influence on American culture, particularly his impact on jazz. On Jerry Jazz Musician web page.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.jerryjazzmusician.com/2002/08/the-ralph-ellison-project-robert-omeally-editor-of-living-with-music-discusses-invisible-man-author-ralph-ellison/"} +{"d:Title": "Jerry Jazz Musician - The Ralph Ellison Project", "d:Description": "The site features interviews with prominent writers who discuss Ralph Ellison. Among others Stanley Crouch, Robert O'Meally, Albert Murray, John Callahan, Michael Harper participate.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.jerryjazzmusician.com/category/interviews/the-ralph-ellison-project-interviews/"} +{"d:Title": "Albert Murray discusses Ralph Ellison", "d:Description": "The author and cultural historian discusses Invisible Man author Ralph Ellison on the Jerry Jazz Musician web site.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.jerryjazzmusician.com/2001/08/the-ralph-ellison-project-albert-murray-author-of-trading-twelves-the-selected-letters-of-ralph-ellison-and-albert-murray/"} +{"d:Title": "Short biography of Ralph Ellison", "d:Description": "Includes a short excerpt from \"Invisible Man\".", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://spartacus-educational.com/USAellison.htm"} +{"d:Title": "American Masters - Ralph Ellison", "d:Description": "A description of the life of Ralph Ellison and a timeline.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://www.pbs.org/wnet/americanmasters/ralph-ellison-an-american-journey/587/"} +{"d:Title": "Ralph Ellison Award/Oklahoma Center for the Book", "d:Description": "Information on past winners of the Ralph Ellison Award", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph", "url": "http://libraries.ok.gov/ocb/oklahoma-book-awards/ralph-ellison/"} +{"d:Title": "The Art of John Coltrane and Ralph Ellison", "d:Description": "An Article comparing the music of John Coltrane and the writing of Ralph Ellison in sight of \"The Black American Experience\".", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works", "url": "http://pages.cs.wisc.edu/~wright/music/coltrane-ellison/paper.html"} +{"d:Title": "Ralph Ellison", "d:Description": "Forty years after Ralph Ellison began work on his second novel, John Callahan, Ellison's literary executor has brought out \"Juneteenth,\" a selection from the unfinished manuscript. This feature includes collected coverage of Ellison's life and work, including the original reviews of \"Invisible Man\" and an excerpt from the new work.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works", "url": "http://www.nytimes.com/books/99/06/20/specials/ellison.html"} +{"d:Title": "Juneteenth", "d:Description": "Ralph Ellison's literary executor, John F. Callahan spoke at the Library of Congress about Ellison and his two novels, Invisible Man and Juneteenth. Library of Congress Information Bulletin, August 1999 issue.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works", "url": "http://www.loc.gov/loc/lcib/9908/juneteenth.html"} +{"d:Title": "Excerpt from Invisible Man", "d:Description": "A small excerpt and a small biography.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.swans.com/library/art6/xxx042.html"} +{"d:Title": "Barron's Booknotes on Invisible Man", "d:Description": "A websitefor teaching Ralph Ellison's Invisible Man. Included are pages on biographical information, chapter summaries, critical commentary on characters, and themes.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.pinkmonkey.com/booknotes/barrons/invismn.asp"} +{"d:Title": "Lloyd Brown on Ellison", "d:Description": "A review called \"The Deep Pit\" of Ralph Ellison's \"Invisible Man\" by Lloyd Brown, published in Masses and Mainstream (vol. 5, no. 6 [June 1952]).", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.writing.upenn.edu/~afilreis/50s/brown-on-ellison.html"} +{"d:Title": "Irving Howe on Ralph Ellison's \"Invisible Man\"", "d:Description": "A review of Ralph Ellison's Invisible Man by Irving Howe, published in The Nation May 10, 1952.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.writing.upenn.edu/~afilreis/50s/howe-on-ellison.html"} +{"d:Title": "Ralph Ellison's Invisible Man", "d:Description": "Information about Ralph Ellison's most popular novel \"Invisible Man\".", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.writing.upenn.edu/~afilreis/50s/ellison-main.html"} +{"d:Title": "Bellow's review of Ralph Ellison's \"Invisible Man\"", "d:Description": "\"Man Underground\", a Review of Ralph Ellison's Invisible Man by Saul Bellow.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.writing.upenn.edu/~afilreis/50s/bellow-on-ellison.html"} +{"d:Title": "Chapter summary of Invisible Man", "d:Description": "A short chapter summary.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.writing.upenn.edu/~afilreis/50s/invman-chapsum.html"} +{"d:Title": "Salon.com Audio", "d:Description": "Audio clip read from Invisible Man.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Invisible_Man", "url": "http://www.salon.com/2000/10/05/ellison_3/"} +{"d:Title": "MetroActive Books", "d:Description": "Criticism of Juneteenth.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Juneteenth", "url": "http://www.metroactive.com/papers/cruz/09.08.99/juneteenth-9936.html"} +{"d:Title": "Juneteenth", "d:Description": "A short discussion of the book followed by questions for a reading group discussion of this book.", "topic": "Top/Arts/Literature/Authors/E/Ellison,_Ralph/Works/Juneteenth", "url": "http://www.readinggroupguides.com/reviews/juneteenth"} +{"d:Title": "Review of Kenward Elmslie's \"Routine Disruptions\"", "d:Description": "By Alice Notley. From Jacket # 7.", "topic": "Top/Arts/Literature/Authors/E/Elmslie,_Kenward", "url": "http://www.jacketmagazine.com/07/notleyreview.html"} +{"d:Title": "Margaret Elphinstone", "d:Description": "The author's own web site, with information about all her novels, reviews and extracts.", "topic": "Top/Arts/Literature/Authors/E/Elphinstone,_Margaret", "url": "http://www.margaretelphinstone.co.uk/"} +{"d:Title": "The Nobel Prize in Literature 1979", "d:Description": "Biography of Odysseus Elytis with a photograph, press release, and speeches.", "topic": "Top/Arts/Literature/Authors/E/Elytis,_Odysseus", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1979/index.html"} +{"d:Title": "Lynn Emanuel", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/E/Emanuel,_Lynn", "url": "https://www.poets.org/poetsorg/poet/lynn-emanuel"} +{"d:Title": "Yunus Emre", "d:Description": "Life, works and philosophy of this thirteenth century mystic, presented by Turgut Dunduran, University of Pennsylvania.", "topic": "Top/Arts/Literature/Authors/E/Emre,_Yunus", "url": "http://www.stwing.upenn.edu/~durduran/yunus/"} +{"d:Title": "Wikipedia: Michael Ende", "d:Description": "Information about the German fantasy and children's book author and selected works.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael", "url": "http://en.wikipedia.org/wiki/Michael_Ende"} +{"d:Title": "The Neverending Story", "d:Description": "Information about the book, movies, tv shows and games based on the book, and a discussion forum for fans.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Fan_Pages", "url": "http://www.fantasien.net/tnes/"} +{"d:Title": "Momo Quotes", "d:Description": "From the book Momo, also known as The Grey Gentlemen.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Quotations", "url": "http://www.generationterrorists.com/quotes/momo.html"} +{"d:Title": "Michael Ende - Wikiquote", "d:Description": "Quotes from Momo and Michael Ende's Last Words to the Japanese.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Quotations", "url": "http://en.wikiquote.org/wiki/Michael_Ende"} +{"d:Title": "Michael Ende BrainyQuotes", "d:Description": "15 quotes and quotations by Michael Ende.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Quotations", "url": "http://www.brainyquote.com/quotes/authors/m/michael_ende.html"} +{"d:Title": "Michael Ende quotes", "d:Description": "List of Ende quotations at Thinkexist.com.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Quotations", "url": "http://thinkexist.com/quotes/michael_ende/"} +{"d:Title": "Rambles: Momo", "d:Description": "Momo (The Grey Gentlemen) reviewed by Sarah Meador.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Reviews", "url": "http://www.rambles.net/ende_momo85.html"} +{"d:Title": "Wikipedia: Jim Button and Luke the Engine Driver", "d:Description": "Information about the setting, plot and characters from the first Jim Button book.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Works/Jim_Button", "url": "http://en.wikipedia.org/wiki/Jim_Button_and_Luke_the_Engine_Driver"} +{"d:Title": "Wikipedia: Jim Button and the Wild 13", "d:Description": "Information about the sequel to the Jim Button story.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Works/Jim_Button", "url": "http://en.wikipedia.org/wiki/Jim_Button_and_the_Wild_13"} +{"d:Title": "Wikipedia: Momo", "d:Description": "Synopsis and information about the book Momo, also known as The Grey Gentlemen.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Works/Momo", "url": "http://en.wikipedia.org/wiki/Momo_(novel)"} +{"d:Title": "Wikipedia: The Neverending Story", "d:Description": "Synopsis and information about the book and its adaptations.", "topic": "Top/Arts/Literature/Authors/E/Ende,_Michael/Works/Neverending_Story,_The", "url": "http://en.wikipedia.org/wiki/The_Neverending_Story"} +{"d:Title": "Horagai: Endo Shusaku", "d:Description": "Brief biobibliographical profile.", "topic": "Top/Arts/Literature/Authors/E/Endo,_Shusaku", "url": "http://www.horagai.com/www/xwho/endoShusaku.htm"} +{"d:Title": "Endo and Johnston Talk of Buddhism and Christianity", "d:Description": "Novelist Shusaku Endo interviews Jesuit theologian William Johnston (translator of \"Silence\"). [America]", "topic": "Top/Arts/Literature/Authors/E/Endo,_Shusaku", "url": "http://ccbs.ntu.edu.tw/FULLTEXT/JR-EPT/william1.htm"} +{"d:Title": "The Endo-Owen Collection", "d:Description": "Special collection at Georgetown University houses letters and manuscripts related to the English translation and publication of Shusaku Endo's \"The Samurai\" and \"Stained Glass Elegies.\"", "topic": "Top/Arts/Literature/Authors/E/Endo,_Shusaku", "url": "http://findingaids.library.georgetown.edu/repositories/15/resources/10560"} +{"d:Title": "\"Silence\" by Shusaku Endo", "d:Description": "In-depth book review by David Kopp.", "topic": "Top/Arts/Literature/Authors/E/Endo,_Shusaku/Reviews", "url": "http://www.davidkopp.com/reading/silence.htm"} +{"d:Title": "The Conversion of Japan", "d:Description": "Shusaku Endo has his work cut out for him, being the leading Catholic novelist in a land of bright people who are not particularly religious. Julian Moynahan reviews \"The Samurai.\" Free registration required. [New York Times]", "topic": "Top/Arts/Literature/Authors/E/Endo,_Shusaku/Reviews", "url": "http://www.nytimes.com/books/97/08/24/nnp/1087.html"} +{"d:Title": "\"Silence\" by Shusaku Endo", "d:Description": "Book review by Amy Welborn.", "topic": "Top/Arts/Literature/Authors/E/Endo,_Shusaku/Reviews", "url": "http://www.amywelborn.com/catholicwriters/silence.html"} +{"d:Title": "Kirishitan and Today", "d:Description": "Shusaku Endo likens his Christian faith to an arranged marriage, and explains his interest in the weak rather than the brave, and in the double lives of Japan's \"hidden Christians.\"", "topic": "Top/Arts/Literature/Authors/E/Endo,_Shusaku/Works", "url": "http://pweb.sophia.ac.jp/britto/xavier/endo/endo.pdf"} +{"d:Title": "Engling, Richard", "d:Description": "Author of futuristic detective novel, Body Mortgage, his works include fantasy, humor, visionary, and mainstream fiction. Free e-book and short stories.", "topic": "Top/Arts/Literature/Authors/E/Engling,_Richard", "url": "http://www.richardengling.com/"} +{"d:Title": "Elaine Equi, Poetry: Issue Four -- The Cortland Review", "d:Description": "Poetry of Elaine Equi in real audio.", "topic": "Top/Arts/Literature/Authors/E/Equi,_Elaine", "url": "http://www.cortlandreview.com/issuefour/equi4.htm"} +{"d:Title": "A Lemon", "d:Description": "Poem by Elaine Equi in Lacanian Ink 7.", "topic": "Top/Arts/Literature/Authors/E/Equi,_Elaine", "url": "http://www.lacan.com/frameVII8.htm"} +{"d:Title": "Frank O'Hara: Nothing Personal", "d:Description": "Elaine Equi in Conjunctions 29, Fall 1997.", "topic": "Top/Arts/Literature/Authors/E/Equi,_Elaine", "url": "http://www.conjunctions.com/archives/c29-ee.htm"} +{"d:Title": "Elaine Equi: Two Poems", "d:Description": "Wittgenstein's Colors and Furniture Fantasy in Jacket # 1.", "topic": "Top/Arts/Literature/Authors/E/Equi,_Elaine", "url": "http://jacketmagazine.com/01/equipoems.html"} +{"d:Title": "Elaine Equi: Unspeakable Ambitions", "d:Description": "\"Every good poem is a Trojan Horse. But if the poem is a Trojan Horse what does it carry within?\" In Jacket # 7.", "topic": "Top/Arts/Literature/Authors/E/Equi,_Elaine", "url": "http://jacketmagazine.com/07/equi-ambition.html"} +{"d:Title": "Elke Erb: Mountains in Berlin", "d:Description": "Publisher's presentation, with a biography,and quotes from reviews.", "topic": "Top/Arts/Literature/Authors/E/Erb,_Elke", "url": "http://www.burningdeck.com/catalog/erb.html"} +{"d:Title": "The Birchbark House by Louise Erdrich", "d:Description": "Summary and review of the book with classroom discussion ideas, activities, related books and links.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.carolhurst.com/titles/birchbarkhouse.html"} +{"d:Title": "PAL: Louise Erdrich", "d:Description": "Portraits in American Literature profile, featuring extensive bibliography.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.csustan.edu/english/reuben/pal/chap10/erdrich.html"} +{"d:Title": "Barnes&Noble.com - Meet the Writers", "d:Description": "Biography, interview and bibliography.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.barnesandnoble.com/writers/writerdetails.asp?userid=2TH3X2Y63W&cid=929573#bio"} +{"d:Title": "FactMonster: Louise Erdrich", "d:Description": "Very brief summary of the author's biography and work.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.factmonster.com/ipka/A0884950.html"} +{"d:Title": "Naked Woman Playing Chopin: A Fargo Romance", "d:Description": "Short story by the author, appearing in The Barcelona Review. Originally published in The New Yorker.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.barcelonareview.com/34/e_le.htm"} +{"d:Title": "Erdrich's Tracks", "d:Description": "Essay discussing the \"healing power of humor\" in author's works.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://itech.fgcu.edu/&/issues/vol1/issue2/erdrich.htm"} +{"d:Title": "Review of \"The Antelope Wife\"", "d:Description": "Review of \"The Antelope Wife,\" appearing in the Post-Gazette, May 1998.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.post-gazette.com/books/reviews/19980503review28.asp"} +{"d:Title": "The Infography about Erdrich, Louise (1954- )", "d:Description": "Online and print resources recommended by a professor who specializes in research about the author.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.infography.com/content/582844050872.html"} +{"d:Title": "American Passages - Unit 1. Native Voices: Authors", "d:Description": "Unit 1 of American Passages Literary Survey: Native Voices syllabus. Includes teaching tips and author questions for presentation and discussion.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.learner.org/amerpass/unit01/authors-2.html"} +{"d:Title": "Louise Erdrich Official Web Page", "d:Description": "Official website of the author, provided by HarperCollins. Features biography, bibliography, mailing list and information about recent releases.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.harpercollins.com/author/index.aspx?authorid=2905"} +{"d:Title": "A Feast of Words", "d:Description": "Annotated bibliography and brief biography of the author, as well as critical praise for her work.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://dancingbadger.com/louise_erdrich.htm"} +{"d:Title": "Dancing Badger", "d:Description": "Discussion of the author's book, \"The Antelope Wife,\" and the themes contained in the book.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://dancingbadger.com/antelope_wife.htm"} +{"d:Title": "Reading Group Guide: The Bingo Palace", "d:Description": "Guide for books clubs or groups reading \"The Bingo Palace.\" Includes description and questions for discussion of book.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.readinggroupguides.com/reviews/the-bingo-palace"} +{"d:Title": "Reading Group Guide: The Beet Queen", "d:Description": "Guide for book clubs or groups reading \"The Beet Queen.\" Includes description and questions for discussion of book.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.readinggroupguides.com/reviews/the-beet-queen"} +{"d:Title": "Reading Group Guide: Love Medicine", "d:Description": "Page for book clubs or groups reading \"Love Medicine.\" Includes summary and questions for discussion.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.readinggroupguides.com/reviews/love-medicine"} +{"d:Title": "Reading Group Guide: Tracks", "d:Description": "Guide for book clubs or groups reading \"Tracks.\" Includes description and questions for discussion of book.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.readinggroupguides.com/reviews/tracks-0"} +{"d:Title": "Louise Erdrich", "d:Description": "Classroom syllabus for discussion of author and works.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/erdrich.html"} +{"d:Title": "Satan: Hijacker of a Planet by Louise Erdrich", "d:Description": "Short story appearing the August 97 issue of \"The Atlantic.\"", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.theatlantic.com/past/docs/issues/97aug/erdrich.htm"} +{"d:Title": "Louise Erdrich's Bibliography", "d:Description": "Bibliography of poetry, novels and other works, compiled by \"Modern American Poetry.\"", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://www.english.illinois.edu/maps/poets/a_f/erdrich/bib.htm"} +{"d:Title": "Approaches to Erdrich", "d:Description": "Syllabus for discussion of themes in author's stories.", "topic": "Top/Arts/Literature/Authors/E/Erdrich,_Louise", "url": "http://faculty.georgetown.edu/bassr/heath/syllabuild/iguide/erdrich.html"} +{"d:Title": "Steve Erickson", "d:Description": "Overview of Steve Erickson's life and book reviews.", "topic": "Top/Arts/Literature/Authors/E/Erickson,_Steve", "url": "http://www.complete-review.com/authors/ericks.htm"} +{"d:Title": "Annie Ernaux at the Complete Review", "d:Description": "An overview of the life and works of Annie Ernaux, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/E/Ernaux,_Annie", "url": "http://www.complete-review.com/authors/ernauxa.htm"} +{"d:Title": "Laura Esquivel at Mostly Fiction", "d:Description": "Book reviews, bibliography, and links to other sites.", "topic": "Top/Arts/Literature/Authors/E/Esquivel,_Laura", "url": "http://mostlyfiction.com/latin/esquivel.htm"} +{"d:Title": "Eubanks, W. Ralph", "d:Description": "The Washington, DC-based author's web site includes biographical information, commentaries and articles, links to interviews, and information on his titles.", "topic": "Top/Arts/Literature/Authors/E/Eubanks,_W._Ralph", "url": "http://www.wralpheubanks.com/"} +{"d:Title": "Fiore, Carmen Anthony", "d:Description": "Author describes his novels and nonfiction books for adults, children and young adults.", "topic": "Top/Arts/Literature/Authors/F", "url": "http://carmenanthonyfiore.com/"} +{"d:Title": "Farland, David", "d:Description": "Fantasy and science fiction writer of \"Runelords\" and \"Of Mice and Magic\" offers writing workshops and seminars. Utah.", "topic": "Top/Arts/Literature/Authors/F", "url": "http://davidfarland.com/"} +{"d:Title": "Stephen Fried", "d:Description": "Offers first chapter of the biography, photo gallery, and FAQ.", "topic": "Top/Arts/Literature/Authors/F", "url": "http://www.stephenfried.com/"} +{"d:Title": "Bibliomania: J Meade Falkner", "d:Description": "Includes \"Moonfleet\".", "topic": "Top/Arts/Literature/Authors/F/Falkner,_J._Meade", "url": "http://www.bibliomania.com/0/0/37/frameset.html"} +{"d:Title": "John Fante", "d:Description": "A photo and brief biography.", "topic": "Top/Arts/Literature/Authors/F/Fante,_John", "url": "http://fante.tripod.com/"} +{"d:Title": "The Power of Culture - Living in Two Worlds", "d:Description": "What does living in two worlds mean to an immigrant? - Winternachten lecture 2009 by Nuruddin Farah", "topic": "Top/Arts/Literature/Authors/F/Farah,_Nuruddin", "url": "http://www.powerofculture.nl/en/current/2009/january/farrah"} +{"d:Title": "William Faulkner on the Web", "d:Description": "Featuring commentaries on his novels, an extensive character and place-name glossary, bibliographies, genealogies, chronologies, and biographical information.", "topic": "Top/Arts/Literature/Authors/F/Faulkner,_William", "url": "http://www.mcsr.olemiss.edu/~egjbp/faulkner/faulkner.html"} +{"d:Title": "William Faulkner: Nobel Prize Acceptance Speech", "d:Description": "This is a copy of the acceptance speech by William Faulkner for the Nobel Prize for Literature in 1950.", "topic": "Top/Arts/Literature/Authors/F/Faulkner,_William", "url": "http://www.rjgeib.com/thoughts/faulkner/faulkner.html"} +{"d:Title": "IMDb: William Faulkner", "d:Description": "Filmography, with cast, crew and rating information.", "topic": "Top/Arts/Literature/Authors/F/Faulkner,_William", "url": "http://www.imdb.com/name/nm0001203/"} +{"d:Title": "William Faulkner Quotations - News, Books, Pictures, Links", "d:Description": "William Faulkner Quotes", "topic": "Top/Arts/Literature/Authors/F/Faulkner,_William", "url": "http://www.yenra.com/quotations/faulkner-william.html"} +{"d:Title": "The Nobel Prize in Literature 1949", "d:Description": "\"for his powerful and artistically unique contribution to the modern American novel\"", "topic": "Top/Arts/Literature/Authors/F/Faulkner,_William", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1949/"} +{"d:Title": "WFotW: Absalom, Absalom!: Resources", "d:Description": "Includes a genealogy, character list, and general information about the novel. From William Faulkner on the Web.", "topic": "Top/Arts/Literature/Authors/F/Faulkner,_William/Absalom,_Absalom", "url": "http://cypress.mcsr.olemiss.edu/~egjbp/faulkner/r_n_aa.html"} +{"d:Title": "Faulkner's The Sound and the Fury", "d:Description": "A hypertext edition of Faulkner's novel, complete with critical resources, visuals that explain narrative and chronology, and links to other sites.", "topic": "Top/Arts/Literature/Authors/F/Faulkner,_William/Sound_and_the_Fury,_The", "url": "http://drc.usask.ca/projects/faulkner/"} +{"d:Title": "Raymond Federman", "d:Description": "EPC home page: biography, publications, online works, sound files.", "topic": "Top/Arts/Literature/Authors/F/Federman,_Raymond", "url": "http://epc.buffalo.edu/authors/federman/"} +{"d:Title": "Feibleman, Peter", "d:Description": "Award-winning writer, novelist and playwright. Site has links to on-line texts of two novellas.", "topic": "Top/Arts/Literature/Authors/F/Feibleman,_Peter", "url": "http://www.fictionwriter.com/"} +{"d:Title": "The Beat Page - Lawrence Ferlinghetti", "d:Description": "Brief biography, photos, and selected poems.", "topic": "Top/Arts/Literature/Authors/F/Ferlinghetti,_Lawrence", "url": "http://www.rooknet.net/beatpage/"} +{"d:Title": "Lawrence Ferlinghetti", "d:Description": "The Academy of American Poets presents a biography, photograph, poem, bibliography, and links.", "topic": "Top/Arts/Literature/Authors/F/Ferlinghetti,_Lawrence", "url": "https://www.poets.org/poetsorg/poet/lawrence-ferlinghetti"} +{"d:Title": "Dog", "d:Description": "Full text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/F/Ferlinghetti,_Lawrence/Works", "url": "http://www.boppin.com/poets/ferlinghetti.htm"} +{"d:Title": "Bird With Two Right Wings", "d:Description": "Full text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/F/Ferlinghetti,_Lawrence/Works", "url": "http://www.echonyc.com/~poets/Ferlinghetti.html"} +{"d:Title": "Lawrence Ferlinghetti", "d:Description": "Text of the poem Sometimes During Eternity.", "topic": "Top/Arts/Literature/Authors/F/Ferlinghetti,_Lawrence/Works", "url": "http://www.levity.com/corduroy/ferling.htm"} +{"d:Title": "Henry \"Feilding\" Fielding", "d:Description": "An off-the-wall Incompetech British Authors entry for Henry Fielding.", "topic": "Top/Arts/Literature/Authors/F/Fielding,_Henry", "url": "http://www.incompetech.com/authors/fielding/"} +{"d:Title": "Bartleby.com: Henry Fielding", "d:Description": "Biography and bibliography.", "topic": "Top/Arts/Literature/Authors/F/Fielding,_Henry", "url": "http://www.bartleby.com/people/FieldingH.html"} +{"d:Title": "Henry Fielding Page", "d:Description": "Biography-bibliography page at University of Toronto.", "topic": "Top/Arts/Literature/Authors/F/Fielding,_Henry", "url": "http://www.library.utoronto.ca/utel/authors/fieldingh.html"} +{"d:Title": "Henry Fielding", "d:Description": "Short biography with links to other Fielding subjects.", "topic": "Top/Arts/Literature/Authors/F/Fielding,_Henry", "url": "http://spartacus-educational.com/Jfielding.htm"} +{"d:Title": "Finder, Joseph", "d:Description": "Thriller writer, journalist, and member of the Association of Former Intelligence Officers. Biography, book summaries, and message board.", "topic": "Top/Arts/Literature/Authors/F/Finder,_Joseph", "url": "http://www.josephfinder.com/"} +{"d:Title": "The Sensible Thing: Biographies", "d:Description": "Biographies on F. Scott Fitzgerald and his wife, Zelda.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott", "url": "http://www.pbs.org/kteh/amstorytellers/bios.html"} +{"d:Title": "Bartleby.com: F. Scott Fitzgerald", "d:Description": "Biography and bibliography.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott", "url": "http://www.bartleby.com/people/FitzgS.html"} +{"d:Title": "Astrocartography of F. Scott Fitzgerald", "d:Description": "Horoscope and map by astrocartographer Rob Couteau. Also includes a biography.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott", "url": "http://www.dominantstar.com/b_fit.htm"} +{"d:Title": "Final residence of F. Scott Fitzgerald", "d:Description": "Photograph of Sheila Graham's house where F. Scott Fitzgerald died.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott", "url": "http://seeing-stars.com/ImagePages/FitzgeraldHousePhoto.shtml"} +{"d:Title": "F. Scott Fitzgerald's 100th Birthday", "d:Description": "Minnesota Public Radio's salute to the writer with a vignette and an essay by Garrison Keillor.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott", "url": "http://access.minnesota.publicradio.org/features/9609_fitzgerald/fitzsp.htm"} +{"d:Title": "Filmography of F. Scott Fitzgerald", "d:Description": "Films of Fitzgerald as writer and in one case as actor.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott", "url": "http://www.imdb.com/name/nm0280234/"} +{"d:Title": "F. Scott Fitzgerald Centenary Home Page", "d:Description": "At the University of South Carolina's website. Includes online texts, biographical and bibliographical information, and much else.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott", "url": "http://library.sc.edu/spcoll/fitzgerald/"} +{"d:Title": "Literature Network: The Great Gatsby", "d:Description": "Chapter indexed HTML text. Includes a brief summary, a search feature, and author information.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott/Works/Great_Gatsby,_The", "url": "http://www.online-literature.com/fitzgerald/greatgatsby/"} +{"d:Title": "Great Gatsby Summarized", "d:Description": "A summary, including an author biography.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott/Works/Great_Gatsby,_The", "url": "http://greatgatsbysummary.tripod.com/"} +{"d:Title": "The Great Gatsby", "d:Description": "Quotations.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott/Works/Great_Gatsby,_The", "url": "http://www.generationterrorists.com/quotes/the_great_gatsby.html"} +{"d:Title": "The Great Gatsby Study Guide", "d:Description": "Study guide featuring chapter summaries, character analysis, quotes, and themes.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott/Works/Great_Gatsby,_The", "url": "https://www.homework-online.com/the-great-gatsby/index.html"} +{"d:Title": "Literature Network: This Side of Paradise", "d:Description": "Chapter indexed, in HTML format. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott/Works/This_Side_of_Paradise", "url": "http://www.online-literature.com/fitzgerald/sideparadise/"} +{"d:Title": "This Side of Paradise", "d:Description": "Online version of Fitzgerald's first novel.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott/Works/This_Side_of_Paradise", "url": "http://www.bartleby.com/115/index.html"} +{"d:Title": "This Side of Paradise by F. Scott Fitzgerald", "d:Description": "Complete chapter-indexed hypertext and e-text from Literature Project.", "topic": "Top/Arts/Literature/Authors/F/Fitzgerald,_F._Scott/Works/This_Side_of_Paradise", "url": "http://literatureproject.com/side-paradise/index.htm"} +{"d:Title": "Page By Page Books: A Simple Soul", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/F/Flaubert,_Gustave/Works", "url": "http://www.pagebypagebooks.com/Gustave_Flaubert/A_Simple_Soul/"} +{"d:Title": "Herodias", "d:Description": "E-text of \"Herodias.\"", "topic": "Top/Arts/Literature/Authors/F/Flaubert,_Gustave/Works", "url": "http://www.pagebypagebooks.com/Gustave_Flaubert/Herodias/"} +{"d:Title": "Classic Bookshelf: Gustave Flaubert", "d:Description": "Java-based reader for \"A Simple Soul\", \"Herodias\", \"Madame Bovary\", \"Salammbo\".", "topic": "Top/Arts/Literature/Authors/F/Flaubert,_Gustave/Works", "url": "http://www.classicbookshelf.com/library/Flaubert/"} +{"d:Title": "infoplease.com: Ian Fleming", "d:Description": "A short biography.", "topic": "Top/Arts/Literature/Authors/F/Fleming,_Ian", "url": "http://www.infoplease.com/ipea/A0761452.html"} +{"d:Title": "The Name's Fleming - Ian Fleming", "d:Description": "Article about his works by Keith De La Rue.", "topic": "Top/Arts/Literature/Authors/F/Fleming,_Ian", "url": "http://delarue.net/fleming.htm"} +{"d:Title": "Ian Fleming Bibliography of UK 1st Editions", "d:Description": "In-depth and fully illustrated bibliography of Ian Fleming's 007 titles.", "topic": "Top/Arts/Literature/Authors/F/Fleming,_Ian", "url": "http://www.goldeneyebooks.com/webpages/flemingbibliography.htm"} +{"d:Title": "Ian Fleming Bibliography", "d:Description": "An illustrated checklist of UK and US James Bond first edition books which includes all issue points.", "topic": "Top/Arts/Literature/Authors/F/Fleming,_Ian", "url": "http://www.booksellerworld.com/ian-fleming.htm"} +{"d:Title": "The James Bond Dossier", "d:Description": "Details of James Bond's character, appearance, cars and vices based on Ian Fleming's books. With reviews of the books and films.", "topic": "Top/Arts/Literature/Authors/F/Fleming,_Ian", "url": "http://www.thejamesbonddossier.com/"} +{"d:Title": "JanuaryMagazine.com: A New Gestalt", "d:Description": "Claude Lalumi\u00e8re's review of Habitus by James Flint.", "topic": "Top/Arts/Literature/Authors/F/Flint,_James", "url": "http://www.januarymagazine.com/SFF/habitus.html"} +{"d:Title": "Wired 7.05: Street Cred", "d:Description": "J. Schulz's review of Habitus by James Flint.", "topic": "Top/Arts/Literature/Authors/F/Flint,_James", "url": "http://www.wired.com/1999/05/joystick-to-the-cosmos/"} +{"d:Title": "Prose poems by J. V. Foix", "d:Description": "A small selection of prose poems from KRTU in English translation.", "topic": "Top/Arts/Literature/Authors/F/Foix,_J._V.", "url": "http://www.jbeilharz.de/foix/prose_poems.html"} +{"d:Title": "Ken Follett", "d:Description": "Official site for the author includes a biography, list of recent works, and Follett's thoughts on writing.", "topic": "Top/Arts/Literature/Authors/F/Follett,_Ken", "url": "http://www.ken-follett.com/"} +{"d:Title": "Bartleby.com - Theodor Fontane", "d:Description": "Online publication of Theodor Fontane's classic \"Trials and Tribulations.\"", "topic": "Top/Arts/Literature/Authors/F/Fontane,_Theodor", "url": "http://www.bartleby.com/315/4/"} +{"d:Title": "Theodor Fontane", "d:Description": "Biography and bibliography.", "topic": "Top/Arts/Literature/Authors/F/Fontane,_Theodor", "url": "http://www.bartleby.com/people/Fontane.html"} +{"d:Title": "Online Poetry Classroom: Carolyn Forch\u00e9", "d:Description": "Biography of the poet, and an audio download of the poem \"Stranger\".", "topic": "Top/Arts/Literature/Authors/F/Forch\u00e9,_Carolyn", "url": "https://www.poets.org/poetsorg/poet/carolyn-forch%C3%A9"} +{"d:Title": "Charles Henri Ford", "d:Description": "Interviewed by Allen Frame (1997, Journal of Contemporary Art).", "topic": "Top/Arts/Literature/Authors/F/Ford,_Charles_Henri", "url": "http://www.jca-online.com/ford.html"} +{"d:Title": "From Blues to Haikus", "d:Description": "A tout-fait.com interview with Charles Henri Ford by Rhonda Roland Shearer and Thomas Girst.", "topic": "Top/Arts/Literature/Authors/F/Ford,_Charles_Henri", "url": "http://www.toutfait.com/issues/issue_2/Interviews/ford.html"} +{"d:Title": "Charles Henri Ford (*1913)", "d:Description": "Poems, collage poems, book jackets, bibliography and chronology, external links.", "topic": "Top/Arts/Literature/Authors/F/Ford,_Charles_Henri", "url": "http://www.english.illinois.edu/maps/poets/a_f/ford/ford.htm"} +{"d:Title": "University of Delaware: Charles Henri Ford Letters to Ted Joans", "d:Description": "Archival finding aid for Charles Henri Ford Letters to Ted Joans. Contains biographical information on both Ford and Joans.", "topic": "Top/Arts/Literature/Authors/F/Ford,_Charles_Henri", "url": "http://www.lib.udel.edu/ud/spec/findaids/html/mss0292.html"} +{"d:Title": "Danny Yee's Book Reviews: Horatio Hornblower", "d:Description": "Capsule review of the series of novels.", "topic": "Top/Arts/Literature/Authors/F/Forester,_C._S.", "url": "http://dannyreviews.com/h/Hornblower_and_the_Hotspur.html"} +{"d:Title": "Ha, H'm, the Hornblower Pages", "d:Description": "Reviews of the novels in C.S. Forester's Hornblower series, FAQ, suggested reading order, and a quiz.", "topic": "Top/Arts/Literature/Authors/F/Forester,_C._S.", "url": "http://www.hornblower.sundrymemes.com/"} +{"d:Title": "IMDb: C.S. Forester", "d:Description": "Writing credits for film and television.", "topic": "Top/Arts/Literature/Authors/F/Forester,_C._S.", "url": "http://imdb.com/name/nm0286163/"} +{"d:Title": "Katherine V. Forrest, The Original Amazon", "d:Description": "In novelist Lori L. Lake's personal \"Hall of Fame.\"", "topic": "Top/Arts/Literature/Authors/F/Forrest,_Katherine_V.", "url": "http://www.lorillake.com/KVForrest.html"} +{"d:Title": "Daughters of a Coral Dawn", "d:Description": "A review of this lesbian science fiction novel.", "topic": "Top/Arts/Literature/Authors/F/Forrest,_Katherine_V.", "url": "http://www.infinityplus.co.uk/nonfiction/coraldawn.htm"} +{"d:Title": "The Politics of Pride: A Personal Journey", "d:Description": "Essay by Katherine V. Forrest, on why the process of coming out is central in her fiction. [Lodestar Quarterly]", "topic": "Top/Arts/Literature/Authors/F/Forrest,_Katherine_V.", "url": "http://www.lodestarquarterly.com/work/25/"} +{"d:Title": "Leaving Home: E. M. Forster and the Pursuit of Higher Values", "d:Description": "Discussion of Forster and religion at the Western Buddhist Review.", "topic": "Top/Arts/Literature/Authors/F/Forster,_E._M.", "url": "http://www.westernbuddhistreview.com/vol2/leaving_home.html"} +{"d:Title": "Aspects of E.M. Forster", "d:Description": "Biography, literary analysis and summaries, photos, message board, a bibliography and reviews of recent secondary literature.", "topic": "Top/Arts/Literature/Authors/F/Forster,_E._M.", "url": "http://emforster.de/"} +{"d:Title": "Only Connect", "d:Description": "Brief biography, information about film adaptations, lists of primary and secondary literature, and of works inspired by the author.", "topic": "Top/Arts/Literature/Authors/F/Forster,_E._M.", "url": "http://www.musicandmeaning.com/forster/"} +{"d:Title": "Free Online Library", "d:Description": "Extensive biography and free unabridged e-texts sorted by chapters: \"Howards End\" and \"A Room With a View.\"", "topic": "Top/Arts/Literature/Authors/F/Forster,_E._M.", "url": "http://forster.thefreelibrary.com/"} +{"d:Title": "Howards End: Queequeg's Crossing page", "d:Description": "Short summary of the plot and the major themes.", "topic": "Top/Arts/Literature/Authors/F/Forster,_E._M./Works", "url": "http://www.angelfire.com/md2/timewarp/howardsend.html"} +{"d:Title": "The Machine Stops", "d:Description": "E-text of Forster's short story first published in 1909 in the Oxford and Cambridge Review.", "topic": "Top/Arts/Literature/Authors/F/Forster,_E._M./Works", "url": "http://emforster.de/hypertext/template.php3?t=tms"} +{"d:Title": "Where Angels Fear to Tread: University of Michigan", "d:Description": "E-text of the 1905 novel.", "topic": "Top/Arts/Literature/Authors/F/Forster,_E._M./Works", "url": "http://www.hti.umich.edu/cgi/p/pd-modeng/pd-modeng-idx?type=header&idno=ForstWhere"} +{"d:Title": "Unofficial Frederick Forsyth Homepage", "d:Description": "Provides author biography, FAQ, historical notes, mailing lists, novel synopses, and contact details.", "topic": "Top/Arts/Literature/Authors/F/Forsyth,_Frederick", "url": "http://www.whirlnet.co.uk/forsyth/"} +{"d:Title": "SuperEngland.com: Frederick Forsyth", "d:Description": "Provides a brief biography, along with a summary of some of the author's political views.", "topic": "Top/Arts/Literature/Authors/F/Forsyth,_Frederick", "url": "http://www.biogs.com/famous/forsythfrederick.html"} +{"d:Title": "BBC News: Terror Powers Expose 'Tyranny'", "d:Description": "Gives the author's views of plans to tighten laws to control suspected terrorsts.", "topic": "Top/Arts/Literature/Authors/F/Forsyth,_Frederick", "url": "http://news.bbc.co.uk/1/hi/uk_politics/4220427.stm"} +{"d:Title": "Wikipedia: Frederick Forsyth", "d:Description": "Gives a brief biography, followed by an outline of his novels and his political views. A bibliography is also provided.", "topic": "Top/Arts/Literature/Authors/F/Forsyth,_Frederick", "url": "http://en.wikipedia.org/wiki/Frederick_Forsyth"} +{"d:Title": "Imdb.com: Frederick Forsyth", "d:Description": "Gives a brief biography, as well as a list of films of his novels, films he has worked on and starred in, and television appearances.", "topic": "Top/Arts/Literature/Authors/F/Forsyth,_Frederick", "url": "http://www.imdb.com/name/nm0287046/"} +{"d:Title": "Nndb.com: Frederick Forsyth", "d:Description": "Personal details, photo, and bibliography are provided.", "topic": "Top/Arts/Literature/Authors/F/Forsyth,_Frederick", "url": "http://www.nndb.com/people/045/000023973/"} +{"d:Title": "AllReaders.com: \"The Avenger\"", "d:Description": "Gives a series of three reviews of this work, submitted by readers.", "topic": "Top/Arts/Literature/Authors/F/Forsyth,_Frederick", "url": "http://allreaders.com/book-review-summary/avenger-18868"} +{"d:Title": "Forte, Patricia G.", "d:Description": "\"Official city site\" for Forte's fictitious town of Oberon, California, lists characters and settings, provides book excerpts.", "topic": "Top/Arts/Literature/Authors/F/Forte,_Patricia_G.", "url": "http://www.oberoncalifornia.us/"} +{"d:Title": "New York Times: John Fowles", "d:Description": "Articles by and about Fowles, and reviews of, and extracts from, his works. [Requires free registration].", "topic": "Top/Arts/Literature/Authors/F/Fowles,_John", "url": "http://www.nytimes.com/books/98/05/31/specials/fowles.html"} +{"d:Title": "John Fowles", "d:Description": "Biographical information, reviews of his work, and first editions of his novels for sale.", "topic": "Top/Arts/Literature/Authors/F/Fowles,_John", "url": "http://www.fowlesbooks.com/"} +{"d:Title": "Nina Foxx", "d:Description": "Includes a brief biography and a photo, and a review of her book \"Dippin' My Spoon\".", "topic": "Top/Arts/Literature/Authors/F/Foxx,_Nina", "url": "http://aalbc.com/authors/ninafoxx.htm"} +{"d:Title": "Nina Foxx: Dippin My Spoon", "d:Description": "Includes an excert from the novel and an interview.", "topic": "Top/Arts/Literature/Authors/F/Foxx,_Nina", "url": "http://www.ninafoxx.com/"} +{"d:Title": "Dorothea Benton Frank", "d:Description": "Dot Frank tells the story of her life, shares experiences, and offers excerpts from her novels.", "topic": "Top/Arts/Literature/Authors/F/Frank,_Dorothea_Benton", "url": "http://www.dotfrank.com/"} +{"d:Title": "The Conquest of Cool", "d:Description": "Excerpt, images, quotes, and commentary regarding the book.", "topic": "Top/Arts/Literature/Authors/F/Frank,_Thomas", "url": "http://www.press.uchicago.edu/Misc/Chicago/259919.html"} +{"d:Title": "The Satirist: The Conquest of Cool", "d:Description": "Review by Dan Geddes.", "topic": "Top/Arts/Literature/Authors/F/Frank,_Thomas", "url": "http://www.thesatirist.com/books/ConquestOfCool.html"} +{"d:Title": "Jonathan Franzen", "d:Description": "Author's homepage with biography, reviews, reading guide and press room.", "topic": "Top/Arts/Literature/Authors/F/Franzen,_Jonathan", "url": "http://us.macmillan.com/author/jonathanfranzen"} +{"d:Title": "Jonathan Franzen Uncorrected", "d:Description": "An interview by Dave Weich, Powells.com.", "topic": "Top/Arts/Literature/Authors/F/Franzen,_Jonathan", "url": "http://www.powells.com/post/interviews/jonathan-franzen-uncorrected"} +{"d:Title": "Royal Flash (1975)", "d:Description": "Internet Movie Database entry for the film version of this novel.", "topic": "Top/Arts/Literature/Authors/F/Fraser,_George_MacDonald", "url": "http://www.imdb.com/title/tt0073639/"} +{"d:Title": "This Language We Come Up Against", "d:Description": "A gathering of quotes on language poetry by writers including Fraser, Bernstein, Howe, and others.", "topic": "Top/Arts/Literature/Authors/F/Fraser,_Kathleen", "url": "http://www.writing.upenn.edu/~afilreis/88/lang-poetry-summary.html"} +{"d:Title": "Jackie French", "d:Description": "Children's Book Council Award shortlisted author; with a list of awards, biography, recipes and bibliography.", "topic": "Top/Arts/Literature/Authors/F/French,_Jackie", "url": "http://www.jackiefrench.com/"} +{"d:Title": "Philip Freneau - Biography and Poems by AmericanPoems.com", "d:Description": "Biography and the text of four of his poems.", "topic": "Top/Arts/Literature/Authors/F/Freneau,_Philip", "url": "http://americanpoems.com/poets/philipfreneau/"} +{"d:Title": "Philip Freneau (1752-1832)", "d:Description": "Biography published by the United States Information Agency.", "topic": "Top/Arts/Literature/Authors/F/Freneau,_Philip", "url": "http://www.let.rug.nl/usa/outlines/literature-1991/authors/philip-freneau.php"} +{"d:Title": "The Indian Burying Ground", "d:Description": "After visiting an Indian burial ground, Freneau wrote the following poem, considered to be one of the first to idealize the Indian.", "topic": "Top/Arts/Literature/Authors/F/Freneau,_Philip/Works", "url": "http://www.varsitytutors.com/earlyamerica/early-america-review/volume-1/philip-freneau-indian-burying-ground"} +{"d:Title": "Rambles: Betty Friedan: Issues without Gender", "d:Description": "A interview by Tom Knapp about the state of the women's movement.", "topic": "Top/Arts/Literature/Authors/F/Friedan,_Betty", "url": "http://www.rambles.net/friedan_gender.html"} +{"d:Title": "The Region: Interview with Betty Friedan", "d:Description": "An interview by Kathleen Erickson about economic equality.", "topic": "Top/Arts/Literature/Authors/F/Friedan,_Betty", "url": "http://www.minneapolisfed.org/publications_papers/pub_display.cfm?id=3707"} +{"d:Title": "Salon: When Feminists were Divas", "d:Description": "An article by Laura Miller about the strong personalities of the early feminists, the books about them and their responses.", "topic": "Top/Arts/Literature/Authors/F/Friedan,_Betty", "url": "http://www.salon.com/2000/06/09/divas_3/"} +{"d:Title": "Salon: Betty Friedan's Secret Communist Past", "d:Description": "An article by David Horowitz about the recent exposure of Friedan's past and her reactions.", "topic": "Top/Arts/Literature/Authors/F/Friedan,_Betty", "url": "http://www.salon.com/1999/01/18/nc_18horo/"} +{"d:Title": "Salon Books: Betty Friedan: Her Life", "d:Description": "Norah Vincent reviews \"Betty Friedan: Her Life\" by Judith Hennessee.", "topic": "Top/Arts/Literature/Authors/F/Friedan,_Betty", "url": "http://www.salon.com/1999/03/29/sneaks_129/"} +{"d:Title": "Salon: Betty Friedan a Sexpot?", "d:Description": "An article by Lee Quarnstrom about Carl Friedan's response to \"Life So Far: A Memoir,\" his exwife's recent book.", "topic": "Top/Arts/Literature/Authors/F/Friedan,_Betty", "url": "http://www.salon.com/2000/06/08/carl/"} +{"d:Title": "Filmography", "d:Description": "Films based on Max Frisch's writings at IMDb.", "topic": "Top/Arts/Literature/Authors/F/Frisch,_Max", "url": "http://imdb.com/name/nm0295835/"} +{"d:Title": "The Road Not Taken Resource Page", "d:Description": "A page of interesting links dedicated to Robert Frost's celebrated poem.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://roadnottaken.8k.com/"} +{"d:Title": "Robert Frost: America's Poet", "d:Description": "Biographical information, large archive of works online, links , polls.", "priority": "1", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://www.ketzle.com/frost/"} +{"d:Title": "Robert Frost", "d:Description": "An introduction by Eiichi Hishikawa, Kobe University.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://www.lit.kobe-u.ac.jp/~hishika/frost.htm"} +{"d:Title": "The Friends of Robert Frost", "d:Description": "Organization for Frost enthusiasts who are interested in the poet's life and the historic preservation of the Frost farms in New England where he lived and wrote. Includes student tutorial and biography.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://www.frostfriends.org/"} +{"d:Title": "Literature Network", "d:Description": "Selected poetry and biography.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://www.online-literature.com/frost/"} +{"d:Title": "Robert Frost", "d:Description": "Includes a brief biography and about 100 poems from americanpoems.com.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://www.americanpoems.com/poets/robertfrost"} +{"d:Title": "The Frost Place", "d:Description": "The New Hampshire home of Robert Frost and his family from 1915 through 1920. Photo and general information.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://www.frostplace.org/"} +{"d:Title": "A New American Poet", "d:Description": "An online \"reprint\" of the full text of an article by Edward Garnett on Frost from the August 1915 edition of The Atlantic Monthly, archived at the Atlantic Unbound's website.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/frost/garnett.htm"} +{"d:Title": "Robert Frost", "d:Description": "The Academy of American Poets presents a biography, bibliography, and selected works.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert", "url": "https://www.poets.org/poetsorg/poet/robert-frost"} +{"d:Title": "A Boy's Will, Frost, Robert - 1915", "d:Description": "Bartleby.com's online publication of the classic 1915 edition of A Boy's Will.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://www.bartleby.com/117/index.html"} +{"d:Title": "Miscellaneous Poems, Frost, Robert - 1920", "d:Description": "Bartleby.com's online publication of the classic 1920 edition of Miscellaneous Poems.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://www.bartleby.com/155"} +{"d:Title": "Collection of Robert Frost's Poems", "d:Description": "20 poems, including all the classics", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://www.everypoet.com/archive/poetry/Robert_Frost/robert_frost_contents.htm"} +{"d:Title": "Mountain Interval, Frost, Robert - 1920", "d:Description": "Bartleby.com's online publication of the classic 1920 edition of Mountain Interval.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://www.bartleby.com/119"} +{"d:Title": "North of Boston, Frost, Robert - 1920", "d:Description": "Bartleby.com's online publication of the classic 1920 edition of North of Boston.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://www.bartleby.com/118"} +{"d:Title": "Robert Frost Poems (On One Easy Page)", "d:Description": "Selected poetry by Frost. Includes some original analysis.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://www.coldbacon.com/poems/frost.html"} +{"d:Title": "North of Boston by Robert Frost", "d:Description": "Online publication of \"North of Boston\" with information on the author.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://www.ebooks3.com/ebooks/north_of_boston.html"} +{"d:Title": "Road Not Taken", "d:Description": "Analysis of the poem, with links for more information.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works", "url": "http://poetrypages.lemon8.nl/life/roadnottaken/roadnottaken.htm"} +{"d:Title": "Fire and Ice", "d:Description": "Full text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works/Specific_Texts", "url": "http://www.naic.edu/~gibson/poems/frost5.html"} +{"d:Title": "Neither Out Far Nor In Deep", "d:Description": "Full text of poem in HTML format.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works/Specific_Texts", "url": "http://www.naic.edu/~gibson/poems/frost4.html"} +{"d:Title": "The Road Not Taken", "d:Description": "Full text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works/Specific_Texts", "url": "http://www.naic.edu/~gibson/poems/frost1.html"} +{"d:Title": "Stopping by Woods on a Snowy Evening", "d:Description": "Full text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works/Specific_Texts", "url": "http://www.naic.edu/~gibson/poems/frost2.html"} +{"d:Title": "Spring Pools", "d:Description": "Full text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/F/Frost,_Robert/Works/Specific_Texts", "url": "http://www.naic.edu/~gibson/poems/frost3.html"} +{"d:Title": "Williams: The Writings of Carlos Fuentes", "d:Description": "Presentation of the book on Fuentes by Raymond L. Williams.", "topic": "Top/Arts/Literature/Authors/F/Fuentes,_Carlos", "url": "http://utpress.utexas.edu/index.php/books/wilwri"} +{"d:Title": "Alice Fulton", "d:Description": "Review excerpts, bibliography, biographical note, e-texts (poems and short fiction), and interviews, Fulton's comments on fractal verse, feminism, and postmodernism.", "topic": "Top/Arts/Literature/Authors/F/Fulton,_Alice", "url": "http://alicefulton.com/"} +{"d:Title": "Alice Fulton", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/F/Fulton,_Alice", "url": "https://www.poets.org/poetsorg/poet/alice-fulton"} +{"d:Title": "Funkhouser", "d:Description": "Essays, teaching, editorial work, cyberpoetry.", "topic": "Top/Arts/Literature/Authors/F/Funkhouser,_Christopher", "url": "http://web.njit.edu/~funkhous/"} +{"d:Title": "Erica Funkhouser", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/F/Funkhouser,_Erica", "url": "https://www.poets.org/poetsorg/poet/erica-funkhouser"} +{"d:Title": "Goldwell, Bruce", "d:Description": "Author of the Dragon Keepers series and self help books.", "topic": "Top/Arts/Literature/Authors/G", "url": "http://www.brucegoldwell.com/"} +{"d:Title": "Graver, Elizabeth", "d:Description": "Novelist and short story writer; with reading group guides, a biography, bibliography, excerpts and events list.", "topic": "Top/Arts/Literature/Authors/G", "url": "http://www.elizabethgraver.com/"} +{"d:Title": "That Same Flower", "d:Description": "Review on Gaarders 1996 published book \"That Same Flower\" by Allen Gaborro.", "topic": "Top/Arts/Literature/Authors/G/Gaarder,_Jostein", "url": "http://www.eclectica.org/v2n6/gaborro_that_same_flower.html"} +{"d:Title": "Sophie's World", "d:Description": "Review on the 1991 release.", "topic": "Top/Arts/Literature/Authors/G/Gaarder,_Jostein", "url": "http://www.levity.com/rubric/sophie.html"} +{"d:Title": "The Solitaire Mystery", "d:Description": "Review and discussion questions to \"A Novel About Family and Destiny\". Also features some critical praise.", "topic": "Top/Arts/Literature/Authors/G/Gaarder,_Jostein", "url": "http://www.readinggroupguides.com/reviews/the-solitaire-mystery"} +{"d:Title": "Timothy Gager", "d:Description": "Author's official site includes sample short stories, information on published books, and news on forthcoming works.", "topic": "Top/Arts/Literature/Authors/G/Gager,_Timothy", "url": "http://www.heatcityreview.com/timothygagerindex.html"} +{"d:Title": "Interview with Mary Gaitskill", "d:Description": "An interview with Mary Gaitskill by Alexander Laurence.", "topic": "Top/Arts/Literature/Authors/G/Gaitskill,_Mary", "url": "http://www.altx.com/interviews/mary.gaitskill.html"} +{"d:Title": "Salon Books | Men at extremes", "d:Description": "The author of \"Bad Behavior\" picks five tales of guys at the end of their ropes.", "topic": "Top/Arts/Literature/Authors/G/Gaitskill,_Mary", "url": "http://www.salon.com/1999/11/15/gaitskill2/"} +{"d:Title": "Galen, Nina", "d:Description": "Author of the satiric novellas-in-verse KROH and CROC.", "topic": "Top/Arts/Literature/Authors/G/Galen,_Nina", "url": "http://www.ninagalen.com/"} +{"d:Title": "A Conversation with Tess Gallagher", "d:Description": "An interview with Gallagher archived at the Atlantic Unbound website.", "topic": "Top/Arts/Literature/Authors/G/Gallagher,_Tess", "url": "http://www.theatlantic.com/past/docs/unbound/factfict/gallaghe.htm"} +{"d:Title": "Tess Gallagher - The Academy of American Poets", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/G/Gallagher,_Tess", "url": "http://www.poets.org/poetsorg/poet/tess-gallagher"} +{"d:Title": "The Literature of Paul Gallico", "d:Description": "Biography, book reviews and information, FAQ, and related movies and TV shows.", "topic": "Top/Arts/Literature/Authors/G/Gallico,_Paul", "url": "http://www.paulgallico.info/"} +{"d:Title": "Ashley Dukes: John Galsworthy", "d:Description": "Examination of the works of the English novelist/playwright.", "topic": "Top/Arts/Literature/Authors/G/Galsworthy,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc92.html"} +{"d:Title": "Nobel Foundation: John Galsworthy", "d:Description": "Short biography of 1932 laureate in literature, with photo and presentation speech.", "topic": "Top/Arts/Literature/Authors/G/Galsworthy,_John", "url": "http://nobelprize.org/nobel_prizes/literature/laureates/1932/galsworthy-bio.html"} +{"d:Title": "Masterpiece Theatre: John Galsworthy", "d:Description": "A biography of the author of the Forsyte Saga, from PBS. Includes a list of some of his books and plays.", "topic": "Top/Arts/Literature/Authors/G/Galsworthy,_John", "url": "http://www.pbs.org/wgbh/masterpiece/forsyte/ei_galsworthy.html"} +{"d:Title": "Spartacus: John Galsworthy", "d:Description": "Short biography of the author, for schoolchildren.", "topic": "Top/Arts/Literature/Authors/G/Galsworthy,_John", "url": "http://spartacus-educational.com/Jgalsworthy.htm"} +{"d:Title": "Man of Property", "d:Description": "Provides a biography of the Nobel Prize winner as well as the full-text of this work.", "topic": "Top/Arts/Literature/Authors/G/Galsworthy,_John/Works", "url": "http://www.ebooks3.com/ebooks/man_of_property.html"} +{"d:Title": "James Galvin", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/G/Galvin,_James", "url": "http://www.poets.org/poetsorg/poet/james-galvin"} +{"d:Title": "Writers' Net: Antonella Gambotto", "d:Description": "Author's contact information, list of publications and other projects.", "topic": "Top/Arts/Literature/Authors/G/Gambotto,_Antonella", "url": "http://www.writers.net/writers/W004394.html"} +{"d:Title": "In the Company of Eagles", "d:Description": "Cultural analysis of World War I aviation novel. Includes the main motifs of revenge and mercy, plus other motifs such as aviation culture, material culture, military culture, gender roles, and food as culture.", "topic": "Top/Arts/Literature/Authors/G/Gann,_Ernest_K.", "url": "http://www.thebicyclingguitarist.net/studies/eagles.htm"} +{"d:Title": "Fate Is The Hunter", "d:Description": "Fan review of this book about Gann's experiences as an airline pilot. Includes excerpt from last chapter.", "topic": "Top/Arts/Literature/Authors/G/Gann,_Ernest_K.", "url": "http://rwebs.net/avhistory/fate.htm"} +{"d:Title": "Gao Xingjian, Winner of the 2000 Nobel Prize in Literature", "d:Description": "Gao Xingjian, a Nobel Prize Laureate in Literature, at the Nobel Prize Internet Archive.", "topic": "Top/Arts/Literature/Authors/G/Gao_Xingjian", "url": "http://almaz.com/nobel/literature/2000a.html"} +{"d:Title": "Gao Xingjian and Soul Mountain: Ambivalent Storytelling", "d:Description": "Essay on the novel by Texan writer Robert Nagle. Extensive bibliography. Also includes summaries and comment on other reviews of the work.", "topic": "Top/Arts/Literature/Authors/G/Gao_Xingjian", "url": "http://www.imaginaryplanet.net/essays/literary/soulmountain.php"} +{"d:Title": "Federico Garc\u00eda Lorca", "d:Description": "Five poems and a short introduction to the life and work of Lorca.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_Lorca,_Federico", "url": "http://www.boppin.com/lorca/"} +{"d:Title": "Federico Garcia Lorca", "d:Description": "Includes a biography.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_Lorca,_Federico", "url": "http://www.imagi-nation.com/moonstruck/clsc67.html"} +{"d:Title": "Federico Garcia Lorca in CyberSpain", "d:Description": "Brief biography, plus text of \"Lament for Ignacio Sanchez Mejias\".", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_Lorca,_Federico", "url": "http://www.cyberspain.com/passion/lorca.htm"} +{"d:Title": "Federico Garcia Lorca - The Academy of American Poets", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_Lorca,_Federico", "url": "http://www.poets.org/poetsorg/poet/federico-garc%C3%ADa-lorca"} +{"d:Title": "Lament for Ignacio S\u00e1nchez Mej\u00edas", "d:Description": "Contains the text for the poem \"Lament for Ignacio Sanchez Mejias.\"", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_Lorca,_Federico/Works", "url": "http://www.boppin.com/lorca/lament.html"} +{"d:Title": "Federico Garcia Lorca", "d:Description": "Contains the poems Adam, Dawn, Debussy, Ode to Salvador Dali, Ode to Walt Whitman, and Sonnet.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_Lorca,_Federico/Works", "url": "http://www.artofeurope.com/lorca/index.html"} +{"d:Title": "Nobel Prize for Literature 1982 - Gabriel Garc\u00eda M\u00e1rquez", "d:Description": "Press release, speeches, biography, bibliography, and lecture.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_M\u00e1rquez,_Gabriel", "url": "http://nobelprize.org/nobel_prizes/literature/laureates/1982/"} +{"d:Title": "Internet Book List: Gabriel Garc\u00eda M\u00e1rquez", "d:Description": "Database of book information, includes biography, synopses, reviews and ratings.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_M\u00e1rquez,_Gabriel", "url": "http://www.iblist.com/author559.htm"} +{"d:Title": "NPR : Commentary: Meeting Gabriel Garcia Marquez", "d:Description": "On the event of the publication of the Nobel laureate's memoirs, commentator and independent radio producer Katie Davis recalls first meeting Gabriel Garcia Marquez in 1983.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_M\u00e1rquez,_Gabriel", "url": "http://www.npr.org/templates/story/story.php?storyId=1495140"} +{"d:Title": "The Ledge: Gabriel Garc\u00eda M\u00e1rquez", "d:Description": "Synopses, related works and further reading lists.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_M\u00e1rquez,_Gabriel", "url": "http://www.the-ledge.com/flash/ledge.php?book=122&lan=UK"} +{"d:Title": "Garcia Marquez Explodes the Liberator's Labyrinth", "d:Description": "A book review by Mark Webster of The General in His Labyrinth.", "topic": "Top/Arts/Literature/Authors/G/Garc\u00eda_M\u00e1rquez,_Gabriel", "url": "http://tech.mit.edu/V110/N36/the.36a.html"} +{"d:Title": "Interview with Alan Garner", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/Authors/G/Garner,_Alan", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-alan-garner"} +{"d:Title": "George Gascoigne (1539-1578)", "d:Description": "\"Biography, works, and resources for the Renaissance poet.\" Webpages at luminarium.org.", "topic": "Top/Arts/Literature/Authors/G/Gascoigne,_George", "url": "http://www.luminarium.org/renlit/gascoigne.htm"} +{"d:Title": "French Surrealist Poetry in English Translation by David Gascoyne", "d:Description": "French surrealist poetry by Arp, Breton, Dal\u00ed, P\u00e9ret, Picasso, Ribemont-Dessaignes and Unik in English translation by David Gascoyne.", "topic": "Top/Arts/Literature/Authors/G/Gascoyne,_David", "url": "http://www.alb-neckar-schwarzwald.de/surrealism/gascoyne-translations.html"} +{"d:Title": "David Gascoyne", "d:Description": "Dedicated to the life and work of David Gascoyne (1916-2001), surrealist poet.", "topic": "Top/Arts/Literature/Authors/G/Gascoyne,_David", "url": "http://www.connectotel.com/gascoyne"} +{"d:Title": "The Gaskell Web", "d:Description": "An extensive resource on Elizabeth Gaskell and her writing--including biographical and historical information, a bibliography, and much else, as well as the usual links to related websites.", "topic": "Top/Arts/Literature/Authors/G/Gaskell,_Elizabeth_Cleghorn", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/Gaskell.html"} +{"d:Title": "Page By Page Books: Lizzie Leigh", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/G/Gaskell,_Elizabeth_Cleghorn/Works", "url": "http://www.pagebypagebooks.com/Elizabeth_Gaskell/Lizzie_Leigh/"} +{"d:Title": "Page By Page Books: Cranford", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/G/Gaskell,_Elizabeth_Cleghorn/Works", "url": "http://www.pagebypagebooks.com/Elizabeth_Gaskell/Cranford/index.html"} +{"d:Title": "Page By Page Books: A Dark Night's Work", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/G/Gaskell,_Elizabeth_Cleghorn/Works", "url": "http://www.pagebypagebooks.com/Elizabeth_Gaskell/A_Dark_Nights_Work/"} +{"d:Title": "Page By Page Books: My Lady Ludlow", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/G/Gaskell,_Elizabeth_Cleghorn/Works", "url": "http://www.pagebypagebooks.com/Elizabeth_Gaskell/My_Lady_Ludlow/"} +{"d:Title": "ClassicReader.com: Elizabeth Gaskell", "d:Description": "Offers Gaskell's novels and short stories for online reading.", "topic": "Top/Arts/Literature/Authors/G/Gaskell,_Elizabeth_Cleghorn/Works", "url": "http://www.classicreader.com/author/140/"} +{"d:Title": "Wikipedia: John Gay", "d:Description": "Article focused mainly on his literary abilities, especially his Beggar's Opera. Includes portrait, internal references to related people and topics, and quotes.", "topic": "Top/Arts/Literature/Authors/G/Gay,_John", "url": "http://en.wikipedia.org/wiki/John_Gay"} +{"d:Title": "Familiar Quotations", "d:Description": "Some of Gay's famous sayings compiled by John Bartlett.", "topic": "Top/Arts/Literature/Authors/G/Gay,_John", "url": "http://bartleby.com/100/231.html"} +{"d:Title": "Poetry Archive", "d:Description": "An index of his poems with a biography and links to related sites.", "topic": "Top/Arts/Literature/Authors/G/Gay,_John", "url": "http://poetry-archive.com/g/gay_john.html"} +{"d:Title": "The Beggar's Opera", "d:Description": "A historical look at the original production and its music.", "topic": "Top/Arts/Literature/Authors/G/Gay,_John", "url": "http://www.umich.edu/~ece/student_projects/beggars_opera/"} +{"d:Title": "The Lied and Art Song Texts Page: John Gay (1685-1732)", "d:Description": "His poems which have been set to music. Does not claim to be exhaustive.", "topic": "Top/Arts/Literature/Authors/G/Gay,_John", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=938"} +{"d:Title": "New York Magazine: Humor Came Her", "d:Description": "Review of collected works and a profile of the author, describing her career at the New Yorker and her stormy relationships with other writers.", "topic": "Top/Arts/Literature/Authors/G/Geng,_Veronica", "url": "http://nymag.com/nymetro/arts/features/858/"} +{"d:Title": "Salon: Love Trouble", "d:Description": "Collected stories reviewed by Darcy Lockman.", "topic": "Top/Arts/Literature/Authors/G/Geng,_Veronica", "url": "http://www.salon.com/1999/05/20/geng/"} +{"d:Title": "Stefan George", "d:Description": "A small selection of poems by Stefan George (1868-1933) in German and English translation.", "topic": "Top/Arts/Literature/Authors/G/George,_Stefan", "url": "http://www.alb-neckar-schwarzwald.de/s_george_poems.html"} +{"d:Title": "Wikipedia: Mirza Ghalib", "d:Description": "Biographical article with links.", "topic": "Top/Arts/Literature/Authors/G/Ghalib,_Mirza_Asadullah_Khan", "url": "http://en.wikipedia.org/wiki/Ghalib"} +{"d:Title": "The Joy of the Drop", "d:Description": "Includes 37 poems translated by Jim Yagmin.", "topic": "Top/Arts/Literature/Authors/G/Ghalib,_Mirza_Asadullah_Khan", "url": "http://www.yagmin.com/ghalib/joy.cgi"} +{"d:Title": "Ghazals of Mirza Ghalib", "d:Description": "Ghazals of Mirza Ghalib in ASCII and Devnagri fonts. By Navin Kabra.", "topic": "Top/Arts/Literature/Authors/G/Ghalib,_Mirza_Asadullah_Khan", "url": "http://smriti.com/urdu/ghalib/"} +{"d:Title": "Amitav Ghosh [Emory Postcolonial Studies]", "d:Description": "Short biography and information on related works.", "topic": "Top/Arts/Literature/Authors/G/Ghosh,_Amitav", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/10/ghosh-amitav/"} +{"d:Title": "Saints and Villains", "d:Description": "Reading Group Guide discussion of Giardina's novel includes study questions.", "topic": "Top/Arts/Literature/Authors/G/Giardina,_Denise/Works", "url": "http://www.readinggroupguides.com/reviews/saints-and-villains"} +{"d:Title": "The Prophet", "d:Description": "Etext by chapter From \"The Prophet\".", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://www.katsandogz.com/gibran"} +{"d:Title": "\"On giving\"", "d:Description": "An excerpt from \"The Prophet\" with links to other chapters.", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://www.sfheart.com/giving.html"} +{"d:Title": "Gibran (1883-1931)", "d:Description": "Biography, a gallery of paintings, pictures from the Gibran Museum, articles and online texts of some of Gibran's works.", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://leb.net/gibran/"} +{"d:Title": "Kahlil Gibran Page", "d:Description": "Presents a life chronology and online texts of some of his works. Also features a gallery of drawings.", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://www-personal.umich.edu/~jrcole/gibran/gibran1.htm"} +{"d:Title": "Kahlil Gibran of America", "d:Description": "An article by Dr. Suheil Bushrui.", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://www.alhewar.com/Gibran.html"} +{"d:Title": "Kahlil Gibran: A Fan Site", "d:Description": "Includes quotes, poems, reviews, excerpts, photos, biography, art gallery, and books in full text.", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://www.inner-growth.info/khalil_gibran_prophet/main.htm"} +{"d:Title": "Khalil Gibran (1883-1931)", "d:Description": "Features a short biography, list of works and the complete text of \"The Prophet\".", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://www.library.cornell.edu/colldev/mideast/gibrn.htm"} +{"d:Title": "Rizwan Dar's Poetry&Prose Page", "d:Description": "Selected poetry with links to relevant sites.", "topic": "Top/Arts/Literature/Authors/G/Gibran,_Kahlil", "url": "http://gibranpak.tripod.com/poetry.html"} +{"d:Title": "Andre Gide - The Immoralist", "d:Description": "Review by Anna Hassapi.", "topic": "Top/Arts/Literature/Authors/G/Gide,_Andr\u00e9", "url": "http://bookreviews.nabou.com/reviews/theimmoralist.html"} +{"d:Title": "Andr\u00e9 Gide: Nobel Prize in Literature 1947", "d:Description": "Prize presentation, biography, acceptance speech, other resources.", "topic": "Top/Arts/Literature/Authors/G/Gide,_Andr\u00e9", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1947/"} +{"d:Title": "Clive Gifford", "d:Description": "Authorized site of the British writer includes his travel schedule, biography, and list of works.", "topic": "Top/Arts/Literature/Authors/G/Gifford,_Clive", "url": "http://www.clivegifford.co.uk/"} +{"d:Title": "William S. Gilbert", "d:Description": "Filmography with writer, librettist, and lyricist credits from the Internet Movie Database.", "topic": "Top/Arts/Literature/Authors/G/Gilbert,_William_Schwenck", "url": "http://www.imdb.com/name/nm0318275/"} +{"d:Title": "W. S. Gilbert", "d:Description": "Official credits, biographical information, and related information from the Internet Broadway Database.", "topic": "Top/Arts/Literature/Authors/G/Gilbert,_William_Schwenck", "url": "https://www.ibdb.com/broadway-cast-staff/w-s-gilbert-5905"} +{"d:Title": "50 Bab Ballads", "d:Description": "Complete online edition of the hard-to-find classic.", "topic": "Top/Arts/Literature/Authors/G/Gilbert,_William_Schwenck/Works", "url": "http://www.worldwideschool.org/library/books/lit/poetry/50BabBallads/Chap1.html"} +{"d:Title": "Domestic Goddess: Charlotte Perkins Gilman", "d:Description": "Biography, bibliography, critical essays, and research guide.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins", "url": "http://www.womenwriters.net/domesticgoddess/gilman1.html"} +{"d:Title": "Charlotte Perkins Gilman Society", "d:Description": "Organization dedicated to encouraging interest in the early 20th century American author and the issues she pursued. Includes biography, membership information, conference announcements, and links.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins", "url": "http://www.cortland.edu/gilman/"} +{"d:Title": "The Yellow Wallpaper", "d:Description": "Free HTML EText of \"The Yellow Wallpaper\", a story about a woman trying to be free. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins", "url": "http://pagebypagebooks.com/Charlotte_Perkins_Gilman/The_Yellow_Wallpaper/The_Yellow_Wallpaper_p1.html"} +{"d:Title": "Charlotte Perkins Gilman and the Feminization of Education", "d:Description": "Essay by Deborah M. DeSimone.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins", "url": "http://scholar.lib.vt.edu/ejournals/old-WILLA/fall95/DeSimone.html"} +{"d:Title": "The Yellow Wallpaper", "d:Description": "Formatted version of Charlotte Perkin Gilman's short story, The Yellow Wallpaper, with links to related information on the same site and around the Net. From the About Women's History site.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins", "url": "http://womenshistory.about.com/od/gilmancharlotte/fl/The-Yellow-Wallpaper.htm"} +{"d:Title": "The Giant Wistaria", "d:Description": "HTML version with illustrations.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins/Works", "url": "http://www.facstaff.bucknell.edu/gcarr/19cUSWW/CPG/GW.html"} +{"d:Title": "The Yellow Wall-Paper", "d:Description": "HTML version with illustrations.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins/Works", "url": "http://www.facstaff.bucknell.edu/gcarr/19cUSWW/CPG/TYW.html"} +{"d:Title": "The Yellow Wall-Paper", "d:Description": "Scanned images from the New England Magazine, 1892.", "topic": "Top/Arts/Literature/Authors/G/Gilman,_Charlotte_Perkins/Works", "url": "http://cdl.library.cornell.edu/cgi-bin/moa/sgml/moa-idx?notisid=AFJ3026-0011-90"} +{"d:Title": "David Gilmour", "d:Description": "Official site features biographical information, photo gallery, articles and reviews, information about his novels, and a guestbook.", "topic": "Top/Arts/Literature/Authors/G/Gilmour,_David", "url": "http://www.calypsoconsulting.com/davidgilmour.html"} +{"d:Title": "Jamie Gilson Homepage", "d:Description": "Website of the author of children's books (Hobie Hanson, Thirteen Ways to Sink a Sub, Do Bananas Chew Gum and others).", "topic": "Top/Arts/Literature/Authors/G/Gilson,_Jamie", "url": "http://www.jamiegilson.com/"} +{"d:Title": "The Allen Ginsberg Trust", "d:Description": "Explore published and never-before-published text, photos, hand-written documents and audio and video materials representing Allen's life-work.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen", "url": "http://www.allenginsberg.org/"} +{"d:Title": "American Masters: Allen Ginsberg", "d:Description": "Biography of the famous poet. From PBS.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen", "url": "http://www.pbs.org/wnet/americanmasters/database/ginsberg_a.html"} +{"d:Title": "Featured Author: Allen Ginsberg", "d:Description": "Photos, reviews of his books from Kaddish (1961) to the posthumous collection Deliberate Prose, a poetry reading in RealAudio, and newspaper articles from the New York Times. Requires free registration.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen", "url": "http://www.nytimes.com/books/01/04/08/specials/ginsberg.html"} +{"d:Title": "Wikipedia: Allen Ginsberg", "d:Description": "Encyclopedia-style essay on the life and writings of this 20th-century poet, with links to related topics.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen", "url": "http://en.wikipedia.org/wiki/Allen_Ginsberg"} +{"d:Title": "Allen Ginsberg's FBI File", "d:Description": "Dangerous dossiers: Exposing the secret war against America's greatest authors.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen", "url": "http://www.writing.upenn.edu/~afilreis/50s/ginsberg-fbi.html"} +{"d:Title": "Academy of American Poets: Allen Ginsberg", "d:Description": "A biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen", "url": "http://www.poets.org/poetsorg/poet/allen-ginsberg"} +{"d:Title": "Allen Ginsberg: The Politics of Ecstasy", "d:Description": "by Tobias Peterson. A review of \"The Book of Martyrdom and Artifice,\" Ginsberg's earliest writings, from 1937-1952 spanning his life from age 11 to 26.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen", "url": "http://www.popmatters.com/features/article/49021/allen-ginsberg-the-politics-of-ecstasy/"} +{"d:Title": "Sunflower Sutra", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen/Works", "url": "http://www.boppin.com/sunflower.html"} +{"d:Title": "Allen Ginsberg", "d:Description": "Provides online content of the author's work, \"Mescaline.\"", "topic": "Top/Arts/Literature/Authors/G/Ginsberg,_Allen/Works", "url": "http://barkokhba.chez.com/ginsberg.htm"} +{"d:Title": "Can Poetry Matter?", "d:Description": "Gioia's essay on the state of contemporary poetry.", "topic": "Top/Arts/Literature/Authors/G/Gioia,_Dana", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/gioia/gioia.htm"} +{"d:Title": "Dana Gioia Online", "d:Description": "Official site includes essays, reviews, and poems. Also provides information about his books and his life.", "topic": "Top/Arts/Literature/Authors/G/Gioia,_Dana", "url": "http://danagioia.com/"} +{"d:Title": "Catholic Encyclopedia: Giraldus Cambrensis", "d:Description": "Biographical article on the medieval ecclesiastic and writer.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis", "url": "http://www.newadvent.org/cathen/06568d.htm"} +{"d:Title": "The Standing Stones: Giraldus Cambrensis", "d:Description": "The twelfth-century cleric was one of the first to describe Irish music. Page examines some of his tantalizing remarks, and how they relate to harmony.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis", "url": "http://www.standingstones.com/giraldus.html"} +{"d:Title": "Wikipedia: Giraldus Cambrensis", "d:Description": "Short biographical article, in English and Welsh, hyperlinked to related topics.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis", "url": "http://en.wikipedia.org/wiki/Giraldus_Cambrensis"} +{"d:Title": "Gerald of Wales", "d:Description": "A biographical profile of the churchman, and what he says about King Arthur.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis", "url": "http://www.britannia.com/history/docs/debarri.html"} +{"d:Title": "The Cambridge History of English and American Literature: Giraldus Cambrensis", "d:Description": "The life and writings of this Welsh-Norman cleric.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis", "url": "http://www.bartleby.com/211/1011.html"} +{"d:Title": "The Conquest of Ireland", "d:Description": "Thomas Forester translation, revised by Thomas Wright. 476K.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis/Works", "url": "http://www.yorku.ca/inpar/conquest_ireland.pdf"} +{"d:Title": "The Topography of Ireland", "d:Description": "Thomas Forester translation, revised by Thomas Wright. 400K.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis/Works", "url": "http://www.yorku.ca/inpar/topography_ireland.pdf"} +{"d:Title": "The Itinerary of Archbishop Baldwin Through Wales", "d:Description": "Based on 1912 J.M. Dent edition. In HTML, with each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis/Works", "url": "http://historymedren.about.com/library/text/bltxtitinerary.htm"} +{"d:Title": "The Description of Wales", "d:Description": "From the 1912 J.M. Dent edition. In HTML, with each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis/Works", "url": "http://historymedren.about.com/library/text/bltxtwales.htm"} +{"d:Title": "The Description of Wales", "d:Description": "In searchable HTML at World Wide School. Each chapter has its own file, with links to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis/Works", "url": "http://www.worldwideschool.org/library/books/geo/travel/Wales/toc.html"} +{"d:Title": "The Itinerary of Archibishop Baldwin Through Wales", "d:Description": "In searchable HTML at World Wide School. Each chapter has its own file, with links to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis/Works", "url": "http://www.worldwideschool.org/library/books/lit/historical/TheItineraryofArchibishopBaldwinThroughWales/toc.html"} +{"d:Title": "The Description of Wales", "d:Description": "Text from the 1912 J.M. Dent edition. In HTML. Each of the two books in its own file.", "topic": "Top/Arts/Literature/Authors/G/Giraldus_Cambrensis/Works", "url": "http://freepages.history.rootsweb.ancestry.com/~bowen/geraldus.html"} +{"d:Title": "Gissing in Cyberspace", "d:Description": "An extensive resource on the author, with biographical, historical and bibliographical information, as well as links to online texts and related resources.", "topic": "Top/Arts/Literature/Authors/G/Gissing,_George", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/Gissing.html"} +{"d:Title": "Peter Gizzi: Artificial Heart", "d:Description": "Publisher's presentation: \"Peter Gizzi's new book negotiates the intersection of artifice and the turbulent domain of feeling.\"", "topic": "Top/Arts/Literature/Authors/G/Gizzi,_Peter", "url": "http://www.burningdeck.com/catalog/gizzi.html"} +{"d:Title": "Faster: The Acceleration of Practically Everything", "d:Description": "\"Site illuminating and extending James Gleick's new book.\"", "topic": "Top/Arts/Literature/Authors/G/Gleick,_James", "url": "http://fasterbook.com/"} +{"d:Title": "James Gleick", "d:Description": "The author's own, self-maintained (and therefore \"official\") website, with information on his books and online texts on a variety of science- and technology-related subjects. \"Mainly this site is home for a few articles that may be of interest to the online community. Operating as we are in real time, I get to add postscripts here and there, with the advantage of hindsight.\"", "topic": "Top/Arts/Literature/Authors/G/Gleick,_James", "url": "http://www.around.com/"} +{"d:Title": "Glick, Daniel", "d:Description": "Official site; with synopses and a biography.", "topic": "Top/Arts/Literature/Authors/G/Glick,_Daniel", "url": "http://www.danielglick.net/"} +{"d:Title": "Louise Gl\u00fcck", "d:Description": "Multiple articles and insights on Gluck's work.", "topic": "Top/Arts/Literature/Authors/G/Gluck,_Louise", "url": "http://www.english.illinois.edu/maps/poets/g_l/gluck/gluck.htm"} +{"d:Title": "The Academy of American Poets: Louise Gl\u00fcck", "d:Description": "Presents a biography, photograph, and selected poems. Also includes an audio recording (in RealAudio file-format) of the author reading her poem \"The Red Poppy.\"", "topic": "Top/Arts/Literature/Authors/G/Gluck,_Louise", "url": "http://www.poets.org/poetsorg/poet/louise-gl%C3%BCck"} +{"d:Title": "The Other Voice - Gail Godwin and Robert Starer", "d:Description": "The Other Voice / A Portrait of Hilda of Whitby in Words and Music. Notes, reviews, download scene 1, performance rights information.", "topic": "Top/Arts/Literature/Authors/G/Godwin,_Gail", "url": "http://www.selahpub.com/Drama/650-001-OtherVoice.html"} +{"d:Title": "Gail Godwin", "d:Description": "Official site. Includes her novels and art, biography, and audio clips from her work.", "topic": "Top/Arts/Literature/Authors/G/Godwin,_Gail", "url": "http://www.gailgodwin.com/"} +{"d:Title": "Goethe, Johann Wolfgang von", "d:Description": "Short biography at the International University of Japan.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von", "url": "http://www.iuj.ac.jp/media/stokes/goethe.htm"} +{"d:Title": "Theatre Database: Johann Wolfgang von Goethe", "d:Description": "A brief biography and list of related links.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von", "url": "http://www.theatredatabase.com/18th_century/johann_wolfgang_von_goethe_001.html"} +{"d:Title": "J. W. von Goethe", "d:Description": "Selected works and quotations available online from Bartleby.com.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works", "url": "http://www.bartleby.com/people/Goethe-J.html"} +{"d:Title": "Wilhelm Meister's Apprenticeship", "d:Description": "In HTML. From the 1917 Harvard Classics edition. May not work in some browsers.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Fiction", "url": "http://www.bartleby.com/314/"} +{"d:Title": "The Sorrows of Werther", "d:Description": "From the 1917 Harvard Classics edition. In HTML. May not work in some browsers.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Fiction", "url": "http://www.bartleby.com/315/1/"} +{"d:Title": "A Fairy Tale", "d:Description": "Goethe's \"Das M\u00e4rchen\" translated by Thomas Carlyle and R.D. Boylan.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Fiction", "url": "http://germanstories.vcu.edu/goethe/maerchen_e.html"} +{"d:Title": "Novella", "d:Description": "Goethe's \"Novelle\" translated by Thomas Carlyle and R.D. Boylan.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Fiction", "url": "http://germanstories.vcu.edu/goethe/novelle_e.html"} +{"d:Title": "Poems of Goethe", "d:Description": "Translated by Edgar Alfred Bowring. HTML, with each poem in its own file.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry", "url": "http://www.everypoet.com/archive/poetry/Goethe/goethe_contents.htm"} +{"d:Title": "Poems by Johann Wolfgang von Goethe", "d:Description": "Small collection of poems; includes a list of related links.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry", "url": "http://www.poetry-archive.com/g/goethe_johann_wolfgang_von.html"} +{"d:Title": "The Poems of Goethe", "d:Description": "Bowring translation, 2nd edition. In searchable HTML, at World Wide School. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry", "url": "http://www.worldwideschool.org/library/books/lit/poetry/ThePoemsofGoethe/toc.html"} +{"d:Title": "Selected Poems", "d:Description": "More than four dozen poems, translated in verse by A.S. Kline.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry", "url": "http://poetryintranslation.com/PITBR/German/Goethepoems.htm"} +{"d:Title": "The Alchemical Drama of Goethe's Faust", "d:Description": "Essay on alchemical aspects of Faust (focused on Part 2), by Adam McLean.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry/Faust", "url": "http://www.levity.com/alchemy/faust.html"} +{"d:Title": "Study Guide for Goethe's Faust", "d:Description": "Overview, illustrations, study questions.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry/Faust", "url": "http://www.wsu.edu/~brians/hum_303/faust.html"} +{"d:Title": "The Restless Spirit", "d:Description": "Scene by scene summary of the play.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry/Faust", "url": "http://poetryintranslation.com/PITBR/German/TheRestlessSpiritweb.htm"} +{"d:Title": "Faust", "d:Description": "Translation by A.S. Kline. Has line numbering, includes stage directions.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry/Faust", "url": "http://poetryintranslation.com/PITBR/German/Fausthome.htm"} +{"d:Title": "Goethe's Faust", "d:Description": "The entirety of the Goethe's play as translated by George Madison Priest. Hosted by Adam McLean's Alchemy web site.", "topic": "Top/Arts/Literature/Authors/G/Goethe,_Johann_Wolfgang_von/Works/Poetry/Faust", "url": "http://www.levity.com/alchemy/faustidx.html"} +{"d:Title": "Guardian Unlimited: Magical mysteries", "d:Description": "Review of Carter Beats the Devil. By Peter Preston.", "topic": "Top/Arts/Literature/Authors/G/Gold,_Glen_David", "url": "http://www.theguardian.com/books/2001/sep/01/fiction.reviews3"} +{"d:Title": "Golden, Christopher", "d:Description": "Author of dark fantasies and teen thrillers. News, biography, signings, interviews, reviews.", "topic": "Top/Arts/Literature/Authors/G/Golden,_Christopher", "url": "http://www.christophergolden.com/"} +{"d:Title": "Golden, Marita", "d:Description": "Author of several books on the complex and challenging lives of African-American women. Biography, projects, and resources.", "topic": "Top/Arts/Literature/Authors/G/Golden,_Marita", "url": "http://www.maritagolden.com/"} +{"d:Title": "Oberlin Online", "d:Description": "Article about the bestowment of an honorary doctorate of literature degree from Oberlin.", "topic": "Top/Arts/Literature/Authors/G/Goldman,_William", "url": "http://www.oberlin.edu/news-info/98dec/wm_goldman_honorary.html"} +{"d:Title": "SF Site", "d:Description": "Review with an analytical viewpoint.", "topic": "Top/Arts/Literature/Authors/G/Goldman,_William/Works/Princess_Bride,_The/Reviews", "url": "http://www.sfsite.com/04a/pb54.htm"} +{"d:Title": "Noah Gordon Books", "d:Description": "The official Noah Gordon web site. Information about his books, his life, and his appearances.", "topic": "Top/Arts/Literature/Authors/G/Gordon,_Noah", "url": "http://www.noahgordonbooks.com/"} +{"d:Title": "Gormley, Beatrice", "d:Description": "Biographical information, photos, information on her many books, description of a school visit, and a schedule of appearances.", "topic": "Top/Arts/Literature/Authors/G/Gormley,_Beatrice", "url": "http://www.beatricegormley.com/"} +{"d:Title": "Gover, Tzivia", "d:Description": "Contains biographical information, photos, poems and essays.", "topic": "Top/Arts/Literature/Authors/G/Gover,_Tzivia", "url": "http://www.tziviagover.com/"} +{"d:Title": "Katherine Govier", "d:Description": "The official site for the Canadian author.", "topic": "Top/Arts/Literature/Authors/G/Govier,_Katherine", "url": "http://www.govier.com/"} +{"d:Title": "The Charlotte Austin Review: Katherine Govier", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/Authors/G/Govier,_Katherine", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/interviews/authors/katherinegovier.htm"} +{"d:Title": "Friendly Fire: Presidential Lecture 1991", "d:Description": "Jorie Graham speaks at U Iowa on war and poetry, and the distorting effects of knowing at a distance. Includes poems At the Cabaret Now and The Phase after History.", "topic": "Top/Arts/Literature/Authors/G/Graham,_Jorie", "url": "http://sdrc.lib.uiowa.edu/preslectures/graham91/about.html"} +{"d:Title": "Christianity Today on The Errancy", "d:Description": "A squib from Aaron Belz.", "topic": "Top/Arts/Literature/Authors/G/Graham,_Jorie", "url": "http://www.booksandculture.com/articles/1998/marapr/8b2038.html"} +{"d:Title": "Academy of American Poets: Jorie Graham", "d:Description": "A short biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/G/Graham,_Jorie", "url": "http://www.poets.org/poetsorg/poet/jorie-graham"} +{"d:Title": "Interview: The Glorious Thing", "d:Description": "An interview by Mark Wunderlich for the fall 1996 issue of American Poet.", "topic": "Top/Arts/Literature/Authors/G/Graham,_Jorie", "url": "http://www.poets.org/poetsorg/text/glorious-thing-jorie-graham-and-mark-wunderlich-conversation"} +{"d:Title": "Literature Network: The Wind in the Willows", "d:Description": "Searchable online text, divided by chapter.", "topic": "Top/Arts/Literature/Authors/G/Grahame,_Kenneth", "url": "http://www.online-literature.com/grahame/windwillows/"} +{"d:Title": "Gran, Sarah", "d:Description": "Novelist; with a samples, and a weblog.", "topic": "Top/Arts/Literature/Authors/G/Gran,_Sarah", "url": "http://www.saragran.com/"} +{"d:Title": "The Nobel Prize in Literature 1999: G\u00fcnter Grass", "d:Description": "Short biography, a selection of works, press release, interview, and speeches.", "topic": "Top/Arts/Literature/Authors/G/Grass,_G\u00fcnter", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1999/index.html"} +{"d:Title": "Danny Yee's Book Reviews: Robert Graves", "d:Description": "Brief reviews of six historical novels.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert", "url": "http://dannyreviews.com/a/Robert_Graves.html"} +{"d:Title": "Robert Graves", "d:Description": "Provides biographical notes on the author and the full text of Fairies and Fusiliers.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert", "url": "http://www.bartleby.com/people/Graves-R.html"} +{"d:Title": "St John's College Robert Graves Trust", "d:Description": "Offers bibliographical, biographical, archival and academic information on the poet and novelist, Robert Graves.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert", "url": "http://www.robertgraves.org/"} +{"d:Title": "Robert Graves", "d:Description": "An Academy of American Poets \"Poetry Exhibit,\" consisting of a brief biography, one audio recording, and links to various related resources.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-graves"} +{"d:Title": "Fairies and Fusiliers", "d:Description": "Online publication of the poem by Robert Graves. 1918.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert/Works", "url": "http://www.bartleby.com/120"} +{"d:Title": "I Wonder What It Feels Like to Be Drowned", "d:Description": "Online publication of the poem by Robert Graves.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert/Works", "url": "http://www.bartleby.com/103/176.html"} +{"d:Title": "It's a Queer Time", "d:Description": "Online publication of the poem by Robert Graves.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert/Works", "url": "http://www.bartleby.com/103/174.html"} +{"d:Title": "The Lost Post", "d:Description": "Online publication of the poem by Robert Graves.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert/Works", "url": "http://www.bartleby.com/103/177.html"} +{"d:Title": "A Pinch of Salt", "d:Description": "Online publication of the poem by Robert Graves.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert/Works", "url": "http://www.bartleby.com/103/175.html"} +{"d:Title": "She Tells Her Love While Half-Asleep", "d:Description": "Poem by Graves at Western Michigan University.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert/Works", "url": "http://unix.cc.wmich.edu/~cooneys/poems/graves.tellslove.html"} +{"d:Title": "The Cool Web", "d:Description": "Text of poem.", "topic": "Top/Arts/Literature/Authors/G/Graves,_Robert/Works", "url": "http://www.naic.edu/~gibson/poems/graves1.html"} +{"d:Title": "Complete Review: Alasdair Gray", "d:Description": "Biographical information, critical quotations, links and reviews of the author's works.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Alasdair", "url": "http://www.complete-review.com/authors/graya.htm"} +{"d:Title": "Barcelona Review: Big Pockets With Buttoned Flaps", "d:Description": "Short story by Gray.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Alasdair", "url": "http://www.barcelonareview.com/23/e_ag.htm"} +{"d:Title": "Wikipedia: Alasdair Gray", "d:Description": "Biography and bibliography, plus discussion of some of his works.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Alasdair", "url": "http://en.wikipedia.org/wiki/Alasdair_Gray"} +{"d:Title": "Lanark 1982", "d:Description": "Critical profiles of each of the author's works, plus links.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Alasdair", "url": "http://www.lanark1982.co.uk/"} +{"d:Title": "The Guardian: Founding Father of the Scottish Renaissance", "d:Description": "Realaudio samples of Gray reading from his works, plus an interview by Douglas Clifford.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Alasdair", "url": "http://www.theguardian.com/books/2001/aug/17/edinburghbookfestival.festivals"} +{"d:Title": "Glasgow: Pat's Guide to the West End: Alasdair Gray", "d:Description": "Profile of the author and brief account of a meeting with him.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Alasdair", "url": "http://www.glasgowwestend.co.uk/people/alasdair.php"} +{"d:Title": "World of John Gray", "d:Description": "Official site of the self-help author. Learn more about John and find out information on his seminars, and his books, Men Are From Mars, and Women Are From Venus.", "topic": "Top/Arts/Literature/Authors/G/Gray,_John", "url": "http://www.marsvenus.com/"} +{"d:Title": "The Thomas Gray Archive", "d:Description": "A hypermedia resource for the study of the life and work of English poet Thomas Gray (1716-1771). Offers annotated electronic editions of Gray's English poems and secondary materials.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Thomas", "url": "http://www.thomasgray.org/"} +{"d:Title": "Elergy Written in a Country Churchyard", "d:Description": "Text of a poem.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Thomas", "url": "http://www.bartleby.com/101/453.html"} +{"d:Title": "Wikipedia: Thomas Gray", "d:Description": "Wikipedia's entry for Thomas Gray with useful further links.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Thomas", "url": "http://en.wikipedia.org/wiki/Thomas_Gray"} +{"d:Title": "The poetical works of Thomas Gray. Ed. with a life by Rev. John Mitford.", "d:Description": "Digital edition of Gray's complete poetry, available as images, text, and PDF for download. This digital edition is part of MOA.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Thomas", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&view=toc&idno=ACJ9809.0001.001"} +{"d:Title": "Gray, Thomas: An overview", "d:Description": "A link list to relevant Thomas Gray Web sites.", "topic": "Top/Arts/Literature/Authors/G/Gray,_Thomas", "url": "http://classiclit.about.com/od/graythomas/"} +{"d:Title": "Grayson, Richard", "d:Description": "Essayist and short story writer; with a biography, bibliography and articles.", "topic": "Top/Arts/Literature/Authors/G/Grayson,_Richard", "url": "http://www.richardgrayson.com/"} +{"d:Title": "Anna Katharine Green", "d:Description": "Short biography of the American author.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine", "url": "http://en.wikipedia.org/wiki/Anna_Katharine_Green"} +{"d:Title": "Anna Katherine Green", "d:Description": "19th-century \"Mother of the Detective Novel.\" Bibliography and history of her influence on authors up to Faulkner.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine", "url": "http://mikegrost.com/green.htm"} +{"d:Title": "A Strange Disappearance", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://www.pagebypagebooks.com/Anna_Katharine_Green/A_Strange_Disappearance/"} +{"d:Title": "Initials Only", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://www.pagebypagebooks.com/Anna_Katharine_Green/Initials_Only/"} +{"d:Title": "The Woman in the Alcove", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://www.pagebypagebooks.com/Anna_Katharine_Green/The_Woman_in_the_Alcove/"} +{"d:Title": "A Strange Disappearance", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, linked to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://www.worldwideschool.org/library/books/lit/detective/AStrangeDisappearance/toc.html"} +{"d:Title": "The Filigree Ball", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, linked to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://www.worldwideschool.org/library/books/lit/mystery/TheFiligreeBall/toc.html"} +{"d:Title": "Initials Only", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, linked to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://www.worldwideschool.org/library/books/lit/drama/InitialsOnly/toc.html"} +{"d:Title": "The Woman in the Alcove", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, linked to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://www.worldwideschool.org/library/books/lit/drama/TheWomanintheAlcove/toc.html"} +{"d:Title": "The Filigree Ball", "d:Description": "Detective novel published in 1903. HTML, with one file for each of the three \"books.\" Images of cover and frontispiece. At A Celebration of Women Writers.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://digital.library.upenn.edu/women/green/ball/ball.html"} +{"d:Title": "The Mill Mystery", "d:Description": "1886 publication. Page images at Early Canadiana Online.", "topic": "Top/Arts/Literature/Authors/G/Green,_Anna_Katharine/Works", "url": "http://eco.canadiana.ca/view/oocihm.34192/7?r=0&s=1"} +{"d:Title": "Green, Jane", "d:Description": "Official site, with a biography and synopses.", "topic": "Top/Arts/Literature/Authors/G/Green,_Jane", "url": "http://www.janegreen.com/"} +{"d:Title": "Novelist Julien Green dies in Paris", "d:Description": "Article in the Savannah Morning News.", "topic": "Top/Arts/Literature/Authors/G/Green,_Julien", "url": "http://www.savannahnow.com/stories/081998/LOCgreenobit.html"} +{"d:Title": "Terence M. Green", "d:Description": "Official web site of Canadian author Terence M. Green, author of Shadow of Ashland, and A Witness to Life.", "topic": "Top/Arts/Literature/Authors/G/Green,_Terence_M.", "url": "http://www.tmgreen.com/"} +{"d:Title": "Graham Greene Reviews", "d:Description": "A listing of Graham Green book reviews.", "topic": "Top/Arts/Literature/Authors/G/Greene,_Graham", "url": "http://www.brothersjudd.com/webpage/grahamgreene.htm"} +{"d:Title": "Graham Greene's Brighton Rock", "d:Description": "Sarah Jones argues that \"the novel is not just a murder mystery but also addresses metaphysical issues of Good versus Evil and the influence of the Roman Catholic Church.\" (August 2004)", "topic": "Top/Arts/Literature/Authors/G/Greene,_Graham", "url": "http://www.literature-study-online.com/essays/graham-greene.html"} +{"d:Title": "Greeneland: the World of Graham Greene", "d:Description": "Information on the British writer and his work.", "topic": "Top/Arts/Literature/Authors/G/Greene,_Graham", "url": "http://greeneland.tripod.com/"} +{"d:Title": "The (Mis)Guided Dream of Graham Greene", "d:Description": "Robert Royal surveys the life and career of English novelist Graham Greene and argues that his art suffered from Greene's character flaws and misguided political loyalties.", "topic": "Top/Arts/Literature/Authors/G/Greene,_Graham", "url": "http://www.firstthings.com/article/1999/11/the-misguided-dream-of-graham-greene"} +{"d:Title": "Altogether Adverse: The Story of Graham Greene and the Holy Office", "d:Description": "by Stephen Schloesser, S.J.", "topic": "Top/Arts/Literature/Authors/G/Greene,_Graham", "url": "http://americamagazine.org/issue/388/article/altogether-adverse"} +{"d:Title": "Graham Greene Bibliography", "d:Description": "A bibliography of Graham Greene's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/G/Greene,_Graham", "url": "https://www.fantasticfiction.com/g/graham-greene/"} +{"d:Title": "Thumbscrew: Interview", "d:Description": "Transcript of an interview covering influences, her science background and early work.", "topic": "Top/Arts/Literature/Authors/G/Greenlaw,_Lavinia", "url": "http://www.poetrymagazines.org.uk/magazine/record.asp?id=1038"} +{"d:Title": "British Council Literature: Lavinia Greenlaw", "d:Description": "Biography of the poet and novelist, bibliography, awards and critical perspective.", "topic": "Top/Arts/Literature/Authors/G/Greenlaw,_Lavinia", "url": "http://literature.britishcouncil.org/lavinia-greenlaw"} +{"d:Title": "Greenwald, Jeff", "d:Description": "Biography, list of upcoming appearances, links to his favorite stories, and excerpts from his novels.", "topic": "Top/Arts/Literature/Authors/G/Greenwald,_Jeff", "url": "http://www.jeffgreenwald.com/"} +{"d:Title": "tgreenwood.com", "d:Description": "The official site of T. Greenwood, author of \"Breathing Water\". Site includes book synopsis, tour information and a brief biography.", "topic": "Top/Arts/Literature/Authors/G/Greenwood,_T.", "url": "http://www.tgreenwood.com/"} +{"d:Title": "Kate Grenville: The Novelist as Barbarian", "d:Description": "Many creative writers have used historical material in their work. Kate Grenville reflects on her own use of such material and on issues which this has raised. How important is historical accuracy to the creative process?", "topic": "Top/Arts/Literature/Authors/G/Grenville,_Kate", "url": "http://www.nla.gov.au/events/history/papers/Kate_Grenville%20.html"} +{"d:Title": "Australian Authors - Kate Grenville", "d:Description": "Brief biography and bibliography. Quotes from \"Lilian's Story\" and \"Joan Makes History.\"", "topic": "Top/Arts/Literature/Authors/G/Grenville,_Kate", "url": "http://www.middlemiss.org/lit/authors/grenvillek/grenvillek.html"} +{"d:Title": "Guardian Unlimited Books: The Idea of Perfection", "d:Description": "Condensed version of the book.", "topic": "Top/Arts/Literature/Authors/G/Grenville,_Kate", "url": "http://www.theguardian.com/books/2001/jun/23/digestedread"} +{"d:Title": "Fulke Greville, Lord Brooke (1554-1628))", "d:Description": "\"Fulke Greville, Lord Brooke, Renaissance English poet and Sir Philip Sidney biographer. Quotes, biography, works, and resources.\" Webpages devoted to the author at luminarium.org.", "topic": "Top/Arts/Literature/Authors/G/Greville,_Fulke", "url": "http://www.luminarium.org/renlit/gfulke.htm"} +{"d:Title": "Griggs, Vanessa Davis", "d:Description": "Official site; with a biography, tour dates, and a weblog.", "topic": "Top/Arts/Literature/Authors/G/Griggs,_Vanessa_Davis", "url": "http://vanessadavisgriggs.com/"} +{"d:Title": "John Grisham", "d:Description": "The author's official site.", "priority": "1", "topic": "Top/Arts/Literature/Authors/G/Grisham,_John", "url": "http://www.jgrisham.com/"} +{"d:Title": "IMDb: John Grisham", "d:Description": "Filmography, including television credits.", "topic": "Top/Arts/Literature/Authors/G/Grisham,_John", "url": "http://www.imdb.com/name/nm0001300/"} +{"d:Title": "BookBrowse", "d:Description": "Free excerpts from John Grisham books, plus reviews, book jacket summaries, and biography.", "topic": "Top/Arts/Literature/Authors/G/Grisham,_John", "url": "https://www.bookbrowse.com/biographies/index.cfm?author_number=246"} +{"d:Title": "AllReaders: John Grisham", "d:Description": "Reviews and message board.", "topic": "Top/Arts/Literature/Authors/G/Grisham,_John", "url": "http://allreaders.com/books/john-grisham-133"} +{"d:Title": "Mississippi Writers Page", "d:Description": "Information about John Grisham, including a biographical and critical article, a list of published works, and other resources.", "topic": "Top/Arts/Literature/Authors/G/Grisham,_John", "url": "http://mwp.olemiss.edu/dir/grisham_john/index.html"} +{"d:Title": "John Grisham", "d:Description": "Information on Mississippi State University's most famous author.", "topic": "Top/Arts/Literature/Authors/G/Grisham,_John", "url": "http://lib.msstate.edu/grisham"} +{"d:Title": "The Independent - Ashes for Breakfast", "d:Description": "Review of the book by Gr\u00fcnbein, translated by Michael Hofmann.", "topic": "Top/Arts/Literature/Authors/G/Gr\u00fcnbein,_Durs", "url": "http://www.independent.co.uk/arts-entertainment/books/reviews/ashes-for-breakfast-by-durs-gruumlnbein-trans-michael-hofmann-423601.html"} +{"d:Title": "Speakeasy - A German Poet Makes a New English-Language Push", "d:Description": "Moira G. Weigel writing about \"Descartes Devil\", a volume of three essays by German poet Gr\u00fcnbein.", "topic": "Top/Arts/Literature/Authors/G/Gr\u00fcnbein,_Durs", "url": "http://blogs.wsj.com/speakeasy/2010/01/15/a-german-poet-makes-a-new-english-language-push/"} +{"d:Title": "The Guardian - James Fenton on Durs Gr\u00fcnbein", "d:Description": "James Fenton on how the translator of a leading German poet creates problems for himself.", "topic": "Top/Arts/Literature/Authors/G/Gr\u00fcnbein,_Durs", "url": "http://www.theguardian.com/books/2005/jan/29/1"} +{"d:Title": "Barbara Guest: The Countess from Minneapolis", "d:Description": "Publisher's presentation.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://www.burningdeck.com/catalog/guest.html"} +{"d:Title": "Forces of Imagination. Writing on Writing", "d:Description": "Essays by Barbara Guest. Kelsey St. Press, Berkeley, California, 2003.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://www.jbeilharz.de/guest/forces.html"} +{"d:Title": "Barbara Guest Feature", "d:Description": "Jacket # 10.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://jacketmagazine.com/10/"} +{"d:Title": "Barbara Guest: If So, Tell Me", "d:Description": "Review by Geoff Ward.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://jacketmagazine.com/10/ward-on-guest.html"} +{"d:Title": "The Midwestern New York Poet", "d:Description": "Sara Lundquist on The Countess From Minneapolis (1976).", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://jacketmagazine.com/10/gues-by-lund.html"} +{"d:Title": "Barbara Guest", "d:Description": "EPC author home page: biography notes, publications, links to poems and writings.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://epc.buffalo.edu/authors/guest/"} +{"d:Title": "The Gendered Marvelous: Barbara Guest, Surrealism, and Feminist Reception", "d:Description": "Selections from the essay by Rachel Blau DuPlessis.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://www.asu.edu/pipercwcenter/how2journal/archive/online_archive/v1_1_1999/rbgendered.html"} +{"d:Title": "Hers and Mine / Hers and Mine: H.D. and Barbara Guest", "d:Description": "An article by Sara Lundquist.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://www.asu.edu/pipercwcenter/how2journal/archive/online_archive/v1_4_2000/current/readings/lundquist.html"} +{"d:Title": "Barbara Guest", "d:Description": "Academic and scholarly articles for Barbara Guest, open access research.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://www.literaryhistory.com/20thC/Guest.htm"} +{"d:Title": "Barbara Guest: The Academy of American Poets", "d:Description": "The Academy of American Poets presents biographies, photographs, selected poems, and links as part of its online poetry exhibits.", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara", "url": "http://www.poets.org/poetsorg/poet/barbara-guest"} +{"d:Title": "Poetry by Barbara Guest", "d:Description": "Excerpts from \"Rocks on a Platter.\"", "topic": "Top/Arts/Literature/Authors/G/Guest,_Barbara/Poetry", "url": "http://www.asu.edu/pipercwcenter/how2journal/archive/online_archive/v1_2_1999/current/new-writing/guest.html"} +{"d:Title": "Painting by Vuillard", "d:Description": "Poem by Thom Gunn.", "topic": "Top/Arts/Literature/Authors/G/Gunn,_Thom", "url": "http://www.threepennyreview.com/samples/gunn_f99.html"} +{"d:Title": "Erotic Balance", "d:Description": "A review by Robert Potts of Thom Gunn's \"Boss Cupid.\"", "topic": "Top/Arts/Literature/Authors/G/Gunn,_Thom", "url": "http://www.theguardian.com/books/2000/mar/25/poetry"} +{"d:Title": "Thom Gunn - The Academy of American Poets", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/G/Gunn,_Thom", "url": "http://www.poets.org/poetsorg/poet/thom-gunn"} +{"d:Title": "Hale, Edward Everett", "d:Description": "Online publication of Edward Everett Hale's classic short story \"The Man without a Country.\"", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.bartleby.com/310/6/"} +{"d:Title": "Harms, Valerie", "d:Description": "Includes biography, book details, information on workshops based on books, excerpts and articles.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.valerieharms.com/"} +{"d:Title": "Holzer, Erika", "d:Description": "Holzer's official site, showcasing her works, and featuring personal stories about how she came to write, with the help of her mentor, Ayn Rand.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.erikaholzer.com/"} +{"d:Title": "Hawes, Louise", "d:Description": "Features reviews and a profile.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.louisehawes.com/"} +{"d:Title": "Hirshberg, Glen", "d:Description": "Novelist's official home page, with bibliography and news.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.glenhirshberg.com/"} +{"d:Title": "Harrigan, Stephen", "d:Description": "Official home page of this novelist (The Gates of the Alamo), journalist, and screenwriter (The King of Texas).", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.stephenharrigan.com/"} +{"d:Title": "Hemans, Donna", "d:Description": "Official site for author of the novel River Woman, with brief biography and reviews.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.donnahemans.com/"} +{"d:Title": "Hopkins, Jerry", "d:Description": "Author of Strange Foods, No One Here Gets Out Alive, and many other non-fiction books and magazine articles.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.jerryhopkins.com/"} +{"d:Title": "Herman, Lisa", "d:Description": "Author of Border Crossing and Bourgeois Blues.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.lisaherman.net/"} +{"d:Title": "Hatton, Jane", "d:Description": "Cornwall-based author of several novels and children's books. Excerpts of recent novels available online.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.janehatton.com/"} +{"d:Title": "Harris, Christopher", "d:Description": "Author of the historical fiction trilogy Theodore, False Ambassador, and Memoirs of a Byzantine Eunuch. Includes synopses, samples, and recipes featured in the novels.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.christopher-harris.co.uk/"} +{"d:Title": "Hood, Hugh", "d:Description": "The Isolation Booth. Tribute page for this Canadian novelist, with photos of Hood and the covers of his books.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://isolationbooth.johnwmacdonald.com/"} +{"d:Title": "Horn, Dara", "d:Description": "Information about the author and her debut novel In the Image.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.darahorn.com/"} +{"d:Title": "Houston, Beth", "d:Description": "Showcasing the author's books, publications and educational career.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.bethhouston.com/"} +{"d:Title": "Humphreys, Raymond", "d:Description": "Writer of poetry and speculative fiction. Publications list, biography, a weblog, and online quizzes and games by the author.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.benybont.co.uk/"} +{"d:Title": "Hamer, Forrest", "d:Description": "AfroPoets.Net Famous Writers: brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.afropoets.net/forresthamer.html"} +{"d:Title": "Hearth, Amy Hill", "d:Description": "A personal profile of the author with short descriptions of her books and contact information.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.amyhillhearth.com/"} +{"d:Title": "Hemingway, Eliza", "d:Description": "Books and stories by the Canadian artist and author. Includes competitions, event and workshops.", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.elizahemingway.com/"} +{"d:Title": "Hilley, Joe", "d:Description": "Official site of author Joe Hilley. Contains profile and information about his books, which include \"Sober Justice\" and \"Sarah Palin: A New Kind of Leader\".", "topic": "Top/Arts/Literature/Authors/H", "url": "http://www.joehilley.com/"} +{"d:Title": "Hilda Doolittle", "d:Description": "Fan site includes the author's poetry and biography.", "topic": "Top/Arts/Literature/Authors/H/H._D.", "url": "http://www.cichone.com/jlc/hd/hd.html"} +{"d:Title": "Biography and Poems by AmericanPoems", "d:Description": "This H.D. page includes a biography, a couple of photos of her and a dozen of her most important poems.", "topic": "Top/Arts/Literature/Authors/H/H._D.", "url": "http://www.americanpoems.com/poets/hd/"} +{"d:Title": "The Sanity of Poetry; or, H.D.", "d:Description": "Text of a lecture by Eli Siegel on the art and life of Hilda Doolittle.", "topic": "Top/Arts/Literature/Authors/H/H._D.", "url": "http://www.aestheticrealism.net/tro/Tro1316.htm"} +{"d:Title": "Hilda Doolittle", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/H._D.", "url": "http://www.poets.org/poetsorg/poet/h-d"} +{"d:Title": "Hilda Doolittle: Poems", "d:Description": "Selection of poems including \"Heat\" and \"Lethe.\"", "topic": "Top/Arts/Literature/Authors/H/H._D./Works", "url": "http://www.poetry-archive.com/d/doolittle_hilda.html"} +{"d:Title": "Poets.org: Marilyn Hacker", "d:Description": "Biography, photograph, and selected poems, from the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/H/Hacker,_Marilyn", "url": "http://www.poets.org/poetsorg/poet/marilyn-hacker"} +{"d:Title": "Excerpt from Film Noir", "d:Description": "Text excerpt.", "topic": "Top/Arts/Literature/Authors/H/Hagedorn,_Jessica", "url": "http://www.conjunctions.com/archives/c20-jh.htm"} +{"d:Title": "Eclectica: Gangster of Love", "d:Description": "Review by Allen Gaborro.", "topic": "Top/Arts/Literature/Authors/H/Hagedorn,_Jessica", "url": "http://www.eclectica.org/v1n7/gaborro.html"} +{"d:Title": "Modern American Poets: Jessica Hagedorn", "d:Description": "Texts, articles and poems published online, with biographical information.", "topic": "Top/Arts/Literature/Authors/H/Hagedorn,_Jessica", "url": "http://www.english.illinois.edu/maps/poets/g_l/hagedorn/hagedorn.htm"} +{"d:Title": "The Rider Haggard Society", "d:Description": "Contact information for Haggard fan group that holds meetings in England and issues a quarterly journal.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider", "url": "http://riderhaggardsociety.org.uk/"} +{"d:Title": "Belated Reviews #26: H. Rider Haggard", "d:Description": "Review by Dani Zweig.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/026.htm"} +{"d:Title": "Turning the Spotlight on H. Rider Haggard", "d:Description": "Review of Haggard's first novel, \"Dawn.\"", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider", "url": "http://members.xoom.virgilio.it/wordreign/haggard.htm"} +{"d:Title": "Child of Storm", "d:Description": "Free HTML EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider/Works", "url": "http://www.pagebypagebooks.com/H_Rider_Haggard/Child_of_Storm/"} +{"d:Title": "Nada the Lily", "d:Description": "Etext at Free Online Library.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider/Works", "url": "http://haggard.thefreelibrary.com/Nada-The-Lily"} +{"d:Title": "King Solomon's Mines", "d:Description": "Etext at Free Online Library.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider/Works", "url": "http://haggard.thefreelibrary.com/King-Solomons-Mines"} +{"d:Title": "She", "d:Description": "Chapter-indexed HTML text of the novel, and a summary.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider/Works", "url": "http://www.bibliomania.com/0/0/40/79/frameset.html"} +{"d:Title": "King Solomon's Mines", "d:Description": "HTML text, in page by page format.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider/Works/King_Solomon's_Mines", "url": "http://www.pagebypagebooks.com/H_Rider_Haggard/King_Solomons_Mines/"} +{"d:Title": "King Solomon's Mines", "d:Description": "Chapter-indexed HTML text. Also includes a summary.", "topic": "Top/Arts/Literature/Authors/H/Haggard,_H._Rider/Works/King_Solomon's_Mines", "url": "http://www.bibliomania.com/0/0/40/78/frameset.html"} +{"d:Title": "Set to Verse: Donald Hall Is New Poet Laureate", "d:Description": "Washington Post news article on the announcement by the United States Librarian of Congress.", "topic": "Top/Arts/Literature/Authors/H/Hall,_Donald", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2006/06/13/AR2006061301731.html"} +{"d:Title": "Akoot.com Famous Writers: Donald Hall", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hall,_Donald", "url": "http://www.akoot.com/donaldhall.html"} +{"d:Title": "The Academy of American Poets - Donald Hall", "d:Description": "Biography, list of works, and selections from Hall's poetry and prose.", "topic": "Top/Arts/Literature/Authors/H/Hall,_Donald", "url": "https://www.poets.org/poetsorg/poet/donald-hall"} +{"d:Title": "Janet Hamill", "d:Description": "Janet Hamill is a poet and artist who combines her talents with the music group Moving Star.", "topic": "Top/Arts/Literature/Authors/H/Hamill,_Janet", "url": "http://www.janethamill.com/"} +{"d:Title": "Pete Hamill", "d:Description": "Official site, with articles and excerpts from recent books.", "topic": "Top/Arts/Literature/Authors/H/Hamill,_Pete", "url": "http://www.petehamill.com/"} +{"d:Title": "Sorry to Go On Like This", "d:Description": "Ian Hamilton reviews \"The Letters of Kingsley Amis\" (from the London Review of Books).", "topic": "Top/Arts/Literature/Authors/H/Hamilton,_Ian", "url": "http://www.lrb.co.uk/v22/n11/hami01_.html"} +{"d:Title": "Snoop Doggy Dogg for Laureate", "d:Description": "Ian Hamilton comments on the appointment of the British Poet laureate (from the London Review of Books).", "topic": "Top/Arts/Literature/Authors/H/Hamilton,_Ian", "url": "http://www.lrb.co.uk/v21/n01/hami01_.html"} +{"d:Title": "Hamilton, Ian", "d:Description": "Obituary from The Guardian.", "topic": "Top/Arts/Literature/Authors/H/Hamilton,_Ian", "url": "http://www.theguardian.com/news/2001/dec/29/guardianobituaries"} +{"d:Title": "A Son's Long Goodbye", "d:Description": "An essay about the writings of Peter Handke by Karl-Erik Tallmo.", "topic": "Top/Arts/Literature/Authors/H/Handke,_Peter", "url": "http://art-bin.com/art/ahandkee.html"} +{"d:Title": "Handke Scriptmania Portal", "d:Description": "Site on Austrian writer Peter Handke by Michael Roloff.", "topic": "Top/Arts/Literature/Authors/H/Handke,_Peter", "url": "http://www.handke.scriptmania.com/"} +{"d:Title": "Peter Handke (1942- )", "d:Description": "Links for the Austrian author and playwright.", "topic": "Top/Arts/Literature/Authors/H/Handke,_Peter", "url": "http://german.about.com/library/blhandke.htm?once=true&"} +{"d:Title": "The Austrian Writer Peter Handke, European Public Opinion, and the War in Yugoslavia", "d:Description": "Article by Bernd Reinhardt. Focuses on his political views.", "topic": "Top/Arts/Literature/Authors/H/Handke,_Peter", "url": "http://www.wsws.org/en/articles/1999/08/hand-a11.html"} +{"d:Title": "Poetry and Poetic Prose by Henriette Hardenberg", "d:Description": "A selection of texts by German expressionist poet Henriette Hardenberg in English translation, with a link to a short biography.", "topic": "Top/Arts/Literature/Authors/H/Hardenberg,_Henriette", "url": "http://www.alb-neckar-schwarzwald.de/hardenberg/poems.html"} +{"d:Title": "Tanya's Amazing Ice Cream Machine", "d:Description": "Online text of children's story about a Christmas wish.", "topic": "Top/Arts/Literature/Authors/H/Hardin,_Valerie", "url": "http://the-office.com/bedtime-story/tanya.htm"} +{"d:Title": "Interview with Valerie Hardin", "d:Description": "Interview by Meadowlark, discussing the novella Crimson Kisses, the poetry collection Razor in the Brain and the children's book Two Turtles.", "topic": "Top/Arts/Literature/Authors/H/Hardin,_Valerie", "url": "http://www.angelfire.com/md/meadowlark/vhinterview.html"} +{"d:Title": "Yahoo! Groups: Fansofvaleriehardin", "d:Description": "E-mail listserver for Hardin fans, with news on the author's upcoming work and appearances.", "topic": "Top/Arts/Literature/Authors/H/Hardin,_Valerie", "url": "http://groups.yahoo.com/group/fansofvaleriehardin/"} +{"d:Title": "The Official Mike Harding Website", "d:Description": "Biography, news, books, music, items for sale, photographs, from Mike Harding.", "topic": "Top/Arts/Literature/Authors/H/Harding,_Mike", "url": "http://www.mikeharding.co.uk/"} +{"d:Title": "Allreaders Paul Harding Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Harding scholar on the site.", "topic": "Top/Arts/Literature/Authors/H/Harding,_Paul", "url": "http://allreaders.com/books/paul-harding-888"} +{"d:Title": "Thomas Hardy", "d:Description": "Fifty-one of his poems online.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.bartleby.com/people/Hardy-Th.html"} +{"d:Title": "Today in Literature: Thomas Hardy", "d:Description": "Biographical stories about Hardy's life and the creation of specific works. Requires free registration to read full articles.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.todayinliterature.com/biography/thomas.hardy.asp"} +{"d:Title": "Max Gate", "d:Description": "The Victorian villa which Thomas Hardy designed and built for himself in 1885. History, plan of the gardens, visits seminars and the Thomas Hardy Essay Competition.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.thomas-hardy.connectfree.co.uk/"} +{"d:Title": "The Thomas Hardy Online Society", "d:Description": "Critiques, books to download, and photographs of Thomas Hardy.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.hardysociety.org/"} +{"d:Title": "Free Online Library: Thomas Hardy", "d:Description": "Biographical sketch, and online text of Tess of the d'Urbervilles.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://hardy.thefreelibrary.com/"} +{"d:Title": "Human Morality and the Laws of Nature", "d:Description": "Single essay showing how Hardy pits variable, changeable, human morality against the laws of nature.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.literature-study-online.com/essays/hardy.html"} +{"d:Title": "IMDb: Thomas Hardy", "d:Description": "Filmography of films made from Hardy's novels.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.imdb.com/name/nm0362762/"} +{"d:Title": "The Victorian Web: Thomas Hardy", "d:Description": "Discussion of the author's work in historical context.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.victorianweb.org/authors/hardy"} +{"d:Title": "Academy of American Poets: Thomas Hardy", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas", "url": "http://www.poets.org/poetsorg/poet/thomas-hardy"} +{"d:Title": "Two on a Tower", "d:Description": "The complete book free of charge available online.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works", "url": "http://www.ebooks3.com/ebooks/two_on_a_tower.html"} +{"d:Title": "The Return of the Native", "d:Description": "The complete book free of charge available online.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works", "url": "http://www.ebooks3.com/ebooks/the_return_of_the_native.html"} +{"d:Title": "Life's Little Ironies", "d:Description": "The complete book of short stories online, available for free.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works", "url": "http://www.ebooks3.com/ebooks/lifes_little_ironies.html"} +{"d:Title": "Wessex Poems&Other Verses", "d:Description": "Bartleby.com's online publication of the classic 1898 edition of Thomas Hardy's Wessex Poems and Other Verses.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works", "url": "http://www.bartleby.com/121"} +{"d:Title": "Thomas Hardy Poems", "d:Description": "Selected poems, from Poetry Archive.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works", "url": "http://www.poetry-archive.com/h/hardy_thomas.html"} +{"d:Title": "Bibliomania: Thomas Hardy", "d:Description": "Includes \"Far from the Madding Crowd\", \"The Mayor of Casterbridge\", \"Tess of the d'Urbervilles\" and \"Jude The Obscure\".", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works", "url": "http://www.bibliomania.com/0/0/26/frameset.html"} +{"d:Title": "Classic Bookshelf", "d:Description": "Far From The Madding Crowd and other works free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works/Far_from_the_Madding_Crowd", "url": "http://www.classicbookshelf.com/library/Hardy/"} +{"d:Title": "The Mayor of Casterbridge", "d:Description": "Complete text in HTML eBook format.", "topic": "Top/Arts/Literature/Authors/H/Hardy,_Thomas/Works/Mayor_of_Casterbridge,_The", "url": "http://www.ebooks3.com/ebooks/mayor_of_casterbridge.html"} +{"d:Title": "Voices From the Gaps: Joy Harjo", "d:Description": "A biography including links to her poetry online.", "topic": "Top/Arts/Literature/Authors/H/Harjo,_Joy", "url": "http://voices.cla.umn.edu/artistpages/harjoJoy.php"} +{"d:Title": "Joy Harjo", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Harjo,_Joy", "url": "http://www.poets.org/poetsorg/poet/joy-harjo"} +{"d:Title": "Circle William", "d:Description": "A novel of suspense and humor about Navy, White House, and press people facing international terrorism.", "topic": "Top/Arts/Literature/Authors/H/Harlow,_Bill", "url": "http://www.circlewilliam.com/"} +{"d:Title": "Favorite Resources for Catholic Homeschoolers: The Cargo of the Madalena", "d:Description": "Essay questions on The Cargo of the Madalena, originally The Load of Unicorn, for home learning.", "topic": "Top/Arts/Literature/Authors/H/Harnett,_Cynthia", "url": "http://www.love2learn.net/literature/studyquestions/madalena.htm"} +{"d:Title": "Favorite Resources for Catholic Homeschoolers: The Merchant's Mark", "d:Description": "Notes and questions on The Merchant's Mark, for home learning.", "topic": "Top/Arts/Literature/Authors/H/Harnett,_Cynthia", "url": "http://www.love2learn.net/literature/studyquestions/merchant.htm"} +{"d:Title": "Perspectives in American Literature: Frances Ellen Watkins Harper (1825-1911)", "d:Description": "Primary works and selected bibliography.", "topic": "Top/Arts/Literature/Authors/H/Harper,_Frances_E._W.", "url": "http://www.csustan.edu/english/reuben/pal/chap5/harper.html"} +{"d:Title": "Houghton Miffin College: Francis E. W. Harper", "d:Description": "Elizabeth Ammons provides insights to teaching students about the author and her life.", "topic": "Top/Arts/Literature/Authors/H/Harper,_Frances_E._W.", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/harperf.html"} +{"d:Title": "Frank Harris (1856-1931)", "d:Description": "Biography, bibliography, photos, book reviews, text extracts and resources.", "topic": "Top/Arts/Literature/Authors/H/Harris,_Frank", "url": "http://oddbooks.co.uk/harris/"} +{"d:Title": "Frank Harris at the Eldritch Press", "d:Description": "Texts of works including \"The Bomb\", and \"Montes the Matador\", a bibliography and a short biographical note.", "topic": "Top/Arts/Literature/Authors/H/Harris,_Frank", "url": "http://www.eldritchpress.org/harris/harris.htm"} +{"d:Title": "Uncle Remus Project", "d:Description": "A historical and ethnological examination of Harris' folklore collections and other writings. Includes biography, texts, contemporary reviews, and modern commentaries.", "topic": "Top/Arts/Literature/Authors/H/Harris,_Joel_Chandler", "url": "http://xroads.virginia.edu/~UG97/remus/remus.html"} +{"d:Title": "New Georgia Encyclopedia: Joel Chandler Harris (1845-1908)", "d:Description": "Extensive biography of the writer.", "topic": "Top/Arts/Literature/Authors/H/Harris,_Joel_Chandler", "url": "http://www.georgiaencyclopedia.org/articles/arts-culture/joel-chandler-harris-1845-1908"} +{"d:Title": "Joel Chandler Harris Home", "d:Description": "National Park Service information about Wren's Nest, Harris' home in Atlanta, Georgia, open to the public as a house museum.", "topic": "Top/Arts/Literature/Authors/H/Harris,_Joel_Chandler", "url": "http://www.nps.gov/nr/travel/atlanta/har.htm"} +{"d:Title": "Featured Author: Jim Harrison", "d:Description": "Links to news and reviews from the archives of The New York Times.", "topic": "Top/Arts/Literature/Authors/H/Harrison,_Jim", "url": "http://www.nytimes.com/books/98/11/08/specials/harrison.html"} +{"d:Title": "Tony Harrison", "d:Description": "An Academy of American Poets poetry exhibit including a brief biography and the online text of a poem.", "topic": "Top/Arts/Literature/Authors/H/Harrison,_Tony", "url": "http://www.poets.org/poetsorg/poet/tony-harrison"} +{"d:Title": "San Francisco History: Bret Harte (1836-1902)", "d:Description": "Biographical information, picture gallery, bibliography, links.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret", "url": "http://www.zpub.com/sf/history/harte.html"} +{"d:Title": "California Authors: Bret Harte", "d:Description": "Brief biography of the writer, by Janice Albert.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret", "url": "http://www.cateweb.org/CA_Authors/harte.html"} +{"d:Title": "Page By Page Books: Devil's Ford", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/Devils_Ford/"} +{"d:Title": "Page By Page Books: Maruja", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/Maruja/"} +{"d:Title": "Page By Page Books: In a Hollow of the Hills", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/In_a_Hollow_of_the_Hills/"} +{"d:Title": "Page By Page Books: In The Carquinez Woods", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/In_The_Carquinez_Woods/"} +{"d:Title": "Page By Page Books: Snow-Bound at Eagle's", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/Snow_Bound_at_Eagles/"} +{"d:Title": "Page By Page Books: Thankful Blossom", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/Thankful_Blossom/"} +{"d:Title": "Page By Page Books: A Waif of the Plains", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/A_Waif_of_the_Plains/"} +{"d:Title": "Bret Harte: Poems", "d:Description": "Several poems by Bret Harte at Poetry Archive. Includes a brief biography.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.poetry-archive.com/h/harte_bret.html"} +{"d:Title": "Page by Page Books: Outcasts Of Poker Flat, The", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.pagebypagebooks.com/Bret_Harte/The_Outcasts_Of_Poker_Flat/The_Outcasts_Of_Poker_Flat_p1.html"} +{"d:Title": "Free Online Library - Devil's Ford", "d:Description": "Find the entire text online. Read the work one chapter at a time.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://harte.thefreelibrary.com/Devils-Ford"} +{"d:Title": "Francis Bret Harte", "d:Description": "Selected writings.", "topic": "Top/Arts/Literature/Authors/H/Harte,_Bret/Works", "url": "http://www.bartleby.com/people/Harte-Br.html"} +{"d:Title": "Robert Hass", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hass,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-hass"} +{"d:Title": "Curled Up with a Good Book: The Dean's List", "d:Description": "Unsigned, undated book review.", "topic": "Top/Arts/Literature/Authors/H/Hassler,_Jon", "url": "http://www.curledup.com/deans.htm"} +{"d:Title": "The Loves of His Life", "d:Description": "In-depth review of Jon Hassler's book \"North of Hope.\" By Richard Russo, writing in the New York Times. Requires free registration.", "topic": "Top/Arts/Literature/Authors/H/Hassler,_Jon", "url": "http://www.nytimes.com/books/01/06/24/specials/russo-hassler.html"} +{"d:Title": "Graves of Academe", "d:Description": "\"Forget Garrison Keillor and the Coen brothers. Jon Hassler is Minnesota's most engaging cultural export.\" Review of \"The Dean's List,\" in the New York Times.", "topic": "Top/Arts/Literature/Authors/H/Hassler,_Jon", "url": "http://www.nytimes.com/books/97/06/01/reviews/970601.01poseltt.html"} +{"d:Title": "Tender Mercies", "d:Description": "A close look at \"Dear James.\" Book review by Philip Zaleski.", "topic": "Top/Arts/Literature/Authors/H/Hassler,_Jon", "url": "http://www.firstthings.com/article/1994/08/dear-james"} +{"d:Title": "TheatreHistory.com: Gerhart Hauptmann", "d:Description": "Biography of the German dramatist.", "topic": "Top/Arts/Literature/Authors/H/Hauptmann,_Gerhart", "url": "http://www.theatrehistory.com/german/hauptmann001.html"} +{"d:Title": "Nobel Prize for Literature 1912: Gerhart Hauptmann", "d:Description": "Presentation and acceptance speeches, as well as an autobiography.", "topic": "Top/Arts/Literature/Authors/H/Hauptmann,_Gerhart", "url": "http://nobelprize.org/nobel_prizes/literature/laureates/1912/press.html"} +{"d:Title": "The Sunken Bell: A Fairy Play of Five Acts", "d:Description": "Charles Henry Meltzer translation. Page images at the Internet Archive.", "topic": "Top/Arts/Literature/Authors/H/Hauptmann,_Gerhart/Works", "url": "http://www.archive.org/details/sunkenbellfairyp00haupiala"} +{"d:Title": "Bartleby.com - Nathaniel Hawthorne", "d:Description": "Chapters on Hawthorne from several books, and full text of The Scarlet Letter and Rappacini's Daughter.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel", "url": "http://www.bartleby.com/people/HawthornN.html"} +{"d:Title": "Nathaniel Hawthorne in Salem", "d:Description": "Collaboration between North Shore Community College and Massachusetts museums features art, original documents, critical commentary, scholarly articles, and learning activities related to Hawthorne's life and works.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel", "url": "http://www.hawthorneinsalem.org/"} +{"d:Title": "The Free Library - Nathaniel Hawthorne", "d:Description": "Short biography and e-texts of the works of this American writer.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel", "url": "http://hawthorne.thefreelibrary.com/"} +{"d:Title": "Hawthorne's Seven Gables", "d:Description": "Eight essays which discuss the characters in The House of the Seven Gables and Rappaccini's Daughter.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel", "url": "http://www.hawthornessevengables.com/"} +{"d:Title": "Page by Page Books: Nathaniel Hawthorne", "d:Description": "HTML versions, in page by page format, of Grandfather's Chair, The Scarlet Letter, and three collections of short stories.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works", "url": "http://www.pagebypagebooks.com/Nathaniel_Hawthorne/"} +{"d:Title": "A Blithedale Romance - Literature Network", "d:Description": "Entire text by chapters.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works", "url": "http://www.online-literature.com/hawthorne/blithedale_romance/"} +{"d:Title": "The Marble Faun - Literature Network", "d:Description": "Entire text by chapters.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works", "url": "http://www.online-literature.com/hawthorne/marble_faun/"} +{"d:Title": "Poetry Archive: Nathaniel Hawthorne", "d:Description": "Selected Hawthorne poems.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works", "url": "http://www.poetry-archive.com/h/hawthorne_nathaniel.html"} +{"d:Title": "ClassicReader.com: Nathaniel Hawthorne", "d:Description": "Offers Hawthorne's novels and short stories for online reading.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works", "url": "http://www.classicreader.com/author/24/"} +{"d:Title": "Page by Page Books: Grandfather's Chair", "d:Description": "Online text divided by chapter and page.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Grandfather's_Chair", "url": "http://www.pagebypagebooks.com/Nathaniel_Hawthorne/Grandfathers_Chair/"} +{"d:Title": "Free Online Library: Grandfather's Chair", "d:Description": "Online text divided into chapters.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Grandfather's_Chair", "url": "http://hawthorne.thefreelibrary.com/Grandfathers-Chair"} +{"d:Title": "Literature Network: The House of Seven Gables", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/House_of_the_Seven_Gables,_The", "url": "http://www.online-literature.com/hawthorne/seven_gables/"} +{"d:Title": "Free Online Library: House Of Seven Gables", "d:Description": "Online text divided into chapters.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/House_of_the_Seven_Gables,_The", "url": "http://hawthorne.thefreelibrary.com/House-Of-Seven-Gables"} +{"d:Title": "Literature Network: The Scarlet Letter", "d:Description": "Entire book online.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Scarlet_Letter,_The", "url": "http://www.online-literature.com/hawthorne/scarletletter/"} +{"d:Title": "Bartleby.com: The Scarlet Letter", "d:Description": "Searchable online text.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Scarlet_Letter,_The", "url": "http://www.bartleby.com/83/"} +{"d:Title": "Scarlet Letter Summarized", "d:Description": "Includes chapter and character summaries for Nathaniel Hawthorne's novel.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Scarlet_Letter,_The", "url": "http://scarletlettersummary.tripod.com/"} +{"d:Title": "PinkMonkey.com: The Scarlet Letter", "d:Description": "A summary and notes.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Scarlet_Letter,_The", "url": "http://pinkmonkey.com/booknotes/monkeynotes/pmScarletLetter01.asp"} +{"d:Title": "The Scarlet Letter", "d:Description": "Free HTML text - read it online, page by page.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Scarlet_Letter,_The", "url": "http://www.pagebypagebooks.com/Nathaniel_Hawthorne/The_Scarlet_Letter/index.html"} +{"d:Title": "Tanglewood Tales", "d:Description": "Six stories, presented as page-by-page text.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Short_Stories", "url": "http://pagebypagebooks.com/Nathaniel_Hawthorne/Tanglewood_Tales/"} +{"d:Title": "Bartleby.com: Rappaccinis Daughter", "d:Description": "Text and author's foreward, with numbered paragraphs.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Short_Stories/Rappaccini's_Daughter", "url": "http://www.bartleby.com/310/1/3000.html"} +{"d:Title": "Hawthorne, Nathaniel: Rappaccini's Daughter", "d:Description": "Summary and commentary on the ethics of the tale.", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Short_Stories/Rappaccini's_Daughter", "url": "http://medhum.med.nyu.edu/view/741"} +{"d:Title": "Free Online Library: From Twice Told Tales", "d:Description": "Selected stories including \"The Gray Champion,\" \"The Wedding Knell,\" \"The Minister's Black Veil,\" and \"The May-Pole of Merry Mount.\"", "topic": "Top/Arts/Literature/Authors/H/Hawthorne,_Nathaniel/Works/Twice_Told_Tales", "url": "http://hawthorne.thefreelibrary.com/From-Twice-Told-Tales"} +{"d:Title": "Hawvermale, Lance", "d:Description": "Lance Hawvermale, has published under the pseudonym of Erin O'Rourke. Contain biography and book excerpts.", "topic": "Top/Arts/Literature/Authors/H/Hawvermale,_Lance", "url": "http://www.lancehawvermale.com/"} +{"d:Title": "Recentering: The Turning of the Tide and Robert Hayden", "d:Description": "Essay on Baha'i religious principles as illustrated in Hayden's poetry.", "topic": "Top/Arts/Literature/Authors/H/Hayden,_Robert", "url": "http://www.fglaysher.com/Recentering.htm"} +{"d:Title": "Modern American Poetry: Robert Hayden", "d:Description": "Articles on Hayden's life and influence. Reviews of several poems.", "topic": "Top/Arts/Literature/Authors/H/Hayden,_Robert", "url": "http://www.english.illinois.edu/maps/poets/g_l/hayden/hayden.htm"} +{"d:Title": "Robert Hayden's Epic of Community", "d:Description": "Article about Hayden's themes as expressed in his writings and their cultural antecedents.", "topic": "Top/Arts/Literature/Authors/H/Hayden,_Robert", "url": "http://bahai.uga.edu/News/092298.html"} +{"d:Title": "Robert Hayden", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hayden,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-hayden"} +{"d:Title": "Official Torey Hayden Web Site", "d:Description": "Books, interviews, faq, links to publishers, 'ask the author' on the bulletin board.", "topic": "Top/Arts/Literature/Authors/H/Hayden,_Torey", "url": "http://www.torey-hayden.com/"} +{"d:Title": "The Observer: Inappropriate Author", "d:Description": "Review of \"Hard Choices\" discussing the circumstances of its non-publication.", "topic": "Top/Arts/Literature/Authors/H/Hayman,_Carole", "url": "http://www.theguardian.com/politics/2002/mar/24/books.politicalcolumnists"} +{"d:Title": "Essays of Hazlitt", "d:Description": "Links to online essays at blupete. Short biography, list of works, quotations.", "topic": "Top/Arts/Literature/Authors/H/Hazlitt,_William", "url": "http://blupete.com/Literature/Essays/TableHaz.htm"} +{"d:Title": "Characters of Shakespear's Plays", "d:Description": "Online text from the University of Toronto English Library by William Hazlitt (1817).", "topic": "Top/Arts/Literature/Authors/H/Hazlitt,_William", "url": "http://www.library.utoronto.ca/utel/criticism/hazlittw_charsp/charsp_titlepage.html"} +{"d:Title": "A Biography of William Hazlitt", "d:Description": "E-texts of the biography by J.B. Priestley and six of Hazlitt's works.", "topic": "Top/Arts/Literature/Authors/H/Hazlitt,_William", "url": "http://www.ourcivilisation.com/smartboard/shop/prstlyjb/hazlitt/index.htm"} +{"d:Title": "William Hazlitt", "d:Description": "Short biography and excerpts of his political writing.", "topic": "Top/Arts/Literature/Authors/H/Hazlitt,_William", "url": "http://spartacus-educational.com/PRhazlitt.htm"} +{"d:Title": "Seamus Heaney", "d:Description": "Works, bibliography, biography, and \"Crediting Poetry\" --Heaney's Nobel Prize Lecture.", "topic": "Top/Arts/Literature/Authors/H/Heaney,_Seamus", "url": "http://www.ibiblio.org/dykki/poetry/heaney.php"} +{"d:Title": "The Nobel Prize in Literature 1995: Seamus Heaney", "d:Description": "Text of the author's Nobel lecture, plus a list of works and biography.", "topic": "Top/Arts/Literature/Authors/H/Heaney,_Seamus", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1995/index.html"} +{"d:Title": "Lafcadio Hearn: Bibliography", "d:Description": "Trussel's EclectiCity.", "topic": "Top/Arts/Literature/Authors/H/Hearn,_Lafcadio", "url": "http://www.trussel.com/f_hearn.htm"} +{"d:Title": "Friedrich Hebbel (1813-1863)", "d:Description": "Poem \"Ich und Du\" in English translation by Leon Malinofsky.", "topic": "Top/Arts/Literature/Authors/H/Hebbel,_Friedrich/Poetry", "url": "http://plexipages.com/reflections/hebbel.html"} +{"d:Title": "Anthony Hecht (1923-)", "d:Description": "Notes on Hecht's work from the Modern American Poetry series.", "topic": "Top/Arts/Literature/Authors/H/Hecht,_Anthony", "url": "http://www.english.illinois.edu/maps/poets/g_l/hecht/hecht.htm"} +{"d:Title": "Anthony Hecht", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hecht,_Anthony", "url": "http://www.poets.org/poetsorg/poet/anthony-hecht"} +{"d:Title": "Poems by Heinrich Heine", "d:Description": "English translations in the public domain. Eight poems.", "topic": "Top/Arts/Literature/Authors/H/Heine,_Heinrich", "url": "http://www.poetry-archive.com/h/heine_heinrich.html"} +{"d:Title": "Wikipedia: Heinrich Heine", "d:Description": "Biography, with copious links to related topics, and selected bibliography.", "topic": "Top/Arts/Literature/Authors/H/Heine,_Heinrich", "url": "http://en.wikipedia.org/wiki/Heinrich_Heine"} +{"d:Title": "Davidsb\u00fcndler: Heinrich Heine", "d:Description": "English translations of three prose selections, and several poems. Concentrates on the author's more biting observations.", "topic": "Top/Arts/Literature/Authors/H/Heine,_Heinrich", "url": "http://davidsbuendler.freehostia.com/hh.htm"} +{"d:Title": "Heinrich Heine: Selected Poems", "d:Description": "Twenty-three poems in English verse translation by A.S. Kline.", "topic": "Top/Arts/Literature/Authors/H/Heine,_Heinrich", "url": "http://poetryintranslation.com/PITBR/German/Heine.htm"} +{"d:Title": "The Jewish Encyclopedia: Heine, Heinrich", "d:Description": "In-depth article on the German lyric poet. With portrait.", "topic": "Top/Arts/Literature/Authors/H/Heine,_Heinrich", "url": "http://www.jewishencyclopedia.com/articles/7506-heine-heinrich"} +{"d:Title": "Eight justifications for Canonizing My Life", "d:Description": "Article by Lisa Samuels on Lyn Hejinians' \"My Life\".", "topic": "Top/Arts/Literature/Authors/H/Hejinian,_Lyn", "url": "http://epc.buffalo.edu/authors/samuels/mylife.html"} +{"d:Title": "Roughly Stapled", "d:Description": "An interview with Lyn Hejinian by Craig Dworkin.", "topic": "Top/Arts/Literature/Authors/H/Hejinian,_Lyn", "url": "http://epc.buffalo.edu/authors/hejinian/roughly.html"} +{"d:Title": "How Russian Is It? Lynn Hejinian's Oxota", "d:Description": "Article by Marjorie Perloff.", "topic": "Top/Arts/Literature/Authors/H/Hejinian,_Lyn", "url": "http://epc.buffalo.edu/authors/perloff/hejinian.html"} +{"d:Title": "Excerpts from My Life by Lyn Hejinian", "d:Description": "My Life. Los Angeles: Sun and Moon, 1987.", "topic": "Top/Arts/Literature/Authors/H/Hejinian,_Lyn", "url": "http://epc.buffalo.edu/authors/hejinian/mylife/index.html"} +{"d:Title": "More on Joseph Heller", "d:Description": "Links to articles and audio from the New York Times.", "topic": "Top/Arts/Literature/Authors/H/Heller,_Joseph", "url": "http://www.nytimes.com/books/98/02/15/home/heller.html"} +{"d:Title": "The Joseph Heller Archive", "d:Description": "Biography and details of the University of South Carolina's collection of papers documenting Heller's literary career.", "topic": "Top/Arts/Literature/Authors/H/Heller,_Joseph", "url": "http://library.sc.edu/spcoll/amlit/heller/heller.html"} +{"d:Title": "Hellerstein, David", "d:Description": "Web site of the author of \"A Family of Doctors\", \"Loving Touches\", \"Battles of Life and Death\", and the upcoming novel, \"Stone Babies\". Excerpts from Hellerstein's works.", "topic": "Top/Arts/Literature/Authors/H/Hellerstein,_David", "url": "http://davidhellerstein.tripod.com/"} +{"d:Title": "SF Site: The Veil of Snows", "d:Description": "Children's fantasy novel reviewed by Chris and Jennifer Goheen.", "topic": "Top/Arts/Literature/Authors/H/Helprin,_Mark", "url": "http://www.sfsite.com/11a/veil20.htm"} +{"d:Title": "Forbes: Revolution or Dissolution", "d:Description": "On new strategies for redesigning the U.S. military through technology, and the author's own experiences in the Israeli army.", "topic": "Top/Arts/Literature/Authors/H/Helprin,_Mark", "url": "http://www.forbes.com/asap/1998/0223/086.html"} +{"d:Title": "Forbes: God's Eye View", "d:Description": "The author reflects on the nature of time and awareness.", "topic": "Top/Arts/Literature/Authors/H/Helprin,_Mark", "url": "http://www.forbes.com/asap/1998/1130/121.html"} +{"d:Title": "Helprin, Mark", "d:Description": "Official website; includes a biography, reviews, excerpts and cover art.", "topic": "Top/Arts/Literature/Authors/H/Helprin,_Mark", "url": "http://www.markhelprin.com/"} +{"d:Title": "University of North Carolina: Felicia Hemans", "d:Description": "Provides the author's poem, \"The Traveller at the Source of the Nile.\"", "topic": "Top/Arts/Literature/Authors/H/Hemans,_Felicia", "url": "http://www.unc.edu/~ottotwo/hemanspoem.html"} +{"d:Title": "Chronology for Felicia Hemans and Circle", "d:Description": "Compiled by Nanora Louise Sweet.", "topic": "Top/Arts/Literature/Authors/H/Hemans,_Felicia", "url": "http://www.umsl.edu/~sweet/swetbib.htm"} +{"d:Title": "Hemans, Heber, and 'Superstition and Revelation'", "d:Description": "Critical essay by Nanora Sweet on this incomplete work.", "topic": "Top/Arts/Literature/Authors/H/Hemans,_Felicia", "url": "http://www.rc.umd.edu/praxis/passions/sweet/sweet.html"} +{"d:Title": "Casabianca", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Authors/H/Hemans,_Felicia", "url": "http://digital.library.upenn.edu/women/hemans/works/hf-burning.html"} +{"d:Title": "A Bad Hemingway Story", "d:Description": "Parody of Ernest Hemingway in the form of an ongoing novel written by Hemingway fans.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest", "url": "http://www.badhemingway.com/"} +{"d:Title": "Ernest Hemingway at Today in Literature", "d:Description": "Biographical articles focusing on the creation of specific works. Requires free registration to read full articles.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest", "url": "http://www.todayinliterature.com/biography/ernest.hemingway.asp"} +{"d:Title": "Asante Papa! Website", "d:Description": "This informative site is a tribute to Ernest 'Papa' Hemingway and centers around Paul Hammersten's book about Papa.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest", "url": "http://www.asantepapa.4t.com/"} +{"d:Title": "Timeless Hemingway", "d:Description": "Extensive resource for photos, quotes, and information on Ernest Hemingway.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest", "url": "http://www.timelesshemingway.com/"} +{"d:Title": "English-Literature.org: Introducing Ernest Hemingway", "d:Description": "A biographical, thematic, and critical introduction to the author.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest", "url": "http://www.literature-study-online.com/essays/hemingway.html"} +{"d:Title": "Simon and Schuster: Ernest Hemingway", "d:Description": "Brief biography, picture gallery, and information on his books.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest", "url": "http://authors.simonandschuster.com/Ernest-Hemingway/1792713"} +{"d:Title": "Allreaders Ernest Hemingway Spotlight", "d:Description": "Analysis of the plot, setting, characters, theme, and structure of Hemingway's novels.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest", "url": "http://allreaders.com/books/ernest-hemingway-282"} +{"d:Title": "Picturing Hemingway: A Writer in His Time", "d:Description": "The National Portrait Gallery's exhibition on images chronicling Hemingway's life.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Biographies", "url": "http://www.npg.si.edu/exh/hemingway/"} +{"d:Title": "Hemingway Home and Museum", "d:Description": "Find out about the house Ernest Hemingway lived in during his Key West days. Includes some background information on the author and a life web cam.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Biographies", "url": "http://www.hemingwayhome.com/"} +{"d:Title": "Hemingway: A Look Back", "d:Description": "Brief overview of Hemingway's life and works.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Biographies", "url": "http://www.americanlegends.com/authors/index.html"} +{"d:Title": "Ernest Hemingway - Biography", "d:Description": "A detailed summary of Ernest Hemingway's life and works with links to audio presentation speeches.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Biographies", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1954/hemingway-bio.html"} +{"d:Title": "The Hemingway Society", "d:Description": "Information about the society.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Organizations", "url": "http://www.hemingwaysociety.org/"} +{"d:Title": "Ernest Hemingway Foundation", "d:Description": "Fosters understanding of Hemingway's life and work, with an emphasis on his Oak Park origins and his impact on world literature.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Organizations", "url": "http://www.hemingway.org/"} +{"d:Title": "Flashback: Ernest Hemingway", "d:Description": "Atlantic Monthly articles about Ernest Hemingway, by Edmund Wilson, Malcolm Cowley, Alfred Kazin, Robert Manning, and James Atlas.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Reviews", "url": "http://www.theatlantic.com/unbound/flashbks/hemingway.htm"} +{"d:Title": "Hemingway vs Knapp", "d:Description": "An account of a fight in The Bahamas between Ernest Hemingway and publisher J.F. Knapp.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Reviews", "url": "http://www.spoonercentral.com/RS/Nat.html"} +{"d:Title": "American Graffiti", "d:Description": "Sven Birkerts on Ernest Hemingway, in Atlantic Unbound: The Atlantic Monthly Magazine Online.", "topic": "Top/Arts/Literature/Authors/H/Hemingway,_Ernest/Reviews", "url": "http://www.theatlantic.com/past/docs/unbound/graffiti/ag990721.htm"} +{"d:Title": "Biography and Works", "d:Description": "Includes a biography and a searchable collection of short stories.", "topic": "Top/Arts/Literature/Authors/H/Henry,_O.", "url": "http://www.online-literature.com/o_henry/"} +{"d:Title": "Free Online Library: O. Henry", "d:Description": "Biographical sketch, and online text of story collection \"The Four Million\".", "topic": "Top/Arts/Literature/Authors/H/Henry,_O.", "url": "http://henry.thefreelibrary.com/"} +{"d:Title": "Page by Page Books: O. Henry", "d:Description": "HTML ETexts of about 40 short stories.", "topic": "Top/Arts/Literature/Authors/H/Henry,_O./Works", "url": "http://www.pagebypagebooks.com/O_Henry/"} +{"d:Title": "Edward, Lord Herbert of Chirbury", "d:Description": "Chirbury's life, works, and web resources.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_Edward", "url": "http://www.luminarium.org/sevenlit/chirbury/"} +{"d:Title": "Sonnet Central: Edward, Lord Herbert of Cherbury", "d:Description": "Selected sonnets, including two dedicated to the color black.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_Edward", "url": "http://www.sonnets.org/herberte.htm"} +{"d:Title": "Historical and Political Writings: Lord Herbert of Cherbury", "d:Description": "Section discussing the historiographic contributions of this writer, from the Cambridge History of English and American Literature: An Encyclopedia in Eighteen Volumes.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_Edward", "url": "http://www.bartleby.com/217/0902.html"} +{"d:Title": "The Beginnings of English Philosophy: Herbert of Cherbury", "d:Description": "A section on this early Deist thinker, from the Cambridge History of English and American Literature.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_Edward", "url": "http://www.bartleby.com/214/1413.html"} +{"d:Title": "Internet Encyclopedia of Philosophy: Edward Herbert of Cherbury", "d:Description": "Article on his life, career and thought.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_Edward", "url": "http://www.iep.utm.edu/herbert/"} +{"d:Title": "George Herbert (1593-1633)", "d:Description": "Early seventeenth century poet. His life, works, essays, and resources.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George", "url": "http://www.luminarium.org/sevenlit/herbert/"} +{"d:Title": "The Life of Mr. George Herbert", "d:Description": "E-text of biography by Izaak Walton.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George", "url": "http://www.bartleby.com/15/2/21.html"} +{"d:Title": "George Herbert and The Temple Links", "d:Description": "Internet links to biography, Renaissance history, music, reputation and criticism of Herbert's \"The Temple\" and \"A Priest to the Temple.\"", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George", "url": "http://www.ccel.org/h/herbert/temple/links.html"} +{"d:Title": "[EMLS SI 7 (May, 2001): 2.1-28] Donne, Herbert, and the Worm of Controversy", "d:Description": "By Louis Martz. Ecclesiastical dispute in the British Church as reflected in the works of Donne and Herbert.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://extra.shu.ac.uk/emls/si-07/martz.htm"} +{"d:Title": "George Herbert from English 211 course at Goucher College, Maryland", "d:Description": "Summary of more than just the major poems.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://faculty.goucher.edu/eng211/george_herbert.htm"} +{"d:Title": "George Herberts personality and divided aims reflected in his poems", "d:Description": "First section of a two-part article by the Rev. F.E. Hutchinson, in The Cambridge History of English and American Literature.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://www.bartleby.com/217/0202.html"} +{"d:Title": "Christian Allegory in the 17th Century: A Comparison of George Herbert and John Bunyan", "d:Description": "Includes poems on poetry and \"The World.\"", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://www.systers.com/rdimon/herbert.html"} +{"d:Title": "George Herbert", "d:Description": "Brief introduction, followed by a consideration of \"A Priest to the Temple.\" By the Rev. W.H. Hutton, in The Cambridge History of English and American Literature.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://www.bartleby.com/217/0615.html"} +{"d:Title": "Chapter 5, III. Typological symbolism in the readings of Ruskin's childhood", "d:Description": "Appreciation of Milton, Bunyan and Herbert.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://www.victorianweb.org/authors/ruskin/atheories/5.3.html"} +{"d:Title": "[EMLS 5.3 / SI 4 (January, 2000): 7.1-37] \"How shall I measure out thy bloud?\", or, \"Weening is not measure\": TACT, Herbert, and Sacramental Devotion in the Electronic Temple", "d:Description": "On Communion and the Eucharist in Herbert's poems.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://extra.shu.ac.uk/emls/05-3/whalherb.html"} +{"d:Title": "The Church as Text in Herbert's Temple and Country Parson", "d:Description": "The Church as building and poetic image.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Discussion", "url": "http://extra.shu.ac.uk/emls/iemls/pd/herbarch.html"} +{"d:Title": "George Herbert (1593-1633) Luminarium Site", "d:Description": "George Herbert, early seventeenth century poet. His life, works, essays, and resources.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Works", "url": "http://www.luminarium.org/sevenlit/herbert/"} +{"d:Title": "Works of George Herbert on Project Canterbury", "d:Description": "\"A Priest to the Temple.\" Dean Church, from the English Churchman's Library, 1905 - presented online through Project Canterbury.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_George/Works", "url": "http://anglicanhistory.org/herbert/"} +{"d:Title": "Mary (Sidney) Herbert, Countess of Pembroke (1561-1621)", "d:Description": "Biographical and historical information, a small selection of links to online texts and related essays and criticism, as well as links to further resources on the web. At luminarium.org.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_Mary", "url": "http://www.luminarium.org/renlit/mary.htm"} +{"d:Title": "Zbigniew Herbert at the Complete Review", "d:Description": "An overview of the life and works of Zbigniew Herbert, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/H/Herbert,_Zbigniew", "url": "http://www.complete-review.com/authors/herbertz.htm"} +{"d:Title": "Selected Poems of Miguel Hern\u00e1ndez", "d:Description": "Translations by Ted Genoways.", "topic": "Top/Arts/Literature/Authors/H/Hern\u00e1ndez,_Miguel", "url": "http://www.press.uchicago.edu/Misc/Chicago/327736.html"} +{"d:Title": "Robert Herrick (poet)", "d:Description": "Short biographical essay, with hyperlinks to related topics.", "topic": "Top/Arts/Literature/Authors/H/Herrick,_Robert", "url": "http://en.wikipedia.org/wiki/Robert_Herrick_(poet)"} +{"d:Title": "Robert Herrick (1591-1674)", "d:Description": "\"Robert Herrick, seventeenth century cavalier, poet and son of Ben.\" Webpages devoted to Herrick at luminarium.org.", "topic": "Top/Arts/Literature/Authors/H/Herrick,_Robert", "url": "http://www.luminarium.org/sevenlit/herrick/"} +{"d:Title": "Robert Herrick", "d:Description": "Biography, portrait, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Herrick,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-herrick"} +{"d:Title": "Chrysomela: A Selection from the Lyrical Poems of Robert Herrick", "d:Description": "In searchable HTML, at World Wide School. Split into several files. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/H/Herrick,_Robert/Works", "url": "http://www.worldwideschool.org/library/books/lit/poetry/LyricalPoemsofRobertHerrick/Chap0.html"} +{"d:Title": "Publication of Hiroshima in The New Yorker", "d:Description": "Article by Steve Rothman relating the reaction to the publication of Hersey's initial article in 1946.", "topic": "Top/Arts/Literature/Authors/H/Hersey,_John", "url": "http://www.herseyhiroshima.com/"} +{"d:Title": "Hermann Hesse Portal", "d:Description": "Biographical information, photo and sound galleries, book ordering. In English, German and other languages.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann", "url": "http://www.hermann-hesse.de/"} +{"d:Title": "Hermann Hesse Page", "d:Description": "Published by G\u00fcnther Gottschalk at the University of California, Santa Barbara. News in German and English, list of licensed translations, bibliographies, information for collectors.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann", "url": "http://www.gss.ucsb.edu/projects/hesse/"} +{"d:Title": "Wikipedia: Hermann Hesse", "d:Description": "Biography, with links to essays on some of his works.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann", "url": "http://en.wikipedia.org/wiki/Hermann_Hesse"} +{"d:Title": "The Nobel Prize in Literature, 1946", "d:Description": "Includes portrait, speech introducing Hermann Hesse, autobiographical sketch, bibliography, and remarks delivered at that year's banquet.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann", "url": "http://nobelprize.org/nobel_prizes/literature/laureates/1946/index.html"} +{"d:Title": "HipBone Games", "d:Description": "Includes information on playing and designing the games.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann/Magister_Ludi", "url": "http://home.earthlink.net/~hipbone/"} +{"d:Title": "The Center for Ludic Synergy", "d:Description": "Community that explores the theories and concepts of the novel and the game.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann/Magister_Ludi", "url": "http://www.ludism.org/"} +{"d:Title": "Glass Bead Game", "d:Description": "The Sacred Science Institute would like to participate in the current efforts to develop the Glass Bead Game on the Internet by providing those participating in this endeavor with the content necessary for a true rendering of ultimate conception of the game.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann/Magister_Ludi", "url": "http://www.glassbeadgame.com/GBG.htm"} +{"d:Title": "Webmagister Ludi and the Glass Bead Game", "d:Description": "Essay and opinion on the novel and its theories.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann/Magister_Ludi", "url": "http://earthportals.com/glass.html"} +{"d:Title": "The Glass Plate Game", "d:Description": "Information on the game, opinions and theories, a biography, and the legend of the game.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann/Magister_Ludi", "url": "http://members.peak.org/~caploc/"} +{"d:Title": "Literature Network: Siddhartha", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/H/Hesse,_Hermann/Siddhartha", "url": "http://www.online-literature.com/hesse/siddhartha/"} +{"d:Title": "Georgette Heyer", "d:Description": "Dedicated to the author who created the Regency romance and also wrote detective fiction, with extracts from her books, information about related topics and interesting tidbits culled from the novels.", "topic": "Top/Arts/Literature/Authors/H/Heyer,_Georgette", "url": "http://www.georgette-heyer.com/"} +{"d:Title": "Georgette Heyer Mailing List Companion", "d:Description": "A resource for the Heyer discussion list. Includes notes and queries on her books and background material on the Regency world of her best-known novels.", "topic": "Top/Arts/Literature/Authors/H/Heyer,_Georgette", "url": "http://www.heyerlist.org/"} +{"d:Title": "almacks : The home of the Georgette Heyer list", "d:Description": "Group dedicated to the works of Georgette Heyer and the study of the Regency period.", "topic": "Top/Arts/Literature/Authors/H/Heyer,_Georgette", "url": "http://groups.yahoo.com/group/almacks/"} +{"d:Title": "Nobel e-Museum: Paul Heyse", "d:Description": "Autobiography and presentation speech for the winner of the Nobel Prize in Literature 1910.", "topic": "Top/Arts/Literature/Authors/H/Heyse,_Paul", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1910/"} +{"d:Title": "Carl Hiaasen", "d:Description": "The official website, his books, FAQ, and links.", "topic": "Top/Arts/Literature/Authors/H/Hiaasen,_Carl", "url": "http://www.carlhiaasen.com/"} +{"d:Title": "Carl Hiaasen", "d:Description": "By David Bowman. [Salon] Interview with the author after the release of \"Sick Puppy.\"", "topic": "Top/Arts/Literature/Authors/H/Hiaasen,_Carl", "url": "http://www.salon.com/2000/01/31/hiaasen_3/"} +{"d:Title": "Basket Case Weaves Tale of the Dead Rock Star", "d:Description": "By Robert Allen Papinchak. [USA Today] Review of \"Basket Case.\"", "topic": "Top/Arts/Literature/Authors/H/Hiaasen,_Carl/Reviews", "url": "http://usatoday30.usatoday.com/life/books/2002/2002-01-31-basket-case-review.htm"} +{"d:Title": "Allreaders Patricia Highsmith Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her greatest novels. Sign up as a Patricia Highsmith scholar on the site today.", "topic": "Top/Arts/Literature/Authors/H/Highsmith,_Patricia", "url": "http://allreaders.com/books/patricia-highsmith-248"} +{"d:Title": "The Austin Chronicle: The Hightower Lowdown", "d:Description": "Archive of articles arranged by date.", "topic": "Top/Arts/Literature/Authors/H/Hightower,_Jim", "url": "http://www.austinchronicle.com/authors/jim-hightower/"} +{"d:Title": "Geoffrey Hill at the Complete Review", "d:Description": "An overview of the life and works of Geoffrey Hill, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/H/Hill,_Geoffrey", "url": "http://www.complete-review.com/authors/hillg.htm"} +{"d:Title": "Lawrence Hill", "d:Description": "Personal website of author/speechwriter, containing resume, links to reviews, literary biography and contact information.", "topic": "Top/Arts/Literature/Authors/H/Hill,_Lawrence", "url": "http://www.lawrencehill.com/"} +{"d:Title": "Unofficial Tony Hillerman Homepage", "d:Description": "Bibliography, short biography, and interviews.", "topic": "Top/Arts/Literature/Authors/H/Hillerman,_Tony", "url": "http://www.umsl.edu/~smueller/"} +{"d:Title": "Danny Yee's Book Reviews: The First Eagle", "d:Description": "Review of the novel.", "topic": "Top/Arts/Literature/Authors/H/Hillerman,_Tony", "url": "http://dannyreviews.com/h/First_Eagle.html"} +{"d:Title": "American Mystery - PBS", "d:Description": "Companion site for the movies of Tony Hillerman's Navajo police novels: Skinwalkers, Coyote Waits, and A Thief of Time. Includes a section about the Navajo nation and its lands as well as Hillerman's commentaries about them and the characters he created.", "topic": "Top/Arts/Literature/Authors/H/Hillerman,_Tony", "url": "http://www.pbs.org/wgbh/mystery/american/"} +{"d:Title": "Allreaders Tony Hillerman Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his greatest novels.", "topic": "Top/Arts/Literature/Authors/H/Hillerman,_Tony", "url": "http://allreaders.com/books/tony-hillerman-421"} +{"d:Title": "Hinze, Vicki", "d:Description": "Writes fiction (suspense and romance) and non-fiction books under her name and two pen names (Victoria Barrett and Victoria Cole). Excerpts, reviews, biography, and articles on writing are presented.", "topic": "Top/Arts/Literature/Authors/H/Hinze,_Vicki", "url": "http://www.vickihinze.com/"} +{"d:Title": "Edward Hirsch", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hirsch,_Edward", "url": "http://www.poets.org/poetsorg/poet/edward-hirsch"} +{"d:Title": "Write Away", "d:Description": "Author of several non-fiction, fiction and children's books. Site contains information on her works and a biography.", "topic": "Top/Arts/Literature/Authors/H/Hitchcock,_Jayne", "url": "http://www.jahitchcock.com/"} +{"d:Title": "Allreaders Tami Hoag Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books. Sign up to be a Hoag scholar on the site.", "topic": "Top/Arts/Literature/Authors/H/Hoag,_Tami", "url": "http://allreaders.com/books/tami-hoag-118"} +{"d:Title": "The Head of Orpheus: A Russell Hoban Reference Page", "d:Description": "Reviews, commentary, biography, photos, news and quotations.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell", "url": "http://www.ocelotfactory.com/hoban"} +{"d:Title": "Riddley Walker Annotations", "d:Description": "Commentary on the novel's events, themes, and language, by chapter and subject.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell", "url": "http://www.graphesthesia.com/rw/"} +{"d:Title": "The Kraken", "d:Description": "Discussion listserver, with list archives and images.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell", "url": "http://groups.yahoo.com/group/the-kraken/"} +{"d:Title": "Juvenile Books Author of the Month: Russell Hoban", "d:Description": "Biography and descriptions of selected children's books.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell", "url": "http://www.yourlibrary.ws/childrens_webpage/e-author22001.html"} +{"d:Title": "IMDb: Russell Hoban", "d:Description": "Information on films adapted from Hoban's work.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell", "url": "http://imdb.com/name/nm0387539/"} +{"d:Title": "The Guardian: The Shaman and His Exobrain", "d:Description": "Author discusses his writing process and what constitutes \"modern myth\" in an interview with James Hopkin.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell", "url": "http://www.theguardian.com/books/2002/jan/19/fiction"} +{"d:Title": "The Guardian: Secrets of the Yellow Pages", "d:Description": "Lengthy profile of the author by Nicholas Wroe.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell", "url": "http://www.theguardian.com/books/2002/nov/23/fiction"} +{"d:Title": "SF Site: The Trokeville Way", "d:Description": "Victoria Strauss reviews this 1998 children's fantasy novel.", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell/Reviews", "url": "http://www.sfsite.com/02a/trok26.htm"} +{"d:Title": "The Observer: The Bat Tattoo", "d:Description": "Nicci Gerrard calls this 2002 novel \"exhilarating and eccentric.\"", "topic": "Top/Arts/Literature/Authors/H/Hoban,_Russell/Reviews", "url": "http://www.theguardian.com/books/2002/nov/03/fiction.features1"} +{"d:Title": "Jakob van Hoddis (1887-1942)", "d:Description": "Short biography, literature and a poem in translation.", "topic": "Top/Arts/Literature/Authors/H/Hoddis,_Jakob_van", "url": "http://www.jbeilharz.de/expr/hoddis-e.html"} +{"d:Title": "Alice Hoffman", "d:Description": "Official web site for the author Alice Hoffman.", "topic": "Top/Arts/Literature/Authors/H/Hoffman,_Alice", "url": "http://www.alicehoffman.com/"} +{"d:Title": "Alice Hoffman", "d:Description": "Several short reviews of Local Girls and The River King.", "topic": "Top/Arts/Literature/Authors/H/Hoffman,_Alice", "url": "https://www.bookbrowse.com/biographies/index.cfm?author_number=366"} +{"d:Title": "Allreaders Alice Hoffman Spotlight", "d:Description": "An analysis of the plot, setting, characters, theme, and structure of her greatest novels, and links to similar works by other novelists.", "topic": "Top/Arts/Literature/Authors/H/Hoffman,_Alice", "url": "http://allreaders.com/books/alice-hoffman-955"} +{"d:Title": "Daniel Hoffman", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hoffman,_Daniel", "url": "http://www.poets.org/poetsorg/poet/daniel-hoffman"} +{"d:Title": "Hugo von Hofmannsthal Resource Center", "d:Description": "Links to biographical material, photos, primary and secondary literature and bibliography.", "topic": "Top/Arts/Literature/Authors/H/Hofmannsthal,_Hugo_von", "url": "http://polyglot.lss.wisc.edu/german/homes/krause/hofmannsthal/"} +{"d:Title": "Poems by Hugo von Hofmannsthal", "d:Description": "Small selection of poems and prose poems in English translation, with notes.", "topic": "Top/Arts/Literature/Authors/H/Hofmannsthal,_Hugo_von", "url": "http://www.alb-neckar-schwarzwald.de/poetas/hofmannsthal/"} +{"d:Title": "Four Poems by Hugo von Hofmannsthal", "d:Description": "German originals and English translation by Johannes Beilharz.", "topic": "Top/Arts/Literature/Authors/H/Hofmannsthal,_Hugo_von", "url": "http://www.adirondackreview.homestead.com/transhofmannsthal.html"} +{"d:Title": "Homoeroticism in the Conflict between George and Hofmannsthal", "d:Description": "Scholarly article by Nancy Thuleen on the relationship between Stefan George and Hugo von Hofmannsthal as expressed in their writings.", "topic": "Top/Arts/Literature/Authors/H/Hofmannsthal,_Hugo_von", "url": "http://www.nthuleen.com/papers/711George.html"} +{"d:Title": "Friedrich H\u00f6lderlin", "d:Description": "Biographical essay on the German lyric poet, with links to related topics.", "topic": "Top/Arts/Literature/Authors/H/H\u00f6lderlin,_Friedrich", "url": "http://en.wikipedia.org/wiki/Friedrich_H%C3%B6lderlin"} +{"d:Title": "Encyclop\u00e6dia Britannica: Holderlin, Johann Christian Friedrich", "d:Description": "Biographical entry in the 11th edition (1911). Some scanner errors.", "topic": "Top/Arts/Literature/Authors/H/H\u00f6lderlin,_Friedrich", "url": "http://encyclopedia.jrank.org/HIG_HOR/HOLDERLIN_JOHANN_CHRISTIAN_FRIE.html"} +{"d:Title": "Internet Encyclopedia of Philosophy: H\u00f6lderlin, Johann Christian Friedrich", "d:Description": "Examines the poet's role in the development of German Idealism.", "topic": "Top/Arts/Literature/Authors/H/H\u00f6lderlin,_Friedrich", "url": "http://www.iep.utm.edu/holderli/"} +{"d:Title": "Poems by Friedrich H\u00f6lderlin", "d:Description": "Small selection of poems translated by David Constantine.", "topic": "Top/Arts/Literature/Authors/H/H\u00f6lderlin,_Friedrich/Poetry", "url": "http://www.alb-neckar-schwarzwald.de/hoelderlin/fh.html"} +{"d:Title": "Friedrich H\u00f6lderlin", "d:Description": "Two poems translated by Johannes Beilharz, and a portrait.", "topic": "Top/Arts/Literature/Authors/H/H\u00f6lderlin,_Friedrich/Poetry", "url": "http://www.lettere.de/poetas/hoelderlin/"} +{"d:Title": "H\u00f6lderlin's Poems in English Translations", "d:Description": "German and English in parallel columns. \"Da ich ein Knabe war\" and \"H\u00e4lfte des Lebens\" translated by Michael Hamburger and Richard Sieburth, respectively.", "topic": "Top/Arts/Literature/Authors/H/H\u00f6lderlin,_Friedrich/Poetry", "url": "http://www.xray.mpe.mpg.de/~hbrunner/hoelder.html"} +{"d:Title": "Two Poems by Friedrich H\u00f6lderlin", "d:Description": "\"Der Ister\" and \"Die Titanen,\" translated into English by Maxine Chernoff and Paul Hoover.", "topic": "Top/Arts/Literature/Authors/H/H\u00f6lderlin,_Friedrich/Poetry", "url": "http://jacketmagazine.com/27/hold-trans-2.html"} +{"d:Title": "John Hollander", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hollander,_John", "url": "http://www.poets.org/poetsorg/poet/john-hollander"} +{"d:Title": "Swan and Shadow", "d:Description": "E-text of the poem.", "topic": "Top/Arts/Literature/Authors/H/Hollander,_John/Works", "url": "http://www.naic.edu/~gibson/poems/hollander1.html"} +{"d:Title": "Review of Anselm Hollos \"Corvus\"", "d:Description": "By Robert Bov\u00e9.", "topic": "Top/Arts/Literature/Authors/H/Hollo,_Anselm", "url": "http://www.thing.net/~grist/bove/hollorev.htm"} +{"d:Title": "Miroslav Holub at the Complete Review", "d:Description": "An overview of the life and works with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/H/Holub,_Miroslav", "url": "http://www.complete-review.com/authors/holubm.htm"} +{"d:Title": "Oberlin College Press", "d:Description": "Selection of the contemporary Czech poet in English translation.", "topic": "Top/Arts/Literature/Authors/H/Holub,_Miroslav/Poetry", "url": "http://www.oberlin.edu/ocpress/Books/Holub_Intensive.htm"} +{"d:Title": "Garrett Hongo", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hongo,_Garrett", "url": "http://www.poets.org/poetsorg/poet/garrett-hongo"} +{"d:Title": "Bell hooks", "d:Description": "Encyclopedia-style article on the African American intellectual.", "topic": "Top/Arts/Literature/Authors/H/Hooks,_Bell", "url": "http://en.wikipedia.org/wiki/Bell_hooks"} +{"d:Title": "Gerard Manley Hopkins", "d:Description": "Extensive scholarly resources on Hopkins and his writings at the Victorian Web.", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley", "url": "http://www.victorianweb.org/authors/hopkins"} +{"d:Title": "Poems", "d:Description": "Bartleby.com's online publication of the classic 1918 edition of G.M. Hopkins' Poems.", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley/Works", "url": "http://www.bartleby.com/122/"} +{"d:Title": "The Habit of Perfection", "d:Description": "Poem included in The Oxford Book of English Mystical Verse.", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley/Works", "url": "http://www.bartleby.com/236/193.html"} +{"d:Title": "God's Grandeur", "d:Description": "One of Hopkins' most celebrated poems. In The Oxford Book of English Mystical Verse.", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley/Works", "url": "http://www.bartleby.com/236/194.html"} +{"d:Title": "Mary Mother of Divine Grace, compared to the Air we breathe", "d:Description": "Poem, in The Oxford Book of English Mystical Verse.", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley/Works", "url": "http://www.bartleby.com/236/195.html"} +{"d:Title": "Gerard Manley Hopkins", "d:Description": "A small selection of poems.", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley/Works", "url": "http://www.the-ridges.net/hop.html"} +{"d:Title": "Gerard Manley Hopkins", "d:Description": "A selection of poems at Sonnet Central.", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley/Works", "url": "http://www.sonnets.org/hopkins.htm"} +{"d:Title": "Web Concordance - Gerard Manley Hopkins - Poems (1918)", "d:Description": "Online concordance from the University of Dundee.", "priority": "1", "topic": "Top/Arts/Literature/Authors/H/Hopkins,_Gerard_Manley/Works", "url": "http://www.concordancesoftware.co.uk/webconcordances/gmh/framconc.htm"} +{"d:Title": "Links Related To William Horwood's Books", "d:Description": "A collection of links and material related, directly and indirectly, to the novels by William Horwood.", "topic": "Top/Arts/Literature/Authors/H/Horwood,_William", "url": "http://www.williamhorwood.net/"} +{"d:Title": "William Horwood Bibliography", "d:Description": "A bibliography of William Horwood's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/H/Horwood,_William", "url": "https://www.fantasticfiction.com/h/william-horwood/"} +{"d:Title": "The Duncton Wood Series", "d:Description": "Detailed analysis and comment on the series.", "topic": "Top/Arts/Literature/Authors/H/Horwood,_William/Duncton_Wood_Series", "url": "http://organicfamily.com/duncton/"} +{"d:Title": "January Magazine - Platform", "d:Description": "Novel by Houellebecq reviewed by Pedro Blas Gonzalez", "topic": "Top/Arts/Literature/Authors/H/Houellebecq,_Michel", "url": "http://januarymagazine.com/fiction/platform.html"} +{"d:Title": "A Shropshire Lad", "d:Description": "Bartleby.com's online publication of the classic 1896 edition of A. E. Housman's \"A Shropshire Lad\".", "topic": "Top/Arts/Literature/Authors/H/Housman,_A._E.", "url": "http://www.bartleby.com/123"} +{"d:Title": "Poetry Archive: A.E. Housman", "d:Description": "Selected poems.", "topic": "Top/Arts/Literature/Authors/H/Housman,_A._E.", "url": "http://www.poetry-archive.com/h/housman_alfred_edward.html"} +{"d:Title": "Marion's Joan Hall Hovey Site", "d:Description": "Brief biography, photos and reviews.", "topic": "Top/Arts/Literature/Authors/H/Hovey,_Joan_Hall", "url": "http://joanhallhovey.tripod.com/marionsjhhoveysite/"} +{"d:Title": "Joan Hall Hovey", "d:Description": "The author's own homepage. Biography, news, excerpts, book descriptions, and a note to aspiring writers.", "topic": "Top/Arts/Literature/Authors/H/Hovey,_Joan_Hall", "url": "http://www.angelfire.com/ca3/joanhallhovey/index.htm"} +{"d:Title": "Henry Howard, Earl of Surrey (1517-1547)", "d:Description": "Webpages devoted to Howard and his works at luminarium.org.", "topic": "Top/Arts/Literature/Authors/H/Howard,_Henry", "url": "http://www.luminarium.org/renlit/henry.htm"} +{"d:Title": "Allreader Linda Howard Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of her books, plus links to similar books. Sign up to be a Linda Howard scholar on the site.", "topic": "Top/Arts/Literature/Authors/H/Howard,_Linda", "url": "http://allreaders.com/books/linda-howard-563"} +{"d:Title": "Richard Howard", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Howard,_Richard", "url": "http://www.poets.org/poetsorg/poet/richard-howard"} +{"d:Title": "Only One Way to Go", "d:Description": "Linda L. Richards reviews \"The High Flyer.\" [January Magazine]", "topic": "Top/Arts/Literature/Authors/H/Howatch,_Susan", "url": "http://www.januarymagazine.com/fiction/highflyer.html"} +{"d:Title": "howatch", "d:Description": "Discussion forum and mailing list.", "topic": "Top/Arts/Literature/Authors/H/Howatch,_Susan", "url": "http://groups.yahoo.com/group/howatch/"} +{"d:Title": "IMDb: Susan Howatch", "d:Description": "Her film and television credits.", "topic": "Top/Arts/Literature/Authors/H/Howatch,_Susan", "url": "http://www.imdb.com/name/nm1292754/"} +{"d:Title": "Reading Group Guide: Susan Howatch", "d:Description": "Includes bibliography and an interview with the author regarding her book, \"The Wonder Worker.\"", "topic": "Top/Arts/Literature/Authors/H/Howatch,_Susan", "url": "http://www.readinggroupguides.com/reviews/the-wonder-worker"} +{"d:Title": "Susan Howe's My Emily Dickinson", "d:Description": "Excerpts from the book.", "topic": "Top/Arts/Literature/Authors/H/Howe,_Susan", "url": "http://www.writing.upenn.edu/~afilreis/88/my-emily.html"} +{"d:Title": "EPC: Susan Howe", "d:Description": "Includes the author's works in RealAudio format for downloading and the author's syllabi.", "topic": "Top/Arts/Literature/Authors/H/Howe,_Susan", "url": "http://epc.buffalo.edu/authors/howe/"} +{"d:Title": "William Weaver Howell", "d:Description": "William was a journalist, teacher and farmer in Northern Ontario from 1904 through 1970. Includes several online texts by the author, plus photographs.", "topic": "Top/Arts/Literature/Authors/H/Howell,_William_Weaver", "url": "http://webhome.idirect.com/~showell"} +{"d:Title": "William Dean Howells (1837-1920)", "d:Description": "Includes works by Howells and links to biographical material.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean", "url": "http://www.eldritchpress.org/wdh/howells.html"} +{"d:Title": "William Dean Howells, 1837-1920", "d:Description": "Bibliography and links.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean", "url": "http://www.wsu.edu/~campbelld/amlit/howells.htm"} +{"d:Title": "The William Dean Howells Society", "d:Description": "\"Disseminates information on the life and works\" of Howells and \"facilitates the exchange of facts, ideas, and texts concerning Howells and those authors significantly associated with him.\"", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean", "url": "http://howellssociety.wordpress.com/"} +{"d:Title": "William Dean Howells (1837-1920)", "d:Description": "Teaching guide to Howells, including major themes, historical perspectives, and significance of form and original audience.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/howells.html"} +{"d:Title": "An East-Side Ramble", "d:Description": "Full text of this article published in Howell's collection Impressions and Experiences (New York: Harpers and Brothers, 1896): 127-149.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://tenant.net/Community/LES/howells1.html"} +{"d:Title": "Page By Page Books: The Man of Letters as a Man of Business", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://www.pagebypagebooks.com/William_Dean_Howells/The_Man_of_Letters_as_a_Man_of_Business/"} +{"d:Title": "Page By Page Books: Henry James, Jr.", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://www.pagebypagebooks.com/William_Dean_Howells/Henry_James_Jr/Henry_James_Jr_p1.html"} +{"d:Title": "Page By Page Books: Emile Zola", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://www.pagebypagebooks.com/William_Dean_Howells/Emile_Zola/"} +{"d:Title": "William Dean Howells: Poems", "d:Description": "The Bewildered Guest; Dead; Friends and Foes; From Generation to Generation; If; Living; Tomorrow; What Shall it Profit?", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://www.poetry-archive.com/h/howells_william_dean.html"} +{"d:Title": "An Imperative Duty", "d:Description": "A version of the 1891 work by Howells.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://www.wsu.edu/~campbelld/wdh/imd.html"} +{"d:Title": "My Literary Passions", "d:Description": "Etext at Free Online Library, divided into chapters.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://howells.thefreelibrary.com/"} +{"d:Title": "Poems", "d:Description": "Howells's 1873 edition.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=aca9171"} +{"d:Title": "Howells Reviews Tom Sawyer", "d:Description": "Book review which appeared in \"The Atlantic Monthly\" in May 1876.", "topic": "Top/Arts/Literature/Authors/H/Howells,_William_Dean/Works", "url": "http://twain.lib.virginia.edu/tomsawye/atlantts.html"} +{"d:Title": "Poems by Richard Huelsenbeck", "d:Description": "A few poems from \"Fantastic Prayers\" (1916) by German dada poet Richard Huelsenbeck in English translation. Illustrations by George Grosz.", "topic": "Top/Arts/Literature/Authors/H/Huelsenbeck,_Richard", "url": "http://www.jbeilharz.de/huelsenbeck/rh_poems.html"} +{"d:Title": "Langston Hughes", "d:Description": "Langston Hughes biography and poetry. A jazz website.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston", "url": "http://www.redhotjazz.com/hughes.html"} +{"d:Title": "The Stranger Redeemed: A Portrait of a Black Poet", "d:Description": "Insights on Hughes and his writing.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston", "url": "http://www.yale.edu/ynhti/curriculum/units/1979/1/79.01.03.x.html"} +{"d:Title": "Langston Hughes: My Hero", "d:Description": "A short article by Jeff Trussell on African-American poet, playwright and author Langston Hughes.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston", "url": "http://myhero.com/poets/hughes.asp"} +{"d:Title": "Lesson Plan: Langston Hughes", "d:Description": "Mini-unit introduces fifth- and sixth-graders to the African-American writer.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston", "url": "http://teacherlink.ed.usu.edu/tlresources/units/Byrnes-famous/hughes.html"} +{"d:Title": "The Pragmatist Aesthetic and Langston Hughes", "d:Description": "A discussion by Patrick Paul Christle of the ways in which Hughes's writing reflects some of the aesthetic theories of pragmatists, such as John Dewey.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston", "url": "http://christle.freeshell.org/essays/hughes.pdf"} +{"d:Title": "Hughes Biography", "d:Description": "Contains a biography and photographs.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston", "url": "http://www.kansasheritage.org/crossingboundaries/page6e1.html"} +{"d:Title": "Langston Hughes", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston", "url": "http://www.poets.org/poetsorg/poet/richard-howard"} +{"d:Title": "Langston Hughes", "d:Description": "Selected poems by Hughes at the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Langston/Works", "url": "http://www.poets.org/poetsorg/poet/langston-hughes"} +{"d:Title": "Ted Hughes: A Talented Murderer", "d:Description": "Article by Robert Wood from 1Lit ezine.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Ted", "url": "http://1lit.tripod.com/june2001.html"} +{"d:Title": "The Ted Hughes Homepage", "d:Description": "Index and links to discussion and analysis of Ted Hughes' work.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Ted", "url": "http://ann.skea.com/THHome.htm"} +{"d:Title": "Earth-Moon: A Ted Hughes Website", "d:Description": "Biographical and bibliographical information on the British author, also includes essays, reviews, and news.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Ted", "url": "http://www.earth-moon.org/"} +{"d:Title": "Crow's Account of the Battle", "d:Description": "HTML version.", "topic": "Top/Arts/Literature/Authors/H/Hughes,_Ted/Works", "url": "http://www.afn.org/~afn30346/hughes/crows_account_of_the_battle.html"} +{"d:Title": "TheatreHistory.com", "d:Description": "Biography of Victor Hugo.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor", "url": "http://www.theatrehistory.com/french/hugo001.html"} +{"d:Title": "Victor Hugo (1802-1885)", "d:Description": "Biography of the French poet, playwright, and novelist.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor", "url": "http://www.imagi-nation.com/moonstruck/clsc90.html"} +{"d:Title": "GBarto.com: The Hugo Pages", "d:Description": "Poems in French, English translations, and essays on Victor Hugo's political life. [May not work with all browsers.]", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor", "url": "http://www.gbarto.com/hugo/index.html"} +{"d:Title": "Victor Hugo Central", "d:Description": "English translations of Hugo's poetry, fiction and essays; contemporary and modern reviews of his work; biographical and related information.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor", "url": "http://www.gavroche.org/vhugo"} +{"d:Title": "Free Online Library - Victor Hugo", "d:Description": "Biographical sketch, and online text of Notre-Dame de Paris in English (divided into chapters).", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor", "url": "http://hugo.thefreelibrary.com/"} +{"d:Title": "Notre-Dame de Paris - Bartleby.com", "d:Description": "Online text and critical essays.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor/Works", "url": "http://www.bartleby.com/312/"} +{"d:Title": "Classic Bookshelf", "d:Description": "Les Miserables free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor/Works", "url": "http://www.classicbookshelf.com/library/Hugo/"} +{"d:Title": "Poetry Archive: Victor Hugo", "d:Description": "Selection of poems.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor/Works", "url": "http://www.poetry-archive.com/h/hugo_victor.html"} +{"d:Title": "Literature Network: Victor Hugo", "d:Description": "Online texts of Les Miserables and The Hunchback of Notre Dame.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor/Works", "url": "http://www.online-literature.com/victor_hugo/"} +{"d:Title": "Les Miserables According to a Bonapartist", "d:Description": "Summary, character information, photos from the musical, fan fiction, surveys, recording information.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor/Works", "url": "http://gavrochet.tripod.com/"} +{"d:Title": "Allreaders Victor Hugo Spotlight", "d:Description": "Reviews of Hugo novels with discussion forum.", "topic": "Top/Arts/Literature/Authors/H/Hugo,_Victor/Works", "url": "http://allreaders.com/books/victor-hugo-341"} +{"d:Title": "Poems by Vicente Huidobro in English translation", "d:Description": "Small selection of translated poems.", "topic": "Top/Arts/Literature/Authors/H/Huidobro,_Vicente", "url": "http://www.jbeilharz.de/huidobro/huidobro-e.html"} +{"d:Title": "Sonnet Central: Leigh Hunt", "d:Description": "A selection of six sonnets.", "topic": "Top/Arts/Literature/Authors/H/Hunt,_Leigh", "url": "http://www.sonnets.org/hunt.htm"} +{"d:Title": "Aldous Huxley - Somaweb.org", "d:Description": "Biography, bibliography, articles, essays, discussion forum and other links.", "topic": "Top/Arts/Literature/Authors/H/Huxley,_Aldous", "url": "http://somaweb.org/"} +{"d:Title": "American Legends: Aldous Huxley--L.A.Writer", "d:Description": "An original essay written by Ron Martinetti based on an interview with Laura Huxley.", "topic": "Top/Arts/Literature/Authors/H/Huxley,_Aldous", "url": "http://www.americanlegends.com/authors/aldous_huxley.html"} +{"d:Title": "The Doors of Perception", "d:Description": "Complete text.", "topic": "Top/Arts/Literature/Authors/H/Huxley,_Aldous/Works", "url": "http://www.mescaline.com/huxley.htm"} +{"d:Title": "Aldous Huxley: Brave New World", "d:Description": "Analysis of the book and a breakdown of its social themes in defense of paradise-engineering.", "topic": "Top/Arts/Literature/Authors/H/Huxley,_Aldous/Works/Brave_New_World", "url": "http://www.huxley.net/"} +{"d:Title": "Crome Yellow", "d:Description": "Chapter-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/H/Huxley,_Aldous/Works/Crome_Yellow", "url": "http://www.pagebypagebooks.com/Aldous_Huxley/Crome_Yellow/"} +{"d:Title": "Literature Network: Crome Yellow", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/Authors/H/Huxley,_Aldous/Works/Crome_Yellow", "url": "http://www.online-literature.com/aldous_huxley/crome_yellow/"} +{"d:Title": "Horror Writer's Association", "d:Description": "A forum to bring writers and others with a professional interest in horror together and to foster a greater appreciation of dark fiction in general.", "topic": "Top/Arts/Literature/Authors/Horror", "url": "http://www.horror.org/"} +{"d:Title": "Andersen, R. Brandon", "d:Description": "Advice and resources for aspiring writers and self-publishers from the author of \"Mantrap\".", "topic": "Top/Arts/Literature/Authors/Horror/A", "url": "http://brandonandersen.com/"} +{"d:Title": "J. Armstead's Nocturnes", "d:Description": "Horror-suspense author Joseph Armstead's site for news of books, stories and new projects.", "topic": "Top/Arts/Literature/Authors/Horror/A", "url": "http://www.freewebs.com/jarmsteadsnocturnes/index.htm"} +{"d:Title": "Clint Adams", "d:Description": "Stories About Facing Fear is a collection of five inspiring, contemporary novels for adults and teens authored by Clint Adams.", "topic": "Top/Arts/Literature/Authors/Horror/A", "url": "http://www.clintadams.com/"} +{"d:Title": "Ema Arius", "d:Description": "Promotes the horror novels of author Ema Arius.", "topic": "Top/Arts/Literature/Authors/Horror/A", "url": "http://www.emaarius.com/"} +{"d:Title": "Brannon, Jason - Composition Decomposition", "d:Description": "Author's home page.", "topic": "Top/Arts/Literature/Authors/Horror/B", "url": "http://www.angelfire.com/rant/puzzles/"} +{"d:Title": "Lost Souls", "d:Description": "Fannish site featuring news about the author and his work, interviews (including some in Real Audio format), and other materials.", "topic": "Top/Arts/Literature/Authors/Horror/B/Barker,_Clive", "url": "http://www.clivebarker.com/"} +{"d:Title": "Clive Barker's Lord of Illusions", "d:Description": "Review and synopsis.", "topic": "Top/Arts/Literature/Authors/Horror/B/Barker,_Clive", "url": "http://www.angelfire.com/nb/djinn1928/lord.html"} +{"d:Title": "Interview: Clive Barker", "d:Description": "From January Magazine", "topic": "Top/Arts/Literature/Authors/Horror/B/Barker,_Clive", "url": "http://www.januarymagazine.com/barker.html"} +{"d:Title": "The Lament Configuration Webring", "d:Description": "Webring of pages devoted to Clive Barker's novels, films, short stories, plays, and art.", "topic": "Top/Arts/Literature/Authors/Horror/B/Barker,_Clive", "url": "http://www.netjaunt.com/CliveZone/lament.html"} +{"d:Title": "Yahoo Groups: Clive Barker Fan Club", "d:Description": "Discussion forum for Clive Barker readers.", "topic": "Top/Arts/Literature/Authors/Horror/B/Barker,_Clive", "url": "http://groups.yahoo.com/group/clivebarkerfanclub/"} +{"d:Title": "Clive Barker: Revelations", "d:Description": "Official site includes a full bibliography, filmography and news.", "topic": "Top/Arts/Literature/Authors/Horror/B/Barker,_Clive", "url": "http://www.clivebarker.info/"} +{"d:Title": "Gary A Braunbeck Bibliography", "d:Description": "A bibliography of Gary A Braunbeck's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/B/Braunbeck,_Gary_A.", "url": "https://www.fantasticfiction.com/b/gary-a-braunbeck/"} +{"d:Title": "Brenchley, Chaz - Northern Gothic", "d:Description": "Official website. Contains information such as biography, interview, bibliography, news and links.", "topic": "Top/Arts/Literature/Authors/Horror/B/Brenchley,_Chaz", "url": "http://www.chazbrenchley.co.uk/"} +{"d:Title": "Chaz Brenchley Bibliography", "d:Description": "A bibliography of Chaz Brenchley's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/B/Brenchley,_Chaz", "url": "https://www.fantasticfiction.com/b/chaz-brenchley/"} +{"d:Title": "SspiderwebzZ...Poppy Z Brite", "d:Description": "Fan tribute page.", "topic": "Top/Arts/Literature/Authors/Horror/B/Brite,_Poppy_Z.", "url": "http://www.angelfire.com/nc/SspiderwebzZ/poppyzbrite.html"} +{"d:Title": "Poppy Z. Brite home page", "d:Description": "The official home page for author Poppy Z. Brite", "topic": "Top/Arts/Literature/Authors/Horror/B/Brite,_Poppy_Z.", "url": "http://www.poppyzbrite.com/"} +{"d:Title": "Catanzarita, Holly", "d:Description": "An excerpt from 'Dreamkeeper', as well as a biography, bibliography, and list of links.", "topic": "Top/Arts/Literature/Authors/Horror/C", "url": "http://www.angelfire.com/ga3/write2read/"} +{"d:Title": "Stuart Conover", "d:Description": "Author page with book listings, writing advice, interviews.", "topic": "Top/Arts/Literature/Authors/Horror/C", "url": "http://stuartconover.com/"} +{"d:Title": "Ramsey Campbell Bibliography", "d:Description": "List of books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/C/Campbell,_Ramsey", "url": "https://www.fantasticfiction.com/c/ramsey-campbell/"} +{"d:Title": "Douglas Clegg's Labyrinth", "d:Description": "Official website for Douglas Clegg", "topic": "Top/Arts/Literature/Authors/Horror/C/Clegg,_Douglas", "url": "http://www.douglasclegg.com/"} +{"d:Title": "Douglas Clegg Bibliography", "d:Description": "A bibliography of Douglas Clegg's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/C/Clegg,_Douglas", "url": "https://www.fantasticfiction.com/c/douglas-clegg/"} +{"d:Title": "Nancy A Collins Bibliography", "d:Description": "A bibliography of Nancy A Collins's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/C/Collins,_Nancy_A.", "url": "https://www.fantasticfiction.com/c/nancy-a-collins/"} +{"d:Title": "Storm Constantine Bibliography", "d:Description": "A bibliography of Storm Constantine's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/C/Constantine,_Storm", "url": "https://www.fantasticfiction.com/c/storm-constantine/"} +{"d:Title": "Douglass, Heather : Blogs You Can Fit In A Pocket", "d:Description": "Information on the UK writer with links to free e-books.", "topic": "Top/Arts/Literature/Authors/Horror/D", "url": "http://heatherdouglass.livejournal.com/"} +{"d:Title": "Cara Faith Donovan", "d:Description": "The official site for Tears of Crimson. Author Cara Donovan.", "topic": "Top/Arts/Literature/Authors/Horror/D", "url": "http://carafaithdonovan.wix.com/tearsofcrimson"} +{"d:Title": "Elrod, P. N.", "d:Description": "Vampire writer's site. Includes short stories, a writing FAQ and information about her published and up coming books.", "topic": "Top/Arts/Literature/Authors/Horror/E", "url": "http://www.vampwriter.com/"} +{"d:Title": "Ferrario, Keith", "d:Description": "Horror novels written by and information about the author of Deadly Friend and Dark Carnival.", "topic": "Top/Arts/Literature/Authors/Horror/F", "url": "http://www.keithferrario.com/"} +{"d:Title": "Fuller, Andrew S.", "d:Description": "The official web site of the horror writer, featuring original fiction, recommended readings, links, and photos.", "topic": "Top/Arts/Literature/Authors/Horror/F", "url": "http://www.andrewsfuller.com/"} +{"d:Title": "Cynthia Fridsma", "d:Description": "Official website of Cynthia Fridsma, the author of Hotel of Death: the chosen one.", "topic": "Top/Arts/Literature/Authors/Horror/F", "url": "http://www.cynthiafridsma.com/"} +{"d:Title": "Yahoo! Groups : BrianFreeman", "d:Description": "This is a monthly newsletter for anyone interested in Brian Freeman's writing, editing, and online publicity projects.", "topic": "Top/Arts/Literature/Authors/Horror/F/Freeman,_Brian", "url": "http://groups.yahoo.com/group/BrianFreeman/"} +{"d:Title": "Brian James Freeman", "d:Description": "Official website.", "topic": "Top/Arts/Literature/Authors/Horror/F/Freeman,_Brian", "url": "http://www.brianjamesfreeman.com/"} +{"d:Title": "Gosselin, Annie and Mahedy, Ron: 2 Esprits/Spirits", "d:Description": "Two imaginative writers collaborate.", "topic": "Top/Arts/Literature/Authors/Horror/G", "url": "http://2espritsspirits.blogspot.ca/"} +{"d:Title": "Gerritsen, Tess - Official Web Site", "d:Description": "Official web site. Contains up-to-date news on author, book tour, notable quotes and biography. Book excerpts for Life Support, Harvest, Gravity, Bloodstream.", "topic": "Top/Arts/Literature/Authors/Horror/G/Gerritsen,_Tess", "url": "http://www.tessgerritsen.com/"} +{"d:Title": "Tess Gerritsen Bibliography", "d:Description": "A bibliography of Tess Gerritsen's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/G/Gerritsen,_Tess", "url": "https://www.fantasticfiction.com/g/tess-gerritsen/"} +{"d:Title": "Harvey, John D.", "d:Description": "Official site of the horror author. Site includes short stories, poetry, and downloadable novels.", "topic": "Top/Arts/Literature/Authors/Horror/H", "url": "http://www.johndharvey.com/"} +{"d:Title": "Laurell K. Hamilton Forums", "d:Description": "Fan discussion about this author and others who write in the paranormal, especially vampire, genre.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K.", "url": "http://forum.laurellkhamilton.org/"} +{"d:Title": "The Anita Blake WebRing", "d:Description": "A webring for the Anita Blake: Vampire Hunter book series by Hamilton. Contains over 50 links to sites related to Anita Blake.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K.", "url": "http://www.webring.org/hub?ring=anitablake"} +{"d:Title": "Laurell K Hamilton Bibliography", "d:Description": "A bibliography of Laurell K Hamilton's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K.", "url": "https://www.fantasticfiction.com/h/laurell-k-hamilton/"} +{"d:Title": "Zombie Queen's Anita Blake website", "d:Description": "A website devoted to Laurell K. Hamilton's Anita Blake series. Includes a FAQ, bibliography, list of characters, and quotes.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K./Fan_Pages", "url": "http://www.angelfire.com/ca5/zombiequeen/index.html"} +{"d:Title": "Full Dark - An Anita Blake Fan Site", "d:Description": "Includes fan fiction, quotes, and basic information about the series. Owner: Detective Simms.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K./Fan_Pages", "url": "http://www.glitterkitty.net/cloudy/fulldark"} +{"d:Title": "Anitaverse Shifters", "d:Description": "Fan site dedicated to the shifter world of Laurell K. Hamilton's Anita Blake Vampire Hunter series.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K./Fan_Pages", "url": "http://www.angelfire.com/realm/xandi/Shifters.html"} +{"d:Title": "Worlds Apart", "d:Description": "A selection of Anita Blake fan fiction and art, character galleries, and links. Take part in the ongoing writing project. Various book reviews and writing resources.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K./Fan_Pages", "url": "http://www.angelfire.com/weird2/worldsapart/entrance.html"} +{"d:Title": "Laurell K. Hamilton", "d:Description": "Official site of the club includes news and reviews.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K./Fan_Pages", "url": "http://www.laurellkhamilton.com/"} +{"d:Title": "Bookworm's Lair: Laurell K. Hamilton", "d:Description": "Complete bibliography and reviews of selected novels by the author. Available in English and German.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K./Reviews", "url": "http://www.bookwormslair.de/hamilton_laurell_k_e.htm"} +{"d:Title": "Midnight Bay", "d:Description": "Fantasy RPG based on the Anita Blake universe.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hamilton,_Laurell_K./Role_Playing_Games", "url": "http://www.angelfire.com/on/midnightbay/index.html"} +{"d:Title": "Tangled Web UK Review", "d:Description": "Review of 'Hannibal' by Val McDermid. (June 1999)", "topic": "Top/Arts/Literature/Authors/Horror/H/Harris,_Thomas", "url": "http://www.twbooks.co.uk/reviews/vmcdermid/hannibalhbkvm.html"} +{"d:Title": "Thomas Harris Official Web Site", "d:Description": "Official site from Harris' publisher, Random House.", "topic": "Top/Arts/Literature/Authors/Horror/H/Harris,_Thomas", "url": "http://www.randomhouse.com/features/thomasharris/"} +{"d:Title": "Thomas Harris Bibliography", "d:Description": "A bibliography of Thomas Harris's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/H/Harris,_Thomas", "url": "https://www.fantasticfiction.com/h/thomas-harris/"} +{"d:Title": "Hannibal Lecter in Florence", "d:Description": "Hannibal Lecter in Florence", "topic": "Top/Arts/Literature/Authors/Horror/H/Harris,_Thomas/Hannibal_Lecter_Series", "url": "http://www.terraditoscana.com/hannibal/index-fl.html"} +{"d:Title": "James Herbert", "d:Description": "Fan based site giving chronological reviews of the authors works.", "topic": "Top/Arts/Literature/Authors/Horror/H/Herbert,_James", "url": "http://www.squirble.mistral.co.uk/"} +{"d:Title": "Brian Hodge Bibliography", "d:Description": "A bibliography of Brian Hodge's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/H/Hodge,_Brian", "url": "https://www.fantasticfiction.com/h/brian-hodge/"} +{"d:Title": "Jack Ketchum Bibliography", "d:Description": "A bibliography of Jack Ketchum's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/K/Ketchum,_Jack", "url": "https://www.fantasticfiction.com/k/jack-ketchum/"} +{"d:Title": "Wikipedia - Caitl\u00edn R. Kiernan", "d:Description": "Article provides brief biography, music, awards and bibliography for the author of numerous science fiction and dark fantasy works.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://en.wikipedia.org/wiki/Caitlin_R._Kiernan"} +{"d:Title": "Mericale, Scheheraz'Odd&Touchshriek, Inc.", "d:Description": "Features journal entries by the author.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://greygirlbeast.livejournal.com/"} +{"d:Title": "VanderWorld - Caitlin R. Kirenan Walks the Plank", "d:Description": "Weblog entry discusses \"Alabaster\" and includes a brief interview with the author.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://vanderworld.blogspot.com/2006/04/caitlin-r-kiernan-walks-plank.html"} +{"d:Title": "Bookslut - An Interview With Caitlin R. Kiernan", "d:Description": "Provides November 2004 interview with the author.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://www.bookslut.com/features/2004_11_003472.php/"} +{"d:Title": "Pulp Culture - An Interview With Caitl\u00edn R. Kiernan", "d:Description": "Interview discussing the authors works \"Silk\" and \"The Dreaming\".", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://home.hiwaay.net/~tfharris/pulpculture/columns/991114.shtml"} +{"d:Title": "Ink 19 - Caitlin R. Kiernan", "d:Description": "Dark fantasy writer and paleontologist Caitlin R. Kiernan explores the pain and wonder of modern fiction with James Mann.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://www.ink19.com/issues/march2002/interviews/caitlinRKiernan.html"} +{"d:Title": "Sequential Tart - Traveling Through Dreams", "d:Description": "Interview. The author talk about comics, writing and her plans for \"The Dreaming\". February, 1999.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://www.sequentialtart.com/archive/feb99/kiernan.shtml"} +{"d:Title": "Caitlin R. Kiernan - Refusing to Surrender Passion and Sincerity", "d:Description": "April, 2000 article discusses work by the author often labeled as \"goth-noir\".", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://www.darkecho.com/darkecho/horroronline/kiernan.html"} +{"d:Title": "Flames Rising - Caitlin R. Kiernan", "d:Description": "In this interview, Caitlin talks about \"The Five of Cups\" and \"To Charles Fort, With Love\", and her preference for short fiction.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://www.flamesrising.com/interview-with-author-caitlin-r-kiernan/"} +{"d:Title": "Creative Loafing", "d:Description": "Interview with Caitlin R. Kiernan provided by Atlanta Creative Loafing Weekly Newspaper.", "topic": "Top/Arts/Literature/Authors/Horror/K/Kiernan,_Caitlin_R.", "url": "http://www.clatl.com/culture/article/13023503/caitlin-r-kiernan"} +{"d:Title": "StephenKing.com", "d:Description": "The official site has latest news, rumors, books, and links.", "priority": "1", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://www.stephenking.com/"} +{"d:Title": "Stephen King Web Site", "d:Description": "Includes news, links, information on books, and classified ads to buy, sell or trade SK merchandise.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://www.utopianweb.com/king/"} +{"d:Title": "Stephen King Tribute", "d:Description": "Includes a bibliography, character lists, beers in books, cross reference of characters, Randall Flagg page, and Dark Tower page.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://www.malakoff.com/sking.htm"} +{"d:Title": "The Collector", "d:Description": "A source for information on his rare books. Includes pictures, facts, and where to buy.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://www.stephenkingcollector.com/"} +{"d:Title": "Wikipedia: Stephen King", "d:Description": "Provides information sections including biography,car accident, writing style, recent years and family. Includes bibliography, films and TV.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://en.wikipedia.org/wiki/Stephen_King"} +{"d:Title": "Wikiquote- Stephen King", "d:Description": "Compilation of quotes attributed to the author.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://en.wikiquote.org/wiki/Stephen_King"} +{"d:Title": "Stephen King", "d:Description": "Biography, bibliography, and links related to popular horror novelist Stephen King.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://keynin.tripod.com/s_king.html"} +{"d:Title": "IMDb - Stephen King", "d:Description": "Features filmography as writer, actor, producer, crew, director, Himself and notable TV guest appearances. Includes biography and photo gallery.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://www.imdb.com/name/nm0000175/"} +{"d:Title": "Stephen King Bibliography", "d:Description": "A bibliography of Stephen King's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "https://www.fantasticfiction.com/k/stephen-king/"} +{"d:Title": "Stephen-King.net", "d:Description": "Has the latest news, book listing, cross reference of characters, and timelines.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen", "url": "http://www.stephen-king.net/"} +{"d:Title": "CNN.com: Stephen King Overwhelmed with Well-Wishes and Therapy", "d:Description": "Article that covers his release from Central Maine Medical Center.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.cnn.com/books/news/9907/15/king.recovery/"} +{"d:Title": "CNN.com: Surgery to Repair Stephen King's Hip 'Within Millimeter of Perfect'", "d:Description": "Includes details about his medical operation.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.cnn.com/books/news/9906/24/stephen.king.08/"} +{"d:Title": "CNN.com: Horror Master Stephen King Seriously Injured When Struck by Van", "d:Description": "Details about the car accident.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.cnn.com/books/news/9906/20/stephen.king.accident.02/"} +{"d:Title": "The New York Times: Behind the Best Sellers: Stephen King", "d:Description": "Carol Lawson's article about the author's start in writing and his inspirations.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-v-behind.html"} +{"d:Title": "CNN.com: Up Close and Personal With Stephen King", "d:Description": "An interview by Andrew O'Hehir of Salon Magazine.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.cnn.com/books/news/9809/24/king.interview.salon/"} +{"d:Title": "The New York Times: Book Notes: King on Horror", "d:Description": "Article written in 1987 in by Esther B. Fein.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-v-booknotes.html?"} +{"d:Title": "Queer Horror: Queens in King", "d:Description": "Examines the inclusion of gay, lesbian, bisexual and transgendered characters in the author's works.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://queerhorror.com/articles/king.html"} +{"d:Title": "The Guardian: The Stephen King Interview, Uncut and Unpublished", "d:Description": "Interview by Tim Adams.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.theguardian.com/books/2000/sep/14/stephenking.fiction"} +{"d:Title": "SFGate: Stephen King's Inner Alien", "d:Description": "Horror writer's dreams inspire latest film adaptation, 'Dreamcatcher'.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://www.sfgate.com/entertainment/article/Stephen-King-s-inner-alien-Horror-writer-s-2662471.php"} +{"d:Title": "Time: Boo! How He Startled the Book World", "d:Description": "Explains the author's intention to publish online novels.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Articles_and_Interviews", "url": "http://content.time.com/time/world/article/0,8599,2053654,00.html"} +{"d:Title": "The Stephen King Appreciation Web Ring", "d:Description": "Another ring to for fans to show appreciation for the author and his works.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Directories", "url": "http://pandora304.tripod.com/stephenking/id4.htm"} +{"d:Title": "SKEMERs Webrings", "d:Description": "Members of the Stephen King E-mailers. Sites may or may not be all about Stephen King.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Directories", "url": "http://www.webring.org/hub?ring=skemers"} +{"d:Title": "Stephen King Fan Page", "d:Description": "Contains information on King's life and work. Included is a FAQ, book reviews and a list of favorite quotes.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Fan_Pages", "url": "http://www.yoda.arachsys.com/sk/"} +{"d:Title": "Lilja's Library", "d:Description": "Stephen King information, including specifics on news, books, short story, movie, Richard Bachman, Swedish, and his international audience.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Fan_Pages", "url": "http://www.liljas-library.com/"} +{"d:Title": "Sabrina's Stephen King Page", "d:Description": "Includes list of novels, movie vault and wav files from various films.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Fan_Pages", "url": "http://members.tripod.com/~Sabrina_luv/"} +{"d:Title": "All Things Stephen King", "d:Description": "News and information in regards to Stephen King and all things related to him and his work in literature and other forms of media.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Fan_Pages", "url": "http://stephenkingrocks-hallrla3.blogspot.co.uk/"} +{"d:Title": "TheDarkKing.com", "d:Description": "Offers reviews and user ratings of Stephen King movie adaptations.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Movies", "url": "http://www.thedarkking.com/"} +{"d:Title": "Bag of Bones", "d:Description": "CNN review of Stephen King novel by Jim Argendeli.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://www.cnn.com/books/reviews/9809/03/bag.of.bones.cnn/index.html"} +{"d:Title": "The Box: Stephen King", "d:Description": "Featuring reviews on new Stephen King books, King recommendations, link directory, web ring, and news.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://pandora304.tripod.com/stephenking/"} +{"d:Title": "Charnel House", "d:Description": "Offering full length book reviews, essays, interviews and news.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://charnelhouse.tripod.com/"} +{"d:Title": "Dreamcatcher by Stephen King", "d:Description": "Book reviews of Dreamcatcher by Stephen King.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://bookreviews.nabou.com/reviews/dreamcatcher.html"} +{"d:Title": "Not Guilty: The Guest Word", "d:Description": "Self-review of 'Salem's Lot by Stephen King, written for the New York Times. [Registration Required]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-v-guest.html"} +{"d:Title": "Criminals at Large", "d:Description": "Review of Carrie written by Newgate Callendar for the New York Times. [Registration Required]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-r-carrie.html"} +{"d:Title": "The Green Mile", "d:Description": "Information about the book, lots of cover art, ratings, review, and a short King bibliography.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://www.denversfbookclub.com/king.htm"} +{"d:Title": "SFcrowsnest.com: On Writing", "d:Description": "Review of the book by Phil Jones.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://www.sfcrowsnest.com/articles/books/2003/On-Writing-by-Stephen-King-6720.php"} +{"d:Title": "Allreaders.com: Stephen King", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://allreaders.com/books/stephen-king-156"} +{"d:Title": "Off The Shelf - The Dark Tower III - \"The Wastelands\"", "d:Description": "Review by Marcus Pan in Legends Magazine.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Reviews", "url": "http://www.legendsmagazine.net/95/waste.htm"} +{"d:Title": "A Bad Year if You Fear Friday the 13th", "d:Description": "Stephen King explores Friday the 13th, the fear of the number 13 and a Stephen King inspired list of horrible things that have happened on the 13th. [Membership Required]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-v-friday13th.html"} +{"d:Title": "Son of Best Seller Stalks the Moors", "d:Description": "Article written by Stephen King in 1993 that was published in the NY Times, which talks about the publishing business. [Registration Required]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-v-sonofbest.html"} +{"d:Title": "Stephen King: Picking the Bones", "d:Description": "A series of critical articles about the novels of Stephen King, plus a timeline of horror.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works", "url": "http://www.tabula-rasa.info/StephenKing/"} +{"d:Title": "'Ever Et Raw Meat?' And Other Weird Questions", "d:Description": "Article written in 1987 in the NY Times by Stephen King which explores some of the different fan letters he's received and the interesting questions. [Membership Required]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-v-rawmeat.html"} +{"d:Title": "What Went Down When Magyk Went Up", "d:Description": "King's review of \"Glitz\" by Elmore Leonard. [Membership Required]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works", "url": "http://www.nytimes.com/books/97/03/09/lifetimes/kin-r-glitz.html"} +{"d:Title": "Hannibal the Cannibal", "d:Description": "Stephen King reviews the novel by Thomas Harris. [Membership Required]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works", "url": "http://www.nytimes.com/books/99/06/13/reviews/990613.13kingct.html"} +{"d:Title": "Wikiquote - The Stand (miniseries)", "d:Description": "Compilation of quotes from the TV mini-series with cast list.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works", "url": "http://en.wikiquote.org/wiki/The_Stand_(miniseries)"} +{"d:Title": "Dark Tower Trivia", "d:Description": "Trivia site for the Stephen King Dark Tower Series.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works/Dark_Tower_Series", "url": "http://dttrivia.iwarp.com/"} +{"d:Title": "The Dark Tower - Official Web Site", "d:Description": "Summary of series, information on artwork and illustrations and characters. Also provides a glossary of High Speech terms found in the books. [Requires Flash]", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works/Dark_Tower_Series", "url": "http://www.stephenking.com/darktower/"} +{"d:Title": "Wikiquote - The Dark Tower Series", "d:Description": "Quotations from the series of novels by Stephen King.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works/Dark_Tower_Series", "url": "http://en.wikiquote.org/wiki/The_Dark_Tower_Series"} +{"d:Title": "Yahoo! Groups : The Tower Bible", "d:Description": "Discussion group for The Dark Tower by Steven King.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works/Dark_Tower_Series", "url": "http://groups.yahoo.com/group/the_tower_bible/"} +{"d:Title": "The Dark Tower Compendium", "d:Description": "Fan site for the series.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works/Dark_Tower_Series", "url": "http://www.darktowercompendium.com/"} +{"d:Title": "Needcoffee: The Dark Tower", "d:Description": "Offers dream cast listing for the series as well as synopsis of a proposed screenplay.", "topic": "Top/Arts/Literature/Authors/Horror/K/King,_Stephen/Works/Dark_Tower_Series", "url": "http://www.needcoffee.com/html/darktower.htm"} +{"d:Title": "The Box: Dean Koontz", "d:Description": "Features top ten favorites, reviews on new novels and Koontz writing style, and quotes from The Book of Counted Sorrows.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://pandora304.tripod.com/deankoontz.html"} +{"d:Title": "Dean Koontz @ Luigiurato", "d:Description": "Reviews, bibliography and biography. All you need to know to appreciate the one and only.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://web.tiscali.it/luigiurato/deankoontz.htm"} +{"d:Title": "Stu Weaver's Dean Koontz Page", "d:Description": "Dean Koontz book information. Contains scans and descriptions of almost every American first edition.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://koontz.iwarp.com/"} +{"d:Title": "Dean Koontz", "d:Description": "Official site offers photographs, biography, new books and interviews and podcasts.", "priority": "1", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://www.deankoontz.com/"} +{"d:Title": "Dean Koontz", "d:Description": "Information about the authors books, short stories, and poetry. Provides a summary of each fiction novel, including pseudonyms used, and books made into movies and audio books.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://www.xmission.com/~emailbox/koontz/koontz.htm"} +{"d:Title": "IMDb - Dean R. Koontz", "d:Description": "Includes trivia, filmography and message boards.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://www.imdb.com/name/nm0465588/"} +{"d:Title": "Brainy Quotes", "d:Description": "Quotations by Dean Koontz.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://www.brainyquote.com/quotes/authors/d/dean_koontz.html"} +{"d:Title": "Wikipedia - Dean Koontz's Frankenstein", "d:Description": "Collective title of a series of novels co-written by Dean Koontz. Provides plot summary and characters.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://en.wikipedia.org/wiki/Dean_Koontz's_Frankenstein"} +{"d:Title": "Wikiquote - Dean Koontz", "d:Description": "Quotes from novels of the best-selling author of suspense novels.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://en.wikiquote.org/wiki/Dean_Koontz"} +{"d:Title": "Allreaders Dean Koontz Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his novels, and a searchable database.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://allreaders.com/books/dean-koontz-151"} +{"d:Title": "The Unofficial Dean Koontz Homesite", "d:Description": "Bibliography, The Book of Counted Sorrows, mailing address, and news.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean", "url": "http://jdford23.www8.50megs.com/"} +{"d:Title": "BookBrowse.com - Dean Koontz", "d:Description": "An interview with Dean Koontz, author of \"The Book of Counted Sorrows\". Plus an excerpt, book reviews, and author biography.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean/Articles_and_Interviews", "url": "http://www.bookbrowse.com/author_interviews/full/index.cfm?author_number=260"} +{"d:Title": "Wikipedia - Dean Koontz", "d:Description": "Article provides biography, plot staples and formulas, film and television adaptations poetry collections and bibliography.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Dean_Koontz"} +{"d:Title": "Author Profile: Dean Koontz", "d:Description": "Biography and interview form Teenreads.com.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean/Articles_and_Interviews", "url": "http://www.teenreads.com/authors/dean-koontz"} +{"d:Title": "Bookreporter.com - Dean Koontz", "d:Description": "Biography and interview from January 14, 2000.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean/Articles_and_Interviews", "url": "http://www.bookreporter.com/authors/dean-koontz"} +{"d:Title": "Dean Koontz - Labor of Love", "d:Description": "MP3 audio. Koontz joined MSNBC.com by phone to answer questions from readers about his writing process, the publishing business, and even the movie industry. June 20, 2006.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean/Articles_and_Interviews", "url": "http://www.nbcnews.com/id/13441043/"} +{"d:Title": "One Door Away From Heaven", "d:Description": "Book review from 2003 by Mary B. Stuart for Curled Up With a Good Book.", "topic": "Top/Arts/Literature/Authors/Horror/K/Koontz,_Dean/Reviews", "url": "http://www.curledup.com/onedoor.htm"} +{"d:Title": "Home of Joe R. Landsdale", "d:Description": "Official website for Joe R. Lansdale. Contains free stories, biography, bibliography, and latest news.", "topic": "Top/Arts/Literature/Authors/Horror/L/Lansdale,_Joe", "url": "http://www.joerlansdale.com/"} +{"d:Title": "Joe R. Lansdale at The Zero", "d:Description": "Information about \"The Bottoms\" the Edgar award-winning novel, and excerpts from the Hap and Leonard novels \"Rumble Tumble\" and \"Bad Chili\", by Mojo storteller, Joe R. Lansdale.", "topic": "Top/Arts/Literature/Authors/Horror/L/Lansdale,_Joe", "url": "http://www.vachss.com/media/righteous/page_lansdale.html"} +{"d:Title": "Malcasa Point", "d:Description": "Information of interest to visitors of the legendary town of Malcasa Point. Includes information on Richard Laymon's novels about the Beast House.", "topic": "Top/Arts/Literature/Authors/Horror/L/Laymon,_Richard", "url": "http://www.malcasapoint.com/"} +{"d:Title": "Richard Laymon Bibliography", "d:Description": "A bibliography of books and short stories, with cover images and links.", "topic": "Top/Arts/Literature/Authors/Horror/L/Laymon,_Richard", "url": "https://www.fantasticfiction.com/l/richard-laymon/"} +{"d:Title": "Thomas Ligotti Online", "d:Description": "Homepage for horror writer Thomas Ligotti. News, online stories, forum, downloads.", "topic": "Top/Arts/Literature/Authors/Horror/L/Ligotti,_Thomas", "url": "http://www.ligotti.net/"} +{"d:Title": "The H.P. Lovecraft Archive", "d:Description": "Contains information on his life, writings, creations, studies, and links.", "topic": "Top/Arts/Literature/Authors/Horror/L/Lovecraft,_H._P.", "url": "http://www.hplovecraft.com/"} +{"d:Title": "Wikipedia: H. P. Lovecraft", "d:Description": "Biography, plus surveys of the writer's works and of the secondary literature.", "topic": "Top/Arts/Literature/Authors/Horror/L/Lovecraft,_H._P.", "url": "http://en.wikipedia.org/wiki/H._P._Lovecraft"} +{"d:Title": "The Lovecraft Message Board", "d:Description": "A moderated fan forum.", "topic": "Top/Arts/Literature/Authors/Horror/L/Lovecraft,_H._P.", "url": "http://www.sf-fandom.com/vbulletin/forumdisplay.php?s=&daysprune=9999&forumid=11"} +{"d:Title": "Shub-Niggurath Blog", "d:Description": "Specialized in Howard Philips Lovecraft, Cthulhu Mythos and related subjects.", "topic": "Top/Arts/Literature/Authors/Horror/L/Lovecraft,_H._P.", "url": "http://shub-niggurath.blogspot.com/"} +{"d:Title": "Mostert, Natasha - Official web page", "d:Description": "About Natasha Mostert and her books. Contains news, reviews and links to support material used in her writing.", "topic": "Top/Arts/Literature/Authors/Horror/M", "url": "http://www.natashamostert.com/"} +{"d:Title": "Meikle, William - Fiction Pages", "d:Description": "Scottish horror author's site containing details of his Scottish horror novels and short stories.", "topic": "Top/Arts/Literature/Authors/Horror/M", "url": "http://www.williammeikle.com/"} +{"d:Title": "Montours, Michael", "d:Description": "Horror writer's weblog with news about publishing trends, excerpts and bio.", "topic": "Top/Arts/Literature/Authors/Horror/M", "url": "http://www.bloodletters.com/"} +{"d:Title": "Tony Marturano", "d:Description": "Find out more about the author of Nimbus, Playwright and Photographer.", "topic": "Top/Arts/Literature/Authors/Horror/M", "url": "http://www.tonymarturano.com/"} +{"d:Title": "Vanessa Morgan", "d:Description": "Official blog of horror author Vanessa Morgan.", "topic": "Top/Arts/Literature/Authors/Horror/M", "url": "http://vanessa-morgan.blogspot.com/"} +{"d:Title": "Thomas M. Malafarina", "d:Description": "Official web site for horror fiction author Thomas M. Malafarina. Links to books, news, merchandise.", "topic": "Top/Arts/Literature/Authors/Horror/M", "url": "https://thomasmalafarina.wordpress.com/"} +{"d:Title": "Navarro, Yvonne - Darke Palace", "d:Description": "Official website . Contains FAQ, message board, news, bibliography, links and pictures.", "topic": "Top/Arts/Literature/Authors/Horror/N", "url": "http://yvonnenavarro.blogspot.com/"} +{"d:Title": "Banquet for the Damned", "d:Description": "Horror writer Adam L G Nevill, and his latest novel Banquet for the Damned.", "topic": "Top/Arts/Literature/Authors/Horror/N", "url": "http://www.adamlgnevill.com/"} +{"d:Title": "Annie Neugebauer", "d:Description": "Writer's website and blog. Horror, literary fiction, picture books, dark fantasy, and poetry.", "topic": "Top/Arts/Literature/Authors/Horror/N", "url": "http://annieneugebauer.com/"} +{"d:Title": "Ochse, Weston", "d:Description": "The homepage of the speculative fiction author with information on himself, market links and publishing details.", "topic": "Top/Arts/Literature/Authors/Horror/O", "url": "http://www.westonochse.com/"} +{"d:Title": "Passarella, John", "d:Description": "Homepage of the author who has written 'Wither' and 'Buffy #18 Ghoul Trouble'", "topic": "Top/Arts/Literature/Authors/Horror/P/Passarella,_John", "url": "http://www.passarella.com/"} +{"d:Title": "Quigley, Kevin - Under the Arc-Sodiums", "d:Description": "Official website. Includes information such as a listing of novels, short stories, news updates, poetry and a biography page.", "topic": "Top/Arts/Literature/Authors/Horror/Q", "url": "http://quigleyk.tripod.com/"} +{"d:Title": "Staab, Thomas - Bookworm's Lair", "d:Description": "Complete bibliography and reviews of selected novels by Thomas Staab. Available in English and German.", "topic": "Top/Arts/Literature/Authors/Horror/S", "url": "http://www.bookwormslair.de/staab_e.htm"} +{"d:Title": "Spriggs, Robin - House of Nine", "d:Description": "Official site contains photos, interviews, reviews, excerpts, art, and toys.", "topic": "Top/Arts/Literature/Authors/Horror/S", "url": "http://www.houseofnine.com/"} +{"d:Title": "Slade, Michael - Dark Eye", "d:Description": "Official website for Michael Slade. Contains news, bibliography, pictures and biography.", "topic": "Top/Arts/Literature/Authors/Horror/S", "url": "http://specialx.net/"} +{"d:Title": "Strand, Jeff", "d:Description": "Gleefully Macabre is the official Jeff Strand site. Contains bibliography, reviews, biography and FAQ.", "topic": "Top/Arts/Literature/Authors/Horror/S", "url": "http://jeffstrand.wordpress.com/"} +{"d:Title": "Sharkchild", "d:Description": "The official website of M. Amanuensis Sharkchild, author of The Dark Verse podcast and books.", "topic": "Top/Arts/Literature/Authors/Horror/S", "url": "http://www.sharkchild.com/"} +{"d:Title": "Horror On The Costa", "d:Description": "Official site of the author Glenn Stuart who lives and works in Southern Spain, writing horror novels, mainly for young adults. Brief biog, blog and news about current and future projects.", "topic": "Top/Arts/Literature/Authors/Horror/S", "url": "http://www.freewebs.com/horror-on-the-costa/"} +{"d:Title": "Alex Sumner", "d:Description": "Alex Sumner is a novelist and writer on the Occult. He is the author of the horror novel \"The Magus\" and its sequels, as well as several non-fiction articles.", "topic": "Top/Arts/Literature/Authors/Horror/S", "url": "http://solascendans.com/about"} +{"d:Title": "The Official John Saul Website", "d:Description": "Includes biography, bibliography, interviews, photos, discussion group, and a fan club.", "topic": "Top/Arts/Literature/Authors/Horror/S/Saul,_John", "url": "http://www.johnsaul.com/"} +{"d:Title": "A Conversation with John Saul", "d:Description": "Information about the writer and his rise as a bestselling author.", "topic": "Top/Arts/Literature/Authors/Horror/S/Saul,_John", "url": "http://www.writerswrite.com/journal/sep99/saul.htm"} +{"d:Title": "John Shirley", "d:Description": "Official website; contains biography, bibliography, news, interviews, reviews, appearances and fiction.", "topic": "Top/Arts/Literature/Authors/Horror/S/Shirley,_John", "url": "http://www.darkecho.com/JohnShirley"} +{"d:Title": "Somtow's Web Page", "d:Description": "Official web site of the S.P. Somtow Fan Club (The Valentine Society). Contains interviews, news, books, pictures, and reviews.", "topic": "Top/Arts/Literature/Authors/Horror/S/Somtow,_S._P.", "url": "http://www.somtow.com/"} +{"d:Title": "Tangled Web UK Review", "d:Description": "Review of 'Messiah' by Martin Edwards (April 1999)", "topic": "Top/Arts/Literature/Authors/Horror/S/Starling,_Boris", "url": "http://www.twbooks.co.uk/reviews/medwards/messiahpbkme.html"} +{"d:Title": "Peter Straub: Seeing Double", "d:Description": "Excerpts from interview. [Locus]", "topic": "Top/Arts/Literature/Authors/Horror/S/Straub,_Peter", "url": "http://www.locusmag.com/1998/Issues/12/Straub.html"} +{"d:Title": "Peter Straub: Connoisseur of Fear", "d:Description": "Interview originally published by OMNI.", "topic": "Top/Arts/Literature/Authors/Horror/S/Straub,_Peter", "url": "http://www.darkecho.com/darkecho/archives/straub.html"} +{"d:Title": "Electronic Poetry Center: Peter Straub", "d:Description": "RealAudio format interviews and readings by Straub, links.", "topic": "Top/Arts/Literature/Authors/Horror/S/Straub,_Peter", "url": "http://epc.buffalo.edu/authors/straub/"} +{"d:Title": "Peter Straub", "d:Description": "Official web site of the horror writer. Contains biography, bibliography, reviews, links, interviews and sample chapters.", "topic": "Top/Arts/Literature/Authors/Horror/S/Straub,_Peter", "url": "http://peterstraub.net/"} +{"d:Title": "Nicholas Alan Tillemans", "d:Description": "Official website of extreme adult horror writer Nicholas Alan Tillemans, author of Acetone Enema: A Morbid Collection of Short Stories&Poetry.", "topic": "Top/Arts/Literature/Authors/Horror/T", "url": "http://www.muscularfiction.com/"} +{"d:Title": "Rambles Production", "d:Description": "Review of the vampire novel, 'Blood Secrets'.", "topic": "Top/Arts/Literature/Authors/Horror/T/Taylor,_Karen_E.", "url": "http://rambles.net/taylor_secrets.html"} +{"d:Title": "Karen E. Taylor and the Vampire Legacy", "d:Description": "Official site for the horror author includes news, bibliography, appearance dates, pictures, and message board.", "topic": "Top/Arts/Literature/Authors/Horror/T/Taylor,_Karen_E.", "url": "http://www.karenetaylor.com/"} +{"d:Title": "The Melanie Tem&Steve Rasnic Tem Web Page", "d:Description": "Both are authors of fantasy, horror, and mainstream novels, poetry, and short stories.", "topic": "Top/Arts/Literature/Authors/Horror/T/Tem,_Melanie", "url": "http://www.m-s-tem.com/"} +{"d:Title": "Wilson, F. Paul - Repairman Jack", "d:Description": "Site for a recurring character in Wilson's novels. Contains book references, character profiles, news and message board.", "topic": "Top/Arts/Literature/Authors/Horror/W", "url": "http://www.repairmanjack.com/"} +{"d:Title": "Wood, Simon", "d:Description": "Official site includes biography, published works, news, and links to stories.", "topic": "Top/Arts/Literature/Authors/Horror/W", "url": "http://www.simonwood.net/"} +{"d:Title": "Wright, Richard", "d:Description": "Official site. Includes information such as previews of his novels, news, reviews, a newsletter and links.", "topic": "Top/Arts/Literature/Authors/Horror/W", "url": "http://www.richardwright.org/"} +{"d:Title": "Wilson, David Niall", "d:Description": "Personal site of former HWA president, novelist, screenwriter.", "topic": "Top/Arts/Literature/Authors/Horror/W", "url": "http://davidniallwilson.com/"} +{"d:Title": "Shanna Wynne", "d:Description": "The official website of horror author Shanna Wynne. Read updates on current and published works, her biography, and her semi-daily blog, offering insight into the mind of a burgeoning horror author.", "topic": "Top/Arts/Literature/Authors/Horror/W", "url": "https://shannawynne.wordpress.com/"} +{"d:Title": "Wiehe, Fred - Night Songs", "d:Description": "Offers novels of horror, science fiction, suspense, mystery, and fantasy. Read synopses and sample chapters.", "topic": "Top/Arts/Literature/Authors/Horror/W", "url": "http://fredwiehe.com/"} +{"d:Title": "The Voice of the Mountains", "d:Description": "The life and writing of Manly Wade Wellman, author of horror, folklore, regional history, science fiction, true crime and young adult books and short stories. Biography, bibliography and bestiary included.", "topic": "Top/Arts/Literature/Authors/Horror/W/Wellman,_Manly_Wade", "url": "http://www.manlywadewellman.com/"} +{"d:Title": "Wilde, Larry", "d:Description": "Humorist, motivational speaker and author of more than fifty books offers a joke of the day and links to quizzes and National Humor Month.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://www.larrywilde.com/"} +{"d:Title": "Fitzhugh, Bill", "d:Description": "Born in Jackson, Mississippi, former disc jockey's books include \"Pest Control\" and \"Radio Activity.\" Offers his capsule reviews of an eclectic range of books and movies.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://billfitzhugh.com/"} +{"d:Title": "Greenberg, Gary", "d:Description": "Freelance writer, columnist, spray paint artist and author of the novel \"Dead Man's Tale\" offers online collection of essays called Cosmic Debris. Biography, review.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://www.cosmiccafe.com/debris.htm"} +{"d:Title": "Beckerman, Marty", "d:Description": "Archive and mailing list for Marty Beckerman's opinion and humor column.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://martybeckerman.com/"} +{"d:Title": "Perry, Michael", "d:Description": "Essays originally published in \"Esquire\" and \"The New Yorker\" are collected in \"Big Rigs, Elvis and the Grand Dragon Wayne.\" Author of \"Population: 485,\" an autobiographical return to volunteer firefighting. Speaking engagement schedule.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://www.sneezingcow.com/"} +{"d:Title": "Page, Nick", "d:Description": "Books include \"In Search of the World's Worst Writers\" and the \"The Scroll: The Tabloid Bible\" as well as inspirational prose and poetry.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://www.nickpage.co.uk/"} +{"d:Title": "Bodett, Tom", "d:Description": "Humorist, radio personality, and author of fiction and travel books. Find a synopsis of each of Tom's books here, a story excerpt updated frequently, and biographical details.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://www.bodett.com/"} +{"d:Title": "Cathy Hamilton", "d:Description": "Offers titles, descriptions, author data and provides locations and links for purchasing books.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://www.cathyhamilton.com/"} +{"d:Title": "Mollica, Tommy", "d:Description": "Writer of \"Rudy Snabblewurst's Bachelor Handbook\" and \"The Tom Letters.\"", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://studiotommy.homestead.com/"} +{"d:Title": "Bellamy, Guy", "d:Description": "Site dedicated to the comic fiction of the British author, Guy Bellamy.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://guybellamynovels.moonfruit.com/"} +{"d:Title": "Shaidle, Kathy", "d:Description": "Official site of the award-winning Toronto freelance writer and author.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "http://www.kathyshaidle.com/"} +{"d:Title": "Augustinus, Norm", "d:Description": "Brief biography and books available.", "topic": "Top/Arts/Literature/Authors/Humor", "url": "https://www.amazon.com/Norm-Augustinus/e/B00BBG5YT4"} +{"d:Title": "Dave Barry", "d:Description": "Official website with biography, FAQ for students, appearance schedule, list of books, and natterings.", "priority": "1", "topic": "Top/Arts/Literature/Authors/Humor/Barry,_Dave", "url": "http://www.davebarry.com/"} +{"d:Title": "AutoDave!", "d:Description": "The automated Dave Barry column generator.", "topic": "Top/Arts/Literature/Authors/Humor/Barry,_Dave", "url": "http://peacefire.org/staff/bennett/autodave/"} +{"d:Title": "Dave Barry's Alert Readers' Homepage", "d:Description": "Alert Readers send Dave news articles of interest. Articles, scrapbook and links.", "topic": "Top/Arts/Literature/Authors/Humor/Barry,_Dave", "url": "http://www.angelfire.com/ar/alertreader/index.html"} +{"d:Title": "Exploding Whale", "d:Description": "Officially sanctioned animal removal in 1970 in Florence, Oregon described by Barry in a 1990 column, prompting delayed news coverage. Timeline, photos, column text, links to original television news video and various audio files.", "topic": "Top/Arts/Literature/Authors/Humor/Barry,_Dave", "url": "http://www.theexplodingwhale.com/"} +{"d:Title": "Dave Barry's Blog", "d:Description": "A discussion of various topics by Dave Barry.", "topic": "Top/Arts/Literature/Authors/Humor/Barry,_Dave", "url": "http://blogs.herald.com/dave_barrys_blog/index.rdf"} +{"d:Title": "The Dave Barry FAQ", "d:Description": "The Usenet newsgroup alt.fan.dave_barry Frequently Asked Questions by Mike Steele.", "topic": "Top/Arts/Literature/Authors/Humor/Barry,_Dave", "url": "http://faqs.cs.uu.nl/na-dir/dave-barry-faq.html"} +{"d:Title": "Robert Benchley Society", "d:Description": "Informal group founded in 2003 in Boston, including scholars as well as those who enjoy meeting for drinks and talking about the writer. Membership, links, calendar of events.", "topic": "Top/Arts/Literature/Authors/Humor/Benchley,_Robert", "url": "http://www.robertbenchley.org/"} +{"d:Title": "Benchley Despite Himself", "d:Description": "Selected monologues and other works edited and acted by the humorist's grandson, Nat Benchley. Reviews, links to bibliography, filmography, and contemporaries Dorothy Parker and Groucho Marx.", "topic": "Top/Arts/Literature/Authors/Humor/Benchley,_Robert", "url": "http://natbenchley.com/"} +{"d:Title": "Erma Bombeck Writers' Workshop", "d:Description": "Chat scripts, news articles, favorite columns, archive, registration, workshop tracks, speakers, and writing contest hosted by the University of Dayton.", "topic": "Top/Arts/Literature/Authors/Humor/Bombeck,_Erma", "url": "http://www.humorwriters.org/"} +{"d:Title": "To Erma Bombeck on Mothers' Day", "d:Description": "Midlife Moments columnist Mike Bellah pays tribute to Bombeck.", "topic": "Top/Arts/Literature/Authors/Humor/Bombeck,_Erma", "url": "http://www.bestyears.com/ermabombeck.html"} +{"d:Title": "ErmaMuseum.org", "d:Description": "This online museum celebrates Erma Bombeck's life.", "topic": "Top/Arts/Literature/Authors/Humor/Bombeck,_Erma", "url": "http://www.ermamuseum.org/"} +{"d:Title": "Grave of Erma Bombeck", "d:Description": "Photographs of the late humorist, her gravesite in Woodland Cemetery, Dayton, Ohio, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/Humor/Bombeck,_Erma", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3722"} +{"d:Title": "Erma Bombeck Quotes and Quotations", "d:Description": "Alphabetical listing of quotes with the first few words of each one.", "topic": "Top/Arts/Literature/Authors/Humor/Bombeck,_Erma", "url": "http://www.brainyquote.com/quotes/authors/e/erma_bombeck.html"} +{"d:Title": "Bill Bryson Fan Club", "d:Description": "A small Bill Bryson fan club", "topic": "Top/Arts/Literature/Authors/Humor/Bryson,_Bill", "url": "http://groups.yahoo.com/group/billbrysonclub/"} +{"d:Title": "The Guardian: Bill Bryson", "d:Description": "Profile, articles by the writer and links.", "topic": "Top/Arts/Literature/Authors/Humor/Bryson,_Bill", "url": "http://www.theguardian.com/books/2008/jun/10/billbryson"} +{"d:Title": "Toynbee Doob's Pal", "d:Description": "Time Magazine article recounts career and reports his best-selling first book.", "topic": "Top/Arts/Literature/Authors/Humor/Douglas,_Jack", "url": "http://www.time.com/time/magazine/article/0,9171,892687-2,00.html"} +{"d:Title": "Wikipedia: Jack Douglas", "d:Description": "Biography includes radio and television work, family life with wife Reiko, guest appearances with Johnny Carson, and Emmy awarded in 1954. Bibliography lists eleven books including \"My Brother Was an Only Child.\"", "topic": "Top/Arts/Literature/Authors/Humor/Douglas,_Jack", "url": "http://en.wikipedia.org/wiki/Jack_Douglas_(writer)"} +{"d:Title": "Lewis Grizzard, Jr (1946 - 1994) - Find A Grave Memorial", "d:Description": "Obituary and burial location.", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2641"} +{"d:Title": "A Son of the South", "d:Description": "Obituary by Charles Seabrook and Tom Bennett. [The Atlanta Journal-Constitution] \"Famed columnist dies at 47 following fourth heart surgery.\"", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis/Articles_and_Interviews", "url": "http://legacy.com/Atlanta/Obituaries.asp?Page=LifeStory&PersonID=2021037"} +{"d:Title": "Lewis Grizzard", "d:Description": "Wikipedia entry.", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Lewis_Grizzard"} +{"d:Title": "Lewis Grizzard quotes", "d:Description": "Selected quotations as submitted by fans at thinkexist.com.", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis/Articles_and_Interviews", "url": "http://thinkexist.com/quotes/lewis_grizzard/"} +{"d:Title": "Lewis Grizzard: The Favorite Son of Moreland, Georgia", "d:Description": "By Kendall Bell. [Southern Scribe] \"Grizzard made being a Southerner cool.\"", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis/Articles_and_Interviews", "url": "http://www.southernscribe.com/zine/landmarks/grizzard.htm"} +{"d:Title": "Lewis Grizzard, 47, Writer and Master Of Southern Humor", "d:Description": "Obituary by Raymond Hernandez. [New York Times]", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis/Articles_and_Interviews", "url": "http://www.nytimes.com/1994/03/21/obituaries/lewis-grizzard-47-writer-and-master-of-southern-humor.html"} +{"d:Title": "New Georgia Encyclopedia: Lewis Grizzard (1946-1994)", "d:Description": "Biography by James C. Cobb. Includes photos.", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis/Articles_and_Interviews", "url": "http://www.georgiaencyclopedia.org/articles/arts-culture/lewis-grizzard-1946-1994"} +{"d:Title": ". . . And I Don't Feel So Good Myself", "d:Description": "Tribute article by UGA sportswriter T Kyle King. [Dawg Sports] \"[Lewis] may never have been more at peace than he was when standing within sight of Sanford Stadium, awaiting kickoff with a chicken leg in one hand and a Jack and Coke in the other.\"", "topic": "Top/Arts/Literature/Authors/Humor/Grizzard,_Lewis/Articles_and_Interviews", "url": "http://www.dawgsports.com/2006/10/19/7240/1029"} +{"d:Title": "Garrison Keillor Linkpage", "d:Description": "Links to pages on humorist Garrison Keillor on the Great Norwegians Homepage.", "topic": "Top/Arts/Literature/Authors/Humor/Keillor,_Garrison", "url": "http://www.mnc.net/norway/keillor.htm"} +{"d:Title": "Garrison Keillor -- Related Works", "d:Description": "This page lists related works of Garrison Keillor. Includes summaries, pricing information, and links to order by mail. Titles include The Man from Lake Wobegon", "topic": "Top/Arts/Literature/Authors/Humor/Keillor,_Garrison", "url": "http://www.non.com/books/Keillor_Garrison_s.html"} +{"d:Title": "A Prairie Home Compendium", "d:Description": "Provides information for long time fans of Garrison Keillor's radio programs \"A Prairie Home Companion\" and \"The American Radio Company of the Air\" including complete-broadcast rundowns of shows prior to 1996.", "topic": "Top/Arts/Literature/Authors/Humor/Keillor,_Garrison", "url": "http://www.creamcityre.com/phc/"} +{"d:Title": "A Prairie Home Companion", "d:Description": "Garrison Keillor's long-running radio variety show, from Minnesota Public Radio.", "topic": "Top/Arts/Literature/Authors/Humor/Keillor,_Garrison", "url": "http://prairiehome.org/"} +{"d:Title": "Don't Look Back, P.J.", "d:Description": "A review by Jeff Schult of O'Rourke's autobiography, 'Age and Guile Beat Youth, Innocence and a Bad Haircut'.", "topic": "Top/Arts/Literature/Authors/Humor/O'Rourke,_P._J.", "url": "http://www.tftb.com/pj.html"} +{"d:Title": "Political Signature Quote Collection", "d:Description": "Compiled from the internet. Includes quotes and sources where available.", "topic": "Top/Arts/Literature/Authors/Humor/O'Rourke,_P._J./Quotations", "url": "http://www.urbin.net/EWW/sigs/pj_polysigs.html"} +{"d:Title": "Quotes: P.J. O'Rourke", "d:Description": "A very long list of short quotations.", "topic": "Top/Arts/Literature/Authors/Humor/O'Rourke,_P._J./Quotations", "url": "http://ldb.org/rourke.htm"} +{"d:Title": "Dot City: Dorothy Parker's New York", "d:Description": "Offers photographic essay on the author's homes and apartments, photos and press reviews from the annual Parkerfest, links to the Algonquin and other famous haunts.", "topic": "Top/Arts/Literature/Authors/Humor/Parker,_Dorothy", "url": "http://www.dorothyparker.com/"} +{"d:Title": "Encyclopedia Brunonia: S.J. Perelman", "d:Description": "Entry on one of Brown University's most noteworthy alumni from Marcia Mitchell's work for the institution's library.", "topic": "Top/Arts/Literature/Authors/Humor/Perelman,_S._J.", "url": "http://www.brown.edu/Administration/News_Bureau/Databases/Encyclopedia/search.php?serial=P0130"} +{"d:Title": "Smith, H. Allen Book Collection", "d:Description": "Unsigned fan site with photos of signed first editions and reminiscences of times books were acquired.", "topic": "Top/Arts/Literature/Authors/Humor/Smith,_H._Allen", "url": "http://www3.telus.net/pagerweb/hallensmith/"} +{"d:Title": "The Russian Wodehouse Society", "d:Description": "Includes biography, e-texts, articles, links, photos, bibliography and discussion forum. [English, Russian]", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://wodehouse.ru/"} +{"d:Title": "The Wodehouse Society (TWS)", "d:Description": "Information about the US-based Society and its regional chapters, \"Plum Lines\" journal, annual convention, TWS Cricket Club and mailing list.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.wodehouse.org/"} +{"d:Title": "Yoyogod's Tribute to P. G. Wodehouse", "d:Description": "Includes a short FAQ, links, and a report on Wodehouse's German broadcasts.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://yoyogod.20m.com/wodehouse.htm"} +{"d:Title": "The Persecution of P. G. Wodehouse", "d:Description": "An article from the Journal of Historical Review on Wodehouse's wartime activities by Robert A. Hall.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.vho.org/GB/Journals/JHR/7/3/Hall345-351.html"} +{"d:Title": "The British Comedy&Drama Website - P.G. Wodehouse", "d:Description": "Information on radio and television adaptations of Wodehouse's work.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.britishcomedy.org.uk/comedy/wodehouse.htm"} +{"d:Title": "DVDwolf: Jeeves and Wooster DVD guide", "d:Description": "Cast listing, episode and DVD guide to the BBC series.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.dvdwolf.com/British/Britcoms/Jeeves_And_Wooster.html"} +{"d:Title": "Findagrave: P.G. Wodehouse", "d:Description": "Includes a picture and the location of the grave.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3124"} +{"d:Title": "The Free Library - P. G. Wodehouse (1881 - 1975)", "d:Description": "Biographical article with photo. Includes texts of \"The Man Upstairs and Other Stories\" and the novel \"Uneasy Money.\"", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://wodehouse.thefreelibrary.com/"} +{"d:Title": "My old companion for decades", "d:Description": "Personal tribute of a Hungarian translator of Wodehouse's novels.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.revbiro.hu/pgw.htm"} +{"d:Title": "World of Wodehouse", "d:Description": "His characters, plotting, bibliography, life and news.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.worldofwodehouse.com/"} +{"d:Title": "BBC Front Row: PG Wodehouse", "d:Description": "Profile of the author in RealAudio format, presented by Mark Lawson.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.bbc.co.uk/radio4/arts/frontrow/frontrow_20040826.shtml"} +{"d:Title": "The Drones", "d:Description": "A roleplay game based on the Drones Club.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.granta.demon.co.uk/drones/"} +{"d:Title": "PG Wodehouse Books", "d:Description": "Resource site for Wodehouse enthusiasts includes his biography, historical context, wartime radio and news articles along with film and TV episodes, synopsis section, quotes, autographs, checklist and several bibliographies.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.pgwodehousebooks.com/"} +{"d:Title": "Project Gutenberg - Wodehouse, P. G. (Pelham Grenville), 1881-1975", "d:Description": "E-texts of many early Wodehouse novels and stories.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.gutenberg.org/browse/authors/w#a783"} +{"d:Title": "Wikipedia - P.G. Wodehouse", "d:Description": "Hyperlinked encyclopedic article about the English writer including his life, writings, characters and screen adaptations of his works.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://en.wikipedia.org/wiki/P._G._Wodehouse"} +{"d:Title": "IMDb - P.G. Wodehouse", "d:Description": "Brief biography and filmography as writer, soundtrack, miscellaneous crew and actor.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.imdb.com/name/nm0937289/"} +{"d:Title": "A P.G. Wodehouse Coverage", "d:Description": "Images of P.G. Wodehouse's bookcovers in different languages.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://axxc.nl/"} +{"d:Title": "The P.G. Wodehouse Society Netherlands", "d:Description": "Includes an extended bibliography, and information on the Society's activities.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://home.kpn.nl/schri474/"} +{"d:Title": "The Drones Club", "d:Description": "A forum for fans.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://bww.proboards.com/"} +{"d:Title": "WebRing - Wodehouse Webring", "d:Description": "Collection of sites about P.G. Wodehouse and his fans.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.webring.org/hub?ring=wodehouse"} +{"d:Title": "The P.G. Wodehouse Society UK", "d:Description": "Information on Wodehouse's life and career.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://www.pgwodehousesociety.org.uk/"} +{"d:Title": "A Celebration of P G Wodehouse", "d:Description": "Contains literary and cultural annotations, plot synopses, lists of characters, quotations, and useful information about the author and his works.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "http://terry-mordue.co.uk/wodehouse-characters/"} +{"d:Title": "Internet Broadway Database: P.G. Wodehouse Credits on Broadway", "d:Description": "Official Broadway credits for P.G. Wodehouse, biographical information and other related facts.", "topic": "Top/Arts/Literature/Authors/Humor/Wodehouse,_P._G.", "url": "https://www.ibdb.com/broadway-cast-staff/p-g-wodehouse-7988"} +{"d:Title": "Israel, David", "d:Description": "Biography, journal, gallery, music and an excerpt from his novel, \"Behind Everyman\".", "topic": "Top/Arts/Literature/Authors/I", "url": "http://www.davidisrael.net/"} +{"d:Title": "Ireland, Sally", "d:Description": "Author's site includes biography, excerpts and reviews of her novels, and online poetry.", "topic": "Top/Arts/Literature/Authors/I", "url": "http://www.sallyireland.com/"} +{"d:Title": "Island on the Earth / Island in the Light", "d:Description": "Poems by Sara de Ib\u00e1\u00f1ez in Spanisch and English translation.", "topic": "Top/Arts/Literature/Authors/I/Ib\u00e1\u00f1ez,_Sara_de", "url": "http://www.alb-neckar-schwarzwald.de/poetas/ibanez/islas-e.html"} +{"d:Title": "Conn Iggulden", "d:Description": "Official site for the British author who writes mainly historic fiction and children's books.", "topic": "Top/Arts/Literature/Authors/I/Iggulden,_Conn", "url": "http://www.conniggulden.com/"} +{"d:Title": "The Independent: The Conqueror Series", "d:Description": "A review of the Conqueror series by Peter Stanford.", "topic": "Top/Arts/Literature/Authors/I/Iggulden,_Conn", "url": "http://www.independent.co.uk/arts-entertainment/books/features/lie-back-and-think-of-genghis-conn-iggulden-reveals-the-inspirations-behind-his-historical-fiction-2061834.html"} +{"d:Title": "Greg Iles", "d:Description": "The author's official site.", "topic": "Top/Arts/Literature/Authors/I/Iles,_Greg", "url": "http://www.gregiles.com/"} +{"d:Title": "Mississippi Writers Page", "d:Description": "Information about Mississippi writer Greg Iles, including a biographical and critical article, a list of published works, and other resources.", "topic": "Top/Arts/Literature/Authors/I/Iles,_Greg", "url": "http://mwp.olemiss.edu/dir/iles_greg/index.html"} +{"d:Title": "Borzoi Reader Authors", "d:Description": "Excerpt from Burning Marguerite, and an interview.", "topic": "Top/Arts/Literature/Authors/I/Inness-Brown,_Elizabeth", "url": "http://www.randomhouse.com/knopf/authors/innessbrown/"} +{"d:Title": "Something Completely Different", "d:Description": "A review of Burning Marguerite.", "topic": "Top/Arts/Literature/Authors/I/Inness-Brown,_Elizabeth", "url": "http://www.nola.com/enter/index.ssf?/books/t-p/index.ssf%3F/entertainmentstory/books14.html"} +{"d:Title": "In Defense of Sentimentality", "d:Description": "By John Irving. [New York Times] The author discusses the importance of sentimentality in writing. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-sentimentality.html"} +{"d:Title": "All About Writing, According to Irving", "d:Description": "By James Feron. [New York Times] The author discusses writing the end of a chapter first and writing the end of a book first and other writing habits. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/224.html"} +{"d:Title": "John Irving: 19th-Century Novelist for These Times", "d:Description": "By Richard Bernstein. [New York Times] Irving discusses the release of \"A Prayer for Owen Meany.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/18325.html"} +{"d:Title": "John Irving: A Novelist Builds Out From Fact to Reach the Truth", "d:Description": "By Mel Gussow. [New York Times] A discussion of \"A Widow for One Year\" and how it relates to the author's own life. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/library/books/042898irving-novel.html"} +{"d:Title": "Pornography and the New Puritans", "d:Description": "By John Irving. [New York Times] The author discusses the pornography victims' compensation bill and censorship. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/25665.html"} +{"d:Title": "Pornography and the New Puritans: Letters From Andrea Dworkin and Others", "d:Description": "[New York Times] Letters to the editor about Irving's \"Pornography and the New Puritans\" essay. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/25885.html"} +{"d:Title": "Is Pornography to Blame?", "d:Description": "By John Irving. [New York Times] The author responds to letters to the editor about his \"Pornography and the New Puritans\" essay. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/26086.html"} +{"d:Title": "Morrison's Black Fable", "d:Description": "By John Irving. [New York Times] The author reviews \"Tar Baby\" by Toni Morrison. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-tar.html"} +{"d:Title": "Desire, Ambition and Father", "d:Description": "By John Irving. [New York Times] The author reviews \"The Good Son\" by Craig Nova. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-good.html"} +{"d:Title": "Risking All for Gold and Grizzlies", "d:Description": "By John Irving. [New York Times] The author reviews \"Seven Rivers West\" by Edward Hoagland. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-seven.html"} +{"d:Title": "Keep Passing Open Windows", "d:Description": "Site by fan Barb Troyer-Turvey devote to Irving and his works.", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.corpus-delicti.com/barb/keeppassing.html"} +{"d:Title": "Penguin Random House: John Irving", "d:Description": "Includes book excerpts, short biography and links.", "topic": "Top/Arts/Literature/Authors/I/Irving,_John", "url": "http://www.penguinrandomhouse.com/authors/14109/john-irving"} +{"d:Title": "John Irving: A Conversation", "d:Description": "By Bill Goldstein. [New York Times] Interview in streaming video format. Irving discusses his literary inspirations, the writing process, and the differences between memory and imagination. [Fee required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Interviews", "url": "http://www.nytimes.com/criticschoice/purchase_irving.html"} +{"d:Title": "John Irving", "d:Description": "By Suzanne Herel. [Mother Jones] Interview with the author that broaches subjects like good manners, religion, and abortion.", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Interviews", "url": "http://www.motherjones.com/media/1997/05/john-irving"} +{"d:Title": "Review: A Widow for One Year", "d:Description": "By Jim Argendeli. [CNN] A review of \"A Widow for One Year.\"", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.cnn.com/books/reviews/9806/02/widow.for.year.cnn/index.html"} +{"d:Title": "No Ideas! It's a Novel!", "d:Description": "By William H. Pritchard. [New York Times] A review of \"A Widow for One Year.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/98/05/24/reviews/980524.24pritcht.html"} +{"d:Title": "A Widow for One Year: Randomness and Luck, but Whew, No Bears", "d:Description": "By Michiko Kakutani. [New York Times] A review of \"A Widow for One Year.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/98/04/26/daily/irving-book-review.html"} +{"d:Title": "A John Irving Sampler Of Testimony and Tales", "d:Description": "By Michiko Kakutani. [New York Times] A review of \"Trying to Save Piggy Sneed.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/19089.html"} +{"d:Title": "Dr. Daruwalla and the Dwarfs", "d:Description": "By Robert Towers. [New York Times] A review of \"A Son of the Circus.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/17275.html"} +{"d:Title": "Review: A Prayer for Owen Meany", "d:Description": "By Alfred Kazin. [New York Times] [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/10212.html"} +{"d:Title": "Guilt and Compassion", "d:Description": "By Benjamin DeMott. [New York Times] A review of \"The Cider House Rules.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/5385.html"} +{"d:Title": "Books of the Times", "d:Description": "By Christopher Lehmann-Haupt. [New York Times] A review of \"The World According to Garp.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-garp.html"} +{"d:Title": "Stumbling Toward Profundity", "d:Description": "By Anatole Broyard. [New York Times] A review of \"The 158-Pound Marriage.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-marriage.html"} +{"d:Title": "Review: The Water-Method Man", "d:Description": "By Jan Carew. [New York Times] A review of the author's second novel. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-watermethod.html"} +{"d:Title": "Review: Setting Free the Bears", "d:Description": "[New York Times] A review of the author's first published novel. [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/97/06/15/lifetimes/irving-bears.html"} +{"d:Title": "No Hand For Irving", "d:Description": "By Tony Buchsbaum. [January Magazine] A review of \"The Fourth Hand.\"", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.januarymagazine.com/fiction/fourthhand.html"} +{"d:Title": "One Hand Clapping", "d:Description": "By Richard Eder. [New York Times] A review of \"The Fourth Hand.\" [Registration required]", "topic": "Top/Arts/Literature/Authors/I/Irving,_John/Reviews", "url": "http://www.nytimes.com/books/01/07/08/reviews/010708.08ederlt.html"} +{"d:Title": "Barnes&Noble - Meet the Writers: Susan Isaacs", "d:Description": "Interview from late 2004 discusses some favorite authors and books.", "topic": "Top/Arts/Literature/Authors/I/Isaacs,_Susan", "url": "http://www.barnesandnoble.com/writers/writerdetails.asp?userid=CJ5qO1c9hH&cid=996936#interview"} +{"d:Title": "Isaacs, Susan", "d:Description": "Novelist and screenwriter. Includes print and audio excerpts, as well a quiz, biography, and message forum.", "topic": "Top/Arts/Literature/Authors/I/Isaacs,_Susan", "url": "http://www.susanisaacs.com/"} +{"d:Title": "IMDB: Susan Isaacs", "d:Description": "Links to screenplays written by the popular novelist.", "topic": "Top/Arts/Literature/Authors/I/Isaacs,_Susan", "url": "http://www.imdb.com/name/nm0410574/"} +{"d:Title": "Christopher Isherwood: Harry Ransom Center", "d:Description": "Contains a biography, and an outline of Isherwood papers held in the university archive.", "topic": "Top/Arts/Literature/Authors/I/Isherwood,_Christopher", "url": "http://norman.hrc.utexas.edu/fasearch/findingaid.cfm?eadid=00061"} +{"d:Title": "Johnson, Keith Lee", "d:Description": "Author's personal site includes articles, interview and excerpts from his work.", "topic": "Top/Arts/Literature/Authors/J", "url": "http://www.keithleejohnson.com/"} +{"d:Title": "Jones, Edward P.", "d:Description": "Wikipedia article, with links.", "topic": "Top/Arts/Literature/Authors/J", "url": "http://en.wikipedia.org/wiki/Edward_P._Jones"} +{"d:Title": "Jeejeebhoy, Shireen", "d:Description": "Includes a blog, excerpts, suggested readings, and a biography.", "topic": "Top/Arts/Literature/Authors/J", "url": "http://jeejeebhoy.ca/"} +{"d:Title": "Jordan, Hillary", "d:Description": "Official site; with a blog, biography, and tour dates.", "topic": "Top/Arts/Literature/Authors/J", "url": "http://www.hillaryjordan.com/"} +{"d:Title": "Max Jacob in Quimper - Lyc\u00e9e Brizeux, Quimper", "d:Description": "The Jacobs' saga, Max Jacob praises Quimper, Bouchaballes plot of land, Max Jacob and the people from Quimper, Quimper celebrates Max Jacob, Max Jacob in Quimper today.", "topic": "Top/Arts/Literature/Authors/J/Jacob,_Max", "url": "http://www.bagadoo.tm.fr/maxjacob/"} +{"d:Title": "Jane Jacobs: Writing on the Web", "d:Description": "Links to excerpts and full text of Jacobs' writings on cities and other topics.", "topic": "Top/Arts/Literature/Authors/J/Jacobs,_Jane", "url": "http://www.preservenet.com/theory/Jacobs.html"} +{"d:Title": "The Nature of Economies", "d:Description": "Review of this book by Jacobs in Le Quebecois Libre, April 2000.", "topic": "Top/Arts/Literature/Authors/J/Jacobs,_Jane", "url": "http://www.quebecoislibre.org/000429-3.htm"} +{"d:Title": "Urban Planning Guru Jane Jacobs on the Traps We Set for Ourselves", "d:Description": "Canadian Press interview and profile on the occasion of the publication of \"The Nature of Economies.\" March 2000.", "topic": "Top/Arts/Literature/Authors/J/Jacobs,_Jane", "url": "http://www.canoe.ca/AllAboutCanoesNewsMar00/27_urb.html"} +{"d:Title": "Guardian Unlimited Obituaries: Jane Jacobs", "d:Description": "Obituary for the urban philosopher, born May 4, 1916; died April 25, 2006.", "topic": "Top/Arts/Literature/Authors/J/Jacobs,_Jane", "url": "http://www.theguardian.com/society/2006/apr/28/communities.guardianobituaries"} +{"d:Title": "W W Jacobs Bibliography", "d:Description": "A bibliography of Jacobs's books and short stories.", "topic": "Top/Arts/Literature/Authors/J/Jacobs,_W._W.", "url": "https://www.fantasticfiction.com/j/w-w-jacobs/"} +{"d:Title": "The John Jakes Website", "d:Description": "The author's official site, promoting his historical novels: includes biography, contact information, an excerpt from his latest book, and bibliography.", "topic": "Top/Arts/Literature/Authors/J/Jakes,_John", "url": "http://www.johnjakes.com/"} +{"d:Title": "RPPS Cultural Service: John Jakes", "d:Description": "Includes a synopsis of the books, \"The Bastard\" and \"The Rebels,\" and a brief biography.", "topic": "Top/Arts/Literature/Authors/J/Jakes,_John", "url": "http://www.angelfire.com/bc/RPPS/fullosia_press_2002/sept_2002_school_daze/bastard_rebel_kent_jakes.htm"} +{"d:Title": "Yahoo! Groups: John Jakes", "d:Description": "Includes a message board for fans of the author's book and the mini-series, \"North and South.\"", "topic": "Top/Arts/Literature/Authors/J/Jakes,_John", "url": "http://tv.groups.yahoo.com/group/northandsouth/messages/"} +{"d:Title": "IMDb:John Jakes", "d:Description": "Contains the author's filmography for his television mini-series.", "topic": "Top/Arts/Literature/Authors/J/Jakes,_John", "url": "http://www.imdb.com/name/nm0415887/"} +{"d:Title": "University of South Carolina: John Jakes", "d:Description": "The author's papers from 1973 to 2001 are available for research and consultation.", "topic": "Top/Arts/Literature/Authors/J/Jakes,_John", "url": "http://library.sc.edu/spcoll/amlit/jakes.html"} +{"d:Title": "The Henry James Scholar's Guide to Web Sites", "d:Description": "Richard D. Hathaway gives an organised, annotated list of online resources for the author and his works, and a chronological list of his works.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry", "url": "http://www.newpaltz.edu/~hathaway/"} +{"d:Title": "Free Online Library: Henry James (1843-1916)", "d:Description": "Portrait and concise biography of this American writer, with links to online e-texts of his works.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry", "url": "http://james.thefreelibrary.com/"} +{"d:Title": "Flashback: Henry James and The Atlantic Monthly", "d:Description": "Article on James and his publishing relationship with The Atlantic Monthly.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry", "url": "http://www.theatlantic.com/magazine/archive/1997/04/henry-james-and-the-atlantic-monthly/306253/"} +{"d:Title": "The Bostonians", "d:Description": "Novel published in 1886: a satirical account of female emancipation in Boston.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://www.newpaltz.edu/~hathaway/bostonians1.html"} +{"d:Title": "Bartleby.com: Henry James", "d:Description": "E-texts of The Th\u00e9\u00e2tre Francais and The Portrait of a Lady.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://www.bartleby.com/people/JamesHson.html"} +{"d:Title": "The Marriages", "d:Description": "HTML version, in page by page format.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://www.pagebypagebooks.com/Henry_James/The_Marriages/"} +{"d:Title": "The Wings of the Dove", "d:Description": "Chapter indexed HTML of the complete text at the Literature Network. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://www.online-literature.com/henry_james/wings_dove/"} +{"d:Title": "The Bostonians", "d:Description": "The full text including a drawing of the author.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://www.ourcivilisation.com/smartboard/shop/jamesh/bstnns/index.htm"} +{"d:Title": "Free Online Library: A Bundle of Letters", "d:Description": "A satiric short story, told in the form of letters, about a series of mishaps that occur to people living in a Parisian boarding house.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://james.thefreelibrary.com/Bundle-of-Letters"} +{"d:Title": "Free Online Library: The American", "d:Description": "Novel published in 1877 about an American man who arrived in Paris and fell in love with a young widow.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://james.thefreelibrary.com/American"} +{"d:Title": "Free Online Library: The Aspern Papers", "d:Description": "The story of a man's struggle to obtain a collection of letters by an American poet.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://james.thefreelibrary.com/Aspern-Papers"} +{"d:Title": "Free Online Library: The Story of It", "d:Description": "The entire text presented one chapter at a time.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works", "url": "http://james.thefreelibrary.com/Story-of-It"} +{"d:Title": "Page by Page Books: Daisy Miller", "d:Description": "Novel published in 1879. HTML version.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/Daisy_Miller", "url": "http://www.pagebypagebooks.com/Henry_James/Daisy_Miller/"} +{"d:Title": "Free Online Library: Daisy Miller", "d:Description": "A story about an American woman traveling in Europe with her mother.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/Daisy_Miller", "url": "http://james.thefreelibrary.com/Daisy-Miller"} +{"d:Title": "Free Online Library: Pandora", "d:Description": "A story about how Count Otto Vogelstein met Pandora Day on a trip from Southampton.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/Pandora", "url": "http://james.thefreelibrary.com/Pandora"} +{"d:Title": "Page by Page Books: The Altar of the Dead", "d:Description": "HTML version.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Altar_of_the_Dead", "url": "http://www.pagebypagebooks.com/Henry_James/The_Altar_of_the_Dead/"} +{"d:Title": "New Paltz: The Ambassadors", "d:Description": "Complete text of the 1909 edition of James's novel.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Ambassadors", "url": "http://www.newpaltz.edu/~hathaway/ambassa.html"} +{"d:Title": "Literature Network: The Ambassadors", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Ambassadors", "url": "http://www.online-literature.com/henry_james/ambassadors/"} +{"d:Title": "Page by Page Books: The Beast in the Jungle", "d:Description": "HTML version, in page by page format.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Beast_in_the_Jungle", "url": "http://www.pagebypagebooks.com/Henry_James/The_Beast_in_the_Jungle/"} +{"d:Title": "New Paltz: The Europeans", "d:Description": "Novel published in 1878, but set in the Boston of the 1830s. HTML version.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Europeans", "url": "http://www.newpaltz.edu/~hathaway/european.html"} +{"d:Title": "Page by Page Books: The Europeans", "d:Description": "Novel published in 1878, but set in the Boston of the 1830s. HTML version.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Europeans", "url": "http://www.pagebypagebooks.com/Henry_James/The_Europeans/"} +{"d:Title": "The Golden Bowl", "d:Description": "The New York edition of 1909.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Golden_Bowl", "url": "http://www.newpaltz.edu/~hathaway/goldenbowl1.html"} +{"d:Title": "Free Online Library: The Jolly Corner", "d:Description": "The tale of a haunted house, which allows a man to see what might have been.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Jolly_Corner", "url": "http://james.thefreelibrary.com/Jolly-Corner"} +{"d:Title": "New Paltz: The Portrait of a Lady", "d:Description": "Text of the 1908 New York edition.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Portrait_of_a_Lady", "url": "http://www.newpaltz.edu/~hathaway/portrait1.html"} +{"d:Title": "Bartleby.com: The Portrait of a Lady", "d:Description": "Novel published in 1881 and regarded as James's masterpiece. HTML version.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Portrait_of_a_Lady", "url": "http://www.bartleby.com/311/"} +{"d:Title": "Literature Network: The Portrait of a Lady", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Portrait_of_a_Lady", "url": "http://www.online-literature.com/henry_james/portrait_lady/"} +{"d:Title": "Page by Page Books: The Turn of the Screw", "d:Description": "HTML version.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Turn_of_the_Screw", "url": "http://www.pagebypagebooks.com/Henry_James/The_Turn_of_the_Screw/"} +{"d:Title": "Free Online Library: Turn Of The Screw", "d:Description": "The story of a young, na\u00efve woman who goes to work as a governess in what appears to be a haunted house.", "topic": "Top/Arts/Literature/Authors/J/James,_Henry/Works/The_Turn_of_the_Screw", "url": "http://james.thefreelibrary.com/Turn-Of-The-Screw"} +{"d:Title": "P. D. James at The New York Times", "d:Description": "Features archived reviews, interviews, and article by and about James.", "topic": "Top/Arts/Literature/Authors/J/James,_P._D.", "url": "http://www.nytimes.com/books/97/12/07/home/james.html"} +{"d:Title": "Review of \"The Maul and the Pear Tree\"", "d:Description": "A review of the book about the 1811 Ratcliffe Highway murders.", "topic": "Top/Arts/Literature/Authors/J/James,_P._D.", "url": "http://dannyreviews.com/h/Maul_Pear_Tree.html"} +{"d:Title": "Virtual Marginalia", "d:Description": "Books listed by series and publication date.", "topic": "Top/Arts/Literature/Authors/J/James,_P._D.", "url": "http://www.papaya-palace.com/katbooks/"} +{"d:Title": "Ernst Jandl: Reft and Light", "d:Description": "Publisher's presentation of the Jandl collection in English translation.", "topic": "Top/Arts/Literature/Authors/J/Jandl,_Ernst", "url": "http://www.burningdeck.com/catalog/jandl.htm"} +{"d:Title": "Mark Jarman", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/J/Jarman,_Mark", "url": "https://www.poets.org/poetsorg/poet/mark-jarman"} +{"d:Title": "Featured Author: Randall Jarrell", "d:Description": "Includes articles and interviews with Jarrell as well as archived reviews of his works at the New York Times.", "topic": "Top/Arts/Literature/Authors/J/Jarrell,_Randall", "url": "http://www.nytimes.com/books/99/08/01/specials/jarrell.html"} +{"d:Title": "Jarrell, Randall", "d:Description": "Poet; with a biography and a selection of poems.", "topic": "Top/Arts/Literature/Authors/J/Jarrell,_Randall", "url": "http://www.americanpoems.com/poets/Randall-Jarrell"} +{"d:Title": "Randall Jarrell", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/J/Jarrell,_Randall", "url": "https://www.poets.org/poetsorg/poet/randall-jarrell"} +{"d:Title": "Tor House Foundation", "d:Description": "Maintains and provides public access to the Tor House and Hawk Tower, Jeffers' home on California's Big Sur coast. Includes essays on Jeffers and his wife Una.", "topic": "Top/Arts/Literature/Authors/J/Jeffers,_Robinson", "url": "http://www.torhouse.org/"} +{"d:Title": "Jeffers Studies", "d:Description": "Published quarterly by California State University Long Beach. Included are news and notes, memoirs, reviews abstracts, short refereed articles, bibliography, and the Una Jeffers Correspondent series.", "topic": "Top/Arts/Literature/Authors/J/Jeffers,_Robinson", "url": "http://www.robinsonjeffersassociation.org/jeffers-studies/"} +{"d:Title": "Robinson Jeffers", "d:Description": "Brief biography, selected bibliography, and a small selection of poems.", "topic": "Top/Arts/Literature/Authors/J/Jeffers,_Robinson", "url": "https://www.poets.org/poetsorg/poet/robinson-jeffers"} +{"d:Title": "Robinson Jeffers", "d:Description": "Five selected poems at Sonnets.org.", "topic": "Top/Arts/Literature/Authors/J/Jeffers,_Robinson/Works", "url": "http://www.sonnets.org/jeffers.htm"} +{"d:Title": "Hurt Hawks", "d:Description": "Html version on The Beckoning.", "topic": "Top/Arts/Literature/Authors/J/Jeffers,_Robinson/Works", "url": "http://www.thebeckoning.com/poetry/misc/rjeffers1.html"} +{"d:Title": "Return", "d:Description": "Jeffers 1935 poem.", "topic": "Top/Arts/Literature/Authors/J/Jeffers,_Robinson/Works", "url": "http://plagiarist.com/poetry/?wid=3068"} +{"d:Title": "Plagiarist Poetry Archive: Robinson Jeffers", "d:Description": "Collection of poems, some with reader comments.", "topic": "Top/Arts/Literature/Authors/J/Jeffers,_Robinson/Works", "url": "http://plagiarist.com/poetry/poets/91/"} +{"d:Title": "The Jerome K. Jerome Society", "d:Description": "Information about the society as well as background information on the man, his works, and museum.", "topic": "Top/Arts/Literature/Authors/J/Jerome,_Jerome_K.", "url": "http://www.jeromekjerome.com/"} +{"d:Title": "The Dancing Partner", "d:Description": "Contains the etext for this story from Novel Notes, London, 1893.", "topic": "Top/Arts/Literature/Authors/J/Jerome,_Jerome_K./Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_dancing.htm"} +{"d:Title": "Page By Page Books: Tea-table Talk", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/J/Jerome,_Jerome_K./Works", "url": "http://www.pagebypagebooks.com/Jerome_K_Jerome/Tea_table_Talk/"} +{"d:Title": "Page By Page Books: Evergreens", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/J/Jerome,_Jerome_K./Works", "url": "http://www.pagebypagebooks.com/Jerome_K_Jerome/Evergreens/"} +{"d:Title": "Three Men In A Boat", "d:Description": "Etext with adjustable sized text and automatic bookmarking from Classicbookshelf.com.", "topic": "Top/Arts/Literature/Authors/J/Jerome,_Jerome_K./Works", "url": "http://www.classicbookshelf.com/library/jerome_k_jerome/three_men_in_a_boat/"} +{"d:Title": "The Nobel Prize in Literature 1956: Juan Ram\u00f3n Jim\u00e9nez", "d:Description": "Presentation and acceptance speeches, as well as a biography.", "topic": "Top/Arts/Literature/Authors/J/Jim\u00e9nez,_Juan_Ram\u00f3n", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1956/"} +{"d:Title": "K. V. Johansen", "d:Description": "Website of the author of children's books, non-fiction and fantasy fiction.", "topic": "Top/Arts/Literature/Authors/J/Johansen,_K._V.", "url": "http://www.sybertooth.com/kvj/"} +{"d:Title": "Crockett Johnson Homepage", "d:Description": "Information about the man who wrote Harold and the Purple Crayon, illustrated Ruth Krauss' classic The Carrot Seed, and created the comic strip Barnaby. Features a biography and bibliography.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Crockett", "url": "http://www.k-state.edu/english/nelp/purple/"} +{"d:Title": "About Helen Kendrick Johnson", "d:Description": "Includes an etext of her anti-suffrage book, Woman and the Republic.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Helen_Kendrick", "url": "http://womenshistory.about.com/library/bio/blbiojohnsonhk.htm"} +{"d:Title": "University of South Carolina Libraries: James Weldon Johnson, 1871-1938", "d:Description": "Biography of the poet, highlights of the libraries' collection, links.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_James_Weldon", "url": "http://www.sc.edu/library/spcoll/amlit/johnson/johnson.html"} +{"d:Title": "Find a Grave: James Weldon Johnson", "d:Description": "Concise biography of the writer and civil rights activist.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_James_Weldon", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6125709"} +{"d:Title": "Academy of American Poets: James Weldon Johnson", "d:Description": "Portrait, brief biography and bibliography, and a few of his poems.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_James_Weldon", "url": "https://www.poets.org/poetsorg/poet/james-weldon-johnson"} +{"d:Title": "God's Trombones: Seven Negro Sermons in Verse", "d:Description": "Digitized version of 1927 book. Includes \"The Creation.\"", "topic": "Top/Arts/Literature/Authors/J/Johnson,_James_Weldon/Works", "url": "http://docsouth.unc.edu/southlit/johnson/menu.html"} +{"d:Title": "Pete Johnson: The Frighteners", "d:Description": "An Infinity Plus review by Meredith.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Pete", "url": "http://www.infinityplus.co.uk/nonfiction/frighteners.htm"} +{"d:Title": "Samuel Johnson", "d:Description": "Online electronic texts, scholarly materials, historical, biographical and bibliographical data, and the usual links to yet more pages on the web about Johnson and his writings.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel", "url": "http://andromeda.rutgers.edu/~jlynch/Johnson/"} +{"d:Title": "The Samuel Johnson Sound Bite Page", "d:Description": "Hundreds of Samuel Johnson quotes/extracts, indexed by theme and searchable.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel", "url": "http://www.samueljohnson.com/"} +{"d:Title": "The Age of Johnson: A Scholarly Annual", "d:Description": "Covers all aspects of literature, history, and culture of the period of Samuel Johnson's literary career, about 1730 to 1810.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel", "url": "http://andromeda.rutgers.edu/~jlynch/AJ/"} +{"d:Title": "Macaulay's Review of Croker's Boswell", "d:Description": "Thomas Macauley's review of the Croker edition of Life of Johnson.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/macaulay.html"} +{"d:Title": "A Guide to Samuel Johnson", "d:Description": "Contains a biography, bibliography, and list of resources.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel", "url": "http://andromeda.rutgers.edu/~jlynch/Johnson/Guide/"} +{"d:Title": "Samuel Johnson (1709 - 1784) Quotes", "d:Description": "Miscellaneous quotes by Johnson.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel", "url": "http://www.phnet.fi/public/mamaa1/johnson.htm"} +{"d:Title": "Samuel Johnson Biography", "d:Description": "Next only to William Shakespeare, Samuel Johnson is perhaps the most quoted of English writers. The latter part of the eighteenth century is often (in English-speaking countries, of course) called, simply, the Age of Johnson.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel", "url": "http://justus.anglican.org/resources/bio/20.html"} +{"d:Title": "On the Death of Mr. Robert Levet.", "d:Description": "Short poem by Johnson from The Oxford Book of English Verse.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://www.bartleby.com/101/451.html"} +{"d:Title": "The Life of Ascham", "d:Description": "Text of the biography.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/ascham.html"} +{"d:Title": "Johnson's Plan of an English Dictionary", "d:Description": "1747 proposal for an English dictionary.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/plan.html"} +{"d:Title": "The Life of Savage", "d:Description": "Etext of the work.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/savage.html"} +{"d:Title": "One-and-Twenty.", "d:Description": "Johnson poem from The Oxford Book of English Verse.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://www.bartleby.com/101/450.html"} +{"d:Title": "Samuel Johnson Quotations", "d:Description": "From Bartlett's Familiar Quotations, 9th edition.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://www.bartleby.com/99/249.html"} +{"d:Title": "Rasselas", "d:Description": "PDF document.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://www.yorku.ca/inpar/johnson_rasselas.pdf"} +{"d:Title": "The Vanity of Human Wishes", "d:Description": "Text taken from a facsimile of the 1749 edition by Jack Lynch.", "topic": "Top/Arts/Literature/Authors/J/Johnson,_Samuel/Works", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/vanity49.html"} +{"d:Title": "Chrestomanci Castle, or Travels in the Land of Ingary", "d:Description": "Site dedicated to Diana Wynne Jones. Structured like the moving castle, it has discussion archives, a bibliography, news, letters from the author, links and a wiki.", "topic": "Top/Arts/Literature/Authors/J/Jones,_Diana_Wynne", "url": "http://suberic.net/dwj/"} +{"d:Title": "Diana Wynne Jones", "d:Description": "Brief description of each of her series.", "topic": "Top/Arts/Literature/Authors/J/Jones,_Diana_Wynne", "url": "http://www.angelfire.com/ca2/ladyailanna/djones.html"} +{"d:Title": "Sirius Recommends", "d:Description": "Bibliography with a description of most of her books.", "topic": "Top/Arts/Literature/Authors/J/Jones,_Diana_Wynne", "url": "http://www.sirius-books.com/revjones.html"} +{"d:Title": "Charmed Life", "d:Description": "Short review of the book.", "topic": "Top/Arts/Literature/Authors/J/Jones,_Diana_Wynne", "url": "http://rambles.net/jones_charmed.html"} +{"d:Title": "Jones, J. Sydney", "d:Description": "Author of 'Hitler in Vienna,' as well as travel guides and thrillers. Profile and publications.", "topic": "Top/Arts/Literature/Authors/J/Jones,_J._Sydney", "url": "http://www.jsydneyjones.com/"} +{"d:Title": "James Jones, Terrence Malick, and \"The Thin Red Line\"", "d:Description": "In this essay Patrick Paul Christle argues that Malick's film version of \"The Thin Red Line\" is not an interpretation of James Jones's novelistic vision, but rather a repudiation of it. A paper delivered at the Twentieth-Century Literature Conference in Louisville, Kentucky on February 22, 2002.", "topic": "Top/Arts/Literature/Authors/J/Jones,_James", "url": "http://christle.freeshell.org/essays/ttrl.pdf"} +{"d:Title": "Erica Jong", "d:Description": "Information about her works and life. Writer's forum, criticism, contact information.", "topic": "Top/Arts/Literature/Authors/J/Jong,_Erica", "url": "http://www.ericajong.com/"} +{"d:Title": "Fear of Failing", "d:Description": "New York Times site features a review of Jong's novel Inventing Memory, archived reviews and a poetry reading by Jong.", "topic": "Top/Arts/Literature/Authors/J/Jong,_Erica", "url": "http://www.nytimes.com/books/97/07/20/reviews/970720.20karbot.html#1"} +{"d:Title": "Judy Jordan - The Academy of American Poets", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/J/Jordan,_Judy", "url": "https://www.poets.org/poetsorg/poet/judy-jordan"} +{"d:Title": "June Jordan\u2019s April 10, 1999", "d:Description": "Dan Schneider wonders aloud why anyone could think that this political screed was good poetry.", "topic": "Top/Arts/Literature/Authors/J/Jordan,_June", "url": "http://www.cosmoetica.com/TOP3-DES3.htm"} +{"d:Title": "Voices From the Gaps: June Jordan", "d:Description": "Biography, criticism, selected bibliography, and links.", "topic": "Top/Arts/Literature/Authors/J/Jordan,_June", "url": "http://voices.cla.umn.edu/artistpages/jordanjune.php"} +{"d:Title": "June Jordan", "d:Description": "Obituary for the African-American poet, essayist, and activist. [The Guardian]", "topic": "Top/Arts/Literature/Authors/J/Jordan,_June", "url": "http://www.theguardian.com/news/2002/jun/20/guardianobituaries.booksobituaries"} +{"d:Title": "June Jordan", "d:Description": "Biographical timeline, bibliography, how to obtain permissions, where her papers are archived.", "topic": "Top/Arts/Literature/Authors/J/Jordan,_June", "url": "http://www.junejordan.net/"} +{"d:Title": "Academy of American Poets: June Jordan", "d:Description": "Photo and brief biographical profile.", "topic": "Top/Arts/Literature/Authors/J/Jordan,_June", "url": "https://www.poets.org/poetsorg/poet/june-jordan"} +{"d:Title": "Ernst J\u00fcnger is still working at 102", "d:Description": "An article by B. John Zavrel.", "topic": "Top/Arts/Literature/Authors/J/J\u00fcnger,_Ernst", "url": "http://www.meaus.com/Ernst%20Junger%20at%20102.html"} +{"d:Title": "Between the Gods and the Titans", "d:Description": "Alain de Benoist considers the achievement of the writer Ernst Juenger and his ideal of the Worker in the context of the Conservative Revolution.", "topic": "Top/Arts/Literature/Authors/J/J\u00fcnger,_Ernst", "url": "http://home.alphalink.com.au/~radnat/debenoist/alain1.html"} +{"d:Title": "Donald Justice Papers", "d:Description": "University of Delaware's special collection of manuscripts, correspondence, and related documents.", "topic": "Top/Arts/Literature/Authors/J/Justice,_Donald", "url": "http://www.lib.udel.edu/ud/spec/findaids/justice/"} +{"d:Title": "Donald Justice", "d:Description": "Biographical notes from the Academy of American Poets.", "topic": "Top/Arts/Literature/Authors/J/Justice,_Donald", "url": "https://www.poets.org/poetsorg/poet/donald-justice"} +{"d:Title": "Kay, Terry", "d:Description": "Award-winning novelist and screenwriter. Biography, news, and comments by the author on each of his books, in MP3 format.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://www.terrykay.com/"} +{"d:Title": "Kellerman, Lois", "d:Description": "A veteran Ethical Culture leader offers a marriage manual. The author's site also includes a calendar of appearances.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://www.loiskellerman.com/"} +{"d:Title": "Klam, Matthew", "d:Description": "Official site for the fiction and non-fiction author includes excerpts, reviews, and buying information.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://www.matthewklam.com/"} +{"d:Title": "Konrath, Jon", "d:Description": "Based in New York City. Profile, news, books, short stories, projects, and personal journal.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://www.rumored.com/"} +{"d:Title": "Karon, Jan", "d:Description": "Official site for the Mitford series author. Books, author profile, reading group guides, forum, events calendar, and newsletter.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://mitfordbooks.com/"} +{"d:Title": "Kenny, Mary", "d:Description": "Irish journalist and writer. Biography, publications, talks and broadcasts.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://www.mary-kenny.com/"} +{"d:Title": "Keegan, Alex", "d:Description": "Official site of the author includes his recent fiction and non-fiction.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://alexkeegan.blogspot.com/"} +{"d:Title": "Kelley, Collin", "d:Description": "Official website of the poet, novelist and journalist. Book sales, weblog, and poetry samples.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://www.collinkelley.blogspot.com/"} +{"d:Title": "Krabb\u00e9, Tim", "d:Description": "Dutch novelist and poet. Writer of screenplays and books and articles on chess and cycling. Site in Dutch and English.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://timkr.home.xs4all.nl/"} +{"d:Title": "Kierstead, Kevin A.", "d:Description": "Kevin A. Kierstead on Facebook gives access to promotional items and news. Genres include science-fiction, humor, and suspense thrillers.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://www.facebook.com/?sk=lf#!/pages/Author-Kevin-A-Kierstead/143497002377440"} +{"d:Title": "Kendrick, Sue", "d:Description": "Books written and services offered by author and designer based in Leicestershire, UK.", "topic": "Top/Arts/Literature/Authors/K", "url": "http://suekendrick.co.uk/"} +{"d:Title": "The Kafka Project by Mauro Nervi", "d:Description": "Texts, links, and information.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://www.kafka.org/"} +{"d:Title": "Kafka Goes to the Movies", "d:Description": "An excerpt from \"Kafka Goes to the Movies\" by Hanns Zischler.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://www.press.uchicago.edu/Misc/Chicago/986713.html"} +{"d:Title": "Constructing Franz Kafka", "d:Description": "Includes his biography, scholarly research and pedagogical exercises, scores of short prose, letters, diaries and texts.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://www.pitt.edu/~kafka/intro.html"} +{"d:Title": "Wikipedia: Franz Kafka", "d:Description": "Short encyclopedia-style article covers his life, theories of literary critics, cinematic adaptations of his works.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://en.wikipedia.org/wiki/Franz_Kafka"} +{"d:Title": "Kafka Project", "d:Description": "Organization attempting to locate materials confiscated from Dora Diamant by the Gestapo and other items related to Kafka and Diamant. Includes descriptions and some images of what they've found, what they are still looking for, and reports of their progress.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://www.kafkaproject.com/"} +{"d:Title": "Franz Kafka Online", "d:Description": "A brief biography and translations of several works.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://www.kafka-online.info/"} +{"d:Title": "Oxford Kafka Research Centre", "d:Description": "Information on current Kafka research and on the activities of the Oxford Kafka Research Centre.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://www.kafka-research.ox.ac.uk/"} +{"d:Title": "Kafka, Franz", "d:Description": "Notes on several of his works, courtesy of the Literature, Arts&Medicine Database.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz", "url": "http://medhum.med.nyu.edu/person/2091"} +{"d:Title": "Franz Kafka's Texts on the Web", "d:Description": "With translations in 6 different languages.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz/Works", "url": "http://www.pitt.edu/~kafka/texts.html"} +{"d:Title": "Cordula's Web", "d:Description": "Franz Kafka's \"Before the Law\" in German, English, and French.", "topic": "Top/Arts/Literature/Authors/K/Kafka,_Franz/Works", "url": "http://www.cordula.ws/stories/kafkalawen.html"} +{"d:Title": "Collector's Homepage: Erich K\u00e4stner (1899-1974)", "d:Description": "Biography of the famous writer, by Thomas Staedeli. Includes image of an autographed photo.", "topic": "Top/Arts/Literature/Authors/K/K\u00e4stner,_Erich", "url": "http://www.cyranos.ch/litkae-e.htm"} +{"d:Title": "Erich K\u00e4stner: The Unknown Famous Author", "d:Description": "Two-part biography of the underrated author. Awards, filmography.", "topic": "Top/Arts/Literature/Authors/K/K\u00e4stner,_Erich", "url": "http://german.about.com/library/weekly/aa112999a.htm"} +{"d:Title": "Erich Kastner", "d:Description": "Short biography. Special interest in picture books for children that have been translated into French.", "topic": "Top/Arts/Literature/Authors/K/K\u00e4stner,_Erich", "url": "http://www.ricochet-jeunes.org/eng/biblio/author/kastner.html"} +{"d:Title": "Wikipedia: Erich K\u00e4stner", "d:Description": "Concise biography and a list of his published books.", "topic": "Top/Arts/Literature/Authors/K/K\u00e4stner,_Erich", "url": "http://en.wikipedia.org/wiki/Erich_K%C3%A4stner"} +{"d:Title": "Steve Katz", "d:Description": "Short \"biography\".", "topic": "Top/Arts/Literature/Authors/K/Katz,_Steve", "url": "http://www.altx.com/katz/katzbio.html"} +{"d:Title": "Creamy&Delicious by Steve Katz", "d:Description": "Enjoy four Mythologies from Steve Katz' seminal book of Short Stories.", "topic": "Top/Arts/Literature/Authors/K/Katz,_Steve", "url": "http://www.altx.com/katz/katz.htm"} +{"d:Title": "Nikos Kazantzakis", "d:Description": "Film and television credits.", "topic": "Top/Arts/Literature/Authors/K/Kazantzakis,_Nikos", "url": "http://www.imdb.com/name/nm0443611/"} +{"d:Title": "The Nikos Kazantzakis Files", "d:Description": "Information in Greek and English, from the Historical Museum of Crete. Includes timeline, letters, many photographs. Bibliographies, information on the museum's collection. Requires JavaScript.", "topic": "Top/Arts/Literature/Authors/K/Kazantzakis,_Nikos", "url": "http://www.historical-museum.gr/kazantzakis/index1.html"} +{"d:Title": "40 years from the death of Nikos Kazantzakis", "d:Description": "Includes extensive biographical information, as well as information on film adaptations of the Greek author's novels.", "topic": "Top/Arts/Literature/Authors/K/Kazantzakis,_Nikos", "url": "http://www.interkriti.org/crete/iraklion/nikos_kazantzakis.html"} +{"d:Title": "Today in History: September 19", "d:Description": "A brief note on Keats and the writing of To Autumn on September 19, 1819, from the American Memory archives of the U. S. Library of Congress .", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://lcweb2.loc.gov/ammem/today/sep19.html"} +{"d:Title": "John-Keats.com", "d:Description": "Poems and letters, detailed biography, forums, a poll on his most popular poem, and online-shop.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.john-keats.com/"} +{"d:Title": "Keats and Shelley House, Rome", "d:Description": "Photographs and description of this fine house next to the Spanish Steps and information on its famous past lodgers, including Keats, Shelley and Byron.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.keats-shelley-house.org/"} +{"d:Title": "John Keats", "d:Description": "Links to online resources from literaryhistory.com . Critical and biographical articles, texts, bibliography and web sites .", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.literaryhistory.com/19thC/KEATS.htm"} +{"d:Title": "Keats List", "d:Description": "An online and e-mail discussion forum for those interested in the life and works of John Keats. Requires free registration.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://groups.yahoo.com/group/keats-list/"} +{"d:Title": "John Keats- Brooklyn College", "d:Description": "Offers an introduction to the poet including poetic themes, odes, imagery, paintings and related sites.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://academic.brooklyn.cuny.edu/english/melani/cs6/keats.html"} +{"d:Title": "Notes on his Life", "d:Description": "Notes dealing with various periods of the author's life, including his love affair with Fanny Brawne.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.utsc.utoronto.ca/~mcuddy/ENGB02Y/Keats.html"} +{"d:Title": "Keats on BBC Poetry", "d:Description": "Profile on BBC Poetry page, with links to discover how Keats fitted in to the Romantic era of poetry and revolution.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.bbc.co.uk/arts/romantics/keats.shtml"} +{"d:Title": "Keats Kingdom", "d:Description": "Containing biographical information about John Keats, notes on his poetry and revision helpsheets for students. Also includes practise exam questions and useful links.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.keatsian.co.uk/"} +{"d:Title": "John Keats Teaching Resources", "d:Description": "Resources on John Keats, his poetry and associations. Ideal for teachers as well as students.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.teachit.co.uk/ks5poetry?T=364"} +{"d:Title": "Keats-Shelley Association of America", "d:Description": "Publishes the Keats-Shelley journal and organizes and supports events. The association also presents an award each year to the best new essay on the younger romantics.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://k-saa.org/"} +{"d:Title": "The Strange Case of Mr. Keats's Tuberculosis", "d:Description": "A look at the anomalous features in the presentation and the course of John Keats's tuberculosis", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://cid.oxfordjournals.org/content/38/7/991.full"} +{"d:Title": "National Portrait Gallery - Person - John Keats", "d:Description": "Collection of known portraits of John Keats, as well as a cast of his face - one of a number made for Keats's close friends.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.npg.org.uk/collections/search/person/mp02480/john-keats"} +{"d:Title": "\"I shall ever be your dearest love\" - John Keats and Fanny Brawne", "d:Description": "Harvard Keats Collection, including a number of Keats\u2019s love letters to Brawne, in addition to images of places and objects associated with the couple.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://hcl.harvard.edu/libraries/houghton/exhibits/keats"} +{"d:Title": "Keats Events", "d:Description": "Great page to find out what's on at Keats House; there's always plenty happening including walks and poetry recitals.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.eventbrite.co.uk/o/keats-house-4777597709"} +{"d:Title": "John Keats (1795-1821)", "d:Description": "Exhibition from the British Library. Includes photographs of the author's original manuscripts, the text of his first published poem, biographical information, and an audio recording of When I have fears that I may cease to be .", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.bl.uk/people/john-keats"} +{"d:Title": "Keats House", "d:Description": "Museum dedicated to the collection, preservation, documentation, exhibition and interpretation of the life and works of the poet. Includes programme of events.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://www.cityoflondon.gov.uk/things-to-do/keats-house/Pages/default.aspx"} +{"d:Title": "John Keats: Images", "d:Description": "Portraits and sketches of the poet and his contemporaries including Fanny Brawne.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John", "url": "http://englishhistory.net/keats/john-keats-images-pictures/"} +{"d:Title": "John Keats", "d:Description": "Casual and humorous take on the life of the British poet.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://incompetech.com/authors/keats"} +{"d:Title": "Wikipedia : John Keats", "d:Description": "Biography of the author, with articles on his major works.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://en.wikipedia.org/wiki/John_Keats"} +{"d:Title": "John Keats Biography", "d:Description": "Short biography and list of places he visited in his short life. Also includes excerpts of poetry.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://www.infobritain.co.uk/John_Keats_Biography_and_Visits.htm"} +{"d:Title": "John Keats Biography", "d:Description": "A concise biography including poems by the English poet John Keats.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://www.poemofquotes.com/johnkeats"} +{"d:Title": "John Keats Biography", "d:Description": "Keats biography focusing on his poetry with the chance for user contributions", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://www.notablebiographies.com/Jo-Ki/Keats-John.html"} +{"d:Title": "John Keats \u2014 A Brief Biography", "d:Description": "Short but sweet biography of Keats.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://www.victorianweb.org/previctorian/keats/bio.html"} +{"d:Title": "John Keats Biography", "d:Description": "Factual biography with a light-hearted edge", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://www.keatsian.co.uk/john-keats-biography.php"} +{"d:Title": "John Keats", "d:Description": "A short biography of Keats, with poems including This Living Hand, links to other resources, and a selected bibliography.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://www.poets.org/poetsorg/poet/john-keats"} +{"d:Title": "The Life of John Keats: A memoir by Charles Armitage Brown", "d:Description": "Full text completed 20 years after the poet's death.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://englishhistory.net/keats/life-john-keats-memoir-charles-armitage-brown/"} +{"d:Title": "The Life of John Keats (1795-1821)", "d:Description": "Extensive biography of John Keats (1795-1821) including letters and portrait images.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Biographies", "url": "http://englishhistory.net/keats/life/"} +{"d:Title": "Enjoying La Belle Dame Sans Merci", "d:Description": "An essay by Ed Friedlander, M.D.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.pathguy.com/lbdsm.htm"} +{"d:Title": "Passions in Poetry", "d:Description": "A short essay and biography and text versions of many of Keats classical poems.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.netpoets.com/classic/037000.htm"} +{"d:Title": "Matt Smaus on Keats's Ode to Psyche", "d:Description": "Abstracts from this publication which looks at that dark period of Keats' life which led to the writing of Ode to Psyche.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.clayfox.com/ashessparks/reports/matthew.html"} +{"d:Title": "Ode to a Nightingale", "d:Description": "An essay by Anne Wullschlager which looks at Keats' Ode to a Nightingale .", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.clayfox.com/ashessparks/reports/anne.html"} +{"d:Title": "Current Bibliography: Keats-Shelley Journal", "d:Description": "Lists books, articles, reviews, dissertations, and other resources published in 1999. Also includes listings for the previous four years.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.rc.umd.edu/reference/ksjbib/index.html"} +{"d:Title": "The People's Poet", "d:Description": "An article from the Socialist Review published December 1995 which looks at the political aspects of the author's works.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://pubs.socialistreviewindex.org.uk/sr192/cox.htm"} +{"d:Title": "Poetry as Enforcement: Conquering the Muse in Keats's 'Ode to Psyche'", "d:Description": "An essay by Kris Steyaert from Romanticism on the Net.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.erudit.org/revue/ron/1996/v/n1/005708ar.html"} +{"d:Title": "John Keats and Fanny Brawne", "d:Description": "Article from the Appletons' Journal written by R.H. Stoddard in 1878. From the University of Michigan.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.hti.umich.edu/cgi/t/text/pageviewer-idx?c=moajrnl;cc=moajrnl;rgn=full+text;idno=acw8433.2-04.004;didno=acw8433.2-04.004;node=acw8433.2-04.004:13;view=image;seq=0391"} +{"d:Title": "John Keats and Nature, an Ecocritical Inquiry", "d:Description": "Study of the poetry and letters, examining the poet's attitudes to nature as central to the creative process. Includes a list of references.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://www.literature-study-online.com/essays/keats.html"} +{"d:Title": "John Keats and Fanny Brawne", "d:Description": "An article on the relationship between Keats and Fanny Brawne from the English History Net.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Reviews", "url": "http://englishhistory.net/keats/fanny-brawne/"} +{"d:Title": "Otho The Great", "d:Description": "Full text of this five act drama. From bibliomania .com .", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works", "url": "http://www.bibliomania.com/0/6/244/1882/frameset.html"} +{"d:Title": "Literature Network: John Keats", "d:Description": "Features a searchable listing of selected poems, and a biography.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works", "url": "http://www.online-literature.com/keats/"} +{"d:Title": "Historic Romantic Love Letters of John Keats", "d:Description": "This letter, written from Rome less than one year before his death, displays Keats' intense and unwavering love for Fanny.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Correspondence", "url": "http://www.theromantic.com/LoveLetters/keats.htm"} +{"d:Title": "Natural History in Keats's Letters", "d:Description": "Excerpts from Keats' letters in which he refers to his love of nature.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Correspondence", "url": "http://users.dickinson.edu/~nicholsa/Romnat/keatslet.htm"} +{"d:Title": "Selected Letters", "d:Description": "Listing of annotated letters navigable either chronologically or by recipient.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Correspondence", "url": "http://englishhistory.net/keats/letters/"} +{"d:Title": "Bartleby.com: John Keats", "d:Description": "Poems from \"The Oxford Book of English Verse: 1250-1900\"; biographical note and article; and etext of the 1884 \"Poetical Works.\"", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://www.bartleby.com/people/Keats-Jo.html"} +{"d:Title": "John Keats", "d:Description": "A selection of sonnets from the Sonnet Central website.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://www.sonnets.org/keats.htm"} +{"d:Title": "Lamia", "d:Description": "Text version from the Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=2490"} +{"d:Title": "Keats Poetry Archive", "d:Description": "A collection of the poems , including \" The Eve of St. Agnes \", \" Ode on a Grecian Urn \", and \" La Belle Dame Sans Merci \".", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_contents.htm"} +{"d:Title": "Earthlore Poetic Space", "d:Description": "La Belle Dame sans Merci, with details of reproductions of three paintings it inspired, a brief commentary and biographical information on Keats. Includes a Portuguese translation.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://www.elore.com/Poetry/Keats/belle.htm"} +{"d:Title": "A Selection of Poems", "d:Description": "Archived at the Poetry Archives from emule.com.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://www.emule.com/poetry/?page=overview&author=46"} +{"d:Title": "Selected Poetry of John Keats (1795-1821)", "d:Description": "Archived at the University of Toronto's Representative Poetry Online website.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://rpo.library.utoronto.ca/poets/kipling-rudyard"} +{"d:Title": "Web Concordance: Keats", "d:Description": "Concordance to Keats' greatest works, the Odes of 1819. From the University of Dundee.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry", "url": "http://www.concordancesoftware.co.uk/webconcordances/keats/framconc.htm"} +{"d:Title": "Ode on a Grecian Urn", "d:Description": "Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 12501900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Odes", "url": "http://www.bartleby.com/101/625.html"} +{"d:Title": "Ode on Melancholy", "d:Description": "From the Project Bartleby archive of the Oxford Book of English Verse (1919 Edition).", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Odes", "url": "http://www.bartleby.com/101/628.html"} +{"d:Title": "Ode to a Nightingale", "d:Description": "Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 12501900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Odes", "url": "http://www.bartleby.com/101/624.html"} +{"d:Title": "Ode to Psyche", "d:Description": "Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 12501900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Odes", "url": "http://www.bartleby.com/101/626.html"} +{"d:Title": "Fragment of an Ode to Maia", "d:Description": "Written on May-Day, 1818. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 12501900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Odes", "url": "http://www.bartleby.com/101/629.html"} +{"d:Title": "Bards of Passion and of Mirth", "d:Description": "Written on the blank page before Beaumont and Fletcher's tragi-comedy The Fair Maid of the Inn . Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 12501900 .", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/630.html"} +{"d:Title": "Fancy", "d:Description": "Full text version from the Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 1250 - 1900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/631.html"} +{"d:Title": "Last Sonnet", "d:Description": "Full text version.Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 1250 - 1900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/637.html"} +{"d:Title": "On first looking into Chapman's Homer", "d:Description": "Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 1250 - 1900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/634.html"} +{"d:Title": "The Human Seasons", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_the_human_seasons.htm"} +{"d:Title": "Song of the Indian Maid", "d:Description": "From 'Endymion'. Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 1250 - 1900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/623.html"} +{"d:Title": "If by Dull Rhymes our English must be Chain'd", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_if_by_dull_rhymes_our_english_must_be_chaind.htm"} +{"d:Title": "Lines on the Mermaid Tavern", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_lines_on_the_mermaid_tavern.htm"} +{"d:Title": "Meg Merrilies", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_meg_merrilies.htm"} +{"d:Title": "On Sitting Down to Read King Lear Once Again", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_On_Sitting_Down_to_Read_King_Lear_Once_Again.htm"} +{"d:Title": "Robin Hood", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_robin_hood.htm"} +{"d:Title": "To Autumn", "d:Description": "Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 1250 - 1900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/627.html"} +{"d:Title": "To Sleep", "d:Description": "Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 1250 - 1900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/636.html"} +{"d:Title": "To Homer", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_to_homer.htm"} +{"d:Title": "When I have Fears that I may cease to be", "d:Description": "Full text version. Quiller-Couch, Arthur, ed. 1919. The Oxford Book of English Verse: 1250 - 1900.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/101/635.html"} +{"d:Title": "To One who has been Long in City Pent", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Authors/K/Keats,_John/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/John_Keats/keats_To_One_who_has_been_Long_in_City_Pent.htm"} +{"d:Title": "William H. Keith, Jr. Bibliography", "d:Description": "ISFDB entry with links to details about works.", "topic": "Top/Arts/Literature/Authors/K/Keith,_William_H.", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?William_H._Keith,_Jr."} +{"d:Title": "Bartleby.com - Gottfried Keller", "d:Description": "Online publication of Gottfried Keller's classic \"The Banner of the Upright Seven.\"", "topic": "Top/Arts/Literature/Authors/K/Keller,_Gottfried/Works", "url": "http://www.bartleby.com/315/2/"} +{"d:Title": "Brigit Pegeen Kelly - The Academy of American Poets", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/K/Kelly,_Brigit_Pegeen", "url": "http://www.poets.org/poetsorg/poet/brigit-pegeen-kelly"} +{"d:Title": "Danny Yee's Book Reviews: Salman the Solitary", "d:Description": "A brief review of Kemal's novel \"Salman the Solitary\", about life in an Anatolian mountain village.", "topic": "Top/Arts/Literature/Authors/K/Kemal,_Yasar", "url": "http://dannyreviews.com/h/Salman_Solitary.html"} +{"d:Title": "Stetson Kennedy", "d:Description": "Provides news about the writer and his works. Includes a list of awards and images.", "topic": "Top/Arts/Literature/Authors/K/Kennedy,_Stetson", "url": "http://www.stetsonkennedy.com/"} +{"d:Title": "Etgar Keret", "d:Description": "Author's official site. Features news, links and photos.", "topic": "Top/Arts/Literature/Authors/K/Keret,_Etgar", "url": "http://www.etgarkeret.com/"} +{"d:Title": "Wikipedia - Etgar Keret", "d:Description": "Provides biography, links and sources about the author.", "topic": "Top/Arts/Literature/Authors/K/Keret,_Etgar", "url": "http://en.wikipedia.org/wiki/Etgar_Keret"} +{"d:Title": "IMDb - Etgar Keret", "d:Description": "Filmography, message board, trivia and awards.", "topic": "Top/Arts/Literature/Authors/K/Keret,_Etgar", "url": "http://www.imdb.com/name/nm0449316/"} +{"d:Title": "MySpace - Etgar Keret", "d:Description": "MySpace profile for Etgar Keret with pictures, videos, personal weblog, interests and information.", "topic": "Top/Arts/Literature/Authors/K/Keret,_Etgar", "url": "https://myspace.com/etgarkeret"} +{"d:Title": "Institute for the Translation of Hebrew Literature - Etgar Keret", "d:Description": "Provides biography and lists of author's published works.", "topic": "Top/Arts/Literature/Authors/K/Keret,_Etgar", "url": "http://www.ithl.org.il/page_13212"} +{"d:Title": "Beat-L", "d:Description": "A Beat Generation Listserv.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.writing.upenn.edu/~afilreis/88/beat-list.html"} +{"d:Title": "Jack Kerouac Character Alias Key", "d:Description": "Based on a list Ann Charters wrote for her 1973 biography of Jack Kerouac.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.litkicks.com/Lists/KerouacNames.html"} +{"d:Title": "Kerouac Connection", "d:Description": "The longest running journal dedicated to the critical study and review of the literature of Jack Kerouac and the Beat Generation.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.angelfire.com/ca2/kerouacconnection/"} +{"d:Title": "Lowell Celebrates Kerouac", "d:Description": "The official site of LCK with information on the annual festival held in October, the birthday celebration in March and other related events. LCK is a not-for-profit organization dedicated to preserving the legacy of Jack Kerouac.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://lckorg.tripod.com/"} +{"d:Title": "The Boston Phoenix", "d:Description": "A short article about the 1999 \"Lowell Celebrates Kerouac\" festival and the battle over control of Kerouac's literary estate.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.bostonphoenix.com/archive/features/99/09/30/KEROUAC.html"} +{"d:Title": "Magician With Words", "d:Description": "Describes his visit to San Francisco, including his trips to the City Lights Bookstore and his other haunts. Also includes bibliographic information.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://edge.net/~dphillip/SanFran.html#KEROUAC"} +{"d:Title": "Ladder to Nirvana", "d:Description": "1957 review of Kerouac's 'On the Road' by Phoebe Lou Adams.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.theatlantic.com/issues/57oct/pla.htm"} +{"d:Title": "Kerouac: Homage to Jack", "d:Description": "Brief essay on the writer.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.americanlegends.com/authors/kerouac.html"} +{"d:Title": "Jack Kerouac and David Amram", "d:Description": "Musician Amram remembers his interactions with Kerouac and his transformation into the figure that would represent the Beats.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.davidamram.com/kerouac.html"} +{"d:Title": "The Subterraneans", "d:Description": "Discussion forum on the works of Jack Kerouac.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://lists.topica.com/lists/JackKerouac"} +{"d:Title": "The Age of Unthink", "d:Description": "This chapter from James Wechsler's \"Reflections of an Angry Middle-Aged Editor\" records Wechler's frustrating confrontation with Jack Kerouac in 1958.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.writing.upenn.edu/~afilreis/50s/wechsler-unthink.html"} +{"d:Title": "The Kerouac Project of Orlando", "d:Description": "A non-profit corporation working to further Kerouac's legacy in Orlando, Florida where Kerouac was living at the time his classic On the Road was published. The goal, is to award aspiring writers with a chance to live in the home rent-free, and receive scholarships to travel and write in the spirit of Kerouac.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.kerouacproject.org/"} +{"d:Title": "Dharma Beat", "d:Description": "An e-zine dedicated to writing about Jack Kerouac's life and work. Includes a calendar of readings, festivals, and other events.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.dharmabeat.com/"} +{"d:Title": "Literary Kicks", "d:Description": "A hypertext annotated literary biography of Kerouac, with a selection of links to further Kerouac-related resources.", "priority": "1", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.litkicks.com/JackKerouac"} +{"d:Title": "Big Sur Reading", "d:Description": "Jack Kerouac Big Sur Marathon reading July 22, 2001.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://poetrybay.com/poembeat/"} +{"d:Title": "David Amram on Jack Kerouac", "d:Description": "Jack Kerouac friend and musical collaborator, the composer David Amram, discusses their life and times in a Jerry Jazz Musician interview.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack", "url": "http://www.jerryjazzmusician.com/2002/07/david-amram-author-of-offbeat-collaborating-with-kerouac/"} +{"d:Title": "Jack Kerouac Pages at Maison d'Etre Bookstore", "d:Description": "Biography, bibliography, and items for sale.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing", "url": "http://www.genordell.com/stores/maison/jack.htm"} +{"d:Title": "Jack Kerouac - 'On the Road'", "d:Description": "Book review of On the Road by Jack Kerouac.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing", "url": "http://bookreviews.nabou.com/reviews/ontheroad.html"} +{"d:Title": "Belief&Technique for Modern Prose", "d:Description": "30 points on Kerouac's spontaneous prose writing method.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing", "url": "http://www.writing.upenn.edu/~afilreis/88/kerouac-technique.html"} +{"d:Title": "Essentials of Spontaneous Prose", "d:Description": "Kerouac's explanation of the spontaneous prose method he invented.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing", "url": "http://www.writing.upenn.edu/~afilreis/88/kerouac-spontaneous.html"} +{"d:Title": "Kerouac Bibliography", "d:Description": "A bibliography of Jack Kerouac's primary publications, sorted by date published, when written, and indicating where they fit in the Duluoz legend.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing", "url": "http://www.emptymirrorbooks.com/beat/kerouac.html"} +{"d:Title": "Random Kerouac Quotes", "d:Description": "Click on refresh for a new, random Jack Kerouac quote.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing", "url": "http://um-hi.com/jack/"} +{"d:Title": "Excerpt from 'The Dharma Bums'", "d:Description": "Ray Smith is trying to hop onto a freight train.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing/Dharma_Bums", "url": "http://www.litkicks.com/Texts/DharmaBoxcar.html"} +{"d:Title": "Excerpt from 'The Dharma Bums'", "d:Description": "Ray Smith and Japhy Ryder are on the campus of the University of California at Berkeley.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing/Dharma_Bums", "url": "http://www.litkicks.com/Texts/DharmaSoap.html"} +{"d:Title": "Excerpt from 'The Dharma Bums'", "d:Description": "Japhy Ryder and Ray Smith are camping out after a day of mountain climbing.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing/Dharma_Bums", "url": "http://www.litkicks.com/Texts/DharmaCamp.html"} +{"d:Title": "Excerpt from 'On The Road'", "d:Description": "'Nobody knows where Slim Gaillard is'.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing/On_The_Road", "url": "http://www.litkicks.com/Texts/RoadJazz.html"} +{"d:Title": "Excerpt from 'On The Road'", "d:Description": "Sal Paradise (Kerouac) tries to make his first cross-country trip alone, and doesn't get very far.", "topic": "Top/Arts/Literature/Authors/K/Kerouac,_Jack/Writing/On_The_Road", "url": "http://www.litkicks.com/Texts/RoadRoute6.html"} +{"d:Title": "Locus: Daniel Keyes interview", "d:Description": "Short excerpts from an interview from the June 1997 edition of Locus Magazine.", "topic": "Top/Arts/Literature/Authors/K/Keyes,_Daniel", "url": "http://www.locusmag.com/1997/Issues/06/Keyes.html"} +{"d:Title": "Mahmud Kianush", "d:Description": "Webpages on \"Iranian poet, writer, literary critic, translator, and the founder of children's poetry in Iran . . . .\"", "topic": "Top/Arts/Literature/Authors/K/Kianush,_Mahmud", "url": "http://www.art-arena.com/mahmud_kianush.htm"} +{"d:Title": "Caribbean Hall of Fame: Jamaica Kincaid", "d:Description": "Short biography.", "topic": "Top/Arts/Literature/Authors/K/Kincaid,_Jamaica", "url": "http://caribbean.halloffame.tripod.com/Jamaica_Kincaid.html"} +{"d:Title": "Jamaica Kincaid", "d:Description": "Biography, list of major themes, suggested readings, and links.", "topic": "Top/Arts/Literature/Authors/K/Kincaid,_Jamaica", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/10/kincaid-jamaica/"} +{"d:Title": "An Interview With Jamaica Kincaid", "d:Description": "At the Missouri Review's website.", "topic": "Top/Arts/Literature/Authors/K/Kincaid,_Jamaica", "url": "http://www.missourireview.com/archives/bbarticle/interview-with-jamaica-kincaid/"} +{"d:Title": "Featured Author", "d:Description": "Includes book reviews, articles by and about the author, and a RealAudio clip of the author reading from The Poisonwood Bible.", "topic": "Top/Arts/Literature/Authors/K/Kingsolver,_Barbara", "url": "http://www.nytimes.com/books/98/10/18/specials/kingsolver.html"} +{"d:Title": "Pure, High Note of Anguish", "d:Description": "Article by the author published in the Los Angeles Times.", "topic": "Top/Arts/Literature/Authors/K/Kingsolver,_Barbara", "url": "http://www.organicconsumers.org/corp/kingsolver092501.cfm"} +{"d:Title": "And Our Flag Was Still There", "d:Description": "Essay on patriotism published in the San Francisco Chronicle.", "topic": "Top/Arts/Literature/Authors/K/Kingsolver,_Barbara", "url": "http://www.organicconsumers.org/corp/kingsolver092601.cfm"} +{"d:Title": "Prodigal Summer", "d:Description": "Review of the novel.", "topic": "Top/Arts/Literature/Authors/K/Kingsolver,_Barbara", "url": "http://www.womenwriters.net/may2001/Prodigal.htm"} +{"d:Title": "EPC/John Kinsella Author Home Page", "d:Description": "Poet; with a biography, and extracts.", "topic": "Top/Arts/Literature/Authors/K/Kinsella,_John", "url": "http://epc.buffalo.edu/authors/kinsella/"} +{"d:Title": "Nobel Laureate in Literature", "d:Description": "Notes on this award. Includes the citation and links to related resources.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.almaz.com/nobel/literature/1907a.html"} +{"d:Title": "The Mumbai Pages", "d:Description": "Informational site which includes links to online texts and other resources.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://theory.tifr.res.in/bombay/persons/rudyard-kipling.html"} +{"d:Title": "Monadnock", "d:Description": "The author lived for a short time in Brattleboro, Vermont. The house in which he lived, named by him Naulakha, afforded spectacular views of Mount Monadnock.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.monadnock.net/whatis/kipling.html"} +{"d:Title": "Rudyard Kipling (1865-1936), Writer and Poet", "d:Description": "Collection of portraits held at the National Portrait Gallery.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp02559"} +{"d:Title": "Jiscmail Archives of Rudyard Kipling", "d:Description": "Mailing list service to exchange information and views on the life and work of the author.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.jiscmail.ac.uk/lists/rudyard-kipling.html"} +{"d:Title": "University of Sussex Special Collections: Kipling Archive", "d:Description": "Archive of the personal papers of the writer held on deposit from the National Trust.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.sussex.ac.uk/library/speccoll/collection_introductions/kipling.html"} +{"d:Title": "The Jungle Book Collection", "d:Description": "Collection overview and images of items based on stories of \"The Jungle Books\". Includes books, film, video and audio items.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.junglebook-collection.nl/"} +{"d:Title": "Victorian Web: Rudyard Kipling", "d:Description": "Includes a biographical and historical chronology and discussions on Kipling's relationship with the British Empire and imperialism.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.victorianweb.org/authors/kipling/index.html"} +{"d:Title": "Rudyard Kipling and Music", "d:Description": "Article by Philip Scowcroft on the music inspired by Kipling with the incidental music written for screen adaptations of his prose works.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.musicweb-international.com/classrev/2001/June01/Kipling.htm"} +{"d:Title": "World War I, The Battle of Jutland", "d:Description": "The author's series of reports in the London Daily Telegraph, October 19, 23, 26, and 31, 1916.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://wwi.lib.byu.edu/index.php/Kiplings'_Reporting_on_The_Battle_of_Jutland"} +{"d:Title": "Bateman's", "d:Description": "National Trust site for the author's family home from 1902 - 1936. Features gallery, events, history, and opening times.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.nationaltrust.org.uk/batemans/"} +{"d:Title": "The Cushing Memorial Library: The Rudyard Kipling Collection", "d:Description": "Collects books, manuscripts, and other items relating to the life and works of Rudyard Kipling. Includes rare images of original books and illustrations and a complete listing of the collection.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://archiveexhibits.library.tamu.edu/kipling/"} +{"d:Title": "Syracuse University Library: Rudyard Kipling Collection", "d:Description": "Details and listings of the letters, papers and manuscripts held in the Kipling collection.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://library.syr.edu/digital/guides/k/kipling_r.htm"} +{"d:Title": "Kipling Society", "d:Description": "A voluntary, non-profit-making organisation, and a Registered Charity. Features a range of information on the author including his life, poems, Batemans, and a picture gallery. Includes a member's section.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.kiplingsociety.co.uk/"} +{"d:Title": "Song Texts", "d:Description": "Collection of the author's works which have been set to song.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=1423"} +{"d:Title": "Free Sci-Fi Classics: Rudyard Kipling", "d:Description": "Biographical notes primarily concerned with Kipling's ventures into the writing of fantasy or the supernatural. Includes a portrait sketch of the author.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Biographies", "url": "http://www.wondersmith.com/scifi/kipling.htm"} +{"d:Title": "Wikipedia: Rudyard Kipling", "d:Description": "Biography of the author, with articles on his major works.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Biographies", "url": "http://en.wikipedia.org/wiki/Rudyard_Kipling"} +{"d:Title": "Making the World Small", "d:Description": "Chapter 3 of G. K. Chesterton's book Heretics in which he writes about Kipling.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Biographies", "url": "http://www.cse.dmu.ac.uk/~mward/gkc/books/heretics/ch3.html"} +{"d:Title": "U.S. Scouting Service Project", "d:Description": "Baden-Powell, the founder of Scouting, based Cub Scouting on one of the stories in Rudyard Kipling's Jungle Book. It was called Mowgli's Brothers.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Reviews", "url": "http://www.usscouts.org/profbvr/jungle_book/"} +{"d:Title": "Freemasonry", "d:Description": "An article on the author's masonic experience.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Reviews", "url": "http://freemasonry.bcy.ca/biography/kipling_r/kipling_r.html"} +{"d:Title": "Kim, by Rudyard Kipling", "d:Description": "Essay by Ian Mackean. Includes thoughts on Kipling's imperialism and love of India.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Reviews", "url": "http://www.literature-study-online.com/essays/kipling.html"} +{"d:Title": "The Ballad of East and West", "d:Description": "A brief examination of some of the more interesting facets of the controversy surrounding this ballad. Essay by J. K. Buda .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Reviews", "url": "http://www.f.waseda.jp/buda/texts/ballad.html#back01"} +{"d:Title": "Literature Network: Rudyard Kipling", "d:Description": "Features a biography and selected searchable works.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works", "url": "http://www.online-literature.com/kipling/"} +{"d:Title": "Free Online Library", "d:Description": "Short profile, and complete texts of several of Kipling's works, including \"The Jungle Book\" and \"Kim.\"", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works", "url": "http://kipling.thefreelibrary.com/"} +{"d:Title": "Kipling, Rudyard", "d:Description": "Author record from the Project Gutenberg. A listing of all etexts currently available.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works", "url": "http://www.gutenberg.org/browse/authors/k#a132"} +{"d:Title": "Words - Rudyard Kipling", "d:Description": "A full text collection of non fiction, novels, short story collections, and verse. Includes both volumes of The Irish Guards in the Great War.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works", "url": "http://www.telelib.com/authors/K/KiplingRudyard/"} +{"d:Title": "Kim", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography. From the Literature Network.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.online-literature.com/kipling/kim/"} +{"d:Title": "Rudyard Kipling", "d:Description": "Four of the author's stories including The Jungle Book, Kim, The Gardener and The Man Who Would Be King, with adjustable sized text and automatic bookmarking. From the Classic Bookshelf.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.classicbookshelf.com/library/Kipling/"} +{"d:Title": "With The Night Mail", "d:Description": "Science fiction from the original magazine version. Illustrated with photos of historic and modern airships as well as some of the news reports and advertisements Kipling fabricated for its publication.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.wondersmith.com/scifi/mail.htm"} +{"d:Title": "Rikki-Tikki-Tavi", "d:Description": "A story from the Jungle Book describing Rikki-Tikki-Tavi's fight with Nag, the big black cobra.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.cs.cmu.edu/afs/cs.cmu.edu/usr/mongoose/www/rtt.html"} +{"d:Title": "Captains Courageous", "d:Description": "A few pages from the novel that describe a multinational congregation of Grand Banks boats at \"The Virgin.\" . From New Jersey Fishing.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.fishingnj.org/bokkipli.htm"} +{"d:Title": "The Man Who Was", "d:Description": "A short story published in 1907.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.bartleby.com/195/22.html"} +{"d:Title": "The Day's Work", "d:Description": "Published in 1898, a collection of stories including The Maltese Cat and The Brushwood Boy. Project Gutenberg edition.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=2569"} +{"d:Title": "The Phantom 'Rickshaw and Other Ghost Stories", "d:Description": "An early collection of short stories including The Strange Ride of Morrowbie Jukes and The Finest Story in The World . From Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=2806"} +{"d:Title": "Plain Tales From the Hills", "d:Description": "Short stories including The Rout of The White Hussars and The Gate of a Hundred Sorrows. From Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=1858"} +{"d:Title": "Under the Deodars", "d:Description": "An early story in etext from the Project Gutenberg Edition .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=2828"} +{"d:Title": "At the End of the Passage", "d:Description": "Full text of this short ghost story.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/ghost-stories-kipling.html"} +{"d:Title": "The Story of the Gadsby", "d:Description": "Early story in etext and zip format, from Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=2821"} +{"d:Title": "Soldiers Three", "d:Description": "Part two of this story which was first published in India in 1888. From Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=2227"} +{"d:Title": "Actions and Reactions", "d:Description": "Project Gutenberg Edition of this collection of short stories.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=2381"} +{"d:Title": "Bibliomania", "d:Description": "Includes online versions of Kim and Stalky and Company.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.bibliomania.com/0/0/31/frameset.html"} +{"d:Title": "Kim", "d:Description": "Considered by many to be the author's finest work. A novel first published in 1901.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.bibliomania.com/0/0/31/66/frameset.html"} +{"d:Title": "Stalky and Co.", "d:Description": "Text from Bibliomania. A collection of school stories based on the author's own experiences. The first story was published in the 'Windsor Magazine' in 1898.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction", "url": "http://www.bibliomania.com/0/0/31/67/frameset.html"} +{"d:Title": "The Jungle Book", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography. From the Literature Network.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Jungle_Books,_The", "url": "http://www.online-literature.com/kipling/jungle_book/"} +{"d:Title": "The Jungle Book", "d:Description": "Complete, chapter-indexed hypertext version.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Jungle_Books,_The", "url": "http://literatureproject.com/jungle-book/"} +{"d:Title": "The Second Jungle Book", "d:Description": "From the Project Gutenberg, published in the wake of the success of The Jungle Book.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Jungle_Books,_The", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=1937"} +{"d:Title": "The Jungle Book", "d:Description": "The classic story of Mowgli, an orphan raised by wolves in India. Chapter indexed HTML.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Jungle_Books,_The", "url": "http://kipling.thefreelibrary.com/Jungle-Book"} +{"d:Title": "The Jungle Book", "d:Description": "Full text from the Baldwin Project.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Jungle_Books,_The", "url": "http://www.mainlesson.com/display.php?author=kipling&book=jungle&story=_contents"} +{"d:Title": "Scouting Resources", "d:Description": "Features full text of the Jungle book together with a short bio of Kipling and his contribution to the scouting movement.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Jungle_Books,_The", "url": "http://www.scoutingresources.org.uk/junglebook/index.html"} +{"d:Title": "The Elephant's Child", "d:Description": "Text version of Kipling's tale from the Just So Stories first published in 1902 about the \"satiable curtiosities\" of a young elephant.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Just_So_Stories", "url": "http://www.online-literature.com/kipling/165/"} +{"d:Title": "Just So Stories", "d:Description": "A collection of children's stories published in 1902 and illustrated by the author.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Just_So_Stories", "url": "http://www.boop.org/jan/justso/"} +{"d:Title": "The Elephant's Child", "d:Description": "Text in English and French indexed by chapter.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Just_So_Stories", "url": "http://www.vialupo.com/kipling/"} +{"d:Title": "Just So Stories", "d:Description": "by Rudyard Kipling. Large print with illustrations.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Fiction/Just_So_Stories", "url": "http://www.mainlesson.com/display.php?author=kipling&book=just&story=_contents"} +{"d:Title": "If", "d:Description": "Etext of poem from Everypoet.com .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.everypoet.com/archive/poetry/Rudyard_Kipling/kipling_if.htm"} +{"d:Title": "Tomlinson", "d:Description": "A poem from the Barrack-Room Ballads collection.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.sff.net/people/DoyleMacdonald/l_tomlin.htm"} +{"d:Title": "Poems", "d:Description": "A selection of some of Kipling's poetry written between 1889 and 1896 including Barrack Room Ballads and The Seven Seas, from everypoet.com .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.everypoet.com/archive/poetry/Rudyard_Kipling/"} +{"d:Title": "Collected Poems", "d:Description": "A large collection of Kipling's poetry, presented in an easily navigable, readable format.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.everypoet.com/archive/poetry/Rudyard_Kipling/kipling_contents.htm"} +{"d:Title": "Poets' Corner - Selected Works", "d:Description": "Text of The Ballad of East and West and McAndrews Hymn with photograph of Kipling from Geocities .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.theotherpages.org/poems/kiplin01.html"} +{"d:Title": "The White Man's Burden", "d:Description": "An imperialistic poem published in McClure's Magazine in February of 1899 .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://historymatters.gmu.edu/d/5478/"} +{"d:Title": "Dover Thrift Editions", "d:Description": "A selection of poems and text notes.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://lib.ru/KIPLING/poemsengl.txt"} +{"d:Title": "Prelude", "d:Description": "Text version of the opening verse to Departmental Ditties.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.telelib.com/authors/K/KiplingRudyard/verse/p2/preludeditties.html"} +{"d:Title": "The Last of The Light Brigade", "d:Description": "\"There were thirty million English who talked of England's might\", a poem published in 1891 .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://wonderingminstrels.blogspot.com/2000/03/last-of-light-brigade-rudyard-kipling.html"} +{"d:Title": "The Way Through the Woods", "d:Description": "A delightful poem which is an uncharacteristic example of the author's work .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://wonderingminstrels.blogspot.com/1999/02/way-through-woods-rudyard-kipling.html"} +{"d:Title": "Poetry Lovers Page", "d:Description": "A complete collection of the Kipling's poems with a searchable index. Also includes biographical notes written by Dmitry Karshtedt .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.poetryloverspage.com/poets/kipling/kipling_ind.html"} +{"d:Title": "Alnaschar and the Oxen", "d:Description": "Text from Kipling.org .", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.kiplingsociety.co.uk/poems_alnasch.htm"} +{"d:Title": "The Mary Gloucester", "d:Description": "A poem published in 1894.", "topic": "Top/Arts/Literature/Authors/K/Kipling,_Rudyard/Works/Poetry", "url": "http://www.kiplingsociety.co.uk/poems_gloster.htm"} +{"d:Title": "Inventory for the William Kittredge Papers, 1954-2000 and undated", "d:Description": "Includes biographical sketch, primary bibliography, and an index of the collection.", "topic": "Top/Arts/Literature/Authors/K/Kittredge,_William", "url": "http://www.lib.utexas.edu/taro/tturb/00158/trb-00158.html"} +{"d:Title": "The Nature of Generosity", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/Authors/K/Kittredge,_William", "url": "http://www.spiritualityandpractice.com/books/books.php?id=2562"} +{"d:Title": "Carolyn Kizer", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/K/Kizer,_Carolyn", "url": "http://www.poets.org/poetsorg/poet/carolyn-kizer"} +{"d:Title": "The Guardian: Naomi Klein", "d:Description": "Archive of Klein's columns.", "topic": "Top/Arts/Literature/Authors/K/Klein,_Naomi", "url": "http://www.theguardian.com/profile/naomiklein"} +{"d:Title": "Spike Magazine: No Logo", "d:Description": "Gary Marshall's review: \"Chomsky without the paranoia\".", "topic": "Top/Arts/Literature/Authors/K/Klein,_Naomi/No_Logo", "url": "http://www.spikemagazine.com/0400nologo.php"} +{"d:Title": "Frontline: Excerpts from No Logo", "d:Description": "Excerpts, book cover image, and commentary.", "topic": "Top/Arts/Literature/Authors/K/Klein,_Naomi/No_Logo", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/persuaders/interviews/klein.html"} +{"d:Title": "Naomi Klein", "d:Description": "Official site. Includes discussion forum, FAQ, publication information, polls, mailing list, and news.", "priority": "1", "topic": "Top/Arts/Literature/Authors/K/Klein,_Naomi/No_Logo", "url": "http://www.naomiklein.org/"} +{"d:Title": "Guardian Unlimited Books: No Logo", "d:Description": "Entire first chapter of the book.", "topic": "Top/Arts/Literature/Authors/K/Klein,_Naomi/No_Logo", "url": "http://www.theguardian.com/books/2000/nov/27/firstchapters.extract"} +{"d:Title": "Lisa Kleinholz", "d:Description": "\"Site for mystery author Lisa Kleinholz, author of the rock 'n roll mystery Exiles on Main Street.\" Includes an excerpt from Exiles, biographical information, and links.", "topic": "Top/Arts/Literature/Authors/K/Kleinholz,_Lisa", "url": "http://www.kleinholz.com/"} +{"d:Title": "TheatreHistory.com: Heinrich von Kleist (1777-1811)", "d:Description": "A biography of the German dramatist and analysis of his works.", "topic": "Top/Arts/Literature/Authors/K/Kleist,_Heinrich_von", "url": "http://www.theatrehistory.com/german/kleist001.html"} +{"d:Title": "Kleist, Bernd Heinrich Wilhelm von (1777-1811)", "d:Description": "Biographical entry in the 1911 Encyclop\u00e6dia Britannica.", "topic": "Top/Arts/Literature/Authors/K/Kleist,_Heinrich_von", "url": "http://encyclopedia.jrank.org/KHA_KRI/KLEIST_BERND_HEINRICH_WILHELM_V.html"} +{"d:Title": "Everything 2: Heinrich von Kleist", "d:Description": "Comments on the German dramatic poet and his writings.", "topic": "Top/Arts/Literature/Authors/K/Kleist,_Heinrich_von", "url": "http://everything2.com/index.pl?node_id=893471"} +{"d:Title": "Imperfect Myths: Gender and the Construction of Nation in Heinrich von Kleist's Die Hermannsschlacht", "d:Description": "Article by Jennifer M. Kapczynski examines the role of women and the body in this drama.", "topic": "Top/Arts/Literature/Authors/K/Kleist,_Heinrich_von", "url": "http://escholarship.org/uc/item/36q6n0wc#page-155"} +{"d:Title": "On the Marionette Theatre", "d:Description": "Essay, translated by Idris Parry. Includes some background information on the author and his thinking.", "topic": "Top/Arts/Literature/Authors/K/Kleist,_Heinrich_von/Works", "url": "http://southerncrossreview.org/9/kleist.htm"} +{"d:Title": "The Beggarwoman of Locarno", "d:Description": "Translation by Jan Mieszkowski has numbered paragraphs, and sometimes indicates words or phrases from the original German.", "topic": "Top/Arts/Literature/Authors/K/Kleist,_Heinrich_von/Works", "url": "http://www.rc.umd.edu/praxis/deman/mieszkowski/beggarwoman.html"} +{"d:Title": "Etheridge Knight", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography and an audio recording of the poet reading one of his poems.", "topic": "Top/Arts/Literature/Authors/K/Knight,_Etheridge", "url": "http://www.poets.org/poetsorg/poet/etheridge-knight"} +{"d:Title": "Borzoi Reader | Authors | Kenneth Koch", "d:Description": "Brief biography and notes on several books by Koch.", "topic": "Top/Arts/Literature/Authors/K/Koch,_Kenneth", "url": "http://www.randomhouse.com/knopf/authors/koch/"} +{"d:Title": "Jacket 15 - A Tribute to Kenneth Koch", "d:Description": "Special Kenneth Koch feature with contributions by David Lehman, Robert Creeley, John Tranter and others. Includes two interviews.", "topic": "Top/Arts/Literature/Authors/K/Koch,_Kenneth", "url": "http://jacketmagazine.com/15"} +{"d:Title": "Very Rapid Acceleration", "d:Description": "An interview with Kenneth Koch. By John Tranter in Jacket # 5.", "topic": "Top/Arts/Literature/Authors/K/Koch,_Kenneth", "url": "http://www.jacketmagazine.com/05/koch89.html"} +{"d:Title": "An Interview With Kenneth Koch", "d:Description": "By David Kennedy. Recorded in Huddersfield, England, Thursday 5th August 1993.", "topic": "Top/Arts/Literature/Authors/K/Koch,_Kenneth", "url": "http://www.writing.upenn.edu/~afilreis/88/koch.html"} +{"d:Title": "Excerpts from Rose, Where Did You Get That Red?", "d:Description": "From the exhibit \"Serious Play: Reading Poetry with Children,\" at The Academy of American Poets site.", "topic": "Top/Arts/Literature/Authors/K/Koch,_Kenneth", "url": "http://www.poets.org/poetsorg/poet/kenneth-koch"} +{"d:Title": "Penguin Random House - Kenneth Koch", "d:Description": "Contains brief biography and books list.", "topic": "Top/Arts/Literature/Authors/K/Koch,_Kenneth/Works/Poetry", "url": "http://www.penguinrandomhouse.com/authors/16036/kenneth-koch"} +{"d:Title": "AfroPoets.Net: Yusef Komunyakaa", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/K/Komunyakaa,_Yusef", "url": "http://www.afropoets.net/yusefkomunyakaa.html"} +{"d:Title": "Yusef Komunyakaa", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/K/Komunyakaa,_Yusef", "url": "http://www.poets.org/poetsorg/poet/yusef-komunyakaa"} +{"d:Title": "Hans Koning's Official Site", "d:Description": "Includes interviews, books, links and reviews.", "topic": "Top/Arts/Literature/Authors/K/Koning,_Hans", "url": "http://www.hanskoning.net/"} +{"d:Title": "The Janusz Korczak Living Heritage Association", "d:Description": "Books, photographs, The Korczak Chronicle, other information and links.", "topic": "Top/Arts/Literature/Authors/K/Korczak,_Janusz", "url": "http://fcit.usf.edu/holocaust/KORCZAK/default.htm"} +{"d:Title": "Brooklyn Rail - Chris Kraus in Conversation", "d:Description": "Interview with Denise Frimer for The Brooklyn Rail. April 21, 2005", "topic": "Top/Arts/Literature/Authors/K/Kraus,_Chris", "url": "http://www.brooklynrail.org/2006/04/art/chris-kraus-in-conversation-with-denise-frimer"} +{"d:Title": "Cultural Icons - Chris Kraus", "d:Description": "New York born film-maker and writer in an interview with Martin Rumsby.", "topic": "Top/Arts/Literature/Authors/K/Kraus,_Chris", "url": "http://culturalicons.co.nz/episode/chris-kraus"} +{"d:Title": "Hypocrisy or Merely Condradiction?", "d:Description": "A CV of Kraus with a couple of pictures and citations. This article is part of \"Thinking Man's Minefield\".", "topic": "Top/Arts/Literature/Authors/K/Kraus,_Karl", "url": "http://www.theabsolute.net/minefield/kraus.html"} +{"d:Title": "Stephen Krensky Home Page", "d:Description": "Author maintained site with information about his writing, his life and his many children's books.", "topic": "Top/Arts/Literature/Authors/K/Krensky,_Stephen", "url": "http://www.stephenkrensky.com/"} +{"d:Title": "Krysl, Marilyn: Soulskin", "d:Description": "Brief review of \"Soulskin\", a collection of poems by M. Krysl.", "topic": "Top/Arts/Literature/Authors/K/Krysl,_Marilyn", "url": "http://medhum.med.nyu.edu/view/1021"} +{"d:Title": "Krysl, Marilyn: Midwife and Other Poems on Caring", "d:Description": "A short summary of Marilyn Krysl's collection of poetry.", "topic": "Top/Arts/Literature/Authors/K/Krysl,_Marilyn", "url": "http://medhum.med.nyu.edu/view/193"} +{"d:Title": "Marilyn Krysl", "d:Description": "Poems by Marilyn Krysl from \"Warscape with Lovers\" (1997) and information on other books.", "topic": "Top/Arts/Literature/Authors/K/Krysl,_Marilyn/Works", "url": "http://marilynkrysl.sweetrocket.com/"} +{"d:Title": "Maxine Kumin", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/K/Kumin,_Maxine", "url": "http://www.poets.org/poetsorg/poet/maxine-kumin"} +{"d:Title": "Stanley Kunitz", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/K/Kunitz,_Stanley", "url": "http://www.poets.org/poetsorg/poet/stanley-kunitz"} +{"d:Title": "Poetry by Vyacheslav Kuprianov", "d:Description": "An excerpt from the cycle \"In Anyone's Tongue\". With a link to a biography / bibliography of the Russian poet and translator.", "topic": "Top/Arts/Literature/Authors/K/Kupriyanov,_Vyacheslav", "url": "http://www.alb-neckar-schwarzwald.de/poetas/kuprijanow/poetry.html"} +{"d:Title": "IMDB: Hanif Kureishi", "d:Description": "Filmography for the writer.", "topic": "Top/Arts/Literature/Authors/K/Kureishi,_Hanif", "url": "http://www.imdb.com/name/nm0475659/"} +{"d:Title": "Emory Postcolonial Studies - Hanif Kureishi", "d:Description": "Brief biography and selected works.", "topic": "Top/Arts/Literature/Authors/K/Kureishi,_Hanif", "url": "http://postcolonialstudies.emory.edu/hanif-kureishi/"} +{"d:Title": "Manfred Kyber (1880-1933)", "d:Description": "Short biography and bibliography.", "topic": "Top/Arts/Literature/Authors/K/Kyber,_Manfred", "url": "http://www.jbeilharz.de/autores/kyber/"} +{"d:Title": "His Great Moment", "d:Description": "A short story by Manfred Kyber.", "topic": "Top/Arts/Literature/Authors/K/Kyber,_Manfred/Works", "url": "http://www.alb-neckar-schwarzwald.de/autores/kyber/moment.html"} +{"d:Title": "Philip Whalen's Hat", "d:Description": "Poem by Joanne Kyger.", "topic": "Top/Arts/Literature/Authors/K/Kyger,_Joanne", "url": "http://jacketmagazine.com/11/kyger-wh-hat.html"} +{"d:Title": "Joanne Kyger's Portable Poetics", "d:Description": "Article by Andrew Schelling in Jacket # 11.", "topic": "Top/Arts/Literature/Authors/K/Kyger,_Joanne", "url": "http://jacketmagazine.com/11/kyger-schelling.html"} +{"d:Title": "Introduction: A Context for Reading Joanne Kyger", "d:Description": "Linda Russo in Jacket # 11.", "topic": "Top/Arts/Literature/Authors/K/Kyger,_Joanne", "url": "http://jacketmagazine.com/11/kyger-russo.html"} +{"d:Title": "Man", "d:Description": "Poem by Joanne Kyger, with illustrations by Nancy Victoria Davis.", "topic": "Top/Arts/Literature/Authors/K/Kyger,_Joanne", "url": "http://jacketmagazine.com/11/kyger-manpoem.html"} +{"d:Title": "Particularizing People's Lives", "d:Description": "Linda Russo interviews Joanne Kyger.", "topic": "Top/Arts/Literature/Authors/K/Kyger,_Joanne", "url": "http://jacketmagazine.com/11/kyger-iv-by-russo.html"} +{"d:Title": "Lindsey, David", "d:Description": "Author of suspense mystery novels. Details about his books, news, and forum.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.davidlindsey.com/"} +{"d:Title": "Long, Amelia Reynolds", "d:Description": "(1904-1978), penned mystery novels, science fiction, and poetry. Site contains a bibliography of her work and information about her writing career.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://amelialong.tripod.com/"} +{"d:Title": "Linds, Gayle", "d:Description": "Contains bibliography, calendar of events, excerpts, interviews and articles.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.gaylelynds.com/"} +{"d:Title": "Lewis, Sherry", "d:Description": "Author of mystery, time-travel, and contemporary romance novels. Tips for writers, a newsletter, and biography.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.sherrylewisbooks.com/"} +{"d:Title": "Lynn, Allison", "d:Description": "Official site for the writer of 'Now You See It'; with a biography.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.allisonlynnbooks.com/"} +{"d:Title": "Ledbetter, Suzann", "d:Description": "Writer, contributing editor for Family Circle, newspaper columnist, humorist, speaker, biogrpaher and the author of East of Peculiar.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.suzannledbetter.com/"} +{"d:Title": "Lengeman, William I., III", "d:Description": "Author/journalist's site includes weblog, articles, reviews, and short fiction.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.wileng3.com/"} +{"d:Title": "Lippi, Rosina (Sara Donati)", "d:Description": "Contains a biography, blog, excerpts and short stories.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://rosinalippi.com/"} +{"d:Title": "Long, John", "d:Description": "Author's page includes biography, book orders, and downloads of sample chapters.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.johnlong.com/"} +{"d:Title": "Lalami, Laila", "d:Description": "Moroccan-American author of The Moor's Account, Secret Son, and Hope and Other Dangerous Pursuits.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://lailalalami.com/"} +{"d:Title": "Lavender, Bee", "d:Description": "An entrepreneur, and author of Lessons in Taxidermy, Mamaphonic and Breeder. Include journal and news.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.foment.net/"} +{"d:Title": "Lyons, Jeff", "d:Description": "Free e-books as well as books for sale.", "topic": "Top/Arts/Literature/Authors/L", "url": "http://www.jefflyonsbooks.com/"} +{"d:Title": "Madeleine L'Engle: A School Research Project", "d:Description": "Created by two seventh-graders. A detailed biography.", "topic": "Top/Arts/Literature/Authors/L/L'Engle,_Madeleine", "url": "http://mle_project.tripod.com/"} +{"d:Title": "Gifts of Speech: Madeleine L'Engle", "d:Description": "Acceptance speech upon receiving the Margaret Edwards award.", "topic": "Top/Arts/Literature/Authors/L/L'Engle,_Madeleine", "url": "http://www.giftsofspeech.org/l/lengle.html"} +{"d:Title": "Madeline L'Engle", "d:Description": "Biography, bibliography, awards, quotes from reviews.", "topic": "Top/Arts/Literature/Authors/L/L'Engle,_Madeleine", "url": "http://www.ric.edu/astal/authors/madelinel'engle.html"} +{"d:Title": "Madeleine L'Engle", "d:Description": "Official site. Includes news, a bibliography, and a biography.", "topic": "Top/Arts/Literature/Authors/L/L'Engle,_Madeleine", "url": "http://www.madeleinelengle.com/"} +{"d:Title": "L'Engle Novels Grouped by Protagonist(s)", "d:Description": "Guide to the novels of Madeleine L'Engle, grouped by protagonists and/or subject matter.", "topic": "Top/Arts/Literature/Authors/L/L'Engle,_Madeleine", "url": "http://lengleweb.mavarin.com/lnovels.html"} +{"d:Title": "Madeleine L'Engle: An Epic in Time", "d:Description": "Feature story on the writer. [St. Anthony Messenger]", "topic": "Top/Arts/Literature/Authors/L/L'Engle,_Madeleine", "url": "https://www.franciscanmedia.org/madeleine-lengle-an-epic-in-time/"} +{"d:Title": "R.A. Lafferty Devotional Page", "d:Description": "The unofficial homepage of american author R.A. Lafferty.", "topic": "Top/Arts/Literature/Authors/L/Lafferty,_R._A.", "url": "http://www.mulle-kybernetik.com/RAL"} +{"d:Title": "Lagerl\u00f6f, Selma (Nordic Authors)", "d:Description": "Bibliography.", "topic": "Top/Arts/Literature/Authors/L/Lagerl\u00f6f,_Selma", "url": "http://runeberg.org/authors/lagerlof.html"} +{"d:Title": "Selma Lagerl\u00f6f (1858 - 1940)", "d:Description": "Nobel Prize in Literature 1909. With links to prize presentation, biography and acceptance speech.", "topic": "Top/Arts/Literature/Authors/L/Lagerl\u00f6f,_Selma", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1909/"} +{"d:Title": "Tales From Shakespeare - Charles and Mary Lamb", "d:Description": "Online publication of the 1878 classic.", "topic": "Top/Arts/Literature/Authors/L/Lamb,_Charles", "url": "http://www.bartelby.com/1012"} +{"d:Title": "The Works Of Charles Lamb 1775 - 1834", "d:Description": "Includes all Lamb's Elia essays, a bibliography, quotations, pictures, and links to other Lamb resources.", "topic": "Top/Arts/Literature/Authors/L/Lamb,_Charles", "url": "http://www.angelfire.com/nv/mf/lamb/contents.html"} +{"d:Title": "Bartleby.com: Charles Lamb", "d:Description": "A brief biography.", "topic": "Top/Arts/Literature/Authors/L/Lamb,_Charles", "url": "http://www.bartleby.com/people/Lamb-Cha.html"} +{"d:Title": "The Adventures of Ulysses, Charles Lamb, 1808", "d:Description": "Full online text.", "topic": "Top/Arts/Literature/Authors/L/Lamb,_Charles", "url": "http://www.eldritchpress.org/cml/au.html"} +{"d:Title": "Jamaica Kincaid, Merle Hodge, George Lamming", "d:Description": "The Two Worlds of the Child: A study of the novels of three West Indian writers; Jamaica Kincaid, Merle Hodge, and George Lamming", "topic": "Top/Arts/Literature/Authors/L/Lamming,_George", "url": "http://www.literature-study-online.com/essays/kincaid_hodge_lamming.html"} +{"d:Title": "George Lamming", "d:Description": "Emory University's general information about the Barbadan author.", "topic": "Top/Arts/Literature/Authors/L/Lamming,_George", "url": "http://postcolonialstudies.emory.edu/george-lamming/"} +{"d:Title": "Interview with George Lamming.", "d:Description": "A 1989 interview in which Lamming talks about the travels which took him from Barbados, to Trinidad, to England, and back to Barbados.", "topic": "Top/Arts/Literature/Authors/L/Lamming,_George/Interviews", "url": "http://www.pancaribbean.com/banyan/lamming.htm"} +{"d:Title": "Steven Barclay Agency", "d:Description": "Anne Lamott, represented by Steven Barclay Agency, offers some of our culture's most important and thought-provoking voices. Site has information pertaining to lectures, readings, workshops, and conferences.", "topic": "Top/Arts/Literature/Authors/L/Lamott,_Anne", "url": "http://www.barclayagency.com/lamott.html"} +{"d:Title": "Allreaders Anne Lamott Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her greatest novels. You can sign up as an Anne Lamott scholar on our site.", "topic": "Top/Arts/Literature/Authors/L/Lamott,_Anne", "url": "http://allreaders.com/books/anne-lamott-730"} +{"d:Title": "Bird by Bird", "d:Description": "MetroActive Books reviews one of Lamott's publications.", "topic": "Top/Arts/Literature/Authors/L/Lamott,_Anne/Reviews", "url": "http://www.metroactive.com/papers/cruz/08.29.96/lamott-9635.html"} +{"d:Title": "William Langland (ca.1330-1387)", "d:Description": "Includes a short biography, essays, and a link to the e-text of Piers Plowman.", "topic": "Top/Arts/Literature/Authors/L/Langland,_William", "url": "http://www.luminarium.org/medlit/langland.htm"} +{"d:Title": "Meed, Mercede, and Mercy - Langland's Grammatical Metaphor and Its Relation to Piers Plowman as a Whole", "d:Description": "Essay from Medieval Perspectives. Includes notes and works cited.", "topic": "Top/Arts/Literature/Authors/L/Langland,_William", "url": "http://astro.temple.edu/~mjmiller/piers/pptitle.htm"} +{"d:Title": "Langland, William", "d:Description": "A brief biography at infoplease.com.", "topic": "Top/Arts/Literature/Authors/L/Langland,_William", "url": "http://www.infoplease.com/encyclopedia/people/langland-william.html"} +{"d:Title": "Sidney Lanier - Song of the Chattahoochee", "d:Description": "Yale Book of American Verse text.", "topic": "Top/Arts/Literature/Authors/L/Lanier,_Sidney", "url": "http://www.bartleby.com/102/213.html"} +{"d:Title": "Sidney Lanier", "d:Description": "Texts of \"The Harlequin of Dreams\", \"Laus Mariae\", \"In Absence\", and \"Acknowledgment\".", "topic": "Top/Arts/Literature/Authors/L/Lanier,_Sidney", "url": "http://www.sonnets.org/lanier.htm"} +{"d:Title": "Sidney Lanier--Baltimore's Southern Poet-Musician", "d:Description": "Discussion of Lanier's career in Maryland and reference to his monument in Baltimore.", "topic": "Top/Arts/Literature/Authors/L/Lanier,_Sidney", "url": "http://www.baltimoremd.com/monuments/lanier.html"} +{"d:Title": "Sidney Lanier", "d:Description": "Birth and death information, photographs of headstone, historical marker, and birthplace, directions, cemetery details, and interactive comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/L/Lanier,_Sidney", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=602"} +{"d:Title": "Poems of Sidney Lanier", "d:Description": "At World Wide School. In searchable HTML. Each poem (or numbered section, for longer poems) in its own file with links to table of contents, previous, next. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/L/Lanier,_Sidney", "url": "http://www.worldwideschool.org/library/books/lit/poetry/PoemsofSidneyLanier/toc.html"} +{"d:Title": "Poems of Sidney Lanier", "d:Description": "Edited by his wife. Electronic text of the 1884 original.", "topic": "Top/Arts/Literature/Authors/L/Lanier,_Sidney", "url": "http://docsouth.unc.edu/southlit/lanier1/lanier.html"} +{"d:Title": "Lanier, Sidney", "d:Description": "Biography with emphasis on Lanier's activities in Texas, and bibliography.", "topic": "Top/Arts/Literature/Authors/L/Lanier,_Sidney", "url": "http://www.tshaonline.org/handbook/online/articles/fla35"} +{"d:Title": "The Philip Larkin Society", "d:Description": "Includes articles about Philip Larkin's life and works, membership information and a \"Poem of the Month.\"", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.philiplarkin.com/"} +{"d:Title": "Larkin's Predicament", "d:Description": "A detailed article about Larkin's poetry, at the University of Cologne in Germany.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.uni-koeln.de/phil-fak/englisch/larkin.html"} +{"d:Title": "Grip of Light", "d:Description": "Biography, as well as discussion and criticism of Larkin's poetry and writing.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.angelfire.com/poetry/larkin/"} +{"d:Title": "Wikipedia: Philip Larkin", "d:Description": "Brief biography, with external links to some of his popular poetry.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://en.wikipedia.org/wiki/Philip_Larkin"} +{"d:Title": "Guardian Unlimited: A fanfare for the common man", "d:Description": "Andrew Motion on Philip Larkin.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.theguardian.com/books/2003/jul/05/poetry.highereducation"} +{"d:Title": "Guardian Unlimited: Obituary: Monica Jones", "d:Description": "Philip Larkin's muse and mistress, over four decades she was an inspiring - if idiosyncratic - university lecturer in English.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.theguardian.com/news/2001/mar/15/guardianobituaries.books"} +{"d:Title": "Guardian Unlimited: Obituary: Maeve Brennan", "d:Description": "The woman Philip Larkin nearly married, and a profound influence on his work.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.theguardian.com/news/2003/jun/19/guardianobituaries.booksobituaries"} +{"d:Title": "Philip Larkin", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography of Larkin and a selected bibliography.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.poets.org/poetsorg/poet/philip-larkin"} +{"d:Title": "Wounded by Un-Shrapnel", "d:Description": "An article by James Fenton from The New York Review of Books (April 12, 2001) discussing Larkin's life and works.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip", "url": "http://www.nybooks.com/articles/2001/04/12/wounded-by-un-shrapnel/"} +{"d:Title": "Aubade", "d:Description": "Text of Larkin's last major poem.", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip/Works", "url": "http://jrong.tripod.com/aubade.html"} +{"d:Title": "Art of Europe: Larkin", "d:Description": "Seven of Larkin's poems, including \"Church Going,\" \"Ignorance,\" and \"Vers de Societe\".", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip/Works", "url": "http://www.artofeurope.com/larkin/"} +{"d:Title": "Two Larkin poems", "d:Description": "\"Money\" and \"Friday Night at the Royal Station Hotel.\"", "topic": "Top/Arts/Literature/Authors/L/Larkin,_Philip/Works", "url": "http://www.ralphmag.org/larkin.html"} +{"d:Title": "Oh let me leave this world", "d:Description": "Poems by Else Lasker-Sch\u00fcler in German and English translation.", "topic": "Top/Arts/Literature/Authors/L/Lasker-Sch\u00fcler,_Else", "url": "http://www.alb-neckar-schwarzwald.de/poetas/lasker/"} +{"d:Title": "Else Lasker-Sch\u00fcler Info Page", "d:Description": "Links to biographical information, research and reception, current events and miscellaneous items related to the life and work of German-Jewish poet Else Lasker-Sch\u00fcler.", "topic": "Top/Arts/Literature/Authors/L/Lasker-Sch\u00fcler,_Else", "url": "http://www.userpage.fu-berlin.de/~markhall/elsch.html#content"} +{"d:Title": "Dani Zweig's Belated Reviews #30", "d:Description": "Overview of Keith Laumer's writing career.", "topic": "Top/Arts/Literature/Authors/L/Laumer,_Keith", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/030.htm"} +{"d:Title": "Dan Hollifield's Bolo page.", "d:Description": "Stories set in Keith Laumer's science fiction setting.", "topic": "Top/Arts/Literature/Authors/L/Laumer,_Keith", "url": "http://www.aphelion-webzine.com/bolos/bolo.htm"} +{"d:Title": "The Future of the Infantry", "d:Description": "Essay defending the use of infantry on a battlefield ruled by cybertanks.", "topic": "Top/Arts/Literature/Authors/L/Laumer,_Keith", "url": "http://www.military-sf.com/Futinfty.htm"} +{"d:Title": "Poetry of Christine Lavant", "d:Description": "translated by David Chorlton. The Adirondack Review, vol. II, no. 2, 2001.", "topic": "Top/Arts/Literature/Authors/L/Lavant,_Christine/Poetry", "url": "http://www.adirondackreview.homestead.com/chorltongerman2.html"} +{"d:Title": "D.H. Lawrence Site", "d:Description": "A related book-link with information on censorship.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H.", "url": "http://home.earthlink.net/~jgertzma/dhl/index.html"} +{"d:Title": "David Herbert Lawrence", "d:Description": "Images and digitized photographs of D.H. Lawrence, his wife, previous girlfriends, his family, and one of his own paintings.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H.", "url": "http://www.cosmicbaseball.com/dhlhcpp.html"} +{"d:Title": "DH Lawrence: Celebrating a Literary Life", "d:Description": "University of Nottingham site offers Lawrence resources, a virtual tour of important Lawrence landmarks, biography, timeline, and information about the 11th International D.H. Lawrence Conference.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H.", "url": "http://www.dh-lawrence.org.uk/"} +{"d:Title": "D H Lawrence", "d:Description": "Essays by Keith Sagar. [.PDF and .DOC]", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H.", "url": "http://www.keithsagar.co.uk/lawrence.html"} +{"d:Title": "D. H. Lawrence", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H.", "url": "http://www.poets.org/poetsorg/poet/d-h-lawrence"} +{"d:Title": "Sons and Lovers by D.H. Lawrence", "d:Description": "Complete online text, downloadable text, and discussion group from Learn Library.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H./Works", "url": "http://www.learnlibrary.com/sons-lovers/index.htm"} +{"d:Title": "Literature Network: D.H. Lawrence", "d:Description": "\"Lady Chatterley's Lover,\" \"Sons and Lovers,\" and \"Women in Love.\" Searchable HTML, each chapter in its own file. Includes short author biography. Too wide for smaller monitors.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H./Works", "url": "http://www.online-literature.com/dh_lawrence/"} +{"d:Title": "Bibliomania: D.H. Lawrence", "d:Description": "Includes \"Lady Chatterley's Lover,\" \"The Rainbow,\" \"Sons and Lovers,\" and \"Women in Love.\" In HTML, several pages per chapter, with links to previous and next. Does not work in Netscape 3.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H./Works", "url": "http://www.bibliomania.com/0/0/32/frameset.html"} +{"d:Title": "Sons and Lovers", "d:Description": "In HTML. Each chapter in its own file.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H./Works", "url": "http://www.classicbookshelf.com/library/d_h_lawrence/sons_and_lovers/"} +{"d:Title": "Bartleby.com: D.H. Lawrence", "d:Description": "\"Amores\" and \"New Poems.\" Indexed by title and first line. Each poem in its own file, with line numbering. Uses Windows-only characters.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H./Works", "url": "http://www.bartleby.com/people/LawrencDH.html"} +{"d:Title": "Lady Chatterley's Lover", "d:Description": "Plain text, at Project Gutenberg of Australia. 649K.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H./Works", "url": "http://www.gutenberg.net.au/ebooks01/0100181.txt"} +{"d:Title": "The Rainbow", "d:Description": "Plain text, at Project Gutenberg of Australia. Just over 1M.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_D._H./Works", "url": "http://www.gutenberg.net.au/ebooks01/0100341.txt"} +{"d:Title": "Josephine Lawrence", "d:Description": "Information about Lawrence's life and works, including her juvenile fiction and her adult novels. Photos and etexts.", "topic": "Top/Arts/Literature/Authors/L/Lawrence,_Josephine", "url": "http://readseries.com/joslaw/index.htm"} +{"d:Title": "Lear, Limericks and Literature", "d:Description": "\"An introduction to the limerick and other zany rhymes made famous by Edward Lear in the 1850's.\" An online lesson plan aimed at creative writing classes; includes information on the history of the limerick form, as well as biographical material on Lear.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward", "url": "http://www.castlemoyle.com/lear/learte.htm"} +{"d:Title": "Donald Barthelme, The Death of Edward Lear", "d:Description": "A short story from Overnight to Many Distant Cities. New York: Penguin, 1983.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward", "url": "http://www.jessamyn.com/barth/lear.html"} +{"d:Title": "E. Lear Portraits", "d:Description": "from the National Portrait Gallery, London.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp02665"} +{"d:Title": "Edward Lear Home Page", "d:Description": "The life, paintings and complete Nonsense poems of Edward Lear, as well as news on Nonsense in general.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward", "url": "http://www.nonsenselit.org/Lear/"} +{"d:Title": "Edward Lear Hotel", "d:Description": "The hotel in Marble Arch, London, formerly the home of Edward Lear, Victorian painter and limerick writer.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward", "url": "http://www.edlear.com/"} +{"d:Title": "The Owl and the Pussycat", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward/Works", "url": "http://www.glasswings.com.au/giggle/owlpuss.html"} +{"d:Title": "The Owl and the Pussycat", "d:Description": "HTML text of the poem.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward/Works", "url": "http://www.naic.edu/~gibson/poems/lear1.html"} +{"d:Title": "The Two Old Bachelors", "d:Description": "HTML text of the poem, also including a line drawing.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward/Works", "url": "http://www.nonsenselit.org/Lear/ll/tob.html"} +{"d:Title": "The Story of the Four Little Children Who Went Round The World", "d:Description": "In HTML format, with Lear's original illustrations.", "topic": "Top/Arts/Literature/Authors/L/Lear,_Edward/Works", "url": "http://www.nonsenselit.org/Lear/ns/fc.html"} +{"d:Title": "The Evening Sun by David Lehman", "d:Description": "Ace Boggess reviews David Lehman's latest book of poetry.", "topic": "Top/Arts/Literature/Authors/L/Lehman,_David", "url": "http://www.adirondackreview.homestead.com/review15.html"} +{"d:Title": "Review of David Lehman, \"The Last Avant-Garde\"", "d:Description": "By Paul Hoover. Published in Jacket # 6.", "topic": "Top/Arts/Literature/Authors/L/Lehman,_David", "url": "http://www.jacketmagazine.com/06/hoover.html"} +{"d:Title": "Introduction to David Lehman, \"The Last Avant-Garde\"", "d:Description": "From Jacket Magazine.", "topic": "Top/Arts/Literature/Authors/L/Lehman,_David", "url": "http://www.jacketmagazine.com/05/tlag-intro.html"} +{"d:Title": "The Academy of American Poets - Poetry Exhibits - David Lehman", "d:Description": "David Lehman: The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/L/Lehman,_David", "url": "http://www.poets.org/poetsorg/poet/david-lehman"} +{"d:Title": "The Futurological Congress", "d:Description": "Review of \"The Futurological Congress\" by Steven H Silver.", "topic": "Top/Arts/Literature/Authors/L/Lem,_Stanislaw", "url": "http://www.sfsite.com/~silverag/lem.html"} +{"d:Title": "Danny Yee's Book Reviews: Stanislaw Lem", "d:Description": "Reviews of The Futurological Congress and Peter Swirski's A Stanislaw Lem Reader.", "topic": "Top/Arts/Literature/Authors/L/Lem,_Stanislaw", "url": "http://dannyreviews.com/a/Stanislaw_Lem.html"} +{"d:Title": "The Futurological Congress and the Future of Illusion", "d:Description": "Stanislaw Lem foresaw the coming of the age of simulation in which humanity would lose itself in a world of illusions.", "topic": "Top/Arts/Literature/Authors/L/Lem,_Stanislaw", "url": "http://www.transparencynow.com/introlem2.htm"} +{"d:Title": "Solaris - The Official Stanislaw Lem Site", "d:Description": "Biographical information, critical reviews, drawings, a gallery of covers, a gallery of illustrations by Daniel Mroz, essays, short stories, bibliography.", "topic": "Top/Arts/Literature/Authors/L/Lem,_Stanislaw", "url": "http://english.lem.pl/"} +{"d:Title": "Bibliography.", "d:Description": "List of books with short description and links.", "topic": "Top/Arts/Literature/Authors/L/Lem,_Stanislaw", "url": "http://homepages.rpi.edu/~sofkam/lem/"} +{"d:Title": "The Cyberiad: Fables for the Cybernetic Age", "d:Description": "A review of the book by Lem, at the Tal Cohen's Bookshelf website.", "topic": "Top/Arts/Literature/Authors/L/Lem,_Stanislaw", "url": "http://tal.forum2.org/cyberiad"} +{"d:Title": "The New York Times: Elmore Leonard", "d:Description": "News and reviews from the archives on the featured author.", "topic": "Top/Arts/Literature/Authors/L/Leonard,_Elmore", "url": "http://www.nytimes.com/books/98/02/08/home/leonard.html"} +{"d:Title": "Elmore Leonard", "d:Description": "Official web site with pictures, biography, the fan club, and information on his short stories, novels, and movies.", "topic": "Top/Arts/Literature/Authors/L/Leonard,_Elmore", "url": "http://www.elmoreleonard.com/"} +{"d:Title": "Giacomo Leopardi", "d:Description": "Poem \"A se stesso\" by Giacomo Leopardi (1798-1837) in Italian and English translation.", "topic": "Top/Arts/Literature/Authors/L/Leopardi,_Giacomo/Poetry", "url": "http://www.raingod.com/angus/Poetry/Poems/g_leopardi.html"} +{"d:Title": "Alexander Lernet-Holenia", "d:Description": "Austrian novelist, dramatist and poet (1897-1976). Short biography, bibliography and links.", "topic": "Top/Arts/Literature/Authors/L/Lernet-Holenia,_Alexander", "url": "http://www.jbeilharz.de/autores/lernet/"} +{"d:Title": "Bibliomania: Gaston Leroux", "d:Description": "Includes The Phantom of the Opera.", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston", "url": "http://www.bibliomania.com/Fiction/Leroux/index.html"} +{"d:Title": "Gaston Leroux", "d:Description": "Photograph of him and his grave, birth and death information, cemetery details, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4415"} +{"d:Title": "Fantastic Fiction: Gaston Leroux", "d:Description": "Bibliography annotated with links.", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston", "url": "https://www.fantasticfiction.com/l/gaston-leroux/"} +{"d:Title": "Literature Network: The Secret of the Night", "d:Description": "Chapter indexed HTML of the text.", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston/Works", "url": "http://www.online-literature.com/leroux/secret_night/"} +{"d:Title": "Literature Network: Phantom of the Opera", "d:Description": "Chapter indexed HTML of the text.", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston/Works", "url": "http://www.online-literature.com/leroux/phantom_opera/"} +{"d:Title": "Literature Network: The Yellow Room", "d:Description": "Chapter indexed HTML of the text.", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston/Works", "url": "http://www.online-literature.com/leroux/yellow_room/"} +{"d:Title": "Classic Bookshelf: Gaston Leroux", "d:Description": "Three of his works available in Java format, including The Phantom of the Opera.", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston/Works", "url": "http://www.classicbookshelf.com/library/Leroux/"} +{"d:Title": "Lessons From the Phantom of the Opera", "d:Description": "Study and review of the characters, emotions, symbols, and events regarding \"The Phantom of the Opera\".", "topic": "Top/Arts/Literature/Authors/L/Leroux,_Gaston/Works", "url": "http://thephantomslessons.blogspot.com/"} +{"d:Title": "Featured Author: Doris Lessing", "d:Description": "Reviews of Lessing's books from the archives of the New York Times and an audio presentation and reading by Lessing from 1994. The New York Times requires registration.", "topic": "Top/Arts/Literature/Authors/L/Lessing,_Doris", "url": "http://www.nytimes.com/books/99/01/10/specials/lessing.html"} +{"d:Title": "Similarities Between Virginia Woolf and Doris Lessing", "d:Description": "Essay by Lynda Scott in Deep South.", "topic": "Top/Arts/Literature/Authors/L/Lessing,_Doris", "url": "http://www.otago.ac.nz/DeepSouth/vol3no2/scott.html"} +{"d:Title": "Life is Stronger than Fiction -- at 76, Doris Lessing Wants to be Alone", "d:Description": "Interview by Helena de Bertodano of The Daily Telegraph.", "topic": "Top/Arts/Literature/Authors/L/Lessing,_Doris", "url": "http://mural.uv.es/vemivein/0411two.htm"} +{"d:Title": "Writing the Self", "d:Description": "Lynda Scott, University of Otago, suggests that Lessing's self-representational writing is a form of psychoanalysis or psychotherapy which is therapeutic and may involve wish-fulfilment.", "topic": "Top/Arts/Literature/Authors/L/Lessing,_Doris", "url": "http://www.otago.ac.nz/DeepSouth/vol2no2/lessing.html"} +{"d:Title": "Doris Lessing: A Retrospective", "d:Description": "Includes a biography, a detailed bibliography, a catalog of her books, reprinted articles, audio and portraits of the author.", "topic": "Top/Arts/Literature/Authors/L/Lessing,_Doris", "url": "http://dorislessing.org/"} +{"d:Title": "Locus: Jonathan Lethem interview", "d:Description": "Author background information and interview.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan", "url": "http://www.locusmag.com/1997/Issues/10/Lethem.html"} +{"d:Title": "Amazon.com: Zip It!", "d:Description": "\"A fall 1999 interview with Amazon.com's Ryan Boudinot.\"", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan", "url": "http://www.amazon.com/exec/obidos/tg/feature/-/15671/"} +{"d:Title": "Timothy McSweeney's Worldwide Fondness", "d:Description": "\"Jonathan Lethem: The McSweeney's Interview -- In Its Entirety Thus Far\"", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan", "url": "http://www.mcsweeneys.net/articles/jonathan-lethem-the-mcsweeneys-interview-in-its-entirety-thus-far"} +{"d:Title": "Salon Books | Who Killed Brooklyn?", "d:Description": "Article by Lorin Stein with emphasis on Lethem's latest release \"Motherless Brooklyn\" (the 1999 National Book Critics Circle Award nominee, and soon-to-be feature film starring Edward Norton), but it also contains good background information on many of his works.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan", "url": "http://www.salon.com/1999/09/23/brooklyn/"} +{"d:Title": "Salon Books | Screened out", "d:Description": "\"The author of \"Motherless Brooklyn\" spotlights five terrific novels overshadowed by their film versions.\"", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Articles_by_Lethem", "url": "http://www.salon.com/1999/10/18/lethem_2/"} +{"d:Title": "Write Stuff Interview", "d:Description": "by Thomas Stolmar and Alexander Laurence.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Amnesia_Moon", "url": "http://www.altx.com/int2/jonathan.lethem.html"} +{"d:Title": "bn.com - Amnesia Moon", "d:Description": "Synopsis, editor/reader reviews, and ordering information.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Amnesia_Moon", "url": "http://search.barnesandnoble.com/booksearch/isbnInquiry.asp?isbn=0312862202"} +{"d:Title": "Denaturalizing Authority and Learning to Live in the Flesh", "d:Description": "Essay by L.Timmel Duchamp.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Amnesia_Moon", "url": "http://www.ltimmelduchamp.com/node/67"} +{"d:Title": "SF Site Review", "d:Description": "by Steven H Silver.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/As_She_Climbed_Across_the_Table", "url": "http://www.sfsite.com/~silverag/lethem.html"} +{"d:Title": "bn.com - As She Climbed Across the Table", "d:Description": "Synopsis, editor/reader reviews, and ordering information.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/As_She_Climbed_Across_the_Table", "url": "http://search.barnesandnoble.com/booksearch/isbnInquiry.asp?isbn=0375700129"} +{"d:Title": "Amazon.com", "d:Description": "Customer Reviews: As She Climbed Across the Table (Vintage Contemporaries)", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/As_She_Climbed_Across_the_Table", "url": "http://www.amazon.com/gp/product/0375700129/002-5542182-7713668?n=283155"} +{"d:Title": "SF Site Review", "d:Description": "by Steven H. Silver", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Girl_in_Landscape", "url": "http://www.sfsite.com/~silverag/landscape.html"} +{"d:Title": "Amazon.com: Landscape Artist", "d:Description": "Lethem interview for Girl in Landscape.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Girl_in_Landscape", "url": "http://www.amazon.com/exec/obidos/tg/feature/-/12096/"} +{"d:Title": "bn.com - Girl in Landscape", "d:Description": "Synopsis, editor/reader reviews, and ordering information.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Girl_in_Landscape", "url": "http://search.barnesandnoble.com/booksearch/isbnInquiry.asp?isbn=0375703918"} +{"d:Title": "Amazon.com", "d:Description": "Customer Reviews: Girl in Landscape", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Girl_in_Landscape", "url": "http://www.amazon.com/gp/product/0375703918/104-6926275-6130314?n=283155"} +{"d:Title": "bn.com - Gun, with Occasional Music", "d:Description": "Synopsis, editor/reader reviews, and ordering information.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Gun,_with_Occasional_Music", "url": "http://search.barnesandnoble.com/booksearch/isbnInquiry.asp?isbn=0312858787"} +{"d:Title": "Amazon.com", "d:Description": "Editorial Reviews: Gun, With Occasional Music", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Gun,_with_Occasional_Music", "url": "http://www.amazon.com/gp/product/0312858787/103-1265502-7227031?n=283155"} +{"d:Title": "Review | Motherless Brooklyn", "d:Description": "Frederick Zackel's review for January magazine.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.januarymagazine.com/crfiction/motherless.html"} +{"d:Title": "Washingtonpost.com: Postmodern P.I.", "d:Description": "Review by Adam Mazmanian", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.washingtonpost.com/wp-srv/style/books/feed/a33165-1999nov7.htm"} +{"d:Title": "'Motherless Brooklyn' Wins Book Critics Circle Prize", "d:Description": "New York Times. Includes brief plot outline and list of winners in other categories. [Site requires free registration.]", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.nytimes.com/library/books/031400book-price.html"} +{"d:Title": "What Makes Him Tic?", "d:Description": "New York Times review by Albert Mobilio.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.nytimes.com/books/99/10/17/reviews/991017.17mobilot.html"} +{"d:Title": "bn.com - Motherless Brooklyn", "d:Description": "Synopsis, editor/reader reviews, and ordering information.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://search.barnesandnoble.com/booksearch/isbnInquiry.asp?isbn=0385491832"} +{"d:Title": "Amazon.com", "d:Description": "Customer Reviews: Motherless Brooklyn", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.amazon.com/gp/product/0385491832/102-6670946-3295359?n=283155"} +{"d:Title": "Amazon.com: A Glance: Motherless Brooklyn", "d:Description": "Book excerpt.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.amazon.com/gp/product/0385491832/104-2268654-9929556?n=283155"} +{"d:Title": "Salon Health&Body | I Can't Help It!", "d:Description": "Lethem joins in on an article re: Tourette's syndrome (the disorder attributed to his \"Motherless Brooklyn\" main character and narrator, Lionel Essrog).", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.salon.com/2000/01/21/tourettes/"} +{"d:Title": "Salon Books | by Gary Krist", "d:Description": "\"An author comes up with a new (and brilliant) twist for the detective novel: A narrator with Tourette's syndrome.\"", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Novels/Motherless_Brooklyn", "url": "http://www.salon.com/1999/09/23/lethem/"} +{"d:Title": "The Edge of the Bed of Forever", "d:Description": "by Jonathan Lethem and Angus MacDonald", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Stories", "url": "http://www.well.com/user/angus/bed.html"} +{"d:Title": "bn.com - The Wall of the Sky, the Wall of the Eye", "d:Description": "Editor/reader reviews, and ordering information.", "topic": "Top/Arts/Literature/Authors/L/Lethem,_Jonathan/Stories/Wall_of_the_Sky,_the_Wall_of_the_Eye,_The", "url": "http://search.barnesandnoble.com/booksearch/isbnInquiry.asp?isbn=0312863535"} +{"d:Title": "Denise Levertov", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/L/Levertov,_Denise", "url": "http://www.poets.org/poetsorg/poet/denise-levertov"} +{"d:Title": "Adam's Complaint", "d:Description": "Text of the poem in plain-text format.", "topic": "Top/Arts/Literature/Authors/L/Levertov,_Denise/Works", "url": "http://wiretap.area.com/Gopher/Library/Classic/Poetry/adam.p"} +{"d:Title": "Primo Levi", "d:Description": "A biography of and interview with the author.", "topic": "Top/Arts/Literature/Authors/L/Levi,_Primo", "url": "http://www.inch.com/~ari/levi1.html"} +{"d:Title": "Philip Levine", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/L/Levine,_Philip", "url": "http://www.poets.org/poetsorg/poet/philip-levine"} +{"d:Title": "Interview with Philip Levine", "d:Description": "\"An Atlantic Unbound interview with Philip Levine.\"", "topic": "Top/Arts/Literature/Authors/L/Levine,_Philip", "url": "http://www.theatlantic.com/entertainment/archive/1999/04/poetry-pages/377558/"} +{"d:Title": "Philip Levine", "d:Description": "Online resources from the Library of Congress including articles, interviews, audio and video recordings, and biographical information.", "topic": "Top/Arts/Literature/Authors/L/Levine,_Philip", "url": "http://www.loc.gov/rr/program/bib/levine/"} +{"d:Title": "D.A. Levy Homepage", "d:Description": "Poet; with a biography, poetry, and essays.", "topic": "Top/Arts/Literature/Authors/L/Levy,_D._A.", "url": "http://www.thing.net/~grist/l&d/dalevy/dalevy.htm"} +{"d:Title": "C.S. Lewis Foundation", "d:Description": "Committed to advancing the renewal of Christian thought and academic freedom through its summer institutes in Oxford and Cambridge, the C.S. Lewis Study Center-Oxford, faculty forums for scholars of faith, and a planned C.S. Lewis College.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S.", "url": "http://www.cslewis.org/"} +{"d:Title": "C. S. Lewis Institute", "d:Description": "Institute desires to develop disciples who can \"articulate, defend, and live faith in Christ\" through their personal and public life. Offers free newsletter, online articles and searchable index.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S.", "url": "http://www.cslewisinstitute.org/"} +{"d:Title": "St. Mark's Dundela: Belfast, Ireland", "d:Description": "Parish where Lewis was baptized by his grandfather, the first rector. He and his brother later presented a stained glass window in honor of their parents. Includes brief family history, and images of both baptismal certificate and window.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S.", "url": "http://dundela.down.anglican.org/"} +{"d:Title": "Lutheran Theological Review: Clive Staples Lewis", "d:Description": "Volume 19 contains articles of tribute and analysis.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S.", "url": "http://www.brocku.ca/concordiaseminary/LTR/LTR%20XIX.pdf"} +{"d:Title": "Quest Ministries", "d:Description": "Talks, seminars, courses and discussion groups on the life and writings of C.S. Lewis for colleges, churches, home groups and individuals.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S.", "url": "http://www.quest-min.blogspot.com/"} +{"d:Title": "The Cumberland River Lamp Post", "d:Description": "Appreciating C.S. Lewis - his life, works, influence; Lewis internet sightings, Lewis Century presentations, odd links to Lewis, UK tour, journal, photos, audio, sermons, critics, research, archives", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Fan_Pages", "url": "http://www.crlamppost.org/cslewis.htm"} +{"d:Title": "C. S. Lewis and the Inklings", "d:Description": "Mediography, image gallery, research helps for young scholars, author-specific resources, links.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Inklings", "url": "http://personal.bgsu.edu/~edwards/lewis.html"} +{"d:Title": "The Bird and the Baby", "d:Description": "David Lenander's personal site celebrating The Inklings, named after the authors' pet name for their favored Oxford pub, the Eagle and Child. Event announcements and links.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Inklings", "url": "http://www.tc.umn.edu/~d-lena/BirdnBab.html"} +{"d:Title": "Spirits in Bondage: A Cycle of Lyrics", "d:Description": "The poem in three parts, in HTML format. From the World Wide School.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works", "url": "http://www.worldwideschool.org/library/books/lit/poetry/SpiritsinBondage/toc.html"} +{"d:Title": "Factmonster: Narnia", "d:Description": "Special feature includes a detailed biography of the creator of Narnia.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/Chronicles_of_Narnia", "url": "http://www.factmonster.com/spot/narnia1.html"} +{"d:Title": "#narnia", "d:Description": "Undernet chat channel for discussion of the Biblical parallels found in Chronicles of Narnia series. Rules, meeting schedule, links.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/Chronicles_of_Narnia", "url": "http://narnia.freeservers.com/"} +{"d:Title": "Into the Wardrobe - a C. S. Lewis website", "d:Description": "Includes a daily quote, biography, essays, photos, audio files, discussion forum archives and an online bookstore.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/Chronicles_of_Narnia", "url": "http://cslewis.drzeus.net/"} +{"d:Title": "Home School Treasures: Tales of Narnia", "d:Description": "Article warning parents not to let their children read the book because it contains witchcraft, a curse, the drinking of alcoholic beverages and a Christ-like lion who can not be trusted 100%.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/Chronicles_of_Narnia", "url": "http://www.hstreasures.com/articles/narnia.html"} +{"d:Title": "The Lion's Call", "d:Description": "Learn about both the facts and the uses of allegory in the books, look at images, or download Narnia desktop icons.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/Chronicles_of_Narnia", "url": "http://www.thelionscall.com/"} +{"d:Title": "The Narnia Web", "d:Description": "Includes a free 20-lesson course for children and adults as well as games, art, maps, and articles on the books. Also features information on the movie.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/Chronicles_of_Narnia", "url": "http://thenarniaweb.weebly.com/"} +{"d:Title": "De-Fanging C.S. Lewis: Will New Narnia Books Lose the Religion?", "d:Description": "Article by Joe Woodward in the National Catholic Register, about HarperCollins plans to create new Narnia books. A leaked memo suggests that the publisher intends to avoid any Christian imagery.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/Chronicles_of_Narnia", "url": "http://www.catholiceducation.org/en/culture/literature/de-fanging-c-s-lewis-will-new-narnia-books-lose-the-religion.html"} +{"d:Title": "An Analysis of the Screwtape Letters", "d:Description": "Includes a synopsis of the ScrewtapeLetters, a short biography, a bibliography of his works still in print and a bulletin board.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/The_Screwtape_Letters", "url": "http://www.biblestudyinfo.com/screwtape/"} +{"d:Title": "Reading Group Guide: The Screwtape Letters", "d:Description": "A brief description of the book and discussion questions.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_C._S./Works/The_Screwtape_Letters", "url": "http://www.readinggroupguides.com/reviews/the-screwtape-letters"} +{"d:Title": "Find a Grave: Sinclair Lewis", "d:Description": "Portrait, biography, and photos of his gravesite.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=624&df=all"} +{"d:Title": "Nobel Prize for Literature 1930 - Presentation Speech", "d:Description": "By Erik Axel Karlfeldt of the Swedish Academy.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1930/press.html"} +{"d:Title": "Autobiography of Sinclair Lewis", "d:Description": "Written for acceptance of Nobel Prize in Literature 1930.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1930/lewis-bio.html"} +{"d:Title": "The American Fear of Literature", "d:Description": "Sinclair Lewis' Nobel Lecture, December 12, 1930.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1930/lewis-lecture.html"} +{"d:Title": "Sinclair Lewis Society", "d:Description": "Includes biographical and bibliographical information, a literary-biographical timeline, a quiz, information on film adaptations, a few of Lewis's favorite recipes, information on the Sinclair Lewis Newsletter, and links to further resources.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair", "url": "http://english.illinoisstate.edu/sinclairlewis/"} +{"d:Title": "Babbitt", "d:Description": "In HTML, at Bartleby.com. Each chapter in its own file, with links to previous and next. Paragraphs are numbered. The book has its own search engine. Uses Windows-only characters.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair/Works", "url": "http://www.bartleby.com/162/index.html"} +{"d:Title": "Main Street", "d:Description": "In plain text, at Project Gutenberg. Also available as a zip file.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair/Works", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=543"} +{"d:Title": "Our Mr. Wrenn", "d:Description": "In plain text, at Wiretap. 427K.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair/Works", "url": "http://wiretap.area.com/Gopher/Library/Classic/wrenn.txt"} +{"d:Title": "Arrowsmith", "d:Description": "In plain text, at Project Gutenberg of Australia. 933K.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Sinclair/Works", "url": "http://www.gutenberg.net.au/ebooks02/0200131.txt"} +{"d:Title": "Wyndham Lewis: Works Viewable on the Internet", "d:Description": "Wyndham Lewis [Canadian/British Writer and Painter, 1882-1957]. Links to the artist's works in art museum sites and image archives worldwide.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Wyndham", "url": "http://www.artcyclopedia.com/artists/lewis_wyndham.html"} +{"d:Title": "Wyndham Lewis", "d:Description": "Short biography, painting \"A Battery Shelled\" (1919) and several quotes by and on Lewis.", "topic": "Top/Arts/Literature/Authors/L/Lewis,_Wyndham", "url": "http://spartacus-educational.com/ARTlewis.htm"} +{"d:Title": "Joseph Sheridan Le Fanu", "d:Description": "An examination of spectres and suicide in the Irish writer's stories.", "topic": "Top/Arts/Literature/Authors/L/Le_Fanu,_Joseph_Sheridan", "url": "http://www.victorianweb.org/books/suicide/06d.html"} +{"d:Title": "Reviews and Literary Criticism of J. Sheridan Le Fanu's Short Story \"Carmilla\"", "d:Description": "Bibliography. Also includes foreign-language films based on the story.", "topic": "Top/Arts/Literature/Authors/L/Le_Fanu,_Joseph_Sheridan", "url": "http://isd.usc.edu/~melindah/eurovamp/lefanu.htm"} +{"d:Title": "Carmilla", "d:Description": "Full text of the 1872 vampire novella by Le Fanu. HTML. Two versions: all in one file; or each chapter in its own file, with links to previous and next.", "topic": "Top/Arts/Literature/Authors/L/Le_Fanu,_Joseph_Sheridan/Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_carmil.htm"} +{"d:Title": "Green Tea", "d:Description": "Novelette. In HTML. 69K.", "topic": "Top/Arts/Literature/Authors/L/Le_Fanu,_Joseph_Sheridan/Works", "url": "http://www.english.upenn.edu/~nauerbac/tea.html"} +{"d:Title": "Carmilla", "d:Description": "Complete text, in HTML, with notes. 166K.", "topic": "Top/Arts/Literature/Authors/L/Le_Fanu,_Joseph_Sheridan/Works", "url": "http://www.english.upenn.edu/~nauerbac/crml.html"} +{"d:Title": "Uncle Silas", "d:Description": "A novel of suspense. In HTML.", "topic": "Top/Arts/Literature/Authors/L/Le_Fanu,_Joseph_Sheridan/Works", "url": "http://www.ucc.ie/celt/online/E860000-001/"} +{"d:Title": "Time Magazine - Dream Weaver", "d:Description": "Review of the book of the Chinese poet Yang Lian by Tim Kindseth.", "topic": "Top/Arts/Literature/Authors/L/Lian,_Yang", "url": "http://www.time.com/time/magazine/article/0,9171,1989009,00.html"} +{"d:Title": "The Independent - Lee Valley Poems", "d:Description": "Boyd Tonkin reviewing the book by Chinese dissident poet Yang Lian.", "topic": "Top/Arts/Literature/Authors/L/Lian,_Yang", "url": "http://www.independent.co.uk/arts-entertainment/books/reviews/lee-valley-poems-by-yang-lian-1819458.html"} +{"d:Title": "Sime~Gen Inc.", "d:Description": "Jacqueline Lichtenberg and Jean Lorrah's business site, containing their personal pages, the official Sime~Gen fan sites, and various community services such as a free writing school.", "topic": "Top/Arts/Literature/Authors/L/Lichtenberg,_Jacqueline", "url": "http://www.simegen.com/"} +{"d:Title": "The New York Times: Book Review Search Article", "d:Description": "Review of Sime/Gen by Gerald Jonas on January 23, 1983.", "topic": "Top/Arts/Literature/Authors/L/Lichtenberg,_Jacqueline", "url": "http://www.nytimes.com/books/97/03/09/reviews/clarke-two.html"} +{"d:Title": "M.L. Liebler", "d:Description": "Contemporary Detroit poet whose themes include the Vietnam war and events of the 1960s. Biography, schedule of performances, poems, pictures, and information on books and CDs.", "topic": "Top/Arts/Literature/Authors/L/Liebler,_M._L.", "url": "http://www.mlliebler.com/"} +{"d:Title": "A Cataclysm of Thought", "d:Description": "By Alan Lightman. [Atlantic Monthly] The author reviews five epochal papers by Albert Einstein.", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://www.theatlantic.com/issues/99jan/einstein.htm"} +{"d:Title": "Einstein's Dreams Project", "d:Description": "A project based on Lightman's \"Einstein's Dreams.\"", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://web.mit.edu/course/21/21w765j/Spring_97/StudentWork_SP97/Groundhog/LightmanProject/"} +{"d:Title": "Smile", "d:Description": "One of the author's essays from \"Dance for Two.\"", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://www.physlink.com/Education/essay_lightman.cfm"} +{"d:Title": "The Stone Diary", "d:Description": "By Alan Lightman. [New York Times] The author reviews \"The Dechronization of Sam Magruder.\"", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://www.nytimes.com/1996/01/28/books/the-stone-diary.html?scp=1&sq=The+Stone+Diary&st=cse"} +{"d:Title": "NOVA: Einstein Revealed: Relativity", "d:Description": "The author describes relativity.", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://www.pbs.org/wgbh/nova/physics/relativity-and-the-cosmos.html"} +{"d:Title": "Bookreporter.com: Alan Lightman", "d:Description": "Profile of and interview with the author.", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://www.bookreporter.com/authors/alan-lightman"} +{"d:Title": "Interview: Alan Lightman", "d:Description": "The author talks to Robert Birnbaum.", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://www.identitytheory.com/alan-lightman/"} +{"d:Title": "Knopf Doubleday: Alan Lightman", "d:Description": "Author biography and articles as well as a book list.", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://knopfdoubleday.com/author/17655/alan-lightman/"} +{"d:Title": "Megaton Man", "d:Description": "By Alan Lightman. [The New York Review of Books] The author reviews \"Memoirs: A Twentieth-Century Journey in Science and Politics.\"", "topic": "Top/Arts/Literature/Authors/L/Lightman,_Alan", "url": "http://www.nybooks.com/articles/2002/05/23/megaton-man/"} +{"d:Title": "Novelist Mark Lindquist", "d:Description": "Site for novelist Mark Lindquist, author of Never Mind Nirvana, Carnival Desires, Sad Movies. Includes reviews and articles, interviews, biography, photos, contact information, news.", "topic": "Top/Arts/Literature/Authors/L/Lindquist,_Mark", "url": "http://www.marklindquist.net/"} +{"d:Title": "Asian Topics: Li Bo", "d:Description": "Articles on the writer's style and brief analysis of Drinking Alone Under the Moon.", "topic": "Top/Arts/Literature/Authors/L/Li_Bai", "url": "http://www.columbia.edu/itc/eacp/asiasite/topics/index.html?topic=LiBo+subtopic=Intro"} +{"d:Title": "Chinese Poems: Li Bai", "d:Description": "Chinese and English texts of twenty works.", "topic": "Top/Arts/Literature/Authors/L/Li_Bai", "url": "http://www.chinese-poems.com/lb.html"} +{"d:Title": "About Tu Fu", "d:Description": "Hamil's translation of the work, with commentary on the poem and both poets.", "topic": "Top/Arts/Literature/Authors/L/Li_Bai", "url": "http://wonderingminstrels.blogspot.com/2000/08/about-tu-fu-li-po.html"} +{"d:Title": "Danny Yee's Book Reviews: David Lodge", "d:Description": "Reviews of Small World, Therapy and Thinks.", "topic": "Top/Arts/Literature/Authors/L/Lodge,_David", "url": "http://dannyreviews.com/a/David_Lodge.html"} +{"d:Title": "It Was Cognition at First Sight", "d:Description": "Review of \"Thinks...\" by Adam Mars-Jones of Guardian Unlimited.", "topic": "Top/Arts/Literature/Authors/L/Lodge,_David", "url": "http://www.theguardian.com/books/2001/feb/18/fiction.davidlodge"} +{"d:Title": "Interview with David Lodge", "d:Description": "By Raymond H. Thompson.", "topic": "Top/Arts/Literature/Authors/L/Lodge,_David", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-david-lodge"} +{"d:Title": "David Lodge: Thinks", "d:Description": "Review in Salon.com.", "topic": "Top/Arts/Literature/Authors/L/Lodge,_David", "url": "http://www.salon.com/2001/06/22/lodge/"} +{"d:Title": "Christopher Logue", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/L/Logue,_Christopher", "url": "http://www.poets.org/poetsorg/poet/christopher-logue"} +{"d:Title": "Jack London State Historic Park", "d:Description": "(Sonoma County, California) Describes its educational, recreational, and shopping opportunities; biography.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://www.parks.sonoma.net/JLPark.html"} +{"d:Title": "Jack London", "d:Description": "A short biography, list of the author's works with links to online texts, and links to other Jack London sites.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://www.jacklondon.com/"} +{"d:Title": "Literature Network: Jack London", "d:Description": "Biography and searchable collection of works.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://www.online-literature.com/london/"} +{"d:Title": "Jack London at Centenary College of Louisiana", "d:Description": "Secondary resources archive containing a bibliography of literary scholarship on Jack London.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://london.centenary.edu/"} +{"d:Title": "Jack London International", "d:Description": "Includes a biography, information on his works, and a forum.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://www.jack-london.org/main_e.htm"} +{"d:Title": "Who was Jack London?", "d:Description": "An overview of Jack London's life. Emphasizes major phases: ranching, sailing, gold prospecting and writing. Complete with photos.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://www.getyourwordsworth.com/WORDSWORTH-JackLondon.html"} +{"d:Title": "The Jack London Collection", "d:Description": "Collection of on-line texts of Jack London's books and short stories, photos, biographical information, and documents and papers relating to his work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://london.sonoma.edu/"} +{"d:Title": "Utne Reader Online - The Call of Jack London", "d:Description": "Article on Jack London's literary career, his popularity through the past century, and the political and scientific themes within his works.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack", "url": "http://www.utne.com/arts/the-call-of-jack-london.aspx"} +{"d:Title": "Literature.org - Jack London", "d:Description": "Electronic texts of selected works including The Call Of The Wild, and The Sea Wolf.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.literature.org/authors/london-jack/"} +{"d:Title": "Page By Page Books: Adventure", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/Adventure/"} +{"d:Title": "Page By Page Books: Jerry of the Islands", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/Jerry_of_the_Islands/"} +{"d:Title": "Page By Page Books: Smoke Bellew", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/Smoke_Bellew/"} +{"d:Title": "Page By Page Books: The Night-Born", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/The_Night_Born/"} +{"d:Title": "Page By Page Books: Trust", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/Trust/Trust_p1.html"} +{"d:Title": "Page By Page Books: That Spot", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/That_Spot/That_Spot_p1.html"} +{"d:Title": "Page By Page Books: Flush of Gold", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/Flush_of_Gold/Flush_of_Gold_p1.html"} +{"d:Title": "The Game", "d:Description": "Free HTML text - read it online, page by page.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/The_Game/index.html"} +{"d:Title": "To Build a Fire", "d:Description": "Free HTML text to be read online, page by page.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/To_Build_a_Fire/index.html"} +{"d:Title": "Lost Face", "d:Description": "Free HTML text to be read online, page by page.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works", "url": "http://www.pagebypagebooks.com/Jack_London/Lost_Face/index.html"} +{"d:Title": "The Call of the Wild", "d:Description": "Chapter-indexed text in HTML and eBook formats. From Literature Project.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Call_of_the_Wild", "url": "http://www.literatureproject.com/call-of-the-wild/index.htm"} +{"d:Title": "The Call of the Wild", "d:Description": "Chapter-indexed paged text in HTML format.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Call_of_the_Wild", "url": "http://www.pagebypagebooks.com/Jack_London/The_Call_of_the_Wild/"} +{"d:Title": "Page By Page Books: Tales of the Klondyke", "d:Description": "Chapter-indexed and paged HTML text of eleven short stories.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Short_Stories", "url": "http://www.pagebypagebooks.com/Jack_London/Tales_of_the_Klondyke/"} +{"d:Title": "Page By Page Books: The Faith of Men", "d:Description": "Chapter-indexed and paged HTML text of eight short stories.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Short_Stories", "url": "http://www.pagebypagebooks.com/Jack_London/The_Faith_of_Men/"} +{"d:Title": "Page By Page Books: The Red One", "d:Description": "Chapter-indexed and paged HTML text of the stories.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Short_Stories", "url": "http://www.pagebypagebooks.com/Jack_London/The_Red_One/"} +{"d:Title": "Page By Page Books: South Sea Tales", "d:Description": "Chapter-indexed and paged HTML text of eight short stories.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Short_Stories", "url": "http://www.pagebypagebooks.com/Jack_London/South_Sea_Tales/"} +{"d:Title": "Page By Page Books: The Strength of the Strong", "d:Description": "Chapter-indexed and paged HTML text of seven short stories.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Short_Stories", "url": "http://www.pagebypagebooks.com/Jack_London/The_Strength_of_the_Strong/"} +{"d:Title": "Page By Page Books: Tales of the Fish Patrol", "d:Description": "Chapter-indexed and paged HTML text of seven short stories.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/Short_Stories", "url": "http://www.pagebypagebooks.com/Jack_London/Tales_of_the_Fish_Patrol/"} +{"d:Title": "White Fang", "d:Description": "Online text, downloadable text, and discussion group from Learn Library.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/White_Fang", "url": "http://www.learnlibrary.com/white-fang/index.htm"} +{"d:Title": "White Fang", "d:Description": "Chapter-indexed paged HTML text.", "topic": "Top/Arts/Literature/Authors/L/London,_Jack/Works/White_Fang", "url": "http://www.pagebypagebooks.com/Jack_London/White_Fang/"} +{"d:Title": "Duncan Long's Home Page", "d:Description": "The author's official site, including artwork, music, short stories, and FAQs.", "topic": "Top/Arts/Literature/Authors/L/Long,_Duncan", "url": "http://duncanlong.com/"} +{"d:Title": "Day of Infamy", "d:Description": "Review of Walter Lord's book about the Japanese attack on Pearl Harbor that brought America into World War Two.", "topic": "Top/Arts/Literature/Authors/L/Lord,_Walter", "url": "http://www.2think.org/infamy.shtml"} +{"d:Title": "Carlo Collodi National Foundation: Pinocchio", "d:Description": "Information about Carlo Lorenzini, his book \"Pinocchio,\" and the village where his mother was born, Collodi. Collodi was Lorenzini's pen name.", "topic": "Top/Arts/Literature/Authors/L/Lorenzini,_Carlo", "url": "http://www.pinocchio.it/"} +{"d:Title": "Wikipedia: Carlo Collodi", "d:Description": "The life of Carlo Lorenzini, author of 'Pinocchio\" and other works.", "topic": "Top/Arts/Literature/Authors/L/Lorenzini,_Carlo", "url": "http://en.wikipedia.org/wiki/Carlo_Collodi"} +{"d:Title": "The Literature Page: The Adventures of Pinocchio by Carlo Collodi", "d:Description": "Translated by Carol Della Chiesa. Text in html format for online reading. Readers may place up to 10 books on a \"bookshelf\" which uses cookies to mark the last page read.", "topic": "Top/Arts/Literature/Authors/L/Lorenzini,_Carlo", "url": "http://www.literaturepage.com/read/pinocchio.html"} +{"d:Title": "Candida Martinelli's Italophile Site: The Adventures of Pinocchio", "d:Description": "An illustrated e-book in PDF format and a short biography of the author.", "topic": "Top/Arts/Literature/Authors/L/Lorenzini,_Carlo", "url": "http://italophiles.com/adventures_of_pinocchio.htm"} +{"d:Title": "Pinocchio", "d:Description": "Online presentation of the book by C. Collodi; illustrated by Frederick Richardson; published by The John C. Winston Co.1927.", "topic": "Top/Arts/Literature/Authors/L/Lorenzini,_Carlo", "url": "http://www.childrensbooksonline.org/pinocchio/"} +{"d:Title": "Your way to Florence: The story of Pinocchio by Carlo Lorenzini", "d:Description": "A short biography telling how he came to write Pinocchio. Includes a couple of Pinocchio photos.", "topic": "Top/Arts/Literature/Authors/L/Lorenzini,_Carlo", "url": "http://www.yourwaytoflorence.com/db/pinocchio/pinocchio.htm"} +{"d:Title": "Agent With Style", "d:Description": "Purchase a copy of Spockanalia #3, a Star Trek fanzine containing Jean Lorrah's \"Visit to a Weird Planet\". Find it in the Star Trek TOS section.", "topic": "Top/Arts/Literature/Authors/L/Lorrah,_Jean", "url": "http://www.agentwithstyle.com/"} +{"d:Title": "Blake's 7 - Raising Hell 1", "d:Description": "Review of a Blake's 7 fanzine containing writing by Jean Lorrah. Site includes a link to buy copies.", "topic": "Top/Arts/Literature/Authors/L/Lorrah,_Jean", "url": "http://www.hermit.org/Blakes7/Fanzines/Reviews3/RaiseHell1.html"} +{"d:Title": "Blake's 7 DESTINY", "d:Description": "Jean Lorrah wrote a story in one issue of this fanzine. List of all contents of the zine and links to purchase copies.", "topic": "Top/Arts/Literature/Authors/L/Lorrah,_Jean", "url": "http://www.hermit.org/Blakes7/Fanzines/Knights1/Destiny.html"} +{"d:Title": "Jean Lorrah's Home Page", "d:Description": "Her home page on simegen.com, including information on her writing, hobbies, and work.", "topic": "Top/Arts/Literature/Authors/L/Lorrah,_Jean", "url": "http://www.simegen.com/jeanplay/"} +{"d:Title": "The Orient of Pierre Loti", "d:Description": "Article explores the French novelist's fascination with the Middle East. [Saudi Aramco World]", "topic": "Top/Arts/Literature/Authors/L/Loti,_Pierre", "url": "http://archive.aramcoworld.com/issue/199204/the.orient.of.pierre.loti.htm"} +{"d:Title": "The Desert", "d:Description": "Excerpt from Pierre Loti's book.", "topic": "Top/Arts/Literature/Authors/L/Loti,_Pierre/Works", "url": "http://ag.arizona.edu/OALS/ALN/aln35/Loti.html"} +{"d:Title": "A Night With the Royal Mummies", "d:Description": "Excerpt from \"La mort de Philae.\" Describes a nighttime visit to the Hall of Mummies at the Museum of Antiquities in Cairo. Illustrated.", "topic": "Top/Arts/Literature/Authors/L/Loti,_Pierre/Works", "url": "http://anubis4_2000.tripod.com/subpages1/Loti.htm"} +{"d:Title": "A Visit to KV35", "d:Description": "Excerpt from \"La mort de Philae.\" An account of a visit to the Valley of the Kings. At the time, Amenhotep II's mummy was still there, deep underground.", "topic": "Top/Arts/Literature/Authors/L/Loti,_Pierre/Works", "url": "http://anubis4_2000.tripod.com/subpages1/Loti2.htm"} +{"d:Title": "Project Gutenberg: Loti, Pierre", "d:Description": "His books available online, in English and French.", "topic": "Top/Arts/Literature/Authors/L/Loti,_Pierre/Works", "url": "http://www.gutenberg.org/browse/authors/l#a853"} +{"d:Title": "When the Allies Entered Peking, 1900", "d:Description": "Pierre Loti describes a moment in the Boxer Rebellion.", "topic": "Top/Arts/Literature/Authors/L/Loti,_Pierre/Works", "url": "http://www.fordham.edu/halsall/mod/1900Loti-peking.asp"} +{"d:Title": "Netpoets.com: Richard Lovelace", "d:Description": "Includes a biography and the text of seven of Lovelace's poems.", "topic": "Top/Arts/Literature/Authors/L/Lovelace,_Richard", "url": "http://netpoets.com/classic/041000.htm"} +{"d:Title": "Richard Lovelace (1618-1657)", "d:Description": "\"Richard Lovelace, a seventeenth century cavalier and metaphysical poet. A biography, collection works, essays and web resources.\" Webpages devoted to the poet and his works at luminarium.org.", "topic": "Top/Arts/Literature/Authors/L/Lovelace,_Richard", "url": "http://www.luminarium.org/sevenlit/lovelace/"} +{"d:Title": "Amy Lowell Poetry Archive", "d:Description": "A collection of Amy Lowell's poems, including the complete \"A Dome of Many-Coloured Glass\", \"Sword Blades and Poppy Seed\" and \"Men, Women and Ghosts\" collections.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_Amy", "url": "http://www.everypoet.com/archive/poetry/Amy_Lowell/Amy_Lowell_contents.htm"} +{"d:Title": "Essays, English and American: James Russell Lowell", "d:Description": "Section devoted to Lowell includes a biographical introduction, his essay \"Abraham Lincoln,\" and his speech \"Democracy.\"", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell", "url": "http://www.bartleby.com/28/1012.html"} +{"d:Title": "Dictionary of Literary Biography: James Russell Lowell", "d:Description": "A reappraisal of the versatile nineteenth-century writer.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell", "url": "http://xroads.virginia.edu/~MA01/Lisle/dial/lowell.html"} +{"d:Title": "The Camelot Project: James Russell Lowell", "d:Description": "A portrait of the poet, and a description of his contribution to Arthuriana.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell", "url": "http://d.lib.rochester.edu/camelot/creator/james-russell-lowell"} +{"d:Title": "James Russell Lowell (1819-1891)", "d:Description": "Profile of the writer and critic.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell", "url": "http://www.let.rug.nl/usa/outlines/literature-1991/authors/james-russell-lowell.php"} +{"d:Title": "The Vision of Sir Launfal", "d:Description": "Poem about the quest for the Grail. Illustrated by E.H. Garrett.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://www.kellscraft.com/Launfal/launfalcontent.html"} +{"d:Title": "Lowell, James Russell", "d:Description": "Project Gutenberg offers \"Among My Books\" (first and second series), complete poetry, and some essays.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://www.gutenberg.org/browse/authors/l#a456"} +{"d:Title": "Under the Old Elm, and Other Poems", "d:Description": "Small collection of later poems. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ABA5660"} +{"d:Title": "The Poetical Works of James Russell Lowell", "d:Description": "1871 Complete edition, published by James R. Osgood. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=AAX1062"} +{"d:Title": "The Vision of Sir Launfal, and Other Poems", "d:Description": "Textbook for secondary school use has examples of poetry by James Russell Lowell, and study notes. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ACS1989"} +{"d:Title": "Last Poems of James Russell Lowell", "d:Description": "Slim volume of verse published posthumously. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ACS3823"} +{"d:Title": "Among My Books", "d:Description": "Literary criticism. First series. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ACS1979"} +{"d:Title": "Poems of James Russell Lowell", "d:Description": "Most of his poetical works, including \"A Fable for Critics\" and \"The Vision of Sir Launfal.\" Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ACS1798"} +{"d:Title": "A Fable for Critics", "d:Description": "A humorous look at the American literary world of the mid-nineteenth century. In verse. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=AAX1065"} +{"d:Title": "A Moosehead Journal", "d:Description": "Book also includes \"At Sea.\" Two travel essays. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ABA5657"} +{"d:Title": "The Vision of Sir Launfal", "d:Description": "HTML. All in one file, or split into 7 files.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=amverse;idno=BAP5378.0001.001"} +{"d:Title": "My Study Windows", "d:Description": "Essays, including some nature writing and literary criticism. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ABA5659"} +{"d:Title": "The Vision of Sir Launfal, The Cathedral, Favorite Poems", "d:Description": "Illustrated. Searchable page images.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=AAN7979"} +{"d:Title": "Edgar Allan Poe", "d:Description": "Essay on the poet's life and works.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_James_Russell/Works", "url": "http://www.eapoe.org/works/criticsm/lowellb.htm"} +{"d:Title": "Mars, by Percival Lowell, 1895", "d:Description": "Astronomer Lowell did not consider this a work of fiction, let alone science fiction, when he first published it over a hundred years ago, but it worked out that way.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_Percival", "url": "http://www.bibliomania.com/NonFiction/Lowell/Mars/index.html"} +{"d:Title": "Robert Lowell", "d:Description": "An introduction to the poet by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_Robert", "url": "http://www.lit.kobe-u.ac.jp/~hishika/lowell.htm"} +{"d:Title": "New York Review of Books", "d:Description": "Index of reviews and articles published by Lowell in the magazine.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_Robert", "url": "http://www.nybooks.com/contributors/robert-lowell/"} +{"d:Title": "Robert Lowell", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/L/Lowell,_Robert", "url": "http://www.poets.org/poetsorg/poet/robert-lowell"} +{"d:Title": "Middle Age", "d:Description": "E-text of Lowell's poem.", "topic": "Top/Arts/Literature/Authors/L/Lowell,_Robert/Works", "url": "http://wiretap.area.com/Gopher/Library/Classic/Poetry/middle.p"} +{"d:Title": "IMDb: Marie Belloc Lowndes", "d:Description": "Filmography. Several films have been based on her books and stories.", "topic": "Top/Arts/Literature/Authors/L/Lowndes,_Marie_Belloc", "url": "http://www.imdb.com/name/nm0523269/"} +{"d:Title": "The Lodger", "d:Description": "Novel. In HTML, at World Wide School. Each chapter has its own file, linked to previous, next, or any other chapter. If you are using a graphical browser, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/L/Lowndes,_Marie_Belloc", "url": "http://www.worldwideschool.org/library/books/lit/mystery/TheLodger/toc.html"} +{"d:Title": "Spartacus Educational: Marie Belloc Lowndes", "d:Description": "Biography of the novelist and suffragist.", "topic": "Top/Arts/Literature/Authors/L/Lowndes,_Marie_Belloc", "url": "http://spartacus-educational.com/Wlowndes.htm"} +{"d:Title": "Mina Loy", "d:Description": "An Academy of American Poets poetry exhibit, including a short biography and two poems.", "topic": "Top/Arts/Literature/Authors/L/Loy,_Mina", "url": "http://www.poets.org/poetsorg/poet/mina-loy"} +{"d:Title": "Featured Author: Alison Lurie", "d:Description": "With news and reviews from the archives of The New York Times.", "topic": "Top/Arts/Literature/Authors/L/Lurie,_Alison", "url": "http://www.nytimes.com/books/98/07/12/specials/lurie.html"} +{"d:Title": "Thomas Lux", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/L/Lux,_Thomas", "url": "http://www.poets.org/poetsorg/poet/thomas-lux"} +{"d:Title": "Temple of Glas", "d:Description": "Middle English text. Includes definitions of difficult words and explanatory notes.", "topic": "Top/Arts/Literature/Authors/L/Lydgate,_John", "url": "http://d.lib.rochester.edu/teams/text/mitchell-lydgate-temple-of-glas#447"} +{"d:Title": "John Lydgate", "d:Description": "A detailed account of the poet's life and work. Includes bibliography.", "topic": "Top/Arts/Literature/Authors/L/Lydgate,_John", "url": "http://www.poetryfoundation.org/bio/john-lydgate"} +{"d:Title": "John Lydgate (c.1370-c.1451)", "d:Description": "Gives links to online texts of Lydgate's works. Also includes a biography, essays and articles, and links to online resources.", "topic": "Top/Arts/Literature/Authors/L/Lydgate,_John", "url": "http://www.luminarium.org/medlit/lydgate.htm"} +{"d:Title": "Testament of John Lydgate", "d:Description": "Excerpt from the Middle English text.", "topic": "Top/Arts/Literature/Authors/L/Lydgate,_John", "url": "http://allpoetry.com/The-Testament-of-John-Lydgate---Excerpt"} +{"d:Title": "Lydgate", "d:Description": "Analysis and evaluation of Lydgate's works.", "topic": "Top/Arts/Literature/Authors/L/Lydgate,_John", "url": "http://www.bartleby.com/212/0801.html"} +{"d:Title": "Life of Our Lady", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/Authors/L/Lydgate,_John", "url": "http://xtf.lib.virginia.edu/xtf/view?docId=chadwyck_ep/uvaGenText/tei/chep_1.1702.xml;chunk.id=d3;toc.depth=100;brand=default"} +{"d:Title": "John Lyly (1554-1606)", "d:Description": "\"John Lyly, Renaissance English poet, wit, and playwright, father of 'Euphuism' . . . .\" Webpages on Lyly at luminarium.org.", "topic": "Top/Arts/Literature/Authors/L/Lyly,_John", "url": "http://www.luminarium.org/renlit/jlyly.htm"} +{"d:Title": "John Lyly (1554?-1606)", "d:Description": "A biography.", "topic": "Top/Arts/Literature/Authors/L/Lyly,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc83.html"} +{"d:Title": "infoplease.com: Lyly, John", "d:Description": "A brief biography.", "topic": "Top/Arts/Literature/Authors/L/Lyly,_John", "url": "http://www.infoplease.com/encyclopedia/people/lyly-john.html"} +{"d:Title": "Mansell, Chris", "d:Description": "The home page of Australian poet Chris Mansell. Includes biography, poetics, critiques, and some poems.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.chrismansell.com/"} +{"d:Title": "Maberry, Jonathon", "d:Description": "Author of more than 900 articles, sixteen nonfiction books, three novels, numerous short stories, poetry, song lyrics, video scripts, and two plays.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.jonathanmaberry.com/"} +{"d:Title": "Mandel, Oscar", "d:Description": "Information about the works of the Belgian-born American author Oscar Mandel which range across the fields of poetry, drama, fiction, the essay, literary scholarship and theory, translations (especially from French and German), and art history.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.oscarmandel.com/"} +{"d:Title": "Madhubuti, Haki", "d:Description": "AfroPoets.Net Famous Black Writers: Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.afropoets.net/hakimadhubuti.html"} +{"d:Title": "Marryat, Florence", "d:Description": "Site dedicated to the nineteenth-century novelist, editor, playwright, spiritualist, singer and actress.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.florencemarryat.org/"} +{"d:Title": "Murnane, Maria", "d:Description": "Author of Perfect on Paper, It\u2019s a Waverly Life, and Honey on Your Mind \u2014 Chocolate for Two. Also offers webinars for writers.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.mariamurnane.com/"} +{"d:Title": "Miller, Joanne", "d:Description": "Author of books, articles and short stories, Joanne includes the text for her college level course, \"How to Write and Sell Non-fiction\" on the website.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.aboutjoannemiller.com/"} +{"d:Title": "Michaelian, William", "d:Description": "Novelist and short-story writer. Offers writings, news, reviews, and interviews, as well as comments on favorite books and authors.", "topic": "Top/Arts/Literature/Authors/M", "url": "http://www.william-michaelian.com/"} +{"d:Title": "Favorite fantasy authors: R. A. MacAvoy", "d:Description": "Brief reviews of several novels.", "topic": "Top/Arts/Literature/Authors/M/MacAvoy,_R._A.", "url": "http://infohost.nmt.edu/~shipman/reading/macavoy.html"} +{"d:Title": "The Childlike in George MacDonald and C. S. Lewis", "d:Description": "Article comparing the writing styles of the two men.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George", "url": "http://cslewis.drzeus.net/papers/childlike.html"} +{"d:Title": "The Golden Key", "d:Description": "Provides numerous resources together with links to similar pages, e-text versions of his work and an email list.", "priority": "1", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George", "url": "http://www.george-macdonald.com/"} +{"d:Title": "Wikipedia: George MacDonald", "d:Description": "His biography, a partial list of works, and links to other resources.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George", "url": "http://en.wikipedia.org/wiki/George_MacDonald"} +{"d:Title": "Scottish Libraries Across the Internet: George MacDonald", "d:Description": "Biography plus searchable index of electronic texts, biographies, literary criticism, and societies.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George", "url": "http://www.slainte.org.uk/cilips/publications/scotauth/macdodsw.htm"} +{"d:Title": "The Victorian Web: George MacDonald", "d:Description": "Discusses the author's history, works, religion, use of imagery, themes and bibliography.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George", "url": "http://www.victorianweb.org/authors/gm"} +{"d:Title": "Online Books of George MacDonald", "d:Description": "Complete downloadable texts of many of George MacDonald's books.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.johannesen.com/OnlineGMD.htm"} +{"d:Title": "The Day Boy and the Night Girl", "d:Description": "Plain-text.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://ccel.org/m/macdonald/daynight/daynight.txt"} +{"d:Title": "Donal Grant", "d:Description": "E-text at CCEL.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://ccel.org/m/macdonald/donal_grant/"} +{"d:Title": "The Light Princess", "d:Description": "Chapter indexed, HTML format.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://ccel.org/m/macdonald/princess/princess.html"} +{"d:Title": "Page By Page Books: The Light Princess", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.pagebypagebooks.com/George_MacDonald/The_Light_Princess/"} +{"d:Title": "Page By Page Books: Lilith", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.pagebypagebooks.com/George_MacDonald/Lilith/"} +{"d:Title": "Lilith", "d:Description": "Plain-text.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://ccel.org/m/macdonald/lilith/lilith.txt"} +{"d:Title": "Page By Page Books: The Princess and Curdie", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.pagebypagebooks.com/George_MacDonald/The_Princess_and_Curdie/"} +{"d:Title": "Page By Page Books: The Princess and the Goblin", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.pagebypagebooks.com/George_MacDonald/The_Princess_and_the_Goblin/"} +{"d:Title": "Sir Gibbie", "d:Description": "Text is available online in several formats, including HTML and PDF.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.ccel.org/ccel/macdonald/sirgibbie.html"} +{"d:Title": "David Elginbrod", "d:Description": "Text is available online in several formats, including HTML and PDF. From the Christian Classics Ethereal Library.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.ccel.org/ccel/macdonald/elginbrod.html"} +{"d:Title": "Robert Falconer", "d:Description": "Text is available online in several formats, including HTML and PDF. From the Christian Classics Ethereal Library.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.ccel.org/ccel/macdonald/rfalconer.html"} +{"d:Title": "Unspoken Sermons", "d:Description": "There are three series. Text is available online in several formats, including HTML and PDF. From the Christian Classics Ethereal Library.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.ccel.org/ccel/macdonald/unspoken.html"} +{"d:Title": "MacDonald, George", "d:Description": "Several of his books online, at Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/M/MacDonald,_George/Works", "url": "http://www.gutenberg.org/browse/authors/m#a127"} +{"d:Title": "MacGregor, Jeff", "d:Description": "Author of 'Sunday Money'; with a synopsis, excerpt and biography.", "topic": "Top/Arts/Literature/Authors/M/MacGregor,_Jeff", "url": "http://www.jeffmacgregor.com/"} +{"d:Title": "Arthur Machen", "d:Description": "Some background on the author.", "topic": "Top/Arts/Literature/Authors/M/Machen,_Arthur", "url": "http://www.caerleon.net/history/machen/"} +{"d:Title": "Alistair MacLean (\"Ian Stuart\")", "d:Description": "Short biography and select bibliography.", "topic": "Top/Arts/Literature/Authors/M/MacLean,_Alistair", "url": "http://www.users.globalnet.co.uk/~crumey/alistair_maclean.html"} +{"d:Title": "IMDb: Alistair MacLean", "d:Description": "Films based on his stories.", "topic": "Top/Arts/Literature/Authors/M/MacLean,_Alistair", "url": "http://imdb.com/name/nm0533745/"} +{"d:Title": "Alistair MacLean, 1922-1987", "d:Description": "Biographical profile and a list of his books.", "topic": "Top/Arts/Literature/Authors/M/MacLean,_Alistair", "url": "http://embden11.home.xs4all.nl/Engels/maclean.htm"} +{"d:Title": "Archibald MacLeish - The Academy of American Poets", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/M/MacLeish,_Archibald", "url": "http://www.poets.org/poetsorg/poet/archibald-macleish"} +{"d:Title": "By Sundown Shores", "d:Description": "Includes a selection of poems and prose pieces by Macleod/Sharp as well as critical appreciations of the author.", "topic": "Top/Arts/Literature/Authors/M/Macleod,_Fiona", "url": "http://www.sundown.pair.com/"} +{"d:Title": "NYTimes.com: Metropolitan", "d:Description": "Review of \"The Fundamentals of Play\". Includes excerpt of the first chapter.", "topic": "Top/Arts/Literature/Authors/M/Macy,_Caitlin", "url": "http://partners.nytimes.com/books/00/06/04/reviews/000604.04greent.html"} +{"d:Title": "Salon.com: \"The Fundamentals of Play\" by Caitlin Macy", "d:Description": "Review of the debut novel by Dan Cryer.", "topic": "Top/Arts/Literature/Authors/M/Macy,_Caitlin", "url": "http://www.salon.com/2000/05/12/macy/"} +{"d:Title": "Maurice Maeterlinck (1862-1949)", "d:Description": "An examination of the works of Belgian poet and playwright Maurice Maeterlinck, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Authors/M/Maeterlinck,_Maurice_Polydore_Marie_Bernard", "url": "http://www.imagi-nation.com/moonstruck/clsc88.html"} +{"d:Title": "The Life of the Bee, Maurice Maeterlinck, 1901", "d:Description": "The complete text of Maeterlinck's famous essay.", "topic": "Top/Arts/Literature/Authors/M/Maeterlinck,_Maurice_Polydore_Marie_Bernard", "url": "http://www.eldritchpress.org/mm/b.html"} +{"d:Title": "The Intruder", "d:Description": "Complete text of the play by Maurice Maeterlinck.", "topic": "Top/Arts/Literature/Authors/M/Maeterlinck,_Maurice_Polydore_Marie_Bernard", "url": "http://www.theatrehistory.com/plays/intruder.html"} +{"d:Title": "Poems by Maurice Maeterlinck", "d:Description": "An index of poems by Maeterlinck.", "topic": "Top/Arts/Literature/Authors/M/Maeterlinck,_Maurice_Polydore_Marie_Bernard", "url": "http://www.poetry-archive.com/m/maeterlinck_maurice.html"} +{"d:Title": "Famous Belgians - Maurice Maeterlinck", "d:Description": "Brief biography of the Belgian author.", "topic": "Top/Arts/Literature/Authors/M/Maeterlinck,_Maurice_Polydore_Marie_Bernard", "url": "http://www.famousbelgians.net/maeterlinck.htm"} +{"d:Title": "Maurice Maeterlinck", "d:Description": "Nobel Prize for Literature 1911 - presentation speech by C. D. af Wirs\u00e9n, Permanent Secretary of the Swedish Academy.", "topic": "Top/Arts/Literature/Authors/M/Maeterlinck,_Maurice_Polydore_Marie_Bernard", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1911/press.html"} +{"d:Title": "Biography of Maurice Maeterlinck", "d:Description": "Short biography of Belgian Nobel laureate Maurice Maeterlinck (1862-1949), with a photo.", "topic": "Top/Arts/Literature/Authors/M/Maeterlinck,_Maurice_Polydore_Marie_Bernard", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1911/maeterlinck-bio.html"} +{"d:Title": "Gregory Maguire", "d:Description": "Author of Wicked, Lost, and books for young adults and children, founder of Children's Literature New England", "topic": "Top/Arts/Literature/Authors/M/Maguire,_Gregory", "url": "http://gregorymaguire.com/"} +{"d:Title": "Mahler, Richard", "d:Description": "Official site of a nonfiction author and journalist. Includes contact information and excerpts.", "topic": "Top/Arts/Literature/Authors/M/Mahler,_Richard", "url": "http://www.richardmahler.com/"} +{"d:Title": "Derek Mahon", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/M/Mahon,_Derek", "url": "http://www.poets.org/poetsorg/poet/derek-mahon"} +{"d:Title": "Norman Mailer - His Life and Works", "d:Description": "General information about Norman Mailer. Complete bibliography, quotes from the author, links to related sites, Mailer on Clinton.", "topic": "Top/Arts/Literature/Authors/M/Mailer,_Norman", "url": "http://www.iol.ie/~kic/index.html"} +{"d:Title": "Norman Mailer: Advertisements For Himself", "d:Description": "Original essay by Ron Martinetti.", "topic": "Top/Arts/Literature/Authors/M/Mailer,_Norman", "url": "http://www.americanlegends.com/authors/norman_mailer.html"} +{"d:Title": "Tucson Weekly: High-Powered Chairwoman", "d:Description": "A review by Mari Wadsworth of Waist-High in the World.", "topic": "Top/Arts/Literature/Authors/M/Mairs,_Nancy", "url": "http://www.tucsonweekly.com/tw/02-06-97/review3.htm"} +{"d:Title": "Kathy McLeod: Nancy Mairs", "d:Description": "A critical review of Plain Text.", "topic": "Top/Arts/Literature/Authors/M/Mairs,_Nancy", "url": "http://www.bluffton.edu/~gundyj/Exposreviews/Kathy.htm"} +{"d:Title": "WashingtonPost.com: Wounding With Pity and Fear", "d:Description": "A review by Marian Sandmaier of the \"thoughtful, tough-minded\" Waist High in the World.", "topic": "Top/Arts/Literature/Authors/M/Mairs,_Nancy", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/books/reviews/waisthighintheworld.htm"} +{"d:Title": "Major Collects Favorites in His Latest Book of Poetry", "d:Description": "An interview with Clarence Major.", "topic": "Top/Arts/Literature/Authors/M/Major,_Clarence", "url": "http://www.dcn.davis.ca.us/go/gizmo/1999/major.html"} +{"d:Title": "Major's latest novel sings the 'Dirty Bird Blues'", "d:Description": "A review by Elisabeth Sherwin.", "topic": "Top/Arts/Literature/Authors/M/Major,_Clarence", "url": "http://www.dcn.davis.ca.us/go/gizmo/clarence.html"} +{"d:Title": "They Feared That He Believed", "d:Description": "Poem by Clarence Major from \"For Malcolm.\"", "topic": "Top/Arts/Literature/Authors/M/Major,_Clarence", "url": "http://www.unix-ag.uni-kl.de/~moritz/Archive/malcolmx/clarencemajor_theyfearedthathebelieved.txt"} +{"d:Title": "From \"A Tomb for Anatole\"", "d:Description": "St\u00e9phane Mallarm\u00e9, translated from the French by William Marsh, with an introduction by the translator.", "topic": "Top/Arts/Literature/Authors/M/Mallarm\u00e9,_St\u00e9phane/Poetry", "url": "http://www.conjunctions.com/webcon/mallarme.htm"} +{"d:Title": "Luminarium: Sir Thomas Malory", "d:Description": "Features biographies, etexts, illustrations, articles, and links.", "topic": "Top/Arts/Literature/Authors/M/Malory,_Thomas", "url": "http://www.luminarium.org/medlit/malory.htm"} +{"d:Title": "The Holy Grail", "d:Description": "A selection of passages from Malorys famed Morte dArthur describing Sir Gawaines quest for the Holy Grail.", "topic": "Top/Arts/Literature/Authors/M/Malory,_Thomas", "url": "http://www.bartleby.com/35/2/"} +{"d:Title": "Le Morte d'Arthur", "d:Description": "E-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Authors/M/Malory,_Thomas", "url": "http://www.sacred-texts.com/neu/mart/index.htm"} +{"d:Title": "Le Morte Darthur, Volume 2", "d:Description": "Chapter indexed, HTML format.", "topic": "Top/Arts/Literature/Authors/M/Malory,_Thomas", "url": "http://web.archive.org/web/20080825140058/http:/etext.lib.virginia.edu/toc/modeng/public/Mal2Mor.html"} +{"d:Title": "Le Morte Darthur, Volume 1", "d:Description": "E-text of a 1903 edition, in modern English, with an introduction by William Caxton.", "topic": "Top/Arts/Literature/Authors/M/Malory,_Thomas", "url": "http://web.archive.org/web/20080925231822/http:/etext.lib.virginia.edu/toc/modeng/public/Mal1Mor.html"} +{"d:Title": "Manchee, William", "d:Description": "Prolific mystery and suspense novelist.", "topic": "Top/Arts/Literature/Authors/M/Manchee,_William", "url": "http://williammanchee.com/"} +{"d:Title": "Bhargavi C. Mandava", "d:Description": "Author's website with selected works, a biography and reviews.", "topic": "Top/Arts/Literature/Authors/M/Mandava,_Bhargavi_C.", "url": "http://bhargavicmandava.com/"} +{"d:Title": "Heinrich Mann", "d:Description": "Photographs of his grave, birth and death information, cemetery details, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/M/Mann,_Heinrich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6248"} +{"d:Title": "Heinrich Mann", "d:Description": "IMDb filmography of the writer's novels.", "topic": "Top/Arts/Literature/Authors/M/Mann,_Heinrich", "url": "http://www.imdb.com/name/nm0542777/"} +{"d:Title": "Thomas Mann, Nobel Laureate in 1929", "d:Description": "Awarded 'principally for his great novel, Buddenbrooks, which has won steadily increased recognition as one of the classic works of contemporary literature'. Laudatio, acceptance speech and autobiography of the German author.", "topic": "Top/Arts/Literature/Authors/M/Mann,_Thomas", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1929/index.html"} +{"d:Title": "Thomas Mann", "d:Description": "Brief synopses and commentary on three of Mann's works with health-related themes: The Black Swan, Death in Venice, and The Magic Mountain.", "topic": "Top/Arts/Literature/Authors/M/Mann,_Thomas", "url": "http://medhum.med.nyu.edu/person/2142"} +{"d:Title": "The New Zealand Edge: Katherine Mansfield", "d:Description": "General essay on the author's life and works.", "topic": "Top/Arts/Literature/Authors/M/Mansfield,_Katherine", "url": "http://www.nzedge.com/katherine-mansfield/"} +{"d:Title": "Pari Mansouri", "d:Description": "Webpages on the Iranian writer and translator, including biographical and publication information and a selection of online texts.", "topic": "Top/Arts/Literature/Authors/M/Mansouri,_Pari", "url": "http://www.art-arena.com/pari_mansouri.htm"} +{"d:Title": "Hilary Mantel at the Complete Review", "d:Description": "An overview of the life and works of Hilary Mantel, with links to extensive reviews of her work at the Complete Review and further information.", "topic": "Top/Arts/Literature/Authors/M/Mantel,_Hilary", "url": "http://www.complete-review.com/authors/mantelh.htm"} +{"d:Title": "Alessandro Manzoni", "d:Description": "17851873, Italian novelist and poet. Full text of \"I Promessi Sposi\".", "topic": "Top/Arts/Literature/Authors/M/Manzoni,_Alessandro", "url": "http://www.bartleby.com/people/Manzoni.html"} +{"d:Title": "Mapes, Creston", "d:Description": "Official web site of Creston Mapes, author of \"Dark Star: Confessions of a Rock Idol.\" Includes biography, FAQ, non-fiction, Bible quotations.", "topic": "Top/Arts/Literature/Authors/M/Mapes,_Creston", "url": "http://www.crestonmapes.com/"} +{"d:Title": "Alex Marcoux", "d:Description": "Author of lesbian fiction (\u201cA Matter of Degrees,\u201d \u201cBack to Salem,\u201d \u201cFacades\u201d) and New Thought books Lifesigns: Tapping the Power of Synchronicity, Serendipity and Miracles).", "topic": "Top/Arts/Literature/Authors/M/Marcoux,_Alex", "url": "http://alexmarcoux.com/"} +{"d:Title": "Perfect Sound Forever: Greil Marcus", "d:Description": "Billy Bob Hargus' interview with Marcus on the 'politics of rock'.", "topic": "Top/Arts/Literature/Authors/M/Marcus,_Greil", "url": "http://www.furious.com/perfect/marcus.html"} +{"d:Title": "Len Bracken's Criticism of Greil Marcus", "d:Description": "Bracken's critical essay on Marcus.", "topic": "Top/Arts/Literature/Authors/M/Marcus,_Greil", "url": "http://www.notbored.org/confused.html"} +{"d:Title": "Salon.com: Greil Marcus", "d:Description": "Archive of columns and articles written for Salon Magazine.", "topic": "Top/Arts/Literature/Authors/M/Marcus,_Greil", "url": "http://www.salon.com/2001/03/15/greil_marcus/"} +{"d:Title": "Boston Phoenix: Greil Marcus", "d:Description": "Charles Taylor's review of Marcus' collection of essays, 'The Dustbin of History'.", "topic": "Top/Arts/Literature/Authors/M/Marcus,_Greil/Works", "url": "http://www.bostonphoenix.com/alt1/archive/books/reviews/02-96/GREIL_MARCUS.html"} +{"d:Title": "Paul Mariani", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/M/Mariani,_Paul", "url": "http://www.poets.org/poetsorg/poet/paul-mariani"} +{"d:Title": "Vectors Journal - Enfolding and Unfolding", "d:Description": "Conversation and information about the Vectors Journal project Enfolding and Unfolding: An Aesthetics for the Information Age.", "topic": "Top/Arts/Literature/Authors/M/Marks,_Laura", "url": "http://www.vectorsjournal.org/projects/index.php?project=72"} +{"d:Title": "Akimbo - Laura Marks Exhibition", "d:Description": "Exhibition and mixed-media presentation of her book \"Enfoldment and Infinity\" on classical Islamic and contemporary art.", "topic": "Top/Arts/Literature/Authors/M/Marks,_Laura", "url": "http://www.akimbo.ca/23145"} +{"d:Title": "Harry Martinson", "d:Description": "Swedish fiction writer, Nobel Prize winner for Literature in 1974 (along with Eyvind Johnson). Biography and presentation speech.", "topic": "Top/Arts/Literature/Authors/M/Martinson,_Harry", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1974/index.html"} +{"d:Title": "Andrew Marvell (1621-1678)", "d:Description": "\"An extensive collection of works by Andrew Marvell, the metaphysical poet. Also includes a biography, a timeline, essays, and other resources.\" At luminarium.org.", "topic": "Top/Arts/Literature/Authors/M/Marvell,_Andrew", "url": "http://www.luminarium.org/sevenlit/marvell/"} +{"d:Title": "Andrew Marvell", "d:Description": "Article by T.S. Eliot on the occasion of Marvell's tercentenary explores the way in which Marvell's wit exemplifies his century. [The Times Literary Supplement]", "topic": "Top/Arts/Literature/Authors/M/Marvell,_Andrew", "url": "http://www.usask.ca/english/prufrock/marv.htm"} +{"d:Title": "The Academy of American Poets: Andrew Marvell", "d:Description": "Brief biography, three poems, links.", "topic": "Top/Arts/Literature/Authors/M/Marvell,_Andrew", "url": "http://www.poets.org/poetsorg/poet/andrew-marvell"} +{"d:Title": "Passions in Poetry: Andrew Marvell", "d:Description": "Brief biography of Marvell and selected poems.", "topic": "Top/Arts/Literature/Authors/M/Marvell,_Andrew/Works", "url": "http://netpoets.com/classic/043000.htm"} +{"d:Title": "Five Lyrical Poems by Andrew Marvell", "d:Description": "In RealAudio format. Including \"To His Coy Mistress\" and one of the mower poems.", "topic": "Top/Arts/Literature/Authors/M/Marvell,_Andrew/Works", "url": "http://www.eaglesweb.com/Sub_Pages/marvell_poems.htm"} +{"d:Title": "On Mr. Milton's Paradise Lost", "d:Description": "Marvell's response to Milton's epic poem.", "topic": "Top/Arts/Literature/Authors/M/Marvell,_Andrew/Works", "url": "http://faculty.goucher.edu/eng211/Marvell_on_Milton_PL.htm"} +{"d:Title": "Miscellaneous Poems", "d:Description": "In plain text. 178K.", "topic": "Top/Arts/Literature/Authors/M/Marvell,_Andrew/Works", "url": "http://poetry.eserver.org/marvell.txt"} +{"d:Title": "Massey, Gerald", "d:Description": "Contains a biography, poems, and prose.", "topic": "Top/Arts/Literature/Authors/M/Massey,_Gerald", "url": "http://www.gerald-massey.org.uk/"} +{"d:Title": "Bartleby.com: Edgar Lee Masters", "d:Description": "Complete text of Spoon River Anthology, and a link to some quotations.", "topic": "Top/Arts/Literature/Authors/M/Masters,_Edgar_Lee", "url": "http://www.bartleby.com/people/Masters.html"} +{"d:Title": "Masters, Edgar Lee", "d:Description": "Books available online at Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/M/Masters,_Edgar_Lee", "url": "http://www.gutenberg.org/browse/authors/m#a584"} +{"d:Title": "Edgar Lee Masters (1869-1950)", "d:Description": "Biography, bibliographies, links. Special section with information on his most popular book, \"Spoon River Anthology.\"", "topic": "Top/Arts/Literature/Authors/M/Masters,_Edgar_Lee", "url": "http://www.english.illinois.edu/maps/poets/m_r/masters/masters.htm"} +{"d:Title": "Academy of American Poets: Edgar Lee Masters", "d:Description": "Biography, selected bibliography, and links.", "topic": "Top/Arts/Literature/Authors/M/Masters,_Edgar_Lee", "url": "http://www.poets.org/poetsorg/poet/edgar-lee-masters"} +{"d:Title": "Susan Rowan Masters", "d:Description": "Includes information on the author's books, works-in-progress, teaching guides, school/library visits.", "topic": "Top/Arts/Literature/Authors/M/Masters,_Susan_Rowan", "url": "http://www.srmasters.com/"} +{"d:Title": "Harry Mathews", "d:Description": "Short biography of the American poet and novelist (*1930).", "topic": "Top/Arts/Literature/Authors/M/Mathews,_Harry", "url": "http://www.alb-neckar-schwarzwald.de/mathews/bio-e.html"} +{"d:Title": "Harry Mathews at the Complete Review", "d:Description": "An overview of the life and works of Harry Mathews, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/M/Mathews,_Harry", "url": "http://www.complete-review.com/authors/mathewsh.htm"} +{"d:Title": "Tlooth", "d:Description": "A brief review of Matthews' 1966 novel by Danny Yee.", "topic": "Top/Arts/Literature/Authors/M/Mathews,_Harry", "url": "http://dannyreviews.com/h/Tlooth.html"} +{"d:Title": "Jacket # 14 - Harry Mathews - Rue de Rochechouart", "d:Description": "In celebration of the centenary of the brassiere, invented by M. Hardt in Dresden in 1889.", "topic": "Top/Arts/Literature/Authors/M/Mathews,_Harry", "url": "http://www.jacketmagazine.com/14/mathews.html"} +{"d:Title": "Jacket # 3 - Harry Mathews - Chronogram for 1998", "d:Description": "An exclusive evolutionary vortex of world excursions.", "topic": "Top/Arts/Literature/Authors/M/Mathews,_Harry", "url": "http://www.jacketmagazine.com/03/mathews03.html"} +{"d:Title": "Caxton Club: Somerset Maugham", "d:Description": "A biography and list of works.", "topic": "Top/Arts/Literature/Authors/M/Maugham,_W._Somerset", "url": "http://www.caxtonclub.org/reading/smaugham.html"} +{"d:Title": "The Limits of Graciousness", "d:Description": "A discussion of Melville's Bartleby the Scrivener and Maugham's The Moon and Sixpence from a Christian viewpoint.", "topic": "Top/Arts/Literature/Authors/M/Maugham,_W._Somerset", "url": "http://www.faithalone.org/journal/1989i/Townsend.html"} +{"d:Title": "Bibliomania: Somerset Maugham", "d:Description": "Short biography, a short story \"Louise\", and the novel \"Of Human Bondage\".", "topic": "Top/Arts/Literature/Authors/M/Maugham,_W._Somerset", "url": "http://www.bibliomania.com/0/0/38/"} +{"d:Title": "The Moon and Sixpence: contemporary review", "d:Description": "A review of The Moon and Sixpence from The Guardian, May 2, 1919.", "topic": "Top/Arts/Literature/Authors/M/Maugham,_W._Somerset", "url": "http://www.theguardian.com/books/2002/aug/13/classics.fromthearchives3"} +{"d:Title": "Spartacus: Somerset Maugham", "d:Description": "A brief biography with links to related historical information.", "topic": "Top/Arts/Literature/Authors/M/Maugham,_W._Somerset", "url": "http://spartacus-educational.com/Jmaugham.htm"} +{"d:Title": "Allreaders.com: W. Somerset Maugham", "d:Description": "Plots, settings and characters of his books.", "topic": "Top/Arts/Literature/Authors/M/Maugham,_W._Somerset", "url": "http://allreaders.com/books/w-somerset-maugham-301"} +{"d:Title": "Walter Schnaffs' Adventure", "d:Description": "From Walter Schnaffs Adventure and Two Friends (The Harvard Classics Shelf of Fiction 1917). E-text from Bartleby.com.", "topic": "Top/Arts/Literature/Authors/M/Maupassant,_Guy_de/Works", "url": "http://www.bartleby.com/313/5/1.html"} +{"d:Title": "Literature Network: Guy de Maupassant", "d:Description": "Includes selected works, a biography, and a search feature.", "topic": "Top/Arts/Literature/Authors/M/Maupassant,_Guy_de/Works", "url": "http://www.online-literature.com/maupassant/"} +{"d:Title": "Two Friends", "d:Description": "From Walter Schnaffs Adventure and Two Friends (The Harvard Classics Shelf of Fiction 1917). E-text from Bartleby.com.", "topic": "Top/Arts/Literature/Authors/M/Maupassant,_Guy_de/Works", "url": "http://www.bartleby.com/313/5/2.html"} +{"d:Title": "Armistead Maupin (Steve Barclay Agency)", "d:Description": "Biography of Maupin, from his speaking agency.", "topic": "Top/Arts/Literature/Authors/M/Maupin,_Armistead", "url": "http://www.barclayagency.com/maupin.html"} +{"d:Title": "Official Author Site for Armistead Maupin", "d:Description": "Biographical and book information.", "topic": "Top/Arts/Literature/Authors/M/Maupin,_Armistead", "url": "http://www.armisteadmaupin.com/"} +{"d:Title": "Simon Mawer", "d:Description": "Author of Mendel's Dwarf and The Gospel of Judas. Contains excerpts and reviews of Mawer's work.", "topic": "Top/Arts/Literature/Authors/M/Mawer,_Simon", "url": "http://www.simonmawer.com/"} +{"d:Title": "Karl May Gesellschaft", "d:Description": "Online versions of some Karl May novels and poetry in English, including \"Winnetou, the Apache Knight\".", "topic": "Top/Arts/Literature/Authors/M/May,_Karl", "url": "http://www.karl-may-gesellschaft.de/"} +{"d:Title": "Australian Friends of Karl May", "d:Description": "Short introduction to the content of Karl May's works and collection of related links.", "topic": "Top/Arts/Literature/Authors/M/May,_Karl", "url": "http://karl-may-friends.net/"} +{"d:Title": "Nemsi Books", "d:Description": "Nemsi Books offers English unabridged translations of Karl May's travel narratives, translated by Michael M. Michalak.", "topic": "Top/Arts/Literature/Authors/M/May,_Karl", "url": "http://www.nemsi-books.com/"} +{"d:Title": "Bernadette Mayer Author Home Page", "d:Description": "At the Electronic Poetry Center, SUNY Buffalo.", "topic": "Top/Arts/Literature/Authors/M/Mayer,_Bernadette", "url": "http://epc.buffalo.edu/authors/mayer/"} +{"d:Title": "Frances Mayes", "d:Description": "Five poems from \"Ex Voto\", published in 1995.", "topic": "Top/Arts/Literature/Authors/M/Mayes,_Frances", "url": "http://www.webdelsol.com/Lost_Roads/mayes.htm"} +{"d:Title": "Author Wendell Mayo", "d:Description": "\"This site contains information about widely published and award-winning short story writer Wendell Mayo.\" The author's homepage.", "topic": "Top/Arts/Literature/Authors/M/Mayo,_Wendell", "url": "http://personal.bgsu.edu/~wmayo/"} +{"d:Title": "Friederike Mayrocker: Heiligenanstalt", "d:Description": "Publisher's presentation. \"Heiligenanstalt contains four fictions around the composers Chopin, Bruckner, Schubert, and the trio of Brahms, Clara and Robert Schumann...\"", "topic": "Top/Arts/Literature/Authors/M/Mayr\u00f6cker,_Friederike", "url": "http://www.burningdeck.com/catalog/mayrocker.html"} +{"d:Title": "Honorary Doctorate for Friederike Mayr\u00f6cker", "d:Description": "University of Bielefeld Press Release 9/2001.", "topic": "Top/Arts/Literature/Authors/M/Mayr\u00f6cker,_Friederike", "url": "http://www.uni-bielefeld.de/Universitaet/Einrichtungen/Pressestelle/Pressemitteilungen/pmeng9_1.htm"} +{"d:Title": "Allreaders Ed McBain Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be an Ed McBain scholar on the site.", "topic": "Top/Arts/Literature/Authors/M/McBain,_Ed", "url": "http://allreaders.com/books/ed-mcbain-903"} +{"d:Title": "Horror Writers Association: Robert R. McCammon", "d:Description": "The author's concept of the horror writers association becomes reality and is included in the history of the association.", "topic": "Top/Arts/Literature/Authors/M/McCammon,_Robert_R.", "url": "http://www.horror.org/history.htm"} +{"d:Title": "Yahoo Groups: Robert R. McCammon", "d:Description": "Forum for fan discussion of author's books.", "topic": "Top/Arts/Literature/Authors/M/McCammon,_Robert_R.", "url": "http://groups.yahoo.com/group/R_McCammon/"} +{"d:Title": "Agony Review Archive: Robert R. McCammon", "d:Description": "Book reviews of \"Gone South\" and \"Under the Fang.\"", "topic": "Top/Arts/Literature/Authors/M/McCammon,_Robert_R.", "url": "http://trashotron.com/agony/indexes/review_archive.htm#McCammon,Robert"} +{"d:Title": "Sweet Despise : Robert R. McCammon", "d:Description": "Ian Davey provides brief book reviews of \"Blue World,\" \"They Thirst,\" and \"Usher's Passing.\"", "topic": "Top/Arts/Literature/Authors/M/McCammon,_Robert_R.", "url": "http://www.eclipse.co.uk/sweetdespise/recom/books/m.html#mccammon"} +{"d:Title": "Southern Scribe: Robert R. McCammon", "d:Description": "\"Speaks the Nightbird\" is reviewed by Wayne Greenhaw.", "topic": "Top/Arts/Literature/Authors/M/McCammon,_Robert_R.", "url": "http://www.southernscribe.com/reviews/general_fiction/nightbird.htm"} +{"d:Title": "IMDb: Robert R. McCammon", "d:Description": "Reviews of the author's filmography includes the \"Nightcrawlers\" episode for Twilight Zone and the \"Darkroom\" television series.", "topic": "Top/Arts/Literature/Authors/M/McCammon,_Robert_R.", "url": "http://www.imdb.com/name/nm1004678/"} +{"d:Title": "The Believer - Colum McCann", "d:Description": "Aleksandar Hemon in a conversation with the Irish writer. January 2010", "topic": "Top/Arts/Literature/Authors/M/McCann,_Colum", "url": "http://www.believermag.com/issues/201001/?read=interview_hemon_mccann"} +{"d:Title": "The Guardian - National Book award for McCann", "d:Description": "Irish-born writer's novel Let the Great World Spin, wins National Book award for fiction. November 19, 2009", "topic": "Top/Arts/Literature/Authors/M/McCann,_Colum", "url": "http://www.theguardian.com/books/2009/nov/19/colum-mccann-national-book-award-fiction"} +{"d:Title": "PBS Newshour - Colum McCann", "d:Description": "A conversation between the Irish author and Jeffrey Brown, November 27, 2009", "topic": "Top/Arts/Literature/Authors/M/McCann,_Colum", "url": "http://www.pbs.org/newshour/art/conversation-colum-mccann-national-book-award-winner-for-fiction/"} +{"d:Title": "The Cormac McCarthy Home Pages", "d:Description": "Includes biographical information and author-related news.", "topic": "Top/Arts/Literature/Authors/M/McCarthy,_Cormac", "url": "http://www.cormacmccarthy.com/"} +{"d:Title": "All The Pretty Horses Teacher's Guide", "d:Description": "Publisher's website includes an outline of the book, advice for teachers, and questions for class discussion.", "topic": "Top/Arts/Literature/Authors/M/McCarthy,_Cormac", "url": "https://www.randomhouseacademic.com/search?q=All+The+Pretty+Horses"} +{"d:Title": "J. D. McClatchy", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/M/McClatchy,_J._D.", "url": "http://www.poets.org/poetsorg/poet/j-d-mcclatchy"} +{"d:Title": "Michael McClure Home Page", "d:Description": "McClure appears under various names in Kerouac novels from The Dharma Bums onward. Wrote one of Janis Joplin's most popular songs. This site contains large selection of McClure's poetry, essays by him, and commentary not only by such poets as Anne Waldman, Charles Olson, and Robert Creeley, but also Francis Crick, Nobel Laureate who co-discovered the structure of DNA. Will include audio files.", "topic": "Top/Arts/Literature/Authors/M/McClure,_Michael", "url": "http://www.thing.net/~grist/l&d/mcclure/mcclure.htm"} +{"d:Title": "Michael McClure: A Selected Bibliography", "d:Description": "Primary publications, from 1956 to present, are ordered both chronologically and alphabetically. Selected contributions to periodicals are also included.", "topic": "Top/Arts/Literature/Authors/M/McClure,_Michael", "url": "http://www.emptymirrorbooks.com/mcclure/"} +{"d:Title": "Michael McClure Author Page at EPC", "d:Description": "The Electronic Poetry Center presents links to Michael McClure's poetry and other writings.", "topic": "Top/Arts/Literature/Authors/M/McClure,_Michael", "url": "http://epc.buffalo.edu/authors/mcclure/"} +{"d:Title": "Michael McClure at Literary Kicks", "d:Description": "Brief biography of poet and playwright focuses on his affiliation with the Beat Generation and the San Francisco Renaissance.", "topic": "Top/Arts/Literature/Authors/M/McClure,_Michael", "url": "http://www.litkicks.com/MichaelMcClure"} +{"d:Title": "Frank McCourt, 'Angela's Ashes' Author, Dies", "d:Description": "Time Magazine article by Lev Grossman.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://www.time.com/time/arts/article/0,8599,1911633,00.html"} +{"d:Title": "Frank McCourt Biography", "d:Description": "Information from the Academy of Achievement.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://www.achievement.org/autodoc/page/mcc1bio-1"} +{"d:Title": "Only A Teacher: Teachers Today: Frank McCourt", "d:Description": "Interview from PBS Online in print and audio.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://www.pbs.org/onlyateacher/today8.html"} +{"d:Title": "Share Your Memories of Frank McCourt", "d:Description": "Reader comments at ArtsBeat Blogon NYTimes.com.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://artsbeat.blogs.nytimes.com/2009/07/19/share-your-memories-of-frank-mccourt/"} +{"d:Title": "Frank McCourt", "d:Description": "Photos and inforation from IMDb.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://www.imdb.com/name/nm0566660/"} +{"d:Title": "Frank McCourt", "d:Description": "Photos, video and news from TV Guide.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://www.tvguide.com/celebrities/frank-mccourt/236536"} +{"d:Title": "Frank McCourt", "d:Description": "Information from the Guardian.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://www.theguardian.com/global/frank-mccourt"} +{"d:Title": "Allreaders Frank McCourt Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Frank McCourt scholar on the site.", "topic": "Top/Arts/Literature/Authors/M/McCourt,_Frank", "url": "http://allreaders.com/books/frank-mccourt-367"} +{"d:Title": "McCrum, Mark", "d:Description": "Journalist, travel writer and biographer; with articles and photographs.", "topic": "Top/Arts/Literature/Authors/M/McCrum,_Mark", "url": "http://www.markmccrum.com/"} +{"d:Title": "The Carson McCullers Project", "d:Description": "Bibliography. Texts of some of her articles and poems. Reviews of her major works. Extensive links.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://www.carson-mccullers.com/"} +{"d:Title": "Carson McCullers Center for Writers and Musicians", "d:Description": "At Columbus State University. Operates a museum in the author's childhood home. Brief biography. Also information on the writer's house in Nyack, New York.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://www.mccullerscenter.org/"} +{"d:Title": "IMDb: Carson McCullers", "d:Description": "Filmography. Movies and television productions based on her works.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://imdb.com/name/nm0567111/"} +{"d:Title": "Wikipedia: Carson McCullers", "d:Description": "Short biography, with links to related topics.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://en.wikipedia.org/wiki/Carson_McCullers"} +{"d:Title": "Carson McCullers's Sure Aim at the Heart of Loneliness", "d:Description": "Jonathan Yardley reconsiders \"The Heart Is a Lonely Hunter.\" [Washington Post]", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://www.washingtonpost.com/wp-dyn/articles/A42112-2004Jun14.html"} +{"d:Title": "New Georgia Encyclopedia: Carson McCullers (1917-1967)", "d:Description": "Biography and bibliography, prepared by Carlos Dews.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://www.georgiaencyclopedia.org/articles/arts-culture/carson-mccullers-1917-1967"} +{"d:Title": "Georgia Writers Hall of Fame: Carson McCullers", "d:Description": "Overview of her life and works.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://www.georgiawritershalloffame.org/honorees/biography.php?authorID=25"} +{"d:Title": "Who2: Carson McCullers", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "http://www.who2.com/bio/carson-mccullers"} +{"d:Title": "IBDB: Carson McCullers", "d:Description": "Broadway credits. Plays written by her, or based on her works.", "topic": "Top/Arts/Literature/Authors/M/McCullers,_Carson", "url": "https://www.ibdb.com/broadway-cast-staff/carson-mccullers-4699"} +{"d:Title": "McEwan: Enduring Talent", "d:Description": "Author profile and bibliography from the BBC.", "topic": "Top/Arts/Literature/Authors/M/McEwan,_Ian", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1550355.stm"} +{"d:Title": "Ian McEwan", "d:Description": "Includes interviews, discussion board, bibliography and information about forthcoming appearances.", "topic": "Top/Arts/Literature/Authors/M/McEwan,_Ian", "url": "http://www.ianmcewan.com/"} +{"d:Title": "Ian McEwan. The Cement Garden. Shadows on the mind", "d:Description": "Essay on urban alienation and the mental landscape of the children in The Cement Garden.", "topic": "Top/Arts/Literature/Authors/M/McEwan,_Ian", "url": "http://www.literature-study-online.com/essays/McEwan.html"} +{"d:Title": "The Guardian: Ian McEwan", "d:Description": "Biography, interviews and reviews.", "topic": "Top/Arts/Literature/Authors/M/McEwan,_Ian", "url": "http://www.theguardian.com/books/2008/jun/12/ian.mcewan"} +{"d:Title": "Only Love and Then Oblivion", "d:Description": "Article published in The Guardian on the aftermath of September 11.", "topic": "Top/Arts/Literature/Authors/M/McEwan,_Ian", "url": "http://www.theguardian.com/world/2001/sep/15/september11.politicsphilosophyandsociety2"} +{"d:Title": "McGill, Bryant Harrison", "d:Description": "American poet, and reference author; official site with extracts, photographs, and biographical information.", "topic": "Top/Arts/Literature/Authors/M/McGill,_Bryant_Harrison", "url": "http://www.bryantmcgill.com/"} +{"d:Title": "Quotes by Bryant McGill at BrainyQuote", "d:Description": "Various McGill quotations collected by the staff at BrainyQuote.com", "topic": "Top/Arts/Literature/Authors/M/McGill,_Bryant_Harrison", "url": "http://www.brainyquote.com/quotes/authors/b/bryant_h_mcgill.html"} +{"d:Title": "Frank McGillion", "d:Description": "Biographical and book information.", "topic": "Top/Arts/Literature/Authors/M/McGillion,_Frank", "url": "http://www.frankmcgillion.com/"} +{"d:Title": "Scottish Writers on the Internet: William McGonagall", "d:Description": "Concise biography and list of published works.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.users.globalnet.co.uk/~crumey/william_mcgonagall.html"} +{"d:Title": "Scottish Writers: William McGonagall", "d:Description": "A photograph of the poet, striking a dramatic pose with his hat and walking stick.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.magicdragon.com/Wallace/mcgonagall.html"} +{"d:Title": "McGonagall Online", "d:Description": "A tribute to the poet and tragedian which includes his autobiography, all his published poems, contemporary press reports and a host of assorted McGonagallia.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.mcgonagall-online.org.uk/"} +{"d:Title": "The Worst Poem of All Time: William Topaz McGonagall's The Tay Bridge Disaster", "d:Description": "Text and criticism of the work.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://poetry.about.com/library/weekly/aa050499.htm?once=true&"} +{"d:Title": "McGonagall: Gone but Unforgettable", "d:Description": "A plaque is unveiled at McGonagall's burial site. Report and profile from BBC News.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://news.bbc.co.uk/1/hi/uk/scotland/466681.stm"} +{"d:Title": "Tribute to the Best Bad Bard", "d:Description": "The city of Dundee plans a unique riverside tribute, report from BBC News.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://news.bbc.co.uk/1/hi/uk/scotland/2060054.stm"} +{"d:Title": "William McGonagall, a Terrible Poet", "d:Description": "Lengthy essay about the poet, his life and his writings.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://ukuleleking.diaryland.com/030308_41.html"} +{"d:Title": "William McGonagall: Scotland's Other National Bard", "d:Description": "Biographical article from the BBC's local history pages.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.bbc.co.uk/legacies/myths_legends/scotland/perth_tayside/"} +{"d:Title": "All hail McGonagall, in verse there were few worse", "d:Description": "Biographical article and quotes, from Australian newspaper The Age.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.theage.com.au/articles/2002/06/23/1023864526998.html"} +{"d:Title": "Grossly Maligned and Misunderstood", "d:Description": "\"William McGonagall is one of the 19th century's true life tragic romantic heroes - especially so because he was blissfully unaware of the fact!\". Review from ciao.co.uk", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.ciao.co.uk/William_McGonagall__Review_5333446"} +{"d:Title": "Great Scot", "d:Description": "Review of McGonagall's life, poetic style and works marred by some minor factual errors.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.ciao.co.uk/William_McGonagall__Review_5330521"} +{"d:Title": "John Laurie as The Great McGonagall", "d:Description": "Review of an LP on which Scots actor John Laurie impersonates McGonagall and reads from his poetry. Laurie was partly responsible for bringing McGonagall to a wider audience in the 1960's", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://home.btconnect.com/howejam/dadsarmy/discography/33_greatmcgonagal.htm"} +{"d:Title": "Inadvertent Doggerel", "d:Description": "\"A celebration of a particular feature of Victorian literature \u2014 accidental trash poetry\". An article discussing McGonagall, alongside other poets.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.bikwil.com/Vintage05/Inadvertent-Doggerel.html"} +{"d:Title": "William McGonagall: White Elephant", "d:Description": "Lengthy article about the man from Scotland Magazine.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.scotlandmag.com/magazine/issue5/12006249.html"} +{"d:Title": "William McGonagall - Poet", "d:Description": "Concise article describing McGonagall's life and work from the h2g2 site.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William", "url": "http://www.h2g2.com/approved_entry/A416341"} +{"d:Title": "The Wreck of the Titanic", "d:Description": "McGonagall died ten years too early to write this for himself.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William/Parodies", "url": "http://members.localnet.com/~urquhart/mctitan.html"} +{"d:Title": "On the Inauguration of President Bill", "d:Description": "McGonagall pastiche written to mark Clinton's inauguration, with the story behind its writing.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William/Parodies", "url": "http://www.apricot.com/~jimcat/sillystuff/inaug.html"} +{"d:Title": "Scrooge", "d:Description": "A version of \"A Christmas Carol\" in McGonagall verse, written to mark a stage production in 1998.", "topic": "Top/Arts/Literature/Authors/M/McGonagall,_William/Parodies", "url": "http://www.hermit.org/Blakes7/whoswho/Keating/Scrouge.html"} +{"d:Title": "SPIKE magazine: Melanie McGrath: Hard, Soft And Wet", "d:Description": "Interview by Chris Mitchell.", "topic": "Top/Arts/Literature/Authors/M/McGrath,_Melanie", "url": "http://www.spikemagazine.com/0298mcgr.php"} +{"d:Title": "Heather McHugh", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\" Also includes an audio recording (in RealAudio file-format) of the author reading her poem \"What He Thought.\"", "topic": "Top/Arts/Literature/Authors/M/McHugh,_Heather", "url": "http://www.poets.org/poetsorg/poet/heather-mchugh"} +{"d:Title": "Claude McKay", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography and selected poems.", "topic": "Top/Arts/Literature/Authors/M/McKay,_Claude", "url": "http://www.poets.org/poetsorg/poet/claude-mckay"} +{"d:Title": "Yahoo! Groups : Larry McMurtry", "d:Description": "Message board for discussing the author and his works.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://groups.yahoo.com/group/larrymcmurtry/"} +{"d:Title": "UNT Libraries: Rare Book and Texana Collections, Larry McMurtry Collection, Finding Aid", "d:Description": "Listing and viewing information of the authors papers and manuscripts in the University of North Texas collection.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://findingaids.library.unt.edu/?p=collections/controlcard&id=17"} +{"d:Title": "Southwestern Writers Collection: Larry McMurtry", "d:Description": "Listing of the authors papers donated to the Texas State University collection.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://www.thewittliffcollections.txstate.edu/research/a-z/mcmurtry.html"} +{"d:Title": "Bookreporter.com - Author Profile: Larry McMurtry", "d:Description": "Features biography and reviews of some of his work.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://www.bookreporter.com/authors/larry-mcmurtry"} +{"d:Title": "The New York Review of Books: Larry McMurtry", "d:Description": "Bibliography of books and articles by the author.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://www.nybooks.com/contributors/larry-mcmurtry/"} +{"d:Title": "Stanford Magazine: Feature Story: Three Days in McMurtryville", "d:Description": "Article about and interview with the author.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://alumni.stanford.edu/get/page/magazine/article/?article_id=40305"} +{"d:Title": "Flash and Filigree: A Serendipitous Partnership", "d:Description": "Articles on a variety of subjects by Larry McMurtry and Diana Ossana.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://flashandfiligree.com/"} +{"d:Title": "Allreaders Larry McMurtry Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a McMurtry scholar on the site.", "topic": "Top/Arts/Literature/Authors/M/McMurtry,_Larry", "url": "http://allreaders.com/books/larry-mcmurtry-545"} +{"d:Title": "Andy McNab", "d:Description": "Biography of the author.", "topic": "Top/Arts/Literature/Authors/M/McNab,_Andy", "url": "http://www.compleatseanbean.com/mcnab.html"} +{"d:Title": "Menand, Louis", "d:Description": "Pultizer Prize-winning author and essayist; with links to his columns and a biography.", "topic": "Top/Arts/Literature/Authors/M/Menand,_Louis", "url": "http://www.louismenand.org/"} +{"d:Title": "Chinese Poems: Meng Haoran", "d:Description": "Chinese and English texts of eleven works.", "topic": "Top/Arts/Literature/Authors/M/Meng_Haoran", "url": "http://www.chinese-poems.com/meng.html"} +{"d:Title": "From Apricots Died Young", "d:Description": "Translation by David Hinton.", "topic": "Top/Arts/Literature/Authors/M/Meng_Jiao", "url": "http://www.poets.org/poetsorg/poem/apricots-died-young-excerpt"} +{"d:Title": "George Meredith", "d:Description": "Web resources for Meredith at literaryhistory.com.", "topic": "Top/Arts/Literature/Authors/M/Meredith,_George", "url": "http://www.literaryhistory.com/19thC/MEREDITH.htm"} +{"d:Title": "The Country Of George Meredith", "d:Description": "From \"Selected Writings of William Sharp, Vol. IV\".", "topic": "Top/Arts/Literature/Authors/M/Meredith,_George", "url": "http://www37.pair.com/sundown/Sharp/WSVol_4/meredith.htm"} +{"d:Title": "Lucifer in Starlight", "d:Description": "HTML version of Meredith's poem.", "topic": "Top/Arts/Literature/Authors/M/Meredith,_George/Works", "url": "http://raingod.com/angus/Poetry/Poems/g_meredith.html"} +{"d:Title": "Meredith, George", "d:Description": "More than two dozen books available online at Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/M/Meredith,_George/Works", "url": "http://www.gutenberg.org/browse/authors/m#a520"} +{"d:Title": "Meredith, Marilyn", "d:Description": "Horror, historical and mystery novelist; with a biography and synopses.", "topic": "Top/Arts/Literature/Authors/M/Meredith,_Marilyn", "url": "http://fictionforyou.com/"} +{"d:Title": "William Meredith", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/M/Meredith,_William", "url": "http://www.poets.org/poetsorg/poet/william-meredith"} +{"d:Title": "The New York Review of Books: James Merrill", "d:Description": "Links to reviews and articles published by the author, plus a bibliography.", "topic": "Top/Arts/Literature/Authors/M/Merrill,_James", "url": "http://www.nybooks.com/contributors/james-merrill/"} +{"d:Title": "James Merrill - The Academy of American Poets", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/M/Merrill,_James", "url": "http://www.poets.org/poetsorg/poet/james-merrill"} +{"d:Title": "\"On Reading W. S. Merwin in the New Yorker\"", "d:Description": "A poem published to the 'net by author James DeFord at DeFord's website.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S.", "url": "http://www.lnstar.com/mall/james/merwin.htm"} +{"d:Title": "Space Ghost Coast to Coast, Episode 44b", "d:Description": "Transcript of an episode of the television show in which W. S. Merwin is featured on the sidelines (as an \"altered poet\").", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S.", "url": "http://snard.com/sg/guide/?ep=44b&fmt=0"} +{"d:Title": "W. S. Merwin", "d:Description": "An Academy of American Poets \"Poetry Exhibit\"--includes a brief biography, links to further materials online, and selected poems, with one audio recording.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S.", "url": "http://www.poets.org/poetsorg/poet/w-s-merwin"} +{"d:Title": "\"Swimming Up Into Poetry\"", "d:Description": "An essay on Merwin's long career as an author and poet by Peter Davison. From the Atlantic Unbound's website.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Reviews", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/antholog/merwin/pdmerwin.htm"} +{"d:Title": "Green and Secretive Islands", "d:Description": "A review by John Bayley of Merwin's The Folding Cliffs.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Reviews", "url": "http://www.nybooks.com/articles/1999/02/18/green-and-secretive-islands/"} +{"d:Title": "In the Magic Circle", "d:Description": "Critic Roger Shattuck's review of Merwin's The Lost Upland.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Reviews", "url": "http://www.nybooks.com/articles/1992/08/13/in-the-magic-circle/"} +{"d:Title": "Living Ghosts", "d:Description": "This New York Review of Books column by John Bayley includes reviews of Merwin's The Vixen and Lament for the Makers.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Reviews", "url": "http://www.nybooks.com/articles/1997/03/27/living-ghosts/"} +{"d:Title": "New York Review of Books: W. S. Merwin", "d:Description": "Work by Merwin published in the magazine, with a bibliography.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Works", "url": "http://www.nybooks.com/contributors/ws-merwin/"} +{"d:Title": "Three Poems by W. S. Merwin", "d:Description": "\"Another River,\" \"Echoing Light,\" and \"Remembering.\" Archived at the Atlantic Unbound's website.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Works", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/antholog/merwin/three.htm"} +{"d:Title": "\"Green Fields\"", "d:Description": "Archived at the Atlantic Unbound's website.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Works", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/antholog/merwin/green.htm"} +{"d:Title": "Shore Birds", "d:Description": "HTML text of the poem.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Works", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/antholog/merwin/shorebir.htm"} +{"d:Title": "Three French Poems by W. S. Merwin", "d:Description": "\"Vehicles,\" \"The Speed of Light,\" and \"End of Day.\" Archived at the Atlantic Unbound's website.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Works", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/antholog/merwin/french.htm"} +{"d:Title": "\"Tonight I can write the saddest lines . . .\"", "d:Description": "W. S. Merwin's translation of Pablo Neruda's famous poem, side by side with the Spanish original.", "topic": "Top/Arts/Literature/Authors/M/Merwin,_W._S./Works/Translations", "url": "http://www.boppin.com/poets/neruda.html"} +{"d:Title": "Charlotte Mew", "d:Description": "A brief biography and some poems.", "topic": "Top/Arts/Literature/Authors/M/Mew,_Charlotte", "url": "http://www.spondee.net/CharlotteMew/"} +{"d:Title": "Charlotte Mew in Her Own Words", "d:Description": "Poems and extracts by the poet.", "topic": "Top/Arts/Literature/Authors/M/Mew,_Charlotte", "url": "http://studymore.org.uk/xmew.htm"} +{"d:Title": "Stephenie Meyer's Official Website", "d:Description": "Official site offers news, biography, projects, information on the Twilight series, calendar and gear.", "topic": "Top/Arts/Literature/Authors/M/Meyer,_Stephenie", "url": "http://www.stepheniemeyer.com/"} +{"d:Title": "IMDb: Stephenie Meyer", "d:Description": "Offers biography, photo gallery, message board and links.", "topic": "Top/Arts/Literature/Authors/M/Meyer,_Stephenie", "url": "http://www.imdb.com/name/nm2769412/"} +{"d:Title": "Worldcat Identities: Stephenie Meyer", "d:Description": "Provides works, overviews and audience level.", "topic": "Top/Arts/Literature/Authors/M/Meyer,_Stephenie", "url": "http://worldcat.org/identities/lccn-n2004-30792"} +{"d:Title": "Wikipedia: Twilight Series", "d:Description": "Provides plot overview, setting details, themes and inspiration, publishing history, critical reception, influence and external links.", "topic": "Top/Arts/Literature/Authors/M/Meyer,_Stephenie/Twilight_Saga", "url": "http://en.wikipedia.org/wiki/Twilight_(series)"} +{"d:Title": "Academy of Achievement Interview with James Michener", "d:Description": "An extensive five-page interview with Pulitzer Prize-winning novelist James A. Michener.", "topic": "Top/Arts/Literature/Authors/M/Michener,_James", "url": "http://www.achievement.org/autodoc/page/mic0int-1"} +{"d:Title": "Mickiwiecz, Adam", "d:Description": "Biography in Catholic Encyclopedia.", "topic": "Top/Arts/Literature/Authors/M/Mickiewicz,_Adam", "url": "http://www.newadvent.org/cathen/10283a.htm"} +{"d:Title": "Miles, Jack", "d:Description": "Pulitzer Prize winning author of \"God: A Biography.\"; with a biography.", "topic": "Top/Arts/Literature/Authors/M/Miles,_Jack", "url": "http://www.jackmiles.com/"} +{"d:Title": "Edna St. Vincent Millay", "d:Description": "Short biography and collection of some of her poems.", "topic": "Top/Arts/Literature/Authors/M/Millay,_Edna_St._Vincent", "url": "http://www.bartleby.com/people/Millay-E.html"} +{"d:Title": "Edna St. Vincent Millay", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a short biography of Millay, a selection of her poems, a links to further resources on the web.", "topic": "Top/Arts/Literature/Authors/M/Millay,_Edna_St._Vincent", "url": "http://www.poets.org/poetsorg/poet/edna-st-vincent-millay"} +{"d:Title": "Renascence and Other Poems.", "d:Description": "From Columbia University's Bartleby Project.", "topic": "Top/Arts/Literature/Authors/M/Millay,_Edna_St._Vincent/Works", "url": "http://www.bartleby.com/131/"} +{"d:Title": "\"God's World\"", "d:Description": "From the Bartleby Project.", "topic": "Top/Arts/Literature/Authors/M/Millay,_Edna_St._Vincent/Works", "url": "http://www.bartleby.com/104/130.html"} +{"d:Title": "Archive of Edna St. Vincent Millay's Poetry", "d:Description": "Full-text archive of Edna St. Vincent Millay's poetical works, including the complete \"Renascence and Other Poems\" and \"Second April\" collections.", "topic": "Top/Arts/Literature/Authors/M/Millay,_Edna_St._Vincent/Works", "url": "http://www.everypoet.com/archive/poetry/Edna_St_Vincent_Millay/edna_st_vincent_millay_contents.htm"} +{"d:Title": "Edna St.Vincent Millay", "d:Description": "Selections from Second April.", "topic": "Top/Arts/Literature/Authors/M/Millay,_Edna_St._Vincent/Works", "url": "http://www.theotherpages.org/poems/millay01.html"} +{"d:Title": "First Fig", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Authors/M/Millay,_Edna_St._Vincent/Works", "url": "http://www.naic.edu/~gibson/poems/millay1.html"} +{"d:Title": "The Works of G. Wayne Miller", "d:Description": "The author's own website, including biographical information, an interview, news and book purchasing information.", "topic": "Top/Arts/Literature/Authors/M/Miller,_G._Wayne", "url": "http://www.gwaynemiller.com/"} +{"d:Title": "Henry Miller Library", "d:Description": "Website of the Big Sur arts landmark named in author Henry Miller's honor.", "topic": "Top/Arts/Literature/Authors/M/Miller,_Henry", "url": "http://www.henrymiller.org/"} +{"d:Title": "American Legends", "d:Description": "an exclusive interview on Henry Miller with his close friend and lawyer, the late Elmer Gertz, noted Chicago First Amendment attorney.", "topic": "Top/Arts/Literature/Authors/M/Miller,_Henry", "url": "http://www.americanlegends.com/Interviews/miller.htm"} +{"d:Title": "Henry Miller: Big Sur Coast Gallery", "d:Description": "collectible fine art prints for pleasure and investment.", "topic": "Top/Arts/Literature/Authors/M/Miller,_Henry", "url": "http://www.coastgalleries.com/group.cfm?Cat=Miller&"} +{"d:Title": "Walter M. Miller, Jr.: A Canticle for Leibowitz (1959)", "d:Description": "A study guide.", "topic": "Top/Arts/Literature/Authors/M/Miller,_Walter_M.,_Jr.", "url": "http://www.wsu.edu/~brians/science_fiction/canticle.html"} +{"d:Title": "Czeslaw Milosz, The Nobel Prize in Literature 1980", "d:Description": "Nobel Prize press release, writer biography, Nobel lecture, selected poems, other resources.", "topic": "Top/Arts/Literature/Authors/M/Milosz,_Czeslaw", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1980/"} +{"d:Title": "Luminarium: John Milton", "d:Description": "Links to e-texts and other resources; biographical and historical information.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://www.luminarium.org/sevenlit/milton/index.html"} +{"d:Title": "John Milton Reading Room", "d:Description": "Most of his major poetry in English and some of his prose. Many works are annotated. Course web site from Dartmouth College, by Professor Thomas Luxon.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://www.dartmouth.edu/~milton/"} +{"d:Title": "Milton's Cottage Trust", "d:Description": "John Milton's last remaining house, now a museum in Buckinghamshire, UK. Visitor and research information.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://www.miltonscottage.org/"} +{"d:Title": "John Milton Collection", "d:Description": "Many links to Milton's poetry and prose, most of which are based on Harvard Classics editions.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://www.bartleby.com/people/Milton-J.html"} +{"d:Title": "Biographical Introduction to John Milton", "d:Description": "By Rufus Wilmot Griswold, 1873.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://www.constitution.org/milton/bio_intro.htm"} +{"d:Title": "The Victorian Literary Studies Archive: Concordances - John Milton", "d:Description": "Searchable concordance allows users to track every instance of a particular word in Milton's major works.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://victorian.lang.nagoya-u.ac.jp/concordance/milton/"} +{"d:Title": "A Milton Chronology", "d:Description": "Timeline of the major events in Milton's life. From Roy C. Flannagan, Ohio University.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://facultystaff.richmond.edu/~creamer/milton/chronology.html"} +{"d:Title": "John Milton and Seventeenth Century Culture", "d:Description": "Illustrated introduction to museum exhibit.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://library.sc.edu/spcoll/britlit/milton/milton.html"} +{"d:Title": "Milton Society", "d:Description": "The Society aims to further Milton scholarship by bringing scholars together at an annual dinner, publishing an annual booklet (and website) encouraging research in progress, and promoting exchange of ideas in the field of Milton study.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "http://miltonsociety.org/"} +{"d:Title": "The Milton-L Home Page", "d:Description": "Listserv and discussion group, International Milton Congress, Milton Society, life, literature, essays, and historical context.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John", "url": "https://lists.richmond.edu/mailman/listinfo/milton-l"} +{"d:Title": "Paradise Lost Study Guide", "d:Description": "Text, essays, indexes, illustrations, questions and answers.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://www.paradiselost.org/"} +{"d:Title": "Selected Papers", "d:Description": "From the West Virginia Shakespeare and Renaissance Conference comes the article, \"Poetical Historiography: Milton\u2019s History of Britain as a Literary Text,\" by James Egan.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://www.marshall.edu/engsr/SR1998.html#Table of Contents"} +{"d:Title": "Milton, John", "d:Description": "Background on Milton's religious convictions, and how they relate to his political tracts.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://www.ccel.org/s/schaff/encyc/encyc07/htm/ii.xii.iv.htm"} +{"d:Title": "Milton's Works and Life: Select Studies and Resources", "d:Description": "Many bibliographic entries of primary and secondary sources. From R.G. Siemens, University of Alberta.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://www.shu.ac.uk/emls/iemls/postprint/CCM2Biblio.html#1"} +{"d:Title": "Book Review", "d:Description": "Jim Daems reviews Sea-Mark: The Metaphorical Voyage, Spenser to Milton, by Philip Edwards.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/rev_dae.html"} +{"d:Title": "Book Review", "d:Description": "Andrew McRae reviews The Matter of Revolution: Science, Poetry, and Politics in the Age of Milton, by John Rogers.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/03-2/rev_mcr1.html"} +{"d:Title": "Book Review", "d:Description": "Jim Daems reviews Carnal Rhetoric: Milton's Iconoclasm and the Poetics of Desire, by Lana Cable.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/04-2/rev_daem.html"} +{"d:Title": "Book Review", "d:Description": "Philip Edward Phillips reviews Origin and Authority in Seventeenth-Century England: Bacon, Milton, Butler, by Alvin Snider.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/01-2/rev_pep1.html"} +{"d:Title": "Milton and the Sexy Seals: A Peephole into the Horton Years", "d:Description": "Notes on Milton's marginalia, as pertaining to his copy of Lycophron's Alexandra. By John K. Hale.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/01-3/halemilt.html"} +{"d:Title": "Book Reviews", "d:Description": "John S. Pendergast reviews Milton, Spenser and the Epic Tradition, by Patrick J. Cook; Mapping the Faerie Queene, by Wayne Erickson.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/04-2/rev_pend.html"} +{"d:Title": "Reflections on Milton and Ariosto", "d:Description": "Analyses the issues surrounding \"Milton and his supposed scribbles in a 1591 edition of Sir John Harington's translation of Ariosto.\" By Roy Flannagan.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/02-3/flanmilt.html"} +{"d:Title": "Protocols of Reading: Milton and Biography", "d:Description": "J. Michael Vinovich analyzes \"how Milton's biography has been constructed . . [and] how its ideological and institutional protocols constrain reading strategies that threaten to qualify it.\"", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/01-3/vinomilt.html"} +{"d:Title": "Milton and the Jacobean Church of England", "d:Description": "A 1995 article by Daniel W. Doerksen, published in \"Early Modern Literary Studies.\"", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/01-1/doermilt.html"} +{"d:Title": "England as Israel in Milton's Writings", "d:Description": "Explicates the relation between church and state in Milton's writings, arguing for the gradual loss of political innocence.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/02-2/halemil2.html"} +{"d:Title": "Book Review", "d:Description": "Jim Daems reviews The Arts of Empire: The Poetics of Colonialism from Ralegh to Milton, by Walter S.H. Lim.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/06-1/daemsrev.htm"} +{"d:Title": "Book Review", "d:Description": "Mary R. Bowman reviews Allegory and Epic in English Renaissance Literature, by Kenneth Borris.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/07-2/bowrev.htm"} +{"d:Title": "Book Review", "d:Description": "Robert Grant Williams reviews Showing Like a Queen: Female Authority and Literary Experiment in Spenser, Shakespeare, and Milton, by Katherine Eggert.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/07-3/willrev.htm"} +{"d:Title": "Book Review", "d:Description": "Paul Dyck reviews of Bodies and Selves in Early Modern England: Physiology and Inwardness in Spenser, Shakespeare, Herbert, and Milton, by Michael Schoenfeldt.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/06-3/dyckrev.htm"} +{"d:Title": "Book Review", "d:Description": "Amdrew McRae reviews Radical Religion from Shakespeare to Milton: Figures of Nonconformity in Early Modern England, by Kristen Poole.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/07-1/revpoole.htm"} +{"d:Title": "Ovid's Rivers and the Naming of Milton's Lycidas", "d:Description": "Eric C. Brown suggests that in terms of name Lycidas, \"Comparatively little study has been made, however, of the etymological complexity of the name.\"", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/07-2/browovid.htm"} +{"d:Title": "Book Review", "d:Description": "William Walker reviews Stanley Fish, How Milton Works, by Stanley Fish.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/07-3/walkrev.htm"} +{"d:Title": "Book Review", "d:Description": "Bryan N.S. Gooch reviews Pastoral Process[:] Spenser, Marvell, Milton, by Susan Snyder.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/07-1/revgooch.htm"} +{"d:Title": "Book Review", "d:Description": "William Walker reviews Milton and Heresy, Stephen B. Dobranski and John P. Rumrich, eds.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/07-1/revwalk.htm"} +{"d:Title": "Book Review", "d:Description": "William Walker reviews Milton and the Terms of Liberty, by Graham Parry and Joad Raymond, eds.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/08-3/walkrev.htm"} +{"d:Title": "John Milton: Poet, Priest and Prophet", "d:Description": "Etext version of the book, \"Study of Divine Vocation in Milton's Poetry and Prose,\" by John Spencer Hill.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/iemls/postprint/jhill-milt/milton.htm"} +{"d:Title": "Milton Review", "d:Description": "Reviews of books about Milton, from Kevin J.T. Creamer, University of Richmond.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "https://facultystaff.richmond.edu/~creamer/review.html"} +{"d:Title": "Italy, European Intellectual Life, and the Pamphlet Wars of the 1640s", "d:Description": "Culture and history during the 1640s, and background on Milton in Italy.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://library.sc.edu/spcoll/britlit/milton/miltonitaly.html"} +{"d:Title": "The Milton Quarterly", "d:Description": "Scholarly journal devoted to his life and writings.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Reviews", "url": "http://muse.jhu.edu/journal/133"} +{"d:Title": "Archive of Poetry of John Milton", "d:Description": "\"Paradise Lost\" and \"Paradise Regained.\"", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works", "url": "http://www.everypoet.com/archive/poetry/John_Milton/milton_contents.htm"} +{"d:Title": "Areopagitica", "d:Description": "Project Gutenberg. Links to sites that offer downloads in plain text, HTML, and ZIP formats.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Areopagitica", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=608"} +{"d:Title": "Areopagitica - Part 1", "d:Description": "Full text, links, and notes. From Dartmouth College's Milton Reading Room.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Areopagitica", "url": "http://www.dartmouth.edu/~milton/reading_room/areopagitica/"} +{"d:Title": "Areopagitica, 1643", "d:Description": "Full text at Fordham University. From the Harvard Classics edition, 1909.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Areopagitica", "url": "http://sourcebooks.fordham.edu/halsall/mod/1643milton-areo.asp"} +{"d:Title": "The Masque in Milton's Arcades and Comus", "d:Description": "Analysis of the genesis of the piece, a discussion as to its genre, and background material on its wedding to the then-new Baroque music.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Comus", "url": "http://www.samizdat.qc.ca/arts/theatre/masque_gm.htm"} +{"d:Title": "The Doctrine and Discipline of Divorce", "d:Description": "Full text, links, and notes. From Dartmouth College's Milton Reading Room.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Milton's_Divorce_Tracts", "url": "http://www.dartmouth.edu/~milton/reading_room/ddd/book_1/"} +{"d:Title": "John Milton: Sonnet XI", "d:Description": "Full test of Milton's \"On the Detraction Which Followed Upon My Writing Certain Treatises.\"", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Milton's_Divorce_Tracts", "url": "http://www.luminarium.org/sevenlit/milton/detract.htm"} +{"d:Title": "Of Education", "d:Description": "Full text, notes, and links. From Dartmouth College.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Of_Education", "url": "http://www.dartmouth.edu/~milton/reading_room/of_education/"} +{"d:Title": "Paradise Lost by John Milton", "d:Description": "HTML etext, with search feature and brief plot synopsis.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Paradise_Lost", "url": "http://www.online-literature.com/milton/paradiselost/"} +{"d:Title": "Tabula Rasa: Paradise Lost", "d:Description": "Article about Milton and the writing of Paradise Lost.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Paradise_Lost", "url": "http://www.tabula-rasa.info/DarkAges/ParadiseLost.html"} +{"d:Title": "Paradise Lost Study Guide", "d:Description": "Complete poem, essays, summaries, and bible references.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Paradise_Lost", "url": "http://www.paradiselost.org/"} +{"d:Title": "Milton: Paradise Lost and Regained", "d:Description": "Full text of Paradise Lost and Paradise Regained.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Paradise_Lost", "url": "http://www.sacred-texts.com/chr/milton/"} +{"d:Title": "Paradise Lost", "d:Description": "HTML, one book per file. Also available in Microsoft Reader format. At Christian Classics Ethereal Library.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Paradise_Lost", "url": "http://www.ccel.org/m/milton/lost/paradise_lost.html"} +{"d:Title": "Paradise Lost", "d:Description": "listing of texts.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Paradise_Lost", "url": "https://facultystaff.richmond.edu/~creamer/milton/chron.html"} +{"d:Title": "Paradise Lost and Regained", "d:Description": "Full text of Paradise Lost and Paradise Regained.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Paradise_Regained", "url": "http://www.sacred-texts.com/chr/milton/"} +{"d:Title": "Samson Agonistes", "d:Description": "Etext with annotations, links and research aids from Dartmouth University.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Samson_Agonistes", "url": "http://www.dartmouth.edu/~milton/reading_room/samson/tragedy/"} +{"d:Title": "Infomotions: Samson Agonistes", "d:Description": "ASCII etext.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Samson_Agonistes", "url": "http://www.infomotions.com/etexts/literature/english/1600-1699/milton-samson-534.txt"} +{"d:Title": "\"In this dark world and wide\": Samson Agonistes and the Meaning of Christian Heroism", "d:Description": "An article by Carol Barton.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Samson_Agonistes", "url": "http://extra.shu.ac.uk/emls/05-2/bartsams.htm"} +{"d:Title": "Samson Agonistes", "d:Description": "Plain text from Sheffield Hallam University.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Samson_Agonistes", "url": "http://extra.shu.ac.uk/emls/iemls/work/etexts/samson.html"} +{"d:Title": "The Tenure of Kings and Magistrates", "d:Description": "Full text, notes, links to secondary texts.", "topic": "Top/Arts/Literature/Authors/M/Milton,_John/Works/Tenure_of_Kings_and_Magistrates", "url": "http://www.dartmouth.edu/~milton/reading_room/tenure/"} +{"d:Title": "Guido Mina di Sospiro", "d:Description": "Autobiographical sketch, essays, excerpts from novels, work in progress.", "topic": "Top/Arts/Literature/Authors/M/Mina_di_Sospiro,_Guido", "url": "http://www.guido-mina-di-sospiro.com/"} +{"d:Title": "Jonathan Mirsky", "d:Description": "Journalist and a historian of China who lives in London. Links to reviews and articles published in the New York Review of Books, plus a bibliography of Mirsky's books.", "topic": "Top/Arts/Literature/Authors/M/Mirsky,_Jonathan", "url": "http://www.nybooks.com/contributors/jonathan-mirsky-2/"} +{"d:Title": "Mishima Yukio Cyber Museum", "d:Description": "Information on the museum. [Japanese and English]", "topic": "Top/Arts/Literature/Authors/M/Mishima,_Yukio", "url": "http://www.mishimayukio.jp/"} +{"d:Title": "Yukio Mishima", "d:Description": "Biography, bibliography, summaries of some of his main works, chronology and articles.", "topic": "Top/Arts/Literature/Authors/M/Mishima,_Yukio", "url": "http://www.members.tripod.com/dennismichaeliannuzz/index.HTML"} +{"d:Title": "Dojoji: One of Yukio Mishima's Modern Noh Plays", "d:Description": "Discussion of Mishima's play, Dojoji.", "topic": "Top/Arts/Literature/Authors/M/Mishima,_Yukio", "url": "http://www.wdog.com/rider/writings/dojoji_one_of_yukio_mishima.htm"} +{"d:Title": "Yukio Mishima's Visons", "d:Description": "Essay on the meaning of Mishima's suicide.", "topic": "Top/Arts/Literature/Authors/M/Mishima,_Yukio", "url": "http://eric.stamey.com/yukio.html"} +{"d:Title": "Short biography of Fr\u00e9d\u00e9ric Mistral", "d:Description": "Winner of Nobel Prize in Literature 1904.", "topic": "Top/Arts/Literature/Authors/M/Mistral,_Fr\u00e9d\u00e9ric", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1904/mistral-bio.html"} +{"d:Title": "Nobel Prize in Literature 1945: Gabriela Mistral", "d:Description": "Presentation and acceptance speeches, as well as a biography.", "topic": "Top/Arts/Literature/Authors/M/Mistral,_Gabriela", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1945/press.html"} +{"d:Title": "Find A Grave - Margaret Mitchell", "d:Description": "Provides date of birth and death, biography, burial location, photo of the author, tombstone image and virtual visitor memorial comments.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=718"} +{"d:Title": "IMDb: Margaret Mitchell", "d:Description": "Includes brief biography and discussion board.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret", "url": "http://www.imdb.com/name/nm0593565/"} +{"d:Title": "This Day in History: May 3", "d:Description": "Margaret Mitchell won the Pulitzer Prize in fiction for Gone With the Wind.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret", "url": "http://www.factmonster.com/dayinhistory/May-3"} +{"d:Title": "New Georgia Encyclopedia: Margaret Mitchell", "d:Description": "Biography, photos and suggested reading materials.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret", "url": "http://www.georgiaencyclopedia.org/articles/arts-culture/margaret-mitchell-1900-1949"} +{"d:Title": "Georgia Writers Hall of Fame", "d:Description": "Offers biography, bibliography and additional resources for charter member, Margaret Mitchell.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret", "url": "http://www.georgiawritershalloffame.org/honorees/biography.php?authorID=28"} +{"d:Title": "Crescent Apartments--Atlanta: A National Register of Historic Places", "d:Description": "Margaret Mitchell, author of Gone With the Wind, lived in the ground floor Apartment Number 1 from 1925 to 1932 with her husband John Marsh.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret", "url": "http://www.nps.gov/nr/travel/atlanta/cre.htm"} +{"d:Title": "Margaret Mitchell House and Museum", "d:Description": "Features photographs and archival exhibits that tell the story of the author of the classic novel, \"Gone With The Wind\". Includes details of displays, upcoming events, history of the house, photos, hours, ticket prices, membership and directions. Located in Atlanta, Georgia.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret", "url": "http://www.atlantahistorycenter.com/explore/destinations/margaret-mitchell-house"} +{"d:Title": "Wikipedia: Gone with the Wind", "d:Description": "Provides description, book structure, historical sources for the characters and external links.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret/Works/Gone_With_The_Wind", "url": "http://en.wikipedia.org/wiki/Gone_with_The_Wind"} +{"d:Title": "Gone With The Wind", "d:Description": "Project Gutenberg of Australia eBooks provides Gone With The Wind by author Margaret Mitchell in text format.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret/Works/Gone_With_The_Wind", "url": "http://gutenberg.net.au/ebooks02/0200161.txt"} +{"d:Title": "SparkNotes: Gone with the Wind", "d:Description": "Examines plot overview, character analysis, themes, motifs and symbols. Provides key facts, study questions and suggestions for further reading.", "topic": "Top/Arts/Literature/Authors/M/Mitchell,_Margaret/Works/Gone_With_The_Wind", "url": "http://www.sparknotes.com/lit/gonewith/"} +{"d:Title": "Interview with Naomi Mitchison", "d:Description": "By Raymond H. Thompson.", "topic": "Top/Arts/Literature/Authors/M/Mitchison,_Naomi", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-naomi-mitchison"} +{"d:Title": "Manuel V\u00e1zquez Montalb\u00e1n", "d:Description": "\"Lots of information about Pepe Carvalho's creator.\" Multi-lingual pages that include a bibliography and an excerpt from The Pianist.", "topic": "Top/Arts/Literature/Authors/M/Montalb\u00e1n,_Manuel_V\u00e1zquez", "url": "http://www.vespito.net/mvm"} +{"d:Title": "Montalb\u00e1n, Manuel V\u00e1zquez", "d:Description": "Information about Manuel V\u00e1zquez Montalb\u00e1n and his novels and essays.", "topic": "Top/Arts/Literature/Authors/M/Montalb\u00e1n,_Manuel_V\u00e1zquez", "url": "http://www.vespito.net/mvm/indeng.html"} +{"d:Title": "G.B. Mooney", "d:Description": "Official site with information about the author's adventure-romance novels.", "topic": "Top/Arts/Literature/Authors/M/Mooney,_G._B.", "url": "http://gbmooneybooks.com/"} +{"d:Title": "Elric Summary", "d:Description": "Includes a small concordance of items from the Elric series of fantasy novels by Michael Moorcock.", "topic": "Top/Arts/Literature/Authors/M/Moorcock,_Michael", "url": "http://spotlightongames.com/summary/elric.html"} +{"d:Title": "The Official Michael Moorcock Website", "d:Description": "Hosts forums covering all aspects of his work.", "topic": "Top/Arts/Literature/Authors/M/Moorcock,_Michael", "url": "http://www.multiverse.org/"} +{"d:Title": "Marianne Moore", "d:Description": "An introduction to the poet by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University.", "topic": "Top/Arts/Literature/Authors/M/Moore,_Marianne", "url": "http://www.lit.kobe-u.ac.jp/~hishika/moore.htm"} +{"d:Title": "Wanda Moorman", "d:Description": "African-American female writer, poet, and songwriter. Includes biography, excerpts, and tour information.", "topic": "Top/Arts/Literature/Authors/M/Moorman,_Wanda", "url": "http://www.storytale.com/"} +{"d:Title": "Hannah More 1745-1833", "d:Description": "Quotations by More in Bartlett's Familiar Quotations at Bartleby Library.", "topic": "Top/Arts/Literature/Authors/M/More,_Hannah", "url": "http://www.bartleby.com/100/pages/page437.html"} +{"d:Title": "\"Sensibility: An Epistle to the Honourable Mrs. Boscawen\"", "d:Description": "Poem by More.", "topic": "Top/Arts/Literature/Authors/M/More,_Hannah/Works", "url": "http://www.english.upenn.edu/~mgamer/Etexts/more.html"} +{"d:Title": "Poems by Christian Morgenstern", "d:Description": "Small bilingual selection of poems by Christian Morgenstern in German and English.", "topic": "Top/Arts/Literature/Authors/M/Morgenstern,_Christian/Poetry", "url": "http://www.alb-neckar-schwarzwald.de/morgenstern/morgenstern_poems.html"} +{"d:Title": "The Haunted Bookshop", "d:Description": "Free text of book online.", "topic": "Top/Arts/Literature/Authors/M/Morley,_Christopher", "url": "http://www.pagebypagebooks.com/Christopher_Morley/The_Haunted_Bookshop/"} +{"d:Title": "Christopher Morley", "d:Description": "Thirty three of his essays online.", "topic": "Top/Arts/Literature/Authors/M/Morley,_Christopher", "url": "http://www.bartleby.com/people/Morley-C.html"} +{"d:Title": "Mississippi Writers Page: Willie Morris (1934-1999)", "d:Description": "Includes a biographical and critical article, list of works, selected bibliography of secondary resources, and links.", "topic": "Top/Arts/Literature/Authors/M/Morris,_Willie", "url": "http://mwp.olemiss.edu/dir/morris_willie/"} +{"d:Title": "Anniina's Toni Morrison Page", "d:Description": "Dedicated to Toni and her works. Multilevel site includes all known web resources, such as biographies, bibliographies, essays, and articles.", "topic": "Top/Arts/Literature/Authors/M/Morrison,_Toni", "url": "http://www.luminarium.org/contemporary/tonimorrison/"} +{"d:Title": "Toni Morrison: Nobel Prize in Literature 1993", "d:Description": "Press release, curriculum vitae, Nobel lecture, Nobel diploma, Swedish Nobel stamps, other resources.", "topic": "Top/Arts/Literature/Authors/M/Morrison,_Toni", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1993/"} +{"d:Title": "Time Cover Story: Paradise Found", "d:Description": "Article on author Toni Morrison's book 'Paradise' and how she has dealt with her writing after winning the Nobel Prize for Literature in 1993.", "topic": "Top/Arts/Literature/Authors/M/Morrison,_Toni/Articles_and_Interviews", "url": "http://www.time.com/time/magazine/article/0,9171,1101980119-138486,00.html"} +{"d:Title": "Nobel Prize for Literature 1993", "d:Description": "Press release for author Toni Morrison's Nobel Prize for Literature in 1993.", "topic": "Top/Arts/Literature/Authors/M/Morrison,_Toni/Articles_and_Interviews", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1993/press.html"} +{"d:Title": "Mysteries of Walter Mosley", "d:Description": "Primer for the author's books.", "topic": "Top/Arts/Literature/Authors/M/Mosley,_Walter", "url": "http://www.math.buffalo.edu/~sww/mosley/mosley_walter_primer.html"} +{"d:Title": "Lisel Mueller", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/M/Mueller,_Lisel", "url": "http://www.poets.org/poetsorg/poet/lisel-mueller"} +{"d:Title": "Edwin Muir - Poet, Critic and Translator", "d:Description": "A time line of Muir's life with links to a dozen of his poems. Discussion of his roots in Scotland. Links to universities featuring Scottish studies.", "topic": "Top/Arts/Literature/Authors/M/Muir,_Edwin", "url": "http://muir.rhizomatics.org.uk/"} +{"d:Title": "PoemHunter: Edwin Muir", "d:Description": "Links to poems of Edwin Muir, and other resources related to the poet. Requires log-in registration", "topic": "Top/Arts/Literature/Authors/M/Muir,_Edwin", "url": "http://www.poemhunter.com/edwin-muir/"} +{"d:Title": "Writing Scotland - Edwin Muir", "d:Description": "An overview of the writer's life and works.", "topic": "Top/Arts/Literature/Authors/M/Muir,_Edwin", "url": "http://www.bbc.co.uk/programmes/p00mr8yj/profiles/edwin-muir"} +{"d:Title": "Madoc - Paul Muldoon", "d:Description": "A review and a link to other reviews of Madoc by Paul Muldoon.", "topic": "Top/Arts/Literature/Authors/M/Muldoon,_Paul", "url": "http://www.complete-review.com/reviews/muldoonp/madoc.htm"} +{"d:Title": "Hay - Paul Muldoon", "d:Description": "A review and a link to other reviews of Hay by Paul Muldoon.", "topic": "Top/Arts/Literature/Authors/M/Muldoon,_Paul", "url": "http://www.complete-review.com/reviews/muldoonp/hay.htm"} +{"d:Title": "The Complete Review", "d:Description": "Overview of Paul Muldoon's works and links to other sites.", "topic": "Top/Arts/Literature/Authors/M/Muldoon,_Paul", "url": "http://www.complete-review.com/authors/muldoonp.htm"} +{"d:Title": "The Poet at Play", "d:Description": "4,200 word interview with Paul Muldoon in The Guardian.", "topic": "Top/Arts/Literature/Authors/M/Muldoon,_Paul", "url": "http://www.theguardian.com/books/2001/may/12/poetry.artsandhumanities"} +{"d:Title": "Muldoon, Paul: Sonogram", "d:Description": "Summary and brief evaluation of the book of poetry by Paul Muldoon.", "topic": "Top/Arts/Literature/Authors/M/Muldoon,_Paul", "url": "http://medhum.med.nyu.edu/view/430"} +{"d:Title": "Muldoon, Paul: The Birth", "d:Description": "Summary and brief evaluation of the poem by Paul Muldoon.", "topic": "Top/Arts/Literature/Authors/M/Muldoon,_Paul", "url": "http://medhum.med.nyu.edu/view/426"} +{"d:Title": "Harry Mulisch at the Complete Review", "d:Description": "An overview of the life and works of Dutch author Harry Mulisch, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/M/Mulisch,_Harry", "url": "http://www.complete-review.com/authors/mulischh.htm"} +{"d:Title": "Terence Munsey", "d:Description": "The \"official\" \"site for best-selling author Terence Munsey. Home of the Stoneman Series and his Monika Queller mystery novels.\"", "topic": "Top/Arts/Literature/Authors/M/Munsey,_Terence", "url": "http://www.pathcom.com/~munsey"} +{"d:Title": "Haruki Murakami Forum", "d:Description": "Threaded discussion board.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://network54.com/Hide/Forum/15537"} +{"d:Title": "Audio Interview: Haruki Murakami", "d:Description": "Conversation with Jonathan Lethem at The New School in New York City.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.nytimes.com/books/01/06/10/specials/murakami-audio.html"} +{"d:Title": "World Press Review - Haruki Murakami", "d:Description": "A rare interview with Japanese novelist.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.worldpress.org/0801books1.htm"} +{"d:Title": "Haruki Murakami Resource Page", "d:Description": "Resource site featuring news, a biography, and articles.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.exorcising-ghosts.co.uk/"} +{"d:Title": "hackwriters.com - Haruki Murakami", "d:Description": "Sam North's article about the author.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.hackwriters.com/murakami.htm"} +{"d:Title": "Lost in Orbit", "d:Description": "A NY Times review of \"Sputnik Sweetheart\".", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.nytimes.com/books/01/06/10/reviews/010610.10zaleskt.html"} +{"d:Title": "Murakami Haruki at the Complete Review", "d:Description": "An overview of the life and works of Murakami Haruki, with links to extensive reviews of his work at the Complete Review, and further information.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.complete-review.com/authors/murakamh.htm"} +{"d:Title": "Love Hurts: New York Times Book Review", "d:Description": "A review of \"South of the Border, West of the Sun\".", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.nytimes.com/books/99/02/14/reviews/990214.14hawthot.html"} +{"d:Title": "SPIEGEL Interview with Haruki Murakami", "d:Description": "Interview with the author about writing and running.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.spiegel.de/international/world/0,1518,536608,00.html"} +{"d:Title": "Haruki Murakami's 'Norwegian Wood' coming to big screen", "d:Description": "Article detailing film plans for the novel Norwegian Wood", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.japantoday.com/category/entertainment/view/haruki-murakamis-norwegian-wood-coming-to-big-screen"} +{"d:Title": "The Guardian: Tunnel Vision", "d:Description": "Reviews of \"Underground\" and \"Norwegian Wood\".", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.theguardian.com/books/2000/may/27/fiction.harukimurakami"} +{"d:Title": "Guardian Unlimited Books: Sputnik Sweetheart by Haruki Murakami", "d:Description": "Extract from the novel.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.theguardian.com/books/2001/may/25/harukimurakami"} +{"d:Title": "The Observer: Dangers on a train", "d:Description": "A review of \"Underground\".", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.theguardian.com/books/2000/jun/04/history.harukimurakami"} +{"d:Title": "Haruki Murakami Livejournal Community", "d:Description": "Active community for fans of Haruki Murakami", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://murakami.livejournal.com/"} +{"d:Title": "LRB | Ian Hacking: What did Aum Shinrikyo have in mind?", "d:Description": "Ian Hacking reviews \"Underground\".", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.lrb.co.uk/v22/n20/ian-hacking/what-did-aum-shinrikyo-have-in-mind"} +{"d:Title": "The Economist: Tokyo blues", "d:Description": "Reviews of \"Underground\" and \"Sputnik Sweetheart\".", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.economist.com/node/624215"} +{"d:Title": "Salon.com Books Review: Sputnik Sweetheart by Haruki Murakami", "d:Description": "Review of the novel.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.salon.com/2001/04/19/murakami/"} +{"d:Title": "Haruki Murakami", "d:Description": "Official site of the author.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://www.harukimurakami.com/"} +{"d:Title": "Haruki Murakami Club", "d:Description": "Includes reviews to several books; allows user-submitted reviews.", "topic": "Top/Arts/Literature/Authors/M/Murakami,_Haruki", "url": "http://allreaders.com/books/haruki-murakami-1115"} +{"d:Title": "Dolls in the Tale of Genji", "d:Description": "Illustrated essay with passages from the work, genealogical table, and links.", "topic": "Top/Arts/Literature/Authors/M/Murasaki_Shikibu", "url": "http://web.clas.ufl.edu/users/jshoaf/Jdolls/genji.htm"} +{"d:Title": "Murasaki Shikibu (Lady Murasaki)", "d:Description": "Short biography of the author of The Tale of Genji, which is considered by many to be the world's first novel.", "topic": "Top/Arts/Literature/Authors/M/Murasaki_Shikibu", "url": "http://www.womeninworldhistory.com/heroine9.html"} +{"d:Title": "The Diary of Murasaki Shikibu", "d:Description": "Annotated selection from the translated diary.", "topic": "Top/Arts/Literature/Authors/M/Murasaki_Shikibu", "url": "http://digital.library.upenn.edu/women/omori/court/murasaki.html"} +{"d:Title": "The Iris Murdoch Society", "d:Description": "Information on the society, its annual newsletter and activities. Also bibliography and pictures.", "topic": "Top/Arts/Literature/Authors/M/Murdoch,_Iris", "url": "http://www.irismurdoch.plus.com/"} +{"d:Title": "Featured Author: Iris Murdoch", "d:Description": "Several book reviews, and an interview, from the New York Times.", "topic": "Top/Arts/Literature/Authors/M/Murdoch,_Iris", "url": "http://www.nytimes.com/books/98/12/20/specials/murdoch.html"} +{"d:Title": "Guardian: Iris Murdoch (1919-1999)", "d:Description": "Quick introduction to the author, and several relevant articles, including obituary.", "topic": "Top/Arts/Literature/Authors/M/Murdoch,_Iris", "url": "http://www.theguardian.com/books/2008/jun/12/irismurdoch"} +{"d:Title": "Murphy, Elspeth Campbell", "d:Description": "Official site; with a biography, bibliography, and articles.", "topic": "Top/Arts/Literature/Authors/M/Murphy,_Elspeth_Campbell", "url": "http://www.booksbyecm.com/"} +{"d:Title": "Kevin Andrew Murphy", "d:Description": "\"Kevin's world of vampires, werewolves, ghosts, fox spirits, and Goth girl witches and their talking cats. As well as his life and times, convention appearances, mead brewing and other fun stuff.\" The author's own extraordinarily-design website.", "topic": "Top/Arts/Literature/Authors/M/Murphy,_Kevin", "url": "http://www.sff.net/people/kevin.a.murphy/index.htp"} +{"d:Title": "The Academy of American Poets: Les Murray", "d:Description": "Contains the author's biography and audio for \"The Year of the Kiln Portraits\" read by the author.", "topic": "Top/Arts/Literature/Authors/M/Murray,_Les", "url": "http://www.poets.org/poetsorg/poet/les-murray"} +{"d:Title": "Letrs' QuickGuides: Robert Musil", "d:Description": "Introduction to Robert Musil literary remains database (PEP).", "topic": "Top/Arts/Literature/Authors/M/Musil,_Robert", "url": "http://www.indiana.edu/~letrs/help-services/QuickGuides/musil.html"} +{"d:Title": "A Writer With Qualities", "d:Description": "Short introduction to Robert Musil and his works by Harvey Pekar, published in Metro.", "topic": "Top/Arts/Literature/Authors/M/Musil,_Robert", "url": "http://www.metroactive.com/papers/metro/12.07.95/books-9549.html"} +{"d:Title": "Robert Musil", "d:Description": "A site on Austrian writer Robert Musil, author of The Man Without Qualities. Includes a biography, essays on his works, pictures, and a timetable.", "topic": "Top/Arts/Literature/Authors/M/Musil,_Robert", "url": "http://jikje.home.xs4all.nl/"} +{"d:Title": "Carol Muske-Dukes", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography and two selected poems.", "topic": "Top/Arts/Literature/Authors/M/Muske,_Carol", "url": "http://www.poets.org/poetsorg/poet/carol-muske-dukes"} +{"d:Title": "Mycroft, Jonathan", "d:Description": "Author of 'Trial by Fear', with a biography and an extract.", "topic": "Top/Arts/Literature/Authors/M/Mycroft,_Jonathan", "url": "http://www.jonathanmycroft.com/"} +{"d:Title": "Myers, Lori", "d:Description": "Essayist and journalist; with a biography and archived articles.", "topic": "Top/Arts/Literature/Authors/M/Myers,_Lori", "url": "http://www.lorimmyers.com/"} +{"d:Title": "Carolina Pacifica", "d:Description": "Selection of new and past essays and poems from this American writer.", "topic": "Top/Arts/Literature/Authors/M/Myers,_Neil", "url": "http://www.neilmyers.homestead.com/"} +{"d:Title": "Suzanne Noguere", "d:Description": "Biography, book excerpts, and online artwork of the poet and children's author.", "topic": "Top/Arts/Literature/Authors/N", "url": "http://www.suzannenoguere.com/"} +{"d:Title": "Gary Nabhan", "d:Description": "Profile, photographs, bibliography, and an interview with Nablan, the first director of the Center for Sustainable Environments. Based at the Southwest Center of the University of Arizona.", "topic": "Top/Arts/Literature/Authors/N/Nabhan,_Gary_Paul", "url": "http://www.garynabhan.com/"} +{"d:Title": "Complete Review: Lolita - Richard Corliss", "d:Description": "Review of Corliss' book: \"short, creative study of Nabokov's book and Kubrick's film.\"", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir", "url": "http://www.complete-review.com/reviews/nabokovv/lolita3.htm"} +{"d:Title": "NY Times: Celebrating Nabokov's Centenary", "d:Description": "Archive of reviews, articles, interviews, reflections on his work, and readings in RealAudio format.", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir", "url": "http://www.nytimes.com/books/99/04/18/specials/nabokov.html"} +{"d:Title": "The Barcelona Review: Nabokov Quiz", "d:Description": "Trivia quiz with answers included.", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir", "url": "http://www.barcelonareview.com/14/nab_quiz.htm"} +{"d:Title": "Zembla", "d:Description": "International Vladimir Nabokov Society site includes news, interviews, online texts and audio recordings, and biographical and bibliographical data.", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir", "url": "http://www.libraries.psu.edu/nabokov/zembla.htm"} +{"d:Title": "Nabokv-L", "d:Description": "Subscription instructions, and archive, for the moderated mailing list.", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir", "url": "http://www.libraries.psu.edu/nabokov/listinfo.htm"} +{"d:Title": "Salon.com: Vladimir Nabokov", "d:Description": "Collection of articles about the novelist.", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir", "url": "http://www.salon.com/topic/vladimir_nabokov/"} +{"d:Title": "Complete Reviews: Lolita - Vladimir Nabokov", "d:Description": "Review of the book: \"one of the modern greats\", rated A+. Includes extracts from other reviews.", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir/Works/Lolita", "url": "http://www.complete-review.com/reviews/nabokovv/lolita1.htm"} +{"d:Title": "The New York Times: Lolita", "d:Description": "Erica Jong's review: \"Outrageous, inevitable, infinitely rereadable, 'Lolita' at 30 is as young as she was as a glimmer in her author's eye.\"", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir/Works/Lolita", "url": "http://www.nytimes.com/books/97/07/20/reviews/16009.html"} +{"d:Title": "Atlantic Unbound: Lolita", "d:Description": "Charles Rolo's review: \"an assertion of the power of the comic spirit to wrest delight and truth from the most outlandish materials\".", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir/Works/Lolita", "url": "http://www.theatlantic.com/magazine/archive/1958/09/lolita-by-vladimir-nabokov/304639/"} +{"d:Title": "Salon.com Audio: Vladimir Nabokov", "d:Description": "Excerpt from the Random House audiobook release of Lolita, read by Jeremy Irons. In RealAudio and MP3 format.", "topic": "Top/Arts/Literature/Authors/N/Nabokov,_Vladimir/Works/Lolita", "url": "http://www.salon.com/2000/10/05/nabokov_6/"} +{"d:Title": "The Man-Eater of Malgudi", "d:Description": "Western Michigan University review discusses postcolonial issues in India: industrialism, caste, and preserving traditions. Bibliography, links, and teaching guidelines.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://www.wmich.edu/dialogues/texts/maneaterofmalgudi.html"} +{"d:Title": "Angelfire: The Guide", "d:Description": "Themes of personal transformation are explored in Narayan's novel.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://www.angelfire.com/md2/timewarp/theguide.html"} +{"d:Title": "Danny Yee's Book Reviews: The Mahabharata, The Ramayana", "d:Description": "A review of Narayan's English translations of the Mahabharata and the Ramayana, the great Sanskrit epics.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://dannyreviews.com/h/Ramayana_Mahabharata.html"} +{"d:Title": "Memorable books by R.K. Narayan", "d:Description": "An appreciation of Malgudi Days, My Days: A Memoir, The Guide, and Narayan's retelling of the Ramayana.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://www.bangla.8k.com/exclusive/rk_books.html"} +{"d:Title": "N. Ram Remembers His Good Friend, R. K. Narayan", "d:Description": "N. Ram, one of India's leading journalists, recalls his friendship with R. K. Narayan. Included are links to other articles.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://www.rediff.com/news/2001/may/15spec.htm"} +{"d:Title": "Krishnan's Journey in The English Teacher", "d:Description": "Essay on the character Krishnan by Ian Mackean with additional commentary by S. N. Radhika Lakshmi.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://www.literature-study-online.com/essays/narayan.html"} +{"d:Title": "Outlook India: R. K. Narayan", "d:Description": "Review of \"The Indian Epics Retold,\" with links to other Outlook India articles about Narayan.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://www.outlookindia.com/article.aspx?200461"} +{"d:Title": "New York Review of Books: The Great Narayan", "d:Description": "A narrative of R. K. Narayan's life and works by Pankaj Mishra.", "topic": "Top/Arts/Literature/Authors/N/Narayan,_R._K.", "url": "http://www.nybooks.com/articles/2001/02/22/the-great-narayan/"} +{"d:Title": "The World on Blood", "d:Description": "Includes a review of this book.", "topic": "Top/Arts/Literature/Authors/N/Nasaw,_Jonathan", "url": "http://www.bearcave.com/bookrev/blood.htm"} +{"d:Title": "A Tribute to the Poet Ogden Nash (1902-1971)", "d:Description": "\"Biography and selected poems by the poet Ogden Nash.\"", "topic": "Top/Arts/Literature/Authors/N/Nash,_Ogden", "url": "http://www.aenet.org/poems/ognash1.htm"} +{"d:Title": "Ogden Nash Online", "d:Description": "A large e-text archive collection of Nash's verse, including a link to the Encyclopedia Britannica Online's biography of the poet. The archive's database is searchable.", "topic": "Top/Arts/Literature/Authors/N/Nash,_Ogden/Works", "url": "http://www.westegg.com/nash/"} +{"d:Title": "Ogden Nash", "d:Description": "A small selection of poems by Nash.", "topic": "Top/Arts/Literature/Authors/N/Nash,_Ogden/Works", "url": "http://www.the-ridges.net/on.html"} +{"d:Title": "Thomas Nashe (1567-1601)", "d:Description": "Information on his life and works, with links. At luminarium.org.", "topic": "Top/Arts/Literature/Authors/N/Nashe,_Thomas", "url": "http://www.luminarium.org/renlit/nashe.htm"} +{"d:Title": "Thomas Nashe", "d:Description": "Dealing with his life and works.", "topic": "Top/Arts/Literature/Authors/N/Nashe,_Thomas", "url": "http://www.members.tripod.com/sicttasd/index.html"} +{"d:Title": "Joseph Nassise.com", "d:Description": "The official website for horror writer Joseph M. Nassise.", "topic": "Top/Arts/Literature/Authors/N/Nassise,_Joseph", "url": "http://www.josephnassise.com/"} +{"d:Title": "AfroPoets.Net Famous Black Writers: Marilyn Nelson", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/N/Nelson,_Marilyn", "url": "http://www.afropoets.net/marilynnelson.html"} +{"d:Title": "Marilyn Nelson", "d:Description": "\"Marilyn Nelson: The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/N/Nelson,_Marilyn", "url": "https://www.poets.org/poetsorg/poet/marilyn-nelson"} +{"d:Title": "Kris Neri", "d:Description": "\". . . author of the Tracy Eaton mystery series and Derringer-winning short story author.\" Neri's own personal home page.", "topic": "Top/Arts/Literature/Authors/N/Neri,_Kris", "url": "http://www.krisneri.com/"} +{"d:Title": "Pablo Neruda Winner of the 1971 Nobel Prize in Literature", "d:Description": "\"Pablo Neruda, a Nobel Prize Laureate in Literature, at the Nobel Prize Internet Archive.\" This page includes a \"bookstack\" of links related to Neruda contributed by visitors to the Archive.", "topic": "Top/Arts/Literature/Authors/N/Neruda,_Pablo", "url": "http://www.almaz.com/nobel/literature/1971a.html"} +{"d:Title": "Biography of Pablo Neruda", "d:Description": "A brief, hypertext-annotated biography at the Electronic Nobel Museum Project website.", "topic": "Top/Arts/Literature/Authors/N/Neruda,_Pablo", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1971/neruda-bio.html"} +{"d:Title": "The Academy of American Poets: Pablo Neruda", "d:Description": "Biography, selected poems, and links.", "topic": "Top/Arts/Literature/Authors/N/Neruda,_Pablo", "url": "https://www.poets.org/poetsorg/poet/pablo-neruda"} +{"d:Title": "Poems by Pablo Neruda", "d:Description": "Online texts of a selection of poems by Neruda.", "topic": "Top/Arts/Literature/Authors/N/Neruda,_Pablo/Works", "url": "http://www.lnstar.com/literature/pablo/"} +{"d:Title": "\"Love\"", "d:Description": "A prose-poem from Passions and Impressions. Translation by Margaret Sayers Peden.", "topic": "Top/Arts/Literature/Authors/N/Neruda,_Pablo/Works", "url": "http://www.boppin.com/neruda.html"} +{"d:Title": "\"Tonight I can write the saddest lines . . .\"", "d:Description": "W. S. Merwin's translation, side by side with Neruda's Spanish original.", "topic": "Top/Arts/Literature/Authors/N/Neruda,_Pablo/Works/Tonight_I_Can_Write", "url": "http://www.boppin.com/poets/neruda.html"} +{"d:Title": "The Railway Children (1906)", "d:Description": "Complete text of the children's novel by Edith Nesbit, from the Victorian Women Writers Project.", "topic": "Top/Arts/Literature/Authors/N/Nesbit,_Edith", "url": "http://www.indiana.edu/~letrs/vwwp/nesbit/railway.html"} +{"d:Title": "E Nesbit on Classic Bookshelf", "d:Description": "The Railway Children and other books by Edith Nesbit, free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/N/Nesbit,_Edith", "url": "http://www.classicbookshelf.com/library/Nesbit/"} +{"d:Title": "Edith Nesbit", "d:Description": "Biography of the children's author, and writer on socialism, from Spartacus Educational. Includes a photo of the author.", "topic": "Top/Arts/Literature/Authors/N/Nesbit,_Edith", "url": "http://spartacus-educational.com/TUnesbit.htm"} +{"d:Title": "The Online Books Page: Edith Nesbit", "d:Description": "Online texts by Nesbit.", "topic": "Top/Arts/Literature/Authors/N/Nesbit,_Edith", "url": "http://onlinebooks.library.upenn.edu/webbin/book/search?author=Edith+Nesbit&amode=words"} +{"d:Title": "The Nerve Sequence", "d:Description": "Poem by Amy Newman.", "topic": "Top/Arts/Literature/Authors/N/Newman,_Amy", "url": "http://www.nerve.com/Poetry/Newman/nerve/"} +{"d:Title": "Drive", "d:Description": "A poem by Amy Newman at Nerve.com.", "topic": "Top/Arts/Literature/Authors/N/Newman,_Amy", "url": "http://www.nerve.com/poetry/newman/drive/"} +{"d:Title": "Cambridge Studies in African and Caribbean Literature: Ngugi wa Thiong'o", "d:Description": "Description of Simon Gikandi's study of Ngugi's works. Includes chapter contents and ordering information.", "topic": "Top/Arts/Literature/Authors/N/Ngugi_wa_Thiong'o", "url": "http://www.cambridge.org/catalogue/catalogue.asp?isbn=9780521480062"} +{"d:Title": "Emory University Post-Colonial Studies: Ngugi wa Thiong'o", "d:Description": "Includes biographical background, discussion of major themes, and descriptions of the author's works.", "topic": "Top/Arts/Literature/Authors/N/Ngugi_wa_Thiong'o", "url": "http://postcolonialstudies.emory.edu/ngugi-wa-thiongo/"} +{"d:Title": "Release Ngugi", "d:Description": "Letter by nineteen American authors expressing their concern at the detention of Ngugi, published in The New York Review of Books.", "topic": "Top/Arts/Literature/Authors/N/Ngugi_wa_Thiong'o", "url": "http://www.nybooks.com/articles/1978/06/15/release-ngugi/"} +{"d:Title": "Kenyan Writer Jailed", "d:Description": "Article by Edward Hower from The New York Review of Books requesting that Ngugi be freed.", "topic": "Top/Arts/Literature/Authors/N/Ngugi_wa_Thiong'o", "url": "http://www.nybooks.com/articles/1978/05/04/kenyan-writer-jailed/"} +{"d:Title": "bpNichol Home Page", "d:Description": "Considered by many Canada's most important poet. Certainly its most diverse and adventurous. This site deals primarily with his visual poetry and performance scores as first published by Karl Young, and a continuation by many artists and writers of one of Nichol's projects.", "topic": "Top/Arts/Literature/Authors/N/Nichol,_B._P.", "url": "http://www.thing.net/~grist/l&d/bpnichol/bp.htm"} +{"d:Title": "Geoff Nicholson at the Complete Review", "d:Description": "An overview of the life and works of British novelist Geoff Nicholson, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/N/Nicholson,_Geoff", "url": "http://www.complete-review.com/authors/nicholsong.htm"} +{"d:Title": "BBC Cumbria; Norman Nicholson", "d:Description": "Profile of the Cumbria poet, and the inspiration he got from his home town of Millom.", "topic": "Top/Arts/Literature/Authors/N/Nicholson,_Norman", "url": "http://www.bbc.co.uk/cumbria/enjoy_cumbria/famous_people/norman_nicholson.shtml"} +{"d:Title": "Australian Authors - D'Arcy Niland (1919 - 1967)", "d:Description": "Brief biography, bibliography, synopsis of \"The Shiralee.\"", "topic": "Top/Arts/Literature/Authors/N/Niland,_D'Arcy", "url": "http://www.middlemiss.org/lit/authors/nilandd.html"} +{"d:Title": "Thinking of Anais Nin", "d:Description": "A website devoted to Nin that includes online recollections of people who actually knew the enigmatic author personally, as well as scholarly information and an online bookstore.", "topic": "Top/Arts/Literature/Authors/N/Nin,_Ana\u00efs", "url": "http://www.anaisnin.com/"} +{"d:Title": "Diaries of Anais Nin: Linotte excerpts", "d:Description": "Detailed excerpts from Anais Nin's diaries, beginning with her earliest, LINOTTE 1914-1920.", "topic": "Top/Arts/Literature/Authors/N/Nin,_Ana\u00efs", "url": "http://www.angelfire.com/in2/anais/anaisworld.html"} +{"d:Title": "Anais Nin", "d:Description": "A brief profile of the author at the Cosmic Baseball Association's website.", "topic": "Top/Arts/Literature/Authors/N/Nin,_Ana\u00efs", "url": "http://www.cosmicbaseball.com/nin7.html"} +{"d:Title": "Al's Ana\u00efs Nin Page", "d:Description": "Selections and review of diaries and novels with reviews, links, and contact information.", "topic": "Top/Arts/Literature/Authors/N/Nin,_Ana\u00efs", "url": "http://www.angelfire.com/art/megathink/anais/"} +{"d:Title": "Misha Nogha", "d:Description": "Personal page: brief biography, bibliography, excerpts from books and music releases.", "topic": "Top/Arts/Literature/Authors/N/Nogha,_Misha", "url": "http://www.mishanogha.com/"} +{"d:Title": "Cees Nooteboom at the Complete Review", "d:Description": "An overview of the life and works of Dutch author Cees Nooteboom, with links to extensive reviews of his work at the Complete Review, and further information.", "topic": "Top/Arts/Literature/Authors/N/Nooteboom,_Cees", "url": "http://www.complete-review.com/authors/nootebmc.htm"} +{"d:Title": "Robert W. Norris", "d:Description": "Author of two expatriate novels, a novella used as a textbook, and several articles on teaching English in Japan.", "topic": "Top/Arts/Literature/Authors/N/Norris,_Robert_W.", "url": "http://www2.gol.com/users/norris/"} +{"d:Title": "Am\u00e9lie Nothomb at the Complete Review", "d:Description": "An overview of the life and works of Belgian novelist Am\u00e9lie Nothomb, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/N/Nothomb,_Am\u00e9lie", "url": "http://www.complete-review.com/authors/nothomba.htm"} +{"d:Title": "Authors Den: Theodore J. Nottingham", "d:Description": "Includes the author's biography, background information, and accomplishments, a spiritual article written by the author, a downloadable short story, and contact information.", "topic": "Top/Arts/Literature/Authors/N/Nottingham,_Theodore_J.", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=821"} +{"d:Title": "The Life of Novalis", "d:Description": "Extensive biography by Walter Hedderich, divided by period.", "topic": "Top/Arts/Literature/Authors/N/Novalis", "url": "http://novalis.autorenverzeichnis.de/the_life_of_novalis/index.html"} +{"d:Title": "Wikipedia: Novalis", "d:Description": "Encyclopedia-style article on the German Romantic philosopher and writer.", "topic": "Top/Arts/Literature/Authors/N/Novalis", "url": "http://en.wikipedia.org/wiki/Novalis"} +{"d:Title": "Es f\u00e4rbte sich die Wiese gr\u00fcn", "d:Description": "English translation by Leon Malinofsky.", "topic": "Top/Arts/Literature/Authors/N/Novalis/Works", "url": "http://plexipages.com/reflections/novalis.html"} +{"d:Title": "Hymns to the Night", "d:Description": "Collection of six poems. George MacDonald's translation, revised by Michael Smith. Also available for download in plain text, Microsoft Word, zip file, or Mac stuffit file.", "topic": "Top/Arts/Literature/Authors/N/Novalis/Works", "url": "http://www.logopoeia.com/novalis/"} +{"d:Title": "Naomi Shihab Nye", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography of Nye and selected poems.", "topic": "Top/Arts/Literature/Authors/N/Nye,_Naomi_Shihab", "url": "https://www.poets.org/poetsorg/poet/naomi-shihab-nye"} +{"d:Title": "Jasmin Cori", "d:Description": "Site for psychotherapist and author Jasmin Cori. Includes psychology/self-help books and spirituality titles. Many articles. Describes private psychotherapy practice.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.jasmincori.com/"} +{"d:Title": "Earley, Pete", "d:Description": "Site provides news, biography, sound clips, and books. Earley's work includes Hot House, Circumstantial Evidence, and Super Casino.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.peteearley.com/"} +{"d:Title": "Williams, David B.", "d:Description": "Nature writing oriented toward urban landscapes, the Pacific Northwest, desert southwest.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.seanet.com/~wingate/"} +{"d:Title": "Newman, Ann", "d:Description": "Contains biographical information about the author of Images of America: Conway -- a historical tour of Conway, Arkansas.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.angelfire.com/ar2/annnewmanauthorpage/index.htm"} +{"d:Title": "Geldards, Richard", "d:Description": "Official site: introduction to his books on Ralph Waldo Emerson and Ancient greek history, interview, links.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.rgbooks.com/"} +{"d:Title": "Entine, Jon", "d:Description": "Producer, reporter, and author who specializes in sports and race, business ethics, socially responsible investing, and green brand marketing.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.jonentine.com/"} +{"d:Title": "Masson, Jeffrey", "d:Description": "Author of several books about animals and psychology.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.jeffreymasson.com/"} +{"d:Title": "Lauck, Jennifer", "d:Description": "A searing, soaring memoir of one girls complicated and almost unbelievable childhood.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.jenniferlauck.com/"} +{"d:Title": "Humes, Edward", "d:Description": "Winner of the Pulitzer Prize and PEN Center USA award, is the author of five books on crime and the criminal justice system, and the new medical thriller, Baby E.R.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.edwardhumes.com/"} +{"d:Title": "Crystal, David", "d:Description": "Danny Yee's reviews of Crystal's books on linguistics including on English, endangered languages, and language play.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://dannyreviews.com/a/David_Crystal.html"} +{"d:Title": "MacLean, Rory", "d:Description": "Travel writer, author of 'Stalin's Nose' and 'Next Exit Magic Kingdom'. Books, newsletter, profile, and pictures.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://rorymaclean.com/"} +{"d:Title": "Maass, Peter", "d:Description": "Author of \"Love Thy Neighbor: A Story of War,\" a memoir of the conflict in Bosnia. Includes an archive of magazine stories.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://petermaass.com/"} +{"d:Title": "Sacks, Peter", "d:Description": "Journalist, essayist, and author of \"Standardized Minds: The High Price of America's Testing Culture and What We Can Do to Change It.\" Profile and publications.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.petersacks.org/"} +{"d:Title": "St. Jorre, John de", "d:Description": "Profile and published works of the British author, journalist, and screenwriter.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.johndestjorre.com/"} +{"d:Title": "Clayton, John", "d:Description": "Author of \"Small Town Bound.\" Descriptions of and excerpts from his books and articles, as well as schedules of appearances and classes.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.johnclaytonbooks.com/"} +{"d:Title": "Bosco, Antoinette", "d:Description": "Author of \"Choosing Mercy,\" the story of her spiritual journey following the murder of her son and his wife. Profile, news, calendar, and information on her books.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://antoinettebosco.com/"} +{"d:Title": "Ellis, Royston", "d:Description": "British-born novelist, biographer, travel writer, and beat poet, now a resident of Sri Lanka. Profile and publications.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.roystonellis.com/"} +{"d:Title": "Boyd, Neil", "d:Description": "Author of \"The Beast Within\" and law professor at Simon Fraser University in Canada. Profile, publications, and news.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.neilboyd.net/"} +{"d:Title": "Spence, Gerry", "d:Description": "Lawyer and author of \"How to Argue and Win Every Time\" and \"With Justice for None\". Profile, publications and articles.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.gerryspence.com/"} +{"d:Title": "Anderson, Luleen", "d:Description": "Clinical psychologist and author of \"Fill Me Up to Empty\", \"Sunday Came Early This Week\", and \"Under the Covers\". Profile and reviews.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://luleenanderson.com/"} +{"d:Title": "Bass, Thomas A.", "d:Description": "Author of \"The Predictors\" and \"Vietnamerica: The War Comes Home\". Profile and publications.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.thomasbass.com/"} +{"d:Title": "Kessler, Ronald", "d:Description": "Reviews and profile of the investigative journalist and author of \"The Secrets of the FBI\" and \"In the President's Secret Service.\".", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.ronaldkessler.com/"} +{"d:Title": "Drysdale, Helena", "d:Description": "Travel writer. Site has book summaries, a biography and reviews.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.helenadrysdale.com/"} +{"d:Title": "Miller, Debbie S", "d:Description": "Writer of natural history books for adults and children, four of which have won national awards. Details of books, reviews and 'fun facts for kids.'", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.debbiemilleralaska.com/"} +{"d:Title": "Ryan, Bernard Jr", "d:Description": "Summaries of some of his published books, including biographies and parenting, plus examples of essays.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.bernardryanjr.com/"} +{"d:Title": "Stonehouse, Frederick", "d:Description": "Information about books, lectures, current projects, and favored links about the Great Lakes maritime historian, writer, lecturer and teacher.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.frederickstonehouse.com/"} +{"d:Title": "Wallace, Danny", "d:Description": "British journalist, author, script-writer, and producer. Includes book information, profile, and guestbook.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://dannywallace.com/"} +{"d:Title": "Bawer, Bruce", "d:Description": "Author of books on religion, Christianity, gay issues, literature, literary criticism, poetry - all from a liberal perspective.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.brucebawer.com/"} +{"d:Title": "Gelfer, Joseph", "d:Description": "Specializes in humour, spirituality and travel writing. Samples of his books and articles.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.gelfer.net/"} +{"d:Title": "Lisle, Laurie", "d:Description": "Biographer (Georgia O'Keeffe, Louise Nevelson) and nonfiction writer. Works and events.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.laurielisle.com/"} +{"d:Title": "Chase, Victor D.", "d:Description": "Author's site includes biographical information, a photo, and summaries of his works about technology for a lay audience.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.victordchase.com/"} +{"d:Title": "Smith, Patrick", "d:Description": "The author of an aviation column addresses frequent concerns of airline passengers, gives information on his book \"Ask the Pilot\" and offers a telephone consultation service on fear of flying.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.askthepilot.com/"} +{"d:Title": "Ferber, Elizabeth", "d:Description": "Information about her body of work: books, articles, essays, web, editing and corporate.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.elizabethferber.com/"} +{"d:Title": "Bass, Jack", "d:Description": "Jack Bass is author or co-author of seven nonfiction books about the American South -- biography and bibliography.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.jackbass.com/"} +{"d:Title": "Sheehy, Gail", "d:Description": "Official site of Gail Sheehy, cultural observer and author of 15 books.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.gailsheehy.com/"} +{"d:Title": "Putnam, Caurie Miner", "d:Description": "Mother and freelance writer living in Western NY. Biography, contact form, and list of published works that includes \"Chicken Soup for the Soul: To Mom, With Love\", \"The Breastfeeding Diaries\" plus various magazine articles.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://caurie.com/"} +{"d:Title": "Hopping, Michael", "d:Description": "Selection of commentaries, feature stories, political commentary and fiction. Profile and samples of this author based at Asheville, North Carolina.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.michaelhopping.com/"} +{"d:Title": "Goodman, Richard", "d:Description": "Essays and articles by the author of 'The Soul of Creative Writing' and 'French Dirt: The Story of a Garden in the South of France'.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.richardgoodman.org/"} +{"d:Title": "Brauer, Carl", "d:Description": "Freelance historian and biographer based in Massachusetts. Provides profile, description of his approach, excerpts from past writings, and client list.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://carlbrauer.com/"} +{"d:Title": "Tindall, Blair", "d:Description": "Official site; with a biography, newspaper columns, reviews and events listings.", "topic": "Top/Arts/Literature/Authors/Non-fiction", "url": "http://www.blairtindall.com/"} +{"d:Title": "Seabiscuit: An American Legend", "d:Description": "Reviews of the book, photographs, awards, and information about the PBS documentary and the movie.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.seabiscuitonline.com/"} +{"d:Title": "Washington Post: Laura Hillenbrand releases new book while fighting chronic fatigue syndrome", "d:Description": "Comments from Louis Zamperini, the focus of the book Unbroken, and Hillenbrand on living with CFS.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2010/11/28/AR2010112803533.html"} +{"d:Title": "Laura Hillenbrand: Unbroken", "d:Description": "Audio from the book, characters, photographs, video, and reviews.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://laurahillenbrandbooks.com/"} +{"d:Title": "WSJ.com: The Defiant Ones", "d:Description": "The release of Unbroken. Includes historic photos and recent video of Zamperini.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://online.wsj.com/article/SB10001424052748703514904575602540345409292.html"} +{"d:Title": "Wikipedia: Laura Hillenbrand", "d:Description": "Profile of her personal and professional life.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://en.wikipedia.org/wiki/Laura_Hillenbrand"} +{"d:Title": "IMDb: Laura Hillenbrand", "d:Description": "Filmography including technical consultant for the movie Seabiscuit, and personal appearances.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.imdb.com/name/nm1227780/"} +{"d:Title": "Deadline New York: Universal Buys Laura Hillenbrand WWII Bestseller \"Unbroken\"", "d:Description": "Universal Pictures acquires screen rights to the book, with Louis Zamperini's son-in-law as executive producer.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.deadline.com/2011/01/universal-buys-laura-hillenbrand-bestseller-unbroken-for-wwii-pic/"} +{"d:Title": "NPR: The 'Unbroken' Spirit Of An Ordinary Hero", "d:Description": "Article, audio, and excerpt from the book.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.npr.org/2010/12/01/131724901/the-unbroken-spirit-of-an-ordinary-hero"} +{"d:Title": "The Guardian: Unbroken by Laura Hillenbrand", "d:Description": "Review by Kevin Rushby of the book whose author \"marches second world war literature right back into the spotlight.\"", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.theguardian.com/books/2011/feb/19/unbroken-laura-hillenbrand-zamperini-review"} +{"d:Title": "Equus Magazine: Seabiscuit, Masterwork of Author Laura Hillenbrand", "d:Description": "Article focusing on Hillenbrand's history with the magazine.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.equisearch.com/article/masterwork_061203"} +{"d:Title": "EquiSearch Exclusive: Seabiscuit Author Chat", "d:Description": "Transcript of a live chat with Hillenbrand.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Hillenbrand,_Laura", "url": "http://www.equisearch.com/article/chat_laura_072403"} +{"d:Title": "The John McPhee Reader", "d:Description": "Book review by David P. Stern.", "topic": "Top/Arts/Literature/Authors/Non-fiction/McPhee,_John", "url": "http://www.phy6.org/outreach/books/McPhee.htm"} +{"d:Title": "Annals of the Former World", "d:Description": "Book review by Danny Yee.", "topic": "Top/Arts/Literature/Authors/Non-fiction/McPhee,_John", "url": "http://dannyreviews.com/h/Assembling_California.html"} +{"d:Title": "John McPhee", "d:Description": "Official site of the 1999 Pulitzer Prize winner. Biography, synopses of works.", "topic": "Top/Arts/Literature/Authors/Non-fiction/McPhee,_John", "url": "http://us.macmillan.com/author/johnmcphee"} +{"d:Title": "Muscio, Inga", "d:Description": "Author focusing on the subjects of feminism, environmentalism, racism, gay/lesbian/bisexual/transgender issues, and sexual and family violence. Official site includes womanifestos, calendar of events, weblog, suggested reading, and links to news and magazines, independent bookstores, music, health organizations, and shopping sites.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Muscio,_Inga", "url": "http://www.ingalagringa.com/"} +{"d:Title": "Home of the Gryph: Womanifesto", "d:Description": "A womanifesto written by a woman in response to the author's first book.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Muscio,_Inga", "url": "http://www.thegryph.com/ramble/festo.html"} +{"d:Title": "Genexhibitionist", "d:Description": "By Maya Dexter. [Planet Waves] Review and excerpt from the author's first book.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Muscio,_Inga", "url": "http://www.planetwaves.net/SexIssue_Maya.html"} +{"d:Title": "Ten Days That Shook the World", "d:Description": "Online publication of John Reed's 1922 classic.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Reed,_John", "url": "http://www.bartleby.com/79"} +{"d:Title": "John Reed Archive", "d:Description": "Includes a very brief biography and online text of many articles and letters.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Reed,_John", "url": "http://www.marxists.org/archive/reed/index.htm"} +{"d:Title": "Notable Oregonians: John Reed", "d:Description": "Short biography from the Oregon Blue Book.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Reed,_John", "url": "http://bluebook.state.or.us/notable/notreed.htm"} +{"d:Title": "The Portland Years of John Reed and Louise Bryant", "d:Description": "Long biographical essay on Jack Reed, with a focus on his roots and life in Portland, Oregon.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Reed,_John", "url": "http://www.marxists.org/archive/reed/bio/portland.htm"} +{"d:Title": "Oliver Sacks Site", "d:Description": "Biography, descriptions of and excerpts from books, schedule of lectures and appearances, media interviews and reviews, and how to contact Dr. Sacks' neurological practice.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Sacks,_Oliver", "url": "http://www.oliversacks.com/"} +{"d:Title": "Musicophilia: Tales of Music and the Brain", "d:Description": "Dedicated to the book, with videos and links to reviews and interviews.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Sacks,_Oliver", "url": "http://www.musicophilia.com/"} +{"d:Title": "Seed: The Listener", "d:Description": "Jonah Lehrer talks with Sacks about his book Musicophilia, music and the mind, and his eye cancer.", "topic": "Top/Arts/Literature/Authors/Non-fiction/Sacks,_Oliver", "url": "http://seedmagazine.com/content/article/the_listener/"} +{"d:Title": "O'Brien, Dorene", "d:Description": "Includes brief biography, publications listing, excerpts, and writer's resources.", "topic": "Top/Arts/Literature/Authors/O", "url": "http://www.doreneobrien.com/"} +{"d:Title": "The Patrick O'Brian Page", "d:Description": "Official site from W.W. Norton and Co. (POB's American publisher). Includes information on the POB listserv, a short FAQ, copies of the POB newsletter, and a discussion forum.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://www.wwnorton.com/pob/pobhome.htm"} +{"d:Title": "Danny Yee's Book Reviews: Patrick O'Brian", "d:Description": "Reviews, including the less well-known Joseph Banks and The Golden Ocean.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://dannyreviews.com/a/Patrick_O'Brian.html"} +{"d:Title": "O'Pinions and O'Bservations O' O'Bscure O'Briania", "d:Description": "Reviews and comments on the short stories and other obscure writings of Patrick O'Brian.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://jfinnera.www1.50megs.com/pob.html"} +{"d:Title": "A Cookbook to Treasure", "d:Description": "Marilyn McDevitt Rubin reviews \"Lobscouse and Spotted Dog\", a collection of recipes fetched from the Aubrey-Maturin novels.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://www.post-gazette.com/columnists/20030209rubin0209fnp1.asp"} +{"d:Title": "The Patrick O'Brian Compendium", "d:Description": "Features \"The Butcher's Bill,\" a 286-page summary of the Aubrey/Maturin novels, as a PDF download.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://www.patrickobrian.com/"} +{"d:Title": "Patrick O'Brian Discussion Archives", "d:Description": "Archives of listerserv dedicated to discussion of the works of Patrick O'Brian.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://mat.tepper.cmu.edu/POB/"} +{"d:Title": "The Gunroom of HMSSurprise.org", "d:Description": "Home for a group of Patrick O'Brian's readers who enjoy discussion of his works.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://www.hmssurprise.org/"} +{"d:Title": "The Aubrey/Maturin series by Patrick O'Brian, in reading order", "d:Description": "List of the Aubrey-Maturin novels in ten languages, with years of the action.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "https://www.jack-aubrey-stephen-maturin-series.com/"} +{"d:Title": "Maturin's Medicine", "d:Description": "A glossary of medical terms in the Aubrey-Maturin books.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://grapevine.com.au/~kwebb/MM.html"} +{"d:Title": "The Ships of Jack Aubrey", "d:Description": "Specifications and plans for the ships (or similar real ships) commanded by Aubrey in the novels.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://www.ctbasses.com/misc/BruceTrinque/"} +{"d:Title": "Patrick O'Brian Mapping Project", "d:Description": "Project on mapping the progress of Jack Aubrey and Stephen Maturin over the course of the series.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://www.cannonade.net/"} +{"d:Title": "A Guide for the Perplexed", "d:Description": "Translations of all Non-English phrases in Patrick O'Brian's Sea-Tales.", "topic": "Top/Arts/Literature/Authors/O/O'Brian,_Patrick", "url": "http://wiki.hmssurprise.org/phase3/index.php/WikiPOBia:Guide_for_the_Perplexed"} +{"d:Title": "Edna O'Brien", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Edna", "url": "http://www.clarelibrary.ie/eolas/coclare/people/edna.htm"} +{"d:Title": "BBC News: Irish author defends murderous tale", "d:Description": "Irish author Edna O'Brien defends her decision to use real-life murders in her latest work of fiction, 'In The Forest'.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Edna", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/2057713.stm"} +{"d:Title": "BBC News: Review - 'In the Forest'", "d:Description": "Newsnight review of the novel by Edna O'Brien, based on a horrific series of murders that happened in rural Ireland in 1993.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Edna", "url": "http://news.bbc.co.uk/1/hi/audiovideo/programmes/newsnight/review/1931657.stm"} +{"d:Title": "IMDb: Edna O'Brien", "d:Description": "Filmography.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Edna", "url": "http://www.imdb.com/name/nm0639530/"} +{"d:Title": "The Demon of the Gibbet", "d:Description": "HTML version of O'Brien's poem at Literature of the Fantastic.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Fitz-James", "url": "http://www.sff.net/people/DoyleMacdonald/L_GIBBET.HTM"} +{"d:Title": "Tim O'Brien, Novelist", "d:Description": "Webpages dedicated to author and Vietnam Veteran O'Brien include information on his novels and short story collections, scheduled public appearances, and links to online interviews and audio recordings of readings, as well as other information related to the author and his works.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://www.illyria.com/tobhp.html"} +{"d:Title": "Plausibility of Denial: Tim O'Brien, My Lai, and America", "d:Description": "H. Bruce Franklin writes that Tim O'Brien explores our denial of the realities of the Vietnam War and American society. Originally in The Progressive.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://andromeda.rutgers.edu/~hbf/obrien.html"} +{"d:Title": "Trap-doors and Tunnels", "d:Description": "Richard von Busack writes that in the novels of Tim O'Brien, all roads lead back to the Vietnam War.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://www.metroactive.com/papers/metro/10.19.95/obrien-9542.html"} +{"d:Title": "An Index to \"The Things They Carried\" by Tim O'Brien", "d:Description": "The pagination in this index is based on the paperback edition of \"The Things They Carried,\" New York: Broadway, 1998.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://www.brtom.org/tttc/tttc1.html"} +{"d:Title": "Metafiction and O'Brien's \"The Things They Carried\"", "d:Description": "This essay by Michele Friedlander argues that O'Brien's book \"comments not only upon the war, but also upon the actual art of fiction: the means of storytelling, the purposes behind them, and ultimately the relationship between fiction and reality itself.\" (Spring 2000)", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://core.ecu.edu/engl/whisnantl/4300/michele.htm"} +{"d:Title": "War and War: Love in the Postmodern War Fiction of Tim O'Brien", "d:Description": "Paper by Minka Paraskevova and Yordan Kosturkov presented at postmodern de/constructions, the 5th Interdisciplinary, International Graduate Conference at the University of Erlangen/Nuremberg.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://www.gradnet.de/papers/pomo02.papers/warandwar.htm"} +{"d:Title": "Tim O'Brien - An Introduction to His Writing", "d:Description": "Ken Lopez writes that O'Brien is widely recognized as the preeminent American novelist of the Vietnam experience and his novels have gained widespread critical and significant popular success because of their ability to translate the experience of wartime into perspectives on the largest questions of life and death. (1997)", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://lopezbooks.com/articles/obrien/"} +{"d:Title": "Author Profile: Tim O'Brien", "d:Description": "Bookreporter.com briefly profiles the author and offers an interview from 1998.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://www.bookreporter.com/authors/tim-o%E2%80%99brien"} +{"d:Title": "Writing Vietnam: Keynote Address", "d:Description": "Tim O'Brien's President's Lecture at Brown University, 21 April 1999.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://cds.library.brown.edu/projects/WritingVietnam/obrienpreface.html"} +{"d:Title": "The Heart Under Stress: Interview with Author Tim O'Brien", "d:Description": "Gadfly Magazine interview with Tim O'Brien by James Lindbloom.", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://msuweb.montclair.edu/~furrg/Vietnam/timobgadflyinterview0399.html"} +{"d:Title": "\"How to Tell a True War Story\": Metafiction in \"The Things They Carried\"", "d:Description": "This essay by Catherine Calloway appeared in Critique: Studies in Contemporary Fiction. Calloway argues that \"the stories become epistemological tools, multidimensional windows through which the war, the world, and the ways of telling a war story can be viewed from many different angles and visions.\"", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "http://msuweb.montclair.edu/~furrg/Vietnam/callowaythings.html"} +{"d:Title": "Tim O'Brien and American National Identity: A Vietnam Veteran's Imagined Self in \"The Things They Carried\"", "d:Description": "A paper given by Lynn Wharton at a conference on National Identities, held at King Alfred College, Winchester, England, in September 1999. [.PDF]", "topic": "Top/Arts/Literature/Authors/O/O'Brien,_Tim", "url": "https://fortyninthparalleljournal.files.wordpress.com/2014/07/5-wharton-tim-o-brien.pdf"} +{"d:Title": "Barbara O'Connor - Children's Author", "d:Description": "Barbara O'Connor writes novels and biographies for children ages 9 and up.", "topic": "Top/Arts/Literature/Authors/O/O'Connor,_Barbara", "url": "http://www.barboconnor.com/"} +{"d:Title": "Flannery O'Connor Andalusia Foundation, Inc.", "d:Description": "Dedicated to preserving the memory of Flannery O'Connor. Includes a biography of O'Connor as well as information on Andalusia.", "topic": "Top/Arts/Literature/Authors/O/O'Connor,_Flannery", "url": "http://www.andalusiafarm.org/"} +{"d:Title": "The Dark Side of the Cross: Flannery O'Connor's Short Fiction", "d:Description": "Essay by Patrick Galloway.", "topic": "Top/Arts/Literature/Authors/O/O'Connor,_Flannery", "url": "http://www.cyberpat.com/essays/flan.html"} +{"d:Title": "Flannery O'Connor: The Coat", "d:Description": "Short story published for the first time in 1996. Includes discussion questions.", "topic": "Top/Arts/Literature/Authors/O/O'Connor,_Flannery", "url": "http://www.doubletakemagazine.org/edu/teachersguide/activities/race/oconnor/index.html"} +{"d:Title": "Flannery O'Connor. Good Country People. Nihilist Hypocrites", "d:Description": "A study of Flannery O'Connor's short story Good Country People.", "topic": "Top/Arts/Literature/Authors/O/O'Connor,_Flannery", "url": "http://www.literature-study-online.com/essays/oconnor.html"} +{"d:Title": "Literature, Arts, and Medicine Database: O'Connor, Flannery", "d:Description": "Reviews of five short stories from a medical point-of-view.", "topic": "Top/Arts/Literature/Authors/O/O'Connor,_Flannery", "url": "http://medhum.med.nyu.edu/person/2115"} +{"d:Title": "O'Connor, Sheila", "d:Description": "Author's personal page, complete with biographical information, interviews and articles, calendar of events and readings, as well as information on publications and author availability.", "topic": "Top/Arts/Literature/Authors/O/O'Connor,_Sheila", "url": "http://www.sheilaoconnor.com/"} +{"d:Title": "Frank O'Hara Publications", "d:Description": "Includes biographical notes and a selected list of publications.", "topic": "Top/Arts/Literature/Authors/O/O'Hara,_Frank", "url": "http://epc.buffalo.edu/authors/ohara/ohara-pub.html"} +{"d:Title": "Frank O'Hara - Poems and Biography", "d:Description": "Short biography and a selection of his poems.", "topic": "Top/Arts/Literature/Authors/O/O'Hara,_Frank", "url": "http://www.americanpoems.com/poets/Frank-OHara"} +{"d:Title": "Frank O'Hara: Poet among Painters", "d:Description": "Excerpt from Marjorie Perloff's \"Frank O'Hara: Poet among Painters,\" new edition, University of Chicago Press, 1997.", "topic": "Top/Arts/Literature/Authors/O/O'Hara,_Frank", "url": "http://epc.buffalo.edu/authors/perloff/ohara.html"} +{"d:Title": "Modern American Poetry Essays on Frank O'Hara", "d:Description": "Compiled by Cary Nelson.", "topic": "Top/Arts/Literature/Authors/O/O'Hara,_Frank", "url": "http://www.english.illinois.edu/maps/poets/m_r/ohara/ohara.htm"} +{"d:Title": "Micheal O'Siadhail (*1947)", "d:Description": "The Irish poet's homepage with publications, selected criticism and commentary, works set to music and works in translation.", "topic": "Top/Arts/Literature/Authors/O/O'Siadhail,_Micheal", "url": "http://www.osiadhail.com/"} +{"d:Title": "Those We Follow, Lunchtime in a London Caf\u00e9 and Transit", "d:Description": "Three poems by Micheal O'Siadhail from Selected Poems 1975-1995.", "topic": "Top/Arts/Literature/Authors/O/O'Siadhail,_Micheal", "url": "http://www.alb-neckar-schwarzwald.de/poetas/mos/poems.html"} +{"d:Title": "UMASS Magazine: Written with my Heart's Blood", "d:Description": "Article and interview about his book: The Approaching Fury, Voices of the Storm, 1820-1861.", "topic": "Top/Arts/Literature/Authors/O/Oates,_Stephen_B.", "url": "http://www.umass.edu/umassmag/archives/1997/fall_97/fall97_books.html"} +{"d:Title": "Kenzaburo Oe: Nobel Prize in Literature 1994", "d:Description": "Press release, biography, Nobel lecture.", "topic": "Top/Arts/Literature/Authors/O/Oe,_Kenzaburo", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1994/"} +{"d:Title": "Akoot.com Famous Writers: Sharon Olds", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/O/Olds,_Sharon", "url": "http://www.akoot.com/sharonolds.html"} +{"d:Title": "Yonnondio: From the Thirties - Wikipedia", "d:Description": "Summary of the plot and characters, and information on major themes, style and genre, allusions and references, publication history and criticism and analysis.", "topic": "Top/Arts/Literature/Authors/O/Olsen,_Tillie/Yonnondio", "url": "http://en.wikipedia.org/wiki/Yonnondio"} +{"d:Title": "Charles Olson and Projective Verse", "d:Description": "Olson's definitions of \"Projective Verse,\" and \"Composition by Field.\"", "topic": "Top/Arts/Literature/Authors/O/Olson,_Charles", "url": "http://unix.cc.wmich.edu/~cooneys/poems/proj.verse.html"} +{"d:Title": "Preface to Charles Olson", "d:Description": "Robert Creeley reviews Tom Clark's \"Preface to Charles Olson: The Allegory of a Poet's Life\" in Jacket #12.", "topic": "Top/Arts/Literature/Authors/O/Olson,_Charles", "url": "http://jacketmagazine.com/12/olson-p-cree.html"} +{"d:Title": "The Charles Olson Festival", "d:Description": "A report by Loss Peque\u00f1o Glazier.", "topic": "Top/Arts/Literature/Authors/O/Olson,_Charles", "url": "http://epc.buffalo.edu/authors/glazier/o-rpt.html"} +{"d:Title": "EPC/Charles Olson Author Home Page", "d:Description": "Collected prose, collected poems, links to essays and reviews.", "topic": "Top/Arts/Literature/Authors/O/Olson,_Charles", "url": "http://epc.buffalo.edu/authors/olson/"} +{"d:Title": "The Rubaiyat by Omar Khayyam", "d:Description": "The complete text translated into English. Part of the Internet Classics Archive.", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://classics.mit.edu/Khayyam/rubaiyat.html"} +{"d:Title": "Rubaiyat of Omar Khayyam", "d:Description": "Some verses in Persian, German, and three English versions (including Fitzgerald). Plus biography.", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://www.okonlife.com/"} +{"d:Title": "Khayyam", "d:Description": "Biography of Omar Khayyam (1048-1122).", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://www-groups.dcs.st-and.ac.uk/~history/Mathematicians/Khayyam.html"} +{"d:Title": "Omar Khayyam on Cubics", "d:Description": "Questions and answers regarding algebra and geometry.", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://www.mathpages.com/home/kmath448.htm"} +{"d:Title": "The Rubbayat of Omar Khayyam", "d:Description": "Edward FitzGerald translation. Full text, with concordances and word frequency lists.", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://www.intratext.com/X/ENG0044.htm"} +{"d:Title": "The Rubaiyat of Omar Khayyam", "d:Description": "The Rubaiyat (an English translation) presented in a pleasant, readable format.", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://www.everypoet.com/archive/poetry/Omar_Khayyam/omar_khayyam_contents.htm"} +{"d:Title": "The Rubaiyat Of Omar Khayyam", "d:Description": "Online text of English translation by Edward FitzGerald (1809-1883).", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://www.iranonline.com/literature/indexbc-khayyam.html"} +{"d:Title": "The Rubaiyat of Omar Khayyam", "d:Description": "English translation (not Fitzgerald) with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/O/Omar_Khayyam", "url": "http://www.classicbookshelf.com/library/omar_khayyam/rubaiyat_of_omar_khayyam/"} +{"d:Title": "Blakeney Manor: Home of the Scarlet Pimpernel", "d:Description": "Includes information on the book series, e-texts, images of movies, sounds, and history.", "priority": "1", "topic": "Top/Arts/Literature/Authors/O/Orczy,_Emmuska", "url": "http://www.blakeneymanor.com/"} +{"d:Title": "Page by Page Books: Baroness Emmuska Orczy", "d:Description": "Free HTML chapter-indexed texts of The Scarlet Pimpernel and El Dorado", "topic": "Top/Arts/Literature/Authors/O/Orczy,_Emmuska", "url": "http://www.pagebypagebooks.com/Baroness_Emmuska_Orczy"} +{"d:Title": "The Hidden Hero", "d:Description": "This short essay discusses Baroness Orczy's role in introducing the secret identity element into the culture.", "topic": "Top/Arts/Literature/Authors/O/Orczy,_Emmuska", "url": "http://www.portwashington.com/moveweb/Guidewrite/hiddenhero.html"} +{"d:Title": "Susan Orlean - Official webpage", "d:Description": "Official webpage of the author and staff writer for The New Yorker. Includes biography, excerpts of articles, books and film news as well as contact information.", "topic": "Top/Arts/Literature/Authors/O/Orlean,_Susan", "url": "http://www.susanorlean.com/"} +{"d:Title": "Identity Theory interview", "d:Description": "Susan Orlean interview with Robert Birnbaum.", "topic": "Top/Arts/Literature/Authors/O/Orlean,_Susan", "url": "http://www.identitytheory.com/susan-orlean/"} +{"d:Title": "Powells.com Interview", "d:Description": "Interview with Susan Orlean about her recent New York profiles.", "topic": "Top/Arts/Literature/Authors/O/Orlean,_Susan", "url": "http://www.powells.com/blog/interviews/susan-orlean-returns-with-stories-from-the-road-by-dave/"} +{"d:Title": "Four Poems by Peter Orlovsky", "d:Description": "With a brief biographical note and photograph.", "topic": "Top/Arts/Literature/Authors/O/Orlovsky,_Peter", "url": "http://www.boppin.com/orlovsky.html"} +{"d:Title": "K-1 George Orwell Homepage", "d:Description": "Biography, essays, summaries and interpretations of his works, pictures, links, and a discussion board.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.k-1.com/Orwell/"} +{"d:Title": "SOS: Students for an Orwellian Society", "d:Description": "Humorous and perceptive employment of Orwell's later satires, which, sadly, demonstrates his continuing relevance.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.studentsfororwell.org/"} +{"d:Title": "The First Editions Of George Orwell", "d:Description": "Details of print runs, and publisher, of the first edition of every one of Orwell's books.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.angelfire.com/home/Orwell/"} +{"d:Title": "George Orwell and The Last Man in Europe", "d:Description": "Polymathic lecture; links to a chronology of Orwell's life and a bibliography.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.historyguide.org/europe/orwell.html"} +{"d:Title": "Complete Works of George Orwell", "d:Description": "Includes searchable, indexed versions of all of Orwell's works. Also includes a biography, quotes and pictures.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.george-orwell.org/"} +{"d:Title": "Burmese Days", "d:Description": "Project Gutenberg complete etext.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.gutenberg.net.au/ebooks02/0200051.txt"} +{"d:Title": "Inside The Whale", "d:Description": "The full text of Goerge Orwell's essay, \"Inside The Whale,\" with notes and a criticism.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.ourcivilisation.com/smartboard/shop/orwellg/index.htm"} +{"d:Title": "Political Writings of George Orwell", "d:Description": "Essays, newspaper columns, letters, and editorials, 1943-1946.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.resort.com/~prime8/Orwell/main.html"} +{"d:Title": "The Orwell Diaries", "d:Description": "Orwell's domestic and political diaries (from 9th August 1938 until October 1942) published as a blog, exactly 70 years after the respective original entry.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://orwelldiaries.wordpress.com/"} +{"d:Title": "GradeSaver: Biography of George Orwell (1903-1950)", "d:Description": "Boigraphy and study guides on 1984 and Animal Farm.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://www.gradesaver.com/author/george-orwell/"} +{"d:Title": "Remembering George Orwell", "d:Description": "Bibliographies of books by and about Orwell and links to other reference sites.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://home.kpn.nl/boe00905/Orwellhome.html"} +{"d:Title": "George Orwell", "d:Description": "Compact biography and a few short tasters from his essays; aimed at the school student without the stamina for the whole works.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://spartacus-educational.com/Jorwell.htm"} +{"d:Title": "George Orwell - Eric Arthur Blair. Novels. Essays. Articles. Reviews. Biography. Bibliography.", "d:Description": "Several of Orwell's essays and an extensive bibliography", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "http://orwell.ru/"} +{"d:Title": "The Orwell Prize", "d:Description": "A UK charity who issues awards for outstanding political writing for books, journalism, and youth writing.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George", "url": "https://www.theorwellprize.co.uk/"} +{"d:Title": "Mondopolitico: 1984 Text", "d:Description": "Text of 1984", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/1984", "url": "http://www.mondopolitico.com/library/1984/1984.htm"} +{"d:Title": "Literature Network: 1984", "d:Description": "Chapter indexed HTML of the text with author information and search feature.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/1984", "url": "http://www.online-literature.com/orwell/1984/"} +{"d:Title": "Nineteen Eighty-Four", "d:Description": "An indexed, searchable, HTML version of the text.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/1984", "url": "http://www.george-orwell.org/1984/index.html"} +{"d:Title": "Teachervision.com - 1984 by George Orwell", "d:Description": "Lesson plans for 1984 by George Orwell.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/1984", "url": "https://www.teachervision.com/science-fiction/activity/3305.html"} +{"d:Title": "LitCharts: 1984", "d:Description": "Examines characters, themes, and symbols, and analyzes their development through the text. Provides background information as well as important quotes sortable by location, character, and theme.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/1984", "url": "http://www.litcharts.com/lit/1984"} +{"d:Title": "Orwell's Preface to Animal Farm", "d:Description": "Essay, The Freedom of the Press, originally intended as a preface to Animal Farm.", "priority": "1", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/Animal_Farm", "url": "http://home.iprimus.com.au/korob/Orwell.html"} +{"d:Title": "Literature Network: Animal Farm", "d:Description": "Chapter indexed HTML of the text, with author information and search feature.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/Animal_Farm", "url": "http://www.online-literature.com/orwell/animalfarm/"} +{"d:Title": "Animal Farm by George Orwell", "d:Description": "The full text of George Orwell's \"Animal Farm\", formatted to be easy on the eyes when reading from a computer screen.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/Animal_Farm", "url": "http://www.mondopolitico.com/library/animalfarm/animalfarm.htm"} +{"d:Title": "Animal Farm", "d:Description": "An indexed, searchable, HTML version of the text.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/Animal_Farm", "url": "http://www.george-orwell.org/Animal_Farm"} +{"d:Title": "GradeSaver: Animal Farm", "d:Description": "Summary and analysis; also background, Orwell biography, links and message board.", "topic": "Top/Arts/Literature/Authors/O/Orwell,_George/Animal_Farm", "url": "http://www.gradesaver.com/animal-farm/"} +{"d:Title": "Overton, Max and Ariana", "d:Description": "Married authors whose writing spans a number of genres (historical fiction, mystery, and action). Cover art, reviews, and excerpts are included.", "topic": "Top/Arts/Literature/Authors/O/Overton,_Max_and_Ariana", "url": "http://www.angelfire.com/ri2/theovertons/"} +{"d:Title": "The Wilfred Owen Collection", "d:Description": "Part of the First World War Poetry Digital Archive, containing e-texts, multimedia files, historical, biographical and bibliographical material, related to Owen and his works.", "topic": "Top/Arts/Literature/Authors/O/Owen,_Wilfred", "url": "http://www.oucs.ox.ac.uk/ww1lit/collections/owen"} +{"d:Title": "Wilfred Owen", "d:Description": "Short biographical entry for Owen in the Encyclopaedia of British History.", "topic": "Top/Arts/Literature/Authors/O/Owen,_Wilfred", "url": "http://spartacus-educational.com/Jowen.htm"} +{"d:Title": "Archive of Poetry by Wilfred Owen", "d:Description": "25 poems by Wilfred Owen.", "topic": "Top/Arts/Literature/Authors/O/Owen,_Wilfred/Works", "url": "http://www.everypoet.com/archive/poetry/Wilfred_Owen/"} +{"d:Title": "Anthem for Doomed Youth", "d:Description": "HTML version of Owen's poem.", "topic": "Top/Arts/Literature/Authors/O/Owen,_Wilfred/Works", "url": "http://netpoets.com/classic/poems/048001.htm"} +{"d:Title": "Dulce et Decorum Est", "d:Description": "HTML version on the poem.", "topic": "Top/Arts/Literature/Authors/O/Owen,_Wilfred/Works/Dulce_Et_Decorum_Est", "url": "http://www.naic.edu/~gibson/poems/owen1.html"} +{"d:Title": "Rochelle Owens Homepage", "d:Description": "Homepage for one of America's most inventive and courageous poets. Many of her works foreshadowed things that would be done on a larger scale decades later, from language poetry to punk rock.", "topic": "Top/Arts/Literature/Authors/O/Owens,_Rochelle", "url": "http://www.thing.net/~grist/l&d/owens/lro-cont.htm"} +{"d:Title": "Cynthia Ozick at the Complete Review", "d:Description": "An overview of the life and works of Cynthia Ozick, with links to extensive reviews of her work and further information.", "topic": "Top/Arts/Literature/Authors/O/Ozick,_Cynthia", "url": "http://www.complete-review.com/authors/ozickc.htm"} +{"d:Title": "The Many Faces of Cynthia Ozick", "d:Description": "An interview with the author from the Atlantic Unbound website.", "topic": "Top/Arts/Literature/Authors/O/Ozick,_Cynthia", "url": "http://www.theatlantic.com/past/docs/unbound/factfict/ozick.htm"} +{"d:Title": "War Crimes", "d:Description": "Paine's featured short story in Zoetrope magazine.", "topic": "Top/Arts/Literature/Authors/P/Paine,_Tom", "url": "http://www.all-story.com/issues.cgi?action=show_story&story_id=59"} +{"d:Title": "'Scar Vegas': She Stole His Kidney and Other Bad Luck Tales", "d:Description": "review in The New York Times By Christopher Lehmann-Haupt.", "topic": "Top/Arts/Literature/Authors/P/Paine,_Tom", "url": "http://www.nytimes.com/books/00/01/09/daily/011300paine-book-review.html"} +{"d:Title": "\"Protest Lit\"", "d:Description": "\"These stories focus on the ways huge geopolitical forces shape small individual lives,\" writes author Stacey D'Erasmo about Paine's \"Scar Vegas.\"", "topic": "Top/Arts/Literature/Authors/P/Paine,_Tom", "url": "http://www.nytimes.com/books/00/02/06/reviews/000206.06derast.html"} +{"d:Title": "Salon Books |", "d:Description": "\"In an amazing debut, a fired-up writer takes aim at dumb American swaggerers and corporate greed.\" Salon's Maria Russo reviews Paine's first collection.", "topic": "Top/Arts/Literature/Authors/P/Paine,_Tom", "url": "http://www.salon.com/2000/02/23/paine/"} +{"d:Title": "Chuck Palahniuk - A Writer's Cult", "d:Description": "Reviews, interviews, articles, pictures, and Fight Club information.", "topic": "Top/Arts/Literature/Authors/P/Palahniuk,_Chuck", "url": "http://www.chuckpalahniuk.net/"} +{"d:Title": "Wikipedia: Chuck Palahniuk", "d:Description": "Article with sections on the writer's life, style, the controversy surrounding his work, and adaptations.", "topic": "Top/Arts/Literature/Authors/P/Palahniuk,_Chuck", "url": "http://en.wikipedia.org/wiki/Chuck_Palahniuk"} +{"d:Title": "Interview of Author Chuck Palahniuk", "d:Description": "Transcript of a talk with the author of Fight Club where he discusses his work and his thoughts on the movie version of the book.", "topic": "Top/Arts/Literature/Authors/P/Palahniuk,_Chuck", "url": "http://www.dvdtalk.com/interviews/chuck_palahniuk.html"} +{"d:Title": "Michael Palmer Books", "d:Description": "Author's site provides information on his books and life.", "topic": "Top/Arts/Literature/Authors/P/Palmer,_Michael", "url": "http://www.michaelpalmerbooks.com/"} +{"d:Title": "Orhan Pamuk's \"My Name is Red\" - review", "d:Description": "Danny Yee reviews Orhan Pamuk's novel of murder and art in late 16th century Istanbul.", "topic": "Top/Arts/Literature/Authors/P/Pamuk,_Orhan", "url": "http://dannyreviews.com/h/Name_Red.html"} +{"d:Title": "Oskar Panizza", "d:Description": "Links to works by and about Oskar Panizza (1853-1921), as well as theatrical productions of his works.", "topic": "Top/Arts/Literature/Authors/P/Panizza,_Oskar", "url": "http://www2.newpaltz.edu/%7Ebrownp/panizza/"} +{"d:Title": "Erna Paris", "d:Description": "Official site gives details about the author, her books, and how to contact information.", "topic": "Top/Arts/Literature/Authors/P/Paris,_Erna", "url": "http://www.ernaparis.com/"} +{"d:Title": "Australian Authors: Ruth Park", "d:Description": "Brief biography, bibliography. Images of some book covers, along with synopses and first paragraphs.", "topic": "Top/Arts/Literature/Authors/P/Park,_Ruth", "url": "http://www.middlemiss.org/lit/authors/parkr.html"} +{"d:Title": "Park, Ruth", "d:Description": "Author profile from The Oxford Companion to New Zealand Literature. Also includes images of some book covers.", "topic": "Top/Arts/Literature/Authors/P/Park,_Ruth", "url": "http://www.bookcouncil.org.nz/writers/parkruth.html"} +{"d:Title": "St. Petersburg Times: Former Maid for Author has her own Stories to Tell", "d:Description": "Ryan Davis' article about Parker's appearance at Hernando Community College for Black History Month.", "topic": "Top/Arts/Literature/Authors/P/Parker,_Idella", "url": "http://www.sptimes.com/News/021801/Hernando/Former_maid_for_autho.shtml"} +{"d:Title": "Nii's World of Words", "d:Description": "Personal site of the writer and performance poet. Includes his thoughts, list of works, and collection of online poetry.", "topic": "Top/Arts/Literature/Authors/P/Parkes,_Nii_Ayikwei", "url": "http://www.niiparkes.com/"} +{"d:Title": "Tim Parks", "d:Description": "The author presents his novels, non-fiction, criticism and translations", "topic": "Top/Arts/Literature/Authors/P/Parks,_Tim", "url": "http://tim-parks.com/"} +{"d:Title": "Boris Pasternak 1890-1960", "d:Description": "An analysis by Rich Geib of the work and life of the Russian writer. Includes Pasternak's complete poem of 1959 on winning the Nobel Prize for Literature.", "topic": "Top/Arts/Literature/Authors/P/Pasternak,_Boris", "url": "http://www.rjgeib.com/heroes/pasternak/paster.html"} +{"d:Title": "Wikipedia: Boris Pasternak", "d:Description": "An illustrated biography of the Russian poet and novelist from the online collaborative encyclopedia.", "topic": "Top/Arts/Literature/Authors/P/Pasternak,_Boris", "url": "http://en.wikipedia.org/wiki/Boris_Pasternak"} +{"d:Title": "PBS: Boris Pasternak", "d:Description": "An illustrated biography which forms part of a guide to the making of a television dramatisation of Doctor Zhivago.", "topic": "Top/Arts/Literature/Authors/P/Pasternak,_Boris", "url": "http://www.pbs.org/wgbh/masterpiece/zhivago/ei_pasternak.html"} +{"d:Title": "The Nobel Prize in Literature 1958", "d:Description": "The official site provides a photograph, biography and the reason for the award to Pasternak.", "topic": "Top/Arts/Literature/Authors/P/Pasternak,_Boris", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1958/"} +{"d:Title": "Oskar Pastior: Many Glove Compartments", "d:Description": "Publisher's presentation, with a short biography of the author.", "topic": "Top/Arts/Literature/Authors/P/Pastior,_Oskar", "url": "http://www.burningdeck.com/catalog/pastior.htm"} +{"d:Title": "Kenneth Patchen Home Page", "d:Description": "Page dedicated to Kenneth Patchen (1911-1972), poet, painter and pacifist.", "topic": "Top/Arts/Literature/Authors/P/Patchen,_Kenneth", "url": "http://www.connectotel.com/patchen/"} +{"d:Title": "Patchen: Man of Anger and Light", "d:Description": "Henry Miller on Kenneth Patchen (1946), followed by Patchen's poem \"A Letter to God\".", "topic": "Top/Arts/Literature/Authors/P/Patchen,_Kenneth", "url": "http://www.tc.umn.edu/~hreh0001/pal.html"} +{"d:Title": "Fat Poetry Book: Kenneth Patchen", "d:Description": "Includes \"There Are Not Many Kingdoms Left\", \"Pastoral\", and \"The Slums\".", "topic": "Top/Arts/Literature/Authors/P/Patchen,_Kenneth", "url": "http://www.math.buffalo.edu/~sww/poetry2/patchen_kenneth.html"} +{"d:Title": "Fall of the Evening Star", "d:Description": "Poem by Kenneth Patchen.", "topic": "Top/Arts/Literature/Authors/P/Patchen,_Kenneth", "url": "http://unix.cc.wmich.edu/~cooneys/poems/patchen.eveningstar.html"} +{"d:Title": "Grand Inspiritors: Kenneth Patchen", "d:Description": "Links to Kenneth Patchen.", "topic": "Top/Arts/Literature/Authors/P/Patchen,_Kenneth", "url": "http://opening.hefko.net/gi_patchen.html"} +{"d:Title": "Kenneth Patchen", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/P/Patchen,_Kenneth", "url": "https://www.poets.org/poetsorg/poet/kenneth-patchen"} +{"d:Title": "Vincent Patrick", "d:Description": "A brief profile/interview with \"the hard-boiled author of The Pope of Greenwich Village.\"", "topic": "Top/Arts/Literature/Authors/P/Patrick,_Vincent", "url": "http://www.popentertainment.com/patrick.htm"} +{"d:Title": "Bookreporter.com: Richard North Patterson", "d:Description": "Interview with the author discussing the novel Balance of Power.", "topic": "Top/Arts/Literature/Authors/P/Patterson,_Richard_North", "url": "http://www.bookreporter.com/authors/richard-north-patterson"} +{"d:Title": "Barbara Paul", "d:Description": "\"Contains information and commentary concerning the writings of [mystery and sf author] Barbara Paul.\" The author's own website. Includes many of her sf, fantasy and mystery short stories available for reading online, information on new and forthcoming books, a message board, and much else.", "topic": "Top/Arts/Literature/Authors/P/Paul,_Barbara", "url": "http://www.barbarapaul.com/"} +{"d:Title": "C.D. Payne", "d:Description": "\"Devoted to the comic novels of C.D. Payne . . . . Interviews, reviews, reader feedback, information on readings . . . .\"", "topic": "Top/Arts/Literature/Authors/P/Payne,_C._D.", "url": "http://www.nicktwisp.com/"} +{"d:Title": "Susanne Angst's Octavio Paz Page", "d:Description": "Contains photographs, a biography and several poems in English translation.", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio", "url": "http://www.susanneangst.com/poetry/paz/"} +{"d:Title": "Octavio Paz and the Future of Poetry", "d:Description": "Article by Nathaniel Tarn in Jacket # 9.", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio", "url": "http://jacketmagazine.com/09/tarn-on-paz.html"} +{"d:Title": "In Search of the Present", "d:Description": "Octavio Paz's Nobel Prize acceptance speech (Literature 1990).", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1990/paz-lecture.html"} +{"d:Title": "Octavio Paz: Nobel Prize in Literature 1990", "d:Description": "Press release, biography, Nobel lecture, Nobel diploma.", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1990/"} +{"d:Title": "In Memory of Octavio Paz (1914-1998)", "d:Description": "From The New York Review of Books, May 28, 1998. By Enrique Krauze.", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio", "url": "http://www.nybooks.com/articles/1998/05/28/in-memory-of-octavio-paz-19141998/"} +{"d:Title": "Octavio Paz - The Academy of American Poets", "d:Description": "A photo, biography, selected bibliography and links.", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio", "url": "https://www.poets.org/poetsorg/poet/octavio-paz"} +{"d:Title": "One and the Same", "d:Description": "and three other short poems by Octavio Paz in English translation.", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio/Poetry", "url": "http://www.jbeilharz.de/poetas/paz/"} +{"d:Title": "There is a Motionless Tree", "d:Description": "English translation of a poem by Octavio Paz.", "topic": "Top/Arts/Literature/Authors/P/Paz,_Octavio/Poetry", "url": "http://wonderingminstrels.blogspot.com/2000/04/there-is-motionless-tree-octavio-paz.html"} +{"d:Title": "The Thomas Love Peacock Society", "d:Description": "Texts of all the novels and some other works (in html) of T.L. Peacock, and bibliographical and biographical information.", "topic": "Top/Arts/Literature/Authors/P/Peacock,_Thomas_Love", "url": "http://www.thomaslovepeacock.net/"} +{"d:Title": "Thomas Love Peacock: the Growth of the Later Novel", "d:Description": "George Saintsbury's article for The Cambridge History of English and American Literature: An Encyclopedia in Eighteen Volumes. 190721", "topic": "Top/Arts/Literature/Authors/P/Peacock,_Thomas_Love", "url": "http://www.bartleby.com/221/1322.html"} +{"d:Title": "Peacock Forum", "d:Description": "A moderated discussion on Peacock's life, works and influence.", "topic": "Top/Arts/Literature/Authors/P/Peacock,_Thomas_Love", "url": "http://lists.topica.com/lists/Peacock"} +{"d:Title": "Pearson, Carol Lynn", "d:Description": "Official site; with news, photographs, and a biography.", "topic": "Top/Arts/Literature/Authors/P/Pearson,_Carol_Lynn", "url": "http://carollynnpearson.com/"} +{"d:Title": "Wikipedia: Charles P\u00e9guy", "d:Description": "Introduction to the French writer.", "topic": "Top/Arts/Literature/Authors/P/P\u00e9guy,_Charles", "url": "http://en.wikipedia.org/wiki/Charles_P%C3%A9guy"} +{"d:Title": "Don Pendleton", "d:Description": "Official site. Features author biography and list of works.", "topic": "Top/Arts/Literature/Authors/P/Pendleton,_Don", "url": "http://www.donpendleton.com/"} +{"d:Title": "Pendleton, Linda", "d:Description": "Author of fiction and non-fiction novels, in both printed and electronic formats.", "topic": "Top/Arts/Literature/Authors/P/Pendleton,_Linda", "url": "http://www.lindapendleton.com/"} +{"d:Title": "The Walker Percy Project", "d:Description": "An Internet Library Center. A resource with links to a biography, an interview, essays, bibliographies, philosophy and semiotics.", "priority": "1", "topic": "Top/Arts/Literature/Authors/P/Percy,_Walker", "url": "http://www.ibiblio.org/wpercy/"} +{"d:Title": "From Pen to Print", "d:Description": "An exhibition at the Library of the University of North Carolina at Chapel Hill.", "topic": "Top/Arts/Literature/Authors/P/Percy,_Walker", "url": "http://www.lib.unc.edu/rbc/percy/"} +{"d:Title": "Walker Percy and the Christian Scandal", "d:Description": "An essay by Marion Montgomery.", "topic": "Top/Arts/Literature/Authors/P/Percy,_Walker", "url": "http://www.firstthings.com/article/1993/04/004-walker-percy-and-the-christian-scandal"} +{"d:Title": "Bookworm's Lair: Arturo P\u00e9rez-Reverte", "d:Description": "Provides a bibliography and reviews of selected novels by the Spanish writer. Available in English and German.", "topic": "Top/Arts/Literature/Authors/P/P\u00e9rez-Reverte,_Arturo", "url": "http://www.bookwormslair.de/perez_reverte_arturo_e.htm"} +{"d:Title": "Pessoa's Trunk", "d:Description": "\"An attempt to apply the tools of the web to the appreciation of the late Portuguese poet Fernando Pessoa's writing and life.\"", "topic": "Top/Arts/Literature/Authors/P/Pessoa,_Fernando", "url": "http://www.disquiet.com/pessoa.html"} +{"d:Title": "Fernando Pessoa at Wikipedia", "d:Description": "The entry for Fernando Pessoa at Wikipedia, the free encyclopedia project. A short introduction to Pessoa's life and work.", "topic": "Top/Arts/Literature/Authors/P/Pessoa,_Fernando", "url": "http://en.wikipedia.org/wiki/Fernando_Pessoa"} +{"d:Title": "Poems by W. T. Pfefferle", "d:Description": "Texts for several poems.", "topic": "Top/Arts/Literature/Authors/P/Pfefferle,_W._T.", "url": "http://www.sfaol.com/books/poems2.html"} +{"d:Title": "Tom Phelan: Irish Novelist", "d:Description": "Author of \"Derrycloney\", \"In the Season of the Daisies\", and \"Iscariot\".", "topic": "Top/Arts/Literature/Authors/P/Phelan,_Tom", "url": "http://www.tomphelan.net/"} +{"d:Title": "To my Excellent Lucasia, on our Friendship. Katherine Philips.", "d:Description": "Bartleby etext.", "topic": "Top/Arts/Literature/Authors/P/Philips,_Katherine", "url": "http://www.bartleby.com/105/67.html"} +{"d:Title": "To my Lucasia, in defence of declared Friendship. Katherine Philips.", "d:Description": "Bartleby etext.", "topic": "Top/Arts/Literature/Authors/P/Philips,_Katherine", "url": "http://www.bartleby.com/105/68.html"} +{"d:Title": "Katherine Philips: Biographical Introduction", "d:Description": "Short biography from Ron Cooley at the University of Saskatchewan.", "topic": "Top/Arts/Literature/Authors/P/Philips,_Katherine", "url": "http://www.usask.ca/english/phoenix/philipsbio.htm"} +{"d:Title": "Orinda to Lucasia Parting", "d:Description": "Text of a poem transcribed from the 1667 edition of the book Poems.", "topic": "Top/Arts/Literature/Authors/P/Philips,_Katherine", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/lucasia.html"} +{"d:Title": "Caryl Phillips", "d:Description": "Novelist and playwright. Biography, bibliography with summaries of the works, links to articles and information on his activities.", "topic": "Top/Arts/Literature/Authors/P/Phillips,_Caryl", "url": "http://www.carylphillips.com/"} +{"d:Title": "British Council Literature: Caryl Phillips", "d:Description": "Description and analysis of author's work.", "topic": "Top/Arts/Literature/Authors/P/Phillips,_Caryl", "url": "https://literature.britishcouncil.org/writer/caryl-phillips"} +{"d:Title": "Mind Honey", "d:Description": "\"All about the poetry and other creative activities of writer/performer Wanda Phipps.\" Homepage maintained by the author.", "topic": "Top/Arts/Literature/Authors/P/Phipps,_Wanda", "url": "http://mysite.verizon.net/schlemophipps/"} +{"d:Title": "Tom Piazza", "d:Description": "Official website for the writer including author's notes, publications, appearances, and mailing list.", "topic": "Top/Arts/Literature/Authors/P/Piazza,_Tom", "url": "http://www.tompiazza.com/"} +{"d:Title": "Pickover, Clifford A.", "d:Description": "Writer whose work has focused on such topics as computers, creativity, and intelligence.", "topic": "Top/Arts/Literature/Authors/P/Pickover,_Clifford_A.", "url": "http://www.pickover.com/"} +{"d:Title": "Picoult , Jodi", "d:Description": "Official site; with a biography, newsletter, and event details.", "topic": "Top/Arts/Literature/Authors/P/Picoult,_Jodi", "url": "http://www.jodipicoult.com/"} +{"d:Title": "Danny Yee's Book Reviews: Marge Piercy", "d:Description": "Yee is particularly enthusiastic about Piercy's \"Woman on the Edge of Time\", but also favourably reviews her cyberpunk classic \"Body of Glass\".", "topic": "Top/Arts/Literature/Authors/P/Piercy,_Marge", "url": "http://dannyreviews.com/a/Marge_Piercy.html"} +{"d:Title": "Internet Poetry Archive: Robert Pinsky", "d:Description": "RealAudio of the author reading some of his poems.", "topic": "Top/Arts/Literature/Authors/P/Pinsky,_Robert", "url": "http://www.ibiblio.org/ipa/pinsky.php"} +{"d:Title": "Cortland Review interview", "d:Description": "Conducted by J.M. Spalding", "topic": "Top/Arts/Literature/Authors/P/Pinsky,_Robert", "url": "http://www.cortlandreview.com/features/98/pinsky.php"} +{"d:Title": "The Deep Passage: Dante, Longfellow, and Pinsky", "d:Description": "Poet Robert Pinsky's 1995 comments on \"The Inferno\" from an online conference hosted by The Atlantic Monthly reported by Wen Stephenson, with links to Pinsky's lierary ancestor Longfellow.", "topic": "Top/Arts/Literature/Authors/P/Pinsky,_Robert", "url": "http://www.theatlantic.com/past/docs/unbound/aandc/dante/danthome.htm"} +{"d:Title": "Poetry Pages - Pinsky on Poetry, Computers, and Dante", "d:Description": "Transcript of online conference with Robert Pinsky. [Atlantic Monthly]", "topic": "Top/Arts/Literature/Authors/P/Pinsky,_Robert", "url": "http://www.theatlantic.com/past/docs/unbound/aandc/trnscrpt/pinsky.htm"} +{"d:Title": "Robert Pinsky", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/P/Pinsky,_Robert", "url": "https://www.poets.org/poetsorg/poet/robert-pinsky"} +{"d:Title": "Harold Pinter Quote from The Guardian", "d:Description": "Pinter's thoughts on U.S. foreign policy and Milosovic.", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold", "url": "http://members.tripod.com/sarant_2/ks9pinter.html"} +{"d:Title": "Harold Pinter (1930 - )", "d:Description": "Biography of absurdist playwright Harold Pinter, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold", "url": "http://www.imagi-nation.com/moonstruck/clsc28.html"} +{"d:Title": "HaroldPinter.Org", "d:Description": "The official Harold Pinter website.", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold", "url": "http://www.haroldpinter.org/"} +{"d:Title": "BBC News - Pinter Leaves Loud Play", "d:Description": "Acclaimed playwright Harold Pinter walked out on a new play after it opened with a burst of extremely loud music.", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1361519.stm"} +{"d:Title": "Wikipedia - Harold Pinter", "d:Description": "Hyperlinked encyclopedia article about the British playwright, winner of the 2005 Nobel Prize for Literature.", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold", "url": "http://en.wikipedia.org/wiki/Harold_Pinter"} +{"d:Title": "Nobelprize.org - The Nobel Prize in Literature 2005", "d:Description": "Information about the winner, British playwright Harold Pinter, including his Nobel lecture (text and video), his biography with a bibliography of his works, interview (text and audio), photo gallery and additional web resources. [English, French, German, Swedish]", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/2005/"} +{"d:Title": "MMI Review: The Comfort of Strangers", "d:Description": "Review of The Comfort of Strangers, a film by Harold Pinter", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold/Reviews", "url": "http://www.shoestring.org/mmi_revs/comfstra.html"} +{"d:Title": "Theatre Mirror Reviews", "d:Description": "Review and analysis of Harold Pinter's \"Betrayal\".", "topic": "Top/Arts/Literature/Authors/P/Pinter,_Harold/Reviews", "url": "http://www.theatermirror.com/betrayal.htm"} +{"d:Title": "Perceptions of Quality", "d:Description": "Further explorations of Robert M. Pirsig's Metaphysics of Quality.", "topic": "Top/Arts/Literature/Authors/P/Pirsig,_Robert_M.", "url": "http://members.tripod.com/~Glove_r/Bohr.html"} +{"d:Title": "What did Pirsig Ride?", "d:Description": "Honda CB77: Superhawk 305", "topic": "Top/Arts/Literature/Authors/P/Pirsig,_Robert_M.", "url": "http://www.honda305.com/frames/zen-hld1.htm"} +{"d:Title": "Anthony McWatt's Pirsig/MoQ Website", "d:Description": "A site run by a post-graduate at the University of Liverpool's Department of Philosophy. Includes annotations and commentary by Pirsig himself along with photos and other related analyses.", "topic": "Top/Arts/Literature/Authors/P/Pirsig,_Robert_M.", "url": "http://robertpirsig.org/"} +{"d:Title": "Alejandra Pizarnik: una voz", "d:Description": "The voice of Pizarnik reciting a poem in spanish.", "topic": "Top/Arts/Literature/Authors/P/Pizarnik,_Alejandra", "url": "http://www.youtube.com/watch?v=PMW2JJ9beHU"} +{"d:Title": "From the Forbidden Garden", "d:Description": "Extract of the book published by Bucknell University Press in 2003 and edited by Carlota Caulfield. Table of subject contents, introduction about Pizarnik's personality and her correspondence with Antonio Beneyto.", "topic": "Top/Arts/Literature/Authors/P/Pizarnik,_Alejandra", "url": "http://books.google.com/books?id=al-mRgWr3_8C&printsec=frontcover&hl=es#v=onepage&q=&f=false"} +{"d:Title": "Pizarnik Translator's Introduction", "d:Description": "Interpretation and translation of texts from Alejandra's final book of poetry, El infierno musical. By Madeleine Stratford.", "topic": "Top/Arts/Literature/Authors/P/Pizarnik,_Alejandra", "url": "http://calquezine.blogspot.com/2007/11/pizarnik-translators-introduction.html"} +{"d:Title": "A Poet, far away and long ago", "d:Description": "Alberto Manguel talks about his encounter with Pizanik and perspective about her personality.", "topic": "Top/Arts/Literature/Authors/P/Pizarnik,_Alejandra", "url": "http://www.atelieraldente.de/manguel_0h4/pdf/A-Poet-Alejandra-Pizarnik.pdf"} +{"d:Title": "Josep Pla (1897-1981)", "d:Description": "Short biography of the Catalan writer.", "topic": "Top/Arts/Literature/Authors/P/Pla,_Josep", "url": "http://www.escriptors.cat/autors/plaj/pagina.php?id_sec=1972"} +{"d:Title": "Sylvia Plath Forum", "d:Description": "Bibliography, photographs, sound files, FAQ (Frequently Asked Questions), relevant links, analysis of selected poems, news and a moderated forum for posting Plath information.", "priority": "1", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.sylviaplathforum.com/"} +{"d:Title": "A Wind Of Such Violence", "d:Description": "A collection of over 200 transcripts of Plath's poems. Poem list can be sorted in alphabetical or chronological order.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.angelfire.com/tn/plath/"} +{"d:Title": "The Willing Domesticity of Sylvia Plath: A Rebuttal of the \"Feminist\" Label", "d:Description": "Essay by Michelle Kinsey-Clinton examining Sylvia Plath as a 'feminist' writer.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.sapphireblue.com/writing/plath.html"} +{"d:Title": "Winter Trees: A Page of Sylvia Plath", "d:Description": "Selection of poems written during the last year of Plath's life, brief biography and some photographs.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.angelfire.com/journal/sylvia/mysylvia123.html"} +{"d:Title": "Fred Beake: Plathetic Fallacies", "d:Description": "This essay discusses the influence other writers like Roethke or Williams may have had on Plath's poetry, discusses her contemporaries and looks at her poetry, arguing that her poems were not personal in a strict sense. Rather, Plath used personae and masks and transformed personal experience into something of more general interest.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.dgdclynx.plus.com/lynx/lynx56.html"} +{"d:Title": "A celebration, this is.", "d:Description": "The life and works of Sylvia Plath. Includes biographical information, information on 'The Bell Jar', 'Johnny Panic and the Bible of Dreams', and Sylvia's poetry. Also includes a photo album, and links and resources sections.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.sylviaplath.info/"} +{"d:Title": "Cheryb's Sylvia Plath", "d:Description": "Photograph, brief description and link to further biographical information.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.ibiblio.org/cheryb/women/Sylvia-Plath.html"} +{"d:Title": "The Real Sylvia Plath", "d:Description": "Article by Kate Moses which looks at the journals of Sylvia Plath, exploring the lesser-known side of the poet and theories about what drove her to suicide.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.salon.com/2000/05/30/plath1/"} +{"d:Title": "Academy of American Poets: Sylvia Plath", "d:Description": "Entry includes biographical information, transcriptions of Daddy, Lady Lazarus and Morning Song, selected bibliography and links to relevant sites.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "https://www.poets.org/poetsorg/poet/sylvia-plath"} +{"d:Title": "Salon.com Audio: Sylvia Plath", "d:Description": "Brief analysis of Plath's poetry, and MP3 and streamed RealAudio recordings of Sylvia reading 'November Graveyard' and 'Black Rook in Rainy Weather'.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia", "url": "http://www.salon.com/2000/06/06/plath/"} +{"d:Title": "Sylvia Plath Mailing List", "d:Description": "List homepage with subscription information, online archive and members details.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sylviaplath/"} +{"d:Title": "Who is Sylvia?", "d:Description": "By Katharine Viner. Discusses the construction of Plath through her poetry, novel, journal and diaries. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/books/2000/mar/18/poetry.sylviaplath"} +{"d:Title": "The Journals of Sylvia Plath", "d:Description": "Stephen Moss assesses the critical response to the publication of 'The Journals of Sylvia Plath'. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/books/2000/apr/04/sylviaplath"} +{"d:Title": "The Poet Who Died So Well", "d:Description": "By Kate Kellaway. Discusses Plath in view of the upcoming release of her unedited journals, notebook and diaries. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/books/2000/mar/19/poetry.news"} +{"d:Title": "'I realised Sylvia knew about Assia's pregnancy...'", "d:Description": "A contemporary of Plath's, Elizabeth Sigmund, recalls a conversation with the poet shortly before her suicide. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/theguardian/1999/apr/23/features11.g21"} +{"d:Title": "How Black Magic Killed Sylvia Plath", "d:Description": "By A. Alvarez. Considers the effect of Plath's involvement in her husband's interest in hypnotherapy and alternative beliefs. Includes reminiscences by the writer of his friendship with Plath during this period. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/theguardian/1999/sep/15/features11.g2"} +{"d:Title": "The Journals of Sylvia Plath 1950-1962: excerpts", "d:Description": "Excerpts from 'The Journals of Sylvia Plath 1950-1962'. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/books/2000/may/19/sylviaplath"} +{"d:Title": "The Journals of Sylvia Plath 1950-1962", "d:Description": "Stephanie Merritt reviews 'The Journals of Sylvia Plath 1950-1962'. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/books/2001/apr/15/features.review3"} +{"d:Title": "Assia's Guilt Over Plath's Suicide Is A Myth", "d:Description": "Comments in reply to the article \"Haunted By The Ghosts Of Love\". Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/theguardian/1999/apr/17/guardianletters4"} +{"d:Title": "'I failed her. I was 30 and stupid'", "d:Description": "By Vanessa Thorpe. Discusses A. L. Alvarez's belief that he could have done more to prevent the suicide of Plath, a young poet that he championed. Online Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/books/2000/mar/19/poetry.features"} +{"d:Title": "Love, Loathing And Life With Ted Hughes", "d:Description": "By Katharine Viner. Analysis of previously unpublished extracts from Plath's diaries, notebook and journals. Particular attention is paid to new information provided on the poet's relationship with her husband.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://www.theguardian.com/books/2000/mar/18/tedhughes.sylviaplath"} +{"d:Title": "Annotated Works Of Sylvia Plath", "d:Description": "New York University Literature database entry. Selection of Plath's poems annotated with summary, commentary, hyperlinked keywords and publication information.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Reviews", "url": "http://medhum.med.nyu.edu/person/2080"} +{"d:Title": "Requiem For Sylvia Plath", "d:Description": "Webring. List of member sites and homepage link.", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Web_Rings", "url": "http://www.webring.org/hub?ring=sivvyplath"} +{"d:Title": "A Sample Journal", "d:Description": "Summary of characters, setting, style, structure and themes in The Bell Jar and a brief essay on dualities in the novel (by teacher Stephanie Kight).", "topic": "Top/Arts/Literature/Authors/P/Plath,_Sylvia/Works/Bell_Jar,_The", "url": "http://www.angelfire.com/journal/advancedplacement/index.html"} +{"d:Title": "Randall Platt", "d:Description": "Official site for the writer of fiction for both adults and teenagers. Features excerpts from her books, biography, updates on upcoming projects, and tips for writing.", "topic": "Top/Arts/Literature/Authors/P/Platt,_Randall", "url": "http://www.plattbooks.com/"} +{"d:Title": "Stanley Plumly", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/P/Plumly,_Stanley", "url": "https://www.poets.org/poetsorg/poet/stanley-plumly"} +{"d:Title": "The Poems of Joseph Mary Plunkett", "d:Description": "Includes texts of various poems.", "topic": "Top/Arts/Literature/Authors/P/Plunkett,_Joseph_Mary", "url": "http://poetry.elcore.net/CatholicPoets/Plunkett/"} +{"d:Title": "John Polidori and the Vampyre Byron", "d:Description": "Biography of Polidori, and how 'The Vampyre' was created.", "topic": "Top/Arts/Literature/Authors/P/Polidori,_John_William", "url": "http://www.angelfire.com/jazz/louxsie/polidori.html"} +{"d:Title": "The Vampyre, by John Polidori", "d:Description": "Another etext link", "topic": "Top/Arts/Literature/Authors/P/Polidori,_John_William", "url": "http://www.sff.net/people/doylemacdonald/l_vampyr.htm"} +{"d:Title": "Henrik Pontoppidan", "d:Description": "Bibliography, and brief biographical articles. More information available in Danish.", "topic": "Top/Arts/Literature/Authors/P/Pontoppidan,_Henrik", "url": "http://www.henrikpontoppidan.dk/"} +{"d:Title": "Henrik Pontoppidan - Autobiography", "d:Description": "Written for The Nobel Foundation when he was awarded The Nobel Prize in Literature 1917 \"for his authentic descriptions of present-day life in Denmark\".", "topic": "Top/Arts/Literature/Authors/P/Pontoppidan,_Henrik", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1917/pontoppidan-bio.html"} +{"d:Title": "The Life of Pope", "d:Description": "Biography of Pope by Samuel Johnson in Johnson's text \"The Lives of the Poets.\"", "topic": "Top/Arts/Literature/Authors/P/Pope,_Alexander", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/pope.html"} +{"d:Title": "An Essay on Criticism", "d:Description": "Text version.", "topic": "Top/Arts/Literature/Authors/P/Pope,_Alexander/Works", "url": "http://poetry.eserver.org/essay-on-criticism.html"} +{"d:Title": "The Rape of the Lock", "d:Description": "Full text of the poem at the EServer, based at Iowa State University.", "topic": "Top/Arts/Literature/Authors/P/Pope,_Alexander/Works", "url": "http://poetry.eserver.org/rape-of-the-lock.html"} +{"d:Title": "Epistle III", "d:Description": "Subtitled On the Nature and State of Man With Respect to Society.", "topic": "Top/Arts/Literature/Authors/P/Pope,_Alexander/Works/Essay_on_Man", "url": "http://poetry.eserver.org/essay-on-man/epistle-iii.txt"} +{"d:Title": "Epistle I", "d:Description": "Subtitled Of the Nature and State of Man With Respect to the Universe.", "topic": "Top/Arts/Literature/Authors/P/Pope,_Alexander/Works/Essay_on_Man", "url": "http://poetry.eserver.org/essay-on-man/epistle-i.txt"} +{"d:Title": "Epistle II", "d:Description": "Subtitled On the Nature and State of Man With Respect to Himself, As an Individual.", "topic": "Top/Arts/Literature/Authors/P/Pope,_Alexander/Works/Essay_on_Man", "url": "http://poetry.eserver.org/essay-on-man/epistle-ii.txt"} +{"d:Title": "Epistle IV", "d:Description": "Subtitled Of the Nature and State of Man With Respect to Happiness.", "topic": "Top/Arts/Literature/Authors/P/Pope,_Alexander/Works/Essay_on_Man", "url": "http://poetry.eserver.org/essay-on-man/epistle-iv.txt"} +{"d:Title": "Jacket 16: Peter Porter", "d:Description": "Two poems and a biographical note and photo.", "topic": "Top/Arts/Literature/Authors/P/Porter,_Peter", "url": "http://jacketmagazine.com/16/porter-peter.html"} +{"d:Title": "Mort aux Chats", "d:Description": "A poem by Peter Porter in the original and in Turkish translation.", "topic": "Top/Arts/Literature/Authors/P/Porter,_Peter", "url": "http://warlight.tripod.com/PPORTER.html"} +{"d:Title": "Emily Post", "d:Description": "Brief biography of the writer with a link to some of her etiquette advice.", "topic": "Top/Arts/Literature/Authors/P/Post,_Emily", "url": "http://www.bartleby.com/people/Post-Emi.html"} +{"d:Title": "ML Author Spotlight - Chaim Potok", "d:Description": "Biographical information.", "topic": "Top/Arts/Literature/Authors/P/Potok,_Chaim", "url": "http://www.classzone.com/novelguides/authors/potok.cfm"} +{"d:Title": "WashingtonPost.com: The Diaries of Dawn Powell 1931 to 1965", "d:Description": "Excerpts from the author's personal diaries.", "topic": "Top/Arts/Literature/Authors/P/Powell,_Dawn", "url": "http://washingtonpost.com/wp-srv/style/longterm/books/chap1/diaries.htm"} +{"d:Title": "Salon Books | How Dawn Powell can save your life", "d:Description": "Ground down in a world driven by envy, greed and hypocrisy? America's wittiest satirist can help.", "topic": "Top/Arts/Literature/Authors/P/Powell,_Dawn", "url": "http://www.salon.com/1999/09/30/powell/"} +{"d:Title": "The Storyteller", "d:Description": "J.F. Powers published two novels, but Denis Donoghue says that the native gift of this writer's writer was for the short story form. Free registration required. [New York Times]", "topic": "Top/Arts/Literature/Authors/P/Powers,_J._F.", "url": "http://www.nytimes.com/books/00/03/26/bookend/bookend.html"} +{"d:Title": "The Digging Anubis", "d:Description": "Short summaries of several books by both Powers and Blaylock, a FAQ and news.", "topic": "Top/Arts/Literature/Authors/P/Powers,_Tim", "url": "http://www.angelfire.com/scifi/timpowers/"} +{"d:Title": "The Anubis Gates", "d:Description": "A fan page for Tim Powers. Bibliography, biography, and information on the mysterious Sir William Ashbless.", "topic": "Top/Arts/Literature/Authors/P/Powers,_Tim", "url": "http://home.earthlink.net/~ellendebrock/anubis.htm"} +{"d:Title": "Ex Libris Archives: Tim Powers", "d:Description": "Reviews of Last Call, Expiration Date, Earthquake Weather, and The Drawing of the Dark.", "topic": "Top/Arts/Literature/Authors/P/Powers,_Tim/Reviews", "url": "http://www.wjduquette.com/authors/tpowers.html"} +{"d:Title": "Tim Powers", "d:Description": "Michael Rawdon's reviews of \"The Anubis Gates\", \"Dinner at Deviant's Palace\", \"Last Call\", and \"Expiration Date.\"", "topic": "Top/Arts/Literature/Authors/P/Powers,_Tim/Reviews", "url": "http://www.leftfield.org/~rawdon/books/sf/powers_tim/"} +{"d:Title": "Popentertainment.com: Richard Price Interview", "d:Description": "Ronald Sklar of talks with the celebrated novelist about his career and his new book 'Samaritan.'", "topic": "Top/Arts/Literature/Authors/P/Price,_Richard", "url": "http://www.popentertainment.com/price.htm"} +{"d:Title": "Guardian Unlimited Books: Richard Price - It's not about money", "d:Description": "The literary voice of North Jersey talks about the world, neighborhoods and people that inspire his books.", "topic": "Top/Arts/Literature/Authors/P/Price,_Richard", "url": "http://www.theguardian.com/books/2003/apr/24/fiction.garyyounge"} +{"d:Title": "Atlantic Unbound: Richard Price - Shades of Gray", "d:Description": "Interview with the celebrated author about his latest novel, 'Samaritan.'", "topic": "Top/Arts/Literature/Authors/P/Price,_Richard", "url": "http://www.theatlantic.com/past/docs/unbound/interviews/int2003-02-26.htm"} +{"d:Title": "IMDB: Richard Price", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles and fan sites.", "topic": "Top/Arts/Literature/Authors/P/Price,_Richard", "url": "http://www.imdb.com/name/nm0697115/"} +{"d:Title": "Salon.com Books: 'Samaritan' by Richard Price", "d:Description": "Charles Taylor gives a positive review to Price's novel.", "topic": "Top/Arts/Literature/Authors/P/Price,_Richard", "url": "http://www.salon.com/2003/01/18/price_3/"} +{"d:Title": "J.B. Priestley", "d:Description": "Includes bibliography, web links, and notes on the author's favourite paintings, musical works, actors and comedians.", "topic": "Top/Arts/Literature/Authors/P/Priestley,_J._B.", "url": "http://www.miskatonic.org/jbp/"} +{"d:Title": "Marcel Proust Ephemera Site", "d:Description": "\". . . an informal site dedicated to publishing English translations of Proust's lesser writings. Pieces and letters which, as far as I am aware, are not available in translation elsewhere. \"", "topic": "Top/Arts/Literature/Authors/P/Proust,_Marcel", "url": "http://www.yorktaylors.free-online.co.uk/"} +{"d:Title": "An Introduction to the Poetry of J. H. Prynne", "d:Description": "By Rod Mengham and John Kinsella. From Jacket # 7.", "topic": "Top/Arts/Literature/Authors/P/Prynne,_J._H.", "url": "http://www.jacketmagazine.com/07/prynne-jk-rm.html"} +{"d:Title": "Rich in Vitamin C", "d:Description": "Poem by J. H. Prynne with a commentary by John Kinsella in Jacket # 6.", "topic": "Top/Arts/Literature/Authors/P/Prynne,_J._H.", "url": "http://www.jacketmagazine.com/06/pryn-kins.html"} +{"d:Title": "Douglas Clark on Jeremy Prynne", "d:Description": "\"J. H. Prynne is the major alternative voice in contemporary English poetry. Love him or loathe him he cannot be ignored...\"", "topic": "Top/Arts/Literature/Authors/P/Prynne,_J._H.", "url": "http://www.dgdclynx.plus.com/lynx/lynx75.html"} +{"d:Title": "On the Matter of Thermal Packing", "d:Description": "Poem by J. H. Prynne.", "topic": "Top/Arts/Literature/Authors/P/Prynne,_J._H.", "url": "http://www.dgdclynx.plus.com/lynx/lynx39.html"} +{"d:Title": "Vance Maverick: Partial Reading", "d:Description": "J. H. Prynne's \"On the Matter of Thermal Packing.\"", "topic": "Top/Arts/Literature/Authors/P/Prynne,_J._H.", "url": "http://www.dgdclynx.plus.com/lynx/lynx311.html"} +{"d:Title": "Philip Pullman", "d:Description": "Official site; with synopses, a biography and questions.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip", "url": "http://www.philip-pullman.com/"} +{"d:Title": "Guardian Unlimited: A Wizard with Worlds", "d:Description": "Profile and interview with Philip Pullman, just before \"The Amber Spyglass\" was published.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip", "url": "http://www.theguardian.com/books/2000/oct/22/booksforchildrenandteenagers.whitbreadprize2001"} +{"d:Title": "Guardian - Driven by Daemons", "d:Description": "Interview with the author includes a discussion of his life history and how it has impacted his writing.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip", "url": "http://www.theguardian.com/books/2001/nov/10/booksforchildrenandteenagers.philippullman"} +{"d:Title": "DarkMaterials.com", "d:Description": "Fan site featuring a cover image gallery and a frequently asked questions section.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip/His_Dark_Materials", "url": "http://www.darkmaterials.com/"} +{"d:Title": "BridgeToTheStars.Net", "d:Description": "News and information about the books, movies, and stage play, as well as a forum and other interactive features.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip/His_Dark_Materials", "url": "http://www.bridgetothestars.net/"} +{"d:Title": "BBC: His Dark Materials", "d:Description": "Home page of the trilogy radio drama website. Provides cast information and terminology.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip/His_Dark_Materials", "url": "http://www.bbc.co.uk/radio4/arts/hisdarkmaterials/index.shtml"} +{"d:Title": "The Fiction of Ceres Wunderkind", "d:Description": "Collection of fanfiction and articles.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip/His_Dark_Materials", "url": "http://www.cereswunderkind.net/"} +{"d:Title": "Finding Your Daemon Within", "d:Description": "How Philip Pullman's concept of the d\u00e6mon relates to Carl Jung's concept of the animus/anima, and how to personify that part of your consciousness.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip/His_Dark_Materials", "url": "http://sites.google.com/site/findyourdaemon/"} +{"d:Title": "RandomHouse: His Dark Materials", "d:Description": "The U.S. publisher's official website. The site gives an in-depth history of the alethiometer as well as a section on author Philip Pullman.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip/His_Dark_Materials", "url": "http://www.randomhousekids.com/brand/philip-pullman/"} +{"d:Title": "Scholastic: His Dark Materials", "d:Description": "The UK publisher's official website. Provides trilogy summaries, reviews, and praise.", "topic": "Top/Arts/Literature/Authors/P/Pullman,_Philip/His_Dark_Materials", "url": "http://shop.scholastic.co.uk/authors/Philip-Pullman-5685"} +{"d:Title": "The Official Mario Puzo Library", "d:Description": "Official site for Godfather author Mario Puzo. Includes biography, filmography, RealAudio clip and image gallery.", "topic": "Top/Arts/Literature/Authors/P/Puzo,_Mario", "url": "http://www.mariopuzo.com/"} +{"d:Title": "Danny Yee's Book Reviews: A Few Green Leaves", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/Authors/P/Pym,_Barbara", "url": "http://dannyreviews.com/h/Few_Green_Leaves.html"} +{"d:Title": "The Barbara Pym Society of North America", "d:Description": "Provides information on the British novelist. Lists activities of the North American branch of her literary society including conference dates.", "topic": "Top/Arts/Literature/Authors/P/Pym,_Barbara", "url": "http://www.barbara-pym.org/"} +{"d:Title": "Mason&Dixon", "d:Description": "A review at Spike Magazine online of Thomas Pynchon's novel.", "topic": "Top/Arts/Literature/Authors/P/Pynchon,_Thomas/Reviews", "url": "http://www.spikemagazine.com/0997pync.php"} +{"d:Title": "Thomas Pynchon's Gravity's Rainbow (1973)", "d:Description": "Devoted to Pynchon's enormous and convoluted masterpiece. Includes a glossary of terms.", "topic": "Top/Arts/Literature/Authors/P/Pynchon,_Thomas/Works/Gravity's_Rainbow", "url": "http://thomaspynchon.com/"} +{"d:Title": "TARO: Inventory for the David Quammen Papers", "d:Description": "Listing of the collection which includes published and unpublished works, short stories, correspondence, reviews, and audio and video recordings. Housed at the Texas Tech University library.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://www.lib.utexas.edu/taro/tturb/00159/trb-00159.html"} +{"d:Title": "NPR: Charles Darwin and the Racing Asparagus", "d:Description": "Robert Krulwich on Quammen's book The Reluctant Mr. Darwin, with excerpt and audio.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://www.npr.org/templates/story/story.php?storyId=6105541"} +{"d:Title": "National Geographic Magazine: Was Darwin Wrong?", "d:Description": "Quammen on how whether evolutionary theory has withstood the test of time.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://ngm.nationalgeographic.com/ngm/0411/feature1/"} +{"d:Title": "Terrain.org: Interview with David Quammen", "d:Description": "Covers his writings, environmental literature, and journalism.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://www.terrain.org/interview/21/"} +{"d:Title": "TreeHugger: Award-winning Author David Quammen on Swine Flu, Evolution and Ecology", "d:Description": "Includes a discussion of his upcoming work on zoonotic diseases.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://www.treehugger.com/files/2009/05/david-quammen-interview.php"} +{"d:Title": "Nowhere: Romania", "d:Description": "An excerpt from Quammen's travel journal.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://nowheremag.com/2010/01/romania-david-quammen/"} +{"d:Title": "National Geographic Magazine: Megatransect", "d:Description": "Introduction to a three-part article by Quammen which chronicles his journey with conservationist Michael Fay as he surveys 1,200 miles of African jungle. Includes field notes and photographs by Michael Nichols.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://ngm.nationalgeographic.com/ngm/0010/feature1/"} +{"d:Title": "The Well: The Weeds Shall Inherit the Earth", "d:Description": "Reproduction of an article by the author for The Independent (London).", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David", "url": "http://www.mysterium.com/weeds.html"} +{"d:Title": "Danny Reviews: The Song of the Dodo", "d:Description": "Review by Danny Yee of the book about island biogeography published in 1996.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David/Reviews", "url": "http://dannyreviews.com/h/Song_Dodo.html"} +{"d:Title": "SpruceRoots Magazine: Song of the Dodo", "d:Description": "Review with excerpts from the book.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David/Reviews", "url": "http://www.spruceroots.org/SeptOct.00/Dodo.html"} +{"d:Title": "American Scientist: Compiling On", "d:Description": "William J. Cannon reviews and compares the anthologies The Best American Science and Nature Writing 2000 by Quammen and The Best American Science Writing 2000 by James Gleick.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David/Reviews", "url": "http://www.americanscientist.org/bookshelf/pub/compiling-on"} +{"d:Title": "Smithsonian Magazine: The Song of the Dodo", "d:Description": "Review by John P. Wiley, Jr.", "topic": "Top/Arts/Literature/Authors/Q/Quammen,_David/Reviews", "url": "http://www.smithsonianmag.com/travel/review-of-the-song-of-the-dodo-113099509/"} +{"d:Title": "Salvatore Quasimodo - Nobel Laureate", "d:Description": "Site honoring the winner of the Nobel Prize in Literature, 1959. Presentation Speech, Nobel Lecture, Banquet Speech, biography.", "topic": "Top/Arts/Literature/Authors/Q/Quasimodo,_Salvatore", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1959/quasimodo-bio.html"} +{"d:Title": "Literary Agrigento: Salvatore Quasimodo and Agrigentum Road", "d:Description": "Salvatore Quasimodo and his poems inspired by Agrigento and its archeological area.", "topic": "Top/Arts/Literature/Authors/Q/Quasimodo,_Salvatore/Poetry", "url": "http://www.valleyofthetemples.com/Salvatore_Quasimodo"} +{"d:Title": "The Flight of Icarus by Raymond Queneau", "d:Description": "A review and a link to other reviews of The Flight of Icarus by Raymond Queneau.", "topic": "Top/Arts/Literature/Authors/Q/Queneau,_Raymond", "url": "http://www.complete-review.com/reviews/queneaur/icarus.htm"} +{"d:Title": "The Last Days by Raymond Queneau", "d:Description": "A review and a link to other reviews of The Last Days by Raymond Queneau.", "topic": "Top/Arts/Literature/Authors/Q/Queneau,_Raymond", "url": "http://www.complete-review.com/reviews/queneaur/lastdays.htm"} +{"d:Title": "Zazie in the Metro by Raymond Queneau", "d:Description": "A review and a link to other reviews of Zazie in the Metro by Raymond Queneau.", "topic": "Top/Arts/Literature/Authors/Q/Queneau,_Raymond", "url": "http://www.complete-review.com/reviews/queneaur/zazie.htm"} +{"d:Title": "Children of Clay by Raymond Queneau", "d:Description": "A review and a link to other reviews of Children of Clay by Raymond Queneau.", "topic": "Top/Arts/Literature/Authors/Q/Queneau,_Raymond", "url": "http://www.complete-review.com/reviews/queneaur/clay.htm"} +{"d:Title": "Raymond Queneau: Two Poems", "d:Description": "\"Front Page Carnage\" and \"Rue Galil\u00e9e,\" two poems by this surrealist forerunner.", "topic": "Top/Arts/Literature/Authors/Q/Queneau,_Raymond", "url": "http://www.webdelsol.com/InPosse/queneau-galvin16.htm"} +{"d:Title": "Raymond Queneau: An Annotated Bibliography and Research Aid", "d:Description": "By Charles T. Kestermeier, SJ.", "topic": "Top/Arts/Literature/Authors/Q/Queneau,_Raymond", "url": "http://www.queneau.fr/"} +{"d:Title": "Bartleby.com: Arthur Quiller-Couch", "d:Description": "Includes HTML e-texts of \"The Oxford Book of English Verse: 1250?1900\", \"The Oxford Book of Ballads\", \"On the Art of Writing: Lectures Delivered in the University of Cambridge, 1913-1914\", \"On the Art of Reading: Lectures Delivered in the University of Cambridge, 1916-1917\", \"The Sleeping Beauty and other Fairy Tales\".", "topic": "Top/Arts/Literature/Authors/Q/Quiller-Couch,_Arthur", "url": "http://www.bartleby.com/people/QuillerC.html"} +{"d:Title": "Project Gutenberg: Quiller-Couch, Arthur", "d:Description": "Includes ASCII etexts of \"Characters of Shakespeare's Plays\", \"The Project Gutenberg Book of English Verse\", \"The Splendid Spur: memoirs of John Marvel, a Servant of King Charles I., in 1642-43\", \"Stories by English Authors: London\".", "topic": "Top/Arts/Literature/Authors/Q/Quiller-Couch,_Arthur", "url": "http://www.gutenberg.org/browse/authors/q#a3579"} +{"d:Title": "The Ishmael Community", "d:Description": "Works of Daniel Quinn, discussion and links.", "topic": "Top/Arts/Literature/Authors/Q/Quinn,_Daniel", "url": "http://www.ishmael.com/"} +{"d:Title": "Reeves, Judy", "d:Description": "Classes and workshops and readings by author of books and calendars for the writing life.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.judyreeveswriter.com/"} +{"d:Title": "Reiter, Jendi", "d:Description": "Poems, essays and legal articles plus excerpts from a thriller, \"Child Witness\".", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.jendireiter.com/"} +{"d:Title": "Rogers, Stephen D.", "d:Description": "Brief bibliography and links to online fiction. Provides a monthly updated reprint and a short 'how-to' article.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.stephendrogers.com/"} +{"d:Title": "Robson, Lucia St. Clair", "d:Description": "Book reviews and excerpts, biography, contact information, and information about her writings and upcoming appearances.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.luciastclairrobson.com/"} +{"d:Title": "Rechtman, Nancy", "d:Description": "Playwright, humor columnist and novelist with details of columns, links, reviews and an archive. Contact details and regular newsletter.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.nancyrechtman.com/"} +{"d:Title": "Ravel, Edeet", "d:Description": "Canadian-Israeli author with details of publications, contact information, biography, reviews and some excerpts.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.edeet.com/"} +{"d:Title": "Raschen, Dan", "d:Description": "Details of publications and short autobiography.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://members.tripod.com/martin_leese/DanRaschen/"} +{"d:Title": "Rodger, David J", "d:Description": "Showcases work with extracts of publications, links, a game, writers philosophy, updates, a site survey and guestbook.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.davidjrodger.com/"} +{"d:Title": "Reichler, Mel", "d:Description": "The Man with the Ladder - Wonderful Things to Read, joint authors Mel Reichler and Jim Egan, featuring their stories, poetry and sociological pieces.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.themanwiththeladder.com/"} +{"d:Title": "Rohm, Wendy Goldman", "d:Description": "An investigative journalist, poet, playwright and author. Information provided includes news, reviews, events, bibliographical material, biography and contact information.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://worldwidewendy.org/"} +{"d:Title": "Rizzo, Theresa", "d:Description": "Author's pages with details of awards, publications, press, links, contacts and biography.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.theresarizzo.com/"} +{"d:Title": "Rickards, John", "d:Description": "Empire of Dirt, personal weblog of author.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://johnrickards.blogspot.com/"} +{"d:Title": "Russell, Carolyn", "d:Description": "Excerpts from 'Beyond the Lies', contact material, web ring, reviews, biography and links.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://carolynrussell63901.tripod.com/excerpt.htm"} +{"d:Title": "Rutter, Troy", "d:Description": "Author of \"Kids in the Biz: A Hollywood Handbook for Parents.\" Details provided of publications as well as a weblog, resume, gallery, links, a web design portfolio and contact material.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.troyrutter.com/"} +{"d:Title": "Rumpf, Eva Augustin", "d:Description": "Information about author Eva Augustin Rumpf and the books she has published, and the text of published articles about the craft of writing.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://webpages.milwpc.com/evar/index.html"} +{"d:Title": "Rios, Mi-Chelle L.", "d:Description": "Details include a biography, information about CHOLA - her first novel - and contact information.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://mi-chellelrios.org/"} +{"d:Title": "Rayner, Mark", "d:Description": "Author's site includes book information, news and reviews, online stories, and a weblog.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://markarayner.com/"} +{"d:Title": "Roehlkepartain, Jolene", "d:Description": "A writer and parent educator's site which has the latest publication news, a biography, journal, contact details, some downloadable material and images.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.booksbyjolene.com/"} +{"d:Title": "Ravenscroft, John", "d:Description": "Fiction and feature writer, and co-editor of Cadenza magazine. Includes selection of published articles plus links.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.johnravenscroft.co.uk/"} +{"d:Title": "Remic, Andy", "d:Description": "A writer of thriller adventure novels. Information about publications, reviews, biographical details, links, and recent news.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://andyremic.wordpress.com/"} +{"d:Title": "Rock, Peter", "d:Description": "Discussions and listings of the author's works. There is a biography, short stories, news and some excerpts from the published works.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.peterrockproject.com/"} +{"d:Title": "Rahn, Otto", "d:Description": "Dedicated to the historian, archeologist, writer, SS officer of the mystical Ahnenerbe unit, a seeker of Truth and the Holy Grail.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://otto-rahn.com/"} +{"d:Title": "Readett, Gerard", "d:Description": "Details of first online science-fiction novel with extracts provided. Some links and information about telematics.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.readettbooks.com/"} +{"d:Title": "Rothman, David H.", "d:Description": "Author of The Solomon Scandals, a novel inspired partly by the deadly Skyline collapse in Northern Virginia.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://www.solomonscandals.com/"} +{"d:Title": "Orna Ross", "d:Description": "Writing and publishing books and poems. London based.", "topic": "Top/Arts/Literature/Authors/R", "url": "http://ornaross.com/"} +{"d:Title": "Louise Rafkin", "d:Description": "Home page of Bay Area writer Louise Rafkin, author of Other People's Dirt. Includes reviews, interviews and writing samples.", "topic": "Top/Arts/Literature/Authors/R/Rafkin,_Louise", "url": "http://www.louiserafkin.com/"} +{"d:Title": "Naomi Ragen", "d:Description": "Author site of the author of \"The Ghost of Hannah Mendes\", \"Sotah\", \"Jephte's Daughter\", and \"The Sacrifice of Tamar.\" Excerpts from her novels and her columns from The Jerusalem Post.", "topic": "Top/Arts/Literature/Authors/R/Ragen,_Naomi", "url": "http://www.naomiragen.com/"} +{"d:Title": "Hygieia, of Perspective", "d:Description": "Poetry by Carl Rakosi.", "topic": "Top/Arts/Literature/Authors/R/Rakosi,_Carl", "url": "http://www.thing.net/~grist/l&d/lrakosi.htm"} +{"d:Title": "Jacket # 1: Carl Rakosi: The Citizen (1996)", "d:Description": "Poem by Carl Rakosi. Photo of Rakosi with K. Tranter.", "topic": "Top/Arts/Literature/Authors/R/Rakosi,_Carl", "url": "http://www.jacketmagazine.com/01/rakosi01.html"} +{"d:Title": "Calley Moore", "d:Description": "Author's personal site, featuring a biography and book descriptions and reviews.", "topic": "Top/Arts/Literature/Authors/R/Ramagos,_Tonya", "url": "http://www.tonyaramagos.com/"} +{"d:Title": "Allreaders: Tonya Ramagos", "d:Description": "Reviews and message board.", "topic": "Top/Arts/Literature/Authors/R/Ramagos,_Tonya", "url": "http://allreaders.com/books/tonya-ramagos-667"} +{"d:Title": "Allreaders: Calley Moore", "d:Description": "Reviews of several novels, including analyses of plot, setting and characters.", "topic": "Top/Arts/Literature/Authors/R/Ramagos,_Tonya", "url": "http://allreaders.com/books/calley-moore-580"} +{"d:Title": "Raney, Mark", "d:Description": "Selections about commercial fishing and the sea as well as featured art from several local artisans.", "topic": "Top/Arts/Literature/Authors/R/Raney,_Mark", "url": "http://markraney.com/"} +{"d:Title": "the Way of iT", "d:Description": "An account of a visit made by two men, to the borough of Brentford.", "topic": "Top/Arts/Literature/Authors/R/Rankin,_Robert", "url": "http://totl.net/Brentford/"} +{"d:Title": "Robert Rankin", "d:Description": "A complete list of the books along with their covers, their abstracts, and the 'official' biographies contained in them.", "topic": "Top/Arts/Literature/Authors/R/Rankin,_Robert", "url": "http://www.rumil.de/rankin/"} +{"d:Title": "SFRAQ: alt.books.robert-rankin", "d:Description": "A list of still fairly rarely asked questions from the newsgroup.", "topic": "Top/Arts/Literature/Authors/R/Rankin,_Robert", "url": "http://www.rumil.de/rankin/abr-r_sfraq.html"} +{"d:Title": "Sproutlore", "d:Description": "The official fan club with a biography, book reviews, news, and sprout based merchandise.", "topic": "Top/Arts/Literature/Authors/R/Rankin,_Robert", "url": "http://www.sproutlore.com/"} +{"d:Title": "John Crowe Ransom", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a short biography and selected poems.", "topic": "Top/Arts/Literature/Authors/R/Ransom,_John_Crowe", "url": "https://www.poets.org/poetsorg/poet/john-crowe-ransom"} +{"d:Title": "The Arthur Ransome Club", "d:Description": "Founded 1987 in Japan as the world's first Arthur Ransome club.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.asahi-net.or.jp/~vn2s-sno/arce.html"} +{"d:Title": "TarBoard", "d:Description": "Forum for discussions on Arthur Ransome and 'Swallows and Amazons' related topics.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.tarboard.net/"} +{"d:Title": "Great Northern?: Articles and Essays", "d:Description": "A series of detailed articles about Arthur Ransome and his works, including book reviews, the geography of 'Swallows and Amazons' and Ransome's political views.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.allthingsransome.blogspot.com/"} +{"d:Title": "Arthur Ransome Wiki", "d:Description": "A public wiki devoted to Arthur Ransome, his life and his literary works.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://arthur-ransome.wikia.com/"} +{"d:Title": "Arthur Ransome Trust", "d:Description": "A UK-based charity whose aims are to increase the study, appreciation and knowledge of the life and works of Arthur Ransome, including the creation of a Ransome Centre in southern Lakeland.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.arthur-ransome-trust.org.uk/"} +{"d:Title": "Sailing Swallow", "d:Description": "Organisation, run by volunteers, that owns the sailing dinghy 'Swallow' - used in the 1974 \"Swallows&Amazons\" film - with the aim of maintaining her, and letting people sail in her, at events all over the UK.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.sailransome.org/"} +{"d:Title": "Sophie Neville", "d:Description": "Official website of the actress who played the part of Titty in the 1974 film of 'Swallows and Amazons'; detailed reminiscences and behind-the-scenes photos from the filming.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://sophieneville.net/"} +{"d:Title": "All Things Ransome", "d:Description": "Repository of Arthur Ransome materials including articles, reviews, background information and sources.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.allthingsransome.net/"} +{"d:Title": "John Sergeant on Arthur Ransome", "d:Description": "BBC Radio 4's 2003 biographical series: Political correspondent john Sergeant on the life of Arthur Ransome (audio).", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.bbc.co.uk/radio4/history/greatlives/sergeant_ransome.shtml"} +{"d:Title": "Arthur Ransome: journalist, author, spy", "d:Description": "Photographs of Arthur Ransome and a selection of his original articles - mainly about the Russian revolution in the early 1900s - taken from the archives of The Manchester Guardian newspaper.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.theguardian.com/books/gallery/2009/aug/13/biography-russia?picture=351549703"} +{"d:Title": "Guardian Educational Resources: Arthur Ransome", "d:Description": "Background info and links to articles written by Arthur Ransome for The Manchester Guardian", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.theguardian.com/gnmeducationcentre/archive-educational-resource-may-2012"} +{"d:Title": "The Arthur Ransome Society", "d:Description": "A society formed to celebrate and promote Arthur Ransome's life, works and spirit of adventure.", "topic": "Top/Arts/Literature/Authors/R/Ransome,_Arthur", "url": "http://www.arthur-ransome.org.uk/"} +{"d:Title": "Barbara Ras", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/R/Ras,_Barbara", "url": "https://www.poets.org/poetsorg/poet/barbara-ras"} +{"d:Title": "University of Florida: Marjorie Kinnan Rawlings", "d:Description": "Listing of the collection of correspondence and manuscripts, some with summaries.", "topic": "Top/Arts/Literature/Authors/R/Rawlings,_Marjorie_Kinnan", "url": "http://web.uflib.ufl.edu/spec/manuscript/Rawling/Rawtitle.htm"} +{"d:Title": "NY Times: Mrs. Rawlings, 57, Novelist, Is Dead", "d:Description": "Obituary.", "topic": "Top/Arts/Literature/Authors/R/Rawlings,_Marjorie_Kinnan", "url": "http://www.nytimes.com/learning/general/onthisday/bday/0808.html"} +{"d:Title": "White Lies", "d:Description": "The complete book free of charge in HTML eBook format.", "topic": "Top/Arts/Literature/Authors/R/Reade,_Charles", "url": "http://www.ebooks3.com/ebooks/white_lies.html"} +{"d:Title": "Lisa Reardon", "d:Description": "Official site includes biography, publications, and pictures.", "topic": "Top/Arts/Literature/Authors/R/Reardon,_Lisa", "url": "http://www.lisareardon.com/"} +{"d:Title": "John Rechy", "d:Description": "The life and work of award-winning author John Rechy.", "topic": "Top/Arts/Literature/Authors/R/Rechy,_John", "url": "http://www.johnrechy.com/"} +{"d:Title": "Poetry of Henry Reed", "d:Description": "Information and criticism on the author of \"Naming of Parts\".", "topic": "Top/Arts/Literature/Authors/R/Reed,_Henry", "url": "http://www.solearabiantree.net/namingofparts/"} +{"d:Title": "The Ishmael Reed Papers", "d:Description": "Archival notes for the author's papers at the University of Delaware Library's Special Collections Department. Includes biographical, scope, and contents notes.", "topic": "Top/Arts/Literature/Authors/R/Reed,_Ishmael", "url": "http://www.lib.udel.edu/ud/spec/findaids/reed/"} +{"d:Title": "Interview by Reginald Martin", "d:Description": "Interview with the author, July 1-7, 1983. Also includes biographical notes and a primary bibliography of major works.", "topic": "Top/Arts/Literature/Authors/R/Reed,_Ishmael", "url": "http://www.aalbc.com/authors/ishmael.htm"} +{"d:Title": "Ishmael Reed by Spring", "d:Description": "Includes descriptions of the author's books and poetry, along with a biography and bibliography. Also provides links, quotes, and a QuickTime video clip [plugin required].", "topic": "Top/Arts/Literature/Authors/R/Reed,_Ishmael", "url": "http://www.math.buffalo.edu/~sww/reed/reed_ishmael0.html"} +{"d:Title": "Philip Reed", "d:Description": "Official site with bibliography, biography, reviews, and list of appearances.", "topic": "Top/Arts/Literature/Authors/R/Reed,_Philip", "url": "http://www.philip-reed.com/"} +{"d:Title": "Bird Dog", "d:Description": "Review of the author's first novel.", "topic": "Top/Arts/Literature/Authors/R/Reed,_Philip", "url": "http://www.twbooks.co.uk/reviews/birddogrev.html"} +{"d:Title": "Reid, Elwood", "d:Description": "\"Elwood Reid talks with Ronald Sklar of the road that led him from the gridiron to the bookstores.\"", "topic": "Top/Arts/Literature/Authors/R/Reid,_Elwood", "url": "http://www.popentertainment.com/reid.htm"} +{"d:Title": "remarque.org: Erich Maria Remarque", "d:Description": "Short biographical profile of the novelist.", "topic": "Top/Arts/Literature/Authors/R/Remarque,_Erich_Maria", "url": "http://remarque.org/about_remarque.html"} +{"d:Title": "Spartacus Educational: Erich Maria Remarque", "d:Description": "Brief biographical background.", "topic": "Top/Arts/Literature/Authors/R/Remarque,_Erich_Maria", "url": "http://spartacus-educational.com/FWWremarque.htm"} +{"d:Title": "Ruth Rendell", "d:Description": "Brief biography, bibliography, and book synopses and reviews.", "topic": "Top/Arts/Literature/Authors/R/Rendell,_Ruth", "url": "http://www.twbooks.co.uk/authors/rendell.html"} +{"d:Title": "Ruth Rendell", "d:Description": "Details of works, life and links to other authors. [Dutch and English]", "topic": "Top/Arts/Literature/Authors/R/Rendell,_Ruth", "url": "http://www.inejacet.nl/RuthRendellenglish/start.html"} +{"d:Title": "Demons In Her View", "d:Description": "A guide to her novels, short stories, and works as Barbara Vine, as well as interviews and news.", "topic": "Top/Arts/Literature/Authors/R/Rendell,_Ruth", "url": "http://www.gusworld.com.au/books/rendell/default.htm"} +{"d:Title": "Ruth Rendell", "d:Description": "Brief reviews of three Rendell novels: Adam and Eve and Pinch Me, Talking to Strange Men, and The Babes in the Wood.", "topic": "Top/Arts/Literature/Authors/R/Rendell,_Ruth", "url": "http://dannyreviews.com/a/Ruth_Rendell.html"} +{"d:Title": "Allreaders Ruth Rendell Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books. Sign up to be a Rendell scholar on the site.", "topic": "Top/Arts/Literature/Authors/R/Rendell,_Ruth", "url": "http://allreaders.com/books/ruth-rendell-127"} +{"d:Title": "An untitled poem", "d:Description": "By Ariwara no Narihira.", "topic": "Top/Arts/Literature/Authors/R/Rexroth,_Kenneth/Works/Translations", "url": "http://wiretap.area.com/Gopher/Library/Classic/Poetry/always.p"} +{"d:Title": "The Official Anne Rice Site", "d:Description": "The official web site for author Anne Rice and her literary, personal, and business ventures. The latest scoop on Anne's activities, including tours of New Orleans, The Coven Ball, new novels and booktours, and fan services.", "priority": "1", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne", "url": "http://www.annerice.com/"} +{"d:Title": "Profile: Anne Rice", "d:Description": "January Magazine interview from 1998", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne", "url": "http://www.januarymagazine.com/rice.html"} +{"d:Title": "Adaption Papers", "d:Description": "An article about the adaptation of Anne Rice's \"The Witching Hour\" into other mediums.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne", "url": "http://www.tabula-rasa.info/Horror/WitchingHour.html"} +{"d:Title": "Bookworm's Lair: Anne Rice", "d:Description": "Complete bibliography and reviews of selected novels. Available in English and German.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne", "url": "http://www.bookwormslair.de/rice_e.htm"} +{"d:Title": "Night Island Vampire Index", "d:Description": "This fan site features focuses on ideas for casting movies of Rice's books.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne", "url": "http://invinoveritas.tripod.com/NightIsland2.html"} +{"d:Title": "Random House: Anne Rice", "d:Description": "Biographical overview, bibliography, information on New Orleans tours, links.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne", "url": "http://knopfdoubleday.com/annerice/"} +{"d:Title": "Vampire Kitty", "d:Description": "Featuring the films Interview with the Vampire and Queen of the Damned. Lots of info on Mrs. Rice and on her novels.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters", "url": "http://www.angelfire.com/goth/tvc/"} +{"d:Title": "The Vampire Coven - Home of Anne Rice's Vampires", "d:Description": "A fanlisting of Anne Rice's vampires.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters", "url": "http://coven.thedarkheaven.com/"} +{"d:Title": "Claudia: Sweet Daughter Death", "d:Description": "The home of the immortal child, Claudia de Lioncourt, including her story and some photos.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters/Claudia", "url": "http://www.angelfire.com/me/claudiarose/"} +{"d:Title": "Mad Molloy", "d:Description": "Daniel Molloy, the vampire Armand's fledgling.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters/Daniel", "url": "http://www.angelfire.com/rebellion/madmolloy/INDEX.htm"} +{"d:Title": "The Witching Hour", "d:Description": "Anne Rice fan art dedicated to the lives of The Mayfair Witches including plot and character synopsis from The Witching Hour, Lasher and Taltos.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters/Illustrations", "url": "http://talamasca.msjekyll.com/index.html"} +{"d:Title": "ANKH : Alliance for Khayman", "d:Description": "This site is for Khayman, it contains artwork, history, polls, and other vampire's views.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters/Khayman", "url": "http://tbns.net/ankh/index.html"} +{"d:Title": "The Vampire Lestat", "d:Description": "Homepage of the vampire Lestat. Latest news about the movie Queen of the Damned.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters/Lestat", "url": "http://www.thevampirelestat.net/"} +{"d:Title": "The First Brood", "d:Description": "Vampire Chronicles role playing game.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters/Role-playing_Groups", "url": "http://www.groups.yahoo.com/group/firstbrood-"} +{"d:Title": "Porcelain Night Stalkers", "d:Description": "Vampire roleplaying site.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Characters/Role-playing_Groups", "url": "http://xylonvaranese.proboards.com/"} +{"d:Title": "Croatoan Fanfic - Where has Anne Rice Fanfiction Gone?", "d:Description": "Article about Anne Rice's policy towards fan fiction.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Fan_Fiction", "url": "http://www.angelfire.com/rant/croatoan/"} +{"d:Title": "All Rabbit's Friends and Relations", "d:Description": "Ricean Links. Webrings and Personal pages.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Fan_Fiction", "url": "http://www.angelfire.com/ri/cerat/links.html"} +{"d:Title": "The Coven of Anne Rice", "d:Description": "A brief biography, books, 'rules of the vampires', pen-pal details, movie news, message board and some links.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Web_Rings", "url": "http://www.angelfire.com/vamp/rice/"} +{"d:Title": "Armand's Ring of Passion", "d:Description": "List of Ricean Vampire sites, or vampire sites in general.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Web_Rings", "url": "http://www.webring.org/hub?ring=esperanto"} +{"d:Title": "The Coven of the Articulate", "d:Description": "List of sites in the ring with brief descriptions.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Web_Rings", "url": "http://www.webring.org/hub?ring=sistinas"} +{"d:Title": "AngelClaud's Coven Webring", "d:Description": "Anne Rice related vampire sites. Promises no broken links.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Web_Rings", "url": "http://www.webring.org/hub?ring=angelclaud"} +{"d:Title": "WebRing: Anne Rice's Vampires", "d:Description": "A directory designed for sites which deal with Anne Rice, Interview With The Vampire, The Mayfair Witches, or New Orleans.", "topic": "Top/Arts/Literature/Authors/R/Rice,_Anne/Web_Rings", "url": "http://www.webring.org/hub?ring=vannev"} +{"d:Title": "Adrienne Rich: Biography and Poems", "d:Description": "Detailed biography from Encyclopedia of American Poetry, selection of poems, publications and links to other poets.", "topic": "Top/Arts/Literature/Authors/R/Rich,_Adrienne", "url": "http://www.americanpoems.com/poets/adrienne_rich"} +{"d:Title": "Akoot.com Famous Writers: Adrienne Rich", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/R/Rich,_Adrienne", "url": "http://www.akoot.com/adriennerich.html"} +{"d:Title": "Adrienne Rich", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography of Rich as well as a selection of poems and links to further resources on the internet.", "topic": "Top/Arts/Literature/Authors/R/Rich,_Adrienne", "url": "https://www.poets.org/poetsorg/poet/adrienne-rich"} +{"d:Title": "Allreaders Maxwell Richards Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Richards scholar on the site.", "topic": "Top/Arts/Literature/Authors/R/Richards,_Maxwell", "url": "http://allreaders.com/books/maxwell-j-richards-144"} +{"d:Title": "Michael Ridpath", "d:Description": "The author's \"official\" website--includes news and information on his latest works, biographical data, an online short story, and other material.", "topic": "Top/Arts/Literature/Authors/R/Ridpath,_Michael", "url": "http://www.michaelridpath.com/"} +{"d:Title": "The Appeal of James Whitcomb Riley", "d:Description": "Online exhibit from Indiana University. Includes original manuscripts of poetry, first editions of printed works, photographs, correspondence, memorabilia, art work, music, and birthday greetings from admirers.", "topic": "Top/Arts/Literature/Authors/R/Riley,_James_Whitcomb", "url": "http://www.indiana.edu/~liblilly/riley/exhibit.htm"} +{"d:Title": "James Whitcomb Riley - Poems and Biography", "d:Description": "Short biography and a selection of his poems, including \"Little Orphant Annie\" and comments on the poems.", "topic": "Top/Arts/Literature/Authors/R/Riley,_James_Whitcomb", "url": "http://www.americanpoems.com/poets/James-Whitcomb-Riley"} +{"d:Title": "An Interview with Peter Riley", "d:Description": "By Keith Tuma in Jacket # 11.", "topic": "Top/Arts/Literature/Authors/R/Riley,_Peter", "url": "http://jacketmagazine.com/11/riley-iv-by-tuma.html"} +{"d:Title": "The Cry! Existentialism: Rainer Maria Rilke", "d:Description": "Rilke seen as an existentialist. Biography, online texts, pictures, reviews.", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria", "url": "http://www.thecry.com/existentialism/rilke/index.html"} +{"d:Title": "Reading Rilke - William H. Gass", "d:Description": "A review, and links to other information about and reviews of Reading Rilke by William H. Gass.", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria", "url": "http://www.complete-review.com/reviews/gasswh/readingr.htm"} +{"d:Title": "The Sonnets to Orpheus by Rainer Maria Rilke", "d:Description": "Translated by Robert Hunter.", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria/Works", "url": "http://www.hunterarchive.com/files/Poetry/SonnetsToOrpheus.html"} +{"d:Title": "The Poetry of Rainer Maria Rilke", "d:Description": "English translations by Cliff Crego, a biography of the author and links to other sites.", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria/Works", "url": "http://picture-poems.com/rilke/"} +{"d:Title": "Duino Elegies", "d:Description": "Information on the translation by David Oswald. Links to an introduction and excerpt.", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria/Works/Duino_Elegies", "url": "http://www.daimon.ch/Rilke4.htm"} +{"d:Title": "Rainer Maria Rilke: Duino Elegies", "d:Description": "Excerpts from John Waterfield's translation (elegies 1, 4 and 10).", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria/Works/Duino_Elegies", "url": "http://www.jbeilharz.de/poetas/rilke/"} +{"d:Title": "The Duino Elegies by Rainer Maria Rilke", "d:Description": "Translated by Robert Hunter, with blockprints by Maureen Hunter.", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria/Works/Duino_Elegies", "url": "http://www.hunterarchive.com/files/Poetry/Elegies/Duino_Elegies.html"} +{"d:Title": "Duino Elegies", "d:Description": "Texts and downloadable translations from the A. S. Kline's Online Archive.", "topic": "Top/Arts/Literature/Authors/R/Rilke,_Rainer_Maria/Works/Duino_Elegies", "url": "http://www.poetryintranslation.com/PITBR/German/Rilke.htm"} +{"d:Title": "Arthur Rimbaud : Biography and Poetry", "d:Description": "The life and poetry of the French poet. His relationship with Paul Verlaine. News and art around the poet, photos galleries, documents, letters, places, lexicon, trombinoscope, forum and internal search engine. Bilingual website in French and English.", "topic": "Top/Arts/Literature/Authors/R/Rimbaud,_Arthur", "url": "http://www.mag4.net/Rimbaud/"} +{"d:Title": "Stunning Arthur", "d:Description": "Rimbaud's biography and the similarities in his life with Bob Marley. In French and English.", "topic": "Top/Arts/Literature/Authors/R/Rimbaud,_Arthur", "url": "http://arthur.le.fulgur.chez-alice.fr/"} +{"d:Title": "Astrocartography of Arthur Rimbaud", "d:Description": "Short biography of Arthur Rimbaud, with a focus on how the planetary metaphors of Venus and Pluto were reflected in his life and work.", "topic": "Top/Arts/Literature/Authors/R/Rimbaud,_Arthur", "url": "http://www.dominantstar.com/b_rim.htm"} +{"d:Title": "Laurie's Brief Overview of Arthur Rimbaud", "d:Description": "Some poems (The Drunken Boat, Eternity) and \"seer\" letters (Paul Demeny, Georges Isambard) available. Brief overview and short analysis from multiple sources.", "topic": "Top/Arts/Literature/Authors/R/Rimbaud,_Arthur", "url": "http://www.angelfire.com/ca4/hippie2001/rimbaud.html"} +{"d:Title": "Five poems by Arthur Rimbaud", "d:Description": "Poems by Rimbaud in French with English translations, from the well-known edition by Wallace Fowlie.", "topic": "Top/Arts/Literature/Authors/R/Rimbaud,_Arthur", "url": "http://www.press.uchicago.edu/Misc/Chicago/719774.html"} +{"d:Title": "The Drunken Boat", "d:Description": "The life and poetry of Arthur Rimbaud.", "topic": "Top/Arts/Literature/Authors/R/Rimbaud,_Arthur", "url": "http://roadside6.tripod.com/index.html"} +{"d:Title": "eBook Cube", "d:Description": "The complete book The Breaking Point by Mary Roberts Rinehart online free of charge. Includes author information.", "topic": "Top/Arts/Literature/Authors/R/Rinehart,_Mary_Roberts", "url": "http://www.ebooks3.com/ebooks/the_breaking_point.html"} +{"d:Title": "Arlington National Cemetery: Mary Roberts Rinehart", "d:Description": "Picture of Rinehart's gravestone.", "topic": "Top/Arts/Literature/Authors/R/Rinehart,_Mary_Roberts", "url": "http://www.arlingtoncemetery.net/mrine.htm"} +{"d:Title": "Mary Roberts Rinehart", "d:Description": "List of publications with reviews.", "topic": "Top/Arts/Literature/Authors/R/Rinehart,_Mary_Roberts", "url": "http://mikegrost.com/rinehart.htm"} +{"d:Title": "Alberto Rios", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/R/Rios,_Alberto", "url": "https://www.poets.org/poetsorg/poet/alberto-r%C3%ADos"} +{"d:Title": "Book Review: Late Into the Night", "d:Description": "By Minas Savvas in Journal of Modern Greek Studies 15:2.", "topic": "Top/Arts/Literature/Authors/R/Ritsos,_Yannis", "url": "http://muse.jhu.edu/journals/journal_of_modern_greek_studies/v015TL/15.2br_ritsos.html"} +{"d:Title": "Yannis Ritsos: Repetitions, Testimonies, Parentheses", "d:Description": "Translated by E. L. Keeley. Brief presentation of the poetry collection.", "topic": "Top/Arts/Literature/Authors/R/Ritsos,_Yannis", "url": "http://press.princeton.edu/titles/4765.html"} +{"d:Title": "Yannis Ritsos: The Fourth Dimension", "d:Description": "Translated by Peter Green and Beverly Bardsley. Short presentation of the book and excerpt.", "topic": "Top/Arts/Literature/Authors/R/Ritsos,_Yannis", "url": "http://press.princeton.edu/titles/5187.html"} +{"d:Title": "David Rivard", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/R/Rivard,_David", "url": "https://www.poets.org/poetsorg/poet/david-rivard"} +{"d:Title": "Allreaders Karen Robards Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her best books, and links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Authors/R/Robards,_Karen", "url": "http://allreaders.com/books/karen-robards-872"} +{"d:Title": "January Magazine Interview with Tom Robbins", "d:Description": "Transcript of interview by Linda L. Richards.", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://januarymagazine.com/profiles/robbins.html"} +{"d:Title": "Tom Robbins Quotes", "d:Description": "User submitted quotations.", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://thinkexist.com/quotes/tom_robbins/"} +{"d:Title": "YouTube : Tom Robbins about the Indian Mystic Osho", "d:Description": "Interview regarding Osho meditation. Rare video where Tom is not wearing sunglasses.", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://www.youtube.com/watch?v=Gq7IUM4lCrs"} +{"d:Title": "Soul According to Tom Robbins", "d:Description": "October 1993 essay, \"You gotta have Soul.\"", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://samadhisoft.com/2008/08/19/soul-according-to-tom-robbins/"} +{"d:Title": "Ecco To Publish Tom Robbins' New Novel 'B' Is for Beer", "d:Description": "The New York Observer's article about Ecco publishing Tom Robbins' new novel, \"B is for Beer\"", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://observer.com/2008/01/ecco-to-publish-tom-robbins-new-novel-ib-is-for-beeri-this-fall/"} +{"d:Title": "Salon People: Tom Robbins", "d:Description": "Article by Tracy Johnson.", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://www.salon.com/2000/03/09/robbins_2/"} +{"d:Title": "Fantastic Fiction", "d:Description": "A bibliography of Tom Robbins' novels, with the latest releases, cover images, descriptions and availability. Offers suggestions on similar books by other authors.", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "https://www.fantasticfiction.com/r/tom-robbins/"} +{"d:Title": "Tom Robbins On His Back", "d:Description": "Article from the Seattle P-I.", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://blog.seattlepi.com/art/2007/06/06/tom-robbins-on-his-back/"} +{"d:Title": "Gracie goes to schooner school", "d:Description": "Article for the Seattle P-I's Writer in Residence. Includes a photo of Robbins, a link to a video, and the article.", "topic": "Top/Arts/Literature/Authors/R/Robbins,_Tom", "url": "http://www.seattlepi.com/ae/books/article/Gracie-goes-to-schooner-school-1238432.php"} +{"d:Title": "Luis J. Rodriguez", "d:Description": "Official site: biography, bibliography, and activities.", "topic": "Top/Arts/Literature/Authors/R/Rodriguez,_Luis_J.", "url": "http://www.luisjrodriguez.com/"} +{"d:Title": "Theodore Roethke", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a short biography, selected poems (with a single audio recording), and links to further resources at the Academy's website and elsewhere on the internet.", "topic": "Top/Arts/Literature/Authors/R/Roethke,_Theodore", "url": "https://www.poets.org/poetsorg/poet/theodore-roethke"} +{"d:Title": "Pattiann Rogers", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography and selected poems.", "topic": "Top/Arts/Literature/Authors/R/Rogers,_Pattiann", "url": "https://www.poets.org/poetsorg/poet/pattiann-rogers"} +{"d:Title": "Fu Manchu", "d:Description": "Chronology of Dr. Fu Manchu and Sir Denis Nayland Smith.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://www.pjfarmer.com/woldnewton/Fumanchu.htm"} +{"d:Title": "Wikipedia: Sax Rohmer", "d:Description": "Encyclopedic entry with brief biography. Includes bibliography of selected works.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://en.wikipedia.org/wiki/Sax_Rohmer"} +{"d:Title": "Wikipedia: Fu Manchu", "d:Description": "Encyclopedic entry for Fu Manchu stories. Includes character listings, series bibliography, and discussion of cultural impact.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://en.wikipedia.org/wiki/Fu_Manchu"} +{"d:Title": "The Page of Fu Manch", "d:Description": "An effort by scholars and readers to create a definitive Sax Rohmer bibliography, reference and archive. Edited by Dr. Lawrence Knapp, English Professor at Essex County College and Thomas Edison State College.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://www.njedge.net/~knapp/FuFrames.htm"} +{"d:Title": "Rohmer, Sax, 1883-1959", "d:Description": "Project Gutenberg listing of public domain stories by Sax Rohmer.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://www.gutenberg.org/browse/authors/r#a110"} +{"d:Title": "Film: The Mask of Fu Manchu (1932)", "d:Description": "Internet Movie Database: Directed by Charles Brabin with Boris Karloff. Includes photos, cast, crew, plot summary, comments and discussion.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://www.imdb.com/title/tt0023194/"} +{"d:Title": "Doctor Fu Manchu", "d:Description": "Discussion group for Fu Manchu on Yahoo.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://groups.yahoo.com/group/doctorfumanchu/"} +{"d:Title": "Film: The Mysterious Dr. Fu Manchu (1929)", "d:Description": "Internet Movie Database: With Warner Oland as Dr. Fu Manchu, Neil Hamilton, and Jean Arthur.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://www.imdb.com/title/tt0020197/"} +{"d:Title": "Seti Says", "d:Description": "Blog by William Patrick Maynard, author of \"The Terror of Fu Manchu.\" Includes discussion of various Fu Manchu stories.", "topic": "Top/Arts/Literature/Authors/R/Rohmer,_Sax", "url": "http://setisays.blogspot.com/"} +{"d:Title": "Biographical - Critical Essay on Romain Rolland", "d:Description": "Critical essay by Sven S\u00f6derman on Romain Rolland, winner of Nobel Prize in Literature 1915.", "topic": "Top/Arts/Literature/Authors/R/Rolland,_Romain", "url": "http://nobelprize.org/nobel_prizes/literature/laureates/1915/present.html"} +{"d:Title": "The Oasis of Futurity", "d:Description": "Pages devoted to the turn of the century author.", "topic": "Top/Arts/Literature/Authors/R/Ros,_Amanda_McKittrick", "url": "http://oddbooks.co.uk/amanda/"} +{"d:Title": "Allreaders M.J. Rose Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books. Sign up to be a MJ Rose scholar on the site.", "topic": "Top/Arts/Literature/Authors/R/Rose,_M._J.", "url": "http://allreaders.com/books/mj-rose-787"} +{"d:Title": "Christina Rossetti", "d:Description": "Portrait, photograph of her tomb in the family plot at Highgate Cemetery, London, brief biography, and interactive visitor comments.", "topic": "Top/Arts/Literature/Authors/R/Rossetti,_Christina", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2753"} +{"d:Title": "Almost Paradise Collection", "d:Description": "Selection of poems released in December 2003. Editor's comments with contents, links, extracts, editing details and brief biography.", "topic": "Top/Arts/Literature/Authors/R/Rossetti,_Christina", "url": "http://christinarossetti.co.uk/"} +{"d:Title": "Rossetti, Christina", "d:Description": "Romantic poems and biography.", "topic": "Top/Arts/Literature/Authors/R/Rossetti,_Christina", "url": "http://users.telenet.be/gaston.d.haese/rossetti.html"} +{"d:Title": "Victorian Web: Christina Rossetti", "d:Description": "An online overview of the author and her work, links to historical and critical studies, as well as a selection of texts including, with original illustrations, the complete \"Goblin Market.\"", "topic": "Top/Arts/Literature/Authors/R/Rossetti,_Christina", "url": "http://www.victorianweb.org/authors/crossetti/index.html"} +{"d:Title": "Goblin Market", "d:Description": "Poem.", "topic": "Top/Arts/Literature/Authors/R/Rossetti,_Christina", "url": "http://plexipages.com/reflections/goblin.html"} +{"d:Title": "UFO Roundup Lecturers: Mark Antony Rossi", "d:Description": "Includes a brief biography and contact information.", "topic": "Top/Arts/Literature/Authors/R/Rossi,_Mark_Antony", "url": "http://www.ufoinfo.com/lecturers/rossi.shtml"} +{"d:Title": "Newark Review", "d:Description": "Essay about producing plays about nonwhite characters.", "topic": "Top/Arts/Literature/Authors/R/Rossi,_Mark_Antony", "url": "http://www-ec.njit.edu/~newrev/v2s7/rossi.html"} +{"d:Title": "The Poetic Conscience Series", "d:Description": "Six essays on poetry.", "topic": "Top/Arts/Literature/Authors/R/Rossi,_Mark_Antony", "url": "http://www.nzscribble.net/rrossi.html"} +{"d:Title": "Selected Poems (Scribble)", "d:Description": "Includes several poems.", "topic": "Top/Arts/Literature/Authors/R/Rossi,_Mark_Antony", "url": "http://www.nzscribble.net/newmark.html"} +{"d:Title": "Selected Writings Archives", "d:Description": "Includes poetry, prose, book reviews and plays.", "topic": "Top/Arts/Literature/Authors/R/Rossi,_Mark_Antony", "url": "http://wildcards2.tripod.com/index-2.html"} +{"d:Title": "Literary Imagination - Jacques Roubaud\u2019s \u201cSonnetomania\u201d", "d:Description": "Ann Smock discussing the disorder that caused the author to read several thousand sonnets in the Literary Imagination.", "topic": "Top/Arts/Literature/Authors/R/Roubaud,_Jacques", "url": "http://litimag.oxfordjournals.org/content/early/2010/10/06/litimag.imq031.extract"} +{"d:Title": "Olivier Roller - Jacques Roubaud", "d:Description": "Portrait series of the French poet and author by Olivier Roller.", "topic": "Top/Arts/Literature/Authors/R/Roubaud,_Jacques", "url": "http://olivier.roller.free.fr/roubaud-jacques.html"} +{"d:Title": "The Brooklyn Rail - The Loop", "d:Description": "An Excerpt from Jacques Roubaud's forthcoming novel \"The Loop\" translated from the French by Jeff Fort.", "topic": "Top/Arts/Literature/Authors/R/Roubaud,_Jacques", "url": "http://www.brooklynrail.org/2009/03/fiction/an-excerpt-from-jacques-roubauds-forthcoming-novel-the-loop"} +{"d:Title": "Among the Blacks by Raymond Roussel&Ron Padgett", "d:Description": "Brief description and reviewers' comments.", "topic": "Top/Arts/Literature/Authors/R/Roussel,_Raymond", "url": "http://www.ratical.org/AvenueB/AmongTheBlacks.html"} +{"d:Title": "Princess of Prose [India Today]", "d:Description": "Cover feature on Roy from India Today", "topic": "Top/Arts/Literature/Authors/R/Roy,_Arundhati", "url": "http://www.india-today.com/itoday/27101997/cov.html"} +{"d:Title": "\"The God of Small Things\" Study Guide", "d:Description": "Study guide on Roy's first novel", "topic": "Top/Arts/Literature/Authors/R/Roy,_Arundhati", "url": "http://public.wsu.edu/~brians/anglophone/roy.html"} +{"d:Title": "Author Matt Ruff", "d:Description": "The author Matt Ruff\u2019s official website. Contains profile, book details and blog.", "topic": "Top/Arts/Literature/Authors/R/Ruff,_Matt", "url": "http://www.bymattruff.com/"} +{"d:Title": "Muriel Rukeyser", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography and selected poems.", "topic": "Top/Arts/Literature/Authors/R/Rukeyser,_Muriel", "url": "https://www.poets.org/poetsorg/poet/muriel-rukeyser"} +{"d:Title": "The Few, the Proud, the Work of Juan Rulfo", "d:Description": "Brief biography of Mexican novelist Juan Rulfo (1917-1986).", "topic": "Top/Arts/Literature/Authors/R/Rulfo,_Juan", "url": "http://www.mexconnect.com/mex_/history/jtuck/jtjuanrolfo.html"} +{"d:Title": "Juan Rulfo and his novel, Pedro P\u00e1ramo", "d:Description": "Brief biography and a summary of Rulfo's novel \"Pedro P\u00e1ramo.\"", "topic": "Top/Arts/Literature/Authors/R/Rulfo,_Juan", "url": "http://www.lclark.edu/~woodrich/SPAN230/seligmanparamo.html"} +{"d:Title": "Rumi Poetry", "d:Description": "A small site, including some poems by the Sufi master and a brief biography.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://www.indranet.com/potpourri/poetry/rumi/rumi.html"} +{"d:Title": "Rumi Network", "d:Description": "\"Based on the work of award-winning Rumi translator and performer Shahram Shiva and his long time association with the poet.\"", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://www.rumi.net/"} +{"d:Title": "Mevlana Celaleddin Rumi", "d:Description": "The Anatolian philosopher and the father of the Mevlevi sect. The family tree and explanations of Sema, the ritual dance of Mevlevi's.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://mevlana.net/"} +{"d:Title": "A Tribute to Rumi", "d:Description": "Poetry of Rumi in Persian and English, biography, links, and a picture gallery.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://www.rumionfire.com/"} +{"d:Title": "The Masnavi I Ma'navi", "d:Description": "Abridged and translated by E.H. Whinfield. With concordances and word frequency lists.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://www.intratext.com/X/ENG0134.htm"} +{"d:Title": "Rumi_info (Yahoo! groups)", "d:Description": "Group for discussing a wide variety of Rumi interpretations, share Rumi poems and stay in touch with other Rumi fans.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://groups.yahoo.com/group/rumi_info/"} +{"d:Title": "Rumi, Mevlana Jelaluddin - Love Poems", "d:Description": "Poems, music and books about his poetry are featured.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://www.mikeshane.org/rumi/"} +{"d:Title": "Dar-al-Masnavi", "d:Description": "A study center dedicated to studying Rumi's Masnavi (\"Rhyming Couplets of Deep Spiritual Meaning\"); includes text, translation, a biography of Rumi, and background on translators.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://www.dar-al-masnavi.org/"} +{"d:Title": "Mevlana Jalaluddin Rumi", "d:Description": "Life, work, poetry of Mevlana Jalaluddin Rumi.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://www.rumi.org.uk/"} +{"d:Title": "Mevlana Celaleddin-i Rumi", "d:Description": "Dedicated to the memory of Mevlana Celaleddin Rumi. Includes a brief biography, a description of the Whirling Dervishes and his museum.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://adnantuncel.com/mevlana.html"} +{"d:Title": "Allspirit - Selected Poetry of Rumi", "d:Description": "A selection of poems from Mevlana Jalaluddin Rumi with translations by Coleman Barks, Annemarie Schimmel, Camille and Kabir Helminski, Nader Khalili.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "http://allspirit.co.uk/poetry/poets/rumi-sufi-mystic-poet/"} +{"d:Title": "Fascinating Rise", "d:Description": "Features poetry, books, contact information, guestbook, details of films, music, ringtones and other material related to Rumi and Hafez-a-Shirazi.", "topic": "Top/Arts/Literature/Authors/R/Rumi", "url": "https://rumiair.home.xs4all.nl/index1.html"} +{"d:Title": "Joyce Rupp", "d:Description": "This site provides a descriptions of all of Joyce Rupp's books, tapes and CDs.", "topic": "Top/Arts/Literature/Authors/R/Rupp,_Joyce", "url": "http://joycerupp.com/"} +{"d:Title": "January Magazine: Interview with Salman Rushdie", "d:Description": "Extensive interview with the author by Linda Richards. Accompanied by original photographs.", "topic": "Top/Arts/Literature/Authors/R/Rushdie,_Salman", "url": "http://www.januarymagazine.com/profiles/rushdie2002.html"} +{"d:Title": "The Observer: Torn Apart in the USA", "d:Description": "Review of Fury. By Adam Mars-Jones.", "topic": "Top/Arts/Literature/Authors/R/Rushdie,_Salman", "url": "http://www.theguardian.com/books/2001/aug/26/fiction.salmanrushdie"} +{"d:Title": "Notes on Salman Rushdie: The Satanic Verses", "d:Description": "Study guide including definitions, analysis and pointers to relevant texts and sites.", "topic": "Top/Arts/Literature/Authors/R/Rushdie,_Salman", "url": "http://public.wsu.edu/~brians/anglophone/satanic_verses/"} +{"d:Title": "Douglas Rushkoff", "d:Description": "Official site with news, book information, articles, cover art, biography, tour schedule, and mailing list.", "priority": "1", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas", "url": "http://www.rushkoff.com/"} +{"d:Title": "Edge: The Thing That I Call Doug", "d:Description": "John Brockman's extensive interview with Rushkoff.", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas", "url": "http://www.edge.org/3rd_culture/rushkoff/rushkoff_index.html"} +{"d:Title": "Edge: What is Today's Most Important Unreported Story?", "d:Description": "Short article by Rushkoff subtitled 'America's Descent Into Computer-Aided Unconsciousness And Consumer Fascism'.", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas", "url": "http://www.edge.org/3rd_culture/story/5.html"} +{"d:Title": "A Brand by Any Other Name -- How Marketers Outsmart Our Media-Savvy Children", "d:Description": "Article by Rushkoff: \"The more they interact with brands, the more they brand themselves.\" [Frontline]", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/cool/rushkoff/brand.html"} +{"d:Title": "Spike Magazine: Children of Chaos Interview", "d:Description": "Douglas Rushkoff speaks to Chris Mitchell about making sense of the future.", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas", "url": "http://www.spikemagazine.com/0297rush.php"} +{"d:Title": "Front Wheel Drive: Douglas Rushkoff", "d:Description": "Interview by Roy Christopher. With photograph of Rushkoff.", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas", "url": "http://frontwheeldrive.com/douglas_rushkoff.html"} +{"d:Title": "Austin Chronicle: Doug Rushkoff", "d:Description": "Wide-ranging interview by Jon Lebkowsky.", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas", "url": "http://www.austinchronicle.com/issues/vol18/issue34/screens.rushkoff.html"} +{"d:Title": "Frontline: Douglas Rushkoff - Coercion", "d:Description": "Extract from the 'Advertising' chapter of the book.", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas/Works/Coercion", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/cool/rushkoff/coercion.html"} +{"d:Title": "Mindjack Magazine: Coercion by Douglas Rushkoff", "d:Description": "Complete text of chapter seven: 'Virtual Marketing'.", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas/Works/Coercion", "url": "http://www.mindjack.com/rushkoff/coercion.html"} +{"d:Title": "Andreas.com: FAQ - Coersion", "d:Description": "Criticism of the book: \"do we listen to what \"they\" say? Only when we want to.\"", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas/Works/Coercion", "url": "http://andreas.com/faq-coercion/"} +{"d:Title": "Selena Sol: Douglas Rushkoff - Cyberia", "d:Description": "Review of the book that concludes with the recommendation to \"Get and read ASAP\".", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas/Works/Cyberia", "url": "http://www.selenasol.com/selena/personal/prose/cyberia.book.review.html"} +{"d:Title": "The SF Site: Douglas Rushkoff - Ecstasy Club", "d:Description": "Glen Engel-Cox's review: \"without its references to '90s culture and counter-culture, Ecstasy Club reads like a poor man's Illuminatus! Trilogy.\"", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas/Works/Ecstasy_Club", "url": "http://www.sfsite.com/09a/club16.htm"} +{"d:Title": "The New York Times: Douglas Rushkoff - Ecstasy Club", "d:Description": "J. D. Biersdorfer's review: \"an eerie tale of 20-somethings caught up in an increasingly trippy world of homegrown religion.\"", "topic": "Top/Arts/Literature/Authors/R/Rushkoff,_Douglas/Works/Ecstasy_Club", "url": "http://www.nytimes.com/books/97/06/22/bib/970622.rv113318.html"} +{"d:Title": "Brantwood", "d:Description": "Brantwood was the home of John Ruskin from 1872 until his death in 1900. The house is filled with Ruskin's drawings and watercolours, together with much of his original furniture, books and personal items.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://www.brantwood.org.uk/"} +{"d:Title": "The Friends of Ruskin's Brantwood", "d:Description": "Information about the activities of a group of people who have an interest in the Brantwood house, estate, and Ruskin himself. Newsletter, timetable of events, reports of recent events, and information about joining.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://www.rusmus.f9.co.uk/"} +{"d:Title": "Ruskin's Infatuation with Young Girls", "d:Description": "An alternative Ruskin biography from Lakestay, with emphasis on his enthusiasm for young girls, in particular Effie Gray and Rose La Touche.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://www.lakestay.co.uk/ruskin.htm"} +{"d:Title": "Ruskin on Turner", "d:Description": "A brief biography of the work of Ruskin, plus some extracts from 'The Works of Ruskin', ed. Cook/Wedderburn, of essays by Ruskin on JW Turner.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://art-bin.com/art/oruskincontents.html"} +{"d:Title": "John Ruskin (1819-1900)", "d:Description": "A brief biography of the life of John Ruskin, with particular emphasis on his relationship with, and inspiration to, the Pre-Raphaelite painters from the Arts and Crafts Society.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://arts-crafts.com/archive/jruskin.shtml"} +{"d:Title": "Ruskin, Turner and the Pre-Raphaelites", "d:Description": "Illustrated essay on the major exhibition, held during 2000, at the Tate Gallery in London, on John Ruskin, JMW Turner and the Pre-Raphaelites.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://www.thecityreview.com/ruskin.html"} +{"d:Title": "John Ruskin Resources", "d:Description": "Articles about John Ruskin from various sources collected together for students at the Universitat de Val\u00e8ncia.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://mural.uv.es/jenlit/"} +{"d:Title": "John Ruskin (1819-1900)", "d:Description": "Notes by Bob Speel on the life of the Victorian thinker John Ruskin, with particular emphasis on his influence on the Pre-Raphaelite painters.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://myweb.tiscali.co.uk/speel/otherart/ruskin.htm"} +{"d:Title": "John Ruskin: An Overview", "d:Description": "An overview of the life and work of John Ruskin. From the Victorian Web.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://www.victorianweb.org/authors/ruskin/"} +{"d:Title": "The Ruskin Programme at Lancaster University", "d:Description": "The aim of the programme is to carry out research into the work of John Ruskin and his circle, to publish the results of that research, and to organize and support exhibitions, conferences and colloquia relating to Ruskin and his circle", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://www.lancaster.ac.uk/fass/ruskin/"} +{"d:Title": "Ruskin Library at Lancaster University", "d:Description": "The first stage of a project to secure for posterity and make accessible the foremost collection of works of art on paper (1,486), diaries (29 volumes), letters (around 8000), literary manuscripts and transcripts (300), photographs and daguerreotypes (1835), printed books (over 3,500) and other material relating to Ruskin and his circle.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://www.lancaster.ac.uk/users/ruskinlib/"} +{"d:Title": "John Ruskin (1819-1900)", "d:Description": "A brief biography, from Spartacus Educational, of the life and work of John Ruskin, the leading writer, and second only to Turner as a watercolour painter, of his period.", "topic": "Top/Arts/Literature/Authors/R/Ruskin,_John", "url": "http://spartacus-educational.com/Jruskin.htm"} +{"d:Title": "Collected Poems by A.E.", "d:Description": "Selected and edited by the author, these 173 works epitomize the best of the Irish Renaissance poet.", "topic": "Top/Arts/Literature/Authors/R/Russell,_George_William", "url": "http://www.bartleby.com/253/"} +{"d:Title": "George William Russell - AE", "d:Description": "In memoriam of this poet, social reformer and painter from the Canadian Theosophist.", "topic": "Top/Arts/Literature/Authors/R/Russell,_George_William", "url": "http://www.katinkahesselink.net/his/ae.html"} +{"d:Title": "Project Gutenberg: Russell, George William", "d:Description": "Some of his writings in the public domain.", "topic": "Top/Arts/Literature/Authors/R/Russell,_George_William", "url": "http://www.gutenberg.org/browse/authors/r#a1869"} +{"d:Title": "Author Elizabeth Ruth", "d:Description": "Covers biography, reviews of her novels, publication history,and public reading engagements of Canadian author, Elizabeth Ruth.", "topic": "Top/Arts/Literature/Authors/R/Ruth,_Elizabeth", "url": "http://www.elizabethruth.com/"} +{"d:Title": "Interview: Reactive Writing", "d:Description": "On the process of writing \"253.\"", "topic": "Top/Arts/Literature/Authors/R/Ryman,_Geoff", "url": "http://www.leo.mistral.co.uk/hyper/253.htm"} +{"d:Title": "Kathleen Ann Goonan: Was", "d:Description": "Science fiction author reviews 1992 novel, focusing on its view of childhood.", "topic": "Top/Arts/Literature/Authors/R/Ryman,_Geoff/Reviews", "url": "http://www.goonan.com/was.html"} +{"d:Title": "Spike Magazine: 253", "d:Description": "Chris Mitchell reviews the \"print remix\" of the novel and prefers the online version.", "topic": "Top/Arts/Literature/Authors/R/Ryman,_Geoff/Reviews", "url": "http://www.spikemagazine.com/0398_253.php"} +{"d:Title": "The Observer: He's Got a Ticket to Ride", "d:Description": "Review of '253' by Phil Daoust, with commentary by the author.", "topic": "Top/Arts/Literature/Authors/R/Ryman,_Geoff/Reviews", "url": "http://www.theguardian.com/books/1998/mar/08/news.phildaoust"} +{"d:Title": "Seventeen", "d:Description": "Parody of \"253,\" by and about students in Ryman's writing workshop at Clarion West.", "topic": "Top/Arts/Literature/Authors/R/Ryman,_Geoff/Works/253", "url": "http://www.bentopress.com/clarion/17/why.htm"} +{"d:Title": "Silver, Marisa", "d:Description": "Official site, containing excerpts, reviews, upcoming events, and a biography.", "topic": "Top/Arts/Literature/Authors/S", "url": "http://marisasilver.com/"} +{"d:Title": "Sambrano, k.g.", "d:Description": "The author's personal site, featuring writings, short stories, poetry, and various multi-media and downloads.", "topic": "Top/Arts/Literature/Authors/S", "url": "http://www.kgsambrano.com/"} +{"d:Title": "Sloan, Bob", "d:Description": "Samplings of the Kentucky author's writing and commentary.", "topic": "Top/Arts/Literature/Authors/S", "url": "http://www.bobsloansampler.com/"} +{"d:Title": "Sienkiewicz, Linda K.", "d:Description": "Writer and artist provides biography, artwork and credits.", "topic": "Top/Arts/Literature/Authors/S", "url": "http://lindaksienkiewicz.com/"} +{"d:Title": "The Life and Work of Rafael Sabatini", "d:Description": "Includes a bibliography, articles, and biography.", "topic": "Top/Arts/Literature/Authors/S/Sabatini,_Rafael", "url": "http://www.rafaelsabatini.com/"} +{"d:Title": "IMDb: Rafael Sabatini", "d:Description": "List of movies based on his books.", "topic": "Top/Arts/Literature/Authors/S/Sabatini,_Rafael", "url": "http://www.imdb.com/name/nm0754581/"} +{"d:Title": "Scaramouche", "d:Description": "In HTML, with one page per chapter. At eBooks Cube.", "topic": "Top/Arts/Literature/Authors/S/Sabatini,_Rafael/Works", "url": "http://www.ebooks3.com/ebooks/scaramouche.html"} +{"d:Title": "Autobiography of Nelly Sachs", "d:Description": "Nobel Prize in Literature 1966, includes a link to her acceptance speech.", "topic": "Top/Arts/Literature/Authors/S/Sachs,_Nelly", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1966/sachs-bio.html"} +{"d:Title": "Ruth Sachs Author's Web Site", "d:Description": "Bibliography, biography, and information about her writings.", "topic": "Top/Arts/Literature/Authors/S/Sachs,_Ruth", "url": "http://roses-at-noon.blogspot.com/"} +{"d:Title": "Vita Sackville-West's Letters", "d:Description": "Two letters to Virginia Woolf, and one to Violet Trefusis.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://www.sappho.com/letters/vitas-w.html"} +{"d:Title": "Great Garden Designers: Vita Sackville-West", "d:Description": "Profile of a hobbyist whose writing on gardening was quite popular. Includes photo of garden at Sissinghurst.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://www.greatbritishgardens.co.uk/Vita_Sackville_West.htm"} +{"d:Title": "Vita Sackville-West", "d:Description": "Concise biography of the writer.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://famouspoetsandpoems.com/poets/vita_sackville-west/biography"} +{"d:Title": "Woolf's Description of Vita Sackville-West", "d:Description": "Written in 1925, when they had known each other for two years.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://www.uah.edu/woolf/vita.html"} +{"d:Title": "PoemHunter.com: Vita Sackville-West", "d:Description": "Half a dozen poems.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://www.poemhunter.com/vita-sackville-west/"} +{"d:Title": "Vita Sackville-West (1892-1962)", "d:Description": "Photo, very brief biography, and extensive bibliography of works by or about Sackville-West.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://libraryguides.fullerton.edu/vita_sackville-west"} +{"d:Title": "Victoria Mary (\"Vita\") Sackville-West (1892-1962)", "d:Description": "List and descriptions of portraits held at the National Portrait Gallery, London.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://www.npg.org.uk/collections/search/person.php?linkID=mp06015"} +{"d:Title": "Spartacus Educational: Vita Sackville-West", "d:Description": "Biography and portrait of the writer. For children.", "topic": "Top/Arts/Literature/Authors/S/Sackville-West,_V.", "url": "http://spartacus-educational.com/Jsackville.htm"} +{"d:Title": "The Marquis de Sade: A Life", "d:Description": "Neil Schaeffer presents his book, with marquise's biography, prison letters, and bibliography.", "topic": "Top/Arts/Literature/Authors/S/Sade,_Marquis_de", "url": "http://www.neilschaeffer.com/sade/"} +{"d:Title": "Sade But True", "d:Description": "Tabula Rasa magazine timeline detailing the life and crimes of de Sade.", "topic": "Top/Arts/Literature/Authors/S/Sade,_Marquis_de", "url": "http://www.tabula-rasa.info/DarkAges/deSade.html"} +{"d:Title": "A Brief Account of the Life of the Marquis de Sade", "d:Description": "Biographical essay by Anthony Walker.", "topic": "Top/Arts/Literature/Authors/S/Sade,_Marquis_de", "url": "http://www.sade-ecrivain.com/docs/sade.html"} +{"d:Title": "Philosophy in the Boudoir", "d:Description": "Condensed version of the text.", "topic": "Top/Arts/Literature/Authors/S/Sade,_Marquis_de", "url": "http://sqapo.com/sade.htm"} +{"d:Title": "Sagan, Nick", "d:Description": "Author of 'Idlewild'; with a biography, news, photographs and extracts.", "topic": "Top/Arts/Literature/Authors/S/Sagan,_Nick", "url": "http://nicksaganprojects.com/"} +{"d:Title": "Consuelo de Saint Exup\u00e9ry", "d:Description": "About the authors wife.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de", "url": "http://www.consuelo-de-saint-exupery.com/"} +{"d:Title": "The Quotable Saint-Exup\u00e9ry", "d:Description": "Also bibliography and links.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de", "url": "http://www.westegg.com/exupery/"} +{"d:Title": "Purple House Press: Author signatures", "d:Description": "Autograph from the first edition of \"The Little Prince\".", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de", "url": "http://www.purplehousepress.com/sig/antoine.jpg"} +{"d:Title": "Antoine de Saint-Exup\u00e9ry", "d:Description": "English section includes book quote, drawings and photographs. More extensive information in French. By Denis Roland.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de", "url": "http://deji.chez.com/"} +{"d:Title": "Little Prince Postcards", "d:Description": "Electronic postcards with pictures and quotations. English, Lithuanian, Polish, Czech and Russian versions.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The", "url": "http://prince.night.lt/index.php?ln=en"} +{"d:Title": "The Little Prince by Antoine de Saint Exup\u00e9ry", "d:Description": "With illustrations.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The", "url": "http://www.angelfire.com/hi/littleprince/"} +{"d:Title": "Yahoo! Groups: The Little Prince", "d:Description": "Discussion list.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The", "url": "http://groups.yahoo.com/group/thelittleprince/"} +{"d:Title": "The Adventures of The Little Prince", "d:Description": "Description and pictures from an animated TV series.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The", "url": "http://www.toonarific.com/show.php?show_id=138"} +{"d:Title": "WebRing", "d:Description": "The Little Prince ring.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The", "url": "http://www.webring.org/hub?ring=lprince"} +{"d:Title": "Swifty's Space Swift Little Prince Page", "d:Description": "About the Little Prince animated TV series.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The", "url": "http://spaceswift.tripod.com/"} +{"d:Title": "Der Kleine Prinz - The Little Prince - Le Petit Prince", "d:Description": "Bilingual site with book collection and the start of chapter VI (Sunsets) in many languages.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Collectors", "url": "http://www.petit-prince.at/"} +{"d:Title": "Saronsland", "d:Description": "The thing with the heart: do we understand it? Quote in many languages.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Collectors", "url": "http://saron.de/english/the_little_prince.htm"} +{"d:Title": "Barbara Tasko", "d:Description": "\"The Little Prince Collectioners Club\". See guestbook.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Collectors", "url": "http://members.tripod.com/~Barbara_Tasko/"} +{"d:Title": "The International Penmates of The Little Prince", "d:Description": "By Patrick Tourreau. Also list of foreign editions and collection page.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Collectors", "url": "http://www.patoche.org/lepetitprince/ami.htm"} +{"d:Title": "The Little Prince", "d:Description": "Includes biographical information, images, articles and collection information.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Collectors", "url": "http://littleprince.8m.com/"} +{"d:Title": "The Multilingual Little Prince", "d:Description": "The secret of the fox in many languages.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Collectors", "url": "http://www.risc.jku.at/people/hemmecke/lprince/"} +{"d:Title": "Maluckyan", "d:Description": "Book introduction, summary, pictures and quotations.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://members.tripod.com/starstar_prince/home.htm"} +{"d:Title": "Antonio Massimo Fragomeni", "d:Description": "Former pages for The Little Prince.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://www.am-soft.com/lprince.htm"} +{"d:Title": "Tim&Jenn's Bookshelf", "d:Description": "Review of The Little Prince.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://www.stmoroky.com/reviews/books/prince.htm"} +{"d:Title": "Martine's World", "d:Description": "The Little Prince, with pictures and quotations.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://www.martine.org/lepetitprince.html"} +{"d:Title": "Martini.net", "d:Description": "Former little prince pages.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://www.martini.net/Little_Prince.php"} +{"d:Title": "Roland Frisch", "d:Description": "\"It was then that the fox appeared\"... Text also available in German, K\u00f6lsh (German dialect) and Italian.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://www.rofrisch.de/poesie/fuchs2.htm"} +{"d:Title": "Fr\u00e9d\u00e9ric Arenou - Antoine and the stars", "d:Description": "Finding asteroid B612.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://wwwhip.obspm.fr/~arenou/pp/index.en.html"} +{"d:Title": "AlAmir AlAaghir", "d:Description": "Information about The Little Prince in Arabic.", "topic": "Top/Arts/Literature/Authors/S/Saint-Exup\u00e9ry,_Antoine_de/Little_Prince,_The/Fan_Pages", "url": "http://www.nissr.com/site/alamir/"} +{"d:Title": "Saki Bibliography", "d:Description": "Bibliography of Saki's works as well as of secondary literature on H.H. Munro.", "topic": "Top/Arts/Literature/Authors/S/Saki", "url": "http://www.subir.com/saki/bib/"} +{"d:Title": "\"Tobermory\"", "d:Description": "Short story from 'The Chronicles of Clovis' (HTML text).", "topic": "Top/Arts/Literature/Authors/S/Saki/Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_tober.htm"} +{"d:Title": "A Matter of Sentiment ... Wratislav", "d:Description": "More than 30 short stories, both in HTML and in Palm format (at \"east of the web\").", "topic": "Top/Arts/Literature/Authors/S/Saki/Works", "url": "http://www.eastoftheweb.com/cgi-bin/read_db.pl?search_for=Saki&search_field=author_id&order_by=author_id,title&type_ind=stories&page=1"} +{"d:Title": "Featured Author: J. D. Salinger", "d:Description": "Reviews and articles about J.D. Salinger by the New York Times.", "topic": "Top/Arts/Literature/Authors/S/Salinger,_J._D.", "url": "http://www.nytimes.com/books/98/09/13/specials/salinger.html"} +{"d:Title": "Catcher In The Rye By J.D. Salinger and Related Matters", "d:Description": "Fan site on Salinger's novel with links to related resources.", "topic": "Top/Arts/Literature/Authors/S/Salinger,_J._D.", "url": "http://www.wahlbrinck.de/catcherintherye/index.htm"} +{"d:Title": "Yet Another Page on J.D.Salinger?", "d:Description": "Fan site with a FAQ on Salinger, a time line of his life, and assorted information and trivia, including bits on his last interview with Betty Eppes", "topic": "Top/Arts/Literature/Authors/S/Salinger,_J._D.", "url": "http://sundeepdougal.tripod.com/jds.html"} +{"d:Title": "Letters to J.D. Salinger", "d:Description": "Official website of the soon book Letters to J.D. Salinger. Readers can submit open letters to the author, for publication on the site.", "topic": "Top/Arts/Literature/Authors/S/Salinger,_J._D.", "url": "http://jdsalinger.com/"} +{"d:Title": "Catcher in the Rye", "d:Description": "Includes a plot summary and analysis of the book as a whole, and of each chapter.", "topic": "Top/Arts/Literature/Authors/S/Salinger,_J._D.", "url": "http://www.jiffynotes.com/CatcherintheRyeThe/HistoricalContext.html"} +{"d:Title": "Catcher in the Rye author JD Salinger would not be caught in the public eye", "d:Description": "Article in The Guardian about the author's life and death.", "topic": "Top/Arts/Literature/Authors/S/Salinger,_J._D.", "url": "http://www.theguardian.com/books/2010/jan/28/catcher-in-rye-salinger-dies"} +{"d:Title": "Homework Online Study Guide", "d:Description": "A discussion of Catcher in the Rye. Includes plot summary, character analysis, themes, quotes, and a forum.", "topic": "Top/Arts/Literature/Authors/S/Salinger,_J._D.", "url": "https://www.homework-online.com/the-catcher-in-the-rye/index.html"} +{"d:Title": "Henry S. Salt", "d:Description": "Information on the works of the rationalist, socialist, pacifist, and humanitarian.", "topic": "Top/Arts/Literature/Authors/S/Salt,_Henry", "url": "http://www.henrysalt.co.uk/"} +{"d:Title": "Wikipedia - George Sand", "d:Description": "Biography of this female author. Includes photos.", "topic": "Top/Arts/Literature/Authors/S/Sand,_George", "url": "http://en.wikipedia.org/wiki/George_Sand"} +{"d:Title": "George Sand, Some Aspects of Her Life and Writings", "d:Description": "Rene Doumic's 1910 biography of Sand.", "topic": "Top/Arts/Literature/Authors/S/Sand,_George", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=138"} +{"d:Title": "Carl Sandburg - Chicago Poems", "d:Description": "Includes an online electronic text of Chicago Poems, a short biographical sketch, and discussion group/forum.", "topic": "Top/Arts/Literature/Authors/S/Sandburg,_Carl", "url": "http://www.carl-sandburg.com/"} +{"d:Title": "Carl Sandburg", "d:Description": "Online collection of many of his poems, from Bartleby.com.", "topic": "Top/Arts/Literature/Authors/S/Sandburg,_Carl", "url": "http://www.bartleby.com/people/Sandburg.html"} +{"d:Title": "Carl Sandburg", "d:Description": "American Poems' short biography and a selection of his most famous poems, with analysis and comments.", "topic": "Top/Arts/Literature/Authors/S/Sandburg,_Carl", "url": "http://www.americanpoems.com/poets/carlsandburg"} +{"d:Title": "Carl Sandburg Home", "d:Description": "A visit to Connemara, the poet's home in Flat Rock, North Carolina.", "topic": "Top/Arts/Literature/Authors/S/Sandburg,_Carl", "url": "http://www.nps.gov/carl/"} +{"d:Title": "The Day Carl Sandburg Died", "d:Description": "Weblog discusses the making of a documentary film about the poet and writer. Includes photos of his wife and daughter plus commentary by filmmaker Paul Bonesteel.", "topic": "Top/Arts/Literature/Authors/S/Sandburg,_Carl", "url": "http://bonesteelfilms.blogspot.com/"} +{"d:Title": "Carl Sandburg", "d:Description": "An Academy of American Poets poetry \"exhibit,\" including a brief biography of Sandburg, as well as a selection of poems.", "topic": "Top/Arts/Literature/Authors/S/Sandburg,_Carl", "url": "http://www.poets.org/poetsorg/poet/carl-sandburg"} +{"d:Title": "Chicago Poems", "d:Description": "Full text of the poems from the book in plain-text format.", "topic": "Top/Arts/Literature/Authors/S/Sandburg,_Carl/Works", "url": "http://poetry.eserver.org/chicago-poems.txt"} +{"d:Title": "High Country News -- August 07, 1995: Bulletin Board", "d:Description": "Brief review of Reg Saner's \"The Four-Cornered Falcon: Essays on the Interior West and the Natural Scene.\"", "topic": "Top/Arts/Literature/Authors/S/Saner,_Reg", "url": "http://www.hcn.org/issues/40/1210"} +{"d:Title": "Jos\u00e9 Saramago: Nobel Prize in Literature 1998", "d:Description": "Press release, autobiography, bio-bibliography, Nobel diploma, Nobel lecture, prize award ceremony, excerpts from \"Baltasar and Blimunda\", other resources.", "topic": "Top/Arts/Literature/Authors/S/Saramago,_Jos\u00e9", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1998/"} +{"d:Title": "Complete Ken Saro-Wiwa Statement To Ogoni Civil Disturbances Tribunal, 9/25/95", "d:Description": "Saro-Wiwa presents the background of activities by Shell and by the Nigerian government against Ogoni lands and people, and details his own protests and activities.", "topic": "Top/Arts/Literature/Authors/S/Saro_Wiwa,_Ken", "url": "http://www.ratical.org/corporations/KSWstmt.html"} +{"d:Title": "The Writings of Ken Saro-Wiwa", "d:Description": "Several articles and lecture texts.", "topic": "Top/Arts/Literature/Authors/S/Saro_Wiwa,_Ken", "url": "http://www.hartford-hwp.com/archives/34a/index-baba.html"} +{"d:Title": "Dis Nigeria Sef\": Ken Saro-Wiwa as the Poet Who Wasn't", "d:Description": "Academic article places Saro-Wiwa's poetry, as well as his television scripts, within contemporary African literature.", "topic": "Top/Arts/Literature/Authors/S/Saro_Wiwa,_Ken", "url": "https://eee.uci.edu/faculty/losh/pubs/Saro-Wiwa.doc"} +{"d:Title": "Goldman Environmental Prize", "d:Description": "The 1995 recipient profile emphasizes Saro-Wiwa's environmental work and his role as president of the Movement for the Survival of Ogoni People (MOSOP).", "topic": "Top/Arts/Literature/Authors/S/Saro_Wiwa,_Ken", "url": "http://www.goldmanprize.org/1995/africa"} +{"d:Title": "Ken Saro Wiwa and Nigeria", "d:Description": "Audio of a Pacifica radio show, with excerpts from a 1995 interview with Saro Wiwa and another MOSOP leader, and remembrances from family and colleagues.", "topic": "Top/Arts/Literature/Authors/S/Saro_Wiwa,_Ken", "url": "http://www.democracynow.org/1997/11/10/ken_saro_wiwa_and_nigeria"} +{"d:Title": "The Planetarium by Nathalie Sarraute", "d:Description": "Short note about the author, links.", "topic": "Top/Arts/Literature/Authors/S/Sarraute,_Nathalie", "url": "http://www.paraethos.com/library/planet.htm"} +{"d:Title": "May Sarton - The Academy of American Poets", "d:Description": "Brief biography and bibliography.", "topic": "Top/Arts/Literature/Authors/S/Sarton,_May", "url": "http://www.poets.org/poetsorg/poet/may-sarton"} +{"d:Title": "First World War.com: Siegfried Sassoon", "d:Description": "Biography of the author of poetry and prose, including a list of his works.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried", "url": "http://www.firstworldwar.com/poetsandprose/sassoon.htm"} +{"d:Title": "Interactive Literature: Sassoon, Siegfried (Lorraine)", "d:Description": "Biography and commentary on his works.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried", "url": "http://home.iae.nl/users/scehv/el/newpage215.htm"} +{"d:Title": "Find A Grave - Siegfried Loraine Sassoon", "d:Description": "Information about the First World War poet and pictures of him and his grave with cemetery facts and visitor comments.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=18860"} +{"d:Title": "Guardian Unlimited Books - LRB Essay: History of a Foxhunting Man", "d:Description": "LRB essay by Ferdinand Mount about Siegfried Sassoon and some recent books written about him.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried", "url": "http://www.theguardian.com/books/2003/aug/05/londonreviewofbooks"} +{"d:Title": "Siegfried Sassoon", "d:Description": "Biographical article from the Spartacus Encyclopedia.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried", "url": "http://spartacus-educational.com/Jsassoon.htm"} +{"d:Title": "The Old Huntsman and Other Poems", "d:Description": "HTML version.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried/Works", "url": "http://www.bartleby.com/135/"} +{"d:Title": "Bartleby.com: Siegfried Sassoon", "d:Description": "Many of his works available online.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried/Works", "url": "http://www.bartleby.com/people/Sassoon.html"} +{"d:Title": "Modern History Sourcebook: Attack", "d:Description": "HTML text of the poem.", "topic": "Top/Arts/Literature/Authors/S/Sassoon,_Siegfried/Works", "url": "http://sourcebooks.fordham.edu/halsall/mod/sassoon-attack.asp"} +{"d:Title": "Dorothy L Sayers Society", "d:Description": "Official fan club page. Good pictures, biography, broad bibliography focusing on Wimsey.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L.", "url": "http://www.sayers.org.uk/"} +{"d:Title": "Dorothy L Sayers: Writer and Theologian", "d:Description": "Brief biography; reviews of her works.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L.", "url": "http://justus.anglican.org/resources/bio/19.html"} +{"d:Title": "Mystery Greats: Dorothy L. Sayers", "d:Description": "Picture and brief biography.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L.", "url": "http://www.mysterynet.com/sayers/"} +{"d:Title": "Dorothy L. Sayers", "d:Description": "Bibliography. Focus on Montague Egg. Detailed review of Sayers' periods of writing. Criticism. Discussion of Sayers as member of the Realist School.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L.", "url": "http://mikegrost.com/sayers.htm"} +{"d:Title": "Allreaders Dorothy Sayers Spotlight", "d:Description": "Detailed analysis of her books, plus links to similar books. Sign up to be a Dorothy Sayers scholar on the site.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L.", "url": "http://allreaders.com/books/dorothy-l-sayers-326"} +{"d:Title": "Annotated Wimsey", "d:Description": "Notes on several of the Wimsey novels and short stories.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L./Works", "url": "http://www.dandrake.com/wimsey/"} +{"d:Title": "Catholic Tales and Christian Songs", "d:Description": "Religious poetry.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L./Works", "url": "http://www.ccel.org/s/sayers/cathtales/dls-cathtales.html"} +{"d:Title": "The Lost Tools of Learning", "d:Description": "Essay on education and teaching.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L./Works", "url": "http://www.gbt.org/text/sayers.html"} +{"d:Title": "Op. I.", "d:Description": "Online text of Sayers' first published book of poetry. May be copyrighted in countries outside the United States.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L./Works", "url": "http://digital.library.upenn.edu/women/sayers/opi/dls-opi.html#alma"} +{"d:Title": "Thrones, Dominations", "d:Description": "Jill Patton Walsh explains some of the history and difficulties behind her completion of the novel; includes a partial letter from Sayers about her ideas.", "topic": "Top/Arts/Literature/Authors/S/Sayers,_Dorothy_L./Works", "url": "http://www.greenbay.co.uk/books/folio.html"} +{"d:Title": "Jim Schembri", "d:Description": "The journalist and author has written over 30 novels for children and young adults. Books, reviews, links to publisher.", "topic": "Top/Arts/Literature/Authors/S/Schembri,_Jim", "url": "http://www.jimschembri.com/"} +{"d:Title": "Schiller, Friedrich", "d:Description": "Biography, bibliography, excerpts, and other Schiller resources.", "topic": "Top/Arts/Literature/Authors/S/Schiller,_Friedrich", "url": "http://www.studiocleo.com/librarie/schiller/schillerpage.html"} +{"d:Title": "Friedrich Schiller (1759-1805)", "d:Description": "Brief biography; links.", "topic": "Top/Arts/Literature/Authors/S/Schiller,_Friedrich", "url": "http://www.theatredatabase.com/18th_century/friedrich_schiller_001.html"} +{"d:Title": "Schiller, Johann Christoph Friedrich von (1759-1805)", "d:Description": "Biographical article from the 1911 Encyclop\u00e6dia Britannica. Includes bibliography. Some scanner errors.", "topic": "Top/Arts/Literature/Authors/S/Schiller,_Friedrich", "url": "http://encyclopedia.jrank.org/SAR_SCY/SCHILLER_JOHANN_CHRISTOPH_FRIED.html"} +{"d:Title": "Friedrich Schiller", "d:Description": "Biographical article with links to related topics.", "topic": "Top/Arts/Literature/Authors/S/Schiller,_Friedrich", "url": "http://en.wikipedia.org/wiki/Friedrich_Schiller"} +{"d:Title": "Wilhelm Tell", "d:Description": "Theodore Martin translation, from the Harvard Classics edition. Each scene in its own file, with line numbering. Uses some Microsoft-only characters.", "topic": "Top/Arts/Literature/Authors/S/Schiller,_Friedrich/Works/Plays", "url": "http://www.bartleby.com/26/6/"} +{"d:Title": "Lieder: Schiller", "d:Description": "Original texts and/or translations of poems that have been set to music by various composers.", "topic": "Top/Arts/Literature/Authors/S/Schiller,_Friedrich/Works/Poetry", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=2488"} +{"d:Title": "Poems by Peter Schjeldahl", "d:Description": "A few poems from \"Since 1964 - New and Selected Poems\" by Peter Schjeldahl.", "topic": "Top/Arts/Literature/Authors/S/Schjeldahl,_Peter", "url": "http://www.alb-neckar-schwarzwald.de/schjeldahl/poems.html"} +{"d:Title": "The Intellectual after World War III", "d:Description": "Essay on Arno Schmidt's science fiction novels like Schwarze Spiegel, Die Gelehrtenrepbulik or Kaff auch Mare Crisium. By Ursula Heise.", "topic": "Top/Arts/Literature/Authors/S/Schmidt,_Arno", "url": "http://www.altx.com/ebr/reviews/rev7/r7hei.htm"} +{"d:Title": "Arno Schmidt at the Complete Review", "d:Description": "Overview of Arno Schmidt's life and work plus extensive link-list.", "topic": "Top/Arts/Literature/Authors/S/Schmidt,_Arno", "url": "http://www.complete-review.com/authors/schmarn.htm"} +{"d:Title": "James Schuyler: Dictionary of Literary Biography", "d:Description": "Biography, bibliography and description of major works.", "topic": "Top/Arts/Literature/Authors/S/Schuyler,_James", "url": "http://www.acsu.buffalo.edu/~jconte/James_Schuyler_DLB.htm"} +{"d:Title": "Lisa Scottoline", "d:Description": "Office site of the author of legal thrillers. Includes a biography, schedule of appearances, excerpts, covers, web cam, and audio interview.", "topic": "Top/Arts/Literature/Authors/S/Scottoline,_Lisa", "url": "http://www.scottoline.com/"} +{"d:Title": "Allreaders: Lisa Scottoline", "d:Description": "Reviews and message board.", "topic": "Top/Arts/Literature/Authors/S/Scottoline,_Lisa", "url": "http://allreaders.com/books/lisa-scottoline-394"} +{"d:Title": "Nancy Drew for Smart Kids: Mysteries by Augusta Huiell Seaman", "d:Description": "Article by Christine M. Volk: biography, bibliography, and reader's appreciation.", "priority": "1", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://www.bookfever.com/Augusta_Huiell_Seaman/"} +{"d:Title": "The Case of the Calico Crab", "d:Description": "Page scans of 1942 book at the Internet Archive.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://archive.org/details/caseofcalicocrab00seam"} +{"d:Title": "The Mystery of the Old Violin", "d:Description": "Page scans of book also published as \"The Inn of the Twin Anchors\", from \"The Riddle at Live Oaks\".", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://archive.org/details/mysteryofoldviol00seam"} +{"d:Title": "The Sapphire Signet", "d:Description": "Page scans at the Internet Archive.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://archive.org/details/cu31924011899220"} +{"d:Title": "The Riddle of the Lonely House", "d:Description": "Page scans at the Internet Archive.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://archive.org/details/riddleoflonelyho00seam"} +{"d:Title": "The Dragon's Secret", "d:Description": "Audio book in various formats at Librivox.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://librivox.org/the-dragons-secret-by-augusta-huiell-seaman/"} +{"d:Title": "The Boarded Up House", "d:Description": "Audio book in various formats at LibriVox.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://librivox.org/the-boarded-up-house-by-augusta-huiell-seaman/"} +{"d:Title": "Mystery at Number Six", "d:Description": "Audio book in various formats at Librivox.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://librivox.org/the-mystery-at-number-six-by-augusta-huiell-seamans/"} +{"d:Title": "The Slipper Point Mystery", "d:Description": "Audio book in various formats at LibriVox.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://librivox.org/the-slipper-point-mystery-by-augusta-huiell-seaman/"} +{"d:Title": "The Girl Next Door", "d:Description": "Full-view page images at Google Books.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://books.google.com/books?id=UP8pAQAAMAAJ"} +{"d:Title": "Three Sides of Paradise Green", "d:Description": "Full-view page scans at Google Books.", "topic": "Top/Arts/Literature/Authors/S/Seaman,_Augusta_Huiell", "url": "http://books.google.com/books?id=zPAlGQAACAAJ"} +{"d:Title": "Sedaris on New York", "d:Description": "RealAudio versions of some of Sedaris' pieces for Morning Edition.", "topic": "Top/Arts/Literature/Authors/S/Sedaris,_David", "url": "http://www.npr.org/programs/morning/sedaris.html"} +{"d:Title": "Steven Barclay Agency: David Sedaris", "d:Description": "Agent's site with biography, links to articles, and Sedaris' recommended reading list.", "topic": "Top/Arts/Literature/Authors/S/Sedaris,_David", "url": "http://www.barclayagency.com/sedaris.html"} +{"d:Title": "The Unofficial David Sedaris Mailing List", "d:Description": "Yahoo group for fans to chat or ask questions.", "topic": "Top/Arts/Literature/Authors/S/Sedaris,_David", "url": "http://groups.yahoo.com/group/sedaris/"} +{"d:Title": "From WBEZ in Chicago - This American Life", "d:Description": "Features many Sedaris bits in RealAudio.", "topic": "Top/Arts/Literature/Authors/S/Sedaris,_David", "url": "http://www.thisamericanlife.org/"} +{"d:Title": "Erich Segal, Oliver's Story", "d:Description": "Detailed examination of the sequel to Love Story.", "topic": "Top/Arts/Literature/Authors/S/Segal,_Erich", "url": "http://www.gs.cidsnet.de/englisch-online/Grundkurs2/oliversstory.htm"} +{"d:Title": "Erich Segal", "d:Description": "Brief descriptions of his books.", "topic": "Top/Arts/Literature/Authors/S/Segal,_Erich", "url": "http://allreaders.com/books/erich-segal-560"} +{"d:Title": "Rebecca Seiferle Webpage", "d:Description": "Biographical note, information on her two poetry collections, her translation of \"Trilce\" by C\u00e9sar Vallejo, reviews, upcoming events, readings, workshops.", "topic": "Top/Arts/Literature/Authors/S/Seiferle,_Rebecca", "url": "http://www.thedrunkenboat.com/seiferle.htm"} +{"d:Title": "William Self", "d:Description": "News and annotated links about the author and his books.", "topic": "Top/Arts/Literature/Authors/S/Self,_Will", "url": "http://will-self.com/"} +{"d:Title": "The Guardian: Will Self", "d:Description": "Biographical information, critical profile and reviews of his works.", "topic": "Top/Arts/Literature/Authors/S/Self,_Will", "url": "http://www.theguardian.com/books/2008/jun/11/willself"} +{"d:Title": "Vikram Seth [Emory Postcolonial Studies]", "d:Description": "Profile and anecdotes, references, related links", "topic": "Top/Arts/Literature/Authors/S/Seth,_Vikram", "url": "http://postcolonialstudies.emory.edu/vikram-seth/"} +{"d:Title": "Setton, Ruth Knafo", "d:Description": "Moroccan-Jewish author of 'The Road to Fez'. Site contains excerpts of her work and links to publishers.", "topic": "Top/Arts/Literature/Authors/S/Setton,_Ruth_Knafo", "url": "http://www.ruthknafosetton.com/"} +{"d:Title": "Severin, Tim", "d:Description": "Historical fiction novelist, and historian; with a biography and synopses.", "topic": "Top/Arts/Literature/Authors/S/Severin,_Tim", "url": "http://www.timseverin.net/"} +{"d:Title": "Anne Sexton", "d:Description": "A very brief biographical note on Sexton, with a small selection of her poems.", "topic": "Top/Arts/Literature/Authors/S/Sexton,_Anne", "url": "http://www.inch.com/~ari/as1.html"} +{"d:Title": "Erica Jong on Sexton", "d:Description": "Article originally published Oct. 27, 1974 in the New York Times. Jong reminisces about Sexton's life and career. Site requires free registration.", "topic": "Top/Arts/Literature/Authors/S/Sexton,_Anne", "url": "http://www.nytimes.com/books/97/07/20/reviews/jong-sexton.html"} +{"d:Title": "Modern American Poetry: Anne Sexton", "d:Description": "Online companion site to Oxford Modern American Poetry anthology. Contains biography, chronology, and scholars' comments on her life and some of her poems.", "topic": "Top/Arts/Literature/Authors/S/Sexton,_Anne", "url": "http://www.english.illinois.edu/maps/poets/s_z/sexton/sexton.htm"} +{"d:Title": "Academy of American Poets: Anne Sexton", "d:Description": "Provides a brief biography, bibliography, and links. Contains the text of \"Snow White\", \"The Truth the Dead Know\", \"Wanting to Die\", and \"Her Kind\" (which is also available in Real Audio, read by Sexton).", "topic": "Top/Arts/Literature/Authors/S/Sexton,_Anne", "url": "http://www.poets.org/poetsorg/poet/anne-sexton"} +{"d:Title": "Seymour, Gerald", "d:Description": "Fan site; with synopses.", "topic": "Top/Arts/Literature/Authors/S/Seymour,_Gerald", "url": "http://www.webgeordie.co.uk/geraldseymour/"} +{"d:Title": "Ntozake Shange", "d:Description": "These few pages include a very brief biographical note and the texts of two poems.", "topic": "Top/Arts/Literature/Authors/S/Shange,_Ntozake", "url": "http://archives.obs-us.com/obs/english/books/holt/books/aloud/ntozake.htm"} +{"d:Title": "Shapiro, Laurie Gwen", "d:Description": "Author of \"The Anglophile\"; with a biography, bibliography, and tour dates.", "topic": "Top/Arts/Literature/Authors/S/Shapiro,_Laurie_Gwen", "url": "http://www.lauriegwenshapiro.com/"} +{"d:Title": "Shapiro, Marianne", "d:Description": "Author of \"Higher Learning\"; with a biography and synopsis.", "topic": "Top/Arts/Literature/Authors/S/Shapiro,_Marianne", "url": "http://www.higherlearninganovel.com/"} +{"d:Title": "The Nobel Prize Internet Archive: George Bernard Shaw", "d:Description": "Brief profile and links.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard", "url": "http://www.almaz.com/nobel/literature/1925a.html"} +{"d:Title": "George Bernard Shaw (1856 - 1950)", "d:Description": "Includes a brief biography and the essay, 'How to Write a Popular Play'.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard", "url": "http://www.imagi-nation.com/moonstruck/clsc18.html"} +{"d:Title": "The Bernard Shaw Society", "d:Description": "Membership and magazine subscription information.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard", "url": "http://chuma.cas.usf.edu/~dietrich/shawsociety.html"} +{"d:Title": "Shaw Bizness", "d:Description": "Directory of links to information on Shaw's life, works, and productions, as well as societies and clubs dedicated to the playwright.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard", "url": "http://chuma.cas.usf.edu/~dietrich/shawbizness.html"} +{"d:Title": "Literature Network: George Bernard Shaw", "d:Description": "Biography and searchable collection of selected works.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard", "url": "http://www.online-literature.com/george_bernard_shaw/"} +{"d:Title": "Spartacus Educational: George Bernard Shaw", "d:Description": "Biography and quotes from various publications.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard", "url": "http://spartacus-educational.com/Jshaw.htm"} +{"d:Title": "Pygmalion", "d:Description": "Text of the play from Bartleby.com.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard/Works", "url": "http://www.bartleby.com/138/"} +{"d:Title": "Man and Superman", "d:Description": "Complete e-text at Bartleby the Scrivener archives.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard/Works", "url": "http://www.bartleby.com/157/"} +{"d:Title": "Bernard Shaw's Major Barbara", "d:Description": "Book review by Anna Hassapi.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard/Works", "url": "http://bookreviews.nabou.com/reviews/majorbarbara.html"} +{"d:Title": "Major Barbara by Bernard Shaw", "d:Description": "The complete book free of charge in HTML eBook format.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard/Works", "url": "http://www.ebooks3.com/ebooks/major_barbara.html"} +{"d:Title": "Saint Joan by GB Shaw", "d:Description": "MaidOfHeaven.com contains the entire play Saint Joan by George Bernard Shaw.", "topic": "Top/Arts/Literature/Authors/S/Shaw,_George_Bernard/Works", "url": "http://www.maidofheaven.com/joanofarc_saint_joan.asp"} +{"d:Title": "Sidney Sheldon Reflects on Career, from Small Screen to Printed Page", "d:Description": "The novelist started out as a screenwriter. [CNN]", "topic": "Top/Arts/Literature/Authors/S/Sheldon,_Sidney", "url": "http://www.cnn.com/books/news/9809/23/sidney.sheldon/index.html"} +{"d:Title": "IMDb: Sidney Sheldon", "d:Description": "Filmography, including television credits.", "topic": "Top/Arts/Literature/Authors/S/Sheldon,_Sidney", "url": "http://www.imdb.com/name/nm0791084/"} +{"d:Title": "Allreaders.com: Sidney Sheldon", "d:Description": "Brief plot summaries contributed by site users.", "topic": "Top/Arts/Literature/Authors/S/Sheldon,_Sidney", "url": "http://allreaders.com/books/sidney-sheldon-219"} +{"d:Title": "Leavis on Shelley and Shakespeare", "d:Description": "A brief comparison of some lines from \"The Cenci\" with lines from \"Measure for Measure\".", "topic": "Top/Arts/Literature/Authors/S/Shelley,_Percy_Bysshe", "url": "http://unix.cc.wmich.edu/~cooneys/tchg/440/cenci.html"} +{"d:Title": "Keats-Shelley Association of America", "d:Description": "Publishes the Keats-Shelley journal and organizes and supports events. The association also presents an award each year to the best new essay on the younger romantics.", "topic": "Top/Arts/Literature/Authors/S/Shelley,_Percy_Bysshe", "url": "http://k-saa.org/"} +{"d:Title": "The Percy Bysshe Shelley Resource Page", "d:Description": "Includes a bibliographical database; electronic texts of Shelley's letters, essays, and fragments; and links to other Shelley resources.", "topic": "Top/Arts/Literature/Authors/S/Shelley,_Percy_Bysshe", "url": "http://terpconnect.umd.edu/~djb/shelley/home.html"} +{"d:Title": "Temporal Dislocations and Visions of Interpretation in Shelley's \"Ode to the West Wind\"", "d:Description": "An essay by Patrick Mooney about Romanticism in the poem.", "topic": "Top/Arts/Literature/Authors/S/Shelley,_Percy_Bysshe", "url": "http://patrickbrianmooney.nfshost.com/~patrick/undergrad-writings/west-wind.html"} +{"d:Title": "Bartleby.com: Percy Bysshe Shelley", "d:Description": "Includes \"Complete Poetical Works\" as well as quotations from Bartlett's.", "topic": "Top/Arts/Literature/Authors/S/Shelley,_Percy_Bysshe/Works", "url": "http://www.bartleby.com/people/ShelleyP.html"} +{"d:Title": "Literature Network: Percy Bysshe Shelly", "d:Description": "Includes selected works, a biography, search feature and comments from visitors. Paid subscription required for some areas.", "topic": "Top/Arts/Literature/Authors/S/Shelley,_Percy_Bysshe/Works", "url": "http://www.online-literature.com/shelly_percy/"} +{"d:Title": "A Salute to Jean Shepherd", "d:Description": "Biographical information and a large collection of the works (including audio recordings, images, and writings) of the man who spent over 20 years on the radio telling stories of growing up.", "priority": "1", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Jean", "url": "http://www.flicklives.com/"} +{"d:Title": "Jean Shepherd Web Site", "d:Description": "Biography, bibliography, remembrances, message boards, writings, and merchandise for sale.", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Jean", "url": "http://www.keyflux.com/shep/"} +{"d:Title": "Jean Shepherd Page", "d:Description": "Devoted to the life and work of this humorist, author and radio personality. Biographical information, photos, and audio clips.", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Jean", "url": "http://www.bobkaye.com/Shep.html"} +{"d:Title": "A Christmas Story House", "d:Description": "The house used as the set in the movie A Christmas Story, now serving as a fund raising facility.", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Jean", "url": "http://www.achristmasstoryhouse.com/"} +{"d:Title": "Schmidco", "d:Description": "Jean Shepherd recordings on Audio CD and MP3.", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Jean", "url": "http://www.sheptapes.com/"} +{"d:Title": "The Brass Figlagee- A Jean Shepherd Podcast", "d:Description": "A nightly helping of free MP3 files from humorist Jean Shepherd.", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Jean", "url": "http://shepcast.blogspot.com/"} +{"d:Title": "Jean Shepherd - Internet Movie Database", "d:Description": "Biography, and filmography of his work as actor, writer, producer, and himself. Also includes information about some TV guest appearances.", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Jean", "url": "http://www.imdb.com/name/nm0791789/"} +{"d:Title": "Mark Shepherd Bibliography", "d:Description": "A bibliography of Mark Shepherd's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/S/Shepherd,_Mark", "url": "https://www.fantasticfiction.com/s/mark-shepherd/"} +{"d:Title": "The Novels of David Sherman", "d:Description": "Official site for the books of David Sherman, author of Vietnam war and science fiction novels.", "topic": "Top/Arts/Literature/Authors/S/Sherman,_David", "url": "http://www.novelier.com/"} +{"d:Title": "Shields, David", "d:Description": "Novelist and sports writer; with a biography, tour schedule, and excerpts of his work.", "topic": "Top/Arts/Literature/Authors/S/Shields,_David", "url": "http://www.davidshields.com/"} +{"d:Title": "Shonk, Katherine", "d:Description": "Official site; with a biography, reviews, and synopsis.", "topic": "Top/Arts/Literature/Authors/S/Shonk,_Katherine", "url": "http://www.katherineshonk.com/"} +{"d:Title": "Shulman, Alix Kates", "d:Description": "Feminist writer; with a biography, and synopses.", "topic": "Top/Arts/Literature/Authors/S/Shulman,_Alix_Kates", "url": "http://www.alixkshulman.com/"} +{"d:Title": "Engines of Our Ingenuity: Nevil Shute", "d:Description": "Discussions of the contributions of Shute to both literature and engineering.", "topic": "Top/Arts/Literature/Authors/S/Shute,_Nevil", "url": "http://www.uh.edu/engines/epi110.htm"} +{"d:Title": "Shute Views on Modern Art", "d:Description": "Excerpt from Shute's novel \"The Far Country\".", "topic": "Top/Arts/Literature/Authors/S/Shute,_Nevil", "url": "http://www.papertig.com/nsart.htm"} +{"d:Title": "International Nevil Shute Society", "d:Description": "Discussion forum for Nevil Shute's novels.", "topic": "Top/Arts/Literature/Authors/S/Shute,_Nevil", "url": "http://groups.yahoo.com/group/intlnevilshutesociety/"} +{"d:Title": "The Technological Utopias of Thorstein Veblen and Nevil Shute", "d:Description": "Detailed study by Fred Erisman.", "topic": "Top/Arts/Literature/Authors/S/Shute,_Nevil", "url": "http://weberstudies.weber.edu/archive/archive%20B%20Vol.%2011-16.1/Vol.%2011.2/11.2Erisman.htm"} +{"d:Title": "Mr. Norway", "d:Description": "Fan site features two topics: Shute's career as a racing car driver under the name N.S. Norway, and the filming of \"On the Beach\". Includes photos of the author's XK-140 Jaguar.", "topic": "Top/Arts/Literature/Authors/S/Shute,_Nevil", "url": "http://delarue.net/norway.htm"} +{"d:Title": "IMDb: Brian Sibley", "d:Description": "Provides biography and filmography of the author/broadcaster.", "topic": "Top/Arts/Literature/Authors/S/Sibley,_Brian", "url": "http://www.imdb.com/name/nm0796285/"} +{"d:Title": "Brian Sibley", "d:Description": "Official site provides a biography, books, broadcasts, pictures, and the adventures of Buttons.", "topic": "Top/Arts/Literature/Authors/S/Sibley,_Brian", "url": "http://briansibleytheworks.blogspot.com/"} +{"d:Title": "Sheldon Siegel", "d:Description": "Official web site of the novelist. Biography, FAQs, synopses of his books, along with reviews and sample chapters.", "priority": "1", "topic": "Top/Arts/Literature/Authors/S/Siegel,_Sheldon", "url": "http://www.sheldonsiegel.com/"} +{"d:Title": "BookLoons Reviews: The Confession", "d:Description": "J.A. Kaszuba Locke evaluates the novel.", "topic": "Top/Arts/Literature/Authors/S/Siegel,_Sheldon", "url": "http://www.bookloons.com/cgi-bin/Review.ASP?bookid=4113"} +{"d:Title": "Henryk Sienkiewicz Pages", "d:Description": "An essay analyzing \"Quo Vadis,\" some translations, links.", "topic": "Top/Arts/Literature/Authors/S/Sienkiewicz,_Henryk", "url": "http://info-poland.buffalo.edu/classroom/sienkiewicz.html"} +{"d:Title": "Henryk Sienkiewicz, 1846-1916", "d:Description": "Offers a brief biographical sketch.", "topic": "Top/Arts/Literature/Authors/S/Sienkiewicz,_Henryk", "url": "http://www.polishamericancenter.org/Sienkiewicz.htm"} +{"d:Title": "Henryk Sienkiewicz", "d:Description": "A lengthy review of the popular novelist. Requires JavaScript. [Catholic World]", "topic": "Top/Arts/Literature/Authors/S/Sienkiewicz,_Henryk", "url": "http://quod.lib.umich.edu/cgi/t/text/pageviewer-idx?c=moajrnl;cc=moajrnl;rgn=full+text;idno=bac8387.0066.395;view=image;seq=0676"} +{"d:Title": "The Nobel Foundation: Henryk Sienkiewicz", "d:Description": "Includes short biography, as well as the speeches given upon his receiving the Nobel Prize in Literature.", "topic": "Top/Arts/Literature/Authors/S/Sienkiewicz,_Henryk", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1905/sienkiewicz-bio.html"} +{"d:Title": "Lydia Howard Huntley Sigourney (1791-1865)", "d:Description": "Photograph and selected bibliography.", "topic": "Top/Arts/Literature/Authors/S/Sigourney,_Lydia_Huntley", "url": "http://www.csustan.edu/english/reuben/pal/chap3/sigourney.html"} +{"d:Title": "Lydia Howard Sigourney", "d:Description": "Biographical information, list of works and related links from The Victorian Web.", "topic": "Top/Arts/Literature/Authors/S/Sigourney,_Lydia_Huntley", "url": "http://www.victorianweb.org/authors/sigourney/"} +{"d:Title": "Lydia Howard Huntley Sigourney", "d:Description": "Information from The Heath Anthology of American Literature.", "topic": "Top/Arts/Literature/Authors/S/Sigourney,_Lydia_Huntley", "url": "http://college.cengage.com/english/lauter/heath/4e/students/author_pages/early_nineteenth/sigourney_ly.html"} +{"d:Title": "Whitman, Dickinson and Elegiac Traditions", "d:Description": "Reprint of \"Funeral of Mazeen: The Last of the Royal Line of the Mohegan Nation,\" written in 1841.", "topic": "Top/Arts/Literature/Authors/S/Sigourney,_Lydia_Huntley", "url": "http://www.classroomelectric.org/volume2/belasco/elegies/sigourney.html"} +{"d:Title": "Siler, Jenny", "d:Description": "Author of 'Flashback' and 'Easy Money'; with synopses and a biography.", "topic": "Top/Arts/Literature/Authors/S/Siler,_Jenny", "url": "http://www.jennysiler.com/"} +{"d:Title": "Silliman's Blog", "d:Description": "A weblog focused on contemporary poetry and poetics.", "topic": "Top/Arts/Literature/Authors/S/Silliman,_Ron", "url": "http://ronsilliman.blogspot.com/"} +{"d:Title": "Ron Silliman", "d:Description": "Bibliography, poems, writings, review, and links.", "topic": "Top/Arts/Literature/Authors/S/Silliman,_Ron", "url": "http://epc.buffalo.edu/authors/silliman/"} +{"d:Title": "Simenon's Maigret", "d:Description": "Includes biographical information on the author, bibliography, texts and an online forum.", "topic": "Top/Arts/Literature/Authors/S/Simenon,_Georges", "url": "http://www.trussel.com/f_maig.htm"} +{"d:Title": "Charles Simic", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/S/Simic,_Charles", "url": "http://www.poets.org/poetsorg/poet/charles-simic"} +{"d:Title": "Simon, Rachel - Author of Riding The Bus With My Sister", "d:Description": "About the author, her book, and the movie. Includes her writing tips.", "topic": "Top/Arts/Literature/Authors/S/Simon,_Rachel", "url": "http://www.rachelsimon.com/"} +{"d:Title": "Paullina Simons", "d:Description": "The official site for the author offering news, a message forum, and information regarding her works.", "topic": "Top/Arts/Literature/Authors/S/Simons,_Paullina", "url": "http://www.paullinasimons.com/"} +{"d:Title": "Allreaders Paullina Simons Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his best novels, and links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Authors/S/Simons,_Paullina", "url": "http://allreaders.com/books/paullina-simons-610"} +{"d:Title": "Louis Simpson", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/S/Simpson,_Louis", "url": "http://www.poets.org/poetsorg/poet/louis-simpson"} +{"d:Title": "Iain Sinclair at the Complete Review", "d:Description": "An overview of the life and works of Iain Sinclair, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/S/Sinclair,_Iain", "url": "http://www.complete-review.com/authors/sinclairi.htm"} +{"d:Title": "Winner of the 1978 Nobel Prize in Literature", "d:Description": "Isaac Bashevis Singer, Nobel Prize laureate in literature, at the Nobel Prize Internet Archive.", "topic": "Top/Arts/Literature/Authors/S/Singer,_Isaac_Bashevis", "url": "http://almaz.com/nobel/literature/1978a.html"} +{"d:Title": "More on Isaac Bashevis Singer", "d:Description": "From the Archives of The New York Times.", "topic": "Top/Arts/Literature/Authors/S/Singer,_Isaac_Bashevis", "url": "http://www.nytimes.com/books/98/01/25/home/singer.html"} +{"d:Title": "Singley, Bernestine", "d:Description": "Author of \"When Race Becomes Real\", with excerpts and a biography.", "topic": "Top/Arts/Literature/Authors/S/Singley,_Bernestine", "url": "http://www.whenracebecomesreal.com/"} +{"d:Title": "John Skelton (ca.1460-1529)", "d:Description": "\"John Skelton, Renaissance English poet and wag. Life, works, resources.\" Luminarium.org's webpages on Skelton and his works.", "topic": "Top/Arts/Literature/Authors/S/Skelton,_John", "url": "http://www.luminarium.org/renlit/skelton.htm"} +{"d:Title": "Skibinskaya, Polina", "d:Description": "Author and translator; with samples of short stories, articles, and interviews. [English/Russian]", "topic": "Top/Arts/Literature/Authors/S/Skibinskaya,_Polina", "url": "http://www.polina-skibinskaya.com/"} +{"d:Title": "Skillern, Maurice", "d:Description": "Poet; with a biography and news.", "topic": "Top/Arts/Literature/Authors/S/Skillern,_Maurice", "url": "http://maurice-skillern.com/"} +{"d:Title": "Jane Smiley's Private Life", "d:Description": "Short biography, excerpt from Horse Heaven, questions and answers with author Jane Smiley, and catalog of her previous books.", "topic": "Top/Arts/Literature/Authors/S/Smiley,_Jane", "url": "http://knopfdoubleday.com/smiley/"} +{"d:Title": "Ali Smith", "d:Description": "Booker Prize-shortlisted author; with a biography, bibliography, and prize list.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Ali", "url": "https://literature.britishcouncil.org/writer/ali-smith"} +{"d:Title": "A Tribute to Clark Ashton Smith", "d:Description": "Includes biographical material and art work from books.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Clark_Ashton", "url": "http://www.oceanstar.com/cas/"} +{"d:Title": "The Eldritch Dark", "d:Description": "Information on Clark Ashton Smith. Includes writing samples, letters, paintings, and links to biographies, tributes and miscellaneous material.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Clark_Ashton", "url": "http://www.eldritchdark.com/"} +{"d:Title": "Clark Ashton Smith: The Sorcerer of Auburn", "d:Description": "Biography, bibliography and links.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Clark_Ashton", "url": "http://alangullette.com/lit/smith/"} +{"d:Title": "Cordwainer Smith and His Remarkable Science Fiction", "d:Description": "Selections from Cordwainer Smith's stories, biography, links, forum, photos, books and other items for sale, in a site maintained by his daughter. Also home to the Cordwainer Smith Foundation.", "priority": "1", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.cordwainer-smith.com/"} +{"d:Title": "Cats, cruelty and children", "d:Description": "Full text of a recent article about Smith, subtitled \"Idealism and Morality in the Instrumentality of Mankind.\"", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.raingod.com/angus/Writing/Essays/Literary/Smith.html"} +{"d:Title": "Concordance to Cordwainer Smith", "d:Description": "NESFA Press publisher's site about Anthony R. Lewis' book of the same name, which identifies all things, places and characters in Smith's works. Some excerpts available for reading.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.nesfa.org/press/Books/Lewis-Concordance.html"} +{"d:Title": "From Canberra to Norstrilia", "d:Description": "A participant report of a talk given by Alan Elms at a science fiction conference. It deals with the influence Smith's visit to Australia had in his work.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://fanac.org/worldcon/AussieCon/w99-rpt.html#smith"} +{"d:Title": "The Rediscovery of Man", "d:Description": "NESFA Press publisher's site about the book of the same name, containing all of Smith's SF short fiction.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.nesfa.org/press/Books/Smith-Rediscovery.htm"} +{"d:Title": "Norstrilia", "d:Description": "NESFA Press publisher's site about the book of the same name, Smith's only SF novel.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.nesfa.org/press/Books/Smith-Norstrilia.htm"} +{"d:Title": "Ex Libris Archives: Cordwainer Smith", "d:Description": "Cordwainer Smith's author page and very short reviews at Ex Libris.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.wjduquette.com/authors/csmith.html"} +{"d:Title": "Tribute to Cordwainer Smith", "d:Description": "Odile Prigent's computer art inspired by Smith, at the Paleologos site.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.paleologos.com/mankind/instrume.htm"} +{"d:Title": "The 160th Century Worlds Tour, the Universe of Cordwainer Smith", "d:Description": "Showcase site for virtual reality artist Corby James Waste's VR-tour of Smith's universe. Many still-image screen-captures available, including some in stereo 3D.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.fourth-millennium.net/cordwainer-vr/cs-index.html"} +{"d:Title": "Mr Forest of Incandescent Bliss", "d:Description": "Article about Smith by John J. Pierce, scanned from its original publication in a 1973 issue of the fanzine Speculation.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://fanac.org/fanzines/Speculation/Speculation33-02.html"} +{"d:Title": "Cordwainer Smith - The Instrumentality of Mankind", "d:Description": "Artist Hicaru Tanaka's cover for a Japanese edition of Smith's work.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://members.jcom.home.ne.jp/hicarut/1covers/81Qe.html"} +{"d:Title": "Paul Myron Anthony Linebarger, Jr., Colonel, United States Army", "d:Description": "Biography, and photos of the gravestone, from the Arlington National Cemetery.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.arlingtoncemetery.net/linebarg.htm"} +{"d:Title": "The Universe of Cordwainer Smith", "d:Description": "Timeline compiled by J.J. Pierce for Smith's science fiction stories.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.theweebsite.com/ragnar/smith_time.html"} +{"d:Title": "Cordwainer Smith Unofficial Biography Page", "d:Description": "Alan C. Elms' pages about Smith, including a full list of Elms' writings on him.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://www.ulmus.net/sciencefiction/CS_menu.html"} +{"d:Title": "The Templeton Gate - Authors - Cordwainer Smith", "d:Description": "Cordwainer Smith's author page at The Templeton Gate, with an essay about all his work.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "http://templetongate.net/csmith.htm"} +{"d:Title": "Cordwainer Smith Bibliography", "d:Description": "A bibliography of Cordwainer Smith's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer", "url": "https://www.fantasticfiction.com/s/cordwainer-smith/"} +{"d:Title": "Norstrilia", "d:Description": "A featured review of the Gollancz edition of this novel at the SF Site, by Greg L. Johnson.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer/Reviews", "url": "http://www.sfsite.com/10a/no90.htm"} +{"d:Title": "The Rosy Gloom Of Cordwainer Smith", "d:Description": "General review of all of his work, by John Robinson, from the archive of the No-Eyed Monster fanzine.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Cordwainer/Reviews", "url": "http://www.fanac.org/fanzines/Monster/Monster5-19.html"} +{"d:Title": "IMDb: Dodie Smith", "d:Description": "Filmography.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Dodie", "url": "http://www.imdb.com/name/nm0807977/"} +{"d:Title": "Reading Group Guide: I Capture the Castle by Dodie Smith", "d:Description": "Synopsis, discussion questions and critical praise.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Dodie", "url": "http://www.readinggroupguides.com/reviews/i-capture-the-castle"} +{"d:Title": "FallenAngel's Paper House", "d:Description": "Fan site based mainly on The Forbidden Game Trilogy. Contains summaries of Smith's books.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J.", "url": "http://www.angelfire.com/sc/fallenangel/"} +{"d:Title": "L. J. Smith Classifieds", "d:Description": "A place where fans of Smith can post about items they are looking for or selling.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J.", "url": "http://members.tripod.com/LJSmith_ads/"} +{"d:Title": "The L.J Smith Diaries", "d:Description": "Information on the author and her writings, forum, speculations, character profiles and meanings, and site information.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J.", "url": "http://www.angelfire.com/co4/ljs_diaries/index.html"} +{"d:Title": "The Realm of the White Shadow", "d:Description": "Discusses the use of Paganism and New Age in the novels.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J.", "url": "http://www.angelfire.com/amiga/nightworldrealm/"} +{"d:Title": "Twilight Tales", "d:Description": "Archive of Smith fan fiction. Includes information for submitting, awards, and message board.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Fan_Fiction", "url": "http://www.ttales.net/"} +{"d:Title": "Circle Square", "d:Description": "The original LJS spoof club.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Organizations", "url": "http://www.angelfire.com/ia/circlesquare/main.html"} +{"d:Title": "Joyland Park", "d:Description": "A club revolving mainly around The Forbidden Game trilogy.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Organizations", "url": "http://www.angelfire.com/ne/Joyland/"} +{"d:Title": "The Shadow Realm", "d:Description": "A club with games and fan fiction based on The Forbidden Game trilogy.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Organizations", "url": "http://www.angelfire.com/zine/theshadowrealm/"} +{"d:Title": "Circle Unity", "d:Description": "A mailing list and club based on the Night World.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Organizations", "url": "http://www.angelfire.com/ma/EnterAtRisk/CircleUnity.index.html"} +{"d:Title": "After Millennium", "d:Description": "Takes place \"After the Millennium.\" Only AOL users can participate.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Role_Playing", "url": "http://www.angelfire.com/ma/daughtersofdarkness/after1.html"} +{"d:Title": "Circle Morning Star", "d:Description": "An E-Mail RPG based on The Secret Circle trilogy.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Role_Playing", "url": "http://www.angelfire.com/sd/cms/"} +{"d:Title": "The Forbidden Game RPG", "d:Description": "Based on The Forbidden Game Trilogy. Enter the haunted mansion and face your worst nightmares.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Role_Playing", "url": "http://www.angelfire.com/il/MysticalGames/index.html"} +{"d:Title": "NightWorld 2000", "d:Description": "An E-Mail RPG based on all series by LJS.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Role_Playing", "url": "http://www.angelfire.com/pa3/NightWorld1/index.html"} +{"d:Title": "Circle Night Secrets", "d:Description": "Plot involves daybreakers losing their memories and witches raising an Ancient to attain power.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Role_Playing", "url": "http://groups.yahoo.com/group/Circle_Night_Secrets/"} +{"d:Title": "The Secret Circle", "d:Description": "A web ring based mainly on The Secret Circle Trilogy, although all LJS related web sites are welcome to join.", "topic": "Top/Arts/Literature/Authors/S/Smith,_L._J./Web_Rings", "url": "http://www.webring.org/hub?ring=visions&home"} +{"d:Title": "Smith, Michelle", "d:Description": "Includes biographical information, a photograph, and published articles.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Michelle", "url": "http://theebonyquill.com/"} +{"d:Title": "Allreaders Sam Smith Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the author's books, plus links to similar books. Sign up to be a Sam Smith scholar on the site.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Sam", "url": "http://allreaders.com/books/sam-smith-937"} +{"d:Title": "Haunts and By-Paths", "d:Description": "A website devoted to comedic fantasy author Thorne Smith, author of The Night Life of the Gods and the Topper series.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Thorne", "url": "http://jchoma.tripod.com/THORNE.html"} +{"d:Title": "Zadie Smith", "d:Description": "Booker Prize-shortlisted author; with a biography, bibliography and prize list.", "topic": "Top/Arts/Literature/Authors/S/Smith,_Zadie", "url": "https://literature.britishcouncil.org/writer/zadie-smith"} +{"d:Title": "From \"the Portable Beat Reader\"", "d:Description": "Short biography of Gary Snyder.", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary", "url": "http://web.mit.edu/afs/athena.mit.edu/user/d/r/dryfoo/www/Spritz-yule/snyder.html"} +{"d:Title": "Holistic Hipster", "d:Description": "Gary Snyder delivers his opus. Review by Catherine A. Salmons.", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary", "url": "http://www.bostonphoenix.com/alt1/archive/books/reviews/03-97/SNYDER.html"} +{"d:Title": "Basic Materials for the Counterculture-Gary Snyder", "d:Description": "Excerpt from a chapter of \"Making Peace With the Sixties.\"", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary", "url": "http://www.writing.upenn.edu/~afilreis/88/snyder.html"} +{"d:Title": "\"Literary Kicks\" on Gary Snyder", "d:Description": "Includes biographical information on Snyder as well as a bibliography.", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary", "url": "http://www.litkicks.com/GarySnyder"} +{"d:Title": "Poets.org: Gary Snyder", "d:Description": "Includes biography, photo, and selected poems by Gary Snyder.", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary", "url": "http://www.poets.org/poetsorg/poet/gary-snyder"} +{"d:Title": "Manuscripts of Gary Snyder's Work", "d:Description": "Special collection of material; primarily poetry originals and correspondence.", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary", "url": "http://www.library.kent.edu/gary-snyder-papers"} +{"d:Title": "\"Literary Kicks\" texts", "d:Description": "Pieces by various Beat writers.", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary/Works", "url": "http://www.litkicks.com/Texts/BeatTextList.html"} +{"d:Title": "Riprap", "d:Description": "Text of the poem in HTML format.", "topic": "Top/Arts/Literature/Authors/S/Snyder,_Gary/Works", "url": "http://www.litkicks.com/Texts/Riprap.html"} +{"d:Title": "Wikipedia: Valerie Solanas", "d:Description": "Introduction with links.", "topic": "Top/Arts/Literature/Authors/S/Solanas,_Valerie", "url": "http://en.wikipedia.org/wiki/Valerie_Solanas"} +{"d:Title": "Fernando Sorrentino, Argentinian writer, born 1942", "d:Description": "Autobiography and publications.", "topic": "Top/Arts/Literature/Authors/S/Sorrentino,_Fernando", "url": "http://www.alb-neckar-schwarzwald.de/autores/sorrentino/bio-e.html"} +{"d:Title": "A Psychological Crusade", "d:Description": "A short story by Fernando Sorrentino, translated by Clark M. Zlotchew.", "topic": "Top/Arts/Literature/Authors/S/Sorrentino,_Fernando", "url": "http://www.eastoftheweb.com/short-stories/UBooks/PsycCrus.shtml"} +{"d:Title": "The Visitation", "d:Description": "A story by Fernando Sorrentino.", "topic": "Top/Arts/Literature/Authors/S/Sorrentino,_Fernando", "url": "http://www.sffworld.com/authors/s/sorrentino_fernando/fiction/visitation1.html"} +{"d:Title": "There's a Man in the Habit of Hitting Me on the Head with an Umbrella", "d:Description": "A short story by Fernando Sorrentino.", "topic": "Top/Arts/Literature/Authors/S/Sorrentino,_Fernando", "url": "http://www.jbeilharz.de/autores/sorrentino/paraguas-e.html"} +{"d:Title": "Soto, Gary", "d:Description": "Official site, with sales of his ten poetry collections, including 'New and Selected Poems', FAQS, and some of his favorite titles fit for high school students and older readers.", "topic": "Top/Arts/Literature/Authors/S/Soto,_Gary", "url": "http://www.garysoto.com/"} +{"d:Title": "Gary Soto", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/S/Soto,_Gary", "url": "http://www.poets.org/poetsorg/poet/gary-soto"} +{"d:Title": "Old \"New\" Journalists - Terry Southern", "d:Description": "Overview of Southern's career and activism, including a bibliography of selected works.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://iml.jou.ufl.edu/uf441/journalists/terry_southern.htm"} +{"d:Title": "Featured Writer Terry Southern", "d:Description": "New York Times feature on Southern with links to reviews and news on Southern's life and work.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://www.nytimes.com/books/01/06/17/specials/southern.html"} +{"d:Title": "Terry Southern 1924 - 1995", "d:Description": "Devoted to Southern and the literary and cultural circles in which he traveled as well as his co-authorship of Dr. Strangelove, Easy Rider and Barbarella.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://www.terrysouthern.com/home.htm"} +{"d:Title": "Terry Southern (1924-1995)", "d:Description": "Bibliography and brief biography at Perspectives in American Literature.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://www.csustan.edu/english/reuben/pal/chap10/southern.html"} +{"d:Title": "Interview with a Grand Guy", "d:Description": "Interview with Southern by biographer Lee Hill.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://www.altx.com/interviews/terry.southern.html"} +{"d:Title": "Notes from the War Room", "d:Description": "Article by Southern on the making of Dr. Strangelove at The Kubrick Site.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://www.visual-memory.co.uk/amk/doc/0081.html"} +{"d:Title": "Robert Fulford's Column about Terry Southern", "d:Description": "Discussion of Southern's work, especially Dr. Strangelove and Easy Rider.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://www.robertfulford.com/TerrySouthern.html"} +{"d:Title": "Terry Southern", "d:Description": "Biography of 1960s writer Terry Southern at LitKicks.", "topic": "Top/Arts/Literature/Authors/S/Southern,_Terry", "url": "http://www.litkicks.com/TerrySouthern"} +{"d:Title": "Allreaders Nicholas Sparks Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Nicholas Sparks scholar on the site.", "topic": "Top/Arts/Literature/Authors/S/Sparks,_Nicholas", "url": "http://allreaders.com/books/nicholas-sparks-361"} +{"d:Title": "Jack Spicer Feature", "d:Description": "In: Jacket magazine # 7.", "topic": "Top/Arts/Literature/Authors/S/Spicer,_Jack", "url": "http://jacketmagazine.com/07/"} +{"d:Title": "Elegy In a Country Churchyard by George Starbuck", "d:Description": "A review from In Dissent by Cooper Renner.", "topic": "Top/Arts/Literature/Authors/S/Starbuck,_George", "url": "http://www.webdelsol.com/LITARTS/In_Dissent/cooper5.htm"} +{"d:Title": "George Starbuck (1931-1996)", "d:Description": "George Starbuck obituary notes at EPC.", "topic": "Top/Arts/Literature/Authors/S/Starbuck,_George", "url": "http://epc.buffalo.edu/documents/obits/starbuck.html"} +{"d:Title": "Sonnet with a Different Letter at the End of Every Line", "d:Description": "Text of the poem with notes.", "topic": "Top/Arts/Literature/Authors/S/Starbuck,_George", "url": "http://wonderingminstrels.blogspot.com/1999/09/sonnet-with-different-letter-at-end-of.html"} +{"d:Title": "Staub, Wendy Corsi", "d:Description": "The authors' biography, and books in fiction and nonfiction genres including suspense, mystery, romance, and young adult.", "topic": "Top/Arts/Literature/Authors/S/Staub,_Wendy_Corsi", "url": "http://www.wendycorsistaub.com/"} +{"d:Title": "Ralph Steadman Home Page", "d:Description": "The \"official\" website of the author and artist.", "topic": "Top/Arts/Literature/Authors/S/Steadman,_Ralph", "url": "http://www.ralphsteadman.com/"} +{"d:Title": "Gertrude Stein and Sherwood Anderson Links", "d:Description": "Links to articles, books, and pictures, related to Gertrude Stein and/or Sherwood Anderson.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude", "url": "http://duanesimolke.blogspot.com/search/label/Gertrude%20Stein"} +{"d:Title": "Perspectives in American Literature: Gertrude Stein (1874-1946)", "d:Description": "Primary works and selected bibliography.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude", "url": "http://www.csustan.edu/english/reuben/pal/chap7/stein.html"} +{"d:Title": "Gertrude Stein", "d:Description": "Online version of \"Three Lives\".", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude", "url": "http://www.bartleby.com/people/Stein-Ge.html"} +{"d:Title": "Poetry of Gertrude Stein", "d:Description": "Includes a brief biography of Stein, along with one of her poems and a list of further reading materials both online and off.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude", "url": "http://www.sappho.com/poetry/g_stein.html"} +{"d:Title": "Gertrude Stein's Readings", "d:Description": "Texts of several poems.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude", "url": "http://www.writing.upenn.edu/~afilreis/88/readings.html"} +{"d:Title": "University of Pennsylvania: Gertrude Stein", "d:Description": "Contains a biography and bibliography for the author.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude", "url": "http://www.writing.upenn.edu/~afilreis/88/stein-bio.html"} +{"d:Title": "An Incomplete Portrait of Gertrude Stein", "d:Description": "MP3 file download of Gertrude Stein's rendition of \"A Completed Portrait of Picasso\" with a rap beat background.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude", "url": "http://sethares.engr.wisc.edu/mp3s/steinsong.html"} +{"d:Title": "Gertrude Stein: The Making of Americans", "d:Description": "Excerpt from the book and portrait of the author.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude/Works", "url": "http://www.boppin.com/poets/stein.htm"} +{"d:Title": "Bartleby.com", "d:Description": "Online publication of Gertude Stein's 1909 classic \"Three Lives.\"", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude/Works", "url": "http://www.bartleby.com/74"} +{"d:Title": "Tender Buttons, Stein, Gertrude. 1914", "d:Description": "Bartleby.com's online publication of the classic 1914 edition of Gertrude Stein's \"Tender Buttons.\"", "topic": "Top/Arts/Literature/Authors/S/Stein,_Gertrude/Works", "url": "http://www.bartleby.com/140"} +{"d:Title": "Stein, Jessica Davis", "d:Description": "Novelist of 'Coyote Dream'; with a biography and extracts.", "topic": "Top/Arts/Literature/Authors/S/Stein,_Jessica_Davis", "url": "http://www.jessicadavisstein.com/"} +{"d:Title": "Steinbaum, Ellen", "d:Description": "Official site; with poems and a biography.", "topic": "Top/Arts/Literature/Authors/S/Steinbaum,_Ellen", "url": "http://www.ellensteinbaum.com/"} +{"d:Title": "My Asian Frontier and The Situation", "d:Description": "Poetic prose and a poem by Robert Steiner.", "topic": "Top/Arts/Literature/Authors/S/Steiner,_Robert", "url": "http://www.alb-neckar-schwarzwald.de/rsteiner/rs-asia.html"} +{"d:Title": "Leslie Stella", "d:Description": "Official site includes reviews, interviews, current projects, buying information, and publicity.", "topic": "Top/Arts/Literature/Authors/S/Stella,_Leslie", "url": "http://www.lesliestella.com/"} +{"d:Title": "Stendhal at The Colony Theatre", "d:Description": "Cast list and author information on a play about an episode in the writer's life.", "topic": "Top/Arts/Literature/Authors/S/Stendhal", "url": "http://www.colonytheatre.org/shows/Stendahl.html"} +{"d:Title": "Stendhal Forever", "d:Description": "Resource site, including biography, further reading recommendations, and links to his work online.", "topic": "Top/Arts/Literature/Authors/S/Stendhal", "url": "http://www.stendhalforever.com/"} +{"d:Title": "Stendhal - Red and Black", "d:Description": "Text of lecture by Ian Johnston on Stendhal's novel.", "topic": "Top/Arts/Literature/Authors/S/Stendhal", "url": "http://records.viu.ca/~johnstoi/introser/stendhal2.htm"} +{"d:Title": "Stefan Stenudd, Swedish author, aikidoka, artist.", "d:Description": "Stefan Stenudd, Swedish author, aikidoka and artist presents himself and his work, with lots of it online.", "topic": "Top/Arts/Literature/Authors/S/Stenudd,_Stefan", "url": "http://www.stenudd.com/"} +{"d:Title": "Gerald Stern", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/S/Stern,_Gerald", "url": "http://www.poets.org/poetsorg/poet/gerald-stern"} +{"d:Title": "A Sentimental Journey Through France and Italy", "d:Description": "Text of New York 1917 edition, originally published by P.F. Collier and Son, now by bartleby.com.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.bartleby.com/303/1/"} +{"d:Title": "Tristram Shandy: An Annotated Bibliography", "d:Description": "Jack Lynch's bibliography of critical works on Tristram Shandy, from 1978 to 1995.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://andromeda.rutgers.edu/~jlynch/Biblio/shandy.html"} +{"d:Title": "The Life and Opinions of Tristram Shandy, Gentleman", "d:Description": "Text in HTML format, at Bibliomania. With critical summary.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.bibliomania.com/0/0/178/969/frameset.html"} +{"d:Title": "Sterne, and the Novel of His Times", "d:Description": "Critical essay on the context of Sterne's work.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.bartleby.com/220/0301.html"} +{"d:Title": "Indeterminacy Winked at: Tristram Shandy, Evangelist of Ambiguity", "d:Description": "Critical essay on Tristram Shandy's resistance to rationalism.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.ionet.net/~cbb/Shandypaper.htm"} +{"d:Title": "Tristram Shandy", "d:Description": "High-quality scans of illustrations and graphics from early editions of Tristram Shandy, at Glasgow University Library.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://special.lib.gla.ac.uk/exhibns/month/oct2000.html"} +{"d:Title": "Spiraling Down \"The Gutter of Time\": Tristram Shandy and the Strange Attractor of Death", "d:Description": "Critical essay comparing Tristram Shandy to chaos theory's Lorenz attractor.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.altx.com/ebr/w(ebr)/essays/parker.html"} +{"d:Title": "Shandy Hall on AboutBritain.com", "d:Description": "Includes map, nearby attractions and accommodation.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.aboutbritain.com/ShandyHall.htm?RefID=1503020"} +{"d:Title": "An Experimental Novel: Sterne's Tristram Shandy", "d:Description": "Essays: Influences on Sterne, plot and character summaries for Tristram Shandy. Links to related sites.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://mural.uv.es/franrey/"} +{"d:Title": "Sancho's Letter to Sterne", "d:Description": "Text of Ignatius Sancho's letter to Sterne on the topic of slavery, with Sterne's reply.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.brycchancarey.com/sancho/letter1.htm"} +{"d:Title": "The Life and Opinions of Tristram Shandy, Gentleman", "d:Description": "Full text from the first and third editions, converted to HTML. With images of Hogarth's illustrations, the marbled page, and Sterne's other graphical devices.", "priority": "1", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www1.gifu-u.ac.jp/~masaru/TS/contents.html"} +{"d:Title": "Laurence Sterne in Cyberspace", "d:Description": "Directory of websites related to the works and life of Sterne. Includes texts, bibliographies, and critical works.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www1.gifu-u.ac.jp/~masaru/Sterne_on_the_Net.html"} +{"d:Title": "The Oates Collection", "d:Description": "Sterneana held at Cambridge University Library, UK.", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.lib.cam.ac.uk/deptserv/rarebooks/oates.html"} +{"d:Title": "Portraits of Sterne, National Portrait Gallery, London", "d:Description": "Includes low-resolution scans of portraits by Sir Joshua Reynolds, Louis Carrogis ('Louis de Carmontelle').", "topic": "Top/Arts/Literature/Authors/S/Sterne,_Laurence", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp04289"} +{"d:Title": "Stewart, Mark Allyn", "d:Description": "Official site of a vampire novelist; with news, a biography, and extracts.", "topic": "Top/Arts/Literature/Authors/S/Stewart,_Mark_Allyn", "url": "http://home.earthlink.net/~markstewart/index.html"} +{"d:Title": "Interview with Mary Stewart", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/Authors/S/Stewart,_Mary", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-mary-stewart"} +{"d:Title": "Allreaders Mary Stewart Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Authors/S/Stewart,_Mary", "url": "http://allreaders.com/books/mary-stewart-725"} +{"d:Title": "The Lady or the Tiger", "d:Description": "HTML format.", "topic": "Top/Arts/Literature/Authors/S/Stockton,_Frank_R./Works", "url": "http://www.pagebypagebooks.com/Frank_R_Stockton/The_Lady_or_the_Tiger/index.html"} +{"d:Title": "The Dracula Library", "d:Description": "Access to the online catalog of the large collection on vampires at CESNUR Library; scholarly articles and news on vampires, religion, and culture", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram", "url": "http://www.cesnur.org/dracula_library.htm"} +{"d:Title": "European Vampire Bibliography: Bram Stoker", "d:Description": "Literary criticism and reviews of Stoker's works.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram", "url": "http://www-lib.usc.edu/~melindah/eurovamp/stoker.htm"} +{"d:Title": "Bram Stoker- Biography and Works", "d:Description": "Biography of Bram Stoker and a searchable collection of works.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram", "url": "http://www.online-literature.com/stoker/"} +{"d:Title": "Stoker's Grave", "d:Description": "Lists the birth and death dates of Stoker. Includes photos of Stoker and his grave.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1381"} +{"d:Title": "The Dracula Society", "d:Description": "The Society was formed in October 1973 to cater for lovers of the Vampire and his Kind and specifically to enable Members to meet and travel to regions such as Transylvania.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram", "url": "http://www.thedraculasociety.org.uk/"} +{"d:Title": "Vampiri Europeana", "d:Description": "A bibliography of non-English European resources on vampires in literature, folklore, and popular culture. Includes lists of Stoker short stories, novels, and criticism.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram", "url": "http://www-lib.usc.edu/~melindah/eurovamp/vampeuro.html"} +{"d:Title": "Bram Stoker", "d:Description": "A short biography of Stoker and e-texts of Dracula and Lair of the White Worm.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram", "url": "http://stoker.thefreelibrary.com/"} +{"d:Title": "Bram Stoker", "d:Description": "Three of Stoker's gothic horror fantasy novels, including the one he is most famous for. A part of the Online Literature Library.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works", "url": "http://www.literature.org/authors/stoker-bram/"} +{"d:Title": "Page By Page Books: The Lair of the White Worm", "d:Description": "Chapter-indexed and paged HTML text of the novel.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works", "url": "http://www.pagebypagebooks.com/Bram_Stoker/The_Lair_of_the_White_Worm/"} +{"d:Title": "The Man by Bram Stoker", "d:Description": "Online text.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works", "url": "http://www.learnlibrary.com/the-man/"} +{"d:Title": "Lair Of The White Worm", "d:Description": "Online text of Bram Stoker's Lair Of The White Worm.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works", "url": "http://www.literature.org/authors/stoker-bram/lair/"} +{"d:Title": "The Lair of the White Worm", "d:Description": "Free online text.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works", "url": "http://www.online-literature.com/stoker/white_worm/"} +{"d:Title": "The Lair of the White Worm by Bram Stoker", "d:Description": "Find a tale in which an archaeologist unearths the skull of a giant worm that he believes was worshipped as a god during Roman times.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works", "url": "http://stoker.thefreelibrary.com/Lair-of-the-White-Worm"} +{"d:Title": "Bram Stoker's Dracula", "d:Description": "Searchable online text.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://www.online-literature.com/stoker/dracula/"} +{"d:Title": "Dracula", "d:Description": "Online text of Bram Stoker's Dracula.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://www.literature.org/authors/stoker-bram/dracula/"} +{"d:Title": "Dracula's Homepage", "d:Description": "In-depth information on Stoker and Dracula. Includes a discussion board.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://www.ucs.mun.ca/~emiller/"} +{"d:Title": "Bram Stoker's Dracula", "d:Description": "Online text of Bram Stoker's Dracula.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://www.pagebypagebooks.com/Bram_Stoker/Dracula/"} +{"d:Title": "Dracula", "d:Description": "Free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://www.classicbookshelf.com/library/Stoker/"} +{"d:Title": "Reality, Fiction&Symbolism in Bram Stoker's Dracula", "d:Description": "An essay concerning elements of reality, fiction and symbolism in Bram Stoker's Dracula.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://dracula.i8.com/"} +{"d:Title": "Dracula by Bram Stoker", "d:Description": "Learn about a vampiric count who is hunted after Jonathan Harker, a solicitor, finds out the Count's secret.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://stoker.thefreelibrary.com/Dracula"} +{"d:Title": "Rosenbach Museum and Library", "d:Description": "Information on exhibitions and events, collections, education programs and projects, research areas, memberships, and home of the working nots for Stoker's Dracula.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula", "url": "http://www.rosenbach.org/"} +{"d:Title": "Dracula's Guest", "d:Description": "Online text of Bram Stoker's \"Dracula's Guest.\"", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula/Dracula's_Guest", "url": "http://www.literature.org/authors/stoker-bram/draculas-guest/"} +{"d:Title": "Dracula's Guest", "d:Description": "Online version of the short story.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula/Dracula's_Guest", "url": "http://www.sff.net/people/doylemacdonald/L_GUEST.HTM"} +{"d:Title": "Dracula's Guest by Bram Stoker", "d:Description": "Online version of text.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Dracula/Dracula's_Guest", "url": "http://www.online-literature.com/stoker/821/"} +{"d:Title": "The Judge's House", "d:Description": "HTML text of the work.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Short_Stories", "url": "http://www.sff.net/people/DoyleMacdonald/l_jdghus.htm"} +{"d:Title": "The Judge's House by Bram Stoker", "d:Description": "Online version of text.", "topic": "Top/Arts/Literature/Authors/S/Stoker,_Bram/Works/Short_Stories", "url": "http://www.online-literature.com/stoker/820/"} +{"d:Title": "Stollman, Aryeh Lev", "d:Description": "The author's books, novels, short stories and other works of fiction, current biography, upcoming appearances, and contact information.", "topic": "Top/Arts/Literature/Authors/S/Stollman,_Aryeh_Lev", "url": "http://www.aryehlevstollman.com/"} +{"d:Title": "Bartleby.com - Theodor Storm", "d:Description": "Online publication of Theodor Storm's classic \"The Rider on the White Horse.\"", "topic": "Top/Arts/Literature/Authors/S/Storm,_Theodor", "url": "http://www.bartleby.com/315/3/"} +{"d:Title": "Theodor Storm", "d:Description": "Life and works of this 19th century German poet and author. Includes English and German bibliographies, reviews (in English), and background.", "topic": "Top/Arts/Literature/Authors/S/Storm,_Theodor", "url": "http://www.theodorstorm.co.uk/"} +{"d:Title": "Jill Stover's Page", "d:Description": "I'm a children's author, illustrator, and storyteller. I also do school visits. Please check out an interview with me. You can order my books online, too.", "topic": "Top/Arts/Literature/Authors/S/Stover,_Jill", "url": "http://www.jillo.com/"} +{"d:Title": "Harriet Beecher Stowe: A Little Bit of a Woman", "d:Description": "Literature study.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher", "url": "http://www.chfweb.com/smith/harriet.html"} +{"d:Title": "A Celebration of Women Writers - Harriet Beecher Stowe", "d:Description": "Biographical information and a bibliography of works written by and about Harriet Beecher Stowe.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher", "url": "http://digital.library.upenn.edu/women/stowe/StoweHB.html"} +{"d:Title": "Harriet Beecher Stowe", "d:Description": "Vintage photograph, vital statistics and biographical information.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher", "url": "http://www.netstate.com/states/peop/people/ct_hbs.htm"} +{"d:Title": "About Harriet Beecher Stowe", "d:Description": "Where to begin to find information on Stowe's life and work and related topics, from the About.com Guide to Women's History", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher", "url": "http://womenshistory.about.com/od/stoweharriet/"} +{"d:Title": "Harriet Beecher Stowe Center", "d:Description": "A nonprofit educational institution that operates the restored Harriet Beecher Stowe House and the Stowe-Day Library. The Center's program series focuses on social issues, such as race relations and women's roles, that interested Stowe and her circle.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher", "url": "http://www.harrietbeecherstowecenter.org/"} +{"d:Title": "Uncle Tom's Cabin and American Culture", "d:Description": "University of Virginia multimedia archive.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher", "url": "http://utc.iath.virginia.edu/"} +{"d:Title": "Literature Network: Uncle Tom's Cabin", "d:Description": "Free online version.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://www.online-literature.com/stowe/uncletom/"} +{"d:Title": "Key to Uncle Tom's Cabin - Chapter III", "d:Description": "\"from The Key to Uncle Tom's Cabin; presenting The Original Facts and Documents Upon Which The Story Is Founded, by Harriet Beecher Stowe, 1853.\"", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://xroads.virginia.edu/~MA97/riedy/keych3.html"} +{"d:Title": "Mothers in Uncle Tom's America", "d:Description": "On the mid-nineteenth century maternal ideal as it was understood by Harriet Beecher Stowe and her readers: a small sample of the representations of mothers and motherhood in the popular press of the day.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://xroads.virginia.edu/~MA97/riedy/"} +{"d:Title": "Classic Bookshelf", "d:Description": "Uncle Tom's Cabin, free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://www.classicbookshelf.com/library/Stowe/"} +{"d:Title": "Queer Little Folks", "d:Description": "Nine short parables for Children. HTML format to read online.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://www.pagebypagebooks.com/Harriet_Beecher_Stowe/Queer_Little_Folks/"} +{"d:Title": "Poetry Archive: Harriet Beecher Stowe", "d:Description": "Several poems by Harriet Beecher Stowe.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://www.poetry-archive.com/s/stowe_harriet_beecher.html"} +{"d:Title": "Uncle Tom's Cabin: or Life Among the Lowly", "d:Description": "A copy of the book's text, plus essays on motherhood in Uncle Tom's Cabin, another essay on homes in the book, and a bibliography of print and online resources.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://xroads.virginia.edu/~HYPER/STOWE/stowe.html"} +{"d:Title": "Read Uncle Tom's Cabin", "d:Description": "Read Uncle Tom's Cabin conveniently, online, page by page.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://www.pagebypagebooks.com/Harriet_Beecher_Stowe/Uncle_Toms_Cabin/index.html"} +{"d:Title": "Lecture Notes on Uncle Tom's Cabin", "d:Description": "From Gonzaga University faculty.", "topic": "Top/Arts/Literature/Authors/S/Stowe,_Harriet_Beecher/Works", "url": "http://public.wsu.edu/~campbelld/amlit/Utc.htm"} +{"d:Title": "Bartleby.com", "d:Description": "Online publication of Lytton Strachey's 1918 classic \"Eminent Victorians.\"", "topic": "Top/Arts/Literature/Authors/S/Strachey,_Lytton", "url": "http://www.bartleby.com/189"} +{"d:Title": "Mark Strand", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/S/Strand,_Mark", "url": "http://www.poets.org/poetsorg/poet/mark-strand"} +{"d:Title": "Recycling the Future - A Text by Marlene Streeruwitz", "d:Description": "13 colour slides (Emily). Text by Austrian author Marlene Streerowitz.", "topic": "Top/Arts/Literature/Authors/S/Streeruwitz,_Marlene", "url": "http://www.kunstradio.at/FUTURE/RTF/SYMPOSIUM/LECTURES/STREERUWITZ/streeru-txt-e.html"} +{"d:Title": "Bess Streeter Aldrich Foundation", "d:Description": "Offers a guided tour through the historical home of an author of pioneer literature. Includes her biography, upcoming events, membership, volunteering information, a book list and directions.", "topic": "Top/Arts/Literature/Authors/S/Streeter_Aldrich,_Bess", "url": "http://www.bessstreeteraldrich.org/"} +{"d:Title": "Bess G. Aldrich", "d:Description": "Biography of the author's early life in Cedar Falls, Iowa, education, and the creation of literary works.", "topic": "Top/Arts/Literature/Authors/S/Streeter_Aldrich,_Bess", "url": "http://iagenweb.org/boards/blackhawk/biographies/index.cgi?read=110789"} +{"d:Title": "William Strunk, Jr.", "d:Description": "\"The Elements of Style\" online.", "topic": "Top/Arts/Literature/Authors/S/Strunk,_William", "url": "http://www.bartleby.com/people/Strunk-W.html"} +{"d:Title": "Sir John Suckling (1609-1642)", "d:Description": "\"Life, works, and resources for the Cavalier Poet.\" Webpages devoted to Suckling at luminarium.org.", "topic": "Top/Arts/Literature/Authors/S/Suckling,_John", "url": "http://www.luminarium.org/sevenlit/suckling/"} +{"d:Title": "Pira Sudham: A true voice from Esarn", "d:Description": "Information about the Thai author.", "topic": "Top/Arts/Literature/Authors/S/Sudham,_Pira", "url": "http://www.dcothai.com/product_info.php?products_id=38"} +{"d:Title": "Out", "d:Description": "Novel by Ronald Sukenick.", "topic": "Top/Arts/Literature/Authors/S/Sukenick,_Ronald", "url": "http://www.altx.com/out/out.html"} +{"d:Title": "The Rival Tradition", "d:Description": "Ronald Sukenick interviewed by JR Foley.", "topic": "Top/Arts/Literature/Authors/S/Sukenick,_Ronald", "url": "http://www.flashpointmag.com/sukeint1.htm"} +{"d:Title": "Cambridge History of English and American Literature: Surtees", "d:Description": "The history and works of Robert Smith Surtees, both sporting writer and sportsman.", "topic": "Top/Arts/Literature/Authors/S/Surtees,_Robert_Smith", "url": "http://www.bartelby.com/224/0616.html"} +{"d:Title": "Mr Sponge's Sporting Tour", "d:Description": "The complete text (1852) of a novel revolving around foxhunting.", "topic": "Top/Arts/Literature/Authors/S/Surtees,_Robert_Smith", "url": "http://www.bibliomania.com/0/0/49/92/frameset.html"} +{"d:Title": "Chinese Poems: Su Shi", "d:Description": "Chinese and English texts of seven works.", "topic": "Top/Arts/Literature/Authors/S/Su_Shi", "url": "http://www.chinese-poems.com/su.html"} +{"d:Title": "May Swenson", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/S/Swenson,_May", "url": "http://www.poets.org/poetsorg/poet/may-swenson"} +{"d:Title": "Jonathan Dean Swift Conference Series", "d:Description": "Annual Symposia held at Saint Patrick's Cathedral in Dublin. Includes agenda, program and archive of papers presented.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan", "url": "http://www.iol.ie/~rjtechne/swift/"} +{"d:Title": "The Victorian Web: Jonathan Swift", "d:Description": "Guide to the life, times, beliefs, and influences on Jonathan Swift.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan", "url": "http://www.victorianweb.org/previctorian/swift/swiftov.html"} +{"d:Title": "Jonathan Swift (1667-1745)", "d:Description": "Research bibliography, books and links to Jonathan Swift compiled by Russell McNeil.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan", "url": "http://www.malaspina.org/swiftj.htm"} +{"d:Title": "Jonathan 'Isaac Bickerstaff' Swift", "d:Description": "Brief, chatty biography from Incompetech.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Biography", "url": "http://www.incompetech.com/authors/swift/"} +{"d:Title": "Swift, Jonathan 1667 -- 1745", "d:Description": "Brief biographical entry from Cambridge Encyclopedia and Biography.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Biography", "url": "http://www.biography.com/people/jonathan-swift-9500342"} +{"d:Title": "Modes of Reading and Modes of Reading Swift", "d:Description": "Hypertext 1991 essay by Russell A. Hunt published in \"The Experience of Reading\" uses uses \"Gulliver's Travels\" to talk about the relationship of readers and critics to literature.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Reviews", "url": "http://www.stthomasu.ca/~hunt/modes.htm"} +{"d:Title": "On the Road to Canterbury, Lilliput and Elphinstone - The Rough Guide: Satiric Travel Narratives in Chaucer, Swift and Nabokov", "d:Description": "Sam Schuman places \"Gulliver's Travels\" and \"Lolita\" in the satiric tradition launched by \"The Canterbury Tales\" and draws parallels between the two later works.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Reviews", "url": "http://www.libraries.psu.edu/nabokov/schuman.htm"} +{"d:Title": "Gulliver's Travels by Jonathan Swift", "d:Description": "Online version of the book. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works", "url": "http://www.online-literature.com/swift/gulliver/"} +{"d:Title": "Classic Bookshelf", "d:Description": "Gulliver's Travels and other works by Jonathan Swift, free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works", "url": "http://www.classicbookshelf.com/library/Swift/"} +{"d:Title": "\"A Digression on Madness\"", "d:Description": "Extract from A Tale of A Tub.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works", "url": "http://andromeda.rutgers.edu/~jlynch/Texts/taletub.html"} +{"d:Title": "Penn Library Online Books: Jonathan Swift", "d:Description": "Texts of several poems and essays from the University of Pennsylvania.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works", "url": "http://onlinebooks.library.upenn.edu/webbin/book/lookupname?key=Swift%2C+Jonathan%2C+1667-1745"} +{"d:Title": "A Description of the Morning.", "d:Description": "Text of poem, presented by Net Poets.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works/Description_of_the_Morning,_A", "url": "http://netpoets.com/classic/poems/062002.htm"} +{"d:Title": "Gulliver's Travels by Jonathan Swift", "d:Description": "Complete chapter-indexed hypertext and downloadable e-text (.txt format) from Literature Project.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works/Gulliver's_Travels", "url": "http://literatureproject.com/gulliver-travel/index.htm"} +{"d:Title": "A Modest Proposal", "d:Description": "Complete text.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works/Modest_Proposal,_A", "url": "http://www.online-literature.com/swift/947/"} +{"d:Title": "A Modest Proposal", "d:Description": "Free HTML text of one of Swift's best known essays. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/S/Swift,_Jonathan/Works/Modest_Proposal,_A", "url": "http://www.pagebypagebooks.com/Jonathan_Swift/A_Modest_Proposal/index.html"} +{"d:Title": "Passions in Poetry (Classical Poems by Algernon Charles Swinburne)", "d:Description": "Texts of several Swinburne poems, including \"A Forsaken Garden,\" \"The Garden of Prosperine,\" and \"Itylus.\"", "topic": "Top/Arts/Literature/Authors/S/Swinburne,_Algernon_Charles", "url": "http://netpoets.com/classic/063000.htm"} +{"d:Title": "The Victorian Sonnet (Swinburne)", "d:Description": "A selection of sonnets by Swinburne, including dedicatory sonnets to other writers.", "topic": "Top/Arts/Literature/Authors/S/Swinburne,_Algernon_Charles", "url": "http://www.sonnets.org/swinburn.htm"} +{"d:Title": "The Swinburne Project", "d:Description": "A searchable electronic edition of the poetry and prose of Algernon Charles Swinburne.", "topic": "Top/Arts/Literature/Authors/S/Swinburne,_Algernon_Charles", "url": "http://swinburnearchive.indiana.edu/"} +{"d:Title": "Swinburne's \"Joyeuse Garde\"", "d:Description": "Electronic text of Swinburne's 1859 poem, presented by the Camelot Project at the University of Rochester.", "topic": "Top/Arts/Literature/Authors/S/Swinburne,_Algernon_Charles", "url": "http://d.lib.rochester.edu/camelot/text/swinburne-joyeuse-garde"} +{"d:Title": "Swinburne's \"Queen Yseult\"", "d:Description": "Electronic text of Swinburne's 1857-58 poem, presented by the Camelot Project at the University of Rochester.", "topic": "Top/Arts/Literature/Authors/S/Swinburne,_Algernon_Charles", "url": "http://d.lib.rochester.edu/camelot/text/swinburne-queen-yseult"} +{"d:Title": "Swinburne's \"Tristram of Lyonesse\"", "d:Description": "Electronic text of Swinburne's 1882 epic, presented by the Camelot Project at the University of Rochester.", "topic": "Top/Arts/Literature/Authors/S/Swinburne,_Algernon_Charles", "url": "http://d.lib.rochester.edu/camelot/text/swinburne-tristram-of-lyonesse"} +{"d:Title": "The Victorian Web (Algernon Charles Swinburne)", "d:Description": "Useful resources for placing Swinburne's life and works into literary, social, and political contexts.", "topic": "Top/Arts/Literature/Authors/S/Swinburne,_Algernon_Charles", "url": "http://www.victorianweb.org/authors/swinburne"} +{"d:Title": "The Arthur Symons Page", "d:Description": "This webpage includes an extensive selection of Symons' poems as well as a literary-biographical chronology of the poet. A part of the Snakeskin poetry webzine site.", "topic": "Top/Arts/Literature/Authors/S/Symons,_Arthur", "url": "http://homepages.nildram.co.uk/~simmers/symons1.htm"} +{"d:Title": "Arthur Symons, 1865-1945", "d:Description": "Poems, chronology and links to other sites for this Welsh poet.", "topic": "Top/Arts/Literature/Authors/S/Symons,_Arthur", "url": "http://homepages.nildram.co.uk/~simmers/symons.htm"} +{"d:Title": "Arthur Sze", "d:Description": "An Academy of American Poets poetry exhibit, including a brief biography and selected poems.", "topic": "Top/Arts/Literature/Authors/S/Sze,_Arthur", "url": "http://www.poets.org/poetsorg/poet/arthur-sze"} +{"d:Title": "Wladyslaw Szpilman", "d:Description": "Wladyslaw Szpilman, author of \"The Pianist.\" Includes historical and biographical-background information as well as links to numerous off-site reviews.", "topic": "Top/Arts/Literature/Authors/S/Szpilman,_Wladyslaw", "url": "http://www.szpilman.net/"} +{"d:Title": "Szydlowski, Mary Vigliante", "d:Description": "Mainstream fiction, horror, fantasy, science fiction, and children's book author. Biography, book list, excerpts of published works, reviews, and photographs.", "topic": "Top/Arts/Literature/Authors/S/Szydlowski,_Mary_Vigliante", "url": "http://www.maryviglianteszydlowski.com/"} +{"d:Title": "Wislawa Szymborska: Nobel Prize in Literature 1996", "d:Description": "Press release, biography, Nobel lecture, selected poems, Nobel diploma.", "topic": "Top/Arts/Literature/Authors/S/Szymborska,_Wislawa", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1996/"} +{"d:Title": "DeepSpirit", "d:Description": "Samples of the non-fiction works and excerpts of novel of philosopher Christian de Quincey .", "topic": "Top/Arts/Literature/Authors/Spirituality", "url": "http://www.deepspirit.com/"} +{"d:Title": "Chicken Soup for the Soul", "d:Description": "Listing of the inspirational books by Jack Canfield, with a forum and story submission guidelines.", "topic": "Top/Arts/Literature/Authors/Spirituality", "url": "http://www.chickensoup.com/"} +{"d:Title": "Susan Kramer", "d:Description": "More than 40 on-line collections and over 100 articles on being happy and in harmony. Sections for children, teens, adults and the educationally challenged. Some German translations included.", "topic": "Top/Arts/Literature/Authors/Spirituality", "url": "http://www.susankramer.com/"} +{"d:Title": "The William Irwin Thompson World Wide Web Site", "d:Description": "A scholarly webpage about the works of this cultural historian.", "topic": "Top/Arts/Literature/Authors/Spirituality", "url": "http://www.williamirwinthompson.nstemp.com/"} +{"d:Title": "Richard S. Nystrom Senior and his Work", "d:Description": "Featuring the book: G.O.D.: A Guide to Understanding God's Universal Software and other works.", "topic": "Top/Arts/Literature/Authors/Spirituality", "url": "http://www.angelfire.com/or2/RSNystromSr/"} +{"d:Title": "The Grand Design", "d:Description": "Excerpts from books by Patrick Francis (Paddy McMahon), extracts from reviews, and seminar information.", "topic": "Top/Arts/Literature/Authors/Spirituality", "url": "http://www.paddymcmahon.com/"} +{"d:Title": "Dr. Wayne Dyer", "d:Description": "Official site. Includes information about his current publications and events.", "topic": "Top/Arts/Literature/Authors/Spirituality/Dyer,_Wayne", "url": "http://www.drwaynedyer.com/"} +{"d:Title": "Wayne Dyer, author", "d:Description": "Wayne Dyer link list", "topic": "Top/Arts/Literature/Authors/Spirituality/Dyer,_Wayne", "url": "http://ezdollars.tripod.com/waynedyerweb"} +{"d:Title": "Taylor, Drew Hayden", "d:Description": "Native Canadian author, humorist, and playwright: \"the blue-eyed Ojibwa.\" Biography, production lists, publications, awards.", "topic": "Top/Arts/Literature/Authors/T", "url": "http://www.drewhaydentaylor.com/"} +{"d:Title": "The Collective Written Works of Aymee Thomas", "d:Description": "This site contains stories and articles for children and adults. Most are fictional.", "topic": "Top/Arts/Literature/Authors/T", "url": "http://aymee_thomas.tripod.com/"} +{"d:Title": "Thompson, L G", "d:Description": "Scottish thriller writer. Includes profile and reviews.", "topic": "Top/Arts/Literature/Authors/T", "url": "http://www.thrillerswithattitude.co.uk/"} +{"d:Title": "Jos\u00e9 Juan Tablada (1871-1945)", "d:Description": "Biography of the Mexican poet with translated haiku.", "topic": "Top/Arts/Literature/Authors/T/Tablada,_Jos\u00e9_Juan", "url": "http://www.ahapoetry.com/PP0301..htm"} +{"d:Title": "Antonio Tabucchi at the Complete Review", "d:Description": "An overview of the life and works of Italian author Antonio Tabucchi, with links to extensive reviews of his work and further information.", "topic": "Top/Arts/Literature/Authors/T/Tabucchi,_Antonio", "url": "http://www.complete-review.com/authors/tabucchia.htm"} +{"d:Title": "Rabindranath Tagore", "d:Description": "Biography of Tagore.", "topic": "Top/Arts/Literature/Authors/T/Tagore,_Rabindranath", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1913/tagore-bio.html"} +{"d:Title": "Gitanjali", "d:Description": "With an introduction by W.B. Yeats, concordances, and word frequency lists.", "topic": "Top/Arts/Literature/Authors/T/Tagore,_Rabindranath/Works", "url": "http://www.intratext.com/X/ENG0230.htm"} +{"d:Title": "Tagore Poems at Indolink", "d:Description": "A small collection of poems by Rabindranath Tagore in English.", "topic": "Top/Arts/Literature/Authors/T/Tagore,_Rabindranath/Works", "url": "http://www.indolink.com/Poetry/tgorIndx.html"} +{"d:Title": "Chitra", "d:Description": "Complete text of one-act play.", "topic": "Top/Arts/Literature/Authors/T/Tagore,_Rabindranath/Works", "url": "http://www.theatrehistory.com/plays/chitra001.html"} +{"d:Title": "Poetry Archive: Rabindranath Tagore", "d:Description": "Includes seven poems.", "topic": "Top/Arts/Literature/Authors/T/Tagore,_Rabindranath/Works", "url": "http://www.poetry-archive.com/t/tagore_rabindranath.html"} +{"d:Title": "Anniina's Amy Tan Page", "d:Description": "An extensive resource including links to online reviews and criticism, interviews, and audio recordings.", "topic": "Top/Arts/Literature/Authors/T/Tan,_Amy", "url": "http://www.luminarium.org/contemporary/amytan/"} +{"d:Title": "Voices From the Gaps: Amy Tan", "d:Description": "Focuses on the lives and works of North American women writers of color.", "topic": "Top/Arts/Literature/Authors/T/Tan,_Amy", "url": "http://voices.cla.umn.edu/artistpages/tanAmy.php"} +{"d:Title": "Steven Barclay Agency: Amy Tan", "d:Description": "Information on lectures, reading, workshops, and conferences.", "topic": "Top/Arts/Literature/Authors/T/Tan,_Amy", "url": "http://barclayagency.com/site/speaker/amy-tan"} +{"d:Title": "Bartleby.com: Booth Tarkington", "d:Description": "Brief profile and the text of \"The Magnificent Ambersons\".", "topic": "Top/Arts/Literature/Authors/T/Tarkington,_Booth", "url": "http://www.bartleby.com/people/Tarkingt.html"} +{"d:Title": "Tarkington, Booth", "d:Description": "Several texts online, including \"Alice Adams,\" \"The Gentleman from Indiana,\" and \"The Magnificent Ambersons.\" Also some sound files. From Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/T/Tarkington,_Booth", "url": "http://www.gutenberg.org/browse/authors/t#a169"} +{"d:Title": "Booth Tarkington, 1869-1946", "d:Description": "Biography of the author. His connection to the Kennebunkport, Maine, area. Maine photos. Links.", "topic": "Top/Arts/Literature/Authors/T/Tarkington,_Booth", "url": "http://www.mykennebunks.com/booth_tarkington.htm"} +{"d:Title": "IMDb: Booth Tarkington", "d:Description": "Films based on his books and stories.", "topic": "Top/Arts/Literature/Authors/T/Tarkington,_Booth", "url": "http://www.imdb.com/name/nm0850483/"} +{"d:Title": "Allen Tate", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/T/Tate,_Allen", "url": "http://www.poets.org/poetsorg/poet/allen-tate"} +{"d:Title": "James Tate", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/T/Tate,_James", "url": "https://www.poets.org/poetsorg/poet/james-tate"} +{"d:Title": "Yoko Tawada - 1999 Max Kade Writer in Residence", "d:Description": "About the author, publications, events, texts.", "topic": "Top/Arts/Literature/Authors/T/Tawada,_Yoko", "url": "http://web.mit.edu/course/21/21.german/www/tawada1999.html"} +{"d:Title": "Taylor, Theodore", "d:Description": "Official site; with a biography, bibliography, work in progress, and FAQs.", "topic": "Top/Arts/Literature/Authors/T/Taylor,_Theodore", "url": "http://www.theodoretaylor.com/"} +{"d:Title": "Wikipedia", "d:Description": "Biographical information.", "topic": "Top/Arts/Literature/Authors/T/Teasdale,_Sara", "url": "http://en.wikipedia.org/wiki/Sara_Teasdale"} +{"d:Title": "Ellen And Jim Have A Blog, Too: Foremother Poet: Sara Teasdale (1884-1933)", "d:Description": "Contains poems, comments and biography.", "topic": "Top/Arts/Literature/Authors/T/Teasdale,_Sara", "url": "http://www.jimandellen.org/feministblog/591.html"} +{"d:Title": "Sara Teasdale", "d:Description": "Poems and book reviews.", "topic": "Top/Arts/Literature/Authors/T/Teasdale,_Sara", "url": "http://arlindo-correia.com/140208.html"} +{"d:Title": "Tegetthoff, Folke", "d:Description": "Biography and bibliography of the poet-folklorist's works. With audio recordings of his storytelling in German.", "topic": "Top/Arts/Literature/Authors/T/Tegetthoff,_Folke", "url": "http://www.tegetthoff.at/"} +{"d:Title": "Alfred, \"Eccentric\" Lord Tennyson", "d:Description": "Brief overview of the poet with some little known facts at the end.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred", "url": "http://www.incompetech.com/authors/tennyson/"} +{"d:Title": "Tennyson from Bartlett's Quotations", "d:Description": "From the edition of 1919.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred", "url": "http://www.bartleby.com/100/453.html"} +{"d:Title": "Astrocartography of Alfred Lord Tennyson", "d:Description": "Biography of Alfred Lord Tennyson, focus on how the planetary metaphor of Saturn was reflected in his life and work, by renowned astrocartographer Rob Couteau.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred", "url": "http://www.dominantstar.com/b_ten.htm"} +{"d:Title": "Literature Network: Lord Alfred Tennyson", "d:Description": "Includes selected works, a biography, and a search feature.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred", "url": "http://www.online-literature.com/tennyson/"} +{"d:Title": "The Farringford", "d:Description": "Hotel in Tennyson's previous home. Includes history with photo.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred", "url": "http://www.farringford.co.uk/"} +{"d:Title": "Alfred Tennyson, 1809-1892", "d:Description": "Online exhibit from the Thomas Cooper Library, University of South Carolina. Features archive material, texts of several poems, photographs, and a biography.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred", "url": "http://library.sc.edu/spcoll/britlit/tenn/tenn.html"} +{"d:Title": "Alfred Lord Tennyson Poetry Archive", "d:Description": "Full-text poems by Alfred, Lord Tennyson, including the complete \"Enoch Arden\", \"Idylls of the King\" and \"The Princess\" collections.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred/Works", "url": "http://www.everypoet.com/archive/poetry/Tennyson/"} +{"d:Title": "MysticRealms.org: Tennyson", "d:Description": "Texts of 'The Lady of Shalott' and 'The Idylls of the King', with artwork and screensaver.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred/Works/Poetry", "url": "http://www.mysticrealms.org.uk/tennyson/index.htm"} +{"d:Title": "Poetry Archive: Alfred Tennyson", "d:Description": "Several poems, including \"The Charge of the Light Brigade\" and \"The Miller's Daughter.\"", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred/Works/Poetry", "url": "http://www.poetry-archive.com/t/tennyson_alfred.html"} +{"d:Title": "\"Break, break, break . . .\"", "d:Description": "HTML text of the poem.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred/Works/Poetry", "url": "http://www.naic.edu/~gibson/poems/tennyson2.html"} +{"d:Title": "\"The Eagle\"", "d:Description": "HTML text of part of the poem.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred/Works/Poetry", "url": "http://www.naic.edu/~gibson/poems/tennyson3.html"} +{"d:Title": "\"The Kraken\"", "d:Description": "HTML text of the poem.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred/Works/Poetry", "url": "http://www.naic.edu/~gibson/poems/tennyson1.html"} +{"d:Title": "Idylls of the King", "d:Description": "In searchable HTML, at World Wide School. Each book has its own file, linked to previous, next, or any other book. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/T/Tennyson,_Alfred/Works/Poetry/Idylls_of_the_King", "url": "http://www.worldwideschool.com/library/books/lit/poetry/IdyllsoftheKing/toc.html"} +{"d:Title": "Terpening, Ron", "d:Description": "Suspense novelist's official site; with biography, interviews, photographs and synopses.", "topic": "Top/Arts/Literature/Authors/T/Terpening,_Ron", "url": "http://www.ronterpening.com/"} +{"d:Title": "Hoover Acquires Siniavski Papers: 10/7/98", "d:Description": "The Hoover Institution has acquired the papers of the Russian writer and human rights activist Andrei Siniavski.", "topic": "Top/Arts/Literature/Authors/T/Tertz,_Abram", "url": "http://news.stanford.edu/news/1998/october7/siniavski107.html"} +{"d:Title": "Paul Theroux.com", "d:Description": "Fan site includes pictures, biography, book summaries, and links.", "topic": "Top/Arts/Literature/Authors/T/Theroux,_Paul", "url": "http://www.paultheroux.com/"} +{"d:Title": "All Change is Fascinating", "d:Description": "\"Paul Theroux talks about his novel Kowloon Tong in the aftermath of the British handover of Hong Kong to China.\" Archived at the Atlantic Unbound's website.", "topic": "Top/Arts/Literature/Authors/T/Theroux,_Paul", "url": "http://www.theatlantic.com/past/docs/unbound/bookauth/ptint.htm"} +{"d:Title": "The Angela Thirkell Society", "d:Description": "North American fans of the author provide biography, plot summaries, dictionary of people and places in the novels, index of frequently used terms, and suggestions for compatible reading.", "topic": "Top/Arts/Literature/Authors/T/Thirkell,_Angela", "url": "http://www.angelathirkell.org/"} +{"d:Title": "IMS: Dylan Thomas, HarperAudio", "d:Description": "Dylan Thomas", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan", "url": "http://town.hall.org/Archives/radio/IMS/HarperAudio/020894_harp_ITH.html"} +{"d:Title": "Dylan Thomas Home Page", "d:Description": "Official website dedicated to Dylan Marlais Thomas, Wales' greatest poet", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan", "url": "http://www.dylanthomas.com/"} +{"d:Title": "Dylan Thomas", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan", "url": "https://www.poets.org/poetsorg/poet/dylan-thomas"} +{"d:Title": "Do Not Go Gentle into that Good Night", "d:Description": "Plain text of the poem.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://www.naic.edu/~gibson/poems/dthomas2.html"} +{"d:Title": "In My Craft or Sullen Art", "d:Description": "Poem in plain text.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://www.naic.edu/~gibson/poems/dthomas1.html"} +{"d:Title": "Sometimes the Sky's Too Bright", "d:Description": "Includes a paraphrase interpretation by Leon Malinofsky.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://plexipages.com/reflections/sky2bri.html"} +{"d:Title": "The Force That Through the Green Fuse Drives the Flower", "d:Description": "Poem with paraphrased version.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://plexipages.com/reflections/theforce.html"} +{"d:Title": "A Letter to My Aunt Discussing the Correct Approach to Modern Poetry", "d:Description": "HTML version.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://plexipages.com/reflections/aunt.html"} +{"d:Title": "The Ballad of the Long-Legged Bait", "d:Description": "HTML at Reflections.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://plexipages.com/reflections/ballad.html"} +{"d:Title": "Do Not Go Gentle Into That Good Night", "d:Description": "Read by Thomas himself. In .wav audio format.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://plexipages.com/reflections/donotgo.wav"} +{"d:Title": "Fern Hill", "d:Description": "Poem at Reflections.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://plexipages.com/reflections/fernhill.html"} +{"d:Title": "And Death Shall Have No Dominion", "d:Description": "Read by Thomas himself. In .wav audio format.", "topic": "Top/Arts/Literature/Authors/T/Thomas,_Dylan/Works", "url": "http://plexipages.com/reflections/nodomin.wav"} +{"d:Title": "[Poets' Corner] Flora Thompson - Selected Works", "d:Description": "Five poems by Flora Thompson.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Flora", "url": "http://www.theotherpages.org/poems/thomps02.html"} +{"d:Title": "Flora Thompson Home Page", "d:Description": "Dedicated to the author of \"Lark Rise to Candleford\" and \"The Peverel Papers\". Book reviews, plays, and tributes.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Flora", "url": "http://www.johnowensmith.co.uk/flora/"} +{"d:Title": "Doctor of Journalism", "d:Description": "Pages includes quotes, images, a brief biography of Thompson and links to other related pages.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://members.tripod.com/~Hitman_13/gonzo.htm"} +{"d:Title": "The Great Thompson Hunt", "d:Description": "Collection of gonzo art, book covers, essays, and fan tales.", "priority": "1", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://www.gonzo.org/"} +{"d:Title": "Featured Author: Hunter S. Thompson", "d:Description": "Links to interviews with Thompson, articles by Thompson, and reviews of most of his books.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson.html"} +{"d:Title": "The Book Report Interview", "d:Description": "A transcript of an interview by Sarah Nelson of the Book Report.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://www.fargonebooks.com/hunter.html"} +{"d:Title": "Hunter S. Thompson", "d:Description": "A brief biography of Thompson, images of several of his book covers and photos.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://www.kevincmurphy.com/hst.html"} +{"d:Title": "Writing on the Wall: Hunter S. Thompson", "d:Description": "Interview by Matthew Hahn.", "priority": "1", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://www.theatlantic.com/past/docs/unbound/graffiti/hunter.htm"} +{"d:Title": "The Paris Review", "d:Description": "An audio interview.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://www.salon.com/2000/12/05/hsthompson/"} +{"d:Title": "ESPN.com's Hey Rube Column", "d:Description": "Thompson's column at ESPN.com. Page displays his most recent article along with an archive of past articles.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S.", "url": "http://proxy.espn.com/espn/page2/story?id=1996511"} +{"d:Title": "Hunter S. Thompson Muses About a Run", "d:Description": "An article about Thompson's involvement with the politics of Aspen, Colorado.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Articles", "url": "http://www.hoboes.com/Politics/Thompson/"} +{"d:Title": "Hunter S. Thompson : June 1998", "d:Description": "A brief biography, links to other sites, and excerpt from Fear and Loathing in Las Vegas, and a quiz.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Biographies", "url": "http://www.sem20.com/15-minute-interlude/hst.html"} +{"d:Title": "BBC Online Biography", "d:Description": "A biographical article.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Biographies", "url": "http://h2g2.com/edited_entry/A246386"} +{"d:Title": "Fear and Loathing in San Juan", "d:Description": "A review of the Rum Diary by David Kelly.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/reviews/981129.29kellyt.html"} +{"d:Title": "On the Wild Side", "d:Description": "A review of Hell's Angels by Leo E. Litwak.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-angels.html"} +{"d:Title": "The Best Book on the Dope Decade", "d:Description": "A review of Fear and Loathing in Las Vegas by Crawford Woods.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-vegas.html"} +{"d:Title": "The Tripping of the Presidency, 1972", "d:Description": "A review of Fear and Loathing on the Campaign Trail '72 by Tom Seligson.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-campaign72.html"} +{"d:Title": "Book of the Times", "d:Description": "A review of The Great Shark Hunt by John Leonard.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-hunt.html"} +{"d:Title": "The Art of the Insult or Gonzo Writer Strikes Again", "d:Description": "A review of Generation of Swine by Herbert Mitgang.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-swine.html"} +{"d:Title": "Still Gonzo After All These Years", "d:Description": "A review of Songs of the Doomed by Ron Rosenbaum.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-doomed.html"} +{"d:Title": "In Short", "d:Description": "A review of Better Than Sex by Michael E. Ross.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-politics.html"} +{"d:Title": "Letters of the Young Author (He Saved Them All)", "d:Description": "A review of The Proud Highway by Richard Bernstein of the New York Times.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-highway.html"} +{"d:Title": "On the Trail Again", "d:Description": "A review of Better than Sex by Esther B. Fein.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://www.nytimes.com/books/98/11/29/specials/thompson-trail.html"} +{"d:Title": "Review of Hunter", "d:Description": "A Douglas D. Keller review of the book Hunter by E. Jean Carroll.", "topic": "Top/Arts/Literature/Authors/T/Thompson,_Hunter_S./Reviews", "url": "http://tech.mit.edu/V113/N18/hunter.18a.html"} +{"d:Title": "James Thurber -- A Web Collection", "d:Description": "Stories and cartoons, quotations, biography.", "topic": "Top/Arts/Literature/Authors/T/Thurber,_James", "url": "http://www.bigeye.com/thurber.htm"} +{"d:Title": "The Secret Life of Walter Mitty", "d:Description": "Thurber's classic story in Zoetrope magazine.", "topic": "Top/Arts/Literature/Authors/T/Thurber,_James", "url": "http://www.all-story.com/issues.cgi?action=show_story&story_id=100"} +{"d:Title": "Wikipedia: The Unicorn in the Garden", "d:Description": "Encyclopedic entry including story themes, style, and adaptations.", "topic": "Top/Arts/Literature/Authors/T/Thurber,_James", "url": "http://en.wikipedia.org/wiki/The_Unicorn_in_the_Garden"} +{"d:Title": "Pathfinder: James Thurber", "d:Description": "Introduction to the life and works of James Thurber; focus is on biographical resources; some critical analysis. Materials selected from sources at the University of North Carolina at Chapel Hill.", "topic": "Top/Arts/Literature/Authors/T/Thurber,_James", "url": "http://thurber.sitesz.com/"} +{"d:Title": "Tieck, Johann Ludwig", "d:Description": "Biographical entry in the 1911 Encyclop\u00e6dia Britannica. Includes brief bibliography. Has some scanner errors.", "topic": "Top/Arts/Literature/Authors/T/Tieck,_Ludwig", "url": "http://encyclopedia.jrank.org/THE_TOO/TIECK_JOHANN_LUDWIG.html"} +{"d:Title": "The Importance of Ambiguity in Tieck's \"Der blonde Eckbert\"", "d:Description": "Essay by Nancy Thuleen. The blend of genres, the uncertainty about nature, the questions of fantasy and insanity also point to ethical questions raised by the story.", "topic": "Top/Arts/Literature/Authors/T/Tieck,_Ludwig", "url": "http://www.nthuleen.com/papers/704Tieck.html"} +{"d:Title": "Johann Ludwig Tieck (1773-1853)", "d:Description": "His texts which have been set to music. Does not claim to be exhaustive. English translations are available for several.", "topic": "Top/Arts/Literature/Authors/T/Tieck,_Ludwig", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=2799"} +{"d:Title": "Wake Not the Dead", "d:Description": "Short story.", "topic": "Top/Arts/Literature/Authors/T/Tieck,_Ludwig/Works", "url": "http://www.sff.net/people/DoyleMacdonald/l_wakeno.htm"} +{"d:Title": "The Jean Toomer Pages", "d:Description": "Biography, portraits of Toomer and his family, bibliography, three short stories and some poems.", "topic": "Top/Arts/Literature/Authors/T/Toomer,_Jean", "url": "http://www.math.buffalo.edu/~sww/toomer/jean-toomer.html"} +{"d:Title": "PAL: Jean Toomer (1894-1967)", "d:Description": "Study guide leads off a chapter on the Harlem Renaissance.", "topic": "Top/Arts/Literature/Authors/T/Toomer,_Jean", "url": "http://www.csustan.edu/english/reuben/pal/chap9/toomer.html"} +{"d:Title": "Intro to Cane", "d:Description": "The introduction by Arna Bontemps which appears in a 1969 edition of Toomer's novel.", "topic": "Top/Arts/Literature/Authors/T/Toomer,_Jean", "url": "http://aalbc.com/authors/introtocane.htm"} +{"d:Title": "AfroPoets.Net Famous Black Writers: Jean Toomer", "d:Description": "Brief biography and ten of Toomer's poems.", "topic": "Top/Arts/Literature/Authors/T/Toomer,_Jean", "url": "http://www.afropoets.net/jeantoomer.html"} +{"d:Title": "The Heath Anthology of American Literature: Jean Toomer (1894-1967)", "d:Description": "Biography of the writer.", "topic": "Top/Arts/Literature/Authors/T/Toomer,_Jean", "url": "http://college.cengage.com/english/lauter/heath/4e/students/author_pages/modern/toomer_je.html"} +{"d:Title": "Modern American Poetry: Jean Toomer (1894-1967)", "d:Description": "Biography, literary criticism of some of his poems, three articles by Toomer.", "topic": "Top/Arts/Literature/Authors/T/Toomer,_Jean", "url": "http://www.english.illinois.edu/maps/poets/s_z/toomer/toomer.htm"} +{"d:Title": "The Academy of American Poets: Jean Toomer", "d:Description": "Biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Authors/T/Toomer,_Jean", "url": "http://www.poets.org/poetsorg/poet/john-greenleaf-whittier"} +{"d:Title": "First World War.com: Georg Trakl", "d:Description": "Profile of the poet, who briefly served in the Austro-Hungarian army in the First World War.", "topic": "Top/Arts/Literature/Authors/T/Trakl,_Georg", "url": "http://www.firstworldwar.com/poetsandprose/trakl.htm"} +{"d:Title": "Werschs Literaturnische: Georg Trakl", "d:Description": "Chronology, glossary, photo gallery. All of Trakl's literary works, and a dozen of his letters, in English translation. Presented by Werner Schmitt in collaboration with Jim Doss.", "topic": "Top/Arts/Literature/Authors/T/Trakl,_Georg", "url": "http://www.literaturnische.de/Trakl/english/index-trakl-e.htm"} +{"d:Title": "Twenty Poems of Georg Trakl", "d:Description": "Translated by James Wright and Robert Bly. With an introduction by Bly. 206K.", "topic": "Top/Arts/Literature/Authors/T/Trakl,_Georg", "url": "http://www.dreamsongs.com/Files/Trakl.pdf"} +{"d:Title": "Wikipedia: Georg Trakl", "d:Description": "Biography of the Austrian poet, with selected bibliography.", "topic": "Top/Arts/Literature/Authors/T/Trakl,_Georg", "url": "http://en.wikipedia.org/wiki/Georg_Trakl"} +{"d:Title": "Trakl, George", "d:Description": "Biography of the Austrian poet.", "topic": "Top/Arts/Literature/Authors/T/Trakl,_Georg", "url": "http://www.enotes.com/topics/georg-trakl/critical-essays/trakl-georg"} +{"d:Title": "John Tranter Setis Site", "d:Description": "This is the homepage for that section of the Setis site dealing with John Tranter's writing, hosted by the University of Sydney Library, Sydney, Australia.", "topic": "Top/Arts/Literature/Authors/T/Tranter,_John", "url": "http://setis.library.usyd.edu.au/tranter/"} +{"d:Title": "John Tranter, Poetry", "d:Description": "Poetry of John Tranter in real audio. Issue Four of The Cortland Review.", "topic": "Top/Arts/Literature/Authors/T/Tranter,_John", "url": "http://www.cortlandreview.com/issuefour/tranter4.htm"} +{"d:Title": "John Tranter", "d:Description": "Homepage of the prominent Australian poet John Tranter, including poems, reviews, interviews and biographical details.", "topic": "Top/Arts/Literature/Authors/T/Tranter,_John", "url": "http://johntranter.com/"} +{"d:Title": "An Interview with John Tranter", "d:Description": "John Tranter interviewed by Tom Coverdale in Overland Express.", "topic": "Top/Arts/Literature/Authors/T/Tranter,_John", "url": "http://pandora.nla.gov.au/nph-wb/20010520130000/http:/www.overlandexpress.org/issue003/fiction/feat01.html"} +{"d:Title": "International B. Traven Society", "d:Description": "Literary society; with a biography and membership details. [English/Spanish]", "topic": "Top/Arts/Literature/Authors/T/Traven,_B.", "url": "http://www.btraven.com/"} +{"d:Title": "Central European Forum - Ilija Trojanow", "d:Description": "Short biographical profile at the Central European Forum", "topic": "Top/Arts/Literature/Authors/T/Trojanow,_Ilija", "url": "http://ceeforum.eu/en/category/authors/ilija-trojanow/"} +{"d:Title": "Goethe Institut - The Collector of Worlds", "d:Description": "Review of The Collector of Worlds by Ilija Trojanow at the Goethe Institut Australien.", "topic": "Top/Arts/Literature/Authors/T/Trojanow,_Ilija", "url": "http://www.goethe.de/ins/au/lp/prj/bkm/rev/aut/tro/enindex.htm"} +{"d:Title": "Anthony Trollope Web Site", "d:Description": "Resources for the study of Anthony Trollope and other Victorian writers", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony", "url": "http://www.trollope.org/"} +{"d:Title": "The Trollope Society of America", "d:Description": "The web site of the official Trollope Society, American Branch", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony", "url": "http://www.trollopeusa.org/"} +{"d:Title": "The Trollope Society (London)", "d:Description": "Covers the his life, a description of all of his works, a catalogue of publications from the Society (including a complete edition of his works), a membership form, and a calendar of events organised by the Society.", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony", "url": "http://www.trollopesociety.org/"} +{"d:Title": "Read Print: Anthony Trollope", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony", "url": "http://www.readprint.com/author-247/Anthony-Trollope-books"} +{"d:Title": "The Victorian Web Trollope Page", "d:Description": "Links and documents about Anthony Trollope and his work", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony", "url": "http://www.victorianweb.org/authors/trollope/"} +{"d:Title": "Hunting Sketches", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony/Works", "url": "http://www.pagebypagebooks.com/Anthony_Trollope/Hunting_Sketches/"} +{"d:Title": "The Warden", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony/Works", "url": "http://www.pagebypagebooks.com/Anthony_Trollope/The_Warden/"} +{"d:Title": "Classic Bookshelf: Anthony Trollope", "d:Description": "Includes Java-based reader for \"Barchester Towers\", \"Hunting Sketches\", \"Mrs General Talboys\", \"North America Volume 1\", \"North America Volume 2\", \"The Prime Minister\", \"The Warden\".", "topic": "Top/Arts/Literature/Authors/T/Trollope,_Anthony/Works", "url": "http://www.classicbookshelf.com/library/Trollope/"} +{"d:Title": "Sergio Troncoso", "d:Description": "Links to his short stories and essays. Biographical information and news on current publications, including his book, The Last Tortilla and Other Stories.", "topic": "Top/Arts/Literature/Authors/T/Troncoso,_Sergio", "url": "http://www.sergiotroncoso.com/"} +{"d:Title": "Wikipedia: Scott Turow", "d:Description": "Encyclopedia-style article on the author.", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://en.wikipedia.org/wiki/Scott_Turow"} +{"d:Title": "USA Today Book Club: Scott Turow", "d:Description": "Transcript of a chat in which the writer fielded questions from fans. Has links to \"Reversible Errors\" review and excerpt.", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://cgi1.usatoday.com/mchat/20021101004/tscript.htm"} +{"d:Title": "Scott Turow", "d:Description": "In an appearance at the Commonwealth Club, the writer was interviewed about the death penalty and about his book \"Reversible Errors,\" then entertained questions submitted by the attendees.", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://www.commonwealthclub.org/archive/02/02-11turow-intro.html"} +{"d:Title": "Mostly Fiction: Scott Turow", "d:Description": "Reviews of some of his novels. Also links to reviews elsewhere.", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://mostlyfiction.com/mystery/turow.htm"} +{"d:Title": "An Odyssey That Started With 'Ulysses'", "d:Description": "Lawyer and novelist Scott Turow writes of the questions stirred up by reading James Joyce's \"Ulysses,\" and how practicing law is similar to writing novels. [New York Times]", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://www.nytimes.com/library/books/112299turow-writing.html"} +{"d:Title": "'To hell with Perry Mason'", "d:Description": "Scott Turow is interviewed about the intersection of criminal law and fiction. [The Observer]", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://www.theguardian.com/books/2002/nov/24/crime.saulbellow"} +{"d:Title": "Scott Turow", "d:Description": "Official web site. Biography, appearance schedule, blurbs about his books, links to interviews and reviews.", "priority": "1", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://www.hachettebookgroup.com/features/scottturow/"} +{"d:Title": "IMDb: Scott Turow", "d:Description": "Filmography, including television credits.", "topic": "Top/Arts/Literature/Authors/T/Turow,_Scott", "url": "http://www.imdb.com/name/nm0878017/"} +{"d:Title": "Chase Twichell", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/T/Twichell,_Chase", "url": "https://www.poets.org/poetsorg/poet/chase-twichell"} +{"d:Title": "Twigg, Alan", "d:Description": "Official site; with a biography and synopses.", "topic": "Top/Arts/Literature/Authors/T/Twigg,_Alan", "url": "http://www.alantwigg.com/"} +{"d:Title": "MetroActive Books | Anne Tyler", "d:Description": "A review of \"A Patchwork Planet\".", "topic": "Top/Arts/Literature/Authors/T/Tyler,_Anne", "url": "http://www.metroactive.com/papers/metro/06.25.98/lit-tyler-9825.html"} +{"d:Title": "Back When We Were Grownups", "d:Description": "Book review and synopsis of the novel by Anne Tyler.", "topic": "Top/Arts/Literature/Authors/T/Tyler,_Anne", "url": "http://bookreviews.nabou.com/reviews/back_when_we_were_grownups.html"} +{"d:Title": "Tristan Tzara on Dadaism", "d:Description": "Excerpts from \"Dada Manifesto\" [1918] and \"Lecture on Dada\" [1922].", "topic": "Top/Arts/Literature/Authors/T/Tzara,_Tristan", "url": "http://www.english.upenn.edu/~jenglish/English104/tzara.html"} +{"d:Title": "Upadhyay, Shri Harilal", "d:Description": "Tribute to Shri Harilal Upadhyay, one of the greatest Gujarati authors.", "topic": "Top/Arts/Literature/Authors/U", "url": "http://www.harilalupadhyay.org/"} +{"d:Title": "Miguel de Unamuno", "d:Description": "Death of the Spanish philosopher Miguel de Unamuno and the circumstances surrounding it during the Spanish Civil War.", "topic": "Top/Arts/Literature/Authors/U/Unamuno,_Miguel_de", "url": "http://www.rjgeib.com/heroes/unamuno/unamuno.html"} +{"d:Title": "\"God is dreaming you.\" Narrative as Imitatio Dei in Miguel de Unamuno", "d:Description": "An essay by Costica Bradatan", "topic": "Top/Arts/Literature/Authors/U/Unamuno,_Miguel_de", "url": "http://www.janushead.org/7-2/Bradatan.pdf"} +{"d:Title": "Updale, Eleanor", "d:Description": "Official site; with a biography and news.", "topic": "Top/Arts/Literature/Authors/U/Updale,_Eleanor", "url": "http://www.eleanorupdale.co.uk/"} +{"d:Title": "Vater, Tom", "d:Description": "Articles, books, and photographs of this travel writer.", "topic": "Top/Arts/Literature/Authors/V", "url": "http://www.tomvater.com/"} +{"d:Title": "JRVogt", "d:Description": "Fantasy author and freelancer, Josh Vogt.", "topic": "Top/Arts/Literature/Authors/V", "url": "http://jrvogt.com/"} +{"d:Title": "Douglas Valentine", "d:Description": "Douglas Valentine is an author, researcher, investigator, consultant, critic and poet.", "topic": "Top/Arts/Literature/Authors/V/Valentine,_Douglas", "url": "http://www.douglasvalentine.com/"} +{"d:Title": "Val\u00e9ry Studies - Newcastle", "d:Description": "University of Newcastle upon Tyne research project.", "topic": "Top/Arts/Literature/Authors/V/Val\u00e9ry,_Paul", "url": "http://research.ncl.ac.uk/paulvalery/"} +{"d:Title": "Varma, Shreekumar", "d:Description": "A Writer's World: Creative Web site of Indian novelist, poet, playwright and columnist Shreekumar Varma.", "topic": "Top/Arts/Literature/Authors/V/Varma,_Shreekumar", "url": "http://www.shreevarma.homestead.com/"} +{"d:Title": "Luminarium: Henry Vaughan", "d:Description": "Includes online texts, biographical and historical information, and links.", "topic": "Top/Arts/Literature/Authors/V/Vaughan,_Henry", "url": "http://www.luminarium.org/sevenlit/vaughan/"} +{"d:Title": "Henry Vaughan's Secular Poetry", "d:Description": "Chapter from Cavalier and Puritan, a volume from The Cambridge History of English and American Literature. Includes sections on Vaughan's poetry.", "topic": "Top/Arts/Literature/Authors/V/Vaughan,_Henry", "url": "http://www.bartleby.com/217/0210.html"} +{"d:Title": "Netpoets.com: Henry Vaughan", "d:Description": "Text of Vaughan's \"The Retreat\" and \"Peace\".", "topic": "Top/Arts/Literature/Authors/V/Vaughan,_Henry", "url": "http://www.netpoets.com/classic/067000.htm"} +{"d:Title": "Bartleby.com: Henry Vaughan", "d:Description": "Includes four poems from The Oxford Book of English Verse: 12501900, edited by Arthur Quiller-Couch.", "topic": "Top/Arts/Literature/Authors/V/Vaughan,_Henry", "url": "http://www.bartleby.com/101/362.html"} +{"d:Title": "Henry Vaughan", "d:Description": "A short biography at Infoplease.com.", "topic": "Top/Arts/Literature/Authors/V/Vaughan,_Henry", "url": "http://www.infoplease.com/encyclopedia/people/vaughan-henry.html"} +{"d:Title": "Poems by Paul Verlaine", "d:Description": "Four poems, in French and in English translation by Norman R. Shapiro.", "topic": "Top/Arts/Literature/Authors/V/Verlaine,_Paul/Works", "url": "http://www.press.uchicago.edu/Misc/Chicago/853446.html"} +{"d:Title": "Gallant Feasts (after Verlaine)", "d:Description": "by Christopher Mulrooney.", "topic": "Top/Arts/Literature/Authors/V/Verlaine,_Paul/Works", "url": "http://www.christophermulrooney.net/verlaine/"} +{"d:Title": "The Dutch Jules Verne Society", "d:Description": "Includes articles, bibliographic information and the Society's activities.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules", "url": "http://www.jules-verne.nl/"} +{"d:Title": "Jules Verne", "d:Description": "A list of works, pictures, and collectibles relating to Verne.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules", "url": "http://www.julesverne.ca/"} +{"d:Title": "North American Jules Verne Society, Inc.", "d:Description": "General information on the society and links to other JV societies.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules", "url": "http://www.najvs.org/"} +{"d:Title": "NPR Talk of the Nation: The Legacy of Jules Verne in Science and Literature", "d:Description": "A talk about Verne's legacy and a look at two research projects he might have appreciated: drilling to the center of the Earth and finding the right place to live on the Moon. [13:57 streaming audio broadcast]", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules", "url": "http://www.npr.org/templates/story/story.php?storyId=4602300"} +{"d:Title": "Zvi Har'El's Jules Verne Collection", "d:Description": "Includes forum, FAQ, virtual library (in French, English, and Spanish), chronology, bibliography, and links.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules", "url": "http://jv.gilead.org.il/"} +{"d:Title": "Page By Page Books: Off on a Comet", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works", "url": "http://www.pagebypagebooks.com/Jules_Verne/Off_on_a_Comet/"} +{"d:Title": "The Works of Jules Verne", "d:Description": "The literary works of Jules Verne in easy to read HTML format.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works", "url": "http://www.jules-verne.co.uk/"} +{"d:Title": "Around the World in Eighty Days", "d:Description": "Chapter-indexed HTML of the text.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Around_the_World_in_80_Days", "url": "http://www.online-literature.com/verne/aroundtheworld/"} +{"d:Title": "Around the World in Eighty Days by Jules Verne", "d:Description": "Chapter indexed hypertext and downloadable text (.txt format) from Literature Project.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Around_the_World_in_80_Days", "url": "http://www.literatureproject.com/around-the-world/index.htm"} +{"d:Title": "Around the World in 80 Days", "d:Description": "and other works by Jules Verne free to read online with adjustable text size and automatic bookmarking.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Around_the_World_in_80_Days", "url": "http://www.classicbookshelf.com/library/Verne/"} +{"d:Title": "Around the World in Eighty Days", "d:Description": "English translation by George Makepeace Towle (1873) 57 Original illustrations by Alphonse-Marie de Neuville and L\u00e9on Benett (1873)", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Around_the_World_in_80_Days", "url": "http://jv.gilead.org.il/pg/80day/"} +{"d:Title": "Around the World in Eighty Days", "d:Description": "Illustrated hypertext", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Around_the_World_in_80_Days", "url": "http://jv.gilead.org.il/virginia/eighty/"} +{"d:Title": "Around The World in 80 Days", "d:Description": "Free HTML text to be read online, page by page.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Around_the_World_in_80_Days", "url": "http://www.pagebypagebooks.com/Jules_Verne/Around_the_World_in_80_Days/index.html"} +{"d:Title": "Bibliomania: Jules Verne", "d:Description": "With \"Around the World in 80 Days\" online", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Around_the_World_in_80_Days", "url": "http://www.bibliomania.com/0/0/55/frameset.html"} +{"d:Title": "From the Earth to the Moon", "d:Description": "Plain text version of the book.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/From_the_Earth_to_the_Moon", "url": "http://www.umich.edu/~umfandsf/other/ebooks/moon10.txt"} +{"d:Title": "Literature Network: From the Earth to the Moon", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/From_the_Earth_to_the_Moon", "url": "http://www.online-literature.com/verne/earth_to_moon/"} +{"d:Title": "From the Earth to the Moon", "d:Description": "Illustrated hypertext", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/From_the_Earth_to_the_Moon", "url": "http://jv.gilead.org.il/pg/moon/"} +{"d:Title": "From the Earth to the Moon", "d:Description": "Wiretap's plain text", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/From_the_Earth_to_the_Moon", "url": "http://jv.gilead.org.il/wiretap/moon.jv"} +{"d:Title": "Earth To The Moon", "d:Description": "Free HTML text to be read online, page by page.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/From_the_Earth_to_the_Moon", "url": "http://www.pagebypagebooks.com/Jules_Verne/Earth_to_the_Moon/index.html"} +{"d:Title": "Literature Network: Journey to the Center of the Earth", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Journey_to_the_Center_of_the_Earth,_A", "url": "http://www.online-literature.com/verne/journey_center_earth/"} +{"d:Title": "A Journey to the Center of the Earth", "d:Description": "Plain text", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Journey_to_the_Center_of_the_Earth,_A", "url": "http://jv.gilead.org.il/vt/c_earth.txt"} +{"d:Title": "A Journey to the Center of the Earth", "d:Description": "Illustrated hypertext", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Journey_to_the_Center_of_the_Earth,_A", "url": "http://jv.gilead.org.il/vt/c_earth/"} +{"d:Title": "The Mysterious Island", "d:Description": "Chapter-indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Mysterious_Island,_The", "url": "http://www.online-literature.com/verne/mysteriousisland/"} +{"d:Title": "The Mysterious Island", "d:Description": "Illustrated hypertext novel divided in chapters.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Mysterious_Island,_The", "url": "http://jv.gilead.org.il/kravitz/"} +{"d:Title": "Round the Moon", "d:Description": "Free HTML text to be read online, page by page.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Round_the_Moon", "url": "http://www.pagebypagebooks.com/Jules_Verne/Round_the_Moon/index.html"} +{"d:Title": "Round the Moon", "d:Description": "Hypertext version", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Round_the_Moon", "url": "http://jv.gilead.org.il/pg/round/"} +{"d:Title": "Round the Moon", "d:Description": "Wiretap's plain text", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Round_the_Moon", "url": "http://jv.gilead.org.il/wiretap/round.jv"} +{"d:Title": "The Survivors of the Chancellor", "d:Description": "Chapter-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Survivors_of_the_Chancellor,_The", "url": "http://www.online-literature.com/verne/survivorschancellor/"} +{"d:Title": "Twenty Thousand Leagues Under the Sea", "d:Description": "Chapter-indexed HTML of the text.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Twenty_Thousand_Leagues_Under_the_Sea", "url": "http://www.online-literature.com/verne/leaguesunder/"} +{"d:Title": "20,000 Leagues Under the Sea", "d:Description": "The complete book in HTML ebook format.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Twenty_Thousand_Leagues_Under_the_Sea", "url": "http://www.ebooks3.com/ebooks/20000_leagues_under_the_sea.html"} +{"d:Title": "Twenty Thousand Leagues Under the Sea", "d:Description": "Plain text", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Twenty_Thousand_Leagues_Under_the_Sea", "url": "http://jv.gilead.org.il/virginia/twenty/"} +{"d:Title": "Twenty Thousand Leagues under the Sea", "d:Description": "Hypertext version", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Twenty_Thousand_Leagues_Under_the_Sea", "url": "http://jv.gilead.org.il/pg/20000/"} +{"d:Title": "The Underground City", "d:Description": "Chapter-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Underground_City,_The", "url": "http://www.online-literature.com/verne/undergroundcity/"} +{"d:Title": "Page By Page Books: The Underground City", "d:Description": "Chapter-indexed and paged HTML text of the work.", "topic": "Top/Arts/Literature/Authors/V/Verne,_Jules/Works/Underground_City,_The", "url": "http://www.pagebypagebooks.com/Jules_Verne/The_Underground_City/"} +{"d:Title": "Wesselmann, Debbie Lee", "d:Description": "Home of author of \"Captivity,\"\"Trutor and the Balloonist\" and \"The Earth and the Sky\". Offers book excerpts, biography and writing tips, as well as instruction for writers of fiction , memoirs, creative nonfiction.", "topic": "Top/Arts/Literature/Authors/W", "url": "http://www.trutor.net/Writing%20Tips.html"} +{"d:Title": "Walls, Kathleen", "d:Description": "Author of fiction and nonfiction. Book list, biography, image gallery, printable calendar, events schedule, and tips.", "topic": "Top/Arts/Literature/Authors/W", "url": "http://www.katywalls.com/"} +{"d:Title": "Webber, Heather S.", "d:Description": "Author of the Nina Quinn mystery series, and historical romance. Newsletter, backlist, author profile, links collection, and weblog spots.", "topic": "Top/Arts/Literature/Authors/W", "url": "http://www.heatherwebber.com/"} +{"d:Title": "West, Raven", "d:Description": "Author of contemporary fiction; writes nonfiction as Robin C. Westmiller. Title list, short stories, and articles; media appearances and interviews, biography, events schedule, book reviews, writing tip articles, and organization links.", "topic": "Top/Arts/Literature/Authors/W", "url": "http://www.ravenwest.net/"} +{"d:Title": "Jacqueline Wilson", "d:Description": "Children's book writer based in the UK.", "topic": "Top/Arts/Literature/Authors/W", "url": "http://www.jacquelinewilson.co.uk/"} +{"d:Title": "David Wagoner", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/W/Wagoner,_David", "url": "http://www.poets.org/poetsorg/poet/david-wagoner"} +{"d:Title": "Derek Walcott: Nobel Prize in Literature 1992", "d:Description": "Press release, curriculum vitae, Nobel lecture, Swedish Nobel stamps.", "topic": "Top/Arts/Literature/Authors/W/Walcott,_Derek", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1992/"} +{"d:Title": "Emory Postcolonial Studies: Derek Walcott", "d:Description": "Contains biographical, bibliographical and secondary material on the author.", "topic": "Top/Arts/Literature/Authors/W/Walcott,_Derek", "url": "http://postcolonialstudies.emory.edu/derek-walcott/"} +{"d:Title": "The New York Review of Books: Derek Walcott", "d:Description": "Bibliography of books and articles by the author, from The New York Review of Books.", "topic": "Top/Arts/Literature/Authors/W/Walcott,_Derek", "url": "http://www.nybooks.com/contributors/derek-walcott/"} +{"d:Title": "Academy of American Poets: Derek Walcott", "d:Description": "Biography, photograph, and primary bibliography. Includes a RealAudio clip of the poet reading his work.", "topic": "Top/Arts/Literature/Authors/W/Walcott,_Derek", "url": "http://www.poets.org/poetsorg/poet/derek-walcott"} +{"d:Title": "Homecoming: Anse la Raye", "d:Description": "Text of the poem, with audio of the poet reading.", "topic": "Top/Arts/Literature/Authors/W/Walcott,_Derek/Works", "url": "http://www.bbc.co.uk/arts/poetry/outloud/walcott.shtml"} +{"d:Title": "Art and Words", "d:Description": "Website of award-winning author/illustrator Kris Waldherr, the creator of The Book of Goddesses.", "topic": "Top/Arts/Literature/Authors/W/Waldherr,_Kris", "url": "http://kriswaldherrbooks.com/"} +{"d:Title": "Margaret Walker", "d:Description": "Poetry, criticism, group discussion of this African American empress of deceptively simple, subversively traditional poetry.", "topic": "Top/Arts/Literature/Authors/W/Walker,_Margaret", "url": "http://www.ibiblio.org/ipa/walker.php"} +{"d:Title": "Mary Willis Walker", "d:Description": "Write-ups of each of Walker's books, and a short biography.", "topic": "Top/Arts/Literature/Authors/W/Walker,_Mary_Willis", "url": "http://www.twbooks.co.uk/authors/mwwalker.html"} +{"d:Title": "Infinite Jest: Reviews, Articles,&Miscellany", "d:Description": "A longish list of links to pages dealing mostly with Infinite Jest, but with a few general links, as well.", "topic": "Top/Arts/Literature/Authors/W/Wallace,_David_Foster", "url": "http://www.smallbytes.net/~bobkat/jesterlist.html"} +{"d:Title": "The Howling Fantods", "d:Description": "News, links, bibliography, mailing list, message boards.", "topic": "Top/Arts/Literature/Authors/W/Wallace,_David_Foster", "url": "http://thehowlingfantods.com/dfw/"} +{"d:Title": "Wallin, Luke", "d:Description": "Official site; with a biography, synopses and workshop details.", "topic": "Top/Arts/Literature/Authors/W/Wallin,_Luke", "url": "http://www.lukewallin.com/"} +{"d:Title": "Lives of John Donne and George Herbert", "d:Description": "Text of these two short works from the English writer.", "topic": "Top/Arts/Literature/Authors/W/Walton,_Izaak", "url": "http://www.bartleby.com/15/2/"} +{"d:Title": "Asian Topics: Wang Wei", "d:Description": "Articles on the writer's life and works, plus analysis of Fields and Gardens by the River Qi.", "topic": "Top/Arts/Literature/Authors/W/Wang_Wei", "url": "http://www.columbia.edu/itc/eacp/asiasite/topics/index.html?topic=WangWei+subtopic=Intro"} +{"d:Title": "Chinese Poems: Wang Wei", "d:Description": "Chinese and English texts of twenty works.", "topic": "Top/Arts/Literature/Authors/W/Wang_Wei", "url": "http://www.chinese-poems.com/wang.html"} +{"d:Title": "Lingshidao: Wang Wei", "d:Description": "English translations of selected poems.", "topic": "Top/Arts/Literature/Authors/W/Wang_Wei", "url": "http://www.shiku.org/shiku/ws/zg/wangwei.htm"} +{"d:Title": "Cambridge History of English and American Literature: Later Essayists", "d:Description": "Biography of Warner.", "topic": "Top/Arts/Literature/Authors/W/Warner,_Charles_Dudley", "url": "http://www.bartleby.com/227/0615.html"} +{"d:Title": "Charles Dudley Warner", "d:Description": "Picture and links, including a list of online texts.", "topic": "Top/Arts/Literature/Authors/W/Warner,_Charles_Dudley", "url": "http://www.wsu.edu/~campbelld/amlit/warner.htm"} +{"d:Title": "Cornell University's Making of America: Charles Dudley Warner", "d:Description": "Scans of several articles and stories.", "topic": "Top/Arts/Literature/Authors/W/Warner,_Charles_Dudley/Works", "url": "http://cdl.library.cornell.edu/moa/browse.author/w.29.html"} +{"d:Title": "Up the Cataracts of the Nile", "d:Description": "Etext of the story. From the Modern History Sourcebook.", "topic": "Top/Arts/Literature/Authors/W/Warner,_Charles_Dudley/Works", "url": "http://sourcebooks.fordham.edu/halsall/mod/1875nile.asp"} +{"d:Title": "Warren, Robert Penn", "d:Description": "Extensive information and links.", "topic": "Top/Arts/Literature/Authors/W/Warren,_Robert_Penn", "url": "http://www.robertpennwarren.com/"} +{"d:Title": "Robert Penn Warren", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/W/Warren,_Robert_Penn", "url": "http://www.poets.org/poetsorg/poet/robert-penn-warren"} +{"d:Title": "Peter Watt", "d:Description": "Australian author of the historical novel Cry of the Curlew and its sequel, Shadow of the Osprey.", "topic": "Top/Arts/Literature/Authors/W/Watt,_Peter", "url": "http://www.peterwatt.com/"} +{"d:Title": "The Evelyn Waugh Society", "d:Description": "A literary society devoted to the life and works of Evelyn Waugh.", "topic": "Top/Arts/Literature/Authors/W/Waugh,_Evelyn", "url": "http://www.evelynwaughsociety.org/"} +{"d:Title": "Frank Wedekind (1864-1918)", "d:Description": "Biography of German playwright Frank Wedekind, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Authors/W/Wedekind,_Frank", "url": "http://www.imagi-nation.com/moonstruck/clsc16.htm"} +{"d:Title": "Frank Wedekind: Monologues", "d:Description": "A collection of monologues by the German dramatist.", "topic": "Top/Arts/Literature/Authors/W/Wedekind,_Frank", "url": "http://www.theatrehistory.com/plays/wedekindmono.html"} +{"d:Title": "Renga", "d:Description": "Ten linked prose poems by Eliot Weinberger in Jacket # 11.", "topic": "Top/Arts/Literature/Authors/W/Weinberger,_Eliot", "url": "http://jacketmagazine.com/11/weinberger-renga.html"} +{"d:Title": "Eliot Weinberger", "d:Description": "Presents a biography, photograph, bibliography, selected works, and links as part of a poetry exhibit.", "topic": "Top/Arts/Literature/Authors/W/Weinberger,_Eliot", "url": "http://www.poets.org/poetsorg/poet/eliot-weinberger"} +{"d:Title": "Wikipedia: Alison Weir", "d:Description": "Offers brief bibliography, published works, notes and external links of interest.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison", "url": "http://en.wikipedia.org/wiki/Alison_Weir_(historian)"} +{"d:Title": "Alison Weir", "d:Description": "Official site offers news, information on upcoming publications, biography, event and tour details, photos, author created content and contact details.", "priority": "1", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison", "url": "http://www.alisonweir.org.uk/"} +{"d:Title": "Curled Up With a Good Book: An Interview with Alison Weir", "d:Description": "Luan Gaines interviewed author Alison Weir about her novel The Lady Elizabeth, royal sibling rivalry, a queen's reluctance to marry, and religious dissent in 1600's England.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison", "url": "http://www.curledup.com/intaweirle.htm"} +{"d:Title": "Reviews/Interviews: Alison Weir, A World of Words", "d:Description": "Making the leap from fact to fiction, popular historian Alison Weir talks to Lucinda Byatt about her first historical novel.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison", "url": "http://textline.wordpress.com/solander/reviewsinterviews-alison-weir/"} +{"d:Title": "Curled Up With a Good Book: An Interview with Alison Weir", "d:Description": "Luan Gaines conducted an email interview with historian Alison Weir, author of the recently released novel Innocent Traitor. Their exchange reveals Weir's thoughts on religious fundamentalism, ruthless leaders exploiting the innocent, and the liberation found in writing fiction.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison", "url": "http://www.curledup.com/intaweir.htm"} +{"d:Title": "Mary Boleyn: Mistress of Kings", "d:Description": "Specializing in the medieval and Tudor periods, popular British historian Alison Weir has chronicled the story of Mary Boleyn.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison", "url": "http://blogs.slj.com/adult4teen/2011/12/16/mary-boleyn-mistress-of-kings/"} +{"d:Title": "Historical Boys: Review of Alison Weir's \"Innocent Traitor\"", "d:Description": "Readers of historical fiction should not miss this compelling debut by one of England's foremost authorities on the Tudors - a tale of grandeur, betrayal and innocence, framed by one woman's journey from throne to scaffold. By C.W. Gortner.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://historicalboys.blogspot.com/2007/11/review-of-alison-weirs-innocent-traitor.html"} +{"d:Title": "Alison Weir on LibraryThing", "d:Description": "Offers reviews of the author's works.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.librarything.com/author/weiralison"} +{"d:Title": "Carla Nayland Book Review: Innocent Traitor, by Alison Weir", "d:Description": "Innocent Traitor does an excellent job of conveying the sense of Jane as a political pawn.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.carlanayland.org/reviews/innocent_traitor.htm"} +{"d:Title": "The Boston Globe: A Tudor and a Tortoise, Both in Fine Voice", "d:Description": "In giving narrative voice to her subjects Weir brings us into emotional contact with them in a way that an unadorned historical account does not. We feel, rather than merely acknowledge, that these crimes and machinations were the actual doings of real individuals and that the hard life those callous schemers created for Jane in particular was really lived and brutally ended. By Katherine A. Powers.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.boston.com/ae/books/articles/2007/02/25/a_tudor_and_a_tortoise_both_in_fine_voice/"} +{"d:Title": "The View From The Foothills: Eleanor Of Aquitaine: A Life, by Alison Weir", "d:Description": "Weir makes very clear what is known fact and what is supposition in her biography and where sources give no information about Eleanor, she fills in the gaps with what is known about Henry II. By Deb English.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.foothills.wjduquette.com/blog/archives/351"} +{"d:Title": "Book Review: Alison Weir's The Lady Elizabeth", "d:Description": "Indulging in some fictional legerdemain, the author has crafted an intriguing protagonist, her destiny writ large long before she ascends the throne after her unhappy sister\u2019s death. By Luan Gaines.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.curledup.com/ladyeliz.htm"} +{"d:Title": "WashingtonPost.com: The Nine Days' Queen", "d:Description": "After publishing 10 works of history about the kings and queens of England, Alison Weir has come over to the dark side and written a novel. By Ron Charles.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2007/03/01/AR2007030102101.html"} +{"d:Title": "New York Times: Sibling Rivalry", "d:Description": "Like anthropology, history and biography can demonstrate unfamiliar ways of feeling and being. Alison Weir's sympathetic collective biography ''The Children of Henry VIII'' does just that, reminding us that human nature has changed, and for the better. By Naomi Bliven.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.nytimes.com/1996/08/04/books/sibling-rivalry.html?fta=y"} +{"d:Title": "Reviews: Henry VIII: King and Court by Alison Weir", "d:Description": "Alison Weir has added to the large pile of lives of Henry VIII. Founded on diligent reading, hers is a great pudding of a book, which will do no harm to those who choose to read it.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.theguardian.com/books/2001/jul/21/historybooks.highereducation"} +{"d:Title": "ReadingGroupGuides.com - Henry VIII by Alison Weir", "d:Description": "Offers synopsis, critical praise and questions for discussion.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.readinggroupguides.com/reviews/henry-viii-the-king-and-his-court"} +{"d:Title": "Nashville Scene - The Child Who Would Be Queen", "d:Description": "Alison Weir takes on, yet again, the story of England\u2019s \ufb01rst Queen Elizabeth utilizing viewpoint of an omniscient narrator and employing dialogue stylized for the period. By Lacey Galbraith.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.nashvillescene.com/nashville/the-child-who-would-be-queen/Content?oid=1196844"} +{"d:Title": "NYTimes: Queen Isabella - Femme Fatale", "d:Description": "Weir is clearly at home trolling ancient archives for the housekeeping accounts, letters and chronicles that yield clues about 14th-century misbehavior. By Alida Becker.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.nytimes.com/2005/10/16/books/review/16becker.html?_r=2&oref=slogin&"} +{"d:Title": "Alison Weir - Innocent Traitor: A Novel of Lady Jane Grey", "d:Description": "Reviews of Innocent Traitor: A Novel of Lady Jane Grey. Overall Rating: 4.5 stars from 4 consumer reviews.", "topic": "Top/Arts/Literature/Authors/W/Weir,_Alison/Reviews", "url": "http://www.epinions.com/reviews/Book_Innocent_Traitor_A_Novel_of_Lady_Jane_Grey_Alison_Weir"} +{"d:Title": "Peter Weiss", "d:Description": "Short biography of German dramatist Peter Weiss, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Authors/W/Weiss,_Peter", "url": "http://www.imagi-nation.com/moonstruck/clsc66.html"} +{"d:Title": "Peter Weiss at the Complete Review", "d:Description": "Overview of Peter Weiss' life and work, reviews, links.", "topic": "Top/Arts/Literature/Authors/W/Weiss,_Peter", "url": "http://www.complete-review.com/authors/weissp.htm"} +{"d:Title": "Essay by James Welch", "d:Description": "James Welch's introduction to a catalog of Native American Literature.", "topic": "Top/Arts/Literature/Authors/W/Welch,_James", "url": "http://lopezbooks.com/articles/welch/"} +{"d:Title": "James Welch, American Novelist, American Indian", "d:Description": "An annotated bibliography of the work of James Welch.", "topic": "Top/Arts/Literature/Authors/W/Welch,_James", "url": "http://www.dancingbadger.com/james_welch.htm"} +{"d:Title": "James Welch: The Heartsong of Charging Elk", "d:Description": "Reviewed by Jonathan Miles in Salon.com | Books.", "topic": "Top/Arts/Literature/Authors/W/Welch,_James", "url": "http://www.salon.com/2000/08/15/welch/"} +{"d:Title": "Featured Author: Fay Weldon", "d:Description": "News and reviews from the archives of The New York Times.", "topic": "Top/Arts/Literature/Authors/W/Weldon,_Fay", "url": "http://www.nytimes.com/books/98/10/25/specials/weldon.html"} +{"d:Title": "Big Girls Don't Cry / Big Women - Fay Weldon", "d:Description": "A review and a link to other reviews.", "topic": "Top/Arts/Literature/Authors/W/Weldon,_Fay", "url": "http://www.complete-review.com/reviews/weldonf/bigwomen.htm"} +{"d:Title": "Marjorie Welish", "d:Description": "Marjorie Welish author page at the Electronic Poetry Center.", "topic": "Top/Arts/Literature/Authors/W/Welish,_Marjorie", "url": "http://epc.buffalo.edu/authors/welish/"} +{"d:Title": "Weeping Branch", "d:Description": "A poem by Marjorie Welish in Jacket # 10.", "topic": "Top/Arts/Literature/Authors/W/Welish,_Marjorie", "url": "http://jacketmagazine.com/10/welish-wb.html"} +{"d:Title": "Textile", "d:Description": "Poem by Marjorie Welish, with a photo, working notes and a biographical note.", "topic": "Top/Arts/Literature/Authors/W/Welish,_Marjorie", "url": "http://www.asu.edu/pipercwcenter/how2journal/archive/online_archive/v1_2_1999/current/new-writing/welish.html"} +{"d:Title": "The H.G. Wells Society", "d:Description": "International. Promotes interest in the life and work of this prolific author. News items, works in print, publications of the society.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G.", "url": "http://www.hgwellsusa.50megs.com/"} +{"d:Title": "Wikipedia: H.G. Wells", "d:Description": "Biographical article on the historian and novelist. Includes a large trivia section.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G.", "url": "http://en.wikipedia.org/wiki/H._G._Wells"} +{"d:Title": "IMDb: H.G. Wells", "d:Description": "Film and television versions of his stories.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G.", "url": "http://www.imdb.com/name/nm0920229/"} +{"d:Title": "Spartacus Educational: H.G. Wells", "d:Description": "Offers quotes from his contemporaries, with special interest in his political views.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G.", "url": "http://spartacus-educational.com/Jwells.htm"} +{"d:Title": "PageByPageBooks: Secret Places of the Heart", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_Secret_Places_of_the_Heart/"} +{"d:Title": "Literature Network: H.G. Wells", "d:Description": "Includes selected works, a biography, and a search feature.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works", "url": "http://www.online-literature.com/wellshg/"} +{"d:Title": "Bartleby.com: H.G. Wells", "d:Description": "Biography and bibliography, and text from selected fiction and non-fiction work in HTML format.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works", "url": "http://www.bartleby.com/people/Wells-HG.html"} +{"d:Title": "Wells, H. G. (Herbert George)", "d:Description": "Texts and audio books available online, at Project Gutenberg.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works", "url": "http://www.gutenberg.org/browse/authors/w#a30"} +{"d:Title": "PageByPageBooks: Ann Veronica", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Ann_Veronica", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/Ann_Veronica/"} +{"d:Title": "PageByPageBooks: The First Men In The Moon", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/First_Men_in_The_Moon,_The", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_First_Men_In_The_Moon/"} +{"d:Title": "PageByPageBooks: God The Invisible King", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/God_The_Invisible_King", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/God_The_Invisible_King/"} +{"d:Title": "The Invisible Man: A Grotesque Romance", "d:Description": "Each chapter in its own file, with links to previous and next. Searchable. Uses some Windows-only characters. At Bartleby.com.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Invisible_Man,_The", "url": "http://www.bartleby.com/1003"} +{"d:Title": "Bartleby: The Island of Doctor Moreau", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Island_of_Doctor_Moreau,_The", "url": "http://www.bartleby.com/1001"} +{"d:Title": "PageByPageBooks: The Island of Doctor Moreau", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Island_of_Doctor_Moreau,_The", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_Island_of_Doctor_Moreau/"} +{"d:Title": "eBooks Cube: The Island of Doctor Moreau", "d:Description": "Chapter indexed HTML of the complete text. Includes author information.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Island_of_Doctor_Moreau,_The", "url": "http://www.ebooks3.com/ebooks/the_island_of_doctor_moreau.html"} +{"d:Title": "PageByPageBooks: The Door in the Wall And Other Stories", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Short_Stories", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_Door_in_the_Wall_And_Other_Stories/"} +{"d:Title": "Bartleby: The Time Machine", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Time_Machine,_The", "url": "http://www.bartleby.com/1000"} +{"d:Title": "The Time Machine", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Time_Machine,_The", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_Time_Machine/"} +{"d:Title": "The Time Machine Project", "d:Description": "Dedicated to the novel with emphasis on the George Pal 1960 film production.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Time_Machine,_The", "url": "http://www.colemanzone.com/Time_Machine_Project"} +{"d:Title": "eBooks Cube: The Time Machine", "d:Description": "Chapter indexed HTML of the complete text. Includes author information.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Time_Machine,_The", "url": "http://www.ebooks3.com/ebooks/the_time_machine.html"} +{"d:Title": "Bartleby: The War of the Worlds", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/War_of_the_Worlds,_The", "url": "http://www.bartleby.com/1002"} +{"d:Title": "John Walker: The War of the Worlds", "d:Description": "Chapter indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/War_of_the_Worlds,_The", "url": "http://www.fourmilab.ch/etexts/www/warworlds/warw.html"} +{"d:Title": "LearnLibrary: The War of the Worlds", "d:Description": "Chapter indexed HTML of the complete text. Includes a discussion forum.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/War_of_the_Worlds,_The", "url": "http://www.learnlibrary.com/war-worlds/index.htm"} +{"d:Title": "PageByPageBooks: The War of the Worlds", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/War_of_the_Worlds,_The", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_War_of_the_Worlds/"} +{"d:Title": "PageByPageBooks: The Wheels of Chance", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/Wheels_of_Chance,_The", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_Wheels_of_Chance/"} +{"d:Title": "PageByPageBooks: When the Sleeper Wakes", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/When_the_Sleeper_Wakes", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/When_the_Sleeper_Wakes/"} +{"d:Title": "PageByPageBooks: The World Set Free", "d:Description": "Page indexed HTML of the complete text.", "topic": "Top/Arts/Literature/Authors/W/Wells,_H._G./Works/World_Set_Free,_The", "url": "http://www.pagebypagebooks.com/H_G_Herbert_George_Wells/The_World_Set_Free/"} +{"d:Title": "Irvine Welsh", "d:Description": "a review of Ecstasy", "topic": "Top/Arts/Literature/Authors/W/Welsh,_Irvine", "url": "http://www.ucalgary.ca/UofC/students/VOX/Books/welsh1.htm"} +{"d:Title": "The Barcelona Review - Irvine Welsh", "d:Description": "Biography and a rough guide of his works.", "topic": "Top/Arts/Literature/Authors/W/Welsh,_Irvine", "url": "http://www.barcelonareview.com/eng/eng1b4.htm"} +{"d:Title": "Irvine Welsh at Filthy McNasties", "d:Description": "Tuesday 9th November 1999 - a review of a reading.", "topic": "Top/Arts/Literature/Authors/W/Welsh,_Irvine", "url": "http://www.oilzine.com/search/irvine.htm"} +{"d:Title": "You'll Have Had Your Hole", "d:Description": "Analysis of Irvine Welsh's stage play by Dr. W. T. Maley for spikemagazine.com.", "topic": "Top/Arts/Literature/Authors/W/Welsh,_Irvine", "url": "http://www.spikemagazine.com/0199welshplay.php"} +{"d:Title": "Irvine Welsh: Ecstasy: Three Chemical Romances", "d:Description": "SPIKE reviews Ecstasy, the new collection of short stories from Irvine Welsh", "topic": "Top/Arts/Literature/Authors/W/Welsh,_Irvine", "url": "http://www.spikemagazine.com/spikeecs.php"} +{"d:Title": "Eudora Welty and the E-Mail Program Named after Her", "d:Description": "Most people on the Internet know about Eudora. The e-mail program, that is. Not so many, however, know that Eudora got its name after the Southern writer Eudora Welty.", "topic": "Top/Arts/Literature/Authors/W/Welty,_Eudora", "url": "http://art-bin.com/art/or_weltypreface.html"} +{"d:Title": "PAL: Eudora Welty (1909-)", "d:Description": "Perspectives in American Literature: research and reference guide to studies on Eudora Welty.", "topic": "Top/Arts/Literature/Authors/W/Welty,_Eudora", "url": "http://www.csustan.edu/english/reuben/pal/chap10/welty.html"} +{"d:Title": "New York Times' Featured Author: Eudora Welty", "d:Description": "Reviews and news about the author. Registration required.", "topic": "Top/Arts/Literature/Authors/W/Welty,_Eudora", "url": "http://www.nytimes.com/books/98/11/22/specials/welty.html"} +{"d:Title": "Happy birthday, Miss Welty", "d:Description": "Essay by Kate Moses on the occasion of Eudora Welty's 90th birthday. [Salon]", "topic": "Top/Arts/Literature/Authors/W/Welty,_Eudora", "url": "http://www.salon.com/1999/04/13/welty/"} +{"d:Title": "Wikipedia: Franz Werfel", "d:Description": "Concise biography of the twentieth-century writer, with links to related topics.", "topic": "Top/Arts/Literature/Authors/W/Werfel,_Franz", "url": "http://en.wikipedia.org/wiki/Franz_Werfel"} +{"d:Title": "Musa Dagh: Franz Werfel", "d:Description": "Short biography of the Austrian author.", "topic": "Top/Arts/Literature/Authors/W/Werfel,_Franz", "url": "http://mousaler.com/musa-dagh/data/werfel.html"} +{"d:Title": "IMDb: Franz Werfel", "d:Description": "Filmography. His novels and plays that have been turned into movies or television broadcasts.", "topic": "Top/Arts/Literature/Authors/W/Werfel,_Franz", "url": "http://www.imdb.com/name/nm0921268/"} +{"d:Title": "Gardens of the Righteous Worldwide: Franz Werfel", "d:Description": "Illustrated biography of Jewish author.", "topic": "Top/Arts/Literature/Authors/W/Werfel,_Franz", "url": "http://en.gariwo.net/righteous/the-righteous-biographies/people-recognized-as-righteous/righteous-for-the-armenians/franz-werfel-7522.html"} +{"d:Title": "Internet Broadway Database: Franz Werfel", "d:Description": "Credits as a writer for Broadway productions.", "topic": "Top/Arts/Literature/Authors/W/Werfel,_Franz", "url": "https://www.ibdb.com/broadway-cast-staff/franz-werfel-5650"} +{"d:Title": "True West", "d:Description": "\"During the 1930s, a master of the short novel hallucinated a grotesque, erotic America we can recognize as our own.\" By Virginia Heffernan.", "topic": "Top/Arts/Literature/Authors/W/West,_Nathanael", "url": "http://www.bostonphoenix.com/archive/books/97/08/07/NATHANIEL_WEST.html"} +{"d:Title": "Cosmic Baseball Association Nathanael West 1998 Plate", "d:Description": "Biographical notes and West's connection to baseball.", "topic": "Top/Arts/Literature/Authors/W/West,_Nathanael", "url": "http://www.cosmicbaseball.com/nwest8.html"} +{"d:Title": "Nathanael West", "d:Description": "Picture of his tombstone, outline of life and death, cemetery information, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/W/West,_Nathanael", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6210"} +{"d:Title": "RichardFWest.com", "d:Description": "Official site, with author's biography and bibliography, book details, and writing tips.", "topic": "Top/Arts/Literature/Authors/W/West,_Richard_F.", "url": "http://www.richardfwest.com/"} +{"d:Title": "Talon Publishing", "d:Description": "Provides reviews and descriptions of the books from the writer. Includes contact information and details on forthcoming works.", "topic": "Top/Arts/Literature/Authors/W/Weule,_Eric", "url": "http://www.members.tripod.com/ericweule/"} +{"d:Title": "Stanley J. Weyman", "d:Description": "Contains biography, pictures, essays and interviews of the English writer. Also provides photos of his books from 1890-1928.", "topic": "Top/Arts/Literature/Authors/W/Weyman,_Stanley_J.", "url": "http://stanleyweyman.com/"} +{"d:Title": "Overtime: Selected Poems by Philip Whalen", "d:Description": "Reviewed by Tom Clark. From Jacket # 7.", "topic": "Top/Arts/Literature/Authors/W/Whalen,_Philip", "url": "http://www.jacketmagazine.com/07/whalen-clark.html"} +{"d:Title": "Mark Other Place", "d:Description": "Online chapbook.", "topic": "Top/Arts/Literature/Authors/W/Whalen,_Philip", "url": "http://bigbridge.org/Site/Text/Mark_O_P.html"} +{"d:Title": "Edith Wharton Restoration, Inc.", "d:Description": "Founded in 1980 to preserve and restore Edith Wharton's home, The Mount, in Lenox, Massachusetts and to establish it as a cultural center dedicated to the study and promotion of Edith Wharton, literature, and the design arts.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith", "url": "http://www.edithwharton.org/"} +{"d:Title": "Edith Wharton's World", "d:Description": "Biographical information with accompanying portraits of people and places within her works and life. Based on an exhibition at the National Portrait Gallery, Smithsonian Institution, Washington, D.C.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith", "url": "http://www.npg.si.edu/exh/wharton/"} +{"d:Title": "The Edith Wharton Society", "d:Description": "Offers scholars and other interested persons an opportunity to share in the study and appreciation of the life and works of Edith Wharton through annual meetings, sessions, special conferences, and its journal, The Edith Wharton Review.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith", "url": "http://edithwhartonsociety.wordpress.com/"} +{"d:Title": "Harry Ransom Humanities Research Center: Edith Wharton", "d:Description": "Biography and information on the Center's collection of letters of Edith Wharton, 1907-1931 (bulk 1907-1917).", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith", "url": "http://norman.hrc.utexas.edu/fasearch/findingaid.cfm?eadid=00134"} +{"d:Title": "The Touchstone", "d:Description": "EText of the novel by Edith Wharton.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works", "url": "http://www.pagebypagebooks.com/Edith_Wharton/The_Touchstone/"} +{"d:Title": "Literature Network: The Age of Innocence", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Age_of_Innocence,_The", "url": "http://www.online-literature.com/wharton/innocence/"} +{"d:Title": "The Age of Innocence", "d:Description": "Online publication of 1920 edition.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Age_of_Innocence,_The", "url": "http://www.bartleby.com/1005/"} +{"d:Title": "The Age of Innocence", "d:Description": "HTML EText. Read it online, page by page.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Age_of_Innocence,_The", "url": "http://www.pagebypagebooks.com/Edith_Wharton/The_Age_of_Innocence/"} +{"d:Title": "eBooks Cube: The Age of Innocence", "d:Description": "The complete free book in HTML format.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Age_of_Innocence,_The", "url": "http://www.ebooks3.com/ebooks/the_age_of_innocence.html"} +{"d:Title": "Literature Network: The Bunner Sisters", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Bunner_Sisters,_The", "url": "http://www.online-literature.com/wharton/bunner/"} +{"d:Title": "Bunner Sisters", "d:Description": "First appeared in Scribner's Magazine October (Part 1) and November (Part 2), 1916.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Bunner_Sisters,_The", "url": "http://www.pagebypagebooks.com/Edith_Wharton/Bunner_Sisters/"} +{"d:Title": "Literature Network: Ethan Frome", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature, and author's biography.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Ethan_Frome", "url": "http://www.online-literature.com/wharton/ethan_frome/"} +{"d:Title": "Literature Network: The Glimpses of the Moon", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Glimpses_of_the_Moon,_The", "url": "http://www.online-literature.com/wharton/glimpsesofmoon/"} +{"d:Title": "The Glimpses of the Moon", "d:Description": "Full text of book in HTML format.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Glimpses_of_the_Moon,_The", "url": "http://www.pagebypagebooks.com/Edith_Wharton/The_Glimpses_of_the_Moon/"} +{"d:Title": "Shopping for a Change", "d:Description": "Essay about the House of Mirth", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/House_of_Mirth,_The", "url": "http://bad.eserver.org/issues/1994/11/sandell.html"} +{"d:Title": "Selected Bibliography", "d:Description": "Pertains to current works on The House of Mirth.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/House_of_Mirth,_The", "url": "http://public.wsu.edu/~campbelld/amlit/hmbib.htm"} +{"d:Title": "Literature Network: Summer", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Summer", "url": "http://www.online-literature.com/wharton/summer_wharton/"} +{"d:Title": "Summer", "d:Description": "Text of novel in HTML format.", "topic": "Top/Arts/Literature/Authors/W/Wharton,_Edith/Works/Summer", "url": "http://www.pagebypagebooks.com/Edith_Wharton/Summer/"} +{"d:Title": "Dennis Wheatley", "d:Description": "Bibliography of the author's works including first editions and his edited 'Library Of The Occult' series.", "topic": "Top/Arts/Literature/Authors/W/Wheatley,_Dennis", "url": "http://www.denniswheatley.info/"} +{"d:Title": "Dennis Wheatley Collection", "d:Description": "An illustrated collection including first editions, letters, original manuscripts and ephemera.", "topic": "Top/Arts/Literature/Authors/W/Wheatley,_Dennis", "url": "http://www.denniswheatley.info/sams_books/"} +{"d:Title": "David Wheldon: Novelist and Poet", "d:Description": "\"An introduction to this unusual writer, with previously unpublished poems as well as extracts from his published novels and collections.\"", "topic": "Top/Arts/Literature/Authors/W/Wheldon,_David", "url": "http://www.davidwheldon.co.uk/"} +{"d:Title": "Patrick White at the Complete Review", "d:Description": "An overview of the life and works of Australian Nobel laureate Patrick White, with links to reviews and further information.", "topic": "Top/Arts/Literature/Authors/W/White,_Patrick", "url": "http://www.complete-review.com/authors/whitep.htm"} +{"d:Title": "Why bother with Patrick White?", "d:Description": "Australian Broadcasting Corporation's web site that explores the fundamental question \"why read Patrick White?\" via excerpts from various writers who have been central in the field of White criticism. Includes also biographical data, as well as short extracts from the writer's novels.", "topic": "Top/Arts/Literature/Authors/W/White,_Patrick", "url": "http://arts.abc.net.au/white/"} +{"d:Title": "Patrick White", "d:Description": "Provides an autobiographical statement, bibliography, and the text of the Nobel Prize press release from 1973 when the author won the award for literature.", "topic": "Top/Arts/Literature/Authors/W/White,_Patrick", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1973/index.html"} +{"d:Title": "National Library of Australia's Patrick White Manuscript Collection", "d:Description": "Lists the manuscript collections related to Patrick White that are held in the National Library of Australia.", "topic": "Top/Arts/Literature/Authors/W/White,_Patrick", "url": "http://catalogue.nla.gov.au/Record/3789379"} +{"d:Title": "Colson Whitehead, The Intuitionist", "d:Description": "Review by Robin Brenner in Rambles.", "topic": "Top/Arts/Literature/Authors/W/Whitehead,_Colson", "url": "http://rambles.net/whitehead_intuit.html"} +{"d:Title": "Whittier's Anti-Slavery Ode to New Hampshire", "d:Description": "About Whittier's 1846 poem \"New Hampshire\" and what inspired it. Includes the text of the poem. Also a look at the poet's ties to the state. Link to a second article on the historical background of the poem.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf", "url": "http://www.seacoastnh.com/blackhistory/whittier.html"} +{"d:Title": "Lane Memorial Library: John Greenleaf Whittier", "d:Description": "Poems by Whittier about Hampton, New Hampshire. Articles and links about the poet's New Hampshire connections. Images pertaining to Whittier.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf", "url": "http://www.hampton.lib.nh.us/hampton/biog/whittier/index.htm"} +{"d:Title": "Heath Anthology of American Literature: John Greenleaf Whittier (1807-1892)", "d:Description": "Biography of the abolitionist and poet.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf", "url": "http://college.cengage.com/english/lauter/heath/4e/students/author_pages/early_nineteenth/whittier_jo.html"} +{"d:Title": "Heath Online Instructors' Guide: John Greenleaf Whittier (1807-1892)", "d:Description": "Suggested classroom strategies for teaching about Whittier.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf", "url": "http://faculty.georgetown.edu/bassr/heath/syllabuild/iguide/whittier.html"} +{"d:Title": "An Outline of American Literature: John Greenleaf Whittier (1807-1892)", "d:Description": "Brief profile of the nineteenth-century American poet.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf", "url": "http://www.let.rug.nl/usa/outlines/literature-1991/authors/john-greenleaf-whittier.php"} +{"d:Title": "John Greanleaf Whittier", "d:Description": "Short biography for schoolchildren mentions many of this American writer's books, and includes samples of the different kinds of writing he did.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf", "url": "http://spartacus-educational.com/USASwhittier.htm"} +{"d:Title": "Academy of American Poets: John Greenleaf Whittier", "d:Description": "Brief biography and selected bibliography.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf", "url": "http://www.poets.org/poetsorg/poet/john-greenleaf-whittier"} +{"d:Title": "Folklore Ballads of John Greenleaf Whittier", "d:Description": "A selection of ballads inspired by folklore.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://www.pitt.edu/~dash/whittier.html"} +{"d:Title": "Snow-Bound: A Winter Idyl", "d:Description": "Long poem, in HTML.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://www.theotherpages.org/poems/whitt02.html"} +{"d:Title": "Whittier, J. G.", "d:Description": "Links to scanned poetry and prose which were published in magazines. Searchable page images, uses frames.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://cdl.library.cornell.edu/moa/browse.author/w.96.html"} +{"d:Title": "The Kansas Poems of John Greenleaf Whittier", "d:Description": "Four poems by Whittier relevant to Territorial Kansas.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://www.kancoll.org/articles/whittier.html"} +{"d:Title": "At Sundown", "d:Description": "Collection of poems. Digitized version of 1892 printing. Illustrated. Searchable page images.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa;idno=AAX3103.0001.001"} +{"d:Title": "Legends of New-England", "d:Description": "Whittier's first published book. Can be read all as one file, or with a separate file (and page numbers) for each chapter. Uses Unicode.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=amverse;idno=BAH8738.0001.001"} +{"d:Title": "Snow-Bound: A Winter Idyl", "d:Description": "Searchable page images.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa;idno=AEJ8151.0001.001"} +{"d:Title": "Mabel Martin: A Harvest Idyl", "d:Description": "Illustrated. Searchable page images.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa;idno=ABX9158.0001.001"} +{"d:Title": "Anti-Slavery Poems: Songs of Labor and Reform", "d:Description": "Digitized version of 1888 printing has page numbers and a separate file for each poem. Can also be read all in one 514K file, without page numbers.", "topic": "Top/Arts/Literature/Authors/W/Whittier,_John_Greenleaf/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=amverse;idno=BAE0044.0001.001"} +{"d:Title": "Wiecek, Michael", "d:Description": "Official site; includes a biography, reviews, and contact information.", "topic": "Top/Arts/Literature/Authors/W/Wiecek,_Michael", "url": "http://www.mwiecek.com/"} +{"d:Title": "Oprah Interviews Elie Wiesel", "d:Description": "An interview with author Elie Wiesel about \"Night\" a memoir about surviving the Holocaust.", "topic": "Top/Arts/Literature/Authors/W/Wiesel,_Elie", "url": "http://www.oprah.com/omagazine/Oprah-Interviews-Elie-Wiesel"} +{"d:Title": "Dutch Foundation For Literature - Nachoem M. Wijnberg", "d:Description": "Information on the poetry of Nachoem M. Wijnberg", "topic": "Top/Arts/Literature/Authors/W/Wijnberg,_Nachoem", "url": "http://www.letterenfonds.nl/en/author/420/nachoem-m-wijnberg"} +{"d:Title": "Richard Wilbur", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/W/Wilbur,_Richard", "url": "http://www.poets.org/poetsorg/poet/richard-wilbur"} +{"d:Title": "Wilcox, Robert K.", "d:Description": "Fiction and non-fiction writer of mysteries and military books. Biography, bibliography, and calendar of upcoming events, as well as links.", "topic": "Top/Arts/Literature/Authors/W/Wilcox,_Robert_K.", "url": "http://www.robertkwilcox.com/"} +{"d:Title": "The Oscar Wilde Project", "d:Description": "One-man show about Wilde: promotional, reviews, and contact information.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.cedarnet.org/owp/"} +{"d:Title": "Reading Wilde, Querying Spaces", "d:Description": "An online exhibition commemorating the 100th anniversary of the trials of Oscar Wilde. The exhibit is grouped according to ten themes of interest in his life and work.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.nyu.edu/library/bobst/research/fales/exhibits/wilde/00main.htm"} +{"d:Title": "Wilde at Heart", "d:Description": "A BBC article on the occasion of the centennial anniversary of Wilde's death.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://news.bbc.co.uk/1/hi/entertainment/1048091.stm"} +{"d:Title": "A Wilde Biography", "d:Description": "Also includes portrait and quotations.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://mural.uv.es/arolla/biography.html"} +{"d:Title": "Famous Irish Lives: Oscar Wilde", "d:Description": "Biography of the 19th-century Dublin-born author.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.irelandseye.com/aarticles/history/people/whoswho/o_wilde.shtm"} +{"d:Title": "Wilde (Oscar) and His Literary Circle Collection of Papers", "d:Description": "Finding aid for a special collection at UCLA.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.oac.cdlib.org/findaid/ark:/13030/tf338nb1zb"} +{"d:Title": "Wikipedia: Oscar Wilde", "d:Description": "Illustrated encyclopedia-style article on the author, with hyperlinks to related topics.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://en.wikipedia.org/wiki/Oscar_Wilde"} +{"d:Title": "Oscar Wilde Society", "d:Description": "Literary society; with details of memberships, events, and publications.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.oscarwildesociety.co.uk/"} +{"d:Title": "The Oscholars", "d:Description": "Monthly e-journal of Wilde studies. Includes archives.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.oscholars.com/"} +{"d:Title": "FireBlade Coffeehouse: Oscar Wilde", "d:Description": "Texts of several poems and books, as well as links.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.hoboes.com/FireBlade/Fiction/Wilde/"} +{"d:Title": "The Victorian Web: Oscar Wilde", "d:Description": "Essays on Wilde's writing techniques, themes, biography, and cultural background.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://www.victorianweb.org/authors/wilde"} +{"d:Title": "Spartacus Educational: Oscar Wilde", "d:Description": "Brief illustrated biography.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://spartacus-educational.com/Jwilde.htm"} +{"d:Title": "The Trials of Oscar Wilde", "d:Description": "Essays, transcripts, letters, images, and other materials relating to the three celebrated legal trials in which Wilde was a party.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "http://law2.umkc.edu/faculty/projects/ftrials/wilde/wilde.htm"} +{"d:Title": "Oscar Wilde Returns", "d:Description": "Sound clip and transcript of voice claiming to be Wilde from a seance with medium Leslie Flint.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar", "url": "https://wichm.home.xs4all.nl/oswilde.html"} +{"d:Title": "Wilde Quotations", "d:Description": "A small selection of Wilde quotations, from the work by John Bartleby.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Quotations", "url": "http://www.bartleby.com/100/652.html"} +{"d:Title": "Lifeisajoke.com: Oscar Wilde", "d:Description": "A collection of funny quotations attributed to the author.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Quotations", "url": "http://www.lifeisajoke.com/wildehumor_html.htm"} +{"d:Title": "Oscar Wilde Quotations", "d:Description": "A large collection of quotations, including Wilde's prose works and his letter \"De Profundis\".", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Quotations", "url": "http://www.yenra.com/quotations/wilde.html"} +{"d:Title": "CELT: Oscar Wilde", "d:Description": "Includes poems, novels, short stories, plays, and lectures in both HTML and plain text.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.ucc.ie/celt/wilde.html"} +{"d:Title": "Bartleby.com: Oscar Wilde", "d:Description": "Author's biography and bibliography, excerpts from poems, and quotations.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.bartleby.com/people/Wilde-Os.html"} +{"d:Title": "Oscar Wilde's The Picture of Dorian Gray", "d:Description": "Serial HTML etext. De Profundis also on site, along with Oscar Wilde links.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.upword.com/wilde/"} +{"d:Title": "Monologue Archive: Oscar Wilde", "d:Description": "Includes texts from the plays suitable for independent performance.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.monologuearchive.com/w/wilde_oscar.html"} +{"d:Title": "Literature Network: Oscar Wilde", "d:Description": "Searchable HTML etexts of various works; author biography.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.online-literature.com/wilde/"} +{"d:Title": "De Profundis", "d:Description": "In searchable HTML, at World Wide School. Split into five parts, with links to previous, next, table of contents. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.worldwideschool.org/library/books/lit/drama/DeProfundisbyOscarWilde/toc.html"} +{"d:Title": "Essays and Lectures", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.worldwideschool.org/library/books/socl/politicalscience/TheRiseofHistoricalCriticism/toc.html"} +{"d:Title": "Intentions", "d:Description": "In searchable HTML, at World Wide School. Split into a dozen files, with links to previous, next, table of contents. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.worldwideschool.org/library/books/lit/drama/Intentions/toc.html"} +{"d:Title": "Selected Prose of Oscar Wilde", "d:Description": "In searchable HTML, at World Wide School. Split into 6 files, with links to previous, next, table of contents. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.worldwideschool.org/library/books/lit/essays/SelectedProseofOscarWilde/toc.html"} +{"d:Title": "Shorter Prose Pieces", "d:Description": "In searchable HTML, at World Wide School. Each in its own file, with links to previous, next, table of contents. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.worldwideschool.org/library/books/lit/shortstories/ShorterProsePieces/toc.html"} +{"d:Title": "The Soul of Man", "d:Description": "In searchable HTML, at World Wide School. Divided in two parts. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.worldwideschool.org/library/books/socl/publicadministration/TheSoulofMan/toc.html"} +{"d:Title": "ClassicReader.com: Oscar Wilde", "d:Description": "\"An Ideal Husband;\" \"The Importance of Being Earnest;\" \"The Picture of Dorian Gray;\" and several short stories. Searchable HTML.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works", "url": "http://www.classicreader.com/author/62/"} +{"d:Title": "The Picture of Dorian Gray", "d:Description": "Online and downloadable etexts, and a discussion group.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Fiction", "url": "http://www.learnlibrary.com/book/wilde/dorian-gray/index.htm"} +{"d:Title": "The Happy Prince and Other Tales", "d:Description": "Illustrated. In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Fiction", "url": "http://www.worldwideschool.org/library/books/youth/fantasy/TheHappyPrinceOtherTales/toc.html"} +{"d:Title": "A House of Pomegranates", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Fiction", "url": "http://www.worldwideschool.org/library/books/lit/drama/AHouseofPomegranates/toc.html"} +{"d:Title": "Lord Arthur Savile's Crime and Other Stories", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Fiction", "url": "http://www.worldwideschool.org/library/books/lit/shortstories/LordArthurSavilesCrimeandOtherStories/toc.html"} +{"d:Title": "The Picture of Dorian Gray", "d:Description": "In searchable HTML, at World Wide School. With a brief introduction. Each of 20 chapters in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Fiction", "url": "http://www.worldwideschool.org/library/books/lit/horror/ThePictureofDorianGray/toc.html"} +{"d:Title": "Salome", "d:Description": "HTML etext of the play (at imagi-nation)", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Plays", "url": "http://www.imagi-nation.com/moonstruck/salome1.html"} +{"d:Title": "The Duchess of Padua", "d:Description": "In searchable HTML, at World Wide School. Each act in its own file, with links to previous, next, table of contents, or any other act. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Plays", "url": "http://www.worldwideschool.org/library/books/lit/plays/TheDuchessofPadua/toc.html"} +{"d:Title": "An Ideal Husband", "d:Description": "In searchable HTML, at World Wide School. Each act in its own file, with links to previous, next, table of contents, or any other act. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Plays", "url": "http://www.worldwideschool.org/library/books/lit/plays/AnIdealHusband/toc.html"} +{"d:Title": "The Importance of Being Earnest", "d:Description": "In searchable HTML, at World Wide School. Each act in its own file, with links to previous, next, table of contents, or any other act. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Plays", "url": "http://www.worldwideschool.org/library/books/lit/plays/TheImportanceofBeingEarnest/toc.html"} +{"d:Title": "Lady Windermere's Fan", "d:Description": "In searchable HTML, at World Wide School. With a brief introduction. Each act in its own file, with links to previous, next, table of contents, or any other act. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Plays", "url": "http://www.worldwideschool.org/library/books/lit/plays/LadyWindermeresFan/toc.html"} +{"d:Title": "A Woman of No Importance", "d:Description": "In searchable HTML, at World Wide School. Each act in its own file, with links to previous, next, table of contents, or any other act. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Plays", "url": "http://www.worldwideschool.org/library/books/lit/plays/AWomanofNoImportance/toc.html"} +{"d:Title": "Poems, 1881.", "d:Description": "Complete etext at Bartleby.com, indexed by title and first line.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Poetry", "url": "http://www.bartleby.com/143"} +{"d:Title": "Archive of Oscar Wilde's Poetry", "d:Description": "Includes the complete \"Charmides and Other Poems\" and \"Poems\" collections.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Poetry", "url": "http://www.everypoet.com/archive/poetry/Oscar_Wilde/oscar_wilde_contents.htm"} +{"d:Title": "Oscar Wilde: Poems", "d:Description": "A collection of poems by the Irish-born poet and dramatist.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Poetry", "url": "http://www.poetry-archive.com/w/wilde_oscar.html"} +{"d:Title": "Ballad of Reading Gaol", "d:Description": "In searchable HTML, at World Wide School. Two versions, each in its own file. With brief introduction. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Poetry", "url": "http://www.worldwideschool.org/library/books/lit/poetry/BalladofReadingGaol/toc.html"} +{"d:Title": "Charmides and Other Poems", "d:Description": "In searchable HTML, at World Wide School. Each poem in its own file, with links to previous, next, table of contents, or any other poem. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Poetry", "url": "http://www.worldwideschool.org/library/books/lit/poetry/CharmidesandOtherPoems/toc.html"} +{"d:Title": "Selected Poems of Oscar Wilde", "d:Description": "In searchable HTML, at World Wide School. Split into four files. Includes two versions of \"Ballad of Reading Gaol.\" In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Poetry", "url": "http://www.worldwideschool.org/library/books/lit/poetry/SelectedPoemsofOscarWilde/toc.html"} +{"d:Title": "Collected Poems", "d:Description": "In HTML. All in one file, or with each poem in its own file. Also available for download as a zip file. At the University of Adelaide Library.", "topic": "Top/Arts/Literature/Authors/W/Wilde,_Oscar/Works/Poetry", "url": "http://ebooks.adelaide.edu.au/w/wilde/oscar/w67p/"} +{"d:Title": "Wallis Wilde-Menozzi", "d:Description": "Official site of the American poet and essayist. Biography, book reviews, and samples of her work.", "topic": "Top/Arts/Literature/Authors/W/Wilde-Menozzi,_Wallis", "url": "http://www.walliswilde-menozzi.com/"} +{"d:Title": "Little House Site Tours", "d:Description": "Links to tours of places in the life and books of Laura Ingalls Wilder.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://www.lhsitetours.homestead.com/"} +{"d:Title": "Nothing But Rose", "d:Description": "Fun site for all ages on Laura Ingalls Wilder's daughter, Rose.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://nothingbutrose.tripod.com/"} +{"d:Title": "Cap Garland", "d:Description": "Oscar \"Cap\" Edmund Garland, hero of the \"Little House\" books. Includes biographical information and an interview with Cap's great-niece, and provides links to other websites regarding the author.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://capgarland.freewebspace.com/"} +{"d:Title": "Ingalls Homestead", "d:Description": "Home of Laura Ingalls Wilder and \"Little House\" stories. Includes history, virtual tour, and how to visit in person.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://www.ingallshomestead.com/"} +{"d:Title": "Laura Ingalls Wilder Home and Museum", "d:Description": "Visitors information for the house in Mansfield, Missouri.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://www.lauraingallswilderhome.com/"} +{"d:Title": "My Little House on the Prairie Page", "d:Description": "Fansite for the Little House book series including simple synopses, activities, and trivia.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://www.laurasprairiehouse.com/"} +{"d:Title": "Laura Ingalls Wilder Book Covers", "d:Description": "Early edition covers of Laura Ingalls Wilder books, illustrated by Helen Sewell and Mildred Boyle.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://www.purplehousepress.com/liw.htm"} +{"d:Title": "Little House", "d:Description": "A fan site which includes a chat room and message board for discussion of the author and her works.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://krystie.tripod.com/index.html"} +{"d:Title": "Genealogy of Almanzo and Laura Ingalls Wilder", "d:Description": "Family tree and a discussion of the discrepancies between census records and the stories recorded in Wilder's books.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Laura_Ingalls", "url": "http://pennyn.tripod.com/"} +{"d:Title": "The Thornton Wilder Society", "d:Description": "Dedicated to the legacy of the author. Includes a newsletter, events calendar, and educational resources.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Thornton", "url": "http://www.thorntonwildersociety.org/"} +{"d:Title": "Thornton Wilder", "d:Description": "Photographic portraits, pictures from Mount Carmel Cemetery in Hamden, Connecticut, brief information on life and death, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Authors/W/Wilder,_Thornton", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1103"} +{"d:Title": "Web of Exchange", "d:Description": "Includes introduction, biography, links, and message board.", "topic": "Top/Arts/Literature/Authors/W/Williams,_Charles", "url": "http://www.coinherence.faithweb.com/"} +{"d:Title": "Coyote Clan", "d:Description": "Gathering place and resource for information about the life and work of the author. Includes biographical information, book descriptions and reviews, interviews, articles, and a bibliography. The author's official site.", "priority": "1", "topic": "Top/Arts/Literature/Authors/W/Williams,_Terry_Tempest", "url": "http://www.coyoteclan.com/"} +{"d:Title": "Speaking from the Heart", "d:Description": "Article in College Street Journal about Williams' visit to Mount Holyoke College.", "topic": "Top/Arts/Literature/Authors/W/Williams,_Terry_Tempest", "url": "http://www.mtholyoke.edu/offices/comm/csj/110300/williams.shtml"} +{"d:Title": "The Politics of Place", "d:Description": "Interview with the author from the National Public Radio series 'Insight and Outlook.'", "topic": "Top/Arts/Literature/Authors/W/Williams,_Terry_Tempest", "url": "http://www.scottlondon.com/interviews/williams.html"} +{"d:Title": "William Carlos Williams", "d:Description": "An introduction to the poet by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos", "url": "http://www.lit.kobe-u.ac.jp/~hishika/williams.htm"} +{"d:Title": "William C. Williams", "d:Description": "Life and works of William Carlos Williams.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos", "url": "http://jimmy_130.tripod.com/williams.html"} +{"d:Title": "Imagination and Self: The Autonomy of William Carlos Williams", "d:Description": "A discussion by Patrick Paul Christle of Williams's \"Kora in Hell\" and what it says about his conceptions of the individual and the imagination.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos", "url": "http://christle.freeshell.org/essays/wcwilliams.pdf"} +{"d:Title": "William Carlos Williams Review", "d:Description": "Online scholarly journal devoted to Williams' work.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos", "url": "http://english.ttu.edu/WCWR/"} +{"d:Title": "William Carlos Williams", "d:Description": "Apparently there's a band named after Williams. This is their site.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos", "url": "http://shoestringrecords.tripod.com/open.html"} +{"d:Title": "William Carlos Williams", "d:Description": "An Academy of American Poets \"Poetry Exhibit,\" includes a brief biography, a selected bibliography, and a small selection of poems.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos", "url": "http://www.poets.org/poetsorg/poet/william-carlos-williams"} +{"d:Title": "Williams, William Carlos", "d:Description": "An interesting, slightly different perspective (from the NY School of Medicine's \"Medical Humanities\" website) of some of Williams' work--including brief annotations of \"A Red Wheel Barrow\" and \"Complaint,\" as well as a few other poems and stories.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos", "url": "http://medhum.med.nyu.edu/person/2090"} +{"d:Title": "Love Song", "d:Description": "HTML text of the poem. Also includes an author photograph.", "topic": "Top/Arts/Literature/Authors/W/Williams,_William_Carlos/Works", "url": "http://www.boppin.com/poets/williams.htm"} +{"d:Title": "The Henry Williamson Society", "d:Description": "Non-political society promoting the literary achievements of the controversial author of Tarka the Otter. Provides commentary on his works and lists events hosted by the organization.", "topic": "Top/Arts/Literature/Authors/W/Williamson,_Henry", "url": "http://www.henrywilliamson.co.uk/"} +{"d:Title": "Winchester, Simon", "d:Description": "Official site, with details of books and research materials plus an interview.", "topic": "Top/Arts/Literature/Authors/W/Winchester,_Simon", "url": "http://www.simonwinchester.com/"} +{"d:Title": "Winchester, Simon", "d:Description": "British Council biography. Details provided include bibliographic details, prizes and a short critique.", "topic": "Top/Arts/Literature/Authors/W/Winchester,_Simon", "url": "https://literature.britishcouncil.org/writer/simon-winchester"} +{"d:Title": "Susan Wojciechowski", "d:Description": "\"Information about children's author Susan Wojciechowski . . . includes biography, books, classroom activities and school visits.\"", "topic": "Top/Arts/Literature/Authors/W/Wojciechowski,_Susan", "url": "http://www.susanwoj.com/"} +{"d:Title": "Gene Wolfe", "d:Description": "Extensive page on the author and his works, including news and publication information, interview, and links to related websites.", "topic": "Top/Arts/Literature/Authors/W/Wolfe,_Gene", "url": "http://mysite.verizon.net/~vze2tmhh/wolfe.html"} +{"d:Title": "Whorl", "d:Description": "Mailing list and forum for discussion of The Book of the Long Sun and other works by Gene Wolfe.", "topic": "Top/Arts/Literature/Authors/W/Wolfe,_Gene", "url": "http://www.urth.net/"} +{"d:Title": "Wolfeans", "d:Description": "Mailing list for discussing the life and works of the author.", "topic": "Top/Arts/Literature/Authors/W/Wolfe,_Thomas", "url": "http://groups.yahoo.com/group/wolfeans/"} +{"d:Title": "Thomas Wolfe's \"The Lost Boy\"", "d:Description": "From The Hills Beyond, by Thomas Wolfe, 1941; first published in Redbook, November, 1937.", "topic": "Top/Arts/Literature/Authors/W/Wolfe,_Thomas", "url": "http://xroads.virginia.edu/~MA01/White/anthology/wolfe.html"} +{"d:Title": "Tom Wolfe Web Site", "d:Description": "Tom Wolfe has established himself as our prime fictional chronicler of America at its most outrageous and alive.", "topic": "Top/Arts/Literature/Authors/W/Wolfe,_Tom", "url": "http://www.tomwolfe.com/"} +{"d:Title": "Featured Author: Tom Wolfe", "d:Description": "News and reviews from the archives of The New York Times.", "topic": "Top/Arts/Literature/Authors/W/Wolfe,_Tom", "url": "http://www.nytimes.com/books/98/11/08/specials/wolfe.html"} +{"d:Title": "Bartleby.com: Mary Wollstonecraft", "d:Description": "Biography and bibliography, and the feminist classic, \"A Vindication of the Rights of Woman\".", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://www.bartleby.com/people/Wollston.html"} +{"d:Title": "Memoirs of Mary Wollstonecraft", "d:Description": "William Godwin, Wollstonecraft's husband, published this biography of Wollstonecraft shortly after her death in childbirth. HTML format, divided into chapters.", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://dwardmac.pitzer.edu/anarchist_archives/godwin/memoirs/toc.html"} +{"d:Title": "A Vindication of the Rights of Woman", "d:Description": "Wollstonecraft's 1792 manifesto. From Bartleby at Columbia University.", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://www.bartleby.com/144/"} +{"d:Title": "Mary Wollstonecraft Page", "d:Description": "A \"bio-bibliographical note.\" The link for \"Vindication\" will work only for authorized users, but the biographical material is open.", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://www.library.utoronto.ca/utel/authors/wollstonecraftm.html"} +{"d:Title": "Mary Wollstonecraft: A 'Speculative and Dissenting Spirit'", "d:Description": "A biography and assessment of her contribution to women's rights. [From BBC History]", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://www.bbc.co.uk/history/british/empire_seapower/wollstonecraft_01.shtml"} +{"d:Title": "Squashed Wollstonecraft", "d:Description": "The condensed edition of Mary Wollstonecraft's 'Vindication of the Rights of Woman' with study notes and glossary", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://sqapo.com/wollstonecraft.htm"} +{"d:Title": "About Mary Wollstonecraft", "d:Description": "Articles and links for information about Mary Wollstonecraft, her ideas, her personal life, and the reaction to her personal life. From the About.com Guide to Women's History.", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://womenshistory.about.com/od/wollstonecraft/a/Mary-Wollstonecraft.htm"} +{"d:Title": "Mary Wollstonecraft", "d:Description": "Part of the Spartacus project. Hyperlinked biography of Wollstonecraft, with large portrait.", "topic": "Top/Arts/Literature/Authors/W/Wollstonecraft,_Mary", "url": "http://spartacus-educational.com/Wwollstonecraft.htm"} +{"d:Title": "Monica Wood", "d:Description": "Homepage of Monica Wood, novelist and author of short stories.", "topic": "Top/Arts/Literature/Authors/W/Wood,_Monica", "url": "http://monicawood.com/"} +{"d:Title": "Woodruff, William", "d:Description": "Historian and novelist; with biographical information, photographs, and tour details.", "topic": "Top/Arts/Literature/Authors/W/Woodruff,_William", "url": "http://www.williamwoodruff.com/"} +{"d:Title": "World Wide Woolf", "d:Description": "Article by Brenda Silver, author of the book Virginia Woolf Icon. Discusses the construction of Virginia Woolf as a modern cultural icon and argues that, as an icon, she is everywhere.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia", "url": "http://www.press.uchicago.edu/Misc/Chicago/757463.html"} +{"d:Title": "Bartleby.com: Virginia Woolf", "d:Description": "Biography and bibliography, and eight early short stories.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia", "url": "http://www.bartleby.com/people/Woolf-Vi.html"} +{"d:Title": "Computer Assisted Analysis of The Waves", "d:Description": "Analysis of characterization in the novel focusing on variations and similarities in the use of language by the author.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia", "url": "http://andrew.treloar.net/research/theses/masters/index.shtml"} +{"d:Title": "Virginia Woolf Chronology", "d:Description": "List of important dates in the authors life with brief descriptions of events.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia", "url": "http://www.mantex.co.uk/2009/09/24/virginia-woolf-her-life-and-works/"} +{"d:Title": "Orlando", "d:Description": "Plot Summary, cast overview, reviews and recommendations. From the Internet Movie Database.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Adaptations", "url": "http://imdb.com/title/tt0107756/"} +{"d:Title": "Bibliography of Woolf Studies Published in 1996", "d:Description": "Special issues or volumes, books of special note, references in fashion and popular culture, multimedia, journal articles, book chapters, and notes.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Bibliography", "url": "http://www.ibiblio.org/sally/Woolf96.html"} +{"d:Title": "Bibliography of Woolf Studies Published in 1997", "d:Description": "Special issues or volumes, books of special note, references in fashion and popular culture, multimedia, journal articles, book chapters, and notes.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Bibliography", "url": "http://www.ibiblio.org/sally/Woolf97.html"} +{"d:Title": "Bibliography of Woolf Studies Published in 1998", "d:Description": "Special issues or volumes, books of special note, references in fashion and popular culture, multimedia, journal articles, book chapters, and notes.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Bibliography", "url": "http://www.ibiblio.org/sally/Woolf98.html"} +{"d:Title": "Bibliography of Woolf Studies Published in 1999", "d:Description": "Special issues or volumes, books of special note, references in fashion and popular culture, multimedia, journal articles, book chapters, and notes.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Bibliography", "url": "http://www.ibiblio.org/sally/Woolf99.html"} +{"d:Title": "Passing Glances at Virginia Woolf", "d:Description": "References to the author which are unlikely to be referenced in standard bibliographies.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Bibliography", "url": "http://www.ibiblio.org/sally/passing_glances.html"} +{"d:Title": "International Virginia Woolf Society", "d:Description": "Allied organization of the Modern Language Association which presents two annual sessions on Woolf and her works. Bibliography, mailing list details, relevant links, society conferences and calls for papers.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Organizations", "url": "http://www.utoronto.ca/IVWS/"} +{"d:Title": "Virginia Woolf Society of Great Britain", "d:Description": "Literary society; with newsletters, membership details and event listings.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Organizations", "url": "http://www.virginiawoolfsociety.co.uk/"} +{"d:Title": "Monday or Tuesday", "d:Description": "A collection of eight short stories, published in 1921.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Works", "url": "http://www.bartleby.com/85/"} +{"d:Title": "Literature Network: Night and Day", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Works/Night_and_Day", "url": "http://www.online-literature.com/virginia_woolf/night_and_day/"} +{"d:Title": "The Mark on the Wall", "d:Description": "E-text. Under copyright restrictions this cannot be distributed or downloaded.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Works/Non-Fiction", "url": "http://www.english.upenn.edu/~jenglish/English104/woolf1.html"} +{"d:Title": "Lady in the Looking-Glass", "d:Description": "E-text.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Works/Non-Fiction", "url": "http://www.english.upenn.edu/~jenglish/English104/woolf2.html"} +{"d:Title": "Paperbacks as an Area of Bibliographical Study: The Case of Virginia Woolf's Orlando", "d:Description": "Bibliographic comparison of Virginia Woolf's Orlando in its American paperback editions, including cover artwork.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Works/Orlando", "url": "http://www.tetterton.net/orlando/orlando_talk.html"} +{"d:Title": "Orlando: The Book as Critic", "d:Description": "Conference paper by Kelly Tetterton which argues that the physical form that Orlando appears in acts as pre-critical commentary on the text within. Book cover artwork.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Works/Orlando", "url": "http://www.tetterton.net/orlando/orlando95_talk.html"} +{"d:Title": "Literature Network: The Voyage Out", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/Authors/W/Woolf,_Virginia/Works/Voyage_Out,_The", "url": "http://www.online-literature.com/virginia_woolf/voyage_out/"} +{"d:Title": "The Wordsworth Trust", "d:Description": "The educational and historical organization devoted to Wordsworth.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.wordsworth.org.uk/"} +{"d:Title": "William \"The Interminable\" Wordsworth", "d:Description": "A somewhat off-the-wall biography of Wordsworth.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.incompetech.com/authors/wordsworth/"} +{"d:Title": "Bartleby.com: William Wordsworth", "d:Description": "Contains biography, prefaces and prologues, quotations, verse, and writings about the author.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.bartleby.com/people/WordswthW.html"} +{"d:Title": "Wordsworth's Arguments Against the Kendal and Windemere Railway", "d:Description": "Brief article from Mount Holyoke College, on writings from William Wordsworth, who opposed anything that generated more visitors to the Lake District.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.mtholyoke.edu/courses/rschwart/rail/workingcopiesmmla/railfinals/wordsworth.html"} +{"d:Title": "William Wordsworth's Solitary Figures", "d:Description": "An essay examining the solitary figures in William Wordsworth's lyrical ballads, by Catherine Cooper.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.literature-study-online.com/essays/wordsworth.html"} +{"d:Title": "William Wordworth and Lucy", "d:Description": "An essay on the Lucy poems, with a bibliography, by Trivikrama Kumari Jamwal.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.literature-study-online.com/essays/wordsworth-lucy.html"} +{"d:Title": "William Wordsworth: The Prelude Book VI - Cambridge and the Alps", "d:Description": "A study by Ian Mackean of Book 6, \"Cambridge and the Alps,\" from the autobiographical epic poem \"The Prelude\".", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.literature-study-online.com/essays/wordsworth-prelude.html"} +{"d:Title": "Read Print: William Wordsworth", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://www.readprint.com/author-92/William-Wordsworth-books"} +{"d:Title": "Spartacus Educational: William Wordsworth", "d:Description": "Portrait of the poet, and a biography.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William", "url": "http://spartacus-educational.com/Jwordsworth.htm"} +{"d:Title": "The Complete Poetical Works of William Wordsworth", "d:Description": "Online publication of the classic 1888 edition.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William/Works", "url": "http://www.bartleby.com/145/"} +{"d:Title": "Everypoet: Complete Poetry of William Wordsworth", "d:Description": "Wordsworth's complete poetical works.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William/Works", "url": "http://www.everypoet.com/archive/poetry/William_Wordsworth/william_wordsworth_contents.htm"} +{"d:Title": "Selected Poetry of William Wordsworth (1770-1850)", "d:Description": "Archive at the University of Toronto's Representative Poetry Online. Includes his 1798 collaboration with Samuel Taylor Coleridge \"Lyrical Ballads.\" With line numbering and notes.", "topic": "Top/Arts/Literature/Authors/W/Wordsworth,_William/Works", "url": "http://rpo.library.utoronto.ca/poets/wordsworth-william"} +{"d:Title": "Austin Tappan Wright", "d:Description": "\"This page is dedicated to the author of Islandia, Austin Tappan Wright.\" Contains a brief biography, photograph, quotations from critical essays and contemporaries.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Austin_Tappan", "url": "http://www.sjsu.edu/faculty/wooda/wright.html"} +{"d:Title": "Danny Yee's Book Reviews: Islandia", "d:Description": "A brief review of Austin Tappan Wright's imaginatively envisaged utopia.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Austin_Tappan", "url": "http://dannyreviews.com/h/Islandia.html"} +{"d:Title": "Charles Wright", "d:Description": "\"The Academy of American Poets presents a biography, photograph, and selected poems.\"", "topic": "Top/Arts/Literature/Authors/W/Wright,_Charles", "url": "http://www.poets.org/poetsorg/poet/charles-wright"} +{"d:Title": "Robert Hass on James Wright", "d:Description": "A column from the Sept. 27, 1998 column by former Poet Laureate Robert Hass on James Wright. Contains the text of \"Trying to Pray\" and \"Depressed by a Book of Bad Poetry...\"", "topic": "Top/Arts/Literature/Authors/W/Wright,_James", "url": "http://www.washingtonpost.com/wp-srv/style/books/features/19980927.htm"} +{"d:Title": "Modern American Poetry: James Wright", "d:Description": "From the online companion to the Oxford Modern American Poetry Anthology, this site contains a biography (with photographs) and criticism of several poems. It also includes the text of \"At the Executed Murderer's Grave\" and \"Beginning\".", "topic": "Top/Arts/Literature/Authors/W/Wright,_James", "url": "http://www.english.illinois.edu/maps/poets/s_z/j_wright/j_wright.htm"} +{"d:Title": "James Wright", "d:Description": "Academy of American Poets site. Contains a biography (with photograph), links to other sites, and the texts of three poems: \"Autumn Begins in Martin's Ferry, Ohio\" (available in Real Audio, read by Wright), \"Northern Pike\", and \"On the Skeleton of a Hound.\"", "topic": "Top/Arts/Literature/Authors/W/Wright,_James", "url": "http://www.poets.org/poetsorg/poet/james-wright"} +{"d:Title": "Wright: Native Son", "d:Description": "A general overview of Wright's life and work. Includes information on his essays, poetry, fiction and non-fiction, as well as a short biography.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Richard", "url": "http://www.math.buffalo.edu/~sww/wright/wright0.html"} +{"d:Title": "To Make a Self: Existentialist Themes in Richard Wright's \"Black Boy\"", "d:Description": "A brief discussion of Wright's autobiography by Patrick Paul Christle.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Richard", "url": "http://christle.freeshell.org/essays/rwright.pdf"} +{"d:Title": "Modern American Poetry: Richard Wright", "d:Description": "Explores Richard Wright's poetry in the context of his life. Also includes a bibliography of his poems, and discusses the critical reception to his work.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Richard", "url": "http://www.english.illinois.edu/maps/poets/s_z/r_wright/r_wright.htm"} +{"d:Title": "Jerry Jazz Musician: Hazel Rowley", "d:Description": "Interview with the author of \"Richard Wright: The Life and Times\"l MP3 download of Wright's Blues collaboration with Paul Robeson.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Richard", "url": "http://www.jerryjazzmusician.com/2002/05/hazel-rowley-author-of-richard-wright-the-life-and-times/"} +{"d:Title": "The Ballad of Elaine", "d:Description": "Wright's poem at Brittania.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Sydney_Fowler", "url": "http://britannia.com/history/fowlerwright/elaine1.html"} +{"d:Title": "Dream", "d:Description": "HTML version of Wright's 1933 work.", "topic": "Top/Arts/Literature/Authors/W/Wright,_Sydney_Fowler", "url": "http://trussel.com/prehist/dream.htm"} +{"d:Title": "Lady Mary Wroth (1587?-1651?)", "d:Description": "\"Biography, works, and web resources for the renowned lady poet.\" Webpages at luminarium.org.", "topic": "Top/Arts/Literature/Authors/W/Wroth,_Mary", "url": "http://www.luminarium.org/sevenlit/wroth/"} +{"d:Title": "Bibliography: Lady Mary Wroth", "d:Description": "Compiled by Ron Cooley of the University of Saskatchewan.", "topic": "Top/Arts/Literature/Authors/W/Wroth,_Mary", "url": "http://www.usask.ca/english/phoenix/wrothbib.htm"} +{"d:Title": "Lady Mary Wroth", "d:Description": "By Arnie Sanders of Goucher College. Provides an overview of \"The Countess of Montgomery's Urania\" and \"Pamphilia to Amphialanthus,\" as well as a set of research questions.", "topic": "Top/Arts/Literature/Authors/W/Wroth,_Mary", "url": "http://faculty.goucher.edu/eng211/lady_mary_wroth.htm"} +{"d:Title": "The Janny Wurts Official Webpage", "d:Description": "A showcase for her books, artwork and miscellany. Includes a link to a chat area to discuss her works.", "topic": "Top/Arts/Literature/Authors/W/Wurts,_Janny", "url": "http://www.paravia.com/JannyWurts/index.html"} +{"d:Title": "Tangled Web: Janny Wurts", "d:Description": "Author profile, bibliography, and story lines.", "topic": "Top/Arts/Literature/Authors/W/Wurts,_Janny", "url": "http://www.twbooks.co.uk/authors/jannywurts.html"} +{"d:Title": "The SF Site Featured Review: Fugitive Prince", "d:Description": "Wayne MacLaurin's review of Janny Wurts' Fugitive Prince.", "topic": "Top/Arts/Literature/Authors/W/Wurts,_Janny", "url": "http://www.sfsite.com/11b/fug21.htm"} +{"d:Title": "Janny Wurts", "d:Description": "Science Fiction and Fantasy World's page on Janny Wurts.", "topic": "Top/Arts/Literature/Authors/W/Wurts,_Janny", "url": "http://www.sffworld.com/author/589.html"} +{"d:Title": "Janny Wurts", "d:Description": "HarperCollins Publishers' author page on Janny Wurts. Includes links to information on each of her books.", "topic": "Top/Arts/Literature/Authors/W/Wurts,_Janny", "url": "http://www.harpercollins.com/author/index.aspx?authorid=11596"} +{"d:Title": "Janny Wurts Bibliography", "d:Description": "A bibliography of Janny Wurts's books and short stories, with book covers. Part of the Fantastic Fiction site.", "topic": "Top/Arts/Literature/Authors/W/Wurts,_Janny", "url": "https://www.fantasticfiction.com/w/janny-wurts/"} +{"d:Title": "Sir Thomas Wyatt, the Elder (1503-1542)", "d:Description": "\"Sir Thomas Wyatt, English Renaissance poet, father of the English sonnet. Biography, quotes, collected works and resources.\" Website devoted to Wyatt and his works at luminarium.org.", "topic": "Top/Arts/Literature/Authors/W/Wyatt,_Thomas", "url": "http://www.luminarium.org/renlit/wyatt.htm"} +{"d:Title": "Xu Xi", "d:Description": "Official site of the Hong Kong writer includes current and upcoming appearances, biography, and book information.", "topic": "Top/Arts/Literature/Authors/X/Xu_Xi", "url": "http://www.xuxiwriter.com/"} +{"d:Title": "J. Michael Yates", "d:Description": "Author's homepage includes his biography and samples of his poetry, short fiction, and drama.", "topic": "Top/Arts/Literature/Authors/Y", "url": "http://www.jmichaelyates.com/"} +{"d:Title": "Yafa, Stephen", "d:Description": "Official site; with news, articles and excerpts.", "topic": "Top/Arts/Literature/Authors/Y/Yafa,_Stephen", "url": "http://stephenyafa.com/"} +{"d:Title": "Yang, Vivian", "d:Description": "Vivian Yang is a widely published bilingual author, TV and print journalist, and university lecturer.", "topic": "Top/Arts/Literature/Authors/Y/Yang,_Vivian", "url": "http://shanghaiyang.tripod.com/"} +{"d:Title": "William Butler Yeats", "d:Description": "A biography of the Irish poet and dramatist, focusing on his writings for the theatre.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://www.theatrehistory.com/irish/yeats001.html"} +{"d:Title": "William Butler Yeats", "d:Description": "Biography and selected poems.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://www.online-literature.com/yeats/"} +{"d:Title": "The System of Yeats's \"A Vision\"", "d:Description": "An attempt to offer a clear and helpful guide to the ideas of A Vision. Explores this esoteric system created by George and W. B. Yeats around the spiral gyre and the phases of the moon.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://www.yeatsvision.com/"} +{"d:Title": "Wikipedia: William Butler Yeats", "d:Description": "Article discussing the poet's life, works and influence, with a bibliography.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://en.wikipedia.org/wiki/William_Butler_Yeats"} +{"d:Title": "Yeats: The Life and Works of William Butler Yeats", "d:Description": "Major exhibition on the poet William Butler Yeats, currently on display in the National Library of Ireland and as a virtual online exhibition.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://www.nli.ie/yeats/"} +{"d:Title": "An Introduction to W. B. Yeats", "d:Description": "A brief study of the life and work of the Irish poet W. B. Yeats, includes a link to a review of \"Last Poems.\"", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://www.literature-study-online.com/essays/yeats.html"} +{"d:Title": "Yeats Society of New York", "d:Description": "Calendar of Yeats Society events and activities in NYC, and links to Yeats resources on the net", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://www.yeatssociety.org/"} +{"d:Title": "Yeats Society Sligo", "d:Description": "Site devoted to the perpetuation of the artistic heritage of the Yeats family and to the celebration of the life and achievements of William Butler Yeats and his poetry.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler", "url": "http://www.yeatssociety.com/"} +{"d:Title": "Astrocartography of William Butler Yeats", "d:Description": "Biography of Yeats focusing on how the planetary metaphors of Mercury and Neptune were reflected in his life and work.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Biographies", "url": "http://www.dominantstar.com/b_yeat.htm"} +{"d:Title": "William Butler Yeats", "d:Description": "An introduction to the poet by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Biographies", "url": "http://www.lit.kobe-u.ac.jp/~hishika/yeats.htm"} +{"d:Title": "Yeats, W. B.", "d:Description": "Biography concentrating on the occult aspects of his life.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Biographies", "url": "http://www.themystica.com/mystica/articles/y/yeats_w_b.html"} +{"d:Title": "Academy of American Poets - William Butler Yeats", "d:Description": "Short biography with bibliography of published works and extensive links section.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Biographies", "url": "https://www.poets.org/poetsorg/poet/w-b-yeats"} +{"d:Title": "All Ireland's Bard by Seamus Heaney", "d:Description": "A review by Ireland's contemporary bard of R.F Foster's biography of W.B. Yeats", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Biographies", "url": "http://www.theatlantic.com/magazine/archive/1997/11/all-irelands-bard/377005/"} +{"d:Title": "William Butler Yeats", "d:Description": "Jay and Josh's Yeats Web Site for English class. This project is about the political beliefs, views and background of Yeats and Maud, the views of Ireland from Yeats through his poems, and Irish folklore.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Reviews", "url": "http://jweinst180.tripod.com/Yeats.html"} +{"d:Title": "William Butler Yeats", "d:Description": "Atlantic Monthly piece by Louise Bogan from May 1938 when Yeats was 73 includes poetic extracts from his works.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Reviews", "url": "http://www.theatlantic.com/magazine/archive/1938/05/william-butler-yeats/304672/"} +{"d:Title": "The Wind Among the Reeds", "d:Description": "Bartleby.com's online publication of the classic 1899 edition.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://www.bartleby.com/146"} +{"d:Title": "Responsibilities and Other Poems, Yeats, William Butler. 1916.", "d:Description": "Bartleby.com's online publication of the classic 1916 edition", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://www.bartleby.com/147"} +{"d:Title": "The Wild Swans at Coole", "d:Description": "Bartleby.com's online publication of the classic 1919 edition.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://www.bartleby.com/148"} +{"d:Title": "Yeats, William Butler. 1899-1919. Poems.", "d:Description": "Entire texts of three collections -- The Wind Among the Reeds (1899), Responsibilities and Other Poems (1916), and The Wild Swans at Coole (1919).", "priority": "1", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://www.bartleby.com/people/Yeats-Wi.html"} +{"d:Title": "Poetry Archive: Yeats", "d:Description": "A collection of poems by the Irish poet.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://www.poetry-archive.com/y/yeats_w_b.html"} +{"d:Title": "The Second Coming", "d:Description": "E-text at Reflections.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://plexipages.com/reflections/second.html"} +{"d:Title": "The Two Trees", "d:Description": "E-text of Yeats's poem.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://plexipages.com/reflections/twotrees.html"} +{"d:Title": "The Second Coming", "d:Description": "Poem from Michael Robartes and The Dancer.", "topic": "Top/Arts/Literature/Authors/Y/Yeats,_William_Butler/Works", "url": "http://www.naic.edu/~gibson/poems/yeats1.html"} +{"d:Title": "Three Poems by Yevgeny Yevtushenko", "d:Description": "Along with a brief biographical essay and photograph.", "topic": "Top/Arts/Literature/Authors/Y/Yevtushenko,_Yevgeny", "url": "http://www.boppin.com/poets/yevtushenko.htm"} +{"d:Title": "Popular Poetry", "d:Description": "Poems by Yevgeny Yevtushenko, translated by Alec Vagapov.", "topic": "Top/Arts/Literature/Authors/Y/Yevtushenko,_Yevgeny", "url": "http://vagalecs.narod.ru/Yevtuy.HTM"} +{"d:Title": "Slade, Arthur G.", "d:Description": "A site about the author and his bestselling Northern Frights series of books for young adults.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.arthurslade.com/"} +{"d:Title": "Evans, Douglas", "d:Description": "Includes a biography, book information, and a schedule of the author's school visits.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.wtmelon.com/"} +{"d:Title": "Kerr, M. E.", "d:Description": "Educational resources for young adult literature author M.E. Kerr and her alter ego, children's books author Mary James. Includes interview, biography, bibliography, references, comments, book summaries, and discussion group.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.mekerr.com/"} +{"d:Title": "Churchill, David", "d:Description": "Author's profile, excerpts, reviews, and merchandise.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.fishing-forever.co.uk/"} +{"d:Title": "Baldwin, Juanitta", "d:Description": "Includes short biography, contact information, and links.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.authorsden.com/visit/author.asp?id=1499"} +{"d:Title": "Smith, Sherri L.", "d:Description": "Official website for the author of young adult novels, including Lucy The Giant", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.sherrilsmith.com/"} +{"d:Title": "Werlin, Nancy", "d:Description": "Official website for the young adult author.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.nancywerlin.com/"} +{"d:Title": "Campagna, Phil", "d:Description": "Canadian author of novels for young adults, including The Freedom Run and The Liberty Circle.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.philcampagna.com/"} +{"d:Title": "Qualey, Marsha", "d:Description": "(Official site) Minnesota writer talks about her young adult fiction.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.marshaqualey.com/"} +{"d:Title": "Jacobson, Jennifer", "d:Description": "Official website includes author, book, and speaking information plus resources for writers.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.jenniferjacobson.com/"} +{"d:Title": "Peck, Richard", "d:Description": "Teacher's site contains information and web resources about the author.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.tallmania.com/peck.html"} +{"d:Title": "Lockhart, E.", "d:Description": "Home to author of The Boyfriend List, Fly on the Wall, and other books. Includes book excerpts, and links to other authors.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.theboyfriendlist.com/"} +{"d:Title": "Barrett, Tracy", "d:Description": "The website maintained by Tracy Barrett, author of children's books.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.tracybarrett.com/"} +{"d:Title": "Fergus, John B.", "d:Description": "John B. Fergus is author of Stories from Exeter High School", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://jbf.fergus.com/"} +{"d:Title": "Heneghan, James", "d:Description": "Official site; with a biography, synopses, and reviews.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://mysite.verizon.net/revhank/jamesheneghan/"} +{"d:Title": "Nathan, Amy", "d:Description": "Official site of a non-fiction writer; with synopses and a biography.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.amynathanbooks.com/"} +{"d:Title": "Keaney, Brian", "d:Description": "Official site of the 'Jacob's Ladder' author; with extracts, synopses, and a biography.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://briankeaney.com/"} +{"d:Title": "Auseon, Andrew", "d:Description": "Official site; with news, a biography, and reviews of 'Funny Little Monkey'.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.andrewauseon.com/"} +{"d:Title": "Dunkle, Clare B.", "d:Description": "Official site of the author of 'Hollow Kingdom' trilogy; with writing tips, and a biography.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.claredunkle.com/"} +{"d:Title": "Fleischman, Paul", "d:Description": "The Newbery Medalist's web site, offering a biography, articles, bibliography, event listings, and excerpts.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.paulfleischman.net/"} +{"d:Title": "Banerjee, Anjali", "d:Description": "Official site of 'Maya Running' running; with an appearance schedule, reviews and biography.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.anjalibanerjee.com/"} +{"d:Title": "Gifford, Nick", "d:Description": "Official site; with a biography and extracts.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.nickgifford.co.uk/"} +{"d:Title": "Isdell, Wendy", "d:Description": "Official young adult author website including a section of writer's links as well as photos.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://wendy.dreaminggates.com/"} +{"d:Title": "Ripslinger, Jon", "d:Description": "Includes author biography and book information.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.mindspring.com/~philipp/ripslinger.html"} +{"d:Title": "Ryan, Sara", "d:Description": "Weblog for the author of the young-adult novel, Empress of the World.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.sararyan.com/"} +{"d:Title": "Stolarz, Laurie Faria", "d:Description": "Author of a series of novels about a young witch who tries to prevent her nightmares from coming true. Biography, book summaries, FAQs, news.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.lauriestolarz.com/"} +{"d:Title": "Sensel, Joni", "d:Description": "Author of children's and young-adult books, including Reality Leak and The Humming of Numbers", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.jonisensel.com/"} +{"d:Title": "Whan, Steve: Autumn Jade Publishing", "d:Description": "Heroine Autumn Jade, who was adopted from China, solves an exciting mystery, assisted by vivid dreams of Shanghai in the 1930s.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.autumnjade.com/"} +{"d:Title": "Rompella, Natalie", "d:Description": "Children's author based in Illinois.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.natalierompella.com/"} +{"d:Title": "Farmer, Gayle", "d:Description": "Gayle Farmer writes young adult stories about horses and show jumping.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.gaylefarmer.com/"} +{"d:Title": "Garden, Nancy", "d:Description": "Official site, book descriptions, biographical material, information about school and conference visits, and tips for beginning writers.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.nancygarden.com/"} +{"d:Title": "Gamer, Ron", "d:Description": "Minnesota author, former teacher, writes adventure stories set in the wilderness along the U.S.-Canadian border. His books, information for teachers.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.rongamer.com/"} +{"d:Title": "Cremer, Andrea", "d:Description": "Official site of the author of the Nightshade trilogy, also known as The Witches War. Fantasy. Biography, FAQ, blog, advice for those who want to be writers.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.andreacremer.com/"} +{"d:Title": "Tyler, Val", "d:Description": "The official site of the author of the children's book The Time Wreccas.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.valtyler.com/"} +{"d:Title": "Swanson, Julie A.", "d:Description": "Official site; with a biography, synopses and writing tips.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.julieswanson.com/"} +{"d:Title": "Provoost, Anne", "d:Description": "Official site; with a biography, bibliography, synopses and essays. [English, French, Dutch, German]", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://anneprovoost.be/"} +{"d:Title": "Penguin Random House - Lawrence, Iain", "d:Description": "Introduces the author of Lord of the Nutcracker Men and The High Seas Trilogy. Includes biographical sketch and reviews.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.penguinrandomhouse.com/authors/16892/iain-lawrence"} +{"d:Title": "Anderson, Laurie Halse", "d:Description": "Official website includes author and book information, and speaking schedule.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://madwomanintheforest.com/"} +{"d:Title": "Kelly Martin, C. K.", "d:Description": "Official site; with a biography, and a weblog.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://www.ckkellymartin.com/"} +{"d:Title": "Welcome to 415 Raspberry Picket", "d:Description": "R.L. Patterson, fantasy writer for teen and young adult readers.", "topic": "Top/Arts/Literature/Authors/Young_Adult", "url": "http://415raspberrypicket.com/"} +{"d:Title": "Scholastic: Lloyd Alexander", "d:Description": "The author's life in his own words. Includes a brief list of facts and a listing of his works.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Alexander,_Lloyd", "url": "http://www.scholastic.com/teachers/contributor/lloyd-alexander"} +{"d:Title": "Robert Cormier Interview", "d:Description": "Excerpts from Achuka's July 11, 2000, interview with the author.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Cormier,_Robert", "url": "http://www.achuka.co.uk/special/cormier01.htm"} +{"d:Title": "ML Author Spotlight -- Robert Cormier", "d:Description": "Biographical sketch recounts the event that led Cormier to become a writer.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Cormier,_Robert", "url": "http://www.classzone.com/novelguides/authors/cormier.cfm"} +{"d:Title": "Kidsread.com - Robert Cormier", "d:Description": "Includes photo, brief profile, and the author's answers to frequently asked questions about his life and works.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Cormier,_Robert", "url": "http://www.kidsreads.com/authors/au-cormier-robert.asp"} +{"d:Title": "Guardian Unlimited: Robert Cormier", "d:Description": "A tribute published after Cormier's death November 2, 2000.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Cormier,_Robert", "url": "http://www.theguardian.com/news/2000/nov/06/guardianobituaries.books"} +{"d:Title": "Author Profile: Chris Crutcher", "d:Description": "Biography and an interview at Teenreads.com.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Crutcher,_Chris", "url": "http://www.teenreads.com/authors/au-crutcher-chris-2.asp"} +{"d:Title": "Chris Crutcher's Authorized Site", "d:Description": "Tour dates, reviews, essays, photos and other fun details.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Crutcher,_Chris", "url": "http://www.chriscrutcher.com/"} +{"d:Title": "Learning About Chris Crutcher", "d:Description": "Brief biography, bibliography, awards, reviews.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Crutcher,_Chris", "url": "http://comminfo.rutgers.edu/professional-development/childlit/crutcher.html"} +{"d:Title": "Sarah Dessen - Official Website", "d:Description": "Catch up on the latest news, book reviews, interviews, appearances and contact information.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Dessen,_Sarah", "url": "http://www.sarahdessen.com/"} +{"d:Title": "Sarah Dessen's Live Journal", "d:Description": "Sarah's journal of thoughts and what is going on in her career/life.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Dessen,_Sarah", "url": "http://writergrl.livejournal.com/"} +{"d:Title": "Franklin W Dixon Bibliography", "d:Description": "Contains cover art of books written under the Dixon pseudonym, and details of their actual authors.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Dixon,_Franklin_W.", "url": "https://www.fantasticfiction.com/d/franklin-w-dixon/"} +{"d:Title": "SEHinton.com", "d:Description": "Official site. Includes a brief biography, synopses of all Hinton's works, her thoughts about them, and answers to frequently asked questions.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Hinton,_S._E.", "url": "http://www.sehinton.com/"} +{"d:Title": "The Outsiders Photo Album", "d:Description": "Screen caps of chapters 2 to 27 from 'The Outsiders: The Complete Novel'.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Hinton,_S._E.", "url": "http://photobucket.com/albums/c99/angelcakescaps/The%20Outsiders/"} +{"d:Title": "Kimberly Willis Holt", "d:Description": "Author of several award winning books. Notes on the inspirations for her stories, a quirky biography, and writing tips.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Holt,_Kimberly_Willis", "url": "http://kimberlywillisholt.com/"} +{"d:Title": "Official Website of Author Cathy Hopkins", "d:Description": "About the author and her books. Includes a FAQ, various photo galleries, and newsletters.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Hopkins,_Cathy", "url": "http://www.cathyhopkins.com/"} +{"d:Title": "FunTrivia: Hopkins, Cathy", "d:Description": "Trivia questions and quizzes about the Mates and Dates series.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Hopkins,_Cathy", "url": "http://www.funtrivia.com/quizzes/literature/authors_h-k/cathy_hopkins.html"} +{"d:Title": "Peter Lerangis Interview", "d:Description": "Contains an interview about Lerangis' Three Investigators writing.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Lerangis,_Peter", "url": "http://www.threeinvestigatorsbooks.homestead.com/LerangisInterview.html"} +{"d:Title": "Peter Lerangis", "d:Description": "Contains a bibliography, and book covers.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Lerangis,_Peter", "url": "https://www.fantasticfiction.com/l/peter-lerangis/"} +{"d:Title": "Author Study: Lois Lowry", "d:Description": "Article from Carol Hurst's Children's Literature Newsletter.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Lowry,_Lois", "url": "http://www.carolhurst.com/authors/llowry.html"} +{"d:Title": "Number the Stars by Lois Lowry", "d:Description": "Includes review and curriculum ideas.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Lowry,_Lois", "url": "http://www.carolhurst.com/titles/numberthestars.html"} +{"d:Title": "Lois Lowry", "d:Description": "The official site for children's and young adult author Lois Lowry.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Lowry,_Lois", "url": "http://www.loislowry.com/"} +{"d:Title": "TallMania: The Giver", "d:Description": "Web links, handouts, and class resources on Lois Lowry's novel, The Giver.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Lowry,_Lois", "url": "http://www.tallmania.com/Giver.html"} +{"d:Title": "ML Literature Connections: The Giver Teaching Guide", "d:Description": "A short guide for using this novel in the middle school classroom.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Lowry,_Lois", "url": "http://www.classzone.com/novelguides/litcons/giver/related.cfm"} +{"d:Title": "Loopy for Lurlene", "d:Description": "Lurlene McDaniel fan page includes trivia, book information, and contact information.", "topic": "Top/Arts/Literature/Authors/Young_Adult/McDaniel,_Lurlene", "url": "http://www.angelfire.com/mo2/lurlene/"} +{"d:Title": "Time to Let Go", "d:Description": "Notes on this book from a medical perspective.", "topic": "Top/Arts/Literature/Authors/Young_Adult/McDaniel,_Lurlene", "url": "http://medhum.med.nyu.edu/view/1553"} +{"d:Title": "Garden of Angels by Lurlene McDaniel", "d:Description": "Book review, by Sarah Reaves White.", "topic": "Top/Arts/Literature/Authors/Young_Adult/McDaniel,_Lurlene", "url": "http://www.writerswrite.com/bookreviews/garden-of-angels-100304"} +{"d:Title": "Lurlene McDaniel", "d:Description": "Official website, including biography, book information, appearances, and links.", "priority": "1", "topic": "Top/Arts/Literature/Authors/Young_Adult/McDaniel,_Lurlene", "url": "http://www.lurlenemcdaniel.com/"} +{"d:Title": "The Girl Death Left Behind", "d:Description": "Book review at Teenreads.com, by Jordan Baker.", "topic": "Top/Arts/Literature/Authors/Young_Adult/McDaniel,_Lurlene", "url": "http://www.teenreads.com/reviews/the-girl-death-left-behind"} +{"d:Title": "Writings of Leslie McFarlane", "d:Description": "Contains a biography and bibliography.", "topic": "Top/Arts/Literature/Authors/Young_Adult/McFarlane,_Lesley", "url": "http://www.keeline.com/McFarlane/"} +{"d:Title": "Interview Of William Nicholson by K. Oppel", "d:Description": "An extensive interview with William Nicholson by Kenneth Oppel. Covers Nicholson's personality, his indirect path to becoming a writer, and what Nicholson feels most strongly about in his own books.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Nicholson,_William", "url": "http://www.achuka.co.uk/special/nicholson.htm"} +{"d:Title": "William Nicholson - Writer", "d:Description": "Official website for the Academy Award Nominated ScreenWriter and Author William Nicholson.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Nicholson,_William", "url": "http://www.williamnicholson.co.uk/"} +{"d:Title": "Random House - The Brian Novels", "d:Description": "Teacher's guide to all the \"Brian\" novels that are part of Gary Paulsen's Hatchet series.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Paulsen,_Gary", "url": "http://www.randomhouse.com/teachers/catalog/display.pperl?isbn=0385325002&view=tg"} +{"d:Title": "Gary Paulsen - A Writer of His Time", "d:Description": "Scholarly review of Paulsen's work from the ALAN Journal.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Paulsen,_Gary", "url": "http://scholar.lib.vt.edu/ejournals/ALAN/fall94/Schmitz.html"} +{"d:Title": "Paulsen Page", "d:Description": "Biography, interview, reviews, and a complete list of Paulsen works and literary awards.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Paulsen,_Gary", "url": "http://comminfo.rutgers.edu/professional-development/childlit/paulsen.html"} +{"d:Title": "Penguin Random House - Gary Paulsen", "d:Description": "Features biographical information and review snippets.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Paulsen,_Gary", "url": "http://www.penguinrandomhouse.com/authors/23384/gary-paulsen"} +{"d:Title": "Cryptic Tales", "d:Description": "Message board; bibliography and author information. Definitely worth a look.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Pike,_Christopher", "url": "http://www.angelfire.com/il/Passages/"} +{"d:Title": "Is It Really Christopher Pike?", "d:Description": "Offers a brief introduction to the author's life.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Pike,_Christopher", "url": "http://shadow_96_rip.tripod.com/infoME.html"} +{"d:Title": "Alaga\u00ebsia", "d:Description": "Official site, with notes, news, a biography, and a newsletter.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Poalini,_Christopher", "url": "http://www.alagaesia.com/"} +{"d:Title": "Shur'tugal", "d:Description": "Inheritance trilogy fan site with news, pictures, videos, information, RPG, and chat areas.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Poalini,_Christopher", "url": "http://www.shurtugal.com/"} +{"d:Title": "The Nightmare Room", "d:Description": "Official site for R.L. Stine's series includes online story, book summaries, contests, screensaver, and interactive adventure. Requires Shockwave.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Stine,_R._L.", "url": "http://www.thenightmareroom.com/"} +{"d:Title": "Scholastic: Goosebumps", "d:Description": "Official site for the series includes information, games, and trivia.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Stine,_R._L.", "url": "http://goosebumps.scholastic.com/"} +{"d:Title": "R. L. Stine", "d:Description": "The R.L. Stine page on Scholastic's Goosebumps.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Stine,_R._L.", "url": "http://goosebumps.scholastic.com/books"} +{"d:Title": "The Stratemeyer Syndicate", "d:Description": "Contains research into the work and writings of Stratemeyer.", "topic": "Top/Arts/Literature/Authors/Young_Adult/Stratemeyer,_Edward", "url": "http://www.stratemeyer.org/"} +{"d:Title": "Website for author Lisa Zeidner", "d:Description": "Complete information on the publications of novelist and poet Lisa Zeidner, author of \"Layover.\"", "topic": "Top/Arts/Literature/Authors/Z/Zeidner,_Lisa", "url": "http://www.lisazeidner.com/"} +{"d:Title": "Who 2: Emile Zola Profile", "d:Description": "Brief description, with picture and links to articles on the Dreyfus affair.", "topic": "Top/Arts/Literature/Authors/Z/Zola,_Emile", "url": "http://www.who2.com/bio/emile-zola"} +{"d:Title": "Germinal", "d:Description": "Complete text of the 1885 novel. Translated by Havelock Ellis.", "topic": "Top/Arts/Literature/Authors/Z/Zola,_Emile/Works", "url": "http://www.eldritchpress.org/ez/germinal.html"} +{"d:Title": "Roland Zoss", "d:Description": "News, schedules, and a biography.", "topic": "Top/Arts/Literature/Authors/Z/Zoss,_Roland", "url": "http://www.rolandzoss.com/"} +{"d:Title": "Louis Zukofsky (1904-1978)", "d:Description": "Modern American Poetry essays on Louis Zukofsky, including a biographical essay. Compiled by Mark Scroggins.", "topic": "Top/Arts/Literature/Authors/Z/Zukofsky,_Louis", "url": "http://www.english.illinois.edu/maps/poets/s_z/zukofsky/zukofsky.htm"} +{"d:Title": "Markus Zusak", "d:Description": "Site maintained by the publisher. Included is a video interview, author biography, topics for discussion and forum links.", "topic": "Top/Arts/Literature/Authors/Z/Zusak,_Marcus", "url": "http://www.randomhouse.com/features/markuszusak/"} +{"d:Title": "Interview: Markus Zusak", "d:Description": "Blog interview includes comments.", "topic": "Top/Arts/Literature/Authors/Z/Zusak,_Marcus", "url": "http://slayground.livejournal.com/89678.html"} +{"d:Title": "NY Times: Stealing to Settle a Score With Life", "d:Description": "Review of The Book Thief.", "topic": "Top/Arts/Literature/Authors/Z/Zusak,_Marcus", "url": "http://www.nytimes.com/2006/03/27/books/27masl.html"} +{"d:Title": "The Kingsley Tufts Poetry Awards", "d:Description": "Designed to support the working poet. For original poetry in English by a U.S. resident. There is a special award for a first book. Winners and entry requirements.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.cgu.edu/tufts"} +{"d:Title": "The Pulitzer Prizes", "d:Description": "Annual awards by Columbia University. Prizes in Letters are for books published in the US - fiction, biography, general non-fiction, history and poetry. Searchable database, history of the prize, guidelines and entry forms, and related links.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.pulitzer.org/"} +{"d:Title": "Nobel Prize Internet Archive", "d:Description": "List of Nobel Prize Laureates in literature, with associated links. Links to other Nobel Prize lists.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://almaz.com/nobel/literature/literature.html"} +{"d:Title": "New York Times: Best-Seller Lists", "d:Description": "Current bestseller lists, and searchable archives. Covers fiction and non-fiction, hardcovers and paperbacks, children's books, and links to business bestsellers. Detailed lists require NYTimes membership - free registration.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.nytimes.com/pages/books/bestseller/"} +{"d:Title": "The Kiriyama Prize", "d:Description": "International prize awarded each year by Pacific Rim Voices, for fiction and nonfiction books that contribute to understanding and cooperation among the peoples and nations of the Pacific Rim and South Asia. Winners, reviews, author biographies, articles, and rules.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.kiriyamaprize.org/"} +{"d:Title": "National Outdoor Book Awards", "d:Description": "Annual presentations for full-length books on outdoor adventure activities, nature, or the environment. There is a special award for books of lasting significance in the field. General information, winners lists and reviews, press releases, entry requirements, and application forms.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.isu.edu/outdoor/bookpol.htm"} +{"d:Title": "Lettre Ulysses Award", "d:Description": "A new annual, international, multi-lingual award, offered by Lettre International, for the best published work of reportage writing, or 'creative non-fiction'. Books or outstanding magazine articles are eligible. Information on the conceptualisation, jury, organizers, and award timetable.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.lettre-ulysses-award.org/"} +{"d:Title": "The Academy of American Poets", "d:Description": "Awards, prizes and grants for poetry. Information and links for other major poetry awards. Also presents poems and poets, events and exhibits, discussion forums, and various related links.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.poets.org/page.php/prmID/61"} +{"d:Title": "Man Booker Prize", "d:Description": "Official site for the annual contemporary fiction award, to writers from the British Commonwealth and Ireland. Offers information about the prize, judges, and history.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.themanbookerprize.com/"} +{"d:Title": "Independent Publisher Book Awards", "d:Description": "Annual awards for the best titles, in various categories, by independent authors and publishers, including university, small press and self-publishers. Links to guidelines and entry forms, and to IP Online resources.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.independentpublisher.com/ipland/LearnMore.php"} +{"d:Title": "The Edgar Allan Poe Awards", "d:Description": "Awarded by the Mystery Writers of America, for distinguished work in the mystery genre: novels, television, and motion pictures. Searchable database of winners and nominees.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.theedgars.com/"} +{"d:Title": "PEN New England", "d:Description": "Presents the Hemingway Foundation/PEN Award, for a distinguished first book of fiction by an American author, and the L.L. Winship Award. Winners, entry guidelines, and application forms. Further links to PEN-NE and their activities.", "topic": "Top/Arts/Literature/Awards_and_Bestsellers", "url": "http://www.pen-ne.org/pen-new-england-awards/"} +{"d:Title": "The Classical Fiction Writers", "d:Description": "Biographies of the classical fiction writers, including Balzac, Conrad, and Steinbeck.", "topic": "Top/Arts/Literature/Biography", "url": "http://www.blupete.com/Literature/Biographies/Literary/BiosFiction.htm"} +{"d:Title": "HistoryLink101 Biography", "d:Description": "Here you can be connected to quality historical biographical sites on the web by culture.", "topic": "Top/Arts/Literature/Biography", "url": "http://www.historylink101.com/history_biography.htm"} +{"d:Title": "Sherwood Times", "d:Description": "Newspaper dating from 1190 includes Tales of Robin Hood, Richard the Lionheart and biographies of famous Nottinghamshire characters - D.H.Larence, and Lord Byron.", "topic": "Top/Arts/Literature/Biography", "url": "http://myweb.tiscali.co.uk/sherwoodtimes"} +{"d:Title": "NNDB", "d:Description": "Tracking the entire world.", "topic": "Top/Arts/Literature/Biography", "url": "http://www.nndb.com/"} +{"d:Title": "Biogs.com", "d:Description": "Biographies of authors and other famous people that provide a flavour of the personality as well as relating the key events in the subjects' lives.", "topic": "Top/Arts/Literature/Biography", "url": "http://www.biogs.com/"} +{"d:Title": "Erowid Character Vaults", "d:Description": "Information about visionary characters including biographies, publication lists, articles and links.", "topic": "Top/Arts/Literature/Biography", "url": "http://www.erowid.org/culture/characters/characters.shtml"} +{"d:Title": "African American Women Writers of the 19th century biographies", "d:Description": "Over 20 biographies of African American women writers of the 19th century.", "topic": "Top/Arts/Literature/Biography", "url": "http://digital.nypl.org/schomburg/writers_aa19/biographies.html"} +{"d:Title": "Eric Weisstein's World of Scientific Biography", "d:Description": "Written and maintained by the author as a public service for scientific knowledge and education.", "topic": "Top/Arts/Literature/Biography", "url": "http://scienceworld.wolfram.com/biography/"} +{"d:Title": "Vandergrift's Biography and Autobiography Page", "d:Description": "A brief page about biographical and autobiographical writing geared towards teaching young people.", "topic": "Top/Arts/Literature/Biography", "url": "http://www.scils.rutgers.edu/special/kay/biography.html"} +{"d:Title": "Indiana University Northwest: Freedom Writers Diary", "d:Description": "Reading guide to the book.", "topic": "Top/Arts/Literature/Biography/Freedom_Writers_Diary,_The", "url": "http://www.iun.edu/~lib/reading/freedomwriters_readingguide.shtml"} +{"d:Title": "Reflections: The Freedom Writers Diary", "d:Description": "A review of the book, with some background information.", "topic": "Top/Arts/Literature/Biography/Freedom_Writers_Diary,_The", "url": "http://www.lockergnome.com/2007/03/10/the-freedom-writers-diary-how-a-teacher-and-150-teens-used-writing-to-change-themselves-and-the-world-around-them-by-erin-gruwell/"} +{"d:Title": "The Complete Review", "d:Description": "Biography reviews.", "topic": "Top/Arts/Literature/Biography/Reviews", "url": "http://www.complete-review.com/maindex/bioplus.htm"} +{"d:Title": "Danny Yee's Book Reviews: Biography", "d:Description": "Reviews of more than twenty biographies: mostly of writers, scientists, and historical figures.", "topic": "Top/Arts/Literature/Biography/Reviews", "url": "http://dannyreviews.com/s/biography.html"} +{"d:Title": "Homespun Heroines", "d:Description": "Hallie Q. Brown (1859-1949).", "topic": "Top/Arts/Literature/Biography/Specific_Texts_Online", "url": "http://digilib.nypl.org/dynaweb/digs-b/wwm97253/@Generic__BookView"} +{"d:Title": "Twenty Year's Experience of a Missionary", "d:Description": "V.W. Broughton, 19th century African American writer.", "topic": "Top/Arts/Literature/Biography/Specific_Texts_Online", "url": "http://digilib.nypl.org/dynaweb/digs-b/wwm974/@Generic__BookView"} +{"d:Title": "Memories of Childhood's Slavery Days", "d:Description": "Annie L. Burton, author.", "topic": "Top/Arts/Literature/Biography/Specific_Texts_Online", "url": "http://digilib.nypl.org/dynaweb/digs-b/wwm97252/@Generic__BookView"} +{"d:Title": "Biography of an American Bondman", "d:Description": "Josephine Brown.", "topic": "Top/Arts/Literature/Biography/Specific_Texts_Online", "url": "http://digilib.nypl.org/dynaweb/digs-b/wwm975/@Generic__BookView"} +{"d:Title": "The House of Bondage", "d:Description": "Autobiography of Octavia V. Rogers Albert, 19th century African American writer.", "topic": "Top/Arts/Literature/Biography/Specific_Texts_Online", "url": "http://digilib.nypl.org/dynaweb/digs-b/wwm972/@Generic__BookView"} +{"d:Title": "Literature Network Forums", "d:Description": "A forum with discussions on classic literature, poetry, quotes, Shakespeare, and the Bible.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://www.online-literature.com/forums/"} +{"d:Title": "Neil Gaiman Message Board", "d:Description": "Forum for fans to discuss the works of Neil Gaiman.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://neilgaimanboard.com/eve"} +{"d:Title": "The Jasper Fforde Forum", "d:Description": "Forum for fans to discuss the books of Jasper Fforde.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://www.jasperfforde.com/phorum/"} +{"d:Title": "Abe Books", "d:Description": "Forum for professional booksellers and avid book readers to interact with one another.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://forums.abebooks.co.uk/abeuk"} +{"d:Title": "Reader's Paradise Forum", "d:Description": "Forum for the discussion of all topics related to reading and literature.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://glyphs.gardenweb.com/forums/paradise/"} +{"d:Title": "Ninja Books", "d:Description": "Book reviews and discussion forums for all topics and genres.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://ninjabooks.tripod.com/"} +{"d:Title": "BookChatCentral", "d:Description": "A community for discussion of authors and books of any genre.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://bookchatcentral.yuku.com/"} +{"d:Title": "Zone of Plenty", "d:Description": "Discussions of books and authors, music and life in general.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://zoneofplenty.yuku.com/"} +{"d:Title": "Talkaboutbooks Discussion Forums", "d:Description": "Discuss or review your favorite works and interact with those who share your interests. Includes forums to post stories, poetry, book reviews and literary community news.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://talkaboutbooks.yuku.com/"} +{"d:Title": "Literature Junction", "d:Description": "Talk about fiction and non-fiction books, post your own works and take part in an active community.", "topic": "Top/Arts/Literature/Chats_and_Forums", "url": "http://www.literaturejunction.com/"} +{"d:Title": "Children's Book Council of Australia", "d:Description": "Offers support to authors and illustrators, annual awards, and events. Includes calendar of events, tips on getting published, and a quarterly journal.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.cbca.org.au/"} +{"d:Title": "The Word Pool", "d:Description": "This UK children's book site provides book reviews, author profiles, ideas for reluctant readers and information for children's writers.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.wordpool.co.uk/"} +{"d:Title": "Carol Hurst's Children's Literature Site", "d:Description": "Includes reviews of great children's books, classroom activities, lesson plans, and professional topics.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.carolhurst.com/"} +{"d:Title": "International Board on Books for Young People", "d:Description": "International network of those who are committed to bringing books and children together. News and information about activities.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.ibby.org/"} +{"d:Title": "Children's Literature Council of Southern California", "d:Description": "Promotes literature for children and encourages excellence in the production and selection of books. Newsletter, calendar of events, award information, and speakers list.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.childrensliteraturecouncil.org/"} +{"d:Title": "Toronto Children's Literature Roundtable", "d:Description": "Teachers, librarians, parents gather together four times a year to share, promote and discuss children's books.", "topic": "Top/Arts/Literature/Children's", "url": "http://www3.sympatico.ca/rsborek/"} +{"d:Title": "Publishing Central: Children's Book Links", "d:Description": "Includes links to the best sites for children's literature from around the globe.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.publishingcentral.com/subject.html?sid=7"} +{"d:Title": "Just One More Book", "d:Description": "Highlights a thrice-weekly podcast that promotes and celebrates literacy and great children's books.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.justonemorebook.com/"} +{"d:Title": "Bear in The Moon", "d:Description": "A children's reading website with poems, stories, songs and colorful illustrations.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.bearinthemoon.com/"} +{"d:Title": "Children's Literature Web Guide", "d:Description": "Internet resources related to books for children and young adults.", "topic": "Top/Arts/Literature/Children's", "url": "http://people.ucalgary.ca/~dkbrown/"} +{"d:Title": "Centre for International Research in Childhood: Literature, Culture, Media", "d:Description": "British facility offers information on programs and events, news updates, discussion forum, and links.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.circl.co.uk/"} +{"d:Title": "DLTK's Children's Book Breaks", "d:Description": "Free printable instructions and templates for crafts, coloring pages, and other activities to accompany favorite children's books.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.dltk-teach.com/books/"} +{"d:Title": "Kay E. Vandergrift's Special Interest Page", "d:Description": "Discusses children's literature and its impact on the lives of children.", "topic": "Top/Arts/Literature/Children's", "url": "http://comminfo.rutgers.edu/professional-development/childlit/"} +{"d:Title": "Children's Picture Book Database at Miami University", "d:Description": "A keyword-searchable database of over 4000 picture books; includes abstracts, subject classification, and Web links.", "topic": "Top/Arts/Literature/Children's", "url": "http://dlp.lib.miamioh.edu/picturebook/"} +{"d:Title": "TeacherVision.com: Literature", "d:Description": "Provides enrichment activities and Internet links related to popular children's books.", "topic": "Top/Arts/Literature/Children's", "url": "https://www.teachervision.com/literature/teacher-resources/6641.html"} +{"d:Title": "Surfing the Net with Kids: Banned Books Week", "d:Description": "The best sites for kids and teens in celebration of Banned Books Week, rated and reviewed by San Diego Union-Tribune columnist, Barbara J. Feldman. From 1998.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.surfnetkids.com/resources/banned/"} +{"d:Title": "Bookology", "d:Description": "Magazine that focuses on children's literature. Columns and resources.", "topic": "Top/Arts/Literature/Children's", "url": "http://www.bookologymagazine.com/"} +{"d:Title": "Anderson, Scoular", "d:Description": "(Official site) Introduces Scottish author and illustrator. Includes biographical sketch, book summaries, online quiz, and fact sheet.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.scoularanderson.co.uk/"} +{"d:Title": "Adler, C.S.", "d:Description": "(Official site) Includes biography and short descriptions of her work.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.c-s-adler.com/"} +{"d:Title": "Anholt, Catherine and Laurence", "d:Description": "(Official site) Includes information on the authors/illustrators, book reviews, and interview.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.anholt.co.uk/"} +{"d:Title": "Appelt, Kathi", "d:Description": "(Official site) Includes biography, teacher resources, school visits information, and brief book reviews.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.kathiappelt.com/"} +{"d:Title": "Alda, Arlene", "d:Description": "(Official site) Author/photographer's biography, book information, and story read on-line by the author.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.arlenealda.com/"} +{"d:Title": "Artell, Mike", "d:Description": "(Official site) Author, illustrator and cartoonist's page, contains school presentation and assembly information, photos, live audio, and a list of his works.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.mikeartell.com/"} +{"d:Title": "Aylesworth, Jim", "d:Description": "(Official site) Includes biography, short descriptions of his work, and school visit information.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.ayles.com/"} +{"d:Title": "Armstrong, Linda", "d:Description": "Freelance writer of supplementary educational materials, fiction and poetry. Includes profile, details of published works, her art, photographs, and a creativity e-book.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.lindajarmstrong.com/"} +{"d:Title": "Arnold, Caroline", "d:Description": "(Official site) Author/illustrator of non-fiction books. Contains biography, book list and reviews, projects and activities for children, and school visits information.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.carolinearnoldbooks.com/"} +{"d:Title": "Atwater-Rhodes, Amelia", "d:Description": "(Official site) Introduces the teen author and her books. Includes book reviews, interview, and advice on writing.", "topic": "Top/Arts/Literature/Children's/Authors/A", "url": "http://www.penguinrandomhouse.com/authors/1012/amelia-atwater-rhodes"} +{"d:Title": "Avi's Home Page", "d:Description": "Avi's own site about his books and his life. Features book lists, cover art archive, and some unpublished works.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/A/Avi", "url": "http://www.avi-writer.com/"} +{"d:Title": "Featured Author: Avi", "d:Description": "A detailed look at Avi's life and works. From Carol Hurst's Children's Literature Newsletter.", "topic": "Top/Arts/Literature/Children's/Authors/A/Avi", "url": "http://www.carolhurst.com/newsletters/23dnewsletters.html"} +{"d:Title": "ML Author Spotlight -- Avi", "d:Description": "Short biography focuses on the factors that shaped Avi's personality.", "topic": "Top/Arts/Literature/Children's/Authors/A/Avi", "url": "http://www.classzone.com/novelguides/authors/avi.cfm"} +{"d:Title": "BBC News: Facelift for 'Thomas' station", "d:Description": "The train station which inspired the Thomas the Tank Engine tales receives \u00a31.2m for a new museum and makeover. Plus links to other 'Thomas' news items. Brief history of the books.", "topic": "Top/Arts/Literature/Children's/Authors/A/Awdry,_Wilbert_Vere", "url": "http://news.bbc.co.uk/1/hi/wales/2847945.stm"} +{"d:Title": "Where is Sodor, home of Thomas the Tank Engine?", "d:Description": "The BBC reports on the physical location of various Thomas stories.", "topic": "Top/Arts/Literature/Children's/Authors/A/Awdry,_Wilbert_Vere", "url": "http://www.bbc.co.uk/news/magazine-13872542"} +{"d:Title": "Bouchard, David", "d:Description": "(Official site) Canadian author and educator serves as a speaker and presenter across Canada and the U.S.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.davidbouchard.com/"} +{"d:Title": "Buchanan, Jane", "d:Description": "(Official site) The author offers a glimpse into her life and discusses her works.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://janebuchanan.com/"} +{"d:Title": "Bauer, Joan", "d:Description": "(Official site) Includes biographical information, book summaries, articles, news, and contact information.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.joanbauer.com/"} +{"d:Title": "Bruce, Dorita Fairlie", "d:Description": "Tells of the life and work of this author of classic British school stories for girls.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://home.swipnet.se/flickbok/bruce.htm"} +{"d:Title": "Brown, Don: Books by Brown", "d:Description": "(Official site) Includes book reviews, art work, and information about school visits.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.booksbybrown.com/"} +{"d:Title": "Bowen, Fred", "d:Description": "(Official site) Includes information on Bowen's sports fiction-series for kids, his Washington Post sports column for kids, and his talks at schools and conferences.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.fredbowen.com/"} +{"d:Title": "Buzzeo, Toni", "d:Description": "(Official site) Information on the author's books, activities as a Library Media Specialist, workshops, speaking, and training sessions.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.tonibuzzeo.com/"} +{"d:Title": "Bannerman, Helen", "d:Description": "Presented by Sterling Times, a United Kingdom-based nostalgia site.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.sterlingtimes.co.uk/bannerman.htm"} +{"d:Title": "Brimner, Larry Dane", "d:Description": "(Official site) Includes advice for aspiring writers and schools interested in author visits, activities for kids, curricumlum for teachers, and information on the author's books.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.brimner.com/"} +{"d:Title": "Brown, Susan Taylor", "d:Description": "(Official site) Includes biographical sketch, resources for teachers, information on school visits, articles, and tips for young writers.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.susantaylorbrown.com/"} +{"d:Title": "Berger, Barbara Helen", "d:Description": "(Official site) Author/illustrator of the bedtime classic Grandfather Twilight and other award winning titles offers both kid-friendly and formal versions of autobiography, bibliography, resume, original e-cards, insightful articles, advice for aspiring children's writers and artists, and art gallery.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.bhberger.com/"} +{"d:Title": "Bang, Molly", "d:Description": "(Official site) Complete list of books. Background information and curriculum ideas included for some titles.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.mollybang.com/"} +{"d:Title": "Bash, Barbara", "d:Description": "(Official site) Includes events calendar, information on school programs, and a glimpse into how the author/illustrator works.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.barbarabash.com/"} +{"d:Title": "Barron, T. A.", "d:Description": "(Official site) Author of The Lost Years of Merlin epic and \"The Kate Adventures\" offers a \"Gift Box\" for teachers and librarians, personal message, responses to questions from readers, and appearances schedule.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.tabarron.com/"} +{"d:Title": "Birdseye, Tom", "d:Description": "(Official site) Introduction to the author and his books; includes information for teachers and librarians, description of current projects, and writing tips. Visitors can subscribe to \"The Birdseye View\" - an e-mail newsletter.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.tombirdseye.com/"} +{"d:Title": "Brown, Marcia", "d:Description": "Biographical sketch of the three-time Caldecott winning illustrator/author.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.albany.edu/feature/marcia_brown/index.html"} +{"d:Title": "Baudet, Stephanie", "d:Description": "(Official site) Includes school visit information and introductions to books.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.stephaniebaudet.co.uk/"} +{"d:Title": "Biemiller, Carl L.", "d:Description": "Information about the author, his journalism career, and his books.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.biemiller.com/"} +{"d:Title": "Bouma, Paddy", "d:Description": "Resume-style profile presented by the University of Stellenbosch, Fine Arts Department, where this author/illustrator of picture books teaches. Includes photo.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.stellenboschwriters.com/boumap.html"} +{"d:Title": "Balan, Bruce", "d:Description": "(Official site) Tour the virtual office of the author of Buoy, Home at Sea and the Cyber.kdz Series.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.kdz.com/"} +{"d:Title": "Brennan, Linda Crotta", "d:Description": "(Official site) Author of fiction and non-fiction for children. Includes book reviews, description of school visit programs, bibliography.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.lindacrottabrennan.com/"} +{"d:Title": "Braithwaite, Althea", "d:Description": "Lists the books that are currently in print, together with a short autobiography and details of school visits.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://altheabraithwaite.net/"} +{"d:Title": "Bynum, Janie", "d:Description": "(Official site) Information on the author/illustrator's books, art samples, and program details.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.janiebynum.com/"} +{"d:Title": "Banner, Angela", "d:Description": "\"The unOfficial Ant and Bee Homepage\" is devoted to the Ant and Bee characters featured in the children's book series written by the author.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.apathyhouse.com/antnbee/"} +{"d:Title": "Baker, Ken", "d:Description": "(Official site) Biography and book information, speaking/appearance schedule, school visit program details, resources for teachers, book reviews, lists of award winning books, lesson plans, and biographies on other children's book authors.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://www.kenbakerbooks.com/"} +{"d:Title": "Bragg, Mabel Caroline", "d:Description": "The author of The Little Engine That Could. Biographical sketch by Karen Hinz, presented by Vandergrift's Children's Literature Page.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://comminfo.rutgers.edu/professional-development/childlit/bragg.html"} +{"d:Title": "Bridgers, Sue Ellen", "d:Description": "Presented by Vandergrift's Children's Literature Page. Includes biography, bibliography, and reviews of the author's young adult novels.", "topic": "Top/Arts/Literature/Children's/Authors/B", "url": "http://comminfo.rutgers.edu/professional-development/childlit/bridgers.html"} +{"d:Title": "International Wizard of Oz Club", "d:Description": "Founded in 1957 to bring together all those interested in L. Frank Baum and Oz. Membership, events, and convention details, as well as information on the author.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://www.ozclub.org/"} +{"d:Title": "Holding the Wizard Accountable", "d:Description": "Looks at Baum's calls for genocide of the Lakota people at the time of the Wounded Knee massacre and contrasts them with the tolerance for diversity in the Oz books.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://www.dickshovel.com/roeschbaum.html"} +{"d:Title": "Oz Encyclopedia", "d:Description": "Large reference site provides a tour of the fantasy land of Oz and its inhabitants. Contains a movie catalog and text of all the Oz books.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://www.halcyon.com/piglet/"} +{"d:Title": "The Wonder Behind the Wizard of Oz", "d:Description": "Lesson plan that explores the influences and details of Baum's life, and compares and contrasts the book to the movie.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://www.yale.edu/ynhti/curriculum/units/1995/2/95.02.02.x.html#top"} +{"d:Title": "The Wizard of Oz: An American Fairytale", "d:Description": "To celebrate the 100th anniversary of this American literary classic, the Library of Congress presents its exhibition; with costumes and memorabilia borrowed from museums, other libraries, and private collectors.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://www.loc.gov/exhibits/oz/"} +{"d:Title": "Maruko's Oz Website - from Japan -", "d:Description": "For fans of \"The Wizard of Oz\". Written by a Japanese Oz fan.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://homepage1.nifty.com/nannan/e/eindex.html"} +{"d:Title": "Wonderful Wizard of Oz", "d:Description": "News, events, FAQs, reviews, ideas of themed party planning, and information pertaining to the Oz series. Also has a teacher lesson play.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://thewizardofoz.info/"} +{"d:Title": "The Wizard of Oz WebRing", "d:Description": "Directory of member sites and instructions for joining.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank", "url": "http://www.webring.org/hub/ozsites"} +{"d:Title": "Oz Books by Other Authors", "d:Description": "Listing of Oz books by authors other than L. Frank Baum and Ruth Plumly Thompsom.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Adaptations", "url": "http://www.halcyon.com/piglet/books/book62.htm"} +{"d:Title": "Land of Oz", "d:Description": "Information about the theme park, which operated in the 1970s in Beech Mountain, North Carolina.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Adaptations", "url": "http://www.emeraldmtn.com/oz.htm"} +{"d:Title": "Ruth Plumly Thompson Bibliography", "d:Description": "A listing of her books and short stories that carried on the adventures in Oz, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Adaptations", "url": "https://www.fantasticfiction.com/t/ruth-plumly-thompson/"} +{"d:Title": "PageByPageBooks.com: L. Frank Baum", "d:Description": "E-texts for the original 14 books in the Oz series, as well as Baum's stories about Santa Claus.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Works", "url": "http://www.pagebypagebooks.com/L_Frank_Baum/"} +{"d:Title": "Online Literature Library: L. Frank Baum", "d:Description": "E-texts of the first 14 books in the Oz series.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Works", "url": "http://www.literature.org/authors/baum-l-frank/"} +{"d:Title": "The Magical Monarch of Mo", "d:Description": "Complete text in chapter form.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Works/Magical_Monarch_of_Mo,_The", "url": "http://www.put.com/oz/magmo.html"} +{"d:Title": "Free Online Library: The Patchwork Girl of Oz", "d:Description": "The story of a patchwork doll who is brought to life by a magician.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Works/Patchwork_Girl_of_Oz,_The", "url": "http://baum.thefreelibrary.com/The-Patchwork-Girl-of-Oz"} +{"d:Title": "Queen Zixi of Ix", "d:Description": "Complete text in chapter form.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Works/Queen_Zixi_of_Ix", "url": "http://www.put.com/oz/zixix.html"} +{"d:Title": "Wikipedia: The Wonderful Wizard of Oz", "d:Description": "Article including a plot summary and sections on interpretations, translations and adaptations.", "topic": "Top/Arts/Literature/Children's/Authors/B/Baum,_L._Frank/Works/Wonderful_Wizard_of_Oz,_The", "url": "http://en.wikipedia.org/wiki/The_Wonderful_Wizard_of_Oz"} +{"d:Title": "The Official Berenstain Bears Website", "d:Description": "Includes book-related activities, listing of all books, and online videos. Also allows children to send e-mail to the Berenstain Bears and receive a reply.", "topic": "Top/Arts/Literature/Children's/Authors/B/Berenstain,_Stan_and_Jan", "url": "http://www.berenstainbears.com/"} +{"d:Title": "Judy Blume", "d:Description": "Third-grader's report on Blume's life.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blume,_Judy", "url": "http://www2.lhric.org/pocantico/womenenc/blume.html"} +{"d:Title": "Interview: Judy Blume", "d:Description": "In this interview with January Magazine, Blume shares her thoughts on censorship, having fun, and life in general.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blume,_Judy", "url": "http://www.januarymagazine.com/profiles/blume.html"} +{"d:Title": "Blume, Judy | Official Web Site", "d:Description": "Includes a biography, photos, answers to frequent questions, listing of books, and writing tips.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/B/Blume,_Judy", "url": "http://www.judyblume.com/"} +{"d:Title": "Authors Online Biography: Judy Blume", "d:Description": "Short autobiography, photo, booklist, important biographical facts, and interview transcript.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blume,_Judy", "url": "http://www.scholastic.com/teachers/contributor/judy-blume"} +{"d:Title": "The Enid Blyton Society", "d:Description": "UK based society which aims to promote the author worldwide. Includes journal, society archives, book listings and first edition cover scans, book reviews, subscription and membership information, forums, and a quiz.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://www.enidblytonsociety.co.uk/"} +{"d:Title": "Yahoo! Groups - Blyton", "d:Description": "Discussion about the author's life and works. Moderator approval is required before posting to keep the forum free of spam.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://groups.yahoo.com/group/blyton/"} +{"d:Title": "EnidBlyton.net", "d:Description": "Information about the author's books including the Five Find-Outers, Malory Towers, Famous Five, the Adventure series, Secret Seven, and the Barney Mysteries. Includes personal fan reviews and notes.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://www.enidblyton.net/"} +{"d:Title": "Michael Edwards' Booklistings", "d:Description": "A listing of many Enid Blyton titles, along with reviews and information for collectors.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://www.foxall.com.au/users/mje/Blyton.htm"} +{"d:Title": "Ginger Pop", "d:Description": "Runs Enid Blyton themed tours through Dorset, and an Enid Blyton shop at Corfe Castle.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://www.gingerpop.co.uk/"} +{"d:Title": "Enid Blyton - Wikipedia", "d:Description": "The Wikipedia article on Enid Blyton. Very informative and includes a lot of relevant information.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://en.wikipedia.org/wiki/Enid_Blyton"} +{"d:Title": "The Works of Enid Blyton", "d:Description": "An article by Terri Kovacs about Enid Blyton's work, and her use of golliwogs.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://www.teddybears.com/golliwog/7gw2a.html"} +{"d:Title": "Guardian Unlimited - Golly! Blyton 'Is Not Guilty of Race Slurs'", "d:Description": "Article about David Rudd's study into alleged racism in Blyton's works.", "topic": "Top/Arts/Literature/Children's/Authors/B/Blyton,_Enid", "url": "http://www.theguardian.com/uk/2000/jul/03/books.race"} +{"d:Title": "The New Chalet Club", "d:Description": "Group devoted to the works of the author.", "topic": "Top/Arts/Literature/Children's/Authors/B/Brent-Dyer,_Elinor", "url": "http://www.newchaletclub.co.uk/"} +{"d:Title": "The Chaletian", "d:Description": "Dedicated to Chalet School series. Features forums, fan fiction and mailing list.", "topic": "Top/Arts/Literature/Children's/Authors/B/Brent-Dyer,_Elinor", "url": "http://www.the-cbb.co.uk/"} +{"d:Title": "Brett, Jan", "d:Description": "Official site of the author/artist of \"The Mitten\" and other children's favorites. Includes a list of her books, brief biography, and many activities for parents, teachers, and children.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/B/Brett,_Jan", "url": "http://www.janbrett.com/"} +{"d:Title": "Once Upon A Picture: The Art of Marc Brown", "d:Description": "Selections from an exhibition at the Erie Art Museum.", "topic": "Top/Arts/Literature/Children's/Authors/B/Brown,_Marc", "url": "http://www.tfaoi.com/aa/1aa/1aa173.htm"} +{"d:Title": "Marc Brown's Arthur and His Father", "d:Description": "Article from About.com explores the popularity of Brown's books and discusses Arthur's relationship with his father.", "topic": "Top/Arts/Literature/Children's/Authors/B/Brown,_Marc", "url": "http://childrensbooks.about.com/library/weekly/aa061200a.htm"} +{"d:Title": "Kidsreads.com: Marc Brown", "d:Description": "The author tells the story of his own life.", "topic": "Top/Arts/Literature/Children's/Authors/B/Brown,_Marc", "url": "http://www.kidsreads.com/authors/marc-brown"} +{"d:Title": "Leonard S, Marcus", "d:Description": "Children's Book Historian, Author, Critic. Margaret Wise Brown Biographer, author of Margaret Wise Brown: Awakened by the Moon. Boston: Beacon, 1992; New York: Quill, 1999.", "topic": "Top/Arts/Literature/Children's/Authors/B/Brown,_Margaret_Wise", "url": "http://www.leonardmarcus.com/"} +{"d:Title": "Dick Bruna", "d:Description": "(Official site) Contains biography, information on Miffy the Bunny and the books featuring the character, color in section, pictures, games, and screen savers.", "topic": "Top/Arts/Literature/Children's/Authors/B/Bruna,_Dick", "url": "http://www.nijntje.nl/"} +{"d:Title": "Wikipedia: Frances Hodgson Burnett", "d:Description": "Brief biography covers the life and works of author best known for her children's stories.", "topic": "Top/Arts/Literature/Children's/Authors/B/Burnett,_Frances_Hodgson", "url": "http://en.wikipedia.org/wiki/Frances_Hodgson_Burnett"} +{"d:Title": "IMDb: Frances Hodgson Burnett", "d:Description": "Filmography, including television credits. Her stories and plays that have been made into movies.", "topic": "Top/Arts/Literature/Children's/Authors/B/Burnett,_Frances_Hodgson", "url": "http://www.imdb.com/name/nm0122364/"} +{"d:Title": "Frances Hodgson Burnett (1849-1924)", "d:Description": "Brief biography of the English-born author.", "topic": "Top/Arts/Literature/Children's/Authors/B/Burnett,_Frances_Hodgson", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2498"} +{"d:Title": "Classic Bookshelf: Francis Hodgson Burnett", "d:Description": "Author's works free to read on-line with adjustable sized text and automatic book marking.", "topic": "Top/Arts/Literature/Children's/Authors/B/Burnett,_Frances_Hodgson/Works", "url": "http://www.classicbookshelf.com/library/Burnett/"} +{"d:Title": "Literature Network: Francis Hodgson Burnett", "d:Description": "Author's biography, selected works, and search feature.", "topic": "Top/Arts/Literature/Children's/Authors/B/Burnett,_Frances_Hodgson/Works", "url": "http://www.online-literature.com/burnett/"} +{"d:Title": "Page By Page Books: Frances Hodgson Burnett", "d:Description": "Selected books in HTML. Each chapter has several pages.", "topic": "Top/Arts/Literature/Children's/Authors/B/Burnett,_Frances_Hodgson/Works", "url": "http://www.pagebypagebooks.com/Frances_Hodgson_Burnett/"} +{"d:Title": "Burnett, Frances Hodgson", "d:Description": "Several of her books online, including \"Little Lord Fauntleroy,\" \"A Lady of Quality,\" and \"The Secret Garden.\" At Project Gutenberg.", "topic": "Top/Arts/Literature/Children's/Authors/B/Burnett,_Frances_Hodgson/Works", "url": "http://www.gutenberg.org/browse/authors/b#a73"} +{"d:Title": "Betsy Byars, Author Study", "d:Description": "An author study of Betsy Byars from Carol Hurst's Children's Literature Site.", "topic": "Top/Arts/Literature/Children's/Authors/B/Byars,_Betsy", "url": "http://www.carolhurst.com/authors/byars.html"} +{"d:Title": "Betsy Byars: Techniques and Themes", "d:Description": "In-depth looks at the elements in Byars' literature.", "topic": "Top/Arts/Literature/Children's/Authors/B/Byars,_Betsy", "url": "http://scholar.lib.vt.edu/ejournals/ALAN/fall97/schaefer.html"} +{"d:Title": "Campbell Murphy, Elspeth", "d:Description": "(Official site) Author of Three Cousins Detective Club series and Young Cousins Mysteries. Features excerpts, e-newsletter, biography, and upcoming books.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.booksbyecm.com/"} +{"d:Title": "Cooper, Helen and Ted Dewan", "d:Description": "Includes animated illustrations, FAQs, biographical sketches, book-related activities, and online ordering.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.wormworks.com/"} +{"d:Title": "Casanova, Mary", "d:Description": "(Official site) Casanova writes children's books with a wilderness theme. Site tells about her life and works and provides information on school visits.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.marycasanova.com/"} +{"d:Title": "Carter, Anne Laurel", "d:Description": "(Official site) Includes information on the author, short book reviews, and news.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.annecarter.com/"} +{"d:Title": "Coville, Bruce", "d:Description": "(Official site) Introduces the author and his works. Includes book reviews and excerpts, author's biography and schedule, fan art, and audio books.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.brucecoville.com/"} +{"d:Title": "Constans, Gabriel", "d:Description": "Features his fiction and nonfiction books, plays, and articles.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.gogabriel.com/"} +{"d:Title": "Creech, Sharon", "d:Description": "(Official site) Contains author's biography, book descriptions, news, interactive section, and school visit information.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.sharoncreech.com/"} +{"d:Title": "Cherry, Lynne", "d:Description": "(Official site) Author and illustrator of \"The Great Kapok Tree\". Contains information about her books, resume, writing, conservation, rain forest, green schools, and making a difference in the world.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://lynnecherry.com/"} +{"d:Title": "Cowan, Mary Morton", "d:Description": "(Official site) Brief biography, information on her logging history book, Arctic expedition historical novel, school presentations, and workshops.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.marymortoncowan.com/"} +{"d:Title": "Cowley, Marjorie", "d:Description": "Author biography, book reviews, teacher guides, activities, and contact information", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.marjoriecowley.com/"} +{"d:Title": "Chapman, Helen", "d:Description": "(Official site) Information on the Australian author and her fiction and non-fiction books.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.helenchapman.com/"} +{"d:Title": "Cheshire, Simon", "d:Description": "(Official site) Includes biographical information, news, and extracts from his books. Works include Totally Unsuitable For Children and the Jeremy Brown spy spoofs.", "topic": "Top/Arts/Literature/Children's/Authors/C", "url": "http://www.simoncheshire.co.uk/"} +{"d:Title": "The Official Eric Carle Web Site", "d:Description": "Author and illustrator Eric Carle shares some of his life and answers frequently asked question. Site includes a virtual museum of Carle's art, an idea exchange forum for teachers, list of Carle's books, and an online store.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/C/Carle,_Eric", "url": "http://www.eric-carle.com/"} +{"d:Title": "Featured Author: Eric Carle", "d:Description": "Explores Carle's works and his life. From the October 1997 issue of Carol Hurst's Children's Literature Newsletter.", "topic": "Top/Arts/Literature/Children's/Authors/C/Carle,_Eric", "url": "http://www.carolhurst.com/newsletters/24dnewsletters.html"} +{"d:Title": "Eric Carle Author Study", "d:Description": "Includes biographical information about Eric Carle, an annotated list of his most popular books, stand-alone activities that highlight the beauty of his works, and activities that can be integrated into other teaching themes.", "topic": "Top/Arts/Literature/Children's/Authors/C/Carle,_Eric", "url": "http://www.scholastic.com/teachers/article/eric-carle-author-study"} +{"d:Title": "Matt Christopher", "d:Description": "Summary of Christopher's life from infoplease.com.", "topic": "Top/Arts/Literature/Children's/Authors/C/Christopher,_Matt", "url": "http://www.infoplease.com/ipea/A0762143.html"} +{"d:Title": "Matt Christopher", "d:Description": "Provides information about the author and his books, as well as related news articles. Also sells books and other merchandise.", "topic": "Top/Arts/Literature/Children's/Authors/C/Christopher,_Matt", "url": "http://www.mattchristopher.com/"} +{"d:Title": "The World of Beverly Cleary", "d:Description": "(Official site) Introduces the author and her books. Also includes character profiles, games, and teaching resources.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/C/Cleary,_Beverly", "url": "http://www.beverlycleary.com/"} +{"d:Title": "Author Profile: Beverly Cleary", "d:Description": "An in-depth look at Cleary's life and the factors that shaped her works.", "topic": "Top/Arts/Literature/Children's/Authors/C/Cleary,_Beverly", "url": "http://www.trelease-on-reading.com/cleary.html"} +{"d:Title": "Achuka: Karen Cushman", "d:Description": "Includes profile, awards, and excerpts from an interview with Cheryl Bowlan.", "topic": "Top/Arts/Literature/Children's/Authors/C/Cushman,_Karen", "url": "http://www.achuka.co.uk/special/cushman.htm"} +{"d:Title": "God's Thumbs, It's Karen Cushman", "d:Description": "Provides a brief introduction to the author and her works.", "topic": "Top/Arts/Literature/Children's/Authors/C/Cushman,_Karen", "url": "http://scils.rutgers.edu/~kvander/cushman.html"} +{"d:Title": "Learning about Karen Cushman", "d:Description": "An 8th grade student writes this detailed report on Cushman's life and writing style.", "topic": "Top/Arts/Literature/Children's/Authors/C/Cushman,_Karen", "url": "http://comminfo.rutgers.edu/professional-development/childlit/cushman5.html"} +{"d:Title": "Drucker, Malka", "d:Description": "(Official site) Includes information on author and her works. Malka Drucker emphasizes Jewish heritage including religious feasts and the Holocaust.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.malkadrucker.com/"} +{"d:Title": "Demas, Corinne", "d:Description": "(Official site) Features Corrine Demas's books for children and adults.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.corinnedemas.com/"} +{"d:Title": "DeFelice, Cynthia", "d:Description": "(official site) Site includes descriptions of all Cynthia's books, along with notes from the author, plus information on how to arrange school visits.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.cynthiadefelice.com/"} +{"d:Title": "Deary, Terry", "d:Description": "(Official site) - Interactive site from children's author Terry Deary, of \"Horrible Histories\" fame. Includes stories, games, quizzes, jokes, recipes, and songs. Also publishes children's own writing.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.terry-deary.com/"} +{"d:Title": "Dowswell, Paul", "d:Description": "(Official site) Information, awards, reviews, and complete list of books by this non-fiction children's author.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.pauldowswell.co.uk/"} +{"d:Title": "Dyson, Marianne", "d:Description": "(Official site) Former NASA flight controller and author Marianne Dyson provides kids with information about space and writing.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.mariannedyson.com/"} +{"d:Title": "Daher, Anita", "d:Description": "(Official site) Canadian writer, author of Flight From Big Tangle. Offers interview, book excerpts, information for readers, writers, and teachers.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.anitadaher.com/"} +{"d:Title": "Deem, James M.", "d:Description": "Author of fiction and non-fiction children's books and articles. Includes biography, stories, information on school visits and books.", "topic": "Top/Arts/Literature/Children's/Authors/D", "url": "http://www.jamesmdeem.com/"} +{"d:Title": "Roald Dahl", "d:Description": "Meet Roald Dahl characters like The BFG, James and the Giant Peach and surf the site with them. Includes information about the museum in Buckinghamshire, England, and about Dahl's Marvellous Children's Charity.", "topic": "Top/Arts/Literature/Children's/Authors/D/Dahl,_Roald", "url": "http://www.roalddahl.com/"} +{"d:Title": "Dahl, Roald", "d:Description": "Features resources for fans, students, teachers, and collectors.", "topic": "Top/Arts/Literature/Children's/Authors/D/Dahl,_Roald", "url": "http://www.roalddahlfans.com/"} +{"d:Title": "ALAN Review: Roald Dahl and Sociology 101", "d:Description": "Discusses Dahl's views of adults and social institutions and explores how his views have affected his books.", "topic": "Top/Arts/Literature/Children's/Authors/D/Dahl,_Roald", "url": "http://scholar.lib.vt.edu/ejournals/ALAN/fall98/royer.html"} +{"d:Title": "The Gremlins", "d:Description": "Provides information on Dahl's involvement with the British Secret Service in World War II.", "topic": "Top/Arts/Literature/Children's/Authors/D/Dahl,_Roald", "url": "http://delarue.net/gremlins.htm"} +{"d:Title": "NYC Young Writers' Picks", "d:Description": "The best juvenile literature author websites, as voted and selected by young writers.", "topic": "Top/Arts/Literature/Children's/Authors/Directories", "url": "http://nycyoungwriters.tripod.com/"} +{"d:Title": "Bethany Roberts' Directory of Children's Authors and Illustrators", "d:Description": "Children's book authors and illustrators on the web, listed alphabetically. Highlights authors offering writing tips, as well as Caldecott and Newbery award winners.", "topic": "Top/Arts/Literature/Children's/Authors/Directories", "url": "http://www.bethanyroberts.com/childrensbookauthors.htm"} +{"d:Title": "Cynthia Leitich Smith's Texas Children's Authors and Illustrators", "d:Description": "Features web sites, interviews, and tributes to Texas writers and artists for children. Includes contact information of those who visit schools and libraries. Many authors from other states are listed on the site as well.", "topic": "Top/Arts/Literature/Children's/Authors/Directories", "url": "http://www.cynthialeitichsmith.com/"} +{"d:Title": "Children's Literature Web Guide: Authors and Illustrators on the Web", "d:Description": "Extensive directory of official author sites and fan sites. Highly recommended sites are noted.", "topic": "Top/Arts/Literature/Children's/Authors/Directories", "url": "http://people.ucalgary.ca/~dkbrown/authors.html"} +{"d:Title": "Evans, Douglas", "d:Description": "(Official site) Includes information about the author and his school visits, book reviews, school poems and news.", "topic": "Top/Arts/Literature/Children's/Authors/E", "url": "http://www.wtmelon.com/"} +{"d:Title": "Enright, Sean", "d:Description": "Author of \"Goof And Other Stories\". Features excerpts, public reading schedule, a biography, and shop.", "topic": "Top/Arts/Literature/Children's/Authors/E", "url": "http://www.seanenright.com/"} +{"d:Title": "Ebbertz, Martin", "d:Description": "(Official site) Information on the German author's books.", "topic": "Top/Arts/Literature/Children's/Authors/E", "url": "http://www.ebbertz.de/kontakt/english.html"} +{"d:Title": "Emberley, Michael", "d:Description": "(Official site) Includes the author's/illustrator's biography, book information, and reviews. [Flash]", "topic": "Top/Arts/Literature/Children's/Authors/E", "url": "http://www.michaelemberley.com/"} +{"d:Title": "Fine, Anne", "d:Description": "(Official site) Information on the Children's Laureate author's childhood, awards, and her work. Also includes an interview and book reviews.", "topic": "Top/Arts/Literature/Children's/Authors/F", "url": "http://www.annefine.co.uk/"} +{"d:Title": "Fleischman, Sid", "d:Description": "(Official site) Newbery Award-winning author. Includes biography, frequently asked questions, and tips for writers.", "topic": "Top/Arts/Literature/Children's/Authors/F", "url": "http://www.sidfleischman.com/"} +{"d:Title": "Fleming, Denise", "d:Description": "(Official site) Includes biography of the Caldecott Honor author/illustrator, activities, sneak peeks, book information, and interview.", "topic": "Top/Arts/Literature/Children's/Authors/F", "url": "http://www.denisefleming.com/"} +{"d:Title": "About.com: Cornelia Funke", "d:Description": "A collection of articles about the author and book reviews for a few of her books.", "topic": "Top/Arts/Literature/Children's/Authors/F/Funke,_Cornelia", "url": "http://contemporarylit.about.com/cs/authors/p/funke.htm"} +{"d:Title": "Bookbrowse.com: Biography of Cornelia Funke", "d:Description": "A biography of Cornelia Funke, an interview with her, and excerpts and reviews of some of her books.", "topic": "Top/Arts/Literature/Children's/Authors/F/Funke,_Cornelia", "url": "http://www.bookbrowse.com/index.cfm?page=author&authorID=804"} +{"d:Title": "Scholastic Book Central: Cornelia Funke", "d:Description": "Information about the author, an interview with her, descriptions of some of her books, including some excerpts and student book reviews.", "topic": "Top/Arts/Literature/Children's/Authors/F/Funke,_Cornelia", "url": "http://www.scholastic.com/corneliafunke/bio.htm"} +{"d:Title": "The World of Cornelia Funke", "d:Description": "Official web site. Autobiography, photo galleries, her books, news. Information on plays and movies based on her books. In German and English.", "topic": "Top/Arts/Literature/Children's/Authors/F/Funke,_Cornelia", "url": "http://www.corneliafunke.com/"} +{"d:Title": "Griffiths, Andy", "d:Description": "Australian author's biography, book contents and reviews, stories and excerpts, a funny quiz, and silly images.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://www.andygriffiths.com.au/"} +{"d:Title": "Gray, Dianne E.", "d:Description": "(Official site) Information about author Dianne Gray and her young adult novel. Also contains creative writing exercises for kids and resources for educators and book groups.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://www.prairievoices.com/"} +{"d:Title": "Gregory, Valiska", "d:Description": "(Official site) Includes reviews, awards, biography and photos, newsletter, interactive questions and answers for kids, speaking schedule, writers' tips, and information for grown-ups.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://www.valiskagregory.com/"} +{"d:Title": "Gibbons, Gail", "d:Description": "(Official site) Author and illustrator of non-fiction books. Includes briography, brief book descriptions, art and card shop, and teaching resources.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://www.gailgibbons.com/"} +{"d:Title": "Ghigna, Charles", "d:Description": "(Official site) ('Father Goose') author and guest speaker: includes bibliography, interviews, reviews, and contact information.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://www.charlesghigna.com/"} +{"d:Title": "George, Kristine O'Connell", "d:Description": "(Official site) Children's poetry author information, audio clips, school visits, book reviews, teacher's guides, and children activities.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://www.kristinegeorge.com/"} +{"d:Title": "Gibbons, Alan", "d:Description": "(Official site) Author of books that often deal with subjects like racism and violence. Features biography, interview, articles, book listing, and school visits information.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://alangibbons.com/"} +{"d:Title": "Bethany Griffin - Author", "d:Description": "Author site for the young adult writer Bethany Griffin.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://www.bethanygriffin.com/"} +{"d:Title": "Garland, Sherry", "d:Description": "(Official site) Contains biography, list of books, FAQs, author visit information, and writing tips. Garland has written more than 25 books for children and young adults.", "topic": "Top/Arts/Literature/Children's/Authors/G", "url": "http://sherrygarland.swiftsite.com/"} +{"d:Title": "Jean Craighead George", "d:Description": "Official site. Includes biographical sketch, answers to common questions, descriptions of Jean Craighead George's books, and tips for young writers.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/G/George,_Jean_Craighead", "url": "http://www.jeancraigheadgeorge.com/"} +{"d:Title": "Hamilton, Virginia", "d:Description": "(Official site) Introduces Virginia Hamilton and her works. Includes photographs, articles and interviews, a listing of awards, and announcements.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.virginiahamilton.com/"} +{"d:Title": "Heffernan , John | Spudplus", "d:Description": "Introduces australian author John Heffernan and features his books. Includes the author's reviews of his works.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.spudplus.com/"} +{"d:Title": "Hines, Anna Grossnickle", "d:Description": "(Official site) Tells about the life and works of children's author and illustrator Anna Grossnickle Hines. Includes answers to common questions, book-related activities, information on author visits, and sound files of Hines reading The Secret Keeper.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.aghines.com/"} +{"d:Title": "Hobbs, Will", "d:Description": "(Official site) Provides background interviews about Will Hobbs' books for children and young adults. Includes photos and resources for kids, teachers and librarians.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.willhobbsauthor.com/"} +{"d:Title": "Hopkinson, Deborah", "d:Description": "(Official site) Includes author's biography, news, pictures, books information, and various links.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.deborahhopkinson.com/"} +{"d:Title": "Hoban, Lillian", "d:Description": "(Official site) Contains biography and list of books.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.lillianhoban.com/"} +{"d:Title": "Hundal, Nancy", "d:Description": "(Official site) Biographical information and teaching resources from the Canadian picture book author.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.nancyhundal.com/"} +{"d:Title": "Herrick, Ann", "d:Description": "Reviews and synopses of books and stories, information about the author, and links to sites related to writing.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://annherrickauthor.com/"} +{"d:Title": "Hoberman, Mary Ann", "d:Description": "Poet and critically acclaimed author of books for young children.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://www.maryannhoberman.com/"} +{"d:Title": "Hornik, Laurie Miller", "d:Description": "(Official site) Information about the author and her books.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://lauriemillerhornik.org/"} +{"d:Title": "Hearne, Betsy", "d:Description": "(Official site) Includes biography, resume, book reviews, written articles, and information on the taught courses.", "topic": "Top/Arts/Literature/Children's/Authors/H", "url": "http://people.ischool.illinois.edu/~ehearne/"} +{"d:Title": "The Unofficial Lemony Snicket FAQ", "d:Description": "An extensive analysis of the books. Features both factual information and theories about what those facts mean.", "topic": "Top/Arts/Literature/Children's/Authors/H/Handler,_Daniel", "url": "http://www.angelfire.com/ga2/LoneWolf/SnickStuff/LemonySnicket.html"} +{"d:Title": "Official Mr. Men Website", "d:Description": "History of the characters, games, news, and silly facts.", "topic": "Top/Arts/Literature/Children's/Authors/H/Hargreaves,_Roger", "url": "http://www.mrmen.com/"} +{"d:Title": "BBC News: Mr Men celebrate 30 years", "d:Description": "The Mr Men books turn 30, after selling 100m books and boasting a licensing agreement worth \u00a3130m.", "topic": "Top/Arts/Literature/Children's/Authors/H/Hargreaves,_Roger", "url": "http://news.bbc.co.uk/1/hi/england/1625584.stm"} +{"d:Title": "BBC News: Mr Men set for comeback", "d:Description": "The most successful children's characters in the world - the Mr Men - are to be revived in a series of books written by the original author's son.", "topic": "Top/Arts/Literature/Children's/Authors/H/Hargreaves,_Roger", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/2677285.stm"} +{"d:Title": "Joel Chandler Harris", "d:Description": "At Bibliomania.com. Biography of the author and full text of the stories \"Brer Rabbit and the Tar-Baby\" and \"Brer Rabbit's Cradle\".", "topic": "Top/Arts/Literature/Children's/Authors/H/Harris,_Joel_Chandler", "url": "http://www.bibliomania.com/0/5/228/"} +{"d:Title": "Warriors", "d:Description": "Harper Collins' companion site for the series, featuring character information, author biographies, games, book excerpts, and an interactive map.", "topic": "Top/Arts/Literature/Children's/Authors/H/Hunter,_Erin", "url": "http://warriorcats.com/"} +{"d:Title": "Wikipedia: Warriors", "d:Description": "Encyclopedia entry for the series of books. Includes list of books, detailed summaries, and character information.", "topic": "Top/Arts/Literature/Children's/Authors/H/Hunter,_Erin", "url": "http://en.wikipedia.org/wiki/Warriors_(novel_series)"} +{"d:Title": "Writer Unboxed: Interview with Erin Hunter", "d:Description": "An interview with Cherith Baldry, Kate Cary, and Vicky Holmes.", "topic": "Top/Arts/Literature/Children's/Authors/H/Hunter,_Erin", "url": "http://writerunboxed.com/2006/04/21/author-interview-erin-hunter/"} +{"d:Title": "Warriors Wish", "d:Description": "Offers detailed information about the books and its characters as well as news, articles, a forum, weekly podcasts, a monthly newsletter, and fan fiction and artwork.", "topic": "Top/Arts/Literature/Children's/Authors/H/Hunter,_Erin", "url": "http://www.warriorswish.net/"} +{"d:Title": "James, Mary", "d:Description": "Educational resource for young adult literature author M.E. Kerr and her alter ego, children's books author Mary James. Includes interview, biography, bibliography, references, comments, book summaries, and discussion group.", "topic": "Top/Arts/Literature/Children's/Authors/J", "url": "http://www.mekerr.com/"} +{"d:Title": "Jarvis, Robin - Dare to Be Scared", "d:Description": "The official web site of British children's fantasy author and illustrator Robin Jarvis, including news and background information on his work.", "topic": "Top/Arts/Literature/Children's/Authors/J", "url": "http://www.robinjarvis.com/"} +{"d:Title": "Jane, Pamela | Magical World of Writing for Children", "d:Description": "(Official site) Includes short biography of children's book author Pamela Jane, overviews of her books, school visit information, and writing tips for children.", "topic": "Top/Arts/Literature/Children's/Authors/J", "url": "http://www.pamelajane.com/"} +{"d:Title": "Jackson, Chris", "d:Description": "(Official site) Provides excerpts of this Canadian author/illustrator's works, short reviews, and information on design projects.", "topic": "Top/Arts/Literature/Children's/Authors/J", "url": "http://www.chrisjackson.ca/"} +{"d:Title": "Johnson, Lois Walfrid", "d:Description": "(Official site) Author of The Adventures of the Northwoods, Riverboat Adventures, and Let's-Talk-About-It series. Includes biography, school visits, writing tips, book information and excerpts.", "topic": "Top/Arts/Literature/Children's/Authors/J", "url": "http://www.lwjbooks.com/"} +{"d:Title": "James, Betsy", "d:Description": "(Official site) Features book information, writer/illustrator's biography, portfolio, awards, and school visits.", "topic": "Top/Arts/Literature/Children's/Authors/J", "url": "http://www.betsyjames.com/"} +{"d:Title": "Jacobs and Swender", "d:Description": "Website of children's authors and educational writers Paul DuBois Jacobs and Jennifer Swender. Includes information about the authors and their books, workshops, and events.", "topic": "Top/Arts/Literature/Children's/Authors/J", "url": "http://www.jacobsandswender.com/"} +{"d:Title": "The Complete Redwall Site", "d:Description": "Fan site for the Redwall series of books featuring woodland animals in a medieval setting.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian", "url": "http://ivyfox.tripod.com/"} +{"d:Title": "Redwall Abbey", "d:Description": "Official website of Brian Jacques, the British author of the Redwall adventure stories for young people.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian", "url": "http://www.redwallabbey.com/"} +{"d:Title": "Tyrsk Nirrh", "d:Description": "A Redwall club based on the fictional tropical city of the Monitor lizards. Features activities, chat, and message boards.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian/Clubs", "url": "http://www.angelfire.com/yt/tyrsk/index.html"} +{"d:Title": "The Fortress of Freedom", "d:Description": "Role playing club based on the Redwall series. Includes message boards and activities for the members.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian/Clubs", "url": "http://www.angelfire.com/empire/fortfreedom/"} +{"d:Title": "Mystwynd Isle", "d:Description": "Redwall-based roleplaying site. Includes submitted artwork of members, forums, and chat.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian/Clubs", "url": "http://www.angelfire.com/realm2/tryffan_goldenoak/mystwynd_isle/heartof_mystwynd.html"} +{"d:Title": "Fort Nightshade", "d:Description": "Vermin role-playing site using a message board.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian/Clubs", "url": "http://fortns.tripod.com/enter.html"} +{"d:Title": "Fur Future", "d:Description": "Message board based sci-fantasy role-play in a futuristic version of the Redwall setting where the players can be a variety of furry animals.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian/Clubs", "url": "http://furfuture.xepher.net/"} +{"d:Title": "Camp Silver Minnow", "d:Description": "Original activities based on the Redwall series.", "topic": "Top/Arts/Literature/Children's/Authors/J/Jacques,_Brian/Clubs", "url": "http://samkim2.tripod.com/index.html"} +{"d:Title": "Kurtz, Jane", "d:Description": "Tells about the author and offers short descriptions of some of her stories, several of which are based on time she spent in Ethiopia.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://www.janekurtz.com/"} +{"d:Title": "Kimpton, Diana", "d:Description": "(Official site) Includes biographical sketch, short descriptions of her fiction and non-fiction books, and information on cartoon scriptwriting.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://www.dianakimpton.co.uk/"} +{"d:Title": "Kleven, Elisa", "d:Description": "(Official site) Includes autobiography, descriptions and pictures of her books, and teaching activities.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://elisakleven.com/"} +{"d:Title": "Klise, Kate and Sarah", "d:Description": "(Official site) Includes biography, short description of the books, awards, school visits information, and fun pages.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://kateandsarahklise.com/"} +{"d:Title": "Kendal, Penny", "d:Description": "Official site. Introduces the author and her mysteries. Also provides information on school visits.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://www.pennykendal.co.uk/"} +{"d:Title": "Koss, Megan", "d:Description": "Official site. Tells about the author and her book Tail of a Rainforest: Kiko and the Jungle Jewels.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://www.megan-koss.net/"} +{"d:Title": "Kittinger, Jo S.", "d:Description": "(Official site) Books, biography, school visits information, activity pages for kids, and resources for teachers and writers.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://jokittinger.com/"} +{"d:Title": "Kjelgaard, Jim", "d:Description": "Unofficial fan page dedicated to the author of books for young people, many of them about dogs or outdoor life. Biographical information, bibliographies.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://home.sprintmail.com/~charterbus/kjelgaard.htm"} +{"d:Title": "Ketteman, Helen", "d:Description": "(Official site) Features information on the author, book reviews, activities, lesson planners, and writing tips.", "topic": "Top/Arts/Literature/Children's/Authors/K", "url": "http://helenketteman.wixsite.com/helenketteman"} +{"d:Title": "Lewis, J. Patrick", "d:Description": "(Official site) Information about the author's books and school visit opportunities. Also includes biographical information with photos.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.jpatricklewis.com/"} +{"d:Title": "Lisle, Janet Taylor", "d:Description": "(Official site) Includes interview with author Janet Taylor Lisle, information about her books, reviews, excerpts, and author commentary on how each book was written.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://janettaylorlisle.com/"} +{"d:Title": "LaFaye, Alexandria", "d:Description": "(Official site) Includes author's biography, PDF excerpts from several novels, reviews, and school visits information.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.alafaye.com/"} +{"d:Title": "Langdo, Bryan", "d:Description": "(Official site) Features art gallery, book reviews, sketches, and information on this picture book author.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.bryanlangdo.com/"} +{"d:Title": "Lubar, David", "d:Description": "Author of \"Hidden Talents\" and other books for kids and young adults. Designed \"Frogger 2\", \"Home Alone\", and other video games.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.davidlubar.com/"} +{"d:Title": "Love, D. Anne", "d:Description": "(Official site) Author of The Puppeteer's Apprentice and My Lone Star Summer. Features biographical information, book reviews, and resources.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.dannelove.com/"} +{"d:Title": "Larson, Kirby", "d:Description": "(Official site) Includes biography and writing tips.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.kirbylarson.com/"} +{"d:Title": "Lewis, Paul Owen", "d:Description": "(Official site) Children's author and illustrator. Includes information about his books, art, and school visits.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.paulowenlewis.com/"} +{"d:Title": "Lee, Mary Ellen", "d:Description": "(Official site) Author of children's historical novels (Danny and Life on Bluff Point). Includes biography, book reviews, and school visits information.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://www.maryellenlee.com/"} +{"d:Title": "Leese, Jennifer L. B.", "d:Description": "Profile, press kit and book list.", "topic": "Top/Arts/Literature/Children's/Authors/L", "url": "http://jenniferlbleese.weebly.com/"} +{"d:Title": "The Astrid Lindgren Association", "d:Description": "Organization dedicated to the author and her books, plays, and movies.", "topic": "Top/Arts/Literature/Children's/Authors/L/Lindgren,_Astrid", "url": "http://alassociation.tripod.com/"} +{"d:Title": "Astrid Lindgren's World", "d:Description": "Fairytale park based on the stories of Astrid Lindgren. Maps, park guide, information about the author, and brochures. Located in Sweden.", "topic": "Top/Arts/Literature/Children's/Authors/L/Lindgren,_Astrid", "url": "http://astridlindgrensvarld.se/"} +{"d:Title": "The Story of Doctor Dolittle by Hugh Lofting", "d:Description": "HTML etext.", "topic": "Top/Arts/Literature/Children's/Authors/L/Lofting,_Hugh", "url": "http://www.pagebypagebooks.com/Hugh_Lofting/The_Story_of_Doctor_Dolittle/"} +{"d:Title": "Free Online Library: Hugh Lofting", "d:Description": "Biography and the e-text of \"The Story of Doctor Dolittle\".", "topic": "Top/Arts/Literature/Children's/Authors/L/Lofting,_Hugh", "url": "http://lofting.thefreelibrary.com/"} +{"d:Title": "McMillan, Bruce", "d:Description": "(Official site) Author and photo-illustrator of more than forty children books. Includes biography, pictures, book reviews, articles, and information on the speaking visits.", "topic": "Top/Arts/Literature/Children's/Authors/M", "url": "http://www.brucemcmillan.com/"} +{"d:Title": "Most, Bernard", "d:Description": "Author and illustrator of \"If The Dinosaurs Came Back\" and \"The Cow That Went Oink\"; the story behind the books, short biography of Bernard Most, and dinosaur coloring sheets.", "topic": "Top/Arts/Literature/Children's/Authors/M", "url": "http://www.bernardmost.com/"} +{"d:Title": "Marcus, Leonard S.", "d:Description": "(Official site) Includes works and biography of the children's book historian, author, critic Leonard Marcus.", "topic": "Top/Arts/Literature/Children's/Authors/M", "url": "http://www.leonardmarcus.com/"} +{"d:Title": "Manushkin, Fran", "d:Description": "(Official site) Features author's biography, book descriptions, and school visits information.", "topic": "Top/Arts/Literature/Children's/Authors/M", "url": "http://www.franmanushkin.com/"} +{"d:Title": "Million, Liz", "d:Description": "(Official site) Features the author/illustrator's biography, portfolio, book reviews, and school visits information.", "topic": "Top/Arts/Literature/Children's/Authors/M", "url": "http://www.lizmillion.com/"} +{"d:Title": "McElligott, Matt", "d:Description": "(Official site) Includes the author/illustrator's biography, book reviews, techniques, and school visit information.", "topic": "Top/Arts/Literature/Children's/Authors/M", "url": "http://www.matthewmcelligott.com/"} +{"d:Title": "The Betty MacDonald Farm", "d:Description": "Includes photos from the farm, now a bed and breakfast, where MacDonald wrote her books.", "topic": "Top/Arts/Literature/Children's/Authors/M/MacDonald,_Betty", "url": "http://www.bettymacdonaldfarm.com/"} +{"d:Title": "James Marshall, Wicked Angel", "d:Description": "Essay by Maurice Sendak, written as an introduction to \"George and Martha: The Complete Stories of Two Best Friends.\"", "topic": "Top/Arts/Literature/Children's/Authors/M/Marshall,_James", "url": "http://www.nytimes.com/books/97/11/16/bookend/bookend.html"} +{"d:Title": "Featured Author: James Marshall", "d:Description": "Article from Carol Hurst's Children's Literature Newsletter describes Marshall's works.", "topic": "Top/Arts/Literature/Children's/Authors/M/Marshall,_James", "url": "http://www.carolhurst.com/newsletters/42enewsletters.html"} +{"d:Title": "Meet David McPhail", "d:Description": "Brief biography, information, and list of books written and illustrated.", "topic": "Top/Arts/Literature/Children's/Authors/M/McPhail,_David", "url": "http://www.eduplace.com/kids/hmr/mtai/mcphail.html"} +{"d:Title": "Winnie the Pooh", "d:Description": "Including news, history, stories, pictures and sounds.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/M/Milne,_A._A.", "url": "http://www.just-pooh.com/"} +{"d:Title": "Dedicated to Winnie the Pooh and friends", "d:Description": "Includes a Pooh quiz, songs' lyrics, sound files, quotes from the stories, poems and hums, and biographies of A.A Milne and Christopher Robin.", "topic": "Top/Arts/Literature/Children's/Authors/M/Milne,_A._A.", "url": "http://www.theenchanted100acrewoods.50megs.com/"} +{"d:Title": "My Thotful Spot", "d:Description": "The deeper meaning of the character, information about the stories and author, and relevant links.", "topic": "Top/Arts/Literature/Children's/Authors/M/Milne,_A._A.", "url": "http://members.tripod.com/nikki_147/"} +{"d:Title": "Christopher Robins Winnie-the-Pooh Character Guide", "d:Description": "A guide with images on all of the characters from the 100 Aker Woods.", "topic": "Top/Arts/Literature/Children's/Authors/M/Milne,_A._A.", "url": "http://www.lavasurfer.com/pooh-guide.html"} +{"d:Title": "Pooh Corner", "d:Description": "Presented by acclaimed international performer Peter Dennis, this fully-illustrated site includes a history of Pooh and Friends, biographies of A.A. Milne, E.H. Shepard, Christopher Robin, and Peter Dennis.", "topic": "Top/Arts/Literature/Children's/Authors/M/Milne,_A._A.", "url": "http://www.poohcorner.com/"} +{"d:Title": "Winnie-The-Pooh's Page", "d:Description": "Official page from publisher: games, activities, e-cards.", "topic": "Top/Arts/Literature/Children's/Authors/M/Milne,_A._A.", "url": "http://www.penguin.com/static/pages/yr/minisites/winniethepooh/"} +{"d:Title": "Nelson, Theresa", "d:Description": "(Official site) Includes biographical information, pictures, awards, book reviews, and description of her titles.", "topic": "Top/Arts/Literature/Children's/Authors/N", "url": "http://www.theresanelson.net/"} +{"d:Title": "Napoli, Donna Jo", "d:Description": "(Official site) Includes biography, book reviews, and school presentation information.", "topic": "Top/Arts/Literature/Children's/Authors/N", "url": "http://donnajonapoli.com/"} +{"d:Title": "Laura Numeroff's (Very Own) Web Site", "d:Description": "Includes detailed biographical sketch of the author, photographs, information on her children's books, resources for parents and teachers, and fun activities for kids.", "topic": "Top/Arts/Literature/Children's/Authors/N/Numeroff,_Laura", "url": "http://www.lauranumeroff.com/"} +{"d:Title": "Orchard, Christopher", "d:Description": "(Official site) Writer of the \"Adventures of Patricia and Annabelle\" series. Contains information on author and the books.", "topic": "Top/Arts/Literature/Children's/Authors/O", "url": "http://www.christopherorchard.com/"} +{"d:Title": "Owens, Lisa L.", "d:Description": "(Official site) Author's biography, reviews, news, and descriptions of her books for children and young adults.", "topic": "Top/Arts/Literature/Children's/Authors/O", "url": "http://www.llowens.com/"} +{"d:Title": "Kenneth Oppel", "d:Description": "(Official site) Includes information on Oppel's books, teaching guides, a Silverwing picture gallery, excerpts from new and upcoming books, and the latest news about author appearances.", "topic": "Top/Arts/Literature/Children's/Authors/O/Oppel,_Kenneth", "url": "http://www.kennethoppel.ca/"} +{"d:Title": "CM Magazine Profile: Kenneth Oppel", "d:Description": "Quotes Ken Oppel as he explains the stories behind his books and how he came to write them.", "topic": "Top/Arts/Literature/Children's/Authors/O/Oppel,_Kenneth", "url": "http://www.umanitoba.ca/cm/profiles/oppel.html"} +{"d:Title": "Wikipedia: Kenneth Oppel", "d:Description": "A short biography, a list of his works with publication dates, and links.", "topic": "Top/Arts/Literature/Children's/Authors/O/Oppel,_Kenneth", "url": "http://en.wikipedia.org/wiki/Kenneth_Oppel"} +{"d:Title": "Achuka: Kenneth Oppel", "d:Description": "An interview that took place in 2000. He talks about his training, favorite authors, and Silverwing.", "topic": "Top/Arts/Literature/Children's/Authors/O/Oppel,_Kenneth", "url": "http://www.achuka.co.uk/special/oppel.htm"} +{"d:Title": "Patent, Dorothy Hinshaw", "d:Description": "(Official site) Provides biographical sketch of Dorothy Hinshaw Patent, as well as information on Patent's recent projects and how you can book her for a school visit.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://dorothyhinshawpatent.com/"} +{"d:Title": "Pilkey, Dav | Web Site O' Fun", "d:Description": "(Official site) Author/illustrator Dav Pilkey of \"The Adventures of Captain Underpants\" has a predictably unpredictable website with lots of interesting stuff for kids, parents, and teachers.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.pilkey.com/"} +{"d:Title": "Palatini, Margie", "d:Description": "(Official site) Introduces the award-winning author and her books.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.margiepalatini.com/"} +{"d:Title": "Park, Frances and Ginger", "d:Description": "(Official site) Features information on the two sisters, interviews, awards, and book reviews.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://parksisters.com/"} +{"d:Title": "Points, Larry", "d:Description": "(Official site) Co-author of children's nature books about Assateague Island's wild ponies, Atlantic Coast beaches, and barrier island birds. Information for teachers, order form, and author's biography.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.seacritters.com/"} +{"d:Title": "Peters, Lisa Westberg", "d:Description": "(Official site) Author of picture books, many with a science-related theme. Includes biography, writing and reading tips, book information.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.lisawestbergpeters.com/"} +{"d:Title": "Pearsall, Shelley", "d:Description": "(Official site) Includes biography, teacher resources, book information, interview, and details on workshops and author visits.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.shelleypearsall.com/"} +{"d:Title": "Parker, Marjorie Blain", "d:Description": "(Official site) Author of \"Jasper's Day\" and \"Ice Cream Everywhere!\". Features biography and personal data, book reviews, and school visits information.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://marjorieblainparker.com/"} +{"d:Title": "Palmer, Dandi", "d:Description": "(Official site) Contains excerpts and illustrations from the author's books.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.dandi.me.uk/"} +{"d:Title": "Polacco, Patricia", "d:Description": "(Official) Provides author information, books, current news, activities, and a forum.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.patriciapolacco.com/"} +{"d:Title": "Prince, Alison", "d:Description": "(Official site) The creator of the BBC series 'Trumpton' talks about her life and books.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.alisonprince.co.uk/"} +{"d:Title": "Plourde, Lynn", "d:Description": "(Official site) Provides author biography, list of published books, news, visits, bookmarks, and contact information.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.lynnplourde.com/"} +{"d:Title": "Parker, Steve", "d:Description": "Author, editor, and consultant specializing in illustrated information books for children on the natural world, biology, technology, and general sciences.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://www.steveparker.co.uk/"} +{"d:Title": "Paterson, Katherine", "d:Description": "(Official site) Includes Katherine Paterson's answers to frequently asked questions, short biography, and information on her works.", "topic": "Top/Arts/Literature/Children's/Authors/P", "url": "http://katherinepaterson.com/"} +{"d:Title": "Kidsreads.com : Junie B. Jones by Barbara Park", "d:Description": "Author information and an interview. Short descriptions of books in the Junie B. Jones series.", "topic": "Top/Arts/Literature/Children's/Authors/P/Park,_Barbara", "url": "http://www.kidsreads.com/series/series-junie-author.asp"} +{"d:Title": "Junie B. Jones", "d:Description": "Barbara Park answers some of the questions asked by the children who read her books.", "topic": "Top/Arts/Literature/Children's/Authors/P/Park,_Barbara", "url": "http://juniebjones.com/"} +{"d:Title": "Rodda, Emily", "d:Description": "(Official site) Booklist and biography of the Australian author.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.emilyrodda.com/"} +{"d:Title": "Ringgold, Faith", "d:Description": "(Official site) Contains biography, frequently asked questions, and contact information from the author and artist of the Coretta Scott King award-winning Tar Beach.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.faithringgold.com/"} +{"d:Title": "Russell, Ching Yeung", "d:Description": "(Official site) Provides short biography, descriptions of her works, information on school visits, and a kids' page.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://chingyeung.homestead.com/"} +{"d:Title": "Ross, Diana", "d:Description": "(Official site) Includes biographical sketch, list of books in print, and a collection of illustrations.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.diana-ross.co.uk/"} +{"d:Title": "Rathmann, Peggy", "d:Description": "(Official site) Includes biography, information about her books, and activities for kids from the creator of the Caldecott award-winning Officer Buckle and Gloria.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.peggyrathmann.com/"} +{"d:Title": "Ross, Dave", "d:Description": "(Official site) Biography, sample chapter, school visit information, bibliography, and links to related sites.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.daveross.org/"} +{"d:Title": "Regan, Dian Curtis", "d:Description": "(Official site) Provides information about author and her many books which range from picture books to young adult novels. Also includes letters from readers, answers to frequently asked questions, curriculum guides, and information for young writers.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.diancurtisregan.com/"} +{"d:Title": "Rappaport, Doreen", "d:Description": "(Official site) Author specializes in multicultural history and stories. Includes book reviews and excerpts, biography, interview, and school visit information.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.doreenrappaport.com/"} +{"d:Title": "Rattigan, Jama Kim", "d:Description": "(Official site) Features the Virginia based writer's picture books, background information, reviews, classroom activities, and personal photos.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.jamakimrattigan.com/"} +{"d:Title": "Rubel, Nicole", "d:Description": "(Official site) Includes biography and information about books and school visits from the co-creator of the Rotten Ralph series.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.nicolerubel.com/"} +{"d:Title": "Ryan, Pam Mu\u00f1oz", "d:Description": "(Official site) Shares the stories behind the books, a biography, classroom activities, reviews, school visit information, and answers to frequently asked questions.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.pammunozryan.com/"} +{"d:Title": "Roberts, Bethany", "d:Description": "(Official site) Offers writer tips, quotes, and resources, classroom ideas, activities for kids, a biography, and information on her holiday mice and other picture books.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.bethanyroberts.com/"} +{"d:Title": "Reeve, Philip", "d:Description": "Brief biography as featured in the Scholastic Children's Books Author Zone. Includes an interview with the author.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.scholastic.co.uk/zone/authors_p-reeve-biog.htm"} +{"d:Title": "Rocklin, Joanne", "d:Description": "(Official site) Gives a biography, writing tips, teachers' guides, an interview, and school visit and book information.", "topic": "Top/Arts/Literature/Children's/Authors/R", "url": "http://www.joannerocklin.com/"} +{"d:Title": "Idaho Falls Public Library: W. Wilson Rawls", "d:Description": "Presents a biography, photographs, articles, and other information about the author.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rawls,_W._Wilson", "url": "http://www.ifpl.org/rawls/"} +{"d:Title": "How Curious George Began", "d:Description": "Explores the origins of the series and provides brief biographical information about its authors. Includes listing of all works.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rey,_Margret_and_H._A.", "url": "http://www.angelfire.com/tx/breadbasket14/curiousgeorge.html"} +{"d:Title": "Curious George - Curious Little Monkey", "d:Description": "Find information about the series creators, pictures from the works, and popular quotes.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rey,_Margret_and_H._A.", "url": "http://www.rivalquest.com/curious/"} +{"d:Title": "Curious George", "d:Description": "History of the character, theme song, drawings, and games.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rey,_Margret_and_H._A.", "url": "http://www.angelfire.com/scifi/curiousgeorge/"} +{"d:Title": "The World of Curious George", "d:Description": "Includes information about the character's creators, online games, and a discussion group for fans.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rey,_Margret_and_H._A.", "url": "http://www.houghtonmifflinbooks.com/features/cgsite/"} +{"d:Title": "J.K. Rowling", "d:Description": "Official site about the author and the Harry Potter books. Includes book rumours, biography, movie information, FAQs, news, and links.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K.", "url": "http://www.jkrowling.com/"} +{"d:Title": "January Magazine - J. K. Rowling profile", "d:Description": "An interview with Rowling about her popularity and how does she take it.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K.", "url": "http://www.januarymagazine.com/profiles/jkrowling.html"} +{"d:Title": "BBC: JK Rowling Celebrates Birth of Son", "d:Description": "Harry Potter author JK Rowling and husband, Dr Neil Murray, are celebrating the arrival of their first child.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K.", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/2883059.stm"} +{"d:Title": "Bookworm's Lair: J.K. Rowling", "d:Description": "Complete bibliography and reviews of the Harry Potter novels from an adult point of view. Available in English and German.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K.", "url": "http://www.bookwormslair.de/rowling_jk_e.htm"} +{"d:Title": "Harvard Magazine: The Fringe Benefits of Failure, and the Importance of Imagination | Harvard Magazine", "d:Description": "Contains her videoed and written Commencement Address at the Annual Meeting of the Harvard Alumni Association.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K.", "url": "http://harvardmagazine.com/commencement/the-fringe-benefits-failure-the-importance-imagination"} +{"d:Title": "Harry Potter's Realm of Wizardry", "d:Description": "Information on Rowling, quotes and characters from the books, news, rumors, reviews, and links.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.harrypotterrealm.com/"} +{"d:Title": "Nature, Romanticism, and Harry Potter", "d:Description": "Scholarly analysis of the Harry Potter books, stating that J.K. Rowling has put together, often beautifully, the opposites that are central to romanticism -- the strange and the ordinary.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.elisiegel.net/Harry-Potter-Tro1420.htm"} +{"d:Title": "World of Magic Theme Park", "d:Description": "Home page of imaginary Harry Potter theme park including ideas of attractions, maps, general information, and \"Find things hidden in this picture\" -games.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.angelfire.com/tx5/worldofmagic/intro.html"} +{"d:Title": "Leaky Cauldron", "d:Description": "Read and comment on the latest Harry Potter book and movie news.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.the-leaky-cauldron.org/"} +{"d:Title": "MuggleNet", "d:Description": "News, games, and humor. Over 70 sections with information on the books and the movie, puzzles, message boards, and trivia.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.mugglenet.com/"} +{"d:Title": "Alen's Wizard World", "d:Description": "Information about the books, characters and places as well as a spell encyclopedia, wallpaper, screensaver and links to other sites.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.angelfire.com/wrestling2/alen/"} +{"d:Title": "Harry Potter International", "d:Description": "Features book facts, rumours, pictures, information on the author and actors, and humour.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.harrypotterintl.com/"} +{"d:Title": "The Akashic Record", "d:Description": "A reference of characters, places, spells, and items in the Harry Potter series.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.m5p.com/~pravn/hp/"} +{"d:Title": "What's In a Name?", "d:Description": "Listing of the meanings behind the names of people, places, and objects in the Harry Potter universe.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.theninemuses.net/hp/"} +{"d:Title": "Harry Potter Lexicon", "d:Description": "Information about various subjects in the Harry Potter books.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.hp-lexicon.org/"} +{"d:Title": "Harry Potter in Chinese, Japanese, and Vietnamese", "d:Description": "A comparison of the Mainland Chinese, Taiwanese, Japanese, and Vietnamese translations of the Harry Potter series.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.cjvlang.com/Hpotter/"} +{"d:Title": "Funtrivia Quizzes: Harry Potter", "d:Description": "Contains various quizzes to play and create.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.funtrivia.com/quizzes/for_children/harry_potter.html"} +{"d:Title": "Harry Potter Bibliography", "d:Description": "Bibliography on Harry Potter-related literature, articles, and monographs.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.eulenfeder.de/hpliteratur.html"} +{"d:Title": "Scholastic Books - Harry Potter", "d:Description": "Discussion guides for all books, including sample chapters, plus an interview with J.K. Rowling, excerpts from reviews, games and screensavers.", "priority": "1", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://harrypotter.scholastic.com/"} +{"d:Title": "Harry Potter Facts", "d:Description": "Harry Potter Facts, chapters-reference and summaries site for magical creatures, objects and names in Harry Potter books", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://www.harrypotterfacts.com/"} +{"d:Title": "Harry Potter Books from Bloomsbury", "d:Description": "Publisher of this popular series offers a profile of the author, plus reviews, FAQs and a glossary. Includes online ordering.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series", "url": "http://harrypotter.bloomsbury.com/"} +{"d:Title": "Besotted With Potter", "d:Description": "William Safire New York Times essay on why he thinks J. K. Rowling not winning a top literary prize was the right thing. Registration required.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.nytimes.com/library/opinion/safire/012700safi.html"} +{"d:Title": "Wild about Harry", "d:Description": "Review of Harry Potter vol. 3 by Linda Richards, editor of January Magazine.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.januarymagazine.com/kidsbooks/harrypotter.html"} +{"d:Title": "Harry's Real Magic", "d:Description": "Review of Harry Potter vol. 4 by Linda Richards, editor of January Magazine.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.januarymagazine.com/kidsbooks/harry4.html"} +{"d:Title": "Funny, moving and impressive ...", "d:Description": "Review of Harry Potter vol. 1 by Michael Winerip (New York Times).[Requires free registration].", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.nytimes.com/books/99/02/14/reviews/990214.14childrt.html"} +{"d:Title": "Lord of the Golden Snitch", "d:Description": "Review of Harry Potter vol. 3 by Gregory Maguire (New York Times).", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.nytimes.com/books/99/09/05/reviews/990905.05maguirt.html"} +{"d:Title": "An Improbable Sequel: Harry Potter and the Ivory Tower", "d:Description": "NY Times article (requires registration) on International Congress on Medieval Studies, where \"the persistence of medieval archetypes in popular culture\" was discussed - in works by J.K. Rowling and J.R.R. Tolkien", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.nytimes.com/2001/05/12/arts/12MIDD.html"} +{"d:Title": "All Aboard the Potter Express", "d:Description": "Alan Cowell's New York Times article about J.K. Rowling's real life trip across Britain on the Hogwarts Express.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.nytimes.com/library/books/071000rowling-interview.html"} +{"d:Title": "Danny Yee's Book Reviews: Harry Potter and the Philosopher's Stone", "d:Description": "The first Harry Potter book reviewed by Danny Yee.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://dannyreviews.com/h/Harry_Potter.html"} +{"d:Title": "Harry Potter and the Sorcerer's Stone by J. K. Rowling", "d:Description": "Review by Kristyn Starr at nabou.com.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://bookreviews.nabou.com/reviews/harry_potter_book_1.html"} +{"d:Title": "Spellbinding Textbooks", "d:Description": "Linda Richards reviews the two booklets written for the benefit of Comic Relief, \"Fantastic Beasts and Where to Find Them\" and \"Quidditch Through the Ages\": \"both books [...] serve to illustrate quite graphically why [Rowling's] Harry Potter series of books has been so successful: Rowling understands the world she has created perfectly.\" (March 2001)", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.januarymagazine.com/kidsbooks/rowlingcomicrelief.html"} +{"d:Title": "'Potter' Web fans organize boycott", "d:Description": "USA Today article about the reaction to lawyers trying to shut down Harry Potter fan sites.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.usatoday.com/tech/news/2001-02-22-potter.htm"} +{"d:Title": "Second time's still a charm", "d:Description": "USA Today review of Harry Potter and the Chamber of Secrets by Cathy Hainer.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.usatoday.com/life/books/2001-07-17-sorcerers-stone.htm"} +{"d:Title": "Quick Quote Quill", "d:Description": "An archive of JK Rowling's interviews and quotes.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.accio-quote.org/"} +{"d:Title": "Harry Potter, the Closet Wizard", "d:Description": "Recycled in Slate: In South Carolina, the state board of education is considering whether the popular Harry Potter children's books should be banned from school libraries because of their upbeat depiction of witchcraft, wizardry, and other dark arts.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://slate.com/id/37736/"} +{"d:Title": "Guardian Books Special Reports: Harry Potter", "d:Description": "Archive of news, comments, interviews, and audio clips related to the author and the books.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.theguardian.com/books/harrypotter"} +{"d:Title": "Harry Potter Banned from Paper's Bestseller List", "d:Description": "Guardian Unlimited article on why Harry Potter books are not included in the Sunday Times bestseller list.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.theguardian.com/uk/1999/jul/17/fiachragibbons1"} +{"d:Title": "The Sorcerers' Apprentice", "d:Description": "Where did Harry learn his trade? Giles Foden gives a short history of wizards in literature. From The Guardian.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.theguardian.com/books/2000/jul/01/fiction.gilesfoden"} +{"d:Title": "Salon.com Harry Potter Articles", "d:Description": "Salon Magazine article archive for Harry Potter related stories.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.salon.com/topic/harry_potter/"} +{"d:Title": "Harry Potter Fans Detect Devilish Discrepancy", "d:Description": "Garth Johnston's Salon Magazine article on possible discrepancies in the Harry Potter series.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Articles_and_Interviews", "url": "http://www.salon.com/2000/07/24/potter_6/"} +{"d:Title": "Marauding Mayhem", "d:Description": "Dedicated to the Marauders, includes a monthly fan art challenge, and a semi-weekly column.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://www.technoangel.net/marauders/"} +{"d:Title": "Why Snape", "d:Description": "Severus Snape fan site, with a character profile, images from the film, icons, and riddles.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://whysnape.tripod.com/"} +{"d:Title": "Wikipedia: Severus Snape", "d:Description": "Includes information on: his background, role in the series, and loyalties; character origins and possible influences; and his family tree.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://en.wikipedia.org/wiki/Severus_Snape"} +{"d:Title": "Wikipedia: Sybill Trelawney", "d:Description": "Contains information on her personality, career and the prophecy. Includes relevant book excerpts.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://en.wikipedia.org/wiki/Sibyll_Trelawney"} +{"d:Title": "Severly Ensnared - A Severus Snape Page", "d:Description": "A site about the slytherin potions master containing fanfiction, theories and essays about Snape and a gallery.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://home.arcor.de/estherkolbe/"} +{"d:Title": "Yaxley Fanlisting", "d:Description": "Yaxley fanlisting with character information, fanfiction recommendations and codes.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://yaxley.awardspace.com/"} +{"d:Title": "James Potter Fan Club", "d:Description": "Fanlisting dedicated to James Potter with an archive of fanart.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://james-potter.deviantart.com/"} +{"d:Title": "Dumbledore Is Not Dead", "d:Description": "Theories about the Harry Potter Universe. Focuses on Dumbledore and Snape.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://www.beyondhogwarts.com/harry-potter/articles/dumbledore-is-not-dead.html"} +{"d:Title": "Lord Voldemort Fanlisting and Fansite", "d:Description": "A site dedicated to Lord Voldemort with character information, incorporated fanlisting and gallery.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://lord-voldemort.org/"} +{"d:Title": "Harry Potter's Ultimate Fansite", "d:Description": "A series of editorials concentrating on the main characters of the Harry Potter series", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters", "url": "http://justin-hpfanfic.blogspot.com/"} +{"d:Title": "Shrine to Sirius Black", "d:Description": "Features information, fanart gallery and theories on his death.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Black,_Sirius", "url": "http://www.angelfire.com/wy/sirius/main1.html"} +{"d:Title": "Immeritus: The Sirius Black Fan Club", "d:Description": "Features art, fanfiction, forums and multiple contests.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Black,_Sirius", "url": "http://www.immeritus.org/"} +{"d:Title": "Sirius Black - Wikipedia", "d:Description": "Includes a character biography, family tree and information on his mental state.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Black,_Sirius", "url": "http://en.wikipedia.org/wiki/Sirius_Black"} +{"d:Title": "HPL: Quotes by and about Sirius Black", "d:Description": "A list of quotes and book excerpts.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Black,_Sirius", "url": "http://www.hp-lexicon.org/wizards/siriussez.htm"} +{"d:Title": "HPL: Bill Weasley", "d:Description": "Character information and fanart.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Weasleys", "url": "http://www.hp-lexicon.org/wizards/bill.html"} +{"d:Title": "D.H.P.C.C.G. Bill Weasley", "d:Description": "Book excerpts from the first four books.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Weasleys", "url": "http://www.marysia.com/hpcanon/b_weasley.html"} +{"d:Title": "HPL: Ron Weasley", "d:Description": "Character profile, biography and fanart.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Weasleys", "url": "http://www.hp-lexicon.org/wizards/ron.html"} +{"d:Title": "Ron Weasley - Wikipedia", "d:Description": "Descriptions of Ron Weasley's character, achievements and role in the series.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Characters/Weasleys", "url": "http://en.wikipedia.org/wiki/Ron_Weasley"} +{"d:Title": "Trelawney's Harry Potter Club Page", "d:Description": "Book club which meets at Susquehanna County Library in Montrose, Pennsylvania.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Chats_and_Forums", "url": "http://susqcolibrary.org/kids/HPCLUB.HTM"} +{"d:Title": "Harry Potter for Grownups", "d:Description": "Group dedicated to in-depth discussions of the Harry Potter books, mainly intended for grownups.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Chats_and_Forums", "url": "http://groups.yahoo.com/group/HPforGrownups/"} +{"d:Title": "Harry Potter Forums", "d:Description": "Community and bulletin board dedicated to the books and films of the J.K. Rowling series.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Chats_and_Forums", "url": "http://www.potterforums.com/"} +{"d:Title": "1313 Diagon Alley", "d:Description": "Diagon Alley chat room and \"Find Harry Potter\" game.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Chats_and_Forums", "url": "http://1313diagonalley.homestead.com/index.html"} +{"d:Title": "Harry Potter Message Board", "d:Description": "Common rooms for each house, discussion of each of the books, quidditch, and general discussion.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Chats_and_Forums", "url": "http://harrypottermessageboard46458.yuku.com/"} +{"d:Title": "The Cauldron Corner", "d:Description": "A messageboard dedicated to the Harry Potter series. Mostly dealing with RPs, there are also boards to discuss the series and many other topics as well.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Chats_and_Forums", "url": "http://theccorner.proboards.com/"} +{"d:Title": "The Leaky Cauldron", "d:Description": "Forum for the discussion of Hogwarts, the books, movies, theories and characters.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Chats_and_Forums", "url": "http://s3.zetaboards.com/leakycauldron/index/"} +{"d:Title": "J. K. Rowling on the Web", "d:Description": "An annotated directory of links arranged by category. Includes official sites, fan sites, articles and reviews, teacher sites, and literary criticism.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Directories", "url": "http://www.k-state.edu/english/nelp/rowling/"} +{"d:Title": "Harry Potter Directory", "d:Description": "Features links to games, music, forums, and other sites.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Directories", "url": "http://www.harrypotteronthenet.com/"} +{"d:Title": "The Totally Harry Potter SiteRing", "d:Description": "Webring open to all Potter-related sites.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Directories", "url": "http://pub4.bravenet.com/sitering/show.php?usernum=314640600"} +{"d:Title": "Edbydesign.com: Harry Potter", "d:Description": "Includes topics for children to read and contribute to.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.edbydesign.com/harrypotter/"} +{"d:Title": "Harry Potter Poetry", "d:Description": "A collection of rhyming tributes to Harry Potter and his friends.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.angelfire.com/nt/harrypotter/ffiction.html"} +{"d:Title": "Harry Potter's World of Magic", "d:Description": "Stories, poems and songs from various authors.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.angelfire.com/music/harrypotter/page2.html"} +{"d:Title": "Made For Each Other", "d:Description": "A George Weasley/Katie Bell ship site.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.angelfire.com/wizard2/katieandgeorge/"} +{"d:Title": "Magus Amor: The Harry Potter Archives", "d:Description": "A collection of fan works, such as art, fiction and poetry.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://hparchive.tripod.com/"} +{"d:Title": "Passive Lunacy", "d:Description": "Fan fiction submissions, fan art, and a shrine to R.J. Lupin.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.angelfire.com/clone/passive_lunacy/welcome.html"} +{"d:Title": "The Sugar Quill", "d:Description": "Includes editing guidelines, suggestion board, links to other Harry Potter sites, and a fan fiction archive, as well as a large writing community who are happy to suggest improvement.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.sugarquill.net/"} +{"d:Title": "Under the Lake Fics", "d:Description": "Offers fan fictions, activities, contests, and the option of joining and earning points for a Hogwarts House.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://underthelake.tripod.com/"} +{"d:Title": "PhoenixSong.net", "d:Description": "A varied source of fanfiction, updated daily.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.phoenixsong.net/"} +{"d:Title": "Sycophant Hex", "d:Description": "Divided into eight archives for all Harry Potter fan fiction categories.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.sycophanthex.com/"} +{"d:Title": "Ivory Gate of Dreams", "d:Description": "Tales from the world of Harry Potter. Membership required.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://groups.yahoo.com/group/Ivory_Gate_of_Dreams/"} +{"d:Title": "Phoenix Feathers RPG", "d:Description": "Harry Potter roleplay. Fan fiction writing with an emphasis on staying in genre. Database to manage all characters.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://phoenixfeathers.net/"} +{"d:Title": "The Lunasa Project", "d:Description": "Weblog detailing progress of 'Your Woman' by Lianhan.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.freewebs.com/lunasa/"} +{"d:Title": "harrypotterfanfiction.com", "d:Description": "Features a large collection of many genres of fan fiction, also features fan fiction based on character relationships.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.harrypotterfanfiction.com/"} +{"d:Title": "Albus Dumbledore Fanfiction Fanlisting", "d:Description": "Collection of many genres of Albus Dumbledore fan fiction.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://ensnaring.com/albusdumbledore/"} +{"d:Title": "Coffee and Chocolate", "d:Description": "Collection of canon, and relationship based fan fiction.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://coffee-and-chocolate.com/"} +{"d:Title": "Michael's Fiction Writing", "d:Description": "Features an re-adaptation of Harry Potter book seven.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://www.freewebs.com/michaelsfiction/"} +{"d:Title": "The Unknowable Room", "d:Description": "Features James and Lily, and Marauder era fan fiction, also features fanart, a wiki, and forums.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://unknowableroom.org/"} +{"d:Title": "FictionAlley", "d:Description": "Collection of novel-length fan fiction including romance, angst, and humorous stories.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Fiction", "url": "http://fictionalley.blogspot.com/"} +{"d:Title": "The Burrow", "d:Description": "Book summaries, rumors, and wonderings.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/rant/theburrow/"} +{"d:Title": "Potter Storm", "d:Description": "News and rumors, commentary, and general information about the Potter universe.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/me3/hpotter/"} +{"d:Title": "Hogwarts School of Witchcraft and Wizardry", "d:Description": "Rumors, roleplaying games, and movie news.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/hiphop/daysrover/"} +{"d:Title": "Hogwarts Tower of Time", "d:Description": "Provides Hogwarts information, character names, rumors and facts about the books and fan fiction links.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/rpg/towerhp/index.html"} +{"d:Title": "The Harry Potter Domain", "d:Description": "Book and movie news, a Hogwarts role play game and mythology behind the characters.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/tx5/harrypotterdomain/"} +{"d:Title": "Harry Potter World", "d:Description": "A list of foods and drinks and magical creatures in the books, a quiz, introductions to the places in the books, and Quidditch.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://harrypotterworld2.tripod.com/"} +{"d:Title": "The Magical World of Harry Potter", "d:Description": "Includes fan fiction, pictures, a chatroom, and message board.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://fenixfetherz.tripod.com/"} +{"d:Title": "Rowling's Magic", "d:Description": "Lists of the spells and the book titles in the books and a little RPG.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://rowlingmagic.stormpages.com/index.html"} +{"d:Title": "For Harry Potter Fans Everywhere", "d:Description": "Includes games, Harry Potter facts, movie information, fan fiction, and book summaries.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/ca7/harrypotterfans/"} +{"d:Title": "Harry Potter Freaks.com", "d:Description": "Information about the writing of Order of the Phoenix and a trivia.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.harrypotterfreaks.fanspace.com/Harrypage.html"} +{"d:Title": "Tealin's Harry Potter Headquarters", "d:Description": "Includes original artwork, dreams submitted by fans, a defence of Harry Potter against those who say it's evil, and an area devoted to Moony, Wormtail, Padfoot and Prongs.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://tearain.tripod.com/hp/"} +{"d:Title": "Hogwart's Hangout", "d:Description": "Contains news, movie stills, international book covers, and a spell list.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/film/hogwartshangout/"} +{"d:Title": "Harry Potter Fans Unite", "d:Description": "Character biographies and descriptions, quotes, book facts, and pictures.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/realm/ginnyweasley/"} +{"d:Title": "Mystical Magic", "d:Description": "Contains book information, facts, list of spells and beasts, movie pictures, and font download.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://mystical-magic.tripod.com/"} +{"d:Title": "Virtual Hogwarts", "d:Description": "Character biographies, images, information about the various houses, and rumors about upcoming books.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/nb/130/home.html"} +{"d:Title": "The Pheonix Feather", "d:Description": "Fan fiction, mistakes in the movie and books, and information on the Harry Potter series.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.thepheonixfeather72389.freewebspace.com/"} +{"d:Title": "DC's Harry Potter Illustrations", "d:Description": "Includes theories, name game, Harry Potter look-alikes corner, and a shrine dedicated to Sirius Black and Remus Lupin.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/art/illustratedhp/"} +{"d:Title": "Harry Potter and Christianity", "d:Description": "Collection of thoughts on the Harry Potter versus Christianity debate and findings concerning the supernatural in literature.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/realm2/oracleofdelphi/Harry1.htm"} +{"d:Title": "Arabella Figg's Hogwarts Express", "d:Description": "Features guides to the books, dictionaries on the series, and a detailed, illustrated biography of author J.K. Rowling.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/mi3/cookarama/"} +{"d:Title": "Crazy For Harry Potter", "d:Description": "Facts and rumors about the books, fan fiction, fan club, theories, and encyclopedia of details.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.angelfire.com/crazy/4potter/"} +{"d:Title": "Harry Potter for Muggles", "d:Description": "A list of the characters, presentation of Hogwarts and Quidditch, a list of some of the publishers and titles of the books in different countries, cast and crew of the movie and a piece of fan fiction.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://jackoweskla.tripod.com/"} +{"d:Title": "Embledore's Simpsons-Style Harry Potter Characters", "d:Description": "Characters from Harry Potter drawn as if they had been animated on TVs The Simpsons.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.cosmicduckling.com/ravenclaw/embledore"} +{"d:Title": "Emerald Spellbook, The", "d:Description": "Harry/Hermione fan page with fanart, fanfiction, and character descriptions", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://emeraldspellbook.planetmyhill.com/"} +{"d:Title": "sorting-hat.com", "d:Description": "Features knowledge tests of the first five books.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://sorting-hat.com/"} +{"d:Title": "Forums of Potter", "d:Description": "Features pictures, fan art, fan fiction, and a spell list.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.freewebs.com/forumsofpotter/"} +{"d:Title": "HarryPotterFans.com", "d:Description": "Fan site. Includes a collection of games and puzzles.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.harrypotterfans.com/"} +{"d:Title": "Magical-Menagerie", "d:Description": "Features news and information on the books, movies, and characters.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://www.magical-menagerie.com/"} +{"d:Title": "The Land of the Phoenix", "d:Description": "Contains rumors, book summaries, character information, and a quiz.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://celestial729.tripod.com/"} +{"d:Title": "The Wizards World", "d:Description": "Visit important places of Harry Potter`s world and become a student at Hogwarts .", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Fan_Pages", "url": "http://borginandburkes.beepworld.de/"} +{"d:Title": "Bodleian Library", "d:Description": "Some movies scenes filmed in this main research library of Oxford.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Locations", "url": "http://www.bodleian.ox.ac.uk/bodley"} +{"d:Title": "Durham Cathedral", "d:Description": "A brief building chronology of this movie scene.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Locations", "url": "https://www.durhamcathedral.co.uk/"} +{"d:Title": "Alnwick Castle", "d:Description": "Some Hogwarts scenes filmed in Northumberland.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Locations", "url": "http://www.alnwickcastle.com/"} +{"d:Title": "Ottery St Mary", "d:Description": "Book village of Ottery St Catchpole is based on this village.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Locations", "url": "http://www.eastdevon.net/map/ottery/index.htm"} +{"d:Title": "Harry Potter Rumors", "d:Description": "A list of rumors.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/News_and_Rumors", "url": "http://irad3.tripod.com/rumors.html"} +{"d:Title": "Catch The Snitch", "d:Description": "Harry Potter news and facts.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/News_and_Rumors", "url": "http://www.catchthesnitch.com/"} +{"d:Title": "SnitchSeeker.com :: Friends of Harry Potter", "d:Description": "Harry Potter Community with news, rumors, galleries, a role-playing school (that follows a storyline like the books), FanFiction, forums, chat, information, fun and games!", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/News_and_Rumors", "url": "http://www.snitchseeker.com/"} +{"d:Title": "Harry Potter And The Deathly Hallows", "d:Description": "News and rumours about book 7 with theories.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/News_and_Rumors", "url": "http://www.deathlyhallows.uv.ro/"} +{"d:Title": "CBBC - Harry Potter", "d:Description": "Harry Potter news from Children's BBC.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/News_and_Rumors", "url": "http://www.bbc.co.uk/newsround/13815245"} +{"d:Title": "Harry Potter, Culture, and Religion", "d:Description": "Scholarly articles and updates on Harry Potter and religious objections against the use of the Harry Potter books in public schools.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.cesnur.org/recens/potter_00.htm"} +{"d:Title": "Harry Potter: What Does God Have To Say", "d:Description": "A former Witch shares some concerns about the Harry Potter phenomena.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.lasttrumpetministries.org/tracts/tract7.html"} +{"d:Title": "Harry Potter: Satanic Godsend Mainstreaming Witchcraft", "d:Description": "Claims that J.K. Rowling's story line is innocent witchcraft are like Hollywood saying sex and violence on the screen have no effect on society.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.greaterthings.com/Lexicon/H/HarryPotter/"} +{"d:Title": "Bewitched by Harry Potter", "d:Description": "Berit Kjos's article on why he feels the new generation of children are far more vulnerable to deception than previous ones.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.crossroad.to/text/articles/Harry9-99.html"} +{"d:Title": "The True Danger of Your Child Reading The Harry Potter Books", "d:Description": "Christian site claiming the Potter books are non-fiction and teaches satanism and cannibalism.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.cuttingedge.org/news/hpboy-fade.html"} +{"d:Title": "Harry Potter Movie and Three Good Reasons to Avoid It", "d:Description": "Some brief objections to the Harry Potter phenomenon.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.ericbarger.com/potter.8.htm"} +{"d:Title": "Harry Potter and the Chamber of Secrets", "d:Description": "Review of the film. Leave your comments and write a review yourself.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://christiananswers.net/spotlight/movies/2002/harrypotterchamber.html"} +{"d:Title": "What About Harry Potter?", "d:Description": "Review of the books from a Christian perspective, for parents. An online tract that can be printed out.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.spiritwatch.org/Pottertract.pdf"} +{"d:Title": "Harry Potter Series - Deception By Storm", "d:Description": "Short article explaining why Christians should not buy these books.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.hstreasures.com/articles/harry_potter.html"} +{"d:Title": "Harry Potter Criticism", "d:Description": "Criticism from secular perspectives including comments from Le Guin, Byatt, Hensher, and Bloom.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://danny.oz.au/books/notes/harry-potter-criticism.html"} +{"d:Title": "I Hate Harry Potter", "d:Description": "Article critical of the Harry Potter series, with a forum for suggesting and discussing fantasy lit alternatives for children.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Opposing_Views", "url": "http://www.ihateharrypotter.com/"} +{"d:Title": "Parent's Guide to the Harry Potter Books", "d:Description": "Your kids are wild about Harry but you don't have a clue who he is. You can learn all about the Harry Potter books here.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Parents'_and_Teachers'_Guides", "url": "http://www.mdpub.com/hp/"} +{"d:Title": "Capturing the Magic of Harry Potter", "d:Description": "Features author biography, information on books and links to Potter sites.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Parents'_and_Teachers'_Guides", "url": "http://www.educationworld.com/a_books/books113.shtml"} +{"d:Title": "Harry Potter at Varsity Tutors", "d:Description": "Features links to games, math activities and teaching resources.", "topic": "Top/Arts/Literature/Children's/Authors/R/Rowling,_J._K./Harry_Potter_Series/Parents'_and_Teachers'_Guides", "url": "http://www.varsitytutors.com/englishteacher/rowling.html"} +{"d:Title": "Swope, Sam", "d:Description": "(Official site) Includes information about this New York City based author and teacher, his work in schools, sample essays, and book reviews.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://samswope.org/"} +{"d:Title": "Suhay, Lisa", "d:Description": "(Official site) Author of life-lesson fables. Includes biography, book reviews, and online stories.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://lisasuhay.tripod.com/"} +{"d:Title": "Shasha, Mark", "d:Description": "(Official site) An introduction to the work of Mark Shasha, author and illustrator of \"Night of the Moonjellies.\" Includes an online edition of the book, posters, and school programs.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.markshasha.com/"} +{"d:Title": "Shan, Darren", "d:Description": "(Official site) Includes information about Darren Shan's books, e-mail link, and newsletter.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.darrenshan.com/"} +{"d:Title": "Saltzberg, Barney", "d:Description": "(Official site) Provides biographical sketch of Barney Saltzberg, listing of works, and activities for kids. Also includes some of Saltzberg's artwork and music for kids.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://barneysaltzberg.com/"} +{"d:Title": "Shefelman, Janice and Tom", "d:Description": "(Official site) Includes book descriptions, illustrations, biographical sketches, and bookmaking techniques from the husband-wife team of Janice and Tom Shefelman.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.shefelmanbooks.com/"} +{"d:Title": "Siy, Alexandra", "d:Description": "(Official site) Author of non-fiction books. Contains brief biography, book reviews, information about school and library visits, and works in progress.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.alexandrasiy.com/"} +{"d:Title": "Sampson, Fay", "d:Description": "(Official site) Biography with portrait, hobbies, types of writing, book listing, and sources of ideas.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.faysampson.co.uk/"} +{"d:Title": "Smith, Greg Leitich", "d:Description": "(Official site) Fiction writer and author of \"Ninjas, Piranhas and Galileo\". Contain biography, book reviews, writing tips, and appearances information.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.gregleitichsmith.com/"} +{"d:Title": "Stock, Catherine", "d:Description": "(Official site) Author/illustrator of picture books. Best known for her multicultural books set in southern Africa.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.catherinestock.com/index.html"} +{"d:Title": "Sasek, Miroslav", "d:Description": "Devoted to the Czech author/illustrator, famous for the \"This is\" series of books. Features book and film reviews, details of his life, and biography.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.miroslavsasek.com/"} +{"d:Title": "Schwartz, Ellen", "d:Description": "(Official site) Information on the Canadian author and her books. Includes questions and answers, fun activities, and guides for teachers.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.members.shaw.ca/ellenschwartz/"} +{"d:Title": "Stewart, Melissa", "d:Description": "(Official site) Provides biographical information, information about school visits, and a list of book titles.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.melissa-stewart.com/"} +{"d:Title": "Sharp, N.L.", "d:Description": "Children's author and educational writing consultant.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.nlsharp.com/"} +{"d:Title": "Sanford, Jason", "d:Description": "Featuring the published literary and children's short stories, author's resume, biography, and photos.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.jasonsanford.com/"} +{"d:Title": "Schofield, Brent R.", "d:Description": "(Official site) Author of \"What The Cat Knows\" and \"Hairballs And Sticky Things\". Features book descriptions and biography.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://www.brsbooks.com/"} +{"d:Title": "Steig, William", "d:Description": "Featuring the books of children's author and illustrator William Steig. Includes biography, articles, and reviews.", "topic": "Top/Arts/Literature/Children's/Authors/S", "url": "http://us.macmillan.com/author/williamsteig"} +{"d:Title": "Books: Louis Sachar's Success Story", "d:Description": "Austin Chronicle article examines the national attention local author Louis Sachar is gaining.", "topic": "Top/Arts/Literature/Children's/Authors/S/Sachar,_Louis", "url": "http://www.austinchronicle.com/issues/vol18/issue26/books.sachar.html"} +{"d:Title": "The Political Dr. Seuss", "d:Description": "Examines Seuss's political views shaped by World War II and illustrated in his cartoons.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.tfaoi.com/aa/1aa/1aa291.htm"} +{"d:Title": "Featured Author: Dr. Seuss", "d:Description": "Featured author from Carol Hurst's children's literature newsletter.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.carolhurst.com/authors/drseuss.html"} +{"d:Title": "Dr. Seuss - Medicine Cabinet", "d:Description": "Includes pictures, information about Seuss, and books for sale.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.rivalquest.com/drseuss/"} +{"d:Title": "Dr. Seuss Theme Unit", "d:Description": "Includes biographical information, reading comprehension unit, story starters, puzzles, and printables.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.edhelper.com/DrSeuss.htm"} +{"d:Title": "LAauditions.com: Hollywood Success Story", "d:Description": "Information on the author's life.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.laauditions.com/bios/dr_seuss.htm"} +{"d:Title": "Independent Lens - The Political Dr. Seuss", "d:Description": "Film explores a little-known side of Dr. Seuss: his work as a political cartoonist and a champion of progressive ideals through children's books.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.pbs.org/independentlens/politicaldrseuss/"} +{"d:Title": "Dr. Seuss's Seussville", "d:Description": "Random House site for kids. Includes interactive games, contests, and information on Seuss and his works. [Flash]", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.seussville.com/"} +{"d:Title": "Dr. Seuss on the Web", "d:Description": "Index of links on Dr. Seuss websites, annotated and organized by category.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "http://www.k-state.edu/english/nelp/seuss/"} +{"d:Title": "Seuss in Springfiled", "d:Description": "Home of the writer in Springfield, Massachusetts, plus biography.", "topic": "Top/Arts/Literature/Children's/Authors/S/Seuss,_Dr.", "url": "https://www.seussinspringfield.org/"} +{"d:Title": "Anna Sewell - Black Beauty", "d:Description": "Free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Children's/Authors/S/Sewell,_Anna", "url": "http://www.classicbookshelf.com/library/Sewell/"} +{"d:Title": "Black Beauty by Anna Sewell", "d:Description": "Complete chapter-indexed hypertext and e-text from the Literature Project.", "topic": "Top/Arts/Literature/Children's/Authors/S/Sewell,_Anna", "url": "http://literatureproject.com/black-beauty/index.htm"} +{"d:Title": "Project Gutenberg Edition of Black Beauty", "d:Description": "Offers the entire text in one text or zip file.", "topic": "Top/Arts/Literature/Children's/Authors/S/Sewell,_Anna", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=271"} +{"d:Title": "Bibliomania: Black Beauty", "d:Description": "Starts with a short summary of the book. Offers the book one chapter at a time, dividing it into pages.", "topic": "Top/Arts/Literature/Children's/Authors/S/Sewell,_Anna", "url": "http://www.bibliomania.com/0/0/42/81/frameset.html"} +{"d:Title": "Black Beauty by Anna Sewell", "d:Description": "A searchable online version. Includes author information.", "topic": "Top/Arts/Literature/Children's/Authors/S/Sewell,_Anna", "url": "http://www.online-literature.com/anna-sewell/black-beauty/"} +{"d:Title": "West By Northwest.org: Black Beauty's Secrets: Anna Sewell and the Humane Treatment of Animals", "d:Description": "Tells of Sewell's background, why she wrote the book, and how it was received.", "topic": "Top/Arts/Literature/Children's/Authors/S/Sewell,_Anna", "url": "http://westbynorthwest.org/artman/publish/article_1177.shtml"} +{"d:Title": "Aaron's Storybook", "d:Description": "Retold and original stories by children's author Aaron Shepard.", "topic": "Top/Arts/Literature/Children's/Authors/S/Shepard,_Aaron", "url": "http://www.aaronshep.com/stories/"} +{"d:Title": "Author Online!", "d:Description": "\"Home page of award-winning American children's author Aaron Shepard. Features numerous multicultural resources for educators, librarians, storytellers, children's writers, parents, and young people. Includes free reader's theater scripts of Aaron's folktale retellings.\"", "topic": "Top/Arts/Literature/Children's/Authors/S/Shepard,_Aaron", "url": "http://www.aaronshep.com/"} +{"d:Title": "Shel Silverstein Tribute", "d:Description": "Kim Monroe's fan site, including Shel's biography, photos, poems, and multi-media.", "topic": "Top/Arts/Literature/Children's/Authors/S/Silverstein,_Shel", "url": "http://www.angelfire.com/anime2/mya2000/shel/"} +{"d:Title": "Shel Silverstein", "d:Description": "A collection of information related to Silverstein's life. Includes biography, literature, and music.", "topic": "Top/Arts/Literature/Children's/Authors/S/Silverstein,_Shel", "url": "http://en.wikipedia.org/wiki/Shel_Silverstein"} +{"d:Title": "Shel Silverstein", "d:Description": "Focuses primarily on Silverstein's writings for adults.", "topic": "Top/Arts/Literature/Children's/Authors/S/Silverstein,_Shel", "url": "http://shelsilverstein.tripod.com/"} +{"d:Title": "Ink Nineteen: Shel Silverstein", "d:Description": "Obituary focuses on Silverstein's dual roles as a children's author and counter-culture icon.", "topic": "Top/Arts/Literature/Children's/Authors/S/Silverstein,_Shel", "url": "http://ink19.com/1999/06/magazine/features/shel-silverstein"} +{"d:Title": "ML Author Spotlight -- Elizabeth George Speare", "d:Description": "A brief introduction to Speare's writings.", "topic": "Top/Arts/Literature/Children's/Authors/S/Speare,_Elizabeth_George", "url": "http://www.classzone.com/novelguides/authors/speare.cfm"} +{"d:Title": "Elizabeth George Speare", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/Children's/Authors/S/Speare,_Elizabeth_George", "url": "http://www.penguinrandomhouse.com/authors/29220/elizabeth-george-speare/"} +{"d:Title": "Spinelli, Jerry", "d:Description": "Author's official site. Features pictures, biography, overview of his books, news, schedule, and fun stuff.", "topic": "Top/Arts/Literature/Children's/Authors/S/Spinelli,_Jerry", "url": "http://www.jerryspinelli.com/"} +{"d:Title": "Playing Heidi", "d:Description": "An online column by Australian writer Carmel Bird. Focuses on the debate between two Swiss cities, both of which want to claim Heidi as their own.", "topic": "Top/Arts/Literature/Children's/Authors/S/Spyri,_Johanna", "url": "http://www.carmelbird.com/col08.html"} +{"d:Title": "Heidi", "d:Description": "Complete online text of the book, along with downloadable e-text, and a discussion group.", "topic": "Top/Arts/Literature/Children's/Authors/S/Spyri,_Johanna", "url": "http://www.learnlibrary.com/book/spyri/heidi/index.htm"} +{"d:Title": "Johanna Spyri - Heidi", "d:Description": "Free to read online with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/Children's/Authors/S/Spyri,_Johanna", "url": "http://www.classicbookshelf.com/library/Spyri/"} +{"d:Title": "January Magazine", "d:Description": "Rebel of Dark Creek reviewed by Linda Richards.", "topic": "Top/Arts/Literature/Children's/Authors/T/Tate,_Nikki", "url": "http://www.januarymagazine.com/kidsbooks/rebel.html"} +{"d:Title": "Work In Progress", "d:Description": "Nikki Tate's blog, includes pictures of her horse, events in her life, and tells about upcoming book tours and current projects.", "topic": "Top/Arts/Literature/Children's/Authors/T/Tate,_Nikki", "url": "http://nikkitate.blogspot.com/"} +{"d:Title": "Uncle Hyggly", "d:Description": "Author of \"Mr. Gonopolis And His 12 Holsteins\" and \"Subordinate Claus, Santa's Younger Brother.\"", "topic": "Top/Arts/Literature/Children's/Authors/U", "url": "http://www.woundedcoot.com/"} +{"d:Title": "Vald, Charles", "d:Description": "(Official site) Contains biography, information on his books, online free story and games, and writer tips.", "topic": "Top/Arts/Literature/Children's/Authors/V", "url": "http://www.charlesvald.com/"} +{"d:Title": "Van Leeuwen, Jean", "d:Description": "(Official site) Introduces the author and her works. Includes biography, interview, book listing and short description of her latest work.", "topic": "Top/Arts/Literature/Children's/Authors/V", "url": "http://www.jeanvanleeuwen.com/"} +{"d:Title": "Van Draanen, Wendelin", "d:Description": "(Official site) Dedicated to her Sammy Keyes mystery books. Contains Sammy's song, interview, short book reviews, mystery game, and the author's biography.", "topic": "Top/Arts/Literature/Children's/Authors/V", "url": "http://www.randomhousekids.com/brand/sammy-keyes/"} +{"d:Title": "Van Allsburg, Chris", "d:Description": "(Official site) Caldecott Medal award-winning children's book author and illustrator of The Polar Express, Jumanji, and The Garden of Abdul Gasazi.", "topic": "Top/Arts/Literature/Children's/Authors/V", "url": "http://hmhbooks.com/chrisvanallsburg/"} +{"d:Title": "Chris Van Allsburg", "d:Description": "Includes biographical sketch, book reviews, and Van Allsburg's answers to common questions.", "topic": "Top/Arts/Literature/Children's/Authors/V/Van_Allsburg,_Chris", "url": "http://www.eduplace.com/kids/hmr/mtai/vanallsburg.html"} +{"d:Title": "Jumanji by Chris Van Allsburg", "d:Description": "Book review, discussion suggestions, classroom activities and related books.", "topic": "Top/Arts/Literature/Children's/Authors/V/Van_Allsburg,_Chris/Works", "url": "http://www.carolhurst.com/titles/jumanji.html"} +{"d:Title": "Alexander and the Terrible, Horrible, No Good, Very Bad Day", "d:Description": "A short biography of Viorst, along with her answers to questions about Alexander and the Terrible, Horrible, No Good, Very Bad Day. Includes a link to hear Viorst read an excerpt from the book.", "topic": "Top/Arts/Literature/Children's/Authors/V/Viorst,_Judith", "url": "http://www.kennedy-center.org/programs/family/alexander/author.html"} +{"d:Title": "Willis, Nancy Carol", "d:Description": "(Official site) Children's author and illustrator Nancy Carol Willis displays her works about nature on this site.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.nancycarolwillis.com/"} +{"d:Title": "Whitman, Candace", "d:Description": "(Official site) Provides information about author Candace Whitman, book listing, previews, and pages for children.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.candacewhitman.com/"} +{"d:Title": "Wick, Walter", "d:Description": "Photographer of the \"I Spy\" series which include games, puzzles, science and illusion.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.walterwick.com/"} +{"d:Title": "Wong, Janet S.", "d:Description": "(Official site) Author Janet Wong reads selections from her children's works aloud. Site also provides information about the author, her books, school visits, and appearances.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.janetwong.com/"} +{"d:Title": "Wadsworth, Ginger", "d:Description": "(Official site) Ginger Wadsworth is the author of biographies and nature-related books. Site includes links for each book subject, teacher tips, and school visit information.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.gingerwadsworth.com/"} +{"d:Title": "Woodruff, Elvira", "d:Description": "Includes Elvira Woodruff's biography, news, a complete book list, and tips for teachers and young writers.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://ewoodruff.com/"} +{"d:Title": "Welch, Keith", "d:Description": "Author of children's and adult thriller fiction, especially historic children's fiction set at English Heritage venues.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.keithwelch.co.uk/"} +{"d:Title": "Woelfle, Gretchen", "d:Description": "(Official site) Author of fiction and non-fiction books. Includes biography, book reviews, and information on school talks.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.gretchenwoelfle.com/"} +{"d:Title": "Wolfson, Evelyn", "d:Description": "(Official site) Biography and information on her non-fiction books about Native Americans, mythology, and how-to's.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://evelynwolfson.com/"} +{"d:Title": "Wayland, April Halprin", "d:Description": "Teacher, librarian, and young writer resources; illustrations; and a full text selections of the author's books.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.aprilwayland.com/"} +{"d:Title": "West, Colin", "d:Description": "(Official site) Poems and stories by the children's author/illustrator. Also includes photos and biographical information.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.colinwest.com/"} +{"d:Title": "Welch, Willy", "d:Description": "Includes brief biography of children's author and singer/songwriter Willy Welch, description of works, and contact information. Welch is best known for his song and picture book \"Playing Right Field.\"", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.misterwilly.com/"} +{"d:Title": "Woodford, Peggy", "d:Description": "Author of books for children, teenagers, and adults. Information on individual books and the author are included.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.peggywoodford.com/"} +{"d:Title": "Wiesner, David", "d:Description": "Author's official page at Houghton Mifflin Books. Complete list of titles, biography, interviews, and the creative process.", "topic": "Top/Arts/Literature/Children's/Authors/W", "url": "http://www.hmhbooks.com/wiesner/"} +{"d:Title": "Charlotte's Web", "d:Description": "Site developed by second-grade class about Charlotte's Web. Includes chapter summaries and interactive quizzes.", "topic": "Top/Arts/Literature/Children's/Authors/W/White,_E._B.", "url": "http://www2.lhric.org/pocantico/charlotte/index.htm"} +{"d:Title": "Yee, Tammy", "d:Description": "(Official site) Provides information about author/illustrator Tammy Yee and her works. Includes excerpts from books and a page of activities for children.", "topic": "Top/Arts/Literature/Children's/Authors/Y", "url": "http://www.tammyyee.com/"} +{"d:Title": "Children's Book Awards", "d:Description": "The Children's Literature Web Guide's index of various international, English-language, children's book awards. Winners and links to official websites. Links to other awards sites.", "topic": "Top/Arts/Literature/Children's/Awards_and_Bestsellers", "url": "http://www.ucalgary.ca/~dkbrown/awards.html"} +{"d:Title": "The Red Cedar Book Awards", "d:Description": "British Columbia's \"Young Reader's Choice\" book award, for fiction and non-fiction, launched annually during Canadian Children's Book Week. Nominations and selection of winners are by students in grades 4 to 7. Information on the award, winners, books and authors.", "topic": "Top/Arts/Literature/Children's/Awards_and_Bestsellers", "url": "http://www.redcedaraward.ca/"} +{"d:Title": "Maud Hart Lovelace Book Award", "d:Description": "Minnesota award for recent North American juvenile fiction. List of nominees compiled by library representatives. Children who have read at least three of the books suggested for their grade level can vote on their favorites. Nominees and winners, explanation of the procedure.", "topic": "Top/Arts/Literature/Children's/Awards_and_Bestsellers", "url": "http://www.maudhartlovelace.org/"} +{"d:Title": "Society of Children's Book Writers and Illustrators", "d:Description": "Annual awards and grants to children's book authors and illustrators, for fiction, non-fiction, poetry, illustration and picture books (and for magazine work). Information on the awards and entry requirements, links to winners lists.", "topic": "Top/Arts/Literature/Children's/Awards_and_Bestsellers", "url": "http://www.scbwi.org/Pages.aspx/Introduction"} +{"d:Title": "Boston Globe-Horn Book Awards", "d:Description": "Given annually for excellence in children's/young adult literature - fiction, poetry, non-fiction and picture book. Winners, guidelines, reviews, articles, and other related resources.", "topic": "Top/Arts/Literature/Children's/Awards_and_Bestsellers", "url": "http://www.hbook.com/boston-globe-horn-book-awards/"} +{"d:Title": "The Seckatary Hawkins Club", "d:Description": "The Fair and Square Club of Seckatary Hawkins was started in the 1920's by Robert F. Schulkers - based on the 11 novels, newspaper comic strips and weekly stories. Good, clean fun for boys and girls of all ages.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.seckatary.com/"} +{"d:Title": "Trailblazer Books", "d:Description": "Introduces the action-packed historical fiction series by Dave and Neta Jackson, which introduces young people to Christian heroes. Includes downloadable short stories and a look at new titles.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://trailblazerbooks.com/"} +{"d:Title": "The Freddy the Pig Home Page", "d:Description": "A site devoted to the classic Freddy the Pig series of children's books and their author, Walter R. Brooks.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.freddythepig.org/"} +{"d:Title": "Jennings by Anthony Buckeridge", "d:Description": "Devoted to the school days of Jennings and Darbishire at Linbury Court School. Includes bibliography, author information and members-only community section.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.linbury-court.co.uk/"} +{"d:Title": "Welcome Babar Fans", "d:Description": "Online museum displaying the works of Jean and Laurent de Brunhoff and products that celebrate them.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.angelfire.com/art/babar/"} +{"d:Title": "Freddy the Pig, by Walter R. Brooks", "d:Description": "A personal appreciation page, with plot descriptions and cover scans for each book in the series.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://members.tripod.com/~jill_morgan/freddy.html"} +{"d:Title": "The Hourglass Adventures", "d:Description": "Follow Rosemary Rita back in time to explore history in this entertaining series for children and young teens.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.winslowpress.com/hourglassadventures"} +{"d:Title": "Grandma Rose Children's Books", "d:Description": "Interactive activities based on books that help children deal with teasing and put-downs.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.grandmarose.com/"} +{"d:Title": "The Secrets of the Three Investigators", "d:Description": "This website is devoted to collecting, preserving and restoring Three Investigators books published in the United States from 1964 to the present.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.tunneltwo.com/secrets"} +{"d:Title": "Dear Mr. President", "d:Description": "Learn American history through letter correspondence between children and the most famous Presidents of America.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.winslowpress.com/dearmrpresident"} +{"d:Title": "The Lemony Site", "d:Description": "Fan site for \"A Series of Unfortunate Events\" books by Lemony Snicket (aka Daniel Handler).", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://whoswhohp.tripod.com/lemony/index.html"} +{"d:Title": "Trixie Belden Homepage", "d:Description": "Overview of the series, character guide, book summaries, nitpicks, fan fiction, and message board.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://barbln.org/trixie/"} +{"d:Title": "Viking Magic fantasy series by Anna Ciddor", "d:Description": "The secrets behind the making of Viking Magic (publisher Allen and Unwin): read excerpts from Runestone, see how the pictures were made, write to the author, discover more about Vikings.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.viking-magic.com/"} +{"d:Title": "The Judy Bolton Home Page", "d:Description": "Provides information on the Judy Bolton series and the author, Margaret Sutton.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.judybolton.com/"} +{"d:Title": "T.I.M.M.-E. Company, Inc.", "d:Description": "The primary purpose of the \"We Are All The Same Inside\" line of books is to help young learners understand tolerance while exploring the various aspects of diversity.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://weareallthesameinside.com/"} +{"d:Title": "The Three Investigators U.S. Editions Collector's Site.", "d:Description": "Edition information, historical information, author/artist interviews, biographies and photos.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://threeinvestigatorsbooks.homestead.com/"} +{"d:Title": "Johns, W.E.", "d:Description": "Detailed information about the complete works of children's author, Captain W.E. Johns. Features story summaries for all 98 of his Biggles books as well as information on his other works.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.biggles.info/"} +{"d:Title": "Orange Forest Rabbit", "d:Description": "Meet the Orange Forest Rabbit and his family. Solve a Rainforest find-a-word, learn Hopalong's secret code, and download a free ebook.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.simegen.com/writers/rabbit"} +{"d:Title": "Billabong Valley", "d:Description": "Official site for the Billabong Valley Books. Contains games, activities, writing tips for kids and lesson plans and resources for teachers.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.billabongvalley.com/"} +{"d:Title": "Captain W. E. Johns: the Creator of Biggles", "d:Description": "Information about all of the books written by Captain W. E. Johns (1893 - 1968) - including the Biggles books.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.wejohns.com/"} +{"d:Title": "Arthur: The World's Most Famous Aardvark", "d:Description": "Developed with the help and advice of Arthur creator Marc Brown. Site is organized into pages hosted by the series' character. Activities encourage kids to read and write.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://pbskids.org/arthur/"} +{"d:Title": "19th Century Girls Series", "d:Description": "Features author biographies and bibliographies of 19th-century series books. Includes excerpts from early books and articles related to authors and their works.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://readseries.com/"} +{"d:Title": "Girls' Series Books", "d:Description": "This site provides cover art galleries and summaries for older girls' series books such as Beverly Gray, Nancy Drew, and Connie Blair.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.series-books.com/"} +{"d:Title": "The Barker Boys And The Great Stone Of Gwondala", "d:Description": "The first adventure in a series of ebooks about two brothers transported to the land of the dinosaurs through a time-hole in a bedroom closet. by C.J. Williams.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://members.shaw.ca/barkerboys/"} +{"d:Title": "Magic Tree House", "d:Description": "Includes activities, author interview, research guides, teacher resources and a reader's and writer's club.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.magictreehouse.com/"} +{"d:Title": "Junie B. Jones", "d:Description": "Provides information about the books, activities, author interview and a trivia quiz.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://juniebjones.com/"} +{"d:Title": "The Magic School Bus Fun Place", "d:Description": "Official site features games, art contests, card factory, sneak peaks at new books, and answers to frequently asked questions. Also includes resources for parents and teachers.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.scholastic.com/magicschoolbus/"} +{"d:Title": "Sesame Street Books", "d:Description": "Provides fun activities and information about the books.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "http://www.randomhousekids.com/brand/sesame-street/books/"} +{"d:Title": "The Great Cheese Squeeze: A Gruntly and Iggy Adventure", "d:Description": "Dedicated to first book in the children's book series about Gruntly the mouse and Iggy the frog.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books", "url": "https://itunes.apple.com/us/book/the-great-cheese-squeeze/id488783214?mt=11"} +{"d:Title": "Ann M. Martin: The Baby-Sitters Club", "d:Description": "Scholastic's author page includes checklist of the series' books, baby-sitting tips and photos from the farewell party.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Baby-Sitters_Club,_The", "url": "http://www.scholastic.com/annmartin/bsc/"} +{"d:Title": "Book-A-Minute Bedtime: The Baby Sitters Club Series", "d:Description": "Humorous \"condensed version\" of the books.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Baby-Sitters_Club,_The", "url": "http://rinkworks.com/bookaminute/b/martin.babysitters.shtml"} +{"d:Title": "BSC Headquarters", "d:Description": "Weblog by an adult re-reading all of the books in the series.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Baby-Sitters_Club,_The", "url": "http://claudiasroom.blogspot.com/"} +{"d:Title": "Scholastic Graphix: The Baby-Sitters Club", "d:Description": "Information on Raina Telgemeier's graphic-novel adaptations, including sample pages, personality quiz and information on the artist and the author.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Baby-Sitters_Club,_The", "url": "http://www.scholastic.com/bscgraphix/"} +{"d:Title": "Scholastic Canada: The Baby-Sitters Club", "d:Description": "Character profiles, trivia quiz, catalog of books and related merchandise.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Baby-Sitters_Club,_The", "url": "http://scholastic.ca/titles/thebabysittersclub/"} +{"d:Title": "Who Wrote the Bobbsey Twins?", "d:Description": "Tells who wrote various volumes in the series, who rewrote some of the earlier ones to update them, and gives dates of publication of the various titles. Includes some bookcovers and author photos.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Bobbsey_Twins", "url": "http://www.keeline.com/BobbseyWriters.html"} +{"d:Title": "The Story of the Bobbsey Twins", "d:Description": "Comments include some history, some inconsistencies in the books, and dates of revisions.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Bobbsey_Twins", "url": "http://pw1.netcom.com/~drmike99/aboutbobbsey.html"} +{"d:Title": "The Bobbsey Twins At School", "d:Description": "Book by Laura Lee Hope. Html format, includes some pictures.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Bobbsey_Twins/ETexts", "url": "http://www.worldwideschool.org/library/books/youth/bobbseytwins/TheBobbseyTwinsAtSchool/toc.html"} +{"d:Title": "The Bobbsey Twins in the Country", "d:Description": "World Wide School presents the text of this book and includes some simple black and white graphics.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Bobbsey_Twins/ETexts", "url": "http://www.worldwideschool.org/library/books/youth/bobbseytwins/TheBobbseyTwinsintheCountry/Chap1.html"} +{"d:Title": "ClassicReader.com: The Bobbsey Twins in the Country", "d:Description": "Etext on html pages.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Bobbsey_Twins/ETexts", "url": "http://www.classicreader.com/book/135/"} +{"d:Title": "ClassicReader.com : The Bobbsey Twins at Meadow Brook", "d:Description": "Etext in html format.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Bobbsey_Twins/ETexts", "url": "http://www.classicreader.com/book/2012/"} +{"d:Title": "Hardy Detective Agency", "d:Description": "Fan site with information about the books and Hardy Boys fan fiction.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://www.hardydetectiveagency.com/"} +{"d:Title": "Hardy Boys Encyclopedia", "d:Description": "Includes cover scans, character appearances, locations guide, forums, book summaries, and site updates. Also includes information on the Hardy Boys: Undercover Brothers series.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://www.hardyboyscasefiles.com/"} +{"d:Title": "Who Wrote the Hardy Boys?", "d:Description": "Examines the individuals who outlined, wrote, edited, and revised each book in the series under the collective pen name of Franklin W. Dixon.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://www.keeline.com/Hardy_Boys.pdf"} +{"d:Title": "Remembering Hardy Boys author Leslie McFarlane", "d:Description": "CBC audio interview with the original Hardy Boys author, Leslie McFarlane.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://archives.cbc.ca/400d.asp?id=1-68-292-1543-20&wm6=1"} +{"d:Title": "Journal of the Hardy Boys Literary Society", "d:Description": "Features many tongue-in-cheek articles about the Hardy Boys.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://hbls.blogspot.com/"} +{"d:Title": "Quizzes: Hardy Boys", "d:Description": "Fan-created tests of knowledge about the books and characters.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://www.funtrivia.com/quizzes/for_children/books_by_series/hardy_boys.html"} +{"d:Title": "The Hardy Boys Unofficial Online Resource", "d:Description": "Features character profiles, articles, series history, reference books, title checklist, polls, a FAQ, and a record of Frank and Joe's travels.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://www.hardyboysonline.net/"} +{"d:Title": "The Hardy Boys Unofficial Home Page", "d:Description": "Provides details of books and television shows, as well as FAQ, cover art scans, message board, and the Bayport Times newsletter.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Hardy_Boys,_The", "url": "http://hardyboys.us/"} +{"d:Title": "Moominworld", "d:Description": "Information about the Moominworld theme park in Naantali, Finland. Requires javascript and shockwave.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "http://www.muumimaailma.fi/"} +{"d:Title": "Muumi's Dreamworld", "d:Description": "Information about the Moomins and Tove Jansson, with links to information about the books, merchandise and theme parks. Provides images and backgrounds for downloading.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "http://www.stienen.nl/john/muumi.html"} +{"d:Title": "Moomin Characters Gallery", "d:Description": "Gallery of Japanese phone cards with images of Moomin characters.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "http://personal.inet.fi/private/simo.erola/MG.HTM"} +{"d:Title": "Moominvalley Mailing List", "d:Description": "A mailing list for the discussion of all things related to the Moomin characters created by Tove Jansson.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "http://groups.yahoo.com/group/moominvalley/"} +{"d:Title": "The Moomin Trove: Collecting Tove Jansson and The Moomins", "d:Description": "Site devoted to a collection of Tove Jansson / Moomin books, listing international editions, bibliographies, news and related sites.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "http://www.moomintrove.com/"} +{"d:Title": "Moomin Characters Oy Ltd", "d:Description": "The official copyright holders of the Moomin characters. Presentation of the main characters and copyright information. [Finnish, Swedish and English]", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "https://www.moomin.com/"} +{"d:Title": "Save the Moomins!", "d:Description": "A campaign to oppose the banning of the Moomins on the Internet.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "http://moomins.tripod.com/index.html"} +{"d:Title": "WSU: Moomin Character Guide", "d:Description": "This page is a guide to all the characters from the Moomintroll books by Finnish author Tove Jansson. The guide includes information on all the creatures of Moominvalley, including their relationships with other characters, their first appearance, a list of books in which they appear, and their pictures.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Moomintroll", "url": "https://research.wsulibs.wsu.edu:8443/xmlui/handle/2376/1535"} +{"d:Title": "Nancy Drew Sleuth", "d:Description": "Designed for fans and collectors. Provides information on this plucky sleuth including history, books, formats and pictures.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Nancy_Drew", "url": "http://www.nancydrewsleuth.com/"} +{"d:Title": "Mildred A. Wirt Benson", "d:Description": "Fan site for Millie Benson known to many as \"Carolyn Keene\" for her popular Nancy Drew books. Features biography, works, archives, and the history behind her pen name.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Nancy_Drew", "url": "http://www.nancydrewsleuth.com/mildredwirtbenson"} +{"d:Title": "Who Wrote Nancy Drew?", "d:Description": "Discusses the individuals who outlined, wrote, edited, and revised each book in the series under the collective pen name of Carolyn Keene.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Nancy_Drew", "url": "http://www.keeline.com/Nancy_Drew.pdf"} +{"d:Title": "Nancy Drew Books and Games", "d:Description": "(Mystery Net) Introduction to the author and Carolyn Keene. Information on books and games, discussion forums.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Nancy_Drew", "url": "http://nancy-drew.mysterynet.com/"} +{"d:Title": "The Unofficial Nancy Drew Home Page", "d:Description": "Lists the books in the various series, and their year of publication.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Nancy_Drew", "url": "http://nancydrew.info/"} +{"d:Title": "Beyond Nancy Drew", "d:Description": "An annotated bibliography of books for girls written in the last two hundred years which reflect the roles for girls considered proper at the time.", "topic": "Top/Arts/Literature/Children's/Children's_Series_Books/Nancy_Drew", "url": "http://guides.library.duke.edu/beyondnancydrew"} +{"d:Title": "Dreams of Space", "d:Description": "Gives an illustrated history of space art between 1883 and 1974 found in children's books of the period.", "topic": "Top/Arts/Literature/Children's/Children's_Space_Books", "url": "http://dreamsofspace.nfshost.com/"} +{"d:Title": "Readers Read: Author Directory", "d:Description": "A directory of children's authors broken down by genre.", "topic": "Top/Arts/Literature/Children's/Directories", "url": "http://www.readersread.com/authors/"} +{"d:Title": "Authors and Illustrators Who Visit Schools", "d:Description": "A listing of children's authors and illustrators who make school visits, with contact information included.", "topic": "Top/Arts/Literature/Children's/Directories", "url": "http://www.authorsillustrators.com/"} +{"d:Title": "Literature Associations on the Internet", "d:Description": "An alphabetical listing of associations that deal with Children's Literature.", "topic": "Top/Arts/Literature/Children's/Directories", "url": "http://www.acs.ucalgary.ca/~dkbrown/assoc.html"} +{"d:Title": "Just So Stories", "d:Description": "Illustrated e-text of Just So Stories, written by Rudyard Kipling and published in 1902.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://www.boop.org/jan/justso/"} +{"d:Title": "Treasure Island", "d:Description": "Complete text online plus loads of information about the author, characters, and pirates.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://www.ukoln.ac.uk/services/treasure/"} +{"d:Title": "Aladdin and the Wonderful Lamp", "d:Description": "Free HTML EText of the classic story.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://www.pagebypagebooks.com/Unknown/Aladdin_and_the_Wonderful_Lamp/Aladdin_and_the_Wonderful_Lamp_p1.html"} +{"d:Title": "The Velveteen Rabbit", "d:Description": "Full text of the book by Margery Williams with illustrations by William Nicholson. Originally published in 1922.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://digital.library.upenn.edu/women/williams/rabbit/rabbit.html"} +{"d:Title": "The Adventures of Pinocchio", "d:Description": "E-text of the story by C. Collodi.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://www.pagebypagebooks.com/C_Collodi/The_Adventures_of_Pinocchio/"} +{"d:Title": "Action and Adventure Stories for Children", "d:Description": "Online illustrated children's stories.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://www.westerntour.com/Drum/story"} +{"d:Title": "American Sunday School Books Digitization Project", "d:Description": "Michigan State University Libraries Digital Sources Center and the Central Michigan University Libraries proposal to digitize 121 American Sunday School books.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://digital.lib.msu.edu/projects/ssb/"} +{"d:Title": "ICDL - International Children's Digital Library", "d:Description": "An online collection representing outstanding historical and contemporary children's books from around the world.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://childrenslibrary.org/"} +{"d:Title": "Children's Books Online: the Rosetta Project, Inc.", "d:Description": "Children's Books Online: the Rosetta Project is the largest collection of illustrated antique children's books on-line.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://www.childrensbooksonline.org/"} +{"d:Title": "The Secret Garden", "d:Description": "Complete text of Burnett's work online.", "topic": "Top/Arts/Literature/Children's/Online_Books", "url": "http://www.pagebypagebooks.com/Frances_Hodgson_Burnett/The_Secret_Garden/"} +{"d:Title": "The Sleeping Beauty and Other Fairy Tales", "d:Description": "Online publication of the 1910 edition of the classic by Arthur Quiller-Couch. Includes Sleeping Beauty, Blue Beard, Cinderella, and Beauty and the Beast.", "topic": "Top/Arts/Literature/Children's/Online_Books/Fairy_Tales", "url": "http://www.bartleby.com/76/"} +{"d:Title": "Cinderella and other Stories", "d:Description": "Free HTML text of 51 timeless tales.", "topic": "Top/Arts/Literature/Children's/Online_Books/Fairy_Tales", "url": "http://pagebypagebooks.com/Unknown/Cinderella_or_The_Little_Glass_Slipper_and_other_Stories/"} +{"d:Title": "The History of Tom Thumb", "d:Description": "Complete on-line text of the classic children's story, as well as complete text of The Cat and the Mouse and Fire, Fire, Burn Stick. All stories also available for download.", "topic": "Top/Arts/Literature/Children's/Online_Books/Fairy_Tales", "url": "http://literatureproject.com/history-tom-thumb/index.htm"} +{"d:Title": "Notes From the Windowsill", "d:Description": "Summaries and descriptions of classics, with links to buy.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.armory.com/~web/notes.html"} +{"d:Title": "Spaghetti Book Club", "d:Description": "Offers database of book descriptions written and illustrated by children. Schools participate by purchasing membership.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.spaghettibookclub.org/"} +{"d:Title": "Tracy's Book Nook: Children's Books", "d:Description": "Short reviews of books deemed relevant to Christians by the reviewer.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.tracysbooknook.com/childrens_books/childrens_books.html"} +{"d:Title": "Mrs. Mad's Book-a-Rama", "d:Description": "Summaries of books, including style dissection and plot breakdown, and options to purchase.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.mrsmad.com/"} +{"d:Title": "Doug's Reading Corner: Literature Reviews", "d:Description": "Features information targeting parents and educators on current children's books, including Newbery and Caldecott winners. Also provides links to learn about grammar, learning braille, and reading analysis.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://dougsreadingcorner.tripod.com/"} +{"d:Title": "Second Star To the Right: Bookworms", "d:Description": "Books summaries written up until 2001, author links, and book jacket pictures.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.secondstartotheright.com/"} +{"d:Title": "Children's Literature", "d:Description": "Offers reviews and critiques of a broad selection of children's books. Presents an annual list of 150 outstanding books, and a selection of author profiles, themed reviews and teaching aids. Links to various literary resources, other book lists and awards, and to the CLCD database. Portions of the site are fee-based.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.childrenslit.com/"} +{"d:Title": "Science in Kids' Books", "d:Description": "Children's space and science fiction books summarized and rated based on scientific authenticity by former NASA Flight Controller Marianne Dyson.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://mariannedyson.com/spacebooks.htm"} +{"d:Title": "Children's Bookwatch", "d:Description": "Features recent and past articles published in Midwest Book Review's monthly publication for community and academic libraries.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.midwestbookreview.com/cbw/index.htm"} +{"d:Title": "Story Time Reviews", "d:Description": "Children recommend books and write summaries to be included in database on site of children's book author Ken Baker. Updated monthly.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.bravemonster.com/current_kidreview.htm"} +{"d:Title": "Education Oasis Book Reviews", "d:Description": "Browse by title or author to find children's book information based on genre, reading level, author, and illustrator.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.educationoasis.com/ch_book_reviews/childrens_book_reviews.htm"} +{"d:Title": "Capitol Choices: Noteworthy Books for Children", "d:Description": "Recommendations by librarians, teachers, booksellers, children's literature specialists, and magazine editors in the Washington, D.C. area.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.capitolchoices.org/"} +{"d:Title": "Agate Books", "d:Description": "Summaries of classics from preschool to young adult, with RSS feed and links toward purchase.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.agatebooks.com/index.html"} +{"d:Title": "Kidsreads", "d:Description": "For kids and parents, features a vast book database, contests, articles, author news and links, and many lists, including of newly published books.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.kidsreads.com/"} +{"d:Title": "The Kiddosphere", "d:Description": "A youth services weblog that offers book, CD, and DVD reviews, as well as other reader resources. A project of the Fauquier County Public Library.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://kiddosphere.blogspot.com/"} +{"d:Title": "Curled Up with a Good Kid's Book", "d:Description": "A small database of book descriptions arranged by age and genre.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.curledupkids.com/"} +{"d:Title": "Teen Ink Book Reviews", "d:Description": "More than 700 teen-written book reviews collected by Teen Ink magazine.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.teenink.com/Books/"} +{"d:Title": "KidsBookshelf", "d:Description": "Provides numerous book descriptions, contests, tips for parents and teachers, author links, book purchase options, and invites and posts kids writing.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.kidsbookshelf.com/"} +{"d:Title": "Bookhunger", "d:Description": "Written by a home-schooling mother of two, the site reviews family-oriented books.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.bookhunger.blogspot.com/"} +{"d:Title": "DaddyRead", "d:Description": "A short collection of preschool-to-early chapter books, linked for purchase, as well as advice for parents on reading aloud to children.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.daddyread.com/"} +{"d:Title": "The Inter-Galactic Playground", "d:Description": "Reports, reviews and discussions about children's literature, in particular science fiction for children, by literature critic Farah Mendlesohn.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://farah-sf.blogspot.com/"} +{"d:Title": "Building Rainbows", "d:Description": "Comments and rankings by young adults on young adult books.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.buildingrainbows.com/"} +{"d:Title": "Little Light, Little Seal", "d:Description": "Blog reviewing children's books primarily, and occasionally music, toys, t.v. shows, educational products and related issues.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://littlelightlittleseal.blogspot.com/"} +{"d:Title": "Look to the Books", "d:Description": "Links to book review sites dedicated to kids, parents and educators.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://sites.google.com/site/looktothebooks/"} +{"d:Title": "The Bulletin of the Center for Children's Books", "d:Description": "Online companion to print journal which provides children's book information for librarians.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://bccb.lis.illinois.edu/"} +{"d:Title": "Novel Teen Book Reviews", "d:Description": "Site with religious slant features a poll, and a small number of reviews.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.jillwilliamson.com/novel-teen/"} +{"d:Title": "Carol Hurst's Book Reviews", "d:Description": "Lists books by title, author, and grade level, and features teaching and learning activities.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.booksintheclassroom.com/allreviewed.php"} +{"d:Title": "Richie's Picks: Great Books for Children and Young Adults", "d:Description": "Summaries and personal observations of books by former bookseller and teacher. Includes archives.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://richiespicks.pbworks.com/"} +{"d:Title": "Booktrust", "d:Description": "Recommended books for ages 0 to 16, plus interviews with authors, features, articles, and free resources for teachers.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://www.booktrust.org.uk/books/children/"} +{"d:Title": "Canadian Children's Literature", "d:Description": "Bilingual scholarly journal of Canadian books and other media with recent reviews and archives for children and young adults.", "topic": "Top/Arts/Literature/Children's/Reviews", "url": "http://ccl-lcj.ca/index.php/ccl-lcj"} +{"d:Title": "Storyplace: The Children's Digital Library", "d:Description": "Interactive stories for children in Spanish and English. Also includes activities, and reading lists.", "topic": "Top/Arts/Literature/Children's/Spanish_Books", "url": "http://www.storyplace.org/"} +{"d:Title": "Reader Views Kids", "d:Description": "Reviews by kids for kids, author interviews, and book trailers.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://readerviewskids.com/"} +{"d:Title": "Young Adult Library Services Association", "d:Description": "Supporting teen learning and development via guidelines, best practices, and other resources for library staff, afterschool providers, and educators.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.ala.org/yalsa/"} +{"d:Title": "Pure Imagination", "d:Description": "Short reviews of teen or young adult books.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.pureimaginationblog.com/"} +{"d:Title": "YA Highway", "d:Description": "Authors from around the world, posting about reading and writing.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.yahighway.com/"} +{"d:Title": "YA Reads", "d:Description": "Reviews and author interviews.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.yareads.com/"} +{"d:Title": "In the Booley House", "d:Description": "Reviews with an emphasis on sci-fi/fantasy and LGBT books.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://thebooleyhouse.wordpress.com/"} +{"d:Title": "Flamingnet Book Reviews", "d:Description": "Reviews written by young adult readers.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://flamingnet.com/"} +{"d:Title": "Becky's Book Reviews", "d:Description": "Reviews and author interviews.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://blbooks.blogspot.com/"} +{"d:Title": "Young Adult Book Reviews", "d:Description": "Two to four short reviews per month.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://youngadultbookreviews.com/"} +{"d:Title": "Sally Apokedak", "d:Description": "Reviews, author interviews, and writing tips.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.sally-apokedak.com/"} +{"d:Title": "The Selkie Reads Stories", "d:Description": "A book review blog that features Young Adult and New Adult books.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://selkiereadsstories.blogspot.com/"} +{"d:Title": "Robin Reads n Writes", "d:Description": "Book reviews of young adult and middle grade titles.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://robinreadsnwrites.com/"} +{"d:Title": "Novel Teen", "d:Description": "Reviews with an emphasis on Christian or morally clean books.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.jillwilliamson.com/novel-teen/"} +{"d:Title": "Anna Reads", "d:Description": "Young adult book reviews from a 20-something.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.annareads.com/"} +{"d:Title": "Bewitched Bookworms", "d:Description": "Book reviews from a team of young adult book reviewers.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://bewitchedbookworms.com/"} +{"d:Title": "The Book Life", "d:Description": "Book reviews and giveaways for YA readers.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.thebooklife.com/"} +{"d:Title": "The Reading Date", "d:Description": "Young Adult Book Reviews, Audiobook Reviews, and Movie Reviews for readers of Young Adult books.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://thereadingdate.com/"} +{"d:Title": "Nyx Book Reviews", "d:Description": "Book reviews, author interviews and guest posts from fantasy, paranormal and horror books.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.nyxbookreviews.com/"} +{"d:Title": "Free Book Friday Teens", "d:Description": "Signed copies of YA books given away weekly. There are also author interviews and podcasts.", "topic": "Top/Arts/Literature/Children's/Young_Adult", "url": "http://www.freebookfriday.com/tag/teens"} +{"d:Title": "Sweet Dreams Teen Scene", "d:Description": "Site dedicated to these novels published by Bantam between 1981 and 1996. Information, trivia, and a place for fans to connect.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series", "url": "http://sweetdreamsteenscene.tripod.com/"} +{"d:Title": "The Deptford Mice", "d:Description": "A wiki devoted to this series written by Robin Jarvis. Anyone may contribute.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series", "url": "http://deptfordmice.wikia.com/"} +{"d:Title": "Dreamhouse Kings by Robert Liparulo", "d:Description": "The official website. Learn about the books and the author.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series", "url": "http://dreamhousekings.com/"} +{"d:Title": "The Sanction Chronicles", "d:Description": "The site expands the paranormal town of Sanction New Hampshire. Characters' blogs, emails, diary entries are posted to help readers follow along with the action and mystery.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series", "url": "http://thesanctionchronicles.com/"} +{"d:Title": "Aninews Experience", "d:Description": "Online club offers news, book reviews, downloads, and forums in which readers may interact.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://aninews.tripod.com/"} +{"d:Title": "My Animorphs Web Page", "d:Description": "Fan-fiction, character biographies, and links to other Animorph pages.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://www.angelfire.com/hi2/animorphs54/"} +{"d:Title": "Animorphs on DeviantArt", "d:Description": "Contains a wide variety of fan-art of different characters, morphs, and animals.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://animorphs.deviantart.com/"} +{"d:Title": "The Animorphs Fan Forum", "d:Description": "A community dedicated to the Animorphs books and the TV series.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://animorphsfanforum.com/"} +{"d:Title": "Scholastic Animorphs Home", "d:Description": "Discussion guides for all books, including sample chapters, plus an interview with the author, excerpts from reviews, games and screensavers.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://www.scholastic.com/animorphs/"} +{"d:Title": "The Animorphs Reference Page", "d:Description": "Animorph glossary by character, species, and book.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://www.karrth.com/animorphs/"} +{"d:Title": "Hirac Delest - An Animorphs Archive", "d:Description": "An archive containing updated lists of fan projects, related websites, images, videos and merchandise.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://www.hiracdelest.com/"} +{"d:Title": "Seerowpedia", "d:Description": "An Animorphs wiki with detailed descriptions of the books, characters and races.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Animorphs", "url": "http://animorphs.wikia.com/wiki/Main_Page"} +{"d:Title": "Yahoo! Clubs circleofthreecovenstead", "d:Description": "Yahoo club and sister club to circleofthreeseries club", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Circle_of_Three/Clubs", "url": "http://groups.yahoo.com/group/circleofthreecovenstead/"} +{"d:Title": "Yahoo! Clubs circleofthreeseries", "d:Description": "Yahoo Circle of Three Club! Come and discuss your favorite series!", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Circle_of_Three/Clubs", "url": "http://ca.groups.yahoo.com/group/circleofthreeseries/"} +{"d:Title": "SVRawks", "d:Description": "Divided between the 'old school' series and the newer Senior Year novels, features include character synopsis, reviews on up-coming books and episode guides from the TV show.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://svrawks.tripod.com/"} +{"d:Title": "Ultimate SV site", "d:Description": "Fan site with spoilers on soon to be released books, pictures of latest book covers and related quizzes.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://www.angelfire.com/biz4/Alyssa/index.html"} +{"d:Title": "Aussie Sweet Valley Haven", "d:Description": "An Australian based website featuring a slambook, pictures of the cast and books, and links to other Sweet Valley pages.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://melbchicky20.tripod.com/aussiesvhaven/"} +{"d:Title": "Chantal's SV Homepage", "d:Description": "Contains an overview of the book series and television program, as well as message boards and links to Sweet Valley fan clubs.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://www.angelfire.com/la/svh16/index.html"} +{"d:Title": "Grace's Virtual Valley", "d:Description": "Concentrating on the Senior Year, Junior High, University and High series, this website includes excerpts, spoilers on upcoming releases and quizzes.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://www.angelfire.com/il/svhsenioryear/"} +{"d:Title": "Sweet Valley Board", "d:Description": "Links to four Sweet Valley related message boards, as shared by seven fansites.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://sweetvalley16240.yuku.com/"} +{"d:Title": "Sweet Valley High", "d:Description": "Official home page from Random House, listing latest book releases and a 'What's New' section", "priority": "1", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://www.randomhouse.com/sweetvalley/"} +{"d:Title": "SVUnited", "d:Description": "An on-going, interactive project aiming to have a review of every Sweet Valley book ever published, with accompanying cover pictures.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley", "url": "http://www.angelfire.com/ms/Modra/"} +{"d:Title": "All About Sweet Valley High Senior Year", "d:Description": "Contains fan fiction and character quotes, and examines the past and present relationships between the characters.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley/Senior_Year", "url": "http://www.angelfire.com/sd/senioryear/"} +{"d:Title": "Globally SY", "d:Description": "Pictures of the Sweet Valley Senior Year characters abound, and book covers, spoilers, and favourite characters.", "topic": "Top/Arts/Literature/Children's/Young_Adult/Series/Sweet_Valley/Senior_Year", "url": "http://globallysy.tripod.com/"} +{"d:Title": "Contemporary Diverse Voices", "d:Description": "Student-written commentaries on culturally-diverse literary works.", "topic": "Top/Arts/Literature/Cultural", "url": "http://www.angelfire.com/mn2/APS/index.html"} +{"d:Title": "Publishing Triangle", "d:Description": "Association of lesbians and gay men in publishing. Includes related resources, list of the top 100 novels, and awards.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://www.publishingtriangle.org/"} +{"d:Title": "Gaylactic Spectrum Awards Foundation", "d:Description": "Awards organization for science fiction/fantasy writing.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://www.spectrumawards.org/"} +{"d:Title": "Lambda Literary Foundation", "d:Description": "Producer of the Lambda Literary Awards. Includes information about the awards and a magazine they publish.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://www.lambdaliterary.org/"} +{"d:Title": "Saints&Sinners Literary Festival", "d:Description": "Alternative literary festival for the GLBT community held in New Orleans.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://sasfest.org/"} +{"d:Title": "The Gay and Lesbian Presence in American Literature", "d:Description": "Essay about themes and methods in an educational context. Includes bibliographical references.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://www9.georgetown.edu/faculty/bassr/tamlit/essays/gay_les.html"} +{"d:Title": "ALA GLBT Round Table", "d:Description": "American Library Association; with annual awards, suggested readings and newsletter.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://www.ala.org/glbtrt/"} +{"d:Title": "Lesbian&Gay Pulp Fiction", "d:Description": "Offers an overview of the Rubenstein Library's lesbian and gay pulp fiction collections.These paperbacks were popular in the 1950s and early 1960s.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://guides.library.duke.edu/queerpulps"} +{"d:Title": "The Lambda Foundation for Excellence", "d:Description": "A non-profit organization supporting studies by students across Canada in gay and lesbian issues and hosts of Wilde About Sappho, an annual celebration of GLBT literature.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual", "url": "http://www.lambdafoundation.org/"} +{"d:Title": "Johnston, Jill", "d:Description": "Contains an archive of her columns, a bibliography and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors", "url": "http://jilljohnston.com/"} +{"d:Title": "Self, Will", "d:Description": "Contains essays, reviews, and a bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors", "url": "http://will-self.com/"} +{"d:Title": "Furtado, Anna", "d:Description": "Historical novelist; with a blog and award list.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors", "url": "http://www.annafurtado.com/"} +{"d:Title": "Dube, Peter", "d:Description": "Contains a biography, bibliography and blog.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors", "url": "http://www.peterdube.com/"} +{"d:Title": "Boyd, Randy", "d:Description": "Blog of writer and sports columnist.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors", "url": "http://www.randyboydsblocks.com/"} +{"d:Title": "Zedde, Fiona", "d:Description": "Contains a biography, bibliography, and appearance schedule.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors", "url": "http://fionazedde.weebly.com/"} +{"d:Title": "Allison, Iory", "d:Description": "Novelist; with synopsis, biography and bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Allison,_Iory", "url": "http://ioryallison.com/"} +{"d:Title": "Aterovis, Josh", "d:Description": "Biography, news, and bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Aterovis,_Josh", "url": "http://www.joshaterovis.com/"} +{"d:Title": "Bannon, Ann", "d:Description": "Novelist; with bibliography, photographs, and schedule of events.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Bannon,_Ann", "url": "http://www.annbannon.com/"} +{"d:Title": "Barton, Ben", "d:Description": "Includes a biography and archive of writing.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Barton,_Ben", "url": "http://www.benbarton.co.uk/"} +{"d:Title": "Bauden, Diane S", "d:Description": "Novelist; with a biography, news, and calendar.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Bauden,_Diane_S.", "url": "http://www.dsbauden.com/"} +{"d:Title": "Benbow, Dave", "d:Description": "Novelist; with a biography, signing schedule, and bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Benbow,_Dave", "url": "http://www.davebenbow.com/"} +{"d:Title": "Block, Francesca Lia", "d:Description": "Novelist; with bibliography, biography, reviews and news.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Block,_Francesca_Lia", "url": "http://www.francescaliablock.com/"} +{"d:Title": "Bowie, J P", "d:Description": "Novelist; with a biography and synopsis.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Bowie,_J._P.", "url": "http://www.jpbowie.com/"} +{"d:Title": "Brady, Maureen", "d:Description": "Official site; with biography and details of works.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Brady,_Maureen", "url": "http://www.maureenbradyny.com/"} +{"d:Title": "Brass, Perry", "d:Description": "Includes excerpts, author pictures, cover photos, and title information.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Brass,_Perry", "url": "http://www.perrybrass.com/"} +{"d:Title": "Brunner, Meghan", "d:Description": "Novelist; with biography, excerpts and reviews.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Brunner,_Meghan", "url": "http://www.faire-folk.com/"} +{"d:Title": "Bryan, William Joseph", "d:Description": "Author of the memoir \"Fairy Pudding,\" which tells the story of one man's love for the piano and his search for dignity as a teacher, a gay person, and an artist.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Bryan,_William_Joseph", "url": "http://www.fairypudding.com/"} +{"d:Title": "Burroughs, Augusten", "d:Description": "Official site; with a biography and tour news.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Burroughs,_Augusten", "url": "http://www.augusten.com/"} +{"d:Title": "Busch, Charles", "d:Description": "Playwright and Novelist; with interviews, summaries, and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Busch,_Charles", "url": "http://www.charlesbusch.com/"} +{"d:Title": "Bussel, Rachel Kramer", "d:Description": "Official site; with a biography, writing samples, reviews and calendar.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Bussel,_Rachel_Kramer", "url": "http://www.rachelkramerbussel.com/"} +{"d:Title": "Cargill, Robert", "d:Description": "Original gay fiction serial and an advice column on relationships and coming out issues.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Cargill,_Robert", "url": "http://www.angelfire.com/zine/inaki/index.html"} +{"d:Title": "Carpenter, Donald H.", "d:Description": "Includes a novel synopsis, and information on work in progress.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Carpenter,_Donald_H.", "url": "http://www.donaldhcarpenter.com/"} +{"d:Title": "Clarke, Cheril N", "d:Description": "Novelist and poet; with biography, excerpts, and a mailing list.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Clarke,_Cheril_N.", "url": "http://www.cherilnclarke.com/"} +{"d:Title": "Cooper, Charlotte", "d:Description": "Author of the books Cherry and Fat and Proud.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Cooper,_Charlotte", "url": "http://www.charlottecooper.net/"} +{"d:Title": "Craft, Michael", "d:Description": "Mystery novelist; with interviews, a biography, bibliography, and synopses.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Craft,_Michael", "url": "http://www.michaelcraft.com/"} +{"d:Title": "Cragin, Randy", "d:Description": "Novelist; with synopsis, photographs and bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Cragin,_Randy", "url": "http://www.randus.net/"} +{"d:Title": "Currier, Jameson", "d:Description": "Author's website includes summaries, reviews, and excerpts from his erotic novels and stories about gay love.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Currier,_Jameson", "url": "http://jamesoncurrier.com/"} +{"d:Title": "Dane, Marion Dane", "d:Description": "Children's author; with news, a biography, bibliography and writers' guide.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Dane,_Marion_Dane", "url": "http://www.mariondanebauer.com/"} +{"d:Title": "Dawesar, Abha", "d:Description": "Novelist; with a biography and diary. [English/Spanish/Italian]", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Dawesar,_Abha", "url": "http://www.abhadawesar.com/"} +{"d:Title": "Denison, Lyn", "d:Description": "Novelist; with photographs and synopses.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Denison,_Lyn", "url": "http://www.lyndenison.com/"} +{"d:Title": "Dhalla, Ghalib Shiraz", "d:Description": "Novelist and columnist; with a biography, reviews, and extracts.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Dhalla,_Ghalib_Shiraz", "url": "http://www.ghalibdhalla.com/"} +{"d:Title": "Dolby, Tom", "d:Description": "Novelist; with biography, bibliography and tour schedule.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Dolby,_Tom", "url": "http://www.tomdolby.com/"} +{"d:Title": "Donaghe, Ronald L", "d:Description": "novelist; with biography, newsletter, and book details.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Donaghe,_Ronald_L.", "url": "http://www.rldbooks.com/"} +{"d:Title": "Drinnan, Neal", "d:Description": "Novelist; with a biography and synopsis.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Drinnan,_Neal", "url": "http://www.nealdrinnan.com/"} +{"d:Title": "Ferris, Jean", "d:Description": "Young Adult novelist; with a biography, and tour details.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Ferris,_Jean", "url": "http://www.jeanferris.com/"} +{"d:Title": "Fritscher, Jack . Ph.D.", "d:Description": "Specializes in Gay/Lesbian studies including fiction, erotica, history, art, censorship, and Robert Mapplethorpe. Includes bibliography with brief synopsis.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Fritscher,_Jack", "url": "http://www.jackfritscher.com/"} +{"d:Title": "Garrett, Kristen", "d:Description": "Lesbian novelist with books including You Light The Fire, Lady Lobo (college basketball), and Grits, Gravy and Girls, a romance/mystery.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Garrett,_Kristen", "url": "http://kristenagarrett.tripod.com/"} +{"d:Title": "Gatchalian , Chris (C E)", "d:Description": "Playwright; with samples, and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Gatchalian,_C._E.", "url": "http://www.cegatchalian.com/"} +{"d:Title": "Godson, Karen", "d:Description": "Poet; with a biography and extracts.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Godson,_Karen", "url": "http://www.inspiredwoman.iwarp.com/"} +{"d:Title": "Gomez, Jewelle", "d:Description": "Features schedule, book synopsis, and a biography of this Lambda Award-winning novelist.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Gomez,_Jewelle", "url": "http://www.jewellegomez.com/"} +{"d:Title": "Graskey, Roselle", "d:Description": "Novelist; with reviews and bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Graskey,_Roselle", "url": "http://www.amanti.com/graskey/"} +{"d:Title": "Hamburger, Aaron", "d:Description": "News, reviews, book excerpts and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Hamburger,_Aaron", "url": "http://www.aaronhamburger.com/"} +{"d:Title": "Hart, Ellen", "d:Description": "Crime novelist; with interviews, mailing list, photo album and book details.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Hart,_Ellen", "url": "http://www.ellenhart.com/"} +{"d:Title": "Healey, Trebor", "d:Description": "Novelist, poet and playwright; with writing samples, biography and tour journal.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Healey,_Trebor", "url": "http://www.treborhealey.com/"} +{"d:Title": "Heim, Scott", "d:Description": "Author of novels Mysterious Skin and In Awe.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Heim,_Scott", "url": "http://heim.etherweave.com/"} +{"d:Title": "Herron, Carolivia", "d:Description": "Novelist and academic; with synopses and biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Herron,_Carolivia", "url": "http://carolivia.com/"} +{"d:Title": "Hill, Gerri", "d:Description": "Novelist; with a biography, bibliography, and photographs.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Hill,_Gerri", "url": "http://www.gerrihill.com/"} +{"d:Title": "Hillman, Thea", "d:Description": "Includes schedule, biography, excerpts, and links.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Hillman,_Thea", "url": "http://www.theahillman.com/"} +{"d:Title": "House, Tom", "d:Description": "Official site; with biographical information, publication history, and a schedule of readings and appearances.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/House,_Tom", "url": "http://www.housestories.net/"} +{"d:Title": "Hyttinen, Roger", "d:Description": "Novelist; with a biography and book excerpts.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Hyttinen,_Roger", "url": "http://www.rogerhyttinen.com/"} +{"d:Title": "Kendrick, Mark", "d:Description": "Novelist; with author events, biography, and synopsis.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Kendrick,_Mark", "url": "http://www.mark-kendrick.com/"} +{"d:Title": "Kluger, Steve", "d:Description": "Novelist and playwright; with a biography and synopses", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Kluger,_Steve", "url": "http://www.stevekluger.com/"} +{"d:Title": "Koja, Kathe", "d:Description": "Young Adult novelist; with a biography, list of awards, and news.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Koja,_Kathe", "url": "http://www.kathekoja.com/"} +{"d:Title": "Krach, Aaron", "d:Description": "Novelist and artist; with a biography and reviews.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Krach,_Aaron", "url": "http://www.aaronkrach.com/"} +{"d:Title": "Lake, Lori L.", "d:Description": "Lesbian writer Lori L. Lake, author of Gun Shy, Under the Gun, Ricochet in Time, and Different Dress.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Lake,_Lori_L.", "url": "http://www.lorillake.com/"} +{"d:Title": "Lambert, Timothy J", "d:Description": "Novelist; with a biography, events listing, synopsis.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Lambert,_Timothy_J.", "url": "http://timothyjlambert.livejournal.com/"} +{"d:Title": "Leavitt, David", "d:Description": "Novelist; with a biography and bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Leavitt,_David", "url": "http://web.english.ufl.edu/faculty/dleavitt/"} +{"d:Title": "Levithan, David", "d:Description": "Young Adult novelist; with news and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Levithan,_David", "url": "http://www.davidlevithan.com/"} +{"d:Title": "Levy, Owen", "d:Description": "Details of his works, and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Levy,_Owen", "url": "http://www.owenlevy.com/"} +{"d:Title": "Lilly, Greg", "d:Description": "Novelist; with synopses and biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Lilly,_Greg", "url": "http://www.greglilly.com/"} +{"d:Title": "Malloy, Brian", "d:Description": "Official website, with a biography and bibliography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Malloy,_Brian", "url": "http://www.malloywriter.com/"} +{"d:Title": "Mann, William J.", "d:Description": "Novelist and historian; with a biography and synopsis.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Mann,_William_J.", "url": "http://www.williamjmann.com/"} +{"d:Title": "Frederic Martel", "d:Description": "Writer and academic; with news, articles, and a biography. [English and French]", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Martel,_Frederic", "url": "http://www.fredericmartel.com/"} +{"d:Title": "McCauley, Stephen", "d:Description": "Novelist and essayist; with news, synopses and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/McCauley,_Stephen", "url": "http://www.stephenmccauley.com/"} +{"d:Title": "McFadden, Bernice", "d:Description": "Novelist; with biography, and tour details.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/McFadden,_Bernice", "url": "http://www.bernicemcfadden.com/"} +{"d:Title": "McNab, Claire", "d:Description": "Mystery novelist; with a biography, bibliography, and glossary.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/McNab,_Claire", "url": "http://www.clairemcnab.com/"} +{"d:Title": "Merlis, Mark", "d:Description": "Novelist; with a biography, book notes, and excerpts.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Merlis,_Mark", "url": "http://www.markmerlis.com/"} +{"d:Title": "Miner, Valerie", "d:Description": "Novelist and essayist; with a biography, bibliography, and workshop details.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Miner,_Valerie", "url": "http://www.valerieminer.com/"} +{"d:Title": "Newman, Leslea", "d:Description": "Features listings of both adult and children's titles, biography, links, articles, and contact information.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Newman,_Leslea", "url": "http://www.lesleanewman.com/"} +{"d:Title": "Perronne, Michael Holloway", "d:Description": "Official site; with a biography, event details, and book excerpts.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Perronne,_Michael_Holloway", "url": "http://www.michaelhperronne.com/"} +{"d:Title": "Pettis, Ruth", "d:Description": "Offers short fictional works and a page of lesbian literature links.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Pettis,_Ruth", "url": "http://home.earthlink.net/~ruthpett/fiction/index.htm"} +{"d:Title": "Pinto, Ricardo", "d:Description": "Fantasy novelist; with synopses, a biography, maps, and a mailing list.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Pinto,_Ricardo", "url": "http://www.ricardopinto.com/"} +{"d:Title": "Prickett, Bill", "d:Description": "Novelist; with a biography, questions, and synopsis.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Prickett,_Bill", "url": "http://billprickett.com/"} +{"d:Title": "Sports Complex", "d:Description": "Syndicated column focusing on the LGBT athletics movement by Jim Provenzano, together with his biography and details of his novel about gay high school wrestlers, short stories and other writing.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Provenzano,_Jim", "url": "http://homeo.tripod.com/index-3.html"} +{"d:Title": "Reigns, Steven", "d:Description": "Poet and novelist; with a calendar of events and photographs.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Reigns,_Steven", "url": "http://www.stevenreigns.com/"} +{"d:Title": "Rice, Christopher", "d:Description": "Novelist; with synopses, a biography, reading schedule, questions and research.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Rice,_Christopher", "url": "http://www.christopherricebooks.com/"} +{"d:Title": "Rodi, Robert", "d:Description": "Satirist; with a biography, bibliography, and extracts.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Rodi,_Robert", "url": "http://www.robertrodi.com/"} +{"d:Title": "Roeder, Mark", "d:Description": "Author of The Gay Youth Chronicles, a novel series for and about gay youth. It also includes many useful youth links.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Roeder,_Mark", "url": "http://markroeder.com/"} +{"d:Title": "Rosen, Rob", "d:Description": "Personal page of this author includes book information, gallery, and links.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Rosen,_Rob", "url": "http://www.therobrosen.net/"} +{"d:Title": "Saint-Clair, C.C", "d:Description": "Novelist; with a biography, bibliography and news.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Saint-Clair,_C._C.", "url": "http://www.ccsaint-clair.com/"} +{"d:Title": "Sanchez, Alex", "d:Description": "Author biography; with youth resources.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Sanchez,_Alex", "url": "http://www.alexsanchez.com/"} +{"d:Title": "Schimel, Lawrence", "d:Description": "Features schedule, links, information on future projects, a listing of short stories and anthologies.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Schimel,_Lawrence", "url": "http://desayunoencama.livejournal.com/"} +{"d:Title": "Scott, Kevin", "d:Description": "Screenwriter and novelist; with a biography, bibliography and excerpts.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Scott,_Kevin", "url": "http://www.boysinthebrownstone.com/"} +{"d:Title": "Simpson, Mark", "d:Description": "Author of \"Saint Morrissey\" and other books, co-author of \"The Queen is Dead\". News, interests and mailing list.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Simpson,_Mark", "url": "http://www.marksimpson.com/"} +{"d:Title": "Sipe, Richard", "d:Description": "Author of 'Sex, Priests and Power'; with a biography, interviews and articles.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Sipe,_Richard", "url": "http://www.awrsipe.com/"} +{"d:Title": "Smith, Michael V", "d:Description": "Novelist and short story writer; with synopses, a biography and photographs.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Smith,_Michael_V.", "url": "http://www.michaelvsmith.com/"} +{"d:Title": "Soehnlein, K M", "d:Description": "Young Adult novelist; with excerpts, news, and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Soehnlein,_K._M.", "url": "http://www.kmsoehnlein.com/normalboys/author/index.html"} +{"d:Title": "Tea, Michelle", "d:Description": "Information on the Lambda Literary Award-winning writer of books including \"Valencia,\" with gallery, biography, and book reviews.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Tea,_Michelle", "url": "http://www.angelfire.com/rant/tea/start.html"} +{"d:Title": "Tom, Josh", "d:Description": "Novelist and reporter; with synopses and a biography.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Tom,_Josh", "url": "http://joshtom.wordpress.com/"} +{"d:Title": "Tulchinsky, Karen X", "d:Description": "Novelist; with a biography, synopses, and reading schedule.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Tulchinsky,_Karen_X.", "url": "http://karenxtulchinsky.com/"} +{"d:Title": "Tushinski, Jim", "d:Description": "Biography, with tour dates, frequently asked questions.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Tushinski,_Jim", "url": "http://www.jimtushinski.com/"} +{"d:Title": "Wozek, Gerard", "d:Description": "Poet; with photographs and poems", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Wozek,_Gerard", "url": "http://www.gerardwozek.com/"} +{"d:Title": "Yates, Bart", "d:Description": "Young Adult novelist; with a biography, excerpts, and reading schedule.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Authors/Yates,_Bart", "url": "http://bartyates.com/"} +{"d:Title": "The Lavender Salon Reader", "d:Description": "Features local club listings for the United States, bookstore list, publishers list, and contact information.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Reading_Groups", "url": "http://www.focol.org/lsr/"} +{"d:Title": "Tales in the City", "d:Description": "The Yahoo! Groups page for this Los Angeles based men's group.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Reading_Groups", "url": "http://groups.yahoo.com/group/talesinthecity/"} +{"d:Title": "Queer Book Worms Book Discussion Group of Boston", "d:Description": "Homepage of the Queer Book Worms offering information about the group, book discussion schedules, and related links", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Reading_Groups", "url": "http://groups.yahoo.com/group/queerbookwormsbos/"} +{"d:Title": "Outworlders", "d:Description": "Atlanta-based science fiction, fantasy, and horror-related fan group; with regular meetings and discussion list.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Reading_Groups", "url": "http://www.outworlders.info/"} +{"d:Title": "Gay Men's Book Crisis", "d:Description": "New York City based group; with summaries of previously read books.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Reading_Groups", "url": "http://home.earthlink.net/~alburty/gmbc.htm"} +{"d:Title": "GLBT Fiction&Film Group", "d:Description": "Includes a list of books with related movie titles, recommended reading list, newsletter, and contact information for this New York based group.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Reading_Groups", "url": "http://jclarkmedia.com/gaybooks"} +{"d:Title": "Lambda Sci-Fi", "d:Description": "A club for science fiction, fantasy, and horror fans based in the Washington D.C. area. Includes recommended reading list, schedule, and contact information.", "topic": "Top/Arts/Literature/Cultural/Gay,_Lesbian,_and_Bisexual/Reading_Groups", "url": "http://www.lambdascifi.org/"} +{"d:Title": "Las Mujeres", "d:Description": "Women poets, writers, painters, and singers from Latin America and the United States.", "topic": "Top/Arts/Literature/Cultural/Latino", "url": "http://www.lasmujeres.com/"} +{"d:Title": "Taco Shop Poets", "d:Description": "Poets and performance artists from Southern California.", "topic": "Top/Arts/Literature/Cultural/Latino", "url": "http://multiple.insertions.com/mi_html/contrib/writing/iv08.htm"} +{"d:Title": "National Association of Hispanic and Latino Studies (NAHLS)", "d:Description": "Professional academic organization for Hispanic and Latino studies.", "topic": "Top/Arts/Literature/Cultural/Latino", "url": "http://www.naaas.org/"} +{"d:Title": "Hispanic Reading Room", "d:Description": "Library of Congress research center.", "topic": "Top/Arts/Literature/Cultural/Latino", "url": "http://www.loc.gov/rr/hispanic/"} +{"d:Title": "UCLA C\u00e9sar E. Ch\u00e1vez Department of Chicana and Chicano Studies", "d:Description": "Mission is to train a new generation of scholars to research and analyze the life, history, and culture of Mexican-origin people.", "topic": "Top/Arts/Literature/Cultural/Latino", "url": "http://chavez.ucla.edu/"} +{"d:Title": "Audition", "d:Description": "Text of poem.", "topic": "Top/Arts/Literature/Cultural/Latino/Alvarez,_Julia", "url": "http://www.writing.upenn.edu/~afilreis/88/alvarez-audition.html"} +{"d:Title": "Voices From the Gaps: Julia Alvarez", "d:Description": "Includes biography, selected bibliography and links.", "topic": "Top/Arts/Literature/Cultural/Latino/Alvarez,_Julia", "url": "http://voices.cla.umn.edu/artistpages/alvarezjulia.php"} +{"d:Title": "Emory Biography", "d:Description": "Short bio and list of major literary themes in the work of Julia Alvarez, compiled by Susan Walker at Emory University.", "topic": "Top/Arts/Literature/Cultural/Latino/Alvarez,_Julia", "url": "http://postcolonialstudies.emory.edu/julia-alvarez/"} +{"d:Title": "Anaya, Rudolfo", "d:Description": "Takes a look at themes in two of his novels from a medical perspective.", "topic": "Top/Arts/Literature/Cultural/Latino/Anaya,_Rudolfo_A.", "url": "http://medhum.med.nyu.edu/person/2420"} +{"d:Title": "Review: The Weight of All Things", "d:Description": "By Julie Bowerman, in cultural arts magazine _Rambles_.", "topic": "Top/Arts/Literature/Cultural/Latino/Benitez,_Sandra", "url": "http://rambles.net/benitez_weight.html"} +{"d:Title": "Voices From the Gaps: Sandra Ben\u00edtez", "d:Description": "Provides information on Sandra Benitez, including biography, selected bibliography and related links.", "topic": "Top/Arts/Literature/Cultural/Latino/Benitez,_Sandra", "url": "http://voices.cla.umn.edu/artistpages/benitezSandra.php"} +{"d:Title": "Teresa Bevin", "d:Description": "Official Website of Teresa Bevin, author of the bilingual short story collection,\"Dreams and Other Ailments/Suenos y otros achaques,\" the novel \"Havana Split,\" and contributor to numerous academic publications.", "topic": "Top/Arts/Literature/Cultural/Latino/Bevin,_Teresa", "url": "http://www.teresabevin.com/"} +{"d:Title": "Latina and Latino Literature: Anthologies of the 1990s", "d:Description": "Selected bibliography compiled at the University of Texas at Austin.", "topic": "Top/Arts/Literature/Cultural/Latino/Bibliographies", "url": "http://www.lib.utexas.edu/benson/bibnot/bn-95.html"} +{"d:Title": "Ana Castillo -- anacastillo.com", "d:Description": "Official Web site of noted poet, novelist and essayist Ana Castillo.", "topic": "Top/Arts/Literature/Cultural/Latino/Castillo,_Ana", "url": "http://www.anacastillo.com/"} +{"d:Title": "Article: Ana Castillo's So Far From God", "d:Description": "Full-text conference paper by Lisa Trevi\u00f1o Roy-Davis, entitled \"Working Race: Speech, Silence and Women's Work as Racial Politics in Denise Ch\u00e1vez and Ana Castillo.\"", "topic": "Top/Arts/Literature/Cultural/Latino/Castillo,_Ana", "url": "http://www.womenwriters.net/editorials/trevinoroydavis.htm"} +{"d:Title": "Voices From the Gaps: Ana Castillo", "d:Description": "Provides information on Ana Castillo, including biography, selected bibliography and related links.", "topic": "Top/Arts/Literature/Cultural/Latino/Castillo,_Ana", "url": "http://voices.cla.umn.edu/artistpages/castilloAna.php"} +{"d:Title": "Article: _Last of the Menu Girls_ and _Face of an Angel_", "d:Description": "Full-text conference paper by Lisa Trevi\u00f1o Roy-Davis, entitled \"Working Race: Speech, Silence and Women's Work as Racial Politics in Denise Ch\u00e1vez and Ana Castillo.\"", "topic": "Top/Arts/Literature/Cultural/Latino/Chavez,_Denise", "url": "http://www.womenwriters.net/editorials/trevinoroydavis.htm"} +{"d:Title": "Voices From the Gaps: Denise Ch\u00e1vez", "d:Description": "Provides information on Denise Ch\u00e1vez, including biography, selected bibliography and related links.", "topic": "Top/Arts/Literature/Cultural/Latino/Chavez,_Denise", "url": "http://voices.cla.umn.edu/artistpages/chavezdenise.php"} +{"d:Title": "Reading Guide: Judith Ortiz Cofer", "d:Description": "A brief essay which deals with several of the main themes, symbols, and images present in Judith Cofer's works.", "topic": "Top/Arts/Literature/Cultural/Latino/Cofer,_Judith_Ortiz", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/cofer.html"} +{"d:Title": "MetroActive Stage | Playwright Migdalia Cruz", "d:Description": "Article traces the playwright's artistic influences, reviewing the _Another Part of the House_.", "topic": "Top/Arts/Literature/Cultural/Latino/Cruz,_Migdalia", "url": "http://www.metroactive.com/papers/sonoma/10.30.97/stage2-9744.html"} +{"d:Title": "Memory Tricks, The Poetry of Alicia Gaspar de Alba", "d:Description": "Critical article written on Gaspar de Alba by S.C. Silverman.", "topic": "Top/Arts/Literature/Cultural/Latino/Gaspar_de_Alba,_Alicia", "url": "http://www.jstor.org/stable/1347959"} +{"d:Title": "Heath Anthology Author Spotlight: Victor Hern\u00e1ndez Cruz", "d:Description": "Heath Anthology site provides biographical and bibliographical information on the author.", "topic": "Top/Arts/Literature/Cultural/Latino/Hern\u00e1ndez_Cruz,_Victor", "url": "http://college.cengage.com/english/lauter/heath/4e/students/author_pages/contemporary/cruz_vi.html"} +{"d:Title": "Tamame", "d:Description": "An annual bilingual literary magazine of Latino writing from North America - Canada, the United States, and Mexico.", "topic": "Top/Arts/Literature/Cultural/Latino/Journals", "url": "http://www.tameme.org/"} +{"d:Title": "Pat Mora - Official Webpage", "d:Description": "Provides bibliography of the author's poetry, nonfiction, and children's books.", "topic": "Top/Arts/Literature/Cultural/Latino/Mora,_Pat", "url": "http://www.patmora.com/"} +{"d:Title": "Voices From the Gaps: Pat Mora", "d:Description": "Includes a short biography of the author as well as a bibliography and a list of related links.", "topic": "Top/Arts/Literature/Cultural/Latino/Mora,_Pat", "url": "http://voices.cla.umn.edu/artistpages/mora_pat.php"} +{"d:Title": "Teaching Guide: Pat Mora", "d:Description": "Classroom strategies for teaching Pat Mora's fiction by Juan Bruce-Novoa for the Heath Anthology of American Literature site.", "topic": "Top/Arts/Literature/Cultural/Latino/Mora,_Pat", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/mora.html"} +{"d:Title": "Insight&Outlook - An Interview with Richard Rodriguez", "d:Description": "A View from the Melting Pot - An Interview with Richard Rodriguez from the Insight and Outlook radio series.", "topic": "Top/Arts/Literature/Cultural/Latino/Rodriguez,_Richard", "url": "http://www.scottlondon.com/interviews/rodriguez.html"} +{"d:Title": "\"It Seems To Me ...\" - In Motion Magazine", "d:Description": "Magazine column in which Piri Thomas shares his opinions and poetry. Also includes interviews with the author.", "topic": "Top/Arts/Literature/Cultural/Latino/Thomas,_Piri", "url": "http://www.inmotionmagazine.com/thomas.html"} +{"d:Title": "Exhibitionism: Theatre Arts Reviews", "d:Description": "Review of My Visits with MGM (My Grandmother Marta), by Robi Polgar for the Austin Chronicle.", "topic": "Top/Arts/Literature/Cultural/Latino/Villarreal,_Edit", "url": "http://www.austinchronicle.com/issues/vol18/issue23/arts.exhibitionism.html"} +{"d:Title": "Storytellers: Native American Authors Online", "d:Description": "Author's biographies, statements, poetry and stories, essays, and bookstores for their work. Over 40 authors are represented.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://www.hanksville.org/storytellers/"} +{"d:Title": "Voices from the Gaps", "d:Description": "Biographies, writings, and links about American and Canadian female authors of color.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://voices.cla.umn.edu/"} +{"d:Title": "NativeWeb Resources: Literature", "d:Description": "Bibliographies, reviews, and links to past and contemporary authors.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://www.nativeweb.org/resources/literature/"} +{"d:Title": "Internet Public Library: Native American Authors", "d:Description": "Has information on authors with bibliographies, biographical information, and links to online resources including interviews, text and tribal web sites.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://www.ipl.org/div/natam/"} +{"d:Title": "Indiginous Peoples Literature", "d:Description": "Collection of modern and historical poems, essays and legends arranged by tribe and topic.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://indians.org/indigenous-peoples-literature.html"} +{"d:Title": "Native American Writers", "d:Description": "In-depth analysis of writers and poets with excerpts of their works, sound recordings, video and references.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://nativeamericanlit.com/"} +{"d:Title": "Early Native American Literature", "d:Description": "Authors of the eighteenth and nineteenth centuries with excerpts, bio, images, video and references.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://nativeamericanwriters.com/"} +{"d:Title": "Young Native Writers", "d:Description": "Holland Knight Foundation presents the text of the best essays written by high school students who aspire to become great writers.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://www.nativewriters.hklaw.com/"} +{"d:Title": "Storytellers- Circle of Stories", "d:Description": "Oral literature by tribal storytellers in text or audio files.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://www.pbs.org/circleofstories/storytellers/"} +{"d:Title": "Twentieth Century Native American Authors", "d:Description": "Has playwrights, poets and novelists with analysis, excerpts and bibliographies of their writing.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://nativeamerican-authors.com/"} +{"d:Title": "Studies in American Indian Literatures", "d:Description": "A Project Muse online journal offering full text and excerpts of poetry, short fiction, bibliographical essays and review essays.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://muse.jhu.edu/journals/ail/"} +{"d:Title": "Native Jewelry, Writing and Art", "d:Description": "Art forms including literature, painting, pottery, and jewelry with artist and writer biographies, analysis of works and culture.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://nativejewelrylit.com/"} +{"d:Title": "Native Literature and Legend", "d:Description": "Comparison of oral legend and literature with characteristics and examples of early and modern writing.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://nativeliterature.org/"} +{"d:Title": "Alaskool - Alaska Native Literature", "d:Description": "Text of literature written by Alaskan Native Americans, including chapters by Eskimo Joseph Senungetuk and lesson plans.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://www.alaskool.org/la_index.html"} +{"d:Title": "Children's and Young Adult Books by Native Americans", "d:Description": "Reviews and provides samples of text and illustrations of books, plays, novels and biographies.", "topic": "Top/Arts/Literature/Cultural/Native_American", "url": "http://cynthialeitichsmith.com/lit-resources/read/diversity/native-am/"} +{"d:Title": "Native American Authors: Cherokee Tribe", "d:Description": "Profiles and links about 47 different Cherokee authors.", "topic": "Top/Arts/Literature/Cultural/Native_American/Cherokee", "url": "http://www.ipl.org/div/natam/bin/browse.pl/t12"} +{"d:Title": "Literary Resources on the Net", "d:Description": "Extensive academic directory of Internet literary resources, maintained by Jack Lynch of Rutgers University.", "topic": "Top/Arts/Literature/Directories", "url": "http://andromeda.rutgers.edu/~jlynch/Lit/"} +{"d:Title": "Inlibris", "d:Description": "Large directory for literature sites and resources, including books, writers, workshops, and hypertexts, provided by literary publisher Badosa. Also in Spanish and Catalan.", "topic": "Top/Arts/Literature/Directories", "url": "http://www.inlibris.com/"} +{"d:Title": "Wisdom World Literature", "d:Description": "Provides a literary search engine and directory of over 500 categories.", "topic": "Top/Arts/Literature/Directories", "url": "http://thinkers.net/"} +{"d:Title": "SHARP", "d:Description": "The Society for the History of Authorship, Reading, and Publishing, featuring a wide variety of resources for those interested in the history of print culture in any place or period.", "topic": "Top/Arts/Literature/Directories", "url": "http://www.sharpweb.org/"} +{"d:Title": "LitLinks", "d:Description": "University of Alberta's guide to the best literary sites online.", "topic": "Top/Arts/Literature/Directories", "url": "http://www.humanities.mcmaster.ca/~mactavis/litlinks/"} +{"d:Title": "About: Contemporary Literature", "d:Description": "Links to book reviews, interviews, and literary essays.", "topic": "Top/Arts/Literature/Directories", "url": "http://contemporarylit.about.com/"} +{"d:Title": "Dustbooks", "d:Description": "A collection of directories of small publishers, magazine editors and publishers, plus the Small Press Review. Offers listing forms, advertising rates and order desk.", "topic": "Top/Arts/Literature/Directories", "url": "http://www.dustbooks.com/"} +{"d:Title": "The Online Books Page", "d:Description": "Features over 10,000 online books free to the public.", "topic": "Top/Arts/Literature/Directories", "url": "http://onlinebooks.library.upenn.edu/"} +{"d:Title": "Just Free Books", "d:Description": "Search the content of more than 550 web sites, including gutenberg.org, wikibooks.org, and archive.org. Find public domain texts, open books and audio books.", "topic": "Top/Arts/Literature/Directories", "url": "http://www.justfreebooks.info/"} +{"d:Title": "Monologue Archive", "d:Description": "An index of monologues for actors.", "topic": "Top/Arts/Literature/Drama", "url": "http://www.monologuearchive.com/"} +{"d:Title": "Greek and Roman Comedy", "d:Description": "A history of the comic drama, focusing on its origins and development in the works of Aristophanes, Menander, Plautus and Terence.", "topic": "Top/Arts/Literature/Drama", "url": "http://www.theatrehistory.com/ancient/comedy001.html"} +{"d:Title": "Archive of Performances of Greek and Roman Drama", "d:Description": "An Oxford project working towards the establishment of the international history of ancient drama in performance. Provides details on the project, events, and publications, as well as images and related links.", "topic": "Top/Arts/Literature/Drama", "url": "http://www.apgrd.ox.ac.uk/"} +{"d:Title": "EServer Drama Collection", "d:Description": "Collection of original plays and screenplays, criticism and links to other sites concerned with theatre.", "topic": "Top/Arts/Literature/Drama", "url": "http://drama.eserver.org/"} +{"d:Title": "Fertile Visions", "d:Description": "Discussion of Jacobean Revels and the Erotics of Occasion.", "topic": "Top/Arts/Literature/Drama", "url": "http://muse.jhu.edu/article/31015"} +{"d:Title": "Robert Greene", "d:Description": "A biographical sketch of the English dramatist.", "topic": "Top/Arts/Literature/Drama/16th_Century/Greene,_Robert", "url": "http://www.theatrehistory.com/british/greene001.html"} +{"d:Title": "Robert Greene (c.1558-1592)", "d:Description": "Biography of English dramatist Robert Greene, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/16th_Century/Greene,_Robert", "url": "http://www.imagi-nation.com/moonstruck/clsc98.html"} +{"d:Title": "Robert Greene: Poems", "d:Description": "An index of poems by Robert Green, including \"Fawnia\" and \"Sephestia's Lullaby.\"", "topic": "Top/Arts/Literature/Drama/16th_Century/Greene,_Robert", "url": "http://www.poetry-archive.com/g/greene_robert.html"} +{"d:Title": "John Heywood", "d:Description": "A biography of the English dramatist and epigrammatist.", "topic": "Top/Arts/Literature/Drama/16th_Century/Heywood,_John", "url": "http://www.theatrehistory.com/british/heywoodj001.html"} +{"d:Title": "John Heywood (1497-1580)", "d:Description": "An analysis of the interludes of the sixteenth century writer.", "topic": "Top/Arts/Literature/Drama/16th_Century/Heywood,_John", "url": "http://www.theatredatabase.com/16th_century/john_heywood_001.html"} +{"d:Title": "A Praise of his Lady", "d:Description": "Complete text of the poem by English dramatist John Heywood.", "topic": "Top/Arts/Literature/Drama/16th_Century/Heywood,_John", "url": "http://www.poetry-archive.com/h/a_praise_of_his_lady.html"} +{"d:Title": "Poet's Corner: John Heywood", "d:Description": "Selected poems by the English dramatist.", "topic": "Top/Arts/Literature/Drama/16th_Century/Heywood,_John", "url": "http://www.theotherpages.org/poems/poem-gh.html#heywood2"} +{"d:Title": "Infoplease: Heywood, John", "d:Description": "A brief biography of the English dramatist.", "topic": "Top/Arts/Literature/Drama/16th_Century/Heywood,_John", "url": "http://www.infoplease.com/encyclopedia/people/heywood-john.html"} +{"d:Title": "Anthony Munday: Poems", "d:Description": "An index of poems by sixteenth century dramatist Anthony Munday.", "topic": "Top/Arts/Literature/Drama/16th_Century/Munday,_Anthony", "url": "http://www.poetry-archive.com/m/munday_anthony.html"} +{"d:Title": "Anthony Munday", "d:Description": "A very brief biography.", "topic": "Top/Arts/Literature/Drama/16th_Century/Munday,_Anthony", "url": "http://www.infoplease.com/encyclopedia/people/munday-anthony.html"} +{"d:Title": "George Peele (1558-1596)", "d:Description": "Biography of English playwright George Peele, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/16th_Century/Peele,_George", "url": "http://www.imagi-nation.com/moonstruck/clsc84.html"} +{"d:Title": "George Peele", "d:Description": "A biographical sketch of the Elizabethan dramatist.", "topic": "Top/Arts/Literature/Drama/16th_Century/Peele,_George", "url": "http://www.theatrehistory.com/british/peele001.html"} +{"d:Title": "George Peele: Poems", "d:Description": "An index of poetry by George Peele.", "topic": "Top/Arts/Literature/Drama/16th_Century/Peele,_George", "url": "http://www.poetry-archive.com/p/peele_george.html"} +{"d:Title": "Catholic Encyclopedia: Torquato Tasso", "d:Description": "Biography of Italian author Torquato Tasso.", "topic": "Top/Arts/Literature/Drama/16th_Century/Tasso,_Torquato", "url": "http://www.newadvent.org/cathen/14463d.htm"} +{"d:Title": "Tasso and the Pastoral Drama", "d:Description": "Biography of Italian poet and dramatist Torquato Tasso.", "topic": "Top/Arts/Literature/Drama/16th_Century/Tasso,_Torquato", "url": "http://www.theatrehistory.com/italian/tasso.html"} +{"d:Title": "Aminta", "d:Description": "Summary and history of the most celebrated and influential pastoral drama of the Renaissance.", "topic": "Top/Arts/Literature/Drama/16th_Century/Tasso,_Torquato/Works", "url": "http://www.theatrehistory.com/italian/tasso002.html"} +{"d:Title": "Torquato Tasso: Poems", "d:Description": "An index of poems by Tasso.", "topic": "Top/Arts/Literature/Drama/16th_Century/Tasso,_Torquato/Works", "url": "http://www.poetry-archive.com/t/tasso_torquato.html"} +{"d:Title": "Gil Vicente: Poems", "d:Description": "An index of poems by Portuguese dramatist Gil Vicente.", "topic": "Top/Arts/Literature/Drama/16th_Century/Vicente,_Gil", "url": "http://www.poetry-archive.com/v/vicente_gil.html"} +{"d:Title": "Gil Vicente", "d:Description": "An encyclopedia entry on the Portuguese dramatist.", "topic": "Top/Arts/Literature/Drama/16th_Century/Vicente,_Gil", "url": "http://www.newadvent.org/cathen/15404b.htm"} +{"d:Title": "17th Century Theatre Database", "d:Description": "An archive of articles on 17th century theatre.", "topic": "Top/Arts/Literature/Drama/17th_Century", "url": "http://www.theatredatabase.com/17th_century/"} +{"d:Title": "Poems by 17th Century Dramatists", "d:Description": "An index of poems by some of the greatest dramatists of the seventeenth century, including Aphra Behn, William Congreve, and John Dryden.", "topic": "Top/Arts/Literature/Drama/17th_Century", "url": "http://www.poetry-archive.com/collections/17th_century_dramatists.html"} +{"d:Title": "Francis Beaumont: A Biographical Sketch", "d:Description": "Brief biography of English dramatist and poet Francis Beaumont.", "topic": "Top/Arts/Literature/Drama/17th_Century/Beaumont,_Francis", "url": "http://www.theatrehistory.com/british/beaumont001.html"} +{"d:Title": "Beaumont and Fletcher", "d:Description": "Biography of English dramatists Francis Beaumont and John Fletcher, plus links to purchase all of their works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Beaumont,_Francis", "url": "http://www.imagi-nation.com/moonstruck/clsc97.html"} +{"d:Title": "Richard Brome", "d:Description": "A biography of the Jacobean dramatist and servant of Ben Jonson.", "topic": "Top/Arts/Literature/Drama/17th_Century/Brome,_Richard", "url": "http://www.theatrehistory.com/british/brome001.html"} +{"d:Title": "The Merry Beggars", "d:Description": "A poetic selection from the play by Richard Brome.", "topic": "Top/Arts/Literature/Drama/17th_Century/Brome,_Richard", "url": "http://www.poetry-archive.com/b/the_merry_beggars.html"} +{"d:Title": "Richard Brome", "d:Description": "A brief biography of the English dramatist.", "topic": "Top/Arts/Literature/Drama/17th_Century/Brome,_Richard", "url": "http://search.eb.com/shakespeare/micro/87/68.html"} +{"d:Title": "Calderon and Lope de Vega", "d:Description": "A comparison of Spain's two greatest dramatists; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/17th_Century/Calder\u00f3n_de_la_Barca,_Pedro", "url": "http://www.theatredatabase.com/17th_century/calderon_and_lope_de_vega.html"} +{"d:Title": "Calderon", "d:Description": "Biography of Spanish dramatist Pedro Calderon de la Barca.", "topic": "Top/Arts/Literature/Drama/17th_Century/Calder\u00f3n_de_la_Barca,_Pedro", "url": "http://www.theatrehistory.com/spanish/calderon001.html"} +{"d:Title": "Pedro Calderon de la Barca: Poems", "d:Description": "An index of poems by Calderon, plus a brief biography and related links.", "topic": "Top/Arts/Literature/Drama/17th_Century/Calder\u00f3n_de_la_Barca,_Pedro", "url": "http://www.poetry-archive.com/c/calderon_de_la_barca_pedro.html"} +{"d:Title": "Famous Hispanics: Pedro Calderon de la Barca", "d:Description": "Biography of the Golden Age Spanish playwright.", "topic": "Top/Arts/Literature/Drama/17th_Century/Calder\u00f3n_de_la_Barca,_Pedro", "url": "http://coloquio.com/famosos/calderon.htm"} +{"d:Title": "Life is a Dream", "d:Description": "A synopsis of the play by Calderon.", "topic": "Top/Arts/Literature/Drama/17th_Century/Calder\u00f3n_de_la_Barca,_Pedro/Works", "url": "http://www.theatrehistory.com/spanish/calderon002.html"} +{"d:Title": "La vida es sue\u00f1o", "d:Description": "The original Spanish text of the famous monologue from Life Is a Dream, with hypertext translation and contextual analysis.", "topic": "Top/Arts/Literature/Drama/17th_Century/Calder\u00f3n_de_la_Barca,_Pedro/Works", "url": "http://wings.buffalo.edu/litgloss/calderon/text.shtml"} +{"d:Title": "The Odysseys of Homer, vol. 1", "d:Description": "Online edition of George Chapman's classic translation of Homer's epic the \"Odyssey\" originally published in folio, 1614-16.", "topic": "Top/Arts/Literature/Drama/17th_Century/Chapman,_George", "url": "http://www.bartleby.com/111/"} +{"d:Title": "George Chapman (c.1560-1634)", "d:Description": "Biography of Elizabethan playwright George Chapman, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Chapman,_George", "url": "http://www.imagi-nation.com/moonstruck/clsc85.html"} +{"d:Title": "George Chapman (?1559-1634)", "d:Description": "A biography of the English dramatist and poet.", "topic": "Top/Arts/Literature/Drama/17th_Century/Chapman,_George", "url": "http://www.theatrehistory.com/british/chapman001.html"} +{"d:Title": "Pierre Corneille", "d:Description": "Biography of French playwright Pierre Corneille, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Corneille,_Pierre", "url": "http://www.imagi-nation.com/moonstruck/clsc57.html"} +{"d:Title": "TheatreHistory.com: Pierre Corneille", "d:Description": "Biography of Pierre Corneille, the first great French dramatist.", "topic": "Top/Arts/Literature/Drama/17th_Century/Corneille,_Pierre", "url": "http://www.theatrehistory.com/french/corneille001.html"} +{"d:Title": "Pierre Corneille", "d:Description": "A biography of the French dramatist and analysis of his works.", "topic": "Top/Arts/Literature/Drama/17th_Century/Corneille,_Pierre", "url": "http://www.theatredatabase.com/17th_century/pierre_corneille_001.html"} +{"d:Title": "Pierre Corneille", "d:Description": "Several photos of the final resting place of French playwright Pierre Corneille with life and death information and interactive visitor comments. From Find A Grave.", "topic": "Top/Arts/Literature/Drama/17th_Century/Corneille,_Pierre", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6908"} +{"d:Title": "Wikipedia: Pierre Corneille", "d:Description": "Biography of the French dramatist from this collaborative online encyclopedia.", "topic": "Top/Arts/Literature/Drama/17th_Century/Corneille,_Pierre", "url": "http://en.wikipedia.org/wiki/Pierre_Corneille"} +{"d:Title": "Polyeucte", "d:Description": "Complete text of the play by Pierre Corneille.", "topic": "Top/Arts/Literature/Drama/17th_Century/Corneille,_Pierre/Works", "url": "http://www.bartleby.com/26/2/"} +{"d:Title": "The Cid", "d:Description": "A synopsis of the play by Pierre Corneille.", "topic": "Top/Arts/Literature/Drama/17th_Century/Corneille,_Pierre/Works", "url": "http://www.theatrehistory.com/french/corneille002.html"} +{"d:Title": "Sir William Davenant", "d:Description": "A biography of the English dramatist and poet laureate, sometimes rumored to be the illegitimate son of William Shakespeare.", "topic": "Top/Arts/Literature/Drama/17th_Century/Davenant,_William", "url": "http://www.theatredatabase.com/17th_century/sir_william_davenant.html"} +{"d:Title": "William Davenant: Poems", "d:Description": "An index of poems by Sir William Davenant.", "topic": "Top/Arts/Literature/Drama/17th_Century/Davenant,_William", "url": "http://www.poetry-archive.com/d/davenant_william.html"} +{"d:Title": "Shakespeare and Mrs. Davenant", "d:Description": "An account of Shakespeare's affair with the wife of an innkeeper, and the illegitimate son this affair may have produced.", "topic": "Top/Arts/Literature/Drama/17th_Century/Davenant,_William", "url": "http://www.theatrehistory.com/british/shakespeare028.html"} +{"d:Title": "William Davenant", "d:Description": "A brief biography.", "topic": "Top/Arts/Literature/Drama/17th_Century/Davenant,_William", "url": "http://search.eb.com/shakespeare/micro/160/59.html"} +{"d:Title": "Thomas Dekker (1570-1632)", "d:Description": "Biography of English playwright Thomas Dekker, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dekker,_Thomas", "url": "http://www.imagi-nation.com/moonstruck/clsc80.html"} +{"d:Title": "The Life of Thomas Dekker (1570?-1632)", "d:Description": "Brief biography of playwright Thomas Dekker.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dekker,_Thomas", "url": "http://www.luminarium.org/sevenlit/dekker/dekkerbio.htm"} +{"d:Title": "Thomas Dekker", "d:Description": "Discussion of Dekker's role in English drama, from The Cambridge History of English and American Literature.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dekker,_Thomas", "url": "http://bartleby.com/214/1624.html"} +{"d:Title": "Thomas Dekker", "d:Description": "A biography of the Elizabethan dramatist and analysis of his works.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dekker,_Thomas", "url": "http://www.theatrehistory.com/british/dekker001.html"} +{"d:Title": "Thomas Dekker (1570-1632)", "d:Description": "A biography of the Elizabethan dramatist; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dekker,_Thomas", "url": "http://www.theatredatabase.com/17th_century/thomas_dekker_001.html"} +{"d:Title": "Thomas Dekker: Poems", "d:Description": "An index of poetry by Thomas Dekker.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dekker,_Thomas", "url": "http://www.poetry-archive.com/d/dekker_thomas.html"} +{"d:Title": "Thomas Dekker: Monologues", "d:Description": "An index of monologues by Thomas Dekker.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dekker,_Thomas", "url": "http://www.monologuearchive.com/d/dekker_thomas.html"} +{"d:Title": "Virgil's Aeneid - Internet Classics Archive", "d:Description": "Text of Dryden's translation.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://classics.mit.edu/Virgil/aeneid.html"} +{"d:Title": "John Dryden", "d:Description": "Biography of English dramatist John Dryden, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc76.html"} +{"d:Title": "Catholic Encyclopedia: John Dryden", "d:Description": "A helpful introductory biography.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://www.newadvent.org/cathen/05167b.htm"} +{"d:Title": "John Dryden", "d:Description": "From the syllabus of Arnie Sanders at Goucher College: includes short summaries of selected works, research questions.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://faculty.goucher.edu/eng211/john_dryden_macflecnoe_.htm"} +{"d:Title": "John Dryden", "d:Description": "A biography of the English poet, dramatist, and critic.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://www.theatrehistory.com/british/dryden001.html"} +{"d:Title": "John Dryden: Poems", "d:Description": "An index of poems by John Dryden, including 'Mac Flecknoe' and 'One Happy Moment.'", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://www.poetry-archive.com/d/dryden_john.html"} +{"d:Title": "All for Love", "d:Description": "E-text of Dryden's adaptation of Shakespeare's \"Antony and Cleopatra.\"", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://www.bibliomania.com/0/6/192/1089/"} +{"d:Title": "John Dryden (1631-1700)", "d:Description": "A biography of English dramatist John Dryden; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/17th_Century/Dryden,_John", "url": "http://www.theatredatabase.com/17th_century/john_dryden_001.html"} +{"d:Title": "Beaumont and Fletcher", "d:Description": "Biography of English dramatists.", "topic": "Top/Arts/Literature/Drama/17th_Century/Fletcher,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc97.html"} +{"d:Title": "Theatre History: John Fletcher", "d:Description": "Biographical sketch.", "topic": "Top/Arts/Literature/Drama/17th_Century/Fletcher,_John", "url": "http://www.theatrehistory.com/british/fletcher001.html"} +{"d:Title": "John Fletcher: Poems", "d:Description": "A collection of poetry by John Fletcher.", "topic": "Top/Arts/Literature/Drama/17th_Century/Fletcher,_John", "url": "http://www.poetry-archive.com/f/fletcher_john.html"} +{"d:Title": "Monologue Archive: John Fletcher", "d:Description": "List of excerpts suitable for presentation as monologues.", "topic": "Top/Arts/Literature/Drama/17th_Century/Fletcher,_John", "url": "http://www.monologuearchive.com/f/fletcher_john.html"} +{"d:Title": "Philaster", "d:Description": "Etext from the Harvard Classics.", "topic": "Top/Arts/Literature/Drama/17th_Century/Fletcher,_John", "url": "http://www.bartleby.com/47/3/"} +{"d:Title": "John Ford (c.1586-1640)", "d:Description": "Biography of English playwright John Ford, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Ford,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc81.html"} +{"d:Title": "John Ford: Poems", "d:Description": "An index of Poems by Elizabethan dramatist John Ford.", "topic": "Top/Arts/Literature/Drama/17th_Century/Ford,_John", "url": "http://www.poetry-archive.com/f/ford_john.html"} +{"d:Title": "Thomas Heywood", "d:Description": "A biography of the English dramatist, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Heywood,_Thomas", "url": "http://www.theatrehistory.com/british/heywoodt001.html"} +{"d:Title": "Thomas Heywood: Poems", "d:Description": "An index of poems by the Elizabethan dramatist.", "topic": "Top/Arts/Literature/Drama/17th_Century/Heywood,_Thomas", "url": "http://www.poetry-archive.com/h/heywood_thomas.html"} +{"d:Title": "Thomas Heywood: Monologues", "d:Description": "An index of monologues by Thomas Heywood.", "topic": "Top/Arts/Literature/Drama/17th_Century/Heywood,_Thomas", "url": "http://www.monologuearchive.com/h/heywood_thomas.html"} +{"d:Title": "Infoplease: Heywood, Thomas", "d:Description": "A brief biography of the English dramatist.", "topic": "Top/Arts/Literature/Drama/17th_Century/Heywood,_Thomas", "url": "http://www.infoplease.com/encyclopedia/people/heywood-thomas.html"} +{"d:Title": "TheatreHistory.com: Philip Massinger", "d:Description": "Biography of English dramatist Philip Massinger and brief analysis of his works.", "topic": "Top/Arts/Literature/Drama/17th_Century/Massinger,_Philip", "url": "http://www.theatrehistory.com/british/massinger001.html"} +{"d:Title": "Philip Massinger (1583-1640)", "d:Description": "Biography of English playwright Philip Massinger, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Massinger,_Philip", "url": "http://www.imagi-nation.com/moonstruck/clsc89.html"} +{"d:Title": "Philip Massinger. Eliot, T.S. 1920. The Sacred Wood.", "d:Description": "T.S. Eliot's essay on playwright Philip Massinger from \"The Sacred Wood.\"", "topic": "Top/Arts/Literature/Drama/17th_Century/Massinger,_Philip", "url": "http://www.bartleby.com/200/sw11.html"} +{"d:Title": "A New Way to Pay Old Debts", "d:Description": "Massinger's comedy of the comeuppance of the villanous Sir Giles Overreach at the hands of his morally righteous victims.", "topic": "Top/Arts/Literature/Drama/17th_Century/Massinger,_Philip", "url": "http://www.bartleby.com/47/5/"} +{"d:Title": "Philip Massinger", "d:Description": "A biography of the English dramatist; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/17th_Century/Massinger,_Philip", "url": "http://www.theatredatabase.com/17th_century/philip_massinger_001.html"} +{"d:Title": "Philip Massinger: Poems", "d:Description": "An index of poems by Elizabethan dramatist Philip Massinger.", "topic": "Top/Arts/Literature/Drama/17th_Century/Massinger,_Philip", "url": "http://www.poetry-archive.com/m/massinger_philip.html"} +{"d:Title": "Chikamatsu Monzaemon", "d:Description": "Biography of Japanese playwright Chikamatsu Monzaemon, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Monzaemon,_Chikamatsu", "url": "http://www.imagi-nation.com/moonstruck/clsc62.html"} +{"d:Title": "Chikamatsu Monzaemon", "d:Description": "An overview of the Japanese dramatist and the period in which he wrote.", "topic": "Top/Arts/Literature/Drama/17th_Century/Monzaemon,_Chikamatsu", "url": "http://www.washburn.edu/reference/bridge24/Chickamatsu.html"} +{"d:Title": "Jean Racine", "d:Description": "Biography of French playwright Jean Racine, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Racine,_Jean", "url": "http://www.imagi-nation.com/moonstruck/clsc56.html"} +{"d:Title": "Jean Racine: His Childhood and Early Career", "d:Description": "A biographical account of French dramatist Jean Racine--his formative years, his early poems, and his relationship with the older dramatist, Moliere.", "topic": "Top/Arts/Literature/Drama/17th_Century/Racine,_Jean", "url": "http://www.theatrehistory.com/french/racine001.html"} +{"d:Title": "Racine, Jean (Catholic Encyclopedia)", "d:Description": "Brief biography of dramatist Jean Racine.", "topic": "Top/Arts/Literature/Drama/17th_Century/Racine,_Jean", "url": "http://www.newadvent.org/cathen/12630b.htm"} +{"d:Title": "Jean Racine", "d:Description": "A biography of the French dramatist, and analysis of his works.", "topic": "Top/Arts/Literature/Drama/17th_Century/Racine,_Jean", "url": "http://www.theatredatabase.com/17th_century/jean_racine_001.html"} +{"d:Title": "Bartleby.com: Jean Racine", "d:Description": "Includes brief biography, quotations, and etext of Phaedra.", "topic": "Top/Arts/Literature/Drama/17th_Century/Racine,_Jean/Works", "url": "http://www.bartleby.com/people/Racine-J.html"} +{"d:Title": "Andromaque", "d:Description": "A summary of Jean Racine's tragedy 'Andromaque.'", "topic": "Top/Arts/Literature/Drama/17th_Century/Racine,_Jean/Works/Andromaque", "url": "http://www.theatrehistory.com/french/andromaque001.html"} +{"d:Title": "Bartleby.com: The Age of Dryden", "d:Description": "Volume Eight of The Cambridge History of English and American Literature, edited by Edited by A. W. Ward and A. R. Waller.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration", "url": "http://www.bartleby.com/218/index.html"} +{"d:Title": "Restoration: Politics", "d:Description": "Includes clips from Miramax's film \"Restoration\" and links to Internet resources on authors and political figures.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration", "url": "http://archives.obs-us.com/obs/english/films/mx/rest/pol.htm"} +{"d:Title": "Restoration Drama", "d:Description": "An overview of Restoration theatre; includes information on the appearance of women on the English stage, the persistence of Elizabethan plays, parody of heroic drama, the nature of Restoration comedy, women playwrights, and Collier's attack on the stage.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration", "url": "http://www.theatrehistory.com/british/restoration_drama_001.html"} +{"d:Title": "Restoration and Eighteenth Century English Dramatic Criticism", "d:Description": "An overview of English dramatic criticism during the 17th and 18th centuries; includes an analysis of Collier's attack on the stage.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration", "url": "http://www.theatredatabase.com/18th_century/restoration_and_18th_century_english_dramatic_criticism.html"} +{"d:Title": "Moli\u00e8re: A Doctor in Spite of Himself", "d:Description": "Synopsis of the play.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration", "url": "http://medhum.med.nyu.edu/person/2809"} +{"d:Title": "Thomas Otway", "d:Description": "A brief biography of the Restoration dramatist.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration/Otway,_Thomas", "url": "http://www.theatrehistory.com/british/otway001.html"} +{"d:Title": "Thomas Otway: Poems", "d:Description": "An index of poems by Otway.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration/Otway,_Thomas", "url": "http://www.poetry-archive.com/o/otway_thomas.html"} +{"d:Title": "Thomas Otway (1652-1685)", "d:Description": "Library of Congress Citations.", "topic": "Top/Arts/Literature/Drama/17th_Century/Restoration/Otway,_Thomas", "url": "http://records.viu.ca/~mcneil/cit/citlcotway.htm"} +{"d:Title": "James Shirley - Catholic Encyclopedia", "d:Description": "Encyclopedia entry for English poet and dramatist James Shirley.", "topic": "Top/Arts/Literature/Drama/17th_Century/Shirley,_James", "url": "http://www.newadvent.org/cathen/16074a.htm"} +{"d:Title": "James Shirley (1596-1666)", "d:Description": "Biography of Elizabethan playwright James Shirley, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/17th_Century/Shirley,_James", "url": "http://www.imagi-nation.com/moonstruck/clsc82.html"} +{"d:Title": "James Shirley: Poems", "d:Description": "An index of poems by the English dramatist.", "topic": "Top/Arts/Literature/Drama/17th_Century/Shirley,_James", "url": "http://www.poetry-archive.com/s/shirley_james.html"} +{"d:Title": "Poets' Corner - James Shirley - Selected Works", "d:Description": "Several poems by Elizabethan dramatist James Shirley.", "topic": "Top/Arts/Literature/Drama/17th_Century/Shirley,_James", "url": "http://www.theotherpages.org/poems/poem-st.html#shirley"} +{"d:Title": "Cyril Tourneur: Poems", "d:Description": "Several poems by English dramatist Cyril Tourneur.", "topic": "Top/Arts/Literature/Drama/17th_Century/Tourneur,_Cyril", "url": "http://www.poetry-archive.com/t/tourneur_cyril.html"} +{"d:Title": "William Wycherley (1641-1715)", "d:Description": "Biography of English dramatist William Wycherley and a brief analysis of his two most popular plays.", "topic": "Top/Arts/Literature/Drama/17th_Century/Wycherley,_William", "url": "http://www.imagi-nation.com/moonstruck/clsc95.html"} +{"d:Title": "William Wycherley: Poems", "d:Description": "An index of poems by William Wycherley.", "topic": "Top/Arts/Literature/Drama/17th_Century/Wycherley,_William", "url": "http://www.poetry-archive.com/w/wycherley_william.html"} +{"d:Title": "18th Century Theatre Database", "d:Description": "An archive of articles on eighteenth century theatre.", "topic": "Top/Arts/Literature/Drama/18th_Century", "url": "http://www.theatredatabase.com/18th_century/"} +{"d:Title": "Eighteenth-Century Chronology", "d:Description": "Lists major literary and dramatic works by year from 1660 to 1800.", "topic": "Top/Arts/Literature/Drama/18th_Century", "url": "http://andromeda.rutgers.edu/~jlynch/Chron/"} +{"d:Title": "Pierre Augustin Caron de Beaumarchais (1732-1799)", "d:Description": "A biography of the French dramatist Beaumarchais.", "topic": "Top/Arts/Literature/Drama/18th_Century/Beaumarchais,_Pierre_Augustin_Caron_de", "url": "http://www.theatrehistory.com/french/beaumarchais001.html"} +{"d:Title": "Find a Grave: Pierre Augustin Caron de Beaumarchais", "d:Description": "Photos of the grave of the French musician, politician, inventor, spy, arms dealer and playwright.", "topic": "Top/Arts/Literature/Drama/18th_Century/Beaumarchais,_Pierre_Augustin_Caron_de", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3686"} +{"d:Title": "George Farquhar (1677-1707)", "d:Description": "Biography of dramatist George Farquhar, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/18th_Century/Farquhar,_George", "url": "http://www.imagi-nation.com/moonstruck/clsc94.html"} +{"d:Title": "FactMonster: Farquhar, George", "d:Description": "Brief biographical note on dramatist George Farquhar.", "topic": "Top/Arts/Literature/Drama/18th_Century/Farquhar,_George", "url": "http://www.factmonster.com/ce6/people/A0818289.html"} +{"d:Title": "George Farquhar", "d:Description": "A biography of the Irish-born dramatist. Includes a list of related links.", "topic": "Top/Arts/Literature/Drama/18th_Century/Farquhar,_George", "url": "http://www.theatredatabase.com/18th_century/george_farquhar_001.html"} +{"d:Title": "George Farquhar: Poems", "d:Description": "An index of the poetry of Irish dramatist George Farquhar.", "topic": "Top/Arts/Literature/Drama/18th_Century/Farquhar,_George", "url": "http://www.poetry-archive.com/f/farquhar_george.html"} +{"d:Title": "Carlo Goldoni (1707-1793)", "d:Description": "Biography of Italian dramatist Carlo Goldoni, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/18th_Century/Goldoni,_Carlo", "url": "http://www.imagi-nation.com/moonstruck/clsc93.html"} +{"d:Title": "Catholic Encyclopedia: Carlo Goldoni", "d:Description": "Brief biography of the Italian dramatist.", "topic": "Top/Arts/Literature/Drama/18th_Century/Goldoni,_Carlo", "url": "http://www.newadvent.org/cathen/06631a.htm"} +{"d:Title": "Carlo Goldoni", "d:Description": "A biography of Italian dramatist Carlo Goldoni; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/18th_Century/Goldoni,_Carlo", "url": "http://www.theatredatabase.com/18th_century/carlo_goldoni_001.html"} +{"d:Title": "Oliver Goldsmith", "d:Description": "A biography of the Irish playwright.", "topic": "Top/Arts/Literature/Drama/18th_Century/Goldsmith,_Oliver", "url": "http://www.theatrehistory.com/british/goldsmith001.html"} +{"d:Title": "A Comparison between Laughing and Sentimental Comedy", "d:Description": "An essay on the theatre by Oliver Goldsmith.", "topic": "Top/Arts/Literature/Drama/18th_Century/Goldsmith,_Oliver/Works", "url": "http://www.ourcivilisation.com/smartboard/shop/goldsmth/theatre/index.htm"} +{"d:Title": "Romantic Natural History: Oliver Goldsmith", "d:Description": "Includes quotes and illustrations from Animated Nature, A History of Animals, and A History of the Earth.", "topic": "Top/Arts/Literature/Drama/18th_Century/Goldsmith,_Oliver/Works", "url": "http://users.dickinson.edu/~nicholsa/Romnat/goldsmith.htm"} +{"d:Title": "Catholic Encyclopedia: Carlo Gozzi", "d:Description": "Biography of Italian author Carlo Gozzi.", "topic": "Top/Arts/Literature/Drama/18th_Century/Gozzi,_Carlo", "url": "http://www.newadvent.org/cathen/06688a.htm"} +{"d:Title": "The Three Oranges", "d:Description": "Summary of the commedia sketch by Carlo Gozzi.", "topic": "Top/Arts/Literature/Drama/18th_Century/Gozzi,_Carlo/Works", "url": "http://www.theatrehistory.com/italian/gozzi002.html"} +{"d:Title": "Carlo Gozzi", "d:Description": "Website devoted to the life and works of the Italian dramatist. (In Italian)", "topic": "Top/Arts/Literature/Drama/18th_Century/Gozzi,_Carlo/Works", "url": "http://www.carlogozzi.com/"} +{"d:Title": "Theatre Database: Gotthold Ephraim Lessing (1729-1781)", "d:Description": "A biography of the German dramatist and analysis of his theory of drama.", "topic": "Top/Arts/Literature/Drama/18th_Century/Lessing,_Gotthold_Ephraim", "url": "http://www.theatredatabase.com/18th_century/gotthold_ephraim_lessing_001.html"} +{"d:Title": "Lessing, Gotthold Ephraim (1729-1781)", "d:Description": "Fairly lengthy biographical entry for the German critic and dramatist, from the 1911 Encyclop\u00e6dia Britannica. Includes bibliography.", "topic": "Top/Arts/Literature/Drama/18th_Century/Lessing,_Gotthold_Ephraim", "url": "http://encyclopedia.jrank.org/LEO_LOB/LESSING_GOTTHOLD_EPHRAIM_172917.html"} +{"d:Title": "Wikipedia: Gotthold Ephraim Lessing", "d:Description": "Introduction to the life and works of this eighteenth-century German writer.", "topic": "Top/Arts/Literature/Drama/18th_Century/Lessing,_Gotthold_Ephraim", "url": "http://en.wikipedia.org/wiki/Gotthold_Ephraim_Lessing"} +{"d:Title": "Pietri Metastasio (Catholic Encyclopedia)", "d:Description": "Brief biography of the Italian librettist.", "topic": "Top/Arts/Literature/Drama/18th_Century/Metastasio,_Pietro", "url": "http://www.newadvent.org/cathen/10234a.htm"} +{"d:Title": "Metastasio", "d:Description": "Biography of Italian poet, dramatist and librettist Pietro Metastasio.", "topic": "Top/Arts/Literature/Drama/18th_Century/Metastasio,_Pietro", "url": "http://www.theatrehistory.com/italian/metastasio.html"} +{"d:Title": "Pietro Metastasio: Song Texts", "d:Description": "Lyrics from songs by the Italian librettist.", "topic": "Top/Arts/Literature/Drama/18th_Century/Metastasio,_Pietro", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=1853"} +{"d:Title": "TheatreHistory.com: Richard Brinsley Sheridan (1751-1816)", "d:Description": "1911 Encyclop\u00e6dia Britannica entry on the Irish-born playwright, and a synopsis of his comedy A School for Scandal.", "topic": "Top/Arts/Literature/Drama/18th_Century/Sheridan,_Richard_Brinsley", "url": "http://www.theatrehistory.com/irish/sheridan001.html"} +{"d:Title": "Bartleby.com: Richard Brinsley Sheridan", "d:Description": "Portrait, quotations, and the text of his comedy The School for Scandal.", "topic": "Top/Arts/Literature/Drama/18th_Century/Sheridan,_Richard_Brinsley", "url": "http://www.bartleby.com/people/SheridanR.html"} +{"d:Title": "Wikipedia: Richard Brinsley Sheridan", "d:Description": "Introduction to the playwright and politician, with hyperlinks to related topics.", "topic": "Top/Arts/Literature/Drama/18th_Century/Sheridan,_Richard_Brinsley", "url": "http://en.wikipedia.org/wiki/Richard_Brinsley_Sheridan"} +{"d:Title": "Richard Sheridan", "d:Description": "A biography of the playwright and MP for Stafford, for schoolchildren.", "topic": "Top/Arts/Literature/Drama/18th_Century/Sheridan,_Richard_Brinsley", "url": "http://spartacus-educational.com/PRsheridan.htm"} +{"d:Title": "Drama in the Nineteenth Century", "d:Description": "A history of dramatic literature as it developed during the nineteenth century.", "topic": "Top/Arts/Literature/Drama/19th_Century", "url": "http://www.imagi-nation.com/moonstruck/clsc90w1.html"} +{"d:Title": "19th Century Theatre Database", "d:Description": "An archive of articles on nineteenth century theatre.", "topic": "Top/Arts/Literature/Drama/19th_Century", "url": "http://www.theatredatabase.com/19th_century/"} +{"d:Title": "Poems by 19th Century Dramatists", "d:Description": "An index of poems by nineteenth century dramatists such as Henrik Ibsen, Oscar Wilde, and Victor Hugo.", "topic": "Top/Arts/Literature/Drama/19th_Century", "url": "http://www.poetry-archive.com/collections/19th_century_dramatists.html"} +{"d:Title": "Sand, George: Gabriel", "d:Description": "Synopsis of the play.", "topic": "Top/Arts/Literature/Drama/19th_Century", "url": "http://medhum.med.nyu.edu/person/2284"} +{"d:Title": "Dion Boucicault (1822-1890)", "d:Description": "A biography of the Irish dramatist and actor.", "topic": "Top/Arts/Literature/Drama/19th_Century/Boucicault,_Dion", "url": "http://www.theatrehistory.com/irish/boucicault001.html"} +{"d:Title": "The Victorian Web - Dion Boucicault", "d:Description": "An overview of the life and works of the Anglo-Irish dramatist.", "topic": "Top/Arts/Literature/Drama/19th_Century/Boucicault,_Dion", "url": "http://www.victorianweb.org/mt/boucicault/pva230.html"} +{"d:Title": "Internet Broadway Database: Dion Boucicault", "d:Description": "A complete list of the Irish dramatist's Broadway credits.", "topic": "Top/Arts/Literature/Drama/19th_Century/Boucicault,_Dion", "url": "https://www.ibdb.com/broadway-cast-staff/dion-boucicault-5050"} +{"d:Title": "Alexander Dumas (fils)", "d:Description": "A biography of the French dramatist and synopsis of his plays.", "topic": "Top/Arts/Literature/Drama/19th_Century/Dumas,_Alexander", "url": "http://www.theatrehistory.com/french/dumasfils001.html"} +{"d:Title": "Alexandre Dumas the younger", "d:Description": "A brief overview of the works and career of this French playwright.", "topic": "Top/Arts/Literature/Drama/19th_Century/Dumas,_Alexander", "url": "http://www.theatredatabase.com/19th_century/alexandre_dumas_001.html"} +{"d:Title": "Jose Echegaray Y Eizaguirre", "d:Description": "Information on the 1904 Nobel Laureate in Literature.", "topic": "Top/Arts/Literature/Drama/19th_Century/Echegaray,_Jose", "url": "http://almaz.com/nobel/literature/1904b.html"} +{"d:Title": "Jose Echegaray (1832-1916)", "d:Description": "A biography of the Spanish dramatist, statesman and mathematician.", "topic": "Top/Arts/Literature/Drama/19th_Century/Echegaray,_Jose", "url": "http://www.theatrehistory.com/spanish/echegaray001.html"} +{"d:Title": "Jose Echegaray y Eizaguirre", "d:Description": "A brief biography.", "topic": "Top/Arts/Literature/Drama/19th_Century/Echegaray,_Jose", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1904/eizaguirre-bio.html"} +{"d:Title": "Sonnets.org: Edgar Fawcett", "d:Description": "An index of sonnets.", "topic": "Top/Arts/Literature/Drama/19th_Century/Fawcett,_Edgar", "url": "http://www.sonnets.org/fawcett.htm"} +{"d:Title": "Poetry Archive: Edgar Fawcett", "d:Description": "An index of poems by Edgar Fawcett, including \"Fireflies\" and \"Medusa.\"", "topic": "Top/Arts/Literature/Drama/19th_Century/Fawcett,_Edgar", "url": "http://www.poetry-archive.com/f/fawcett_edgar.html"} +{"d:Title": "The New King Arthur", "d:Description": "Complete text of the play by Edgar Fawcett.", "topic": "Top/Arts/Literature/Drama/19th_Century/Fawcett,_Edgar", "url": "http://d.lib.rochester.edu/camelot/text/fawcett-new-king-arthur"} +{"d:Title": "Alfred Jarry (1873-1907)", "d:Description": "Biography of French playwright Alfred Jarry, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/19th_Century/Jarry,_Alfred", "url": "http://www.imagi-nation.com/moonstruck/clsc2.htm"} +{"d:Title": "Henry Arthur Jones", "d:Description": "A biography of the English dramatist.", "topic": "Top/Arts/Literature/Drama/19th_Century/Jones,_Henry_Arthur", "url": "http://www.theatrehistory.com/british/jones001.html"} +{"d:Title": "Henry Arthur Jones (1851-1929)", "d:Description": "A brief biography of the English dramatist; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/19th_Century/Jones,_Henry_Arthur", "url": "http://www.theatredatabase.com/19th_century/henry_arthur_jones_001.html"} +{"d:Title": "Henry Arthur Jones: Monologues", "d:Description": "An index of monologues from the plays of British dramatist Henry Arthur Jones.", "topic": "Top/Arts/Literature/Drama/19th_Century/Jones,_Henry_Arthur", "url": "http://www.monologuearchive.com/j/jones_henry_arthur.html"} +{"d:Title": "Internet Broadway Database: Henry Arthur Jones", "d:Description": "A list of the British dramatist's Broadway credits.", "topic": "Top/Arts/Literature/Drama/19th_Century/Jones,_Henry_Arthur", "url": "https://www.ibdb.com/broadway-cast-staff/henry-arthur-jones-6221"} +{"d:Title": "Alexander Ostrovsky", "d:Description": "A biography of Russian dramatist Alexander Ostrovsky, and analysis of his dramatic works.", "topic": "Top/Arts/Literature/Drama/19th_Century/Ostrovsky,_Alexander", "url": "http://www.theatredatabase.com/19th_century/alexander_ostrovsky_001.html"} +{"d:Title": "Alexander Ostrovsky: Monologues", "d:Description": "An index of monologues by Russian dramatist Alexander Ostrovsky.", "topic": "Top/Arts/Literature/Drama/19th_Century/Ostrovsky,_Alexander", "url": "http://www.monologuearchive.com/o/ostrovsky_alexander.html"} +{"d:Title": "Olga's Gallery: Alexander Ostrovsky", "d:Description": "A brief biography and portrait of the Russian author.", "topic": "Top/Arts/Literature/Drama/19th_Century/Ostrovsky,_Alexander", "url": "http://www.abcgallery.com/liter/ostrovsky.html"} +{"d:Title": "IBDB - Alexander Nikolaievitch Ostrovsky", "d:Description": "A list of Broadway credits attributed to the Russian playwright.", "topic": "Top/Arts/Literature/Drama/19th_Century/Ostrovsky,_Alexander", "url": "https://www.ibdb.com/broadway-cast-staff/alexander-nikolaievitch-ostrovsky-3853"} +{"d:Title": "Arthur Wing Pinero", "d:Description": "Biography of English playwright Arthur Wing Pinero, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/19th_Century/Pinero,_Arthur_Wing", "url": "http://www.imagi-nation.com/moonstruck/clsc71.html"} +{"d:Title": "Arthur Wing Pinero", "d:Description": "A biography of the British dramatist, plus a synopsis of his most famous play.", "topic": "Top/Arts/Literature/Drama/19th_Century/Pinero,_Arthur_Wing", "url": "http://www.theatrehistory.com/british/pinero001.html"} +{"d:Title": "Arthur Wing Pinero", "d:Description": "A brief overview of Pinero's life and career.", "topic": "Top/Arts/Literature/Drama/19th_Century/Pinero,_Arthur_Wing", "url": "http://www.theatredatabase.com/19th_century/arthur_wing_pinero_001.html"} +{"d:Title": "Arthur Wing Pinero: Monologues", "d:Description": "An index of monologues and Pinero-related links.", "topic": "Top/Arts/Literature/Drama/19th_Century/Pinero,_Arthur_Wing", "url": "http://www.monologuearchive.com/p/pinero_arthur_wing.html"} +{"d:Title": "Edmond Rostand", "d:Description": "A biography of the French dramatist.", "topic": "Top/Arts/Literature/Drama/19th_Century/Rostand,_Edmond", "url": "http://www.theatrehistory.com/french/rostand001.html"} +{"d:Title": "Cyrano de Bergerac", "d:Description": "A synopsis of the play by Edmond Rostand.", "topic": "Top/Arts/Literature/Drama/19th_Century/Rostand,_Edmond/Works", "url": "http://www.theatrehistory.com/french/rostand002.html"} +{"d:Title": "Cyrano de Bergerac", "d:Description": "Classic Bookshelf Java-based viewer with automatic bookmarking.", "topic": "Top/Arts/Literature/Drama/19th_Century/Rostand,_Edmond/Works", "url": "http://www.classicbookshelf.com/library/Rostand/"} +{"d:Title": "Moonstruck Drama Bookstore: Arthur Schnitzler", "d:Description": "Edited version of biographical article by Ashley Dukes.", "topic": "Top/Arts/Literature/Drama/19th_Century/Schnitzler,_Arthur", "url": "http://www.imagi-nation.com/moonstruck/clsc87.html"} +{"d:Title": "Wikipedia: Arthur Schnitzler", "d:Description": "Encyclopedia-style article, with links to related topics.", "topic": "Top/Arts/Literature/Drama/19th_Century/Schnitzler,_Arthur", "url": "http://en.wikipedia.org/wiki/Arthur_Schnitzler"} +{"d:Title": "The Androom Archive: Schnitzler, Arthur", "d:Description": "Very brief biography, who's related, and a photo of his grave.", "topic": "Top/Arts/Literature/Drama/19th_Century/Schnitzler,_Arthur", "url": "http://www.xs4all.nl/~androom/biography/p025839.htm"} +{"d:Title": "IMDb: Arthur Schnitzler", "d:Description": "Filmography. Movies and television broadcasts based on his works.", "topic": "Top/Arts/Literature/Drama/19th_Century/Schnitzler,_Arthur", "url": "http://www.imdb.com/name/nm0774217/"} +{"d:Title": "Who Is Arthur Schnitzler?", "d:Description": "Barrett Brountas introduces the Viennese writer.", "topic": "Top/Arts/Literature/Drama/19th_Century/Schnitzler,_Arthur", "url": "http://slate.com/id/1003245/"} +{"d:Title": "The \"Eyes Wide Shut\" Austrian Connection", "d:Description": "Released in North America on July 16, 1999, Stanley Kubrick's last film, \"Eyes Wide Shut,\" starring Tom Cruise and Nicole Kidman, is based on a work by the Austrian author, playwright, and physician Arthur Schnitzler ...", "topic": "Top/Arts/Literature/Drama/19th_Century/Schnitzler,_Arthur", "url": "http://german.about.com/library/weekly/aa071999.htm?once=true&"} +{"d:Title": "Literature, Arts,&Medicine Database: Schnitzler, Arthur", "d:Description": "Comments on some of his literary works, from a medical perspective.", "topic": "Top/Arts/Literature/Drama/19th_Century/Schnitzler,_Arthur", "url": "http://medhum.med.nyu.edu/person/3125"} +{"d:Title": "Hermann Sudermann", "d:Description": "A biography of the German dramatist.", "topic": "Top/Arts/Literature/Drama/19th_Century/Sudermann,_Hermann", "url": "http://www.theatrehistory.com/german/sudermann001.html"} +{"d:Title": "Fact Monster: Sudermann, Hermann", "d:Description": "A brief biography of the German playwright.", "topic": "Top/Arts/Literature/Drama/19th_Century/Sudermann,_Hermann", "url": "http://www.factmonster.com/ce6/people/A0847103.html"} +{"d:Title": "Internet Broadway Database: Hermann Sudermann", "d:Description": "A list of the German dramatist's Broadway credits.", "topic": "Top/Arts/Literature/Drama/19th_Century/Sudermann,_Hermann", "url": "https://www.ibdb.com/broadway-cast-staff/hermann-sudermann-6293"} +{"d:Title": "Cavalleria Rusticana (Rustic Chivalry)", "d:Description": "Background information on the opera by Giovanni Verga and Pietro Mascagni.", "topic": "Top/Arts/Literature/Drama/19th_Century/Verga,_Giovanni", "url": "http://www.bestofsicily.com/mag/art11.htm"} +{"d:Title": "Giovanni Verga (1840-1922)", "d:Description": "A biography of the Italian dramatist and analysis of his works.", "topic": "Top/Arts/Literature/Drama/19th_Century/Verga,_Giovanni", "url": "http://www.theatrehistory.com/italian/verga001.html"} +{"d:Title": "Arnold Wesker", "d:Description": "Official website of the British dramatist.", "topic": "Top/Arts/Literature/Drama/20th_Century", "url": "http://www.arnoldwesker.com/"} +{"d:Title": "Mary Miller", "d:Description": "Summaries and excerpts from her plays; short biography.", "topic": "Top/Arts/Literature/Drama/20th_Century", "url": "http://marymillerwriter.com/"} +{"d:Title": "20th Century Theatre Database", "d:Description": "An archive of articles on twentieth century theatre.", "topic": "Top/Arts/Literature/Drama/20th_Century", "url": "http://www.theatredatabase.com/20th_century/"} +{"d:Title": "Sontag, Susan: Alice in Bed", "d:Description": "Synopsis of the play.", "topic": "Top/Arts/Literature/Drama/20th_Century", "url": "http://medhum.med.nyu.edu/person/2387"} +{"d:Title": "Edward Albee", "d:Description": "Biography of American playwright Edward Albee, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Albee,_Edward", "url": "http://www.imagi-nation.com/moonstruck/clsc44.html"} +{"d:Title": "Why Read Plays?", "d:Description": "Essay by Albee in Zoetrope magazine.", "topic": "Top/Arts/Literature/Drama/20th_Century/Albee,_Edward", "url": "http://www.all-story.com/issues.cgi?action=show_story&story_id=85"} +{"d:Title": "Albee, Edward: Three Tall Women", "d:Description": "Synopsis of the play.", "topic": "Top/Arts/Literature/Drama/20th_Century/Albee,_Edward", "url": "http://medhum.med.nyu.edu/view/405"} +{"d:Title": "Maxwell Anderson (1888-1959)", "d:Description": "Biography of American dramatist Maxwell Anderson, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Anderson,_Maxwell", "url": "http://www.imagi-nation.com/moonstruck/clsc78.html"} +{"d:Title": "Netstate: Maxwell Anderson", "d:Description": "A biography of the American playwright.", "topic": "Top/Arts/Literature/Drama/20th_Century/Anderson,_Maxwell", "url": "http://www.netstate.com/states/peop/people/pa_mwa.htm"} +{"d:Title": "IBDB: Maxwell Anderson", "d:Description": "A complete list of the American dramatist's Broadway credits.", "topic": "Top/Arts/Literature/Drama/20th_Century/Anderson,_Maxwell", "url": "https://www.ibdb.com/broadway-cast-staff/maxwell-anderson-7672"} +{"d:Title": "The Language of Caryl Churchill", "d:Description": "Article by John A. Price on Caryl Churchill and the rhythms of feminist theory, acting theory, and gender politics.", "topic": "Top/Arts/Literature/Drama/20th_Century/Churchill,_Caryl", "url": "http://www.womenwriters.net/editorials/PriceEd1.htm"} +{"d:Title": "Caryl Churchill", "d:Description": "Biography of English playwright Caryl Churchill, plus links to all of her works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Churchill,_Caryl", "url": "http://www.imagi-nation.com/moonstruck/clsc45.html"} +{"d:Title": "British Council Literature: Caryl Churchill", "d:Description": "A brief biography and selected bibliography.", "topic": "Top/Arts/Literature/Drama/20th_Century/Churchill,_Caryl", "url": "http://literature.britishcouncil.org/caryl-churchill"} +{"d:Title": "Christopher Durang", "d:Description": "Biography of American playwright Christopher Durang, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Durang,_Christopher", "url": "http://www.imagi-nation.com/moonstruck/clsc59.html"} +{"d:Title": "IMDb - Christopher Durang", "d:Description": "A complete list of the American playwright's film credits.", "topic": "Top/Arts/Literature/Drama/20th_Century/Durang,_Christopher", "url": "http://www.imdb.com/name/nm0243837/"} +{"d:Title": "IBDB - Christopher Durang", "d:Description": "A complete list of the American playwright's Broadway credits.", "topic": "Top/Arts/Literature/Drama/20th_Century/Durang,_Christopher", "url": "https://www.ibdb.com/broadway-cast-staff/christopher-durang-4832"} +{"d:Title": "IMDb: Horton Foote", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Literature/Drama/20th_Century/Foote,_Horton", "url": "http://www.imdb.com/name/nm0285210/"} +{"d:Title": "Horton Foote Dies; 'To Kill a Mockingbird' Screenwriter", "d:Description": "The writer's obituary in the Washington Post.", "topic": "Top/Arts/Literature/Drama/20th_Century/Foote,_Horton", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2009/03/04/AR2009030403922.html"} +{"d:Title": "Horton Foote", "d:Description": "Includes short biography and works.", "topic": "Top/Arts/Literature/Drama/20th_Century/Foote,_Horton", "url": "http://www.albany.edu/writers-inst/webpages4/archives/footehorton.html"} +{"d:Title": "Interview with Horton Foote", "d:Description": "Short interview with the writer by Jack Rightmyer of the New York State Writers Institute.", "topic": "Top/Arts/Literature/Drama/20th_Century/Foote,_Horton", "url": "http://www.albany.edu/writers-inst/webpages4/archives/gaz_foote_horton.html"} +{"d:Title": "The Horton Foote Society", "d:Description": "Features history, conference information, mission, and journal.", "topic": "Top/Arts/Literature/Drama/20th_Century/Foote,_Horton", "url": "http://hortonfootesociety.org/"} +{"d:Title": "Michael Frayn", "d:Description": "Biography of British playwright Michael Frayn, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Frayn,_Michael", "url": "http://www.imagi-nation.com/moonstruck/clsc74.html"} +{"d:Title": "British Council Literature: Michael Frayn", "d:Description": "A brief biography and selected bibliography.", "topic": "Top/Arts/Literature/Drama/20th_Century/Frayn,_Michael", "url": "http://literature.britishcouncil.org/michael-frayn"} +{"d:Title": "IBDB - Michael Frayn", "d:Description": "A complete list of the British dramatist's Broadway credits.", "topic": "Top/Arts/Literature/Drama/20th_Century/Frayn,_Michael", "url": "https://www.ibdb.com/broadway-cast-staff/michael-frayn-7726"} +{"d:Title": "Athol Fugard, playwright", "d:Description": "Statements, biography, links to information on plays and background data.", "topic": "Top/Arts/Literature/Drama/20th_Century/Fugard,_Athol", "url": "http://www.iainfisher.com/fugard.html"} +{"d:Title": "Moonstruck Drama Bookstore: Jean Genet", "d:Description": "Biography of the French dramatist, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Genet,_Jean", "url": "http://www.imagi-nation.com/moonstruck/clsc42.html"} +{"d:Title": "Wikipedia: Jean Genet", "d:Description": "Biography of the French novelist, playwright, and poet from this collaborative online encyclopedia.", "topic": "Top/Arts/Literature/Drama/20th_Century/Genet,_Jean", "url": "http://en.wikipedia.org/wiki/Jean_Genet"} +{"d:Title": "Wikipedia: Jean Giraudoux", "d:Description": "Biography of the French dramatist with link to a collection of quotations attributed to him at Wikiquote.", "topic": "Top/Arts/Literature/Drama/20th_Century/Giraudoux,_Jean", "url": "http://en.wikipedia.org/wiki/Jean_Giraudoux"} +{"d:Title": "Maxim Gorky", "d:Description": "Biography of Russian dramatist and novelist Maxim Gorky, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Gorky,_Maxim", "url": "http://www.imagi-nation.com/moonstruck/clsc73.html"} +{"d:Title": "Page by Page Books: Creatures That Once Were Men", "d:Description": "HTML etext.", "topic": "Top/Arts/Literature/Drama/20th_Century/Gorky,_Maxim", "url": "http://www.pagebypagebooks.com/Maxim_Gorky/Creatures_That_Once_Were_Men/"} +{"d:Title": "Maxim Gorky: Monologues", "d:Description": "An index of monologues by Russian dramatist Maxim Gorky.", "topic": "Top/Arts/Literature/Drama/20th_Century/Gorky,_Maxim", "url": "http://www.monologuearchive.com/g/gorky_maxim.html"} +{"d:Title": "Harley Granville-Barker", "d:Description": "Biography of English dramatist Harley Granville-Barker, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Granville-Barker,_Harley", "url": "http://www.imagi-nation.com/moonstruck/clsc70.html"} +{"d:Title": "John Guare (1938- )", "d:Description": "A biography of the American playwright, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Guare,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc101.html"} +{"d:Title": "John Guare", "d:Description": "A brief biography of the American dramatist; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/20th_Century/Guare,_John", "url": "http://www.theatredatabase.com/20th_century/john_guare_001.html"} +{"d:Title": "John Guare", "d:Description": "A biography of the American dramatist.", "topic": "Top/Arts/Literature/Drama/20th_Century/Guare,_John", "url": "http://www.theatrehistory.com/american/guare001.html"} +{"d:Title": "David Hare", "d:Description": "Biography of playwright David Hare, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Hare,_David", "url": "http://www.imagi-nation.com/moonstruck/clsc52.html"} +{"d:Title": "Via Dolorosa - David Hare", "d:Description": "Interview with David Hare on his one-man show, Via Dolorosa.", "topic": "Top/Arts/Literature/Drama/20th_Century/Hare,_David", "url": "http://www.pbs.org/viadolorosa/davidhare.html"} +{"d:Title": "IMDb: David Hare (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Literature/Drama/20th_Century/Hare,_David", "url": "http://www.imdb.com/name/nm0002376/"} +{"d:Title": "Salon Brilliant Careers: David Hare", "d:Description": "Article on the career of British playwright David Hare. [SALON.com]", "topic": "Top/Arts/Literature/Drama/20th_Century/Hare,_David", "url": "http://www.salon.com/1999/05/18/hare/"} +{"d:Title": "Hellman, Lillian", "d:Description": "Biography of American playwright Lillian Hellman, plus links to all of her works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Hellman,_Lillian", "url": "http://www.imagi-nation.com/moonstruck/clsc55.html"} +{"d:Title": "Lillian Florence Hellman (1905-1984)", "d:Description": "Biography of playwright Lillian Hellman.", "topic": "Top/Arts/Literature/Drama/20th_Century/Hellman,_Lillian", "url": "http://www.jewishvirtuallibrary.org/jsource/biography/hellman.html"} +{"d:Title": "Lillian Hellman's FBI File", "d:Description": "Documents the FBS's surveillance of playwright Lillian Hellman.", "topic": "Top/Arts/Literature/Drama/20th_Century/Hellman,_Lillian", "url": "http://www.writing.upenn.edu/~afilreis/50s/hellman-per-fbi.html"} +{"d:Title": "Beth Henley (1952- )", "d:Description": "Biography of American playwright Beth Henley, plus links to all of her works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Henley,_Beth", "url": "http://www.imagi-nation.com/moonstruck/clsc47.html"} +{"d:Title": "IMDb - Beth Henley", "d:Description": "A complete list of the American playwright's film credits.", "topic": "Top/Arts/Literature/Drama/20th_Century/Henley,_Beth", "url": "http://www.imdb.com/name/nm0377107/"} +{"d:Title": "Odon von Horvath", "d:Description": "Brief biography of the Hungarian dramatist.", "topic": "Top/Arts/Literature/Drama/20th_Century/Horv\u00e1th,_\u00d6d\u00f6n_von", "url": "http://www.imagi-nation.com/moonstruck/clsc64.html"} +{"d:Title": "Horv\u00e1th, \u00d6d\u00f6n Edmund von", "d:Description": "Portrait, brief biography, bibliography, and link to information on a commemorative Austrian postage stamp. In English and German.", "topic": "Top/Arts/Literature/Drama/20th_Century/Horv\u00e1th,_\u00d6d\u00f6n_von", "url": "http://www.aeiou.at/aeiou.encyclop.h/h905154.htm"} +{"d:Title": "William Inge", "d:Description": "Biography of American playwright William Inge, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Inge,_William", "url": "http://www.imagi-nation.com/moonstruck/clsc63.html"} +{"d:Title": "William Inge", "d:Description": "Photographs of him and his tombstone in Independence, Kansas, information on life and death, cemetery details, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/Drama/20th_Century/Inge,_William", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1795"} +{"d:Title": "Girish Karnad: Renaissance Man", "d:Description": "Profile of playwright Girish Karnad from INDIA TODAY.", "topic": "Top/Arts/Literature/Drama/20th_Century/Karnad,_Girish", "url": "http://www.india-today.com/itoday/12041999/arts.html"} +{"d:Title": "Girish Karnad (1938 - )", "d:Description": "Biography of Indian playwright Girish Karnad, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Karnad,_Girish", "url": "http://www.imagi-nation.com/moonstruck/clsc79.html"} +{"d:Title": "Tony Kushner - Steve Barclay Agency", "d:Description": "Brief biography of playwright Tony Kushner.", "topic": "Top/Arts/Literature/Drama/20th_Century/Kushner,_Tony", "url": "http://www.barclayagency.com/kushner.html"} +{"d:Title": "Tony Kushner (1956- )", "d:Description": "Biography of American playwright Tony Kushner, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Kushner,_Tony", "url": "http://www.imagi-nation.com/moonstruck/clsc51.html"} +{"d:Title": "The David Mamet Review", "d:Description": "Web site of the David Mamet Society", "topic": "Top/Arts/Literature/Drama/20th_Century/Mamet,_David", "url": "http://mamet.eserver.org/"} +{"d:Title": "David Mamet (1947- )", "d:Description": "Biography of American playwright David Mamet, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Mamet,_David", "url": "http://www.imagi-nation.com/moonstruck/clsc31.html"} +{"d:Title": "The Screenplay and the State Fair", "d:Description": "Essay by David Mamet in Zoetrope magazine.", "topic": "Top/Arts/Literature/Drama/20th_Century/Mamet,_David", "url": "http://www.all-story.com/issues.cgi?action=show_story&story_id=36"} +{"d:Title": "Mamet at the Internet Movie Database", "d:Description": "Reviews and summaries of various Mamet films.", "topic": "Top/Arts/Literature/Drama/20th_Century/Mamet,_David", "url": "http://www.imdb.com/name/nm0000519/"} +{"d:Title": "Donald Margulies", "d:Description": "Biography of American playwright Donald Margulies, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Margulies,_Donald", "url": "http://www.imagi-nation.com/moonstruck/clsc77.html"} +{"d:Title": "IBDB - Donald Margulies", "d:Description": "A complete list of the American playwright's Broadway credits.", "topic": "Top/Arts/Literature/Drama/20th_Century/Margulies,_Donald", "url": "https://www.ibdb.com/broadway-cast-staff/donald-margulies-5091"} +{"d:Title": "Terrence McNally", "d:Description": "Biography of American dramatist Terrence McNally, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/McNally,_Terrence", "url": "http://www.imagi-nation.com/moonstruck/clsc72.html"} +{"d:Title": "Unholy Racket", "d:Description": "The British opening of Terrence McNally's play about a gay Christ was greeted with a storm of controversy ... [THE GUARDIAN]", "topic": "Top/Arts/Literature/Drama/20th_Century/McNally,_Terrence", "url": "http://www.theguardian.com/culture/1999/aug/11/artsfeatures.edinburghfestival1/print"} +{"d:Title": "American Masters", "d:Description": "A summary of Miller's life from the Public Broadcasting show.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.pbs.org/wnet/americanmasters/database/miller_a.html"} +{"d:Title": "Arthur Miller Society", "d:Description": "A group devoted to promoting knowledge about the author and supplies related, upcoming events. Membership is open to all interested.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.ibiblio.org/miller/"} +{"d:Title": "Curtain Up", "d:Description": "Analyses, chronology, quotes, trademarks and links regarding his works.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.curtainup.com/miller.html"} +{"d:Title": "Arthur Miller", "d:Description": "Biography of American playwright Arthur Miller, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.imagi-nation.com/moonstruck/clsc10.htm"} +{"d:Title": "New York Times", "d:Description": "Reviews about and links to Miller and his works.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://partners.nytimes.com/books/00/11/12/specials/miller.html"} +{"d:Title": "BBC Obituary: Arthur Miller", "d:Description": "The creator of such plays as The Crucible and Death of a Salesman, Arthur Miller was America's foremost post-war playwright.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/233032.stm"} +{"d:Title": "Wikipedia", "d:Description": "Open content encyclopedia article describing Miller's life and works.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://en.wikipedia.org/wiki/Arthur_Miller"} +{"d:Title": "Leonard Moss", "d:Description": "A brief excerpt from the preface of his book on Arthur Miller.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.writing.upenn.edu/~afilreis/50s/miller-intro.html"} +{"d:Title": "Kazan and Miller", "d:Description": "An overview of the relationship between these two people. Also covers Miller's relationship with Marilyn Monroe.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.writing.upenn.edu/~afilreis/50s/kazan-miller.html"} +{"d:Title": "Arthur Miller Centre for American Studies", "d:Description": "An initiative designed to promote major new research projects and to facilitate the movement of people between Britain and America. Also: The Arthur Miller Centre International Literary Festival and Arthur Miller Centre Prize.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.uea.ac.uk/american-studies/arthur-miller-centre"} +{"d:Title": "Kennedy Center Honors: Arthur Miller", "d:Description": "The Kennedy Center's tribute to American playwright Arthur Miller.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur", "url": "http://www.kennedy-center.org/artist/A3762"} +{"d:Title": "Interview on Morality", "d:Description": "From the March-April issue of Humanities magazine with NEH chairman William R. Ferris.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://www.neh.gov/whoweare/miller/interview.html"} +{"d:Title": "Civil Rights", "d:Description": "Covers Miller's fears about loss of freedoms following 9/11 attacks. From the BBC.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1708535.stm"} +{"d:Title": "Terrorism", "d:Description": "Arthur Miller's comments on terrorism and the 9/11 attacks on New York City.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://news.bbc.co.uk/2/hi/entertainment/1544301.stm"} +{"d:Title": "The Play", "d:Description": "An overview of The Man Who Had All the Luck by Lewis Nichols.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://partners.nytimes.com/books/00/11/12/specials/miller-luck49.html"} +{"d:Title": "Studying All My Sons", "d:Description": "Background and plot of the play along with character analysis.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://www.universalteacher.org.uk/drama/allmysons.htm"} +{"d:Title": "Michigan Quarterly Review", "d:Description": "Excerpts from an interview with Miller from the November 16, 1973 archives. Click on \"next\" to advance to the next page.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/pageviewer-idx?c=mqrarchive;cc=mqrarchive;sid=b60b4639ffa22c5ba4802f9b982b9476;rgn=full+text;idno=ACT2080.0013.004;view=image;seq=00000100"} +{"d:Title": "\"Are You Now or Were You Ever?\"", "d:Description": "Arthur Miller describes the paranoia that swept America--and the moment his then-wife Marilyn Monroe became a bargaining chip in his own prosecution. Published in The Guardian/Observer.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://www.writing.upenn.edu/~afilreis/50s/miller-mccarthyism.html"} +{"d:Title": "Blacklisting", "d:Description": "Excerpts from his autobiography (Timebends), dealing with investigation by the Committee on Un-American Activities.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://spartacus-educational.com/USAmillerA.htm"} +{"d:Title": "The Man Who Had All the Luck", "d:Description": "Matthew Murray's review of the May 1, 2002 production of the Miller play.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works", "url": "http://www.talkinbroadway.com/page/world/ManLuck.html"} +{"d:Title": "Barron's", "d:Description": "Details the various elements of the play and ideas for tests and term papers.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/Death_of_a_Salesman", "url": "http://www.pinkmonkey.com/booknotes/barrons/dethsls.asp"} +{"d:Title": "The System and the American Dream", "d:Description": "Craig M. Garrison's five-page essay on Death of a Salesman.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/Death_of_a_Salesman", "url": "http://www.playwrites.net/salesman1.html"} +{"d:Title": "Attention Must Not Be Paid", "d:Description": "A negative review on the revival of Death of a Salesman.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/Death_of_a_Salesman", "url": "http://slate.com/id/19380/"} +{"d:Title": "Death of Salesman", "d:Description": "An in-depth discussion of the play. Includes plot summary, character analysis, themes, and quotes.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/Death_of_a_Salesman", "url": "https://www.homework-online.com/death-of-a-salesman/index.html"} +{"d:Title": "Test Yourself", "d:Description": "Ten questions on Death of a Salesman.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/Death_of_a_Salesman", "url": "http://public.wsu.edu/~campbelld/amlit/quiz/dsquiz.htm"} +{"d:Title": "The Crucible: Fact and Fiction", "d:Description": "A look at the historical truth behind Arthur Miller's play.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/The_Crucible", "url": "http://www.17thc.us/docs/fact-fiction.shtml"} +{"d:Title": "17th-Century Colonial New England", "d:Description": "Covers the historical setting of The Crucible, including witch trials, Puritans, and Indians.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/The_Crucible", "url": "http://www.17thc.us/"} +{"d:Title": "A Universal Tale of Faith Manipulated", "d:Description": "Interview with Arthur Miller about the universality of his play from the Christian Science Monitor.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/The_Crucible", "url": "http://www.csmonitor.com/2002/0315/p18s01-alip.html"} +{"d:Title": "Hysteria and Ideology in The Crucible", "d:Description": "Academic study published in 1953.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/The_Crucible", "url": "http://www.writing.upenn.edu/~afilreis/50s/crucible.html"} +{"d:Title": "The Devil and Arthur Miller", "d:Description": "Covers Miller's technique in dealing with evil in The Crucible.", "topic": "Top/Arts/Literature/Drama/20th_Century/Miller,_Arthur/Works/The_Crucible", "url": "http://evangelica.de/articles/the-devil-and-arthur-miller/"} +{"d:Title": "William Vaughn Moody", "d:Description": "A biography of the American playwright, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Moody,_William_Vaughn", "url": "http://www.theatrehistory.com/american/moody001.html"} +{"d:Title": "William Vaughn Moody: Poems", "d:Description": "Several poems by American dramatist William Vaughn Moody.", "topic": "Top/Arts/Literature/Drama/20th_Century/Moody,_William_Vaughn", "url": "http://www.poetry-archive.com/m/moody_william_vaughn.html"} +{"d:Title": "Poet's Corner - William Vaughn Moody", "d:Description": "Two poems by the American poet and playwright.", "topic": "Top/Arts/Literature/Drama/20th_Century/Moody,_William_Vaughn", "url": "http://www.theotherpages.org/poems/poem-mn.html#moody"} +{"d:Title": "Ireland Gives a New Playwright to the World", "d:Description": "An article originally published in 1926, examining the rise to fame of Irish dramatist Sean O'Casey.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Casey,_Sean", "url": "http://www.theatrehistory.com/irish/ocasey002.html"} +{"d:Title": "Sean O'Casey", "d:Description": "A biography of the Irish playwright, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Casey,_Sean", "url": "http://www.imagi-nation.com/moonstruck/clsc100.html"} +{"d:Title": "eOneill.com", "d:Description": "Virtual library of texts by and about Eugene O'Neill, calendar of upcoming productions, reviews of past stage productions, discussion forum, study curricula for some of O'Neill's works, and information on O'Neill collections.", "priority": "1", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene", "url": "http://www.eoneill.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Eugene O'Neill", "d:Description": "Biography of the American playwright.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene", "url": "http://www.imagi-nation.com/moonstruck/clsc34.html"} +{"d:Title": "American Masters: Eugene O'Neill", "d:Description": "Biography of the twentieth-century playwright.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene", "url": "http://www.pbs.org/wnet/americanmasters/database/oneill_e.html"} +{"d:Title": "The Nobel Prize in Literature, 1936", "d:Description": "Award presentation speech, acceptance speech at the banquet, portrait, Eugene O'Neill's autobiography at the time and a biographical note on his later years.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene", "url": "http://nobelprize.org/nobel_prizes/literature/laureates/1936/index.html"} +{"d:Title": "The Eugene O'Neill Society", "d:Description": "Nonprofit scholarly organization dedicated to study of the playwright and his legacy. Purpose, newsletter (in PDF), conference information. Requires JavaScript.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene", "url": "http://www.eugeneoneillsociety.org/"} +{"d:Title": "O'Neill, Eugene", "d:Description": "Reviews of his dramas from a medical perspective.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene", "url": "http://medhum.med.nyu.edu/person/2338"} +{"d:Title": "Anna Christie", "d:Description": "Complete e-text of the play by Eugene O'Neill.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works", "url": "http://www.theatrehistory.com/plays/annachristie001.html"} +{"d:Title": "Thirst", "d:Description": "Complete text of the one-act play by Eugene O'Neill.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works", "url": "http://www.theatrehistory.com/plays/thirst001.html"} +{"d:Title": "The Hairy Ape", "d:Description": "Complete e-text of the play by Eugene O'Neill.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works", "url": "http://www.theatrehistory.com/plays/hairyape001.html"} +{"d:Title": "Bread and Butter", "d:Description": "Complete text of the play by Eugene O'Neill.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works", "url": "http://www.eoneill.com/texts/bread/contents.htm"} +{"d:Title": "Eugene O'Neill: Poems", "d:Description": "An index of poems by Eugene O'Neill.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works", "url": "http://www.poetry-archive.com/o/oneill_eugene.html"} +{"d:Title": "The Emperor Jones", "d:Description": "Complete text of the play by Eugene O'Neill.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works", "url": "http://www.eoneill.com/texts/jones/contents.htm"} +{"d:Title": "Beyond the Horizon -Eugene O'Neill", "d:Description": "E-text of this 1920 play.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works/Beyond_the_Horizon", "url": "http://www.bartleby.com/132/index.html"} +{"d:Title": "Beyond the Horizon", "d:Description": "Synopsis of Eugene O'Neill's Pulitzer Prize-winning play.", "topic": "Top/Arts/Literature/Drama/20th_Century/O'Neill,_Eugene/Works/Beyond_the_Horizon", "url": "http://www.theatrehistory.com/american/oneill002.html"} +{"d:Title": "John Osborne", "d:Description": "Biography, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Osborne,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc75.html"} +{"d:Title": "John Osborne (II)", "d:Description": "Filmography as writer and actor, biography, and related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Literature/Drama/20th_Century/Osborne,_John", "url": "http://www.imdb.com/name/nm0651570/"} +{"d:Title": "An Inarticulate Hope: Look Back in Anger by John Osborne", "d:Description": "Review of a 1999 performance of the play, including a summary and critique of the original script.", "topic": "Top/Arts/Literature/Drama/20th_Century/Osborne,_John", "url": "http://www.wsws.org/en/articles/1999/09/look-s14.html"} +{"d:Title": "Moonstruck Drama Bookstore: Peter Shaffer", "d:Description": "Biography of this British playwright, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Shaffer,_Peter", "url": "http://www.imagi-nation.com/moonstruck/clsc69.html"} +{"d:Title": "Shaffer: Acclaimed Amadeus playwright", "d:Description": "A profile of Peter Shaffer, on the eve of his being knighted as part of the New Year Honours List for 2000.", "topic": "Top/Arts/Literature/Drama/20th_Century/Shaffer,_Peter", "url": "http://news.bbc.co.uk/1/hi/entertainment/1093196.stm"} +{"d:Title": "A Struggle of Resistance Against Restriction", "d:Description": "A review of Peter Shaffer's stage play \"Equus.\"", "topic": "Top/Arts/Literature/Drama/20th_Century/Shaffer,_Peter/Plays", "url": "http://yu.ac.kr/~yno1/html/248/248_20.html"} +{"d:Title": "Literature, Arts&Medicine Database: Equus", "d:Description": "Keywords, summary, and a brief commentary on the play as a whole.", "topic": "Top/Arts/Literature/Drama/20th_Century/Shaffer,_Peter/Plays", "url": "http://medhum.med.nyu.edu/view/1051"} +{"d:Title": "A Wallace Shawn Reference", "d:Description": "Biography, bibliography, notes on the plays, and links.", "topic": "Top/Arts/Literature/Drama/20th_Century/Shawn,_Wallace", "url": "http://www.graphesthesia.com/ws/"} +{"d:Title": "IMDb: Wallace Shawn", "d:Description": "Lists all of the actor's film and TV roles.", "topic": "Top/Arts/Literature/Drama/20th_Century/Shawn,_Wallace", "url": "http://www.imdb.com/name/nm0001728/"} +{"d:Title": "The British Theatre Guide: A Thought in Three Parts", "d:Description": "Review of three short plays performed at Battersea Arts Centre.", "topic": "Top/Arts/Literature/Drama/20th_Century/Shawn,_Wallace/Reviews", "url": "http://www.britishtheatreguide.info/reviews/athought-rev.htm"} +{"d:Title": "The Guardian: Manhattan Sex Games", "d:Description": "Michael Billington reviews Shawn's 1975 play \"Our Late Night\" directed by Caryl Churchill.", "topic": "Top/Arts/Literature/Drama/20th_Century/Shawn,_Wallace/Reviews", "url": "http://www.theguardian.com/stage/1999/oct/23/theatre.artsfeatures"} +{"d:Title": "Neil Simon", "d:Description": "Biography of American playwright Neil Simon, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Simon,_Neil", "url": "http://www.imagi-nation.com/moonstruck/clsc43.html"} +{"d:Title": "Wikipedia", "d:Description": "Open content encyclopedia article with a brief biography, plays, movies, and awards.", "topic": "Top/Arts/Literature/Drama/20th_Century/Simon,_Neil", "url": "http://en.wikipedia.org/wiki/Neil_Simon"} +{"d:Title": "Wole Soyinka: Stanford Presidential Lectures", "d:Description": "Biography of Nigerian playwright Wole Soyinka.", "topic": "Top/Arts/Literature/Drama/20th_Century/Soyinka,_Wole", "url": "http://prelectur.stanford.edu/lecturers/soyinka/"} +{"d:Title": "Wole Soyinka", "d:Description": "Biography of Nigerian playwright Wole Soyinka, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Soyinka,_Wole", "url": "http://www.imagi-nation.com/moonstruck/clsc53.html"} +{"d:Title": "Wole Soyinka - Nobel Prize Internet Archive", "d:Description": "1986 Nobel Laureate in Literature. Background and related links.", "topic": "Top/Arts/Literature/Drama/20th_Century/Soyinka,_Wole", "url": "http://almaz.com/nobel/literature/1986a.html"} +{"d:Title": "This Past Must Address Its Present", "d:Description": "Transcript of Wole Soyinka's 1986 Nobel lecture.", "topic": "Top/Arts/Literature/Drama/20th_Century/Soyinka,_Wole", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1986/soyinka-lecture.html"} +{"d:Title": "Wole Soyinka", "d:Description": "Biography of the Nobel Literature Laureate 1986. With a lecture and list of writings.", "topic": "Top/Arts/Literature/Drama/20th_Century/Soyinka,_Wole", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1986/soyinka-bio.html"} +{"d:Title": "Tom Stoppard (1937 - )", "d:Description": "Biography of English playwright Tom Stoppard, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Stoppard,_Tom", "url": "http://www.imagi-nation.com/moonstruck/clsc46.html"} +{"d:Title": "Well Furlong - Tom Stoppard", "d:Description": "An appreciation of Stoppard's work.", "topic": "Top/Arts/Literature/Drama/20th_Century/Stoppard,_Tom", "url": "http://wellfurlong.co.uk/theatre/stoppard.htm"} +{"d:Title": "John Millington Synge", "d:Description": "A biography of the Irish dramatist.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington", "url": "http://www.theatrehistory.com/irish/synge001.html"} +{"d:Title": "J.M. Synge (1871-1909)", "d:Description": "Biography of Irish playwright John Millington Synge, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington", "url": "http://www.imagi-nation.com/moonstruck/clsc26.html"} +{"d:Title": "John Millington Synge: Poems", "d:Description": "An index of poems by John Millington Synge.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington", "url": "http://www.poetry-archive.com/s/synge_john_millington.html"} +{"d:Title": "John Millington Synge", "d:Description": "A brief biography of the Irish dramatist and list of related links.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington", "url": "http://www.theatredatabase.com/20th_century/john_millington_synge_001.html"} +{"d:Title": "John Millington Synge: Monologues", "d:Description": "An index of monologues by Irish dramatist John Millington Synge.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington", "url": "http://www.monologuearchive.com/s/synge_john_millington.html"} +{"d:Title": "Wikipedia: John Millington Synge", "d:Description": "Article including a biography, bibliography and consideration of his works, their reception and the writer's legacy.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington", "url": "http://en.wikipedia.org/wiki/John_Millington_Synge"} +{"d:Title": "Playboy of the Western World", "d:Description": "Complete e-text of the play by J.M. Synge.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington/Works", "url": "http://www.bartleby.com/1010/"} +{"d:Title": "Riders to the Sea", "d:Description": "A synopsis of the play by John Millington Synge.", "topic": "Top/Arts/Literature/Drama/20th_Century/Synge,_John_Millington/Works", "url": "http://www.theatrehistory.com/irish/synge002.html"} +{"d:Title": "Tennessee Williams", "d:Description": "Biography; plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Williams,_Tennessee", "url": "http://www.imagi-nation.com/moonstruck/clsc9.htm"} +{"d:Title": "Tennessee Williams Resources", "d:Description": "Biography, links, and 'Certain Moral Values': A Rhetoric of Outcasts in the Plays of Tennessee Williams\" a dissertation by Darryl E. Haley.", "topic": "Top/Arts/Literature/Drama/20th_Century/Williams,_Tennessee", "url": "http://www.etsu.edu/haleyd/index.htm#TW"} +{"d:Title": "Tennessee Williams Scholars' Conference", "d:Description": "Information about conference held in New Orleans in March of each year in conjunction with the Tennessee Williams/New Orleans Literary Festival, which features plays, panels, readings, and celebrity appearances.", "topic": "Top/Arts/Literature/Drama/20th_Century/Williams,_Tennessee", "url": "http://www.tennesseewilliamsstudies.org/conference/"} +{"d:Title": "The Tennessee Williams Annual Review", "d:Description": "Annual literary review published by Middle Tennessee State University.", "topic": "Top/Arts/Literature/Drama/20th_Century/Williams,_Tennessee", "url": "http://www.tennesseewilliamsstudies.org/"} +{"d:Title": "Tennessee Williams - 'Cat on a Hot Tin Roof'", "d:Description": "Book review from Nabou.com.", "topic": "Top/Arts/Literature/Drama/20th_Century/Williams,_Tennessee/Plays", "url": "http://bookreviews.nabou.com/reviews/catonahottinroof.html"} +{"d:Title": "A Streetcar Named Desire", "d:Description": "A synopsis of the play by Tennessee Williams.", "topic": "Top/Arts/Literature/Drama/20th_Century/Williams,_Tennessee/Plays/Streetcar_Named_Desire,_A", "url": "http://www.theatrehistory.com/american/streetcar_named_desire_001.html"} +{"d:Title": "A Streetcar Named Desire: Study Guide", "d:Description": "A scene by scene synopsis of the play and biography of playwright Tennessee Williams.", "topic": "Top/Arts/Literature/Drama/20th_Century/Williams,_Tennessee/Plays/Streetcar_Named_Desire,_A", "url": "http://www.imagi-nation.com/moonstruck/clsc9w1.html"} +{"d:Title": "August Wilson - a timeline", "d:Description": "Biographical timeline of playwright August Wilson [Pittsburgh Post-Gazette]", "topic": "Top/Arts/Literature/Drama/20th_Century/Wilson,_August", "url": "http://www.post-gazette.com/magazine/19991216awtimeline9.asp"} +{"d:Title": "August Wilson (1945- )", "d:Description": "Biography of African-American playwright August Wilson, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Wilson,_August", "url": "http://www.imagi-nation.com/moonstruck/clsc48.html"} +{"d:Title": "Lanford Wilson", "d:Description": "Biography of American dramatist Lanford Wilson, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/20th_Century/Wilson,_Lanford", "url": "http://www.imagi-nation.com/moonstruck/clsc65.html"} +{"d:Title": "Ridgeway's Theory of the Origin of Tragedy", "d:Description": "Treatise suggesting that Greek Theatre evolved not from the Dionysian festivals, but rather from ancient worship of the dead.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek", "url": "http://www.theatrehistory.com/origins/ridgeway002.html"} +{"d:Title": "Greek Theatre Index", "d:Description": "Index of articles on ancient Greek theatre.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek", "url": "http://www.theatrehistory.com/ancient/greek.html"} +{"d:Title": "Aristotle, Classic Technique, and Greek Drama", "d:Description": "An analysis of the beginnings of dramatic criticism, focusing on the principles of Aristotle.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek", "url": "http://www.imagi-nation.com/moonstruck/spectop007.html"} +{"d:Title": "Solar Myths, Tree Spirits, and Totems", "d:Description": "William Ridgeway's 1915 essay examining the history and importance of solar myths, tree spirits, and totemism in the development of Greek tragedy.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek", "url": "http://www.theatrehistory.com/origins/ridgeway003.html"} +{"d:Title": "Greek Dramatic Criticism", "d:Description": "An overview of dramatic criticism in ancient Greece.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek", "url": "http://www.theatredatabase.com/ancient/greek_dramatic_criticism_001.html"} +{"d:Title": "Poems by Greek Dramatists", "d:Description": "An index of poems by the dramatists of ancient Greece.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek", "url": "http://www.poetry-archive.com/collections/greek_dramatists.html"} +{"d:Title": "Perseus Digital Library", "d:Description": "Scholarly Greek text with English translations, including nearly all the surviving Greek dramas.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek", "url": "http://www.perseus.tufts.edu/hopper/"} +{"d:Title": "Aeschylus (c. 523-456 B.C.)", "d:Description": "Biography; links to works in print.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus", "url": "http://www.imagi-nation.com/moonstruck/clsc3.htm"} +{"d:Title": "Rivendell's Drama Page", "d:Description": "Brief biography, articles and etexts of plays.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus", "url": "http://www.watson.org/rivendell/dramagreekaeschylus.html"} +{"d:Title": "Aeschylus and His Tragedies", "d:Description": "Biography of ancient Greek dramatist Aeschylus and analysis of his poetic qualities.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus", "url": "http://www.theatrehistory.com/ancient/aeschylus001.html"} +{"d:Title": "Aeschylus", "d:Description": "A biography of the Greek dramatist, plus a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus", "url": "http://www.theatredatabase.com/ancient/aeschylus_001.html"} +{"d:Title": "Aeschylus: Poems", "d:Description": "A collection of poems by the Greek dramatist.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus", "url": "http://www.poetry-archive.com/a/aeschylus.html"} +{"d:Title": "Aeschylus: Monologues", "d:Description": "An index of monologues by the Greek dramatist Aeschylus.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus", "url": "http://www.monologuearchive.com/a/aeschylus.html"} +{"d:Title": "Barteleby.com: Aeschylus", "d:Description": "Includes brief biography, etexts of the Orestian trilogy and \"Prometheus Bound\" from the Harvard Classics, and quotations.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works", "url": "http://www.bartleby.com/people/Aeschylu.html"} +{"d:Title": "The Suppliants", "d:Description": "An introduction to the play by Aeschylus.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works", "url": "http://www.theatredatabase.com/ancient/suppliants_001.html"} +{"d:Title": "Enjoying \"The Seven Against Thebes\" by Aeschylus", "d:Description": "Provides a general overview of contemporary issues and major themes, compiled by Ed Friedlander.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works", "url": "http://www.pathguy.com/7thebes.htm"} +{"d:Title": "The Oresteia", "d:Description": "Summary and analysis of the dramatic trilogy by Aeschylus consisting of: Agamemnon, The Cho\u00ebphor\u00e6, and The Eumenides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works/Oresteia", "url": "http://www.theatrehistory.com/ancient/bates021.html"} +{"d:Title": "The Internet Classics Archive: Agamemnon", "d:Description": "Complete text of the play be Aeschylus.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works/Oresteia", "url": "http://classics.mit.edu/Aeschylus/agamemnon.html"} +{"d:Title": "Agamemnon", "d:Description": "A synopsis of the play by Aeschylus.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works/Oresteia", "url": "http://www.imagi-nation.com/moonstruck/clsc3w2.html"} +{"d:Title": "Prometheus Bound", "d:Description": "Summary and analysis of the play by Aeschylus.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works/Prometheus_Bound", "url": "http://www.theatrehistory.com/ancient/bates019.html"} +{"d:Title": "Enjoying 'Prometheus Bound'", "d:Description": "Background information and links related to the story of Prometheus.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works/Prometheus_Bound", "url": "http://www.pathguy.com/promethe.htm"} +{"d:Title": "Prometheus Bound -- Thoreau Translation", "d:Description": "Henry David Thoreau's translation of the play by Aeschylus.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aeschylus/Works/Prometheus_Bound", "url": "http://awp.diaart.org/kos/"} +{"d:Title": "Aristophanes", "d:Description": "Brief biography at Imagi-nation.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes", "url": "http://www.imagi-nation.com/moonstruck/clsc13.htm"} +{"d:Title": "Aristophanes and His Comedies", "d:Description": "Biography of ancient Greek dramatist Aristophanes and analysis of his poetic qualities.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes", "url": "http://www.theatrehistory.com/ancient/aristophanes001.html"} +{"d:Title": "Aristophanes", "d:Description": "A biography of the Greek comedy writer; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes", "url": "http://www.theatredatabase.com/ancient/aristophanes_001.html"} +{"d:Title": "Aristophanes: Poems", "d:Description": "An index of poems by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes", "url": "http://www.poetry-archive.com/a/aristophanes.html"} +{"d:Title": "Aristophanes: Monologues", "d:Description": "An index of monologues by the Greek dramatist Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes", "url": "http://www.monologuearchive.com/a/aristophanes.html"} +{"d:Title": "The Internet Classics Archive: The Acharnians", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Acharnians", "url": "http://classics.mit.edu/Aristophanes/acharnians.html"} +{"d:Title": "The Acharnians, by Aristophanes", "d:Description": "Summary and analysis of Aristophanes' oldest extant play.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Acharnians", "url": "http://www.theatrehistory.com/ancient/bates024.html"} +{"d:Title": "The Acharnians", "d:Description": "An introduction to the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Acharnians", "url": "http://www.theatredatabase.com/ancient/aristophanes_003.html"} +{"d:Title": "Eserver.org: The Acharnians", "d:Description": "An anonymous translation of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Acharnians", "url": "http://drama.eserver.org/plays/classical/aristophanes/the-acharnians.txt"} +{"d:Title": "The Birds, by Aristophanes", "d:Description": "Summary and analysis of Aristophanes' play 'The Birds.'", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Birds,_The", "url": "http://www.theatrehistory.com/ancient/bates026.html"} +{"d:Title": "The Internet Classics Archive: The Birds", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Birds,_The", "url": "http://classics.mit.edu/Aristophanes/birds.html"} +{"d:Title": "The Clouds, by Aristophanes", "d:Description": "An analysis of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Clouds,_The", "url": "http://www.theatrehistory.com/ancient/bates025.html"} +{"d:Title": "The Internet Classics Archive: The Clouds", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Clouds,_The", "url": "http://classics.mit.edu/Aristophanes/clouds.html"} +{"d:Title": "The Clouds", "d:Description": "An introduction to the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Clouds,_The", "url": "http://www.theatredatabase.com/ancient/aristophanes_006.html"} +{"d:Title": "The Frogs, by Aristophanes", "d:Description": "Summary of Aristophanes' comedy 'The Frogs.'", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Frogs,_The", "url": "http://www.theatrehistory.com/ancient/bates029.html"} +{"d:Title": "The Internet Classics Archive: The Frogs", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Frogs,_The", "url": "http://classics.mit.edu/Aristophanes/frogs.html"} +{"d:Title": "Aristophanes: The Frogs", "d:Description": "A synopsis of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Frogs,_The", "url": "http://www.imagi-nation.com/moonstruck/clsc13w1.html"} +{"d:Title": "Bartleby.com: Aristophanes, The Frogs", "d:Description": "Includes etext from the Harvard Classics and a brief biographical note.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Frogs,_The", "url": "http://www.bartleby.com/people/Aristph.html"} +{"d:Title": "TheatreHistory.com: The Knights", "d:Description": "An analysis of Aristophanes' comedy 'The Knights.'", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Knights,_The", "url": "http://www.theatrehistory.com/ancient/bates027.html"} +{"d:Title": "The Internet Classics Archive: The Knights", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Knights,_The", "url": "http://classics.mit.edu/Aristophanes/knights.html"} +{"d:Title": "The Knights", "d:Description": "An introduction to the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Knights,_The", "url": "http://www.theatredatabase.com/ancient/aristophanes_002.html"} +{"d:Title": "Lysistrata", "d:Description": "An introduction to the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Lysistrata", "url": "http://www.theatredatabase.com/ancient/aristophanes_005.html"} +{"d:Title": "Lysistrata", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Lysistrata", "url": "http://drama.eserver.org/plays/classical/aristophanes/lysistrata.txt"} +{"d:Title": "Aristophanes' Lysistrata - Make Love Not War", "d:Description": "About.com article discussing the plot and layers of gender-bending in Aristophanes' sex-comedy.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Lysistrata", "url": "http://ancienthistory.about.com/od/lysistrata/a/lysistrata.htm"} +{"d:Title": "Internet Classics Archive: Peace", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Peace", "url": "http://classics.mit.edu/Aristophanes/peace.html"} +{"d:Title": "Peace", "d:Description": "An introduction to the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Peace", "url": "http://www.theatredatabase.com/ancient/aristophanes_004.html"} +{"d:Title": "The Internet Classics Archive: Thesmophoriazusae", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Thesmophoriazusae", "url": "http://classics.mit.edu/Aristophanes/thesmoph.html"} +{"d:Title": "Thesmophoriazusae, or A Women's Festival", "d:Description": "Summary and analysis of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Thesmophoriazusae", "url": "http://www.theatrehistory.com/ancient/bates028.html"} +{"d:Title": "The Wasps", "d:Description": "Brief analysis of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Wasps,_The", "url": "http://www.theatrehistory.com/ancient/bates030.html"} +{"d:Title": "The Internet Classics Archive: The Wasps", "d:Description": "Complete text of the play by Aristophanes.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Aristophanes/Works/Wasps,_The", "url": "http://classics.mit.edu/Aristophanes/wasps.html"} +{"d:Title": "Crates", "d:Description": "A brief biographical note on the Athenian actor and founder of Greek comedy.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Crates", "url": "http://www.theatrehistory.com/ancient/crates001.html"} +{"d:Title": "Old Age", "d:Description": "Complete text of the poem by Crates.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Crates", "url": "http://www.poetry-archive.com/c/old_age.html"} +{"d:Title": "Euripides (c. 480-406 B.C.)", "d:Description": "Biography of Greek playwright Euripides, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides", "url": "http://www.imagi-nation.com/moonstruck/clsc4.htm"} +{"d:Title": "Euripides: Greek Playwright", "d:Description": "Brief biography of Greek playwright, Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides", "url": "http://www.hyperhistory.com/online_n2/people_n2/persons2_n2/euripides.html"} +{"d:Title": "Euripides and His Tragedies", "d:Description": "Biography of ancient Greek dramatist Euripides and analysis of his poetic qualities.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides", "url": "http://www.theatrehistory.com/ancient/euripides001.html"} +{"d:Title": "Euripides", "d:Description": "A biography of the Greek dramatist; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides", "url": "http://www.theatredatabase.com/ancient/euripides_001.html"} +{"d:Title": "Euripides: Poems", "d:Description": "A collection of poems by the Greek dramatist Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides", "url": "http://www.poetry-archive.com/e/euripides.html"} +{"d:Title": "Euripides: Monologues", "d:Description": "An index of monologues by the Greek dramatist Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides", "url": "http://www.monologuearchive.com/e/euripides.html"} +{"d:Title": "Helen", "d:Description": "Translated by Andrew Wilson.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works", "url": "http://www.users.globalnet.co.uk/~loxias/helen.htm"} +{"d:Title": "Orestes", "d:Description": "From The Classics Pages", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works", "url": "http://www.users.globalnet.co.uk/~loxias/orestes.htm"} +{"d:Title": "Bartleby.com - Euripides", "d:Description": "Online texts of some of Euripides' plays.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works", "url": "http://www.bartleby.com/people/Euripide.html"} +{"d:Title": "Euripides Monologues", "d:Description": "A collection of monologues by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works", "url": "http://www.theatrehistory.com/plays/euripidesmono.html"} +{"d:Title": "MDB: The Bacchae", "d:Description": "Summary and analysis of the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Bacchae", "url": "http://www.imagi-nation.com/moonstruck/clsc4w1.htm"} +{"d:Title": "The Internet Classics Archive: The Bacchantes", "d:Description": "Complete text of the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Bacchae", "url": "http://classics.mit.edu/Euripides/bacchan.html"} +{"d:Title": "Monologue Archive: The Bacchae", "d:Description": "A monologue from the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Bacchae", "url": "http://www.monologuearchive.com/e/euripides_001.html"} +{"d:Title": "Hippolytus", "d:Description": "Summary and analysis of the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Hippolytus", "url": "http://www.theatrehistory.com/ancient/bates020.html"} +{"d:Title": "The Internet Classics Archive: Hippolytus by Euripides", "d:Description": "Complete text of the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Hippolytus", "url": "http://classics.mit.edu/Euripides/hippolytus.html"} +{"d:Title": "Hippolytus", "d:Description": "A synopsis of the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Hippolytus", "url": "http://www.imagi-nation.com/moonstruck/clsc4w2.html"} +{"d:Title": "Monologue Archive: Hippolytus", "d:Description": "A monologue from the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Hippolytus", "url": "http://www.monologuearchive.com/e/euripides_006.html"} +{"d:Title": "Medea", "d:Description": "Summary and analysis of the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Medea", "url": "http://www.theatrehistory.com/ancient/bates018.html"} +{"d:Title": "Monologue Archive: Medea", "d:Description": "A monologue from the play by Euripides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Medea", "url": "http://www.monologuearchive.com/e/euripides_005.html"} +{"d:Title": "Medea 1", "d:Description": "Loeb's English version", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Euripides/Works/Medea", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:tlg,0006,003"} +{"d:Title": "Menander and His Comedies", "d:Description": "Biography of the Greek dramatist Menander.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Menander", "url": "http://www.theatrehistory.com/ancient/menander001.html"} +{"d:Title": "Menander (342 B.C. - 291 B.C.)", "d:Description": "Biography of Greek playwright Menander, plus links to purchase all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Menander", "url": "http://www.imagi-nation.com/moonstruck/clsc14.htm"} +{"d:Title": "Sophocles (c. 496-406 B.C.)", "d:Description": "Biography of Greek playwright Sophocles, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles", "url": "http://www.imagi-nation.com/moonstruck/clsc1.htm"} +{"d:Title": "Sophocles: Rivendell's Drama Page", "d:Description": "Biographical information, online articles and text histories on Greek playwright, Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles", "url": "http://www.watson.org/rivendell/dramagreeksophocles.html"} +{"d:Title": "Sophocles and His Tragedies", "d:Description": "Biography of ancient Greek dramatist Sophocles and analysis of his poetic qualities.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles", "url": "http://www.theatrehistory.com/ancient/sophocles001.html"} +{"d:Title": "Sophocles", "d:Description": "A biography of the Greek dramatist; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles", "url": "http://www.theatredatabase.com/ancient/sophocles_001.html"} +{"d:Title": "Sophocles: Poems", "d:Description": "An index of poems by the Greek dramatist Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles", "url": "http://www.poetry-archive.com/s/sophocles.html"} +{"d:Title": "Sophocles: Monologues", "d:Description": "An index of monologues by the Greek dramatist Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles", "url": "http://www.monologuearchive.com/s/sophocles.html"} +{"d:Title": "About.com: Sophocles", "d:Description": "Introduction to the author, with links to Greek and English e-texts of his works and to study guides.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles", "url": "http://ancienthistory.about.com/od/sophocles/p/Sophocles.htm"} +{"d:Title": "Philoctetes as a Biblical Prophet", "d:Description": "Short introductory criticism of the play Philoctetes, focusing on the theme of human suffering.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works", "url": "http://theatrestudies.tripod.com/Criticism/Plays/Philoctetes.html"} +{"d:Title": "Ajax", "d:Description": "Summary and analysis of the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Ajax", "url": "http://www.theatrehistory.com/ancient/bates023.html"} +{"d:Title": "The Internet Classics Archive: Ajax", "d:Description": "Complete text of the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Ajax", "url": "http://classics.mit.edu/Sophocles/ajax.html"} +{"d:Title": "Electra", "d:Description": "Summary and analysis of the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Electra", "url": "http://www.theatrehistory.com/ancient/bates022.html"} +{"d:Title": "The Internet Classics Archive: Electra", "d:Description": "Complete text of the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Electra", "url": "http://classics.mit.edu/Sophocles/electra.html"} +{"d:Title": "Oedipus Trilogy", "d:Description": "A searchable version of the Loeb translation.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.online-literature.com/sophocles/oedipus/"} +{"d:Title": "Oedipus Rex", "d:Description": "Synopsis of the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.theatrehistory.com/ancient/oedipus001.html"} +{"d:Title": "Oedipus Trilogy by Sophocles", "d:Description": "Complete chapter-indexed hypertext and e-text from Literature Project.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://literatureproject.com/oedipus/index.htm"} +{"d:Title": "Bartleby.com: Sophocles", "d:Description": "Includes brief biography, quotations, and etexts of \"Antigone\" and \"Oedipus Rex.\"", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.bartleby.com/people/Sophocle.html"} +{"d:Title": "The Antigone", "d:Description": "Summary and analysis of the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.theatrehistory.com/ancient/bates017.html"} +{"d:Title": "Oedipus the King", "d:Description": "Summary and analysis of the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.imagi-nation.com/moonstruck/clsc1w1.htm"} +{"d:Title": "Antigone Monologue", "d:Description": "A monologue from the play by Sophocles.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.monologuearchive.com/s/sophocles_005.html"} +{"d:Title": "Antigone Webzine: Thebes Times", "d:Description": "Mock newspaper articles in various genres on the subject matter of the play.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.ellatha.com/antigone/index.html"} +{"d:Title": "Enjoying \"Oedipus the King\" by Sophocles", "d:Description": "An overview of the legend, the play, and major themes of Oedipus Rex by Ed Friedlander.", "topic": "Top/Arts/Literature/Drama/Ancient_Greek/Sophocles/Works/Oedipus_Trilogy", "url": "http://www.pathguy.com/oedipus.htm"} +{"d:Title": "Roman Theatre Index", "d:Description": "Index of articles on ancient Roman theatre.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman", "url": "http://www.theatrehistory.com/ancient/roman.html"} +{"d:Title": "Downfall of the Classical Drama", "d:Description": "An account of the ignoble end of the Roman drama; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman", "url": "http://www.theatredatabase.com/ancient/downfall_of_the_classical_drama.html"} +{"d:Title": "Terence and His Plays", "d:Description": "Biography of the Roman dramatist Publius Terentius Afer.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Afer,_Publius_Terentius", "url": "http://www.theatrehistory.com/ancient/terence001.html"} +{"d:Title": "Publius Terentius Afer (195 or 185 - 159 B.C.)", "d:Description": "Biography of Roman playwright Terence, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Afer,_Publius_Terentius", "url": "http://www.imagi-nation.com/moonstruck/clsc22.html"} +{"d:Title": "Terence Index", "d:Description": "Index of articles on the ancient Roman dramatist Publius Terentius Afer.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Afer,_Publius_Terentius", "url": "http://www.theatrehistory.com/ancient/terence.html"} +{"d:Title": "Terence", "d:Description": "A biography of the Roman dramatist Publius Terentius Afer and analysis of his works; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Afer,_Publius_Terentius", "url": "http://www.theatredatabase.com/ancient/terence_001.html"} +{"d:Title": "Adelphi", "d:Description": "A synopsis of the play by Publius Terentius Afer.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Afer,_Publius_Terentius/Works", "url": "http://www.theatrehistory.com/ancient/terence005.html"} +{"d:Title": "Titus Maccius Plautus", "d:Description": "Biography of Roman playwright.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius", "url": "http://www.imagi-nation.com/moonstruck/clsc21.html"} +{"d:Title": "Writings and Career of Plautus", "d:Description": "Biography of the Roman dramatist Titus Maccius Plautus and analysis of his poetic qualities.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius", "url": "http://www.theatrehistory.com/ancient/plautus001.html"} +{"d:Title": "Titus Maccius Plautus (c. 254-184 B.C.)", "d:Description": "A biography of the Roman playwright; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius", "url": "http://www.theatredatabase.com/ancient/plautus_001.html"} +{"d:Title": "Plautus: Monologues", "d:Description": "An index of monologues by Titus Maccius Plautus.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius", "url": "http://www.monologuearchive.com/p/plautus.html"} +{"d:Title": "Plautus", "d:Description": "Short biography and list of works.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius", "url": "http://en.wikipedia.org/wiki/Plautus"} +{"d:Title": "The Miles Gloriosus of T. Maccius Plautus", "d:Description": "Text in Latin, with notes by Robert Yelverton Tyrrell in English. Page images.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=ATE0473.0001.001"} +{"d:Title": "Captivi, Trinummus, et Rudens", "d:Description": "In Latin, with notes in English by C.S. Harrington. Page images.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=AHT6336.0001.001"} +{"d:Title": "T. Macci Plauti Menaechmi", "d:Description": "Comedy in Latin, with a 1916 English translation by Joseph H. Drake on facing pages. Page images. The Latin is on the even-numbered pages, with English on following odd-numbered pages.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=AHP5663.0001.001"} +{"d:Title": "Mostellaria, or, The Haunted House", "d:Description": "Henry Thomas Riley translation. In HTML, with one file per scene. Also links to the Latin.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Mos.+toc"} +{"d:Title": "Stichus, or, The Parasite Rebuffed", "d:Description": "Some think this is not by Plautus. English translation, in HTML. Has link to the Latin.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+St.+toc"} +{"d:Title": "Bacchides, or, The Twin Sisters", "d:Description": "HTML, in English. Each scene in its own file. Ability to switch to the Latin.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Bac.+toc"} +{"d:Title": "Captivi: The Captives", "d:Description": "Based on 1912 Henry Thomas Riley translation. HTML, each scene in its own file. Links to an 1895 Latin edition prepared by F. Leo.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Capt.+toc"} +{"d:Title": "Casina, or, The Stratagem Defeated", "d:Description": "Comedy in English translation, with one file per scene. Links to Latin.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Cas.+toc"} +{"d:Title": "Epidicus, or, The Fortunate Discovert", "d:Description": "English translation, in HTML, with one file per scene. Also has link to the Latin.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Epid.+toc"} +{"d:Title": "Truculentus, or, The Churl", "d:Description": "English translation, with ability to switch to the Latin. HTML, one file per scene.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Truc.+toc"} +{"d:Title": "Rudens, or, The Fisherman's Rope", "d:Description": "Based on 1912 Henry Thomas Riley translation, with links to F. Leo's 1895 Latin edition. HTML. Each scene in its own file.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Rud.+toc"} +{"d:Title": "Poenulus, or, The Young Carthaginian", "d:Description": "In English, with ability to view the Latin. HTML. Each scene in its own file.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Poen.+toc"} +{"d:Title": "Miles Gloriosus, or, The Braggart Captain", "d:Description": "1912 English translation by Henry Thomas Riley. In HTML, with each scene in its own file. Has links to 1895 F. Leo edition in Latin.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Plautus,_Titus_Maccius/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Pl.+Mil.+toc"} +{"d:Title": "Lucius Annaeus Seneca (c. 5 BC - AD 65)", "d:Description": "Excerpts from Seneca's writings in which he explains how he became acquainted with Pythagorean abstinence from eating animal flesh.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Seneca,_Lucius_Annaeus", "url": "http://www.ivu.org/history/greece_rome/seneca.html"} +{"d:Title": "Lucius Annaeus Seneca (3 B.C-65 A.D.)", "d:Description": "A biography of the Roman tragedian and analysis of his works; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Seneca,_Lucius_Annaeus", "url": "http://www.theatredatabase.com/ancient/seneca_001.html"} +{"d:Title": "Seneca: A Thinker for Our Times", "d:Description": "An overview of Seneca's life, death, and his significance for the history of philosophy.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Seneca,_Lucius_Annaeus", "url": "http://ancienthistory.about.com/od/seneca/a/SenecaThinker.htm"} +{"d:Title": "Seneca", "d:Description": "Article on the Stoic thinker, in the Stanford Encyclopedia of Philosophy.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Seneca,_Lucius_Annaeus", "url": "http://plato.stanford.edu/entries/seneca/"} +{"d:Title": "Seneca the Younger", "d:Description": "Concise essay evaluates the Roman writer as a philosopher and dramatist.", "topic": "Top/Arts/Literature/Drama/Ancient_Roman/Seneca,_Lucius_Annaeus", "url": "http://en.wikipedia.org/wiki/Seneca_the_Younger"} +{"d:Title": "Playwrights and their Plays", "d:Description": "Information on thousands of Playwrights and tens of thousands of their Plays.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.doollee.com/"} +{"d:Title": "Naked Theatre", "d:Description": "Jim Grover, web-published playwright; stage scripts written live on the web. Biography and contact details for performance rights.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.nakedtheatre.co.uk/"} +{"d:Title": "Playwrights on the Web", "d:Description": "International database of playwrights and their websites, offering production and publishing opportunities", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.stageplays.com/writers.htm"} +{"d:Title": "Richard Nathan Scripts", "d:Description": "A variety of stage and film scripts available online.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.richard-nathan-scripts.com/"} +{"d:Title": "Messiah on the Frigidaire", "d:Description": "Complete information on the stage play Messiah on the Frigidaire and on playwright John Culbertson. This includes reviews and production photographs.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.messiahonthefrigidaire.com/"} +{"d:Title": "Dramatix", "d:Description": "Free drama scripts written by Christians around the world.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.dramatix.org.nz/"} +{"d:Title": "Bradley Hayward", "d:Description": "Includes excerpts and contact information.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "https://bradleyhayward.com/"} +{"d:Title": "Millot, Pierre-Yves", "d:Description": "Excerpts from his short stories and plays.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://pymillot.chez.com/theatreplays.html"} +{"d:Title": "Shirley Barrie", "d:Description": "Information on the plays of Shirley Barrie.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.shirleybarrie.ca/"} +{"d:Title": "Authors of pantomime scripts and plays", "d:Description": "Brief biographies of the authors of the pantomime scripts and plays published by Lazy Bee Scripts. Links to on-line editions of pantomimes, one-act plays and drama for schools.", "topic": "Top/Arts/Literature/Drama/Contemporary", "url": "http://www.lazybeescripts.co.uk/Scripts/AuthorSearch.aspx"} +{"d:Title": "Magic Parrot Productions", "d:Description": "Large cast musical plays for Primary Schools.", "topic": "Top/Arts/Literature/Drama/Contemporary/Children's", "url": "http://www.magicparrot.com/"} +{"d:Title": "Classics On Stage", "d:Description": "Classic children's theatre plays and youth theater scripts professionally developed for young audiences of all ages by double Shubert Fellowship award-winning playwright, Michele L. Vacca.", "topic": "Top/Arts/Literature/Drama/Contemporary/Children's", "url": "http://www.classicsonstage.com/"} +{"d:Title": "Lazy Bee Scripts", "d:Description": "A collection of plays suitable for schools' drama and youth theatre. Each play script can be read in full on line.", "topic": "Top/Arts/Literature/Drama/Contemporary/Children's", "url": "http://www.lazybeescripts.co.uk/youththeatre/"} +{"d:Title": "Ravenbeard Productions", "d:Description": "Original musical comedies suitable for school or amateur performance written by Michael Conyers. Includes descriptions and order details.", "topic": "Top/Arts/Literature/Drama/Contemporary/Children's", "url": "http://www.ravenbeard.co.uk/"} +{"d:Title": "Academy of Drama", "d:Description": "To make available for homeschoolers, community and regional theater groups, parochial and public schools, private and church drama organizations, a unique quarry of dramatic material, tried and true in performance.", "topic": "Top/Arts/Literature/Drama/Contemporary/Collections", "url": "http://www.familyplayhouse.com/"} +{"d:Title": "Amergin Press", "d:Description": "Stories to dramatize, plays for young audiences.", "topic": "Top/Arts/Literature/Drama/Contemporary/Collections", "url": "http://www.amergin.net/"} +{"d:Title": "Center Stage Productions", "d:Description": "Educational plays for grades K-12. Kits and book and tape sets of are plays available.", "topic": "Top/Arts/Literature/Drama/Contemporary/Collections", "url": "http://www.centerstage-musicals.com/"} +{"d:Title": "Eldridge Plays and Musicals", "d:Description": "Eldridge Publishing Company offers theatre plays and musicals for all occasions, featuring full-length plays, one act plays, melodramas, holiday themes, children's and full-length musicals, skits and theater collections.", "topic": "Top/Arts/Literature/Drama/Contemporary/Collections", "url": "http://www.histage.com/"} +{"d:Title": "Moonstruck Drama Bookstore", "d:Description": "Offers plays, cast albums, sheet music, and theatre news.", "topic": "Top/Arts/Literature/Drama/Contemporary/Collections", "url": "http://www.imagi-nation.com/moonstruck/"} +{"d:Title": "Script Archive: Monologues", "d:Description": "Online collection of monologues for actors.", "topic": "Top/Arts/Literature/Drama/Contemporary/Collections", "url": "http://www.theatrehistory.com/plays/monologues.html"} +{"d:Title": "Children's Theatre Plays", "d:Description": "Scripts, stageplays, for young audiences, families. Directors, producers and teachers will find scripts for touring or mainstage with curriculum tie-ins.", "topic": "Top/Arts/Literature/Drama/Contemporary/Collections", "url": "http://childrenstheatre.easystorecreator.com/welcome.htm"} +{"d:Title": "Kids 4 Broadway", "d:Description": "Original one-act plays written for elementary, middle and high school students to perform.", "topic": "Top/Arts/Literature/Drama/Contemporary/Non-royalty", "url": "http://www.pacificsites.com/~kidsplay"} +{"d:Title": "Magic Parrot Productions UK", "d:Description": "Musical scripts for children. Performed in schools and clubs. No royalties or other fees.", "topic": "Top/Arts/Literature/Drama/Contemporary/Non-royalty", "url": "http://www.magicparrot.com/"} +{"d:Title": "Anderson, Robert Bruce (Juneau, Alaska)", "d:Description": "Catalog of Plays written by Juneau Playwright Robert \"BO\" Bruce Anderson.", "topic": "Top/Arts/Literature/Drama/Contemporary/Non-royalty", "url": "http://www.bo-o-rama.com/one-act-plays/"} +{"d:Title": "EServer Drama Collection", "d:Description": "Links to rare plays, screenplays and discussions of drama and dramatic productions.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://drama.eserver.org/"} +{"d:Title": "Playscripts.com", "d:Description": "A publisher of new plays for stage performance, offering free online perusal of full scripts.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.playscripts.com/"} +{"d:Title": "Sam Post's Dramatic Works", "d:Description": "Plays and screenplays.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.sampost.com/"} +{"d:Title": "The Collected Plays of Doric Wilson", "d:Description": "Free download of five plays by Doric Wilson, pioneer playwright of the Caffe Cino and off-off-Broadway and a founder of the Gay Theater movement.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.doricwilson.com/"} +{"d:Title": "The Salmon Tribunal", "d:Description": "Complete e-text of the play by Walter Wykes.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.theatrehistory.com/plays/salmon.html"} +{"d:Title": "We Are The Cheeze Official Website", "d:Description": "Complete texts of a series of short plays written by Anthony Ball and David Eaton.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.suave.net/WATC/cheeze.html"} +{"d:Title": "Odin", "d:Description": "Complete text of the play by Tor \u00c5ge Bringsv\u00e6rd.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.gyldendal.no/toraage/odin/index.html"} +{"d:Title": "Drama Archive - TheatreHistory.com", "d:Description": "Archive of online scripts by established playwrights as well as emerging dramatists.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.theatrehistory.com/plays/"} +{"d:Title": "Mike Mariano: The Online Anthology", "d:Description": "Plays include The Marley Show, I Am The Devil, Jack Wanda and Ben, and Couchophilia.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.mikemariano.com/"} +{"d:Title": "Stagepage.info", "d:Description": "The current works of G.L. Horton, playwright, actress, and critic for the last quarter century (Boston area). Monologues, one-acts, full length plays to download.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.stagepage.info/index.html"} +{"d:Title": "Weekend at San Basilica", "d:Description": "A young real estate executive is accidentally left behind by a tour bus at a famous California landmark and suddenly finds the most incredible things occurring. The complete script.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://ramses274.tripod.com/"} +{"d:Title": "Play scripts by William Armstrong", "d:Description": "Complete scripts for one act comedy, musical, and family drama plays by William Armstrong.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.williamarmstrong.com/plays"} +{"d:Title": "Simply Scripts - Plays", "d:Description": "A database of free, downloadable contemporary and classic plays.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.simplyscripts.com/plays.html"} +{"d:Title": "A. E. Waterton - Break A Leg, Eh?", "d:Description": "A collection of plays and writings from Canadian playwright A.E. Waterton.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.breakalegeh.com/"} +{"d:Title": "Literary Fiction", "d:Description": "Introduction to voice play, essays, critiques, and novels", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.anthonysteyning.com/"} +{"d:Title": "Plays by Len Cuthbert", "d:Description": "Theater Drama Scripts for the Church and School. Plays can be downloaded for review.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.lencuthbert.com/plays/plays.htm"} +{"d:Title": "10-Minute Plays", "d:Description": "An archive of production-tested 10-minute plays by contemporary dramatists.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.10-minute-plays.com/"} +{"d:Title": "One-Act Plays", "d:Description": "An archive of one-act plays by a wide variety of dramatists, both public domain and contemporary.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.one-act-plays.com/"} +{"d:Title": "One Act Plays", "d:Description": "Comedy, drama and Christmas plays for children, teens and adults, as well as monologues for auditions. Includes profile, information, synopsis and scripts for each play, license terms, FAQs and contact details.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://www.jimmybrunelle.com/"} +{"d:Title": "ProPlay", "d:Description": "Professionally produced stage plays available for immediate reading online.", "topic": "Top/Arts/Literature/Drama/Contemporary/On-line_Scripts", "url": "http://proplay.ws/"} +{"d:Title": "Stage Plays by Ian Hornby", "d:Description": "Plays available for production. Free evaluation copy on request.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.ianhornby.co.uk/"} +{"d:Title": "Fugard, Athol - Statements", "d:Description": "The plays of Athol Fugard with reviews and photos.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.iainfisher.com/fugard.html"} +{"d:Title": "Foster, Norm", "d:Description": "Canada's most produced playwright.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.normfoster.com/"} +{"d:Title": "Pinter, Harold", "d:Description": "The official Harold Pinter website.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.haroldpinter.org/"} +{"d:Title": "O'Morrison, Kevin", "d:Description": "Lists plays, reviews, publishers, precis of unpublished work, agents for production of plays, and monologs for drama students.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://kevin-omorrison.com/"} +{"d:Title": "Davidsmeyer, Jo", "d:Description": "Includes bibliography, excerpts from scripts, and upcoming theater appearances.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.jodavidsmeyer.com/"} +{"d:Title": "Bettencourt, Michael", "d:Description": "This sites offers full scripts of the short plays and one-acts and partial scripts of the full lengths.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.m-bettencourt.com/"} +{"d:Title": "Fratti, Mario", "d:Description": "Here you'll find a brief biography, a list of Mario's plays and how to order them, recent reviews, and this season's performances.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.mariofratti.com/"} +{"d:Title": "Kahn, Barbara", "d:Description": "Biography, list of awards, production photos and catalogue of plays from the career of a New York theatre veteran.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.barbara-kahn.com/"} +{"d:Title": "Vleugels, Hilda", "d:Description": "Contemporary comedies in English, French and Dutch, written by Hilda Vleugels, Belgian playwright, with synopses plus description of set and characters.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.hilplays.com/"} +{"d:Title": "Agans, David J.", "d:Description": "Playwright and lyricist: Theatrical works for professional, community and school companies. Biography and summaries of shows.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.tellink.net/~dagans"} +{"d:Title": "Talesnik, Ricardo", "d:Description": "Information about his plays, movies, solo performances and awards as well as present projects.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.autores.org.ar/rtalesnik"} +{"d:Title": "Ferguson, Eric - A Strand of the Celtic Fringe", "d:Description": "A collection of Eric Ferguson's plays, editorials, historical research, web design resume, and information for historic reenactors.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.celticfringe.net/"} +{"d:Title": "Donaghy, Tom", "d:Description": "Biographical information, current projects, past and upcoming theater and film productions of Tom Donaghy.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.tomdonaghy.com/"} +{"d:Title": "French, David", "d:Description": "Author of Leaving Home, Of the Fields Lately, Jitters, and other plays.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.davidfrench.net/"} +{"d:Title": "Guralnick, June", "d:Description": "Site of dramatist June Guralnick.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://juneguralnick.com/"} +{"d:Title": "Boytchev, Hristo", "d:Description": "The official web site of the famous Bulgarian playwright.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.hristoboytchev.com/"} +{"d:Title": "Kane, Sarah", "d:Description": "Details of her plays such as Blasted, Phaedra's Love, Cleansed, Crave and 4.48 Psychosis. Reviews, photos, voting and other links.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.iainfisher.com/kane.html"} +{"d:Title": "Barry, Raymond J.", "d:Description": "His work in theatre and movies, as well as his paintings, sculptures and drawings.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.raymondjbarry.org/"} +{"d:Title": "Shamas, Laura", "d:Description": "Information on the playwright and her plays.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.laurashamas.com/"} +{"d:Title": "Mullin, Paul", "d:Description": "New York playwright; the recipient of the Los Angeles Drama Critic's Circle Ted Schmitt Award for World Premiere of an Outstanding New Play, other awards.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.paulmullin.org/"} +{"d:Title": "Sanders, Francesca", "d:Description": "Award-winning author of \"Lilac Samba,\" \"Revered,\" \"Sacred Rain.\"", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.angelfire.com/art2/francesca/Francesca.html"} +{"d:Title": "Hopgood, Alan - Bay Street Productions", "d:Description": "Australian playwright, actor and guest speaker. Includes Alan's play, book and video about prostate cancer and men's health issues.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://bsp.net.au/"} +{"d:Title": "Brevoort, Deborah", "d:Description": "Award winning playwright. An alumna of New Dramatists, she is the author of The Women of Lockerbie, King Island Christmas, and others.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.deborahbrevoort.com/"} +{"d:Title": "Mattern, Jennifer", "d:Description": "Contact information, biography, and listing of productions and published work by playwright Jennifer Mattern.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.jennifermattern.com/"} +{"d:Title": "Coleman, Fritz", "d:Description": "Information on the plays of Los Angeles weatherman, Fritz Coleman.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.tvdance.com/fritzcoleman/"} +{"d:Title": "Dempsey, Sandra", "d:Description": "Homepage of the award-winning playwright.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.sandradempsey.com/"} +{"d:Title": "Rand, Jonathan", "d:Description": "The official website dedicated to the works of award-winning playwright Jonathan Rand.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.jonathanrand.com/"} +{"d:Title": "Nacol, Shawn", "d:Description": "Award-winning playwright. Script information, professional information, advice, and theater/writing links.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.shawnnacol.com/"} +{"d:Title": "Morogiello, John", "d:Description": "Biography, description of plays, contact information.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.johnmorogiello.com/"} +{"d:Title": "Beeker, Jefferson", "d:Description": "Writer of socio-political and also gay themed work.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.jeffersonbeeker.com/"} +{"d:Title": "Graham, Robin", "d:Description": "Performance poet, playwright and laughter club facilitator based in Manchester.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.writelaugh.co.uk/"} +{"d:Title": "Bragen, Andy", "d:Description": "Playwright and translator. Biography, works and multimedia.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://andybragen.com/"} +{"d:Title": "Berkoff, Steven", "d:Description": "The plays and films of Steven Berkoff, with reviews, photos. Also resources for students including a number of theses available on-line.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.iainfisher.com/berkoff.html"} +{"d:Title": "Phillips, Laurence", "d:Description": "Playwright and award winning author. Details of current and past works.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.laurencephillips.com/"} +{"d:Title": "Bird, John C.", "d:Description": "Summary and brief descriptions of published and broadcast work, ranging from plays and television comedy material to poetry and short fiction.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.johncbird.co.uk/"} +{"d:Title": "Rittenhouse, Michele Raper", "d:Description": "Author of Angel on my Shoulder and Heartland.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.micheleraperrittenhouse.net/"} +{"d:Title": "Berkoff, Steven", "d:Description": "The plays and books, contact information, and latest news.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.stevenberkoff.com/"} +{"d:Title": "Ulrich, Dave", "d:Description": "A contemporary playwright living in Los Angeles. Many of his plays (short, one-act, and full length) as well as other examples of his written and produced work.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.daveulrich.net/"} +{"d:Title": "Preece, David John", "d:Description": "Playwright and screenwriter, artist and film-maker.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.mollybegood.com/"} +{"d:Title": "Toohey, Rosemary Frisino", "d:Description": "Baltimore-based playwright, with her biography, selected reviews and synopses of some of her plays; lists her awards and productions of her plays.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.frisinotoohey.com/"} +{"d:Title": "Smith, Tom", "d:Description": "Script samples, summaries and production photos of the plays of Tom Smith.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.tomsmithplaywright.com/"} +{"d:Title": "Hanagan, Jay", "d:Description": "Features listing of plays, production history, prizes and awards.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.jayhanagan.com/"} +{"d:Title": "Starr, Michael", "d:Description": "Official site for British playwright Michael Starr. Writer of downloadable play scripts for theater and radio.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.michaelstarr.co.uk/"} +{"d:Title": "Fowkes, William", "d:Description": "News and information about the literary work of William Fowkes, playwright and author, including updates about play performances and publications, excerpts from his work, and some photos.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.williamfowkes.com/"} +{"d:Title": "Plaice, Stephen", "d:Description": "Record of the work of Stephen Plaice, UK-based writer in the areas of opera, musical theatre, TV and film; with details of forthcoming productions and some online samples of his work.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.stephenplaice.co.uk/"} +{"d:Title": "Jones, Sarah", "d:Description": "A playwright, poet, actor and activist based in New York City. Includes a performance calendar, links to press clippings and merchandise.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.sarahjonesonline.com/"} +{"d:Title": "May, Cybele", "d:Description": "A profile of the playwright, her work, contact information and current performances.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.typetive.com/"} +{"d:Title": "Hansell, Susan", "d:Description": "The author's biography, history, reviews, links, production resume, publication lists, and excerpts from new works.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://susan-hansell.net/"} +{"d:Title": "Jannuzzi, Luigi", "d:Description": "His biographical information, awards, and links to one act, full length and upcoming plays.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.luigijannuzzi.com/"} +{"d:Title": "Turner, Brian E.", "d:Description": "Homepage of experimental playwright and novelist Brian E. Turner.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://users.actrix.co.nz/b.turner/"} +{"d:Title": "Anderson, Kathy", "d:Description": "Playwright and short story writer, based in Philadelphia, Pennsylvania. Biography, publications, and ticket information.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.kathyandersonwriter.com/"} +{"d:Title": "Pete Haynes", "d:Description": "Former Lurkers Punk rock drummer Pete Haynes, aka Manic Esso, is now an established novelist and playwright. His first published novel is 'Gods Lonely Men' telling the story of the Lurkers.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.petehaynes.co.uk/"} +{"d:Title": "Weinstein, Joshua Aaron", "d:Description": "21st century American playwright and filmmaker.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.jaaronweinstein.com/"} +{"d:Title": "Polatin, Daria", "d:Description": "Playwright, tv writer and screenwriter, who also composes music and writes fiction. Information about her productions and awards.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.dariapolatin.com/"} +{"d:Title": "Brikauski. Umlaut - One Page Plays", "d:Description": "The dramatic writings of Umlaut Brikauski, mainly in play form.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.onepageplays.com/"} +{"d:Title": "Biss, Andrew", "d:Description": "Award-winning, U.S.-based British playwright. Includes script samples, biographical and contact information, and news on current productions.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.andrewbiss.com/"} +{"d:Title": "Taylor, Tom", "d:Description": "Tom Taylor is an award-winning playwright whose work has been performed on four continents. A writer, director, singer and songwriter he has won accolades for drama, comedy and musicals.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.tomtaylormade.com/"} +{"d:Title": "Adair, Aaron", "d:Description": "Home page for Chicago writer Aaron Adair, featuring old and new projects for the stage.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.jellobox.com/"} +{"d:Title": "Spurway, John", "d:Description": "Sample scenes from several plays and contact information.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.spurway.ca/"} +{"d:Title": "Ferrante, Bob Jude", "d:Description": "Synopses and cast lists, resume, biography and current news related to playwright and instigator Bob Jude Ferrante.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.pipeline.com/~jude/"} +{"d:Title": "Trivizas, Eugene", "d:Description": "Greek children's author Eugene Trivizas has written over 100 books and multiple plays.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.reading.ac.uk/AcaDepts/lw/Sociol/publish/people/academic/eugene/bio.htm"} +{"d:Title": "Rendon, Marcie", "d:Description": "Enrolled member of the White Earth Anishinabe Nation, she is a mother, grandmother, writer, playwright and sometimes performance artist.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://mrendon703.blogspot.com/"} +{"d:Title": "Goulding, Philip", "d:Description": "Details of original plays, including emigrant play Heading West, plus his adaptations of Hardy's Mayor of Casterbridge and Gogol's Government Inspector.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.philipgoulding.com/"} +{"d:Title": "Owen, Chris", "d:Description": "UK based playwright, director and youth theatre (14-25) specialist. Includes support for his published plays: \"The Last Resort\" and \"A Mother's Voice\"", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.chrisowenonline.co.uk/"} +{"d:Title": "James, Joshua", "d:Description": "Author of the plays The Men's Room and Tallboy Walkin'.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://writerjoshuajames.com/"} +{"d:Title": "Gamilton, Greg", "d:Description": "Contains author's biography, fragments of plays and contact information.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.greg-gamilton.com/"} +{"d:Title": "Assante, Isabelle", "d:Description": "Credits, list of plays, Horatio, Article 5, plays request, French/English, contact information.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.isabelleassante.net/"} +{"d:Title": "Motel, Doug", "d:Description": "Storyteller, writer, and performer. Contains performances, filmography and clips. Based in New York.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.dougmotel.com/"} +{"d:Title": "Robinson, Betsy", "d:Description": "Writer and playwright offering resources for character actors for auditions and classwork. Includes monologues and a blog.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.betsyrobinson-writer.com/"} +{"d:Title": "Perkins, Alan David", "d:Description": "Official website of playwright, comedy writer and musician Alan David Perkins.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.alandperkins.com/"} +{"d:Title": "Shepard, Molly Louise", "d:Description": "Off-Off-Broadway Playwright and Screen Writer.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://mollylou.tripod.com/index.html"} +{"d:Title": "Varela, David", "d:Description": "Writes for a variety of media, including theatre, radio, film and the Internet.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://davidvarela.wordpress.com/"} +{"d:Title": "Lahaie, Scot", "d:Description": "About his current work as a playwright and stage director.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://scotlahaie.weebly.com/"} +{"d:Title": "Suda, R. Balazs", "d:Description": "Hungarian playwright. English version selectable.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.brsuda.co.uk/"} +{"d:Title": "Sejavka, Sam", "d:Description": "Description of plays, and details of productions.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://users.comcen.com.au/~sejavka/"} +{"d:Title": "Martin, Maurice", "d:Description": "Plays include \"Three Questions,\" \"A Hillside in Hell,\" and \"The Sound of One Hand Typing.\"", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.dramatistsguild.com/memberdirectory/getmembership.aspx?cid=12809"} +{"d:Title": "Buchanan, Dave", "d:Description": "Plays and pantomimes of Dave Buchanan.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights", "url": "http://www.spotlightpublications.com/script-authors.asp"} +{"d:Title": "doollee.com - Nilo Cruz", "d:Description": "Complete listing of plays, with synopsis, information on the first production and cast.", "topic": "Top/Arts/Literature/Drama/Contemporary/Playwrights/Cruz,_Nilo", "url": "http://www.doollee.com/PlaywrightsC/cruz-nilo.html"} +{"d:Title": "Records of Early English Drama (REED)", "d:Description": "Collection of Renaissance and Medieval drama and related works.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://www.reed.utoronto.ca/"} +{"d:Title": "Elizabethan Playhouses, Actors, and Audiences", "d:Description": "An overview of Elizabethan Theatre; covers regulation and licensing of plays, objections to playhouses, companies of actors, and composition and ownership of plays.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://www.theatrehistory.com/british/bellinger001.html"} +{"d:Title": "Condemnation of Elizabethan Theater", "d:Description": "An examination of attempts by the Puritans and the London Corporation to shut down the theaters, as well as the royal protection which allowed the theaters to thrive.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://www.theatredatabase.com/16th_century/condemnation_of_elizabethan_theater_001.html"} +{"d:Title": "The Elizabethan Theatre", "d:Description": "An illustrated lecture on the development of the Elizabethan theatre, covering both playhouses and the dramas performed.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://www.uni-koeln.de/phil-fak/englisch/shakespeare/"} +{"d:Title": "Biographical Index of English Drama Before 1660", "d:Description": "Detailed listing of people involved with the Early modern theatre.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://shakespeareauthorship.com/bd/"} +{"d:Title": "16th Century Theatre Database", "d:Description": "An archive of articles on sixteenth century theatre.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://www.theatredatabase.com/16th_century/"} +{"d:Title": "Poems by 16th Century Dramatists", "d:Description": "An index of poems by some of the most important dramatists of the sixteenth century, including William Shakespeare, Torquato Tasso, and Lope de Vega.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://www.poetry-archive.com/collections/16th_century_dramatists.html"} +{"d:Title": "Shakespeare's Stage", "d:Description": "Examines the development of the theatres and stages from Medieval drama to Shakespeare's time.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://internetshakespeare.uvic.ca/Library/SLT/stage"} +{"d:Title": "British Graduate Shakespeare Conference", "d:Description": "Details of the annual Conference, at the Shakespeare Institute Stratford where postgraduate students submit work on Shakespeare and his contemporary dramatists. Includes the text of papers delivered.", "topic": "Top/Arts/Literature/Drama/Elizabethan", "url": "http://www.birmingham.ac.uk/schools/edacs/departments/shakespeare/events/2013/britgrad-2013.aspx"} +{"d:Title": "Minstrels and Jongleurs", "d:Description": "A brief description of the rise and fall of the medieval minstrel.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://www.theatrehistory.com/medieval/minstrels001.html"} +{"d:Title": "Medieval Theatre Index", "d:Description": "Index of articles on medieval theatre.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://www.theatrehistory.com/medieval/index.html"} +{"d:Title": "Medieval Church Plays", "d:Description": "An overview of the development of medieval religious drama.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://www.theatrehistory.com/medieval/mysteries001.html"} +{"d:Title": "The Medieval Drama", "d:Description": "A history of dramatic literature as it developed during the Middle Ages.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://www.theatrehistory.com/medieval/medieval001.html"} +{"d:Title": "Moralities, Interludes and Farces of the Middle Ages", "d:Description": "An analysis of several different forms of theatre which first appeared during the Middle Ages.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://www.imagi-nation.com/moonstruck/spectop006.html"} +{"d:Title": "Drama of the Middle Ages", "d:Description": "An overview of Medieval theatre; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://www.theatredatabase.com/medieval/medieval_theatre_001.html"} +{"d:Title": "The Cambridge History of English and American Literature: The Drama to 1642", "d:Description": "An introduction.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://www.bartleby.com/215/"} +{"d:Title": "Records of Early English Drama", "d:Description": "Online searchable database of drama patrons and performances in medieval and Renaissance England, with interactive mapping.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://link.library.utoronto.ca/reed/"} +{"d:Title": "Moralities and Mysteries", "d:Description": "Several short but informative introductory articles.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://internetshakespeare.uvic.ca/Library/SLT/drama/moralities"} +{"d:Title": "PLS - Poculi Ludique Societas", "d:Description": "Account of the many productions presented by this Medieval and Renaissance drama group.", "topic": "Top/Arts/Literature/Drama/Medieval", "url": "http://groups.chass.utoronto.ca/plspls/"} +{"d:Title": "Everyman", "d:Description": "A synopsis of the finest and best known of the morality plays of the Middle Ages.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works", "url": "http://www.theatrehistory.com/medieval/everyman001.html"} +{"d:Title": "Medieval English Drama: Modernized Performance Texts", "d:Description": "Modern acting versions of 'The Castle of Perseverance' and 'The N-Town Plays'.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works", "url": "http://www.chass.utoronto.ca/~ajohnsto/"} +{"d:Title": "Everyman", "d:Description": "Complete text from the edition by A. C. Cawley.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=everyman"} +{"d:Title": "From Stage to Page: Medieval and Renaissance Drama", "d:Description": "Complete texts of eleven English moral comedies and a growing collection of Early Modern drama.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works", "url": "http://ummutility.umm.maine.edu/necastro/drama/"} +{"d:Title": "Chester Noah's Flood", "d:Description": "Background and links for mystery play from the Chester Cycle.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/Chester_Cycle", "url": "http://www.public.iastate.edu/~gbetcher/373/NoahFld.htm"} +{"d:Title": "Chester Mystery Plays", "d:Description": "Originally performed on wagons in the streets, a selection from the 24 plays in the Chester Cycle are performed annually in the grounds of Chester Cathedral. Tickets, dates and DVDs.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/Chester_Cycle", "url": "http://www.chestermysteryplays.com/"} +{"d:Title": "Towneley Plays", "d:Description": "Annotated etexts of several plays from the University of Calgary.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/Towneley_Cycle", "url": "http://www.acs.ucalgary.ca/~scriptor/towneley/"} +{"d:Title": "Corpus of Middle English Prose and Verse: The Towneley Plays", "d:Description": "E-texts at the University of Michigan.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/Towneley_Cycle", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=towneley"} +{"d:Title": "York Plays", "d:Description": "Class notes with introduction, photographs, links.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/York_Cycle", "url": "http://www.public.iastate.edu/~gbetcher/373/York.htm"} +{"d:Title": "York Corpus Christi Play Simulator", "d:Description": "Features a computer program that models the motion of pageant wagons during a simulated York Corpus Christi Pageant.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/York_Cycle", "url": "http://jerz.setonhill.edu/resources/PSim/index.html"} +{"d:Title": "York Mystery Plays", "d:Description": "Annual dramatic event held in July: includes history of the plays and an enquiry form.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/York_Cycle", "url": "http://www.yorkmysteryplays.org/"} +{"d:Title": "Doomsday Menu", "d:Description": "Describes an academic project to collect information relating to the York plays.", "topic": "Top/Arts/Literature/Drama/Medieval/Specific_Works/York_Cycle", "url": "http://www.lancaster.ac.uk/users/yorkdoom/menu.htm"} +{"d:Title": "Italian Dramatic Criticism of the Renaissance", "d:Description": "An overview of dramatic criticism during the Italian Renaissance. Includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Renaissance", "url": "http://www.theatredatabase.com/16th_century/italian_dramatic_criticism_of_the_renaissance.html"} +{"d:Title": "German Drama in the Sixteenth Century", "d:Description": "An overview of German theatre in the Sixteenth Century.", "topic": "Top/Arts/Literature/Drama/Renaissance", "url": "http://www.theatrehistory.com/german/bates003.html"} +{"d:Title": "PLS - Poculi Ludique Societas", "d:Description": "One of the longest running Medieval and Renaissance drama groups.", "topic": "Top/Arts/Literature/Drama/Renaissance", "url": "http://groups.chass.utoronto.ca/plspls/"} +{"d:Title": "Lost Plays Database", "d:Description": "A wiki for scholars to share information about lost plays in England, 1570-1642.", "topic": "Top/Arts/Literature/Drama/Renaissance", "url": "https://www.lostplays.org/"} +{"d:Title": "Moonstruck Drama Bookstore: Moli\u00e8re", "d:Description": "Biography of French playwright.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re", "url": "http://www.imagi-nation.com/moonstruck/clsc35.html"} +{"d:Title": "Moliere in English", "d:Description": "Moliere plays in new English language adaptations. Classic theatrical comedies reimagined for contemporary audiences.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re", "url": "http://moliere-in-english.com/"} +{"d:Title": "TheatreHistory.com: Moli\u00e8re", "d:Description": "Articles on the French dramatist.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re", "url": "http://www.theatrehistory.com/french/moliere.html"} +{"d:Title": "Moli\u00e8re (Jean Baptiste de Poquelin, 1621-1673)", "d:Description": "A biography of the French dramatist and analysis of his works; includes a list of related links.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re", "url": "http://www.theatredatabase.com/17th_century/moliere_001.html"} +{"d:Title": "Moliere (1622-1673)", "d:Description": "Biographical article in the 1911 Encyclop\u00e6dia Britannica.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re", "url": "http://encyclopedia.jrank.org/MIC_MOL/MOLIERE_1622_1673_.html"} +{"d:Title": "Death of Moliere", "d:Description": "An account of the last days of Moliere and of his last comedy--The Imaginary Invalid.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Imaginary_Invalid,_The", "url": "http://www.theatrehistory.com/french/moliere003.html"} +{"d:Title": "The Misanthrope", "d:Description": "An analysis of the play by Moliere.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Misanthrope,_The", "url": "http://www.theatrehistory.com/french/misanthrope001.html"} +{"d:Title": "summarycentral.tripod.com: The Misanthrope", "d:Description": "Brief summary of the play by Moliere.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Misanthrope,_The", "url": "http://summarycentral.tripod.com/themisanthrope.htm"} +{"d:Title": "The Misanthrope", "d:Description": "A synopsis of the play by Moliere.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Misanthrope,_The", "url": "http://www.imagi-nation.com/moonstruck/clsc35w2.html"} +{"d:Title": "The Pretentious Young Ladies", "d:Description": "Complete text of the play by Moli\u00e8re.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Pretentious_Young_Ladies,_The", "url": "http://www.theatrehistory.com/plays/pyl001.html"} +{"d:Title": "The Pretentious Young Ladies", "d:Description": "An introductory note on the play by Moli\u00e8re.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Pretentious_Young_Ladies,_The", "url": "http://www.imagi-nation.com/moonstruck/clsc35w3.html"} +{"d:Title": "Tartuffe", "d:Description": "A history of the play by Moliere.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Tartuffe", "url": "http://www.theatrehistory.com/french/tartuffe001.html"} +{"d:Title": "Tartuffe or The Hypocrite", "d:Description": "A synopsis of the play by Moliere.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Tartuffe", "url": "http://www.imagi-nation.com/moonstruck/clsc35w1.html"} +{"d:Title": "Tartuffe", "d:Description": "Complete text of the play by Moliere.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Tartuffe", "url": "http://www.bartleby.com/26/4/"} +{"d:Title": "Tartuffe Costume Drawings", "d:Description": "Costume drawings from the National Theatre of Cyprus production.", "topic": "Top/Arts/Literature/Drama/Renaissance/Moli\u00e8re/Tartuffe", "url": "http://www.davidburrows.com/tartuffe.html"} +{"d:Title": "The Origin of the Hindu Drama", "d:Description": "An examination of the mythical and historical origins of the Hindu Drama.", "topic": "Top/Arts/Literature/Drama/Sanskrit", "url": "http://www.theatrehistory.com/asian/horrwitz02.html"} +{"d:Title": "Bhasa", "d:Description": "Biography of Sanskrit dramatist Bhasa, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/Drama/Sanskrit/Bhasa", "url": "http://www.imagi-nation.com/moonstruck/clsc61.html"} +{"d:Title": "Kalidasa", "d:Description": "Biography of the great ancient poet and dramatist.", "topic": "Top/Arts/Literature/Drama/Sanskrit/Kalidasa", "url": "http://www.imagi-nation.com/moonstruck/clsc60.html"} +{"d:Title": "In the Court Theatre of Ujain, 1400 years ago", "d:Description": "Historical recreation of the Court premiere of Kalidasa's \"Shakuntala.\"", "topic": "Top/Arts/Literature/Drama/Sanskrit/Kalidasa", "url": "http://www.theatrehistory.com/asian/horrwitz01.html"} +{"d:Title": "Kalidasa: Life and Works", "d:Description": "Several brief biographies are reproduced here, plus synopses of his work.", "topic": "Top/Arts/Literature/Drama/Sanskrit/Kalidasa", "url": "http://www.cs.colostate.edu/~malaiya/kalidas.html"} +{"d:Title": "Kalidasa: Poems", "d:Description": "An index of poems by the Indian dramatist Kalidasa.", "topic": "Top/Arts/Literature/Drama/Sanskrit/Kalidasa", "url": "http://www.poetry-archive.com/k/kalidasa.html"} +{"d:Title": "Kalidasa's Meghaduta 1", "d:Description": "Kalidasa's Meghaduta: undertaking a translation. An examination of parts of some translations, and the differences between them.", "topic": "Top/Arts/Literature/Drama/Sanskrit/Kalidasa", "url": "http://www.textetc.com/workshop/wt-kalidasa-1.html"} +{"d:Title": "Kalidasa: Shakuntala and Other Works", "d:Description": "Translations by Arthur W. Ryder, at sacred-texts.com. Includes several works other than Shakuntala.", "topic": "Top/Arts/Literature/Drama/Sanskrit/Kalidasa", "url": "http://www.sacred-texts.com/hin/sha/index.htm"} +{"d:Title": "K\u0101lid\u0101sa - Wikipedia, the free encyclopedia", "d:Description": "Describes what is known about his ilfe and times, and the known works of his.", "topic": "Top/Arts/Literature/Drama/Sanskrit/Kalidasa", "url": "http://en.wikipedia.org/wiki/Kalidasa"} +{"d:Title": "Medieval and Classical Library", "d:Description": "Collection of literary works of classical and medieval civilization.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://omacl.org/"} +{"d:Title": "ArmenianHouse.org", "d:Description": "A private nonprofit project to convert into electronic format and publish the Armenian literary heritage. Also provides information on Armenian culture, history, religion.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.armenianhouse.org/"} +{"d:Title": "Project Runeberg", "d:Description": "Archive of free ebooks of classic Nordic (Scandinavian) literature.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://runeberg.org/"} +{"d:Title": "Classic Bookshelf", "d:Description": "Free electronic books to read online.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.classicbookshelf.com/Reader/index.htm"} +{"d:Title": "The EServer", "d:Description": "Includes a variety of literature-related materials, including etext archives of prose, poetry, fiction, non-fiction; links.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://eserver.org/"} +{"d:Title": "Perseus Project", "d:Description": "Includes texts from the classical and Renaissance world.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.perseus.tufts.edu/"} +{"d:Title": "Literature of the Fantastic", "d:Description": "A fair-sized collection of classic works of fantasy/sf, along with fantasy/sf-related websites.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.sff.net/people/doylemacdonald/lit.htm"} +{"d:Title": "Library of Southern Literature", "d:Description": "There is a collection of approximately 100 texts in HTML and XML. Documents the riches and diversity of Southern experience as presented in its most important literary works.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://docsouth.unc.edu/southlit/"} +{"d:Title": "The International Children's Digital Library (ICDL)", "d:Description": "Provides free access to children's books from around the world. Some books are public domain, others are used by author's permission.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://childrenslibrary.org/"} +{"d:Title": "Gruntose", "d:Description": "Features selected electronic texts, including Doyle, Dumas, and Dana.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.gruntose.com/"} +{"d:Title": "Bartleby.com", "d:Description": "Etexts, focusing on classics and general reference works.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.bartleby.com/"} +{"d:Title": "The Online Literature Library", "d:Description": "A small, but easily-navigated selection of online etexts from English literature.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.literature.org/"} +{"d:Title": "Page by Page Books", "d:Description": "Hundreds of books in the public domain, divided into HTML pages.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.pagebypagebooks.com/"} +{"d:Title": "Learn Library", "d:Description": "Offers books, poems, speeches, plays and essays; includes reader discussion forums.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.learnlibrary.com/index.htm"} +{"d:Title": "byGosh.com", "d:Description": "Free, online illustrated children's stories, 20 best out-of-copyright novels of the 20th century, out-of-copyright nonfiction of the 20th century. Also children's stories.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.bygosh.com/"} +{"d:Title": "Literature Project", "d:Description": "A collection of classic books, poems, speeches, and plays. Site offers online chapter-indexed hypertext that can be easily read and searched and each piece includes downloadable e-text of the work.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.literatureproject.com/"} +{"d:Title": "Literature Online", "d:Description": "Links to third party sites, plus literary and reference databases including English and American poetry, drama, and prose, and The Annual Bibliography of English Language and Literature. Requires paid subscription.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://lion.chadwyck.com/"} +{"d:Title": "Hypertexts in American Studies", "d:Description": "American literature including works by Poe, Jefferson, Madison, and Twain.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://xroads.virginia.edu/~HYPER/hypertex.html"} +{"d:Title": "Instinct.org Online Texts", "d:Description": "Selected online texts on a variety of topics.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.instinct.org/texts/"} +{"d:Title": "E-texts and Women's History", "d:Description": "From About.com, listing of electronic versions of books, poetry, speeches, and other writings that illuminate women's history.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://womenshistory.about.com/library/weekly/aa020199.htm"} +{"d:Title": "Classic Reader", "d:Description": "A collection of classic fiction and non-fiction, poetry, and children's stories.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.classicreader.com/"} +{"d:Title": "Public Bookshelf", "d:Description": "A collection of books in the public domain which can be downloaded.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.publicbookshelf.com/"} +{"d:Title": "The Classics in ASCII", "d:Description": "Public domain fiction and non-fiction etexts at Textfiles.com.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.textfiles.com/etext/"} +{"d:Title": "Alex Catalogue of Electronic Texts", "d:Description": "Collection of public domain documents from American and English literature as well as Western philosophy.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.infomotions.com/alex/"} +{"d:Title": "Bibliomania", "d:Description": "Houses an extensive online collection of texts ranging from fiction and poetry to general non-fiction and reference works.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.bibliomania.com/"} +{"d:Title": "World eBook Library", "d:Description": "Public domain books in HTML, usually one file per chapter. Offers PDF books to members only.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.worldlibrary.net/"} +{"d:Title": "The Internet Archive: Million Book Project", "d:Description": "Carnegie Mellon University project to compile digitized texts into a free, searchable digital library.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.archive.org/details/millionbooks"} +{"d:Title": "Bookstacks", "d:Description": "Free online texts in several languages.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.bookstacks.org/"} +{"d:Title": "Making of America", "d:Description": "A digital library of primary sources in 19th-century American social history from the antebellum period through Reconstruction.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://quod.lib.umich.edu/m/moagrp/"} +{"d:Title": "FullBooks.com", "d:Description": "A free online collection with thousands of books.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.fullbooks.com/"} +{"d:Title": "Fiction.us", "d:Description": "Public domain novels, short stories and plays in HTML format.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.fiction.us/"} +{"d:Title": "Classics at the Online Literature Library", "d:Description": "Archived electronic texts indexed by author.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.literature.org/authors/"} +{"d:Title": "Adobe Sample eBook Library", "d:Description": "Features free sample eBooks including entire books and preview chapters from leading publishers. Adobe Digital Editions software is needed.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.adobe.com/products/digitaleditions/library/"} +{"d:Title": "PDFreeBooks Library", "d:Description": "A small collection of free public domain and copyleft books. Read online on iPaper or download free full text versions as PDF.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://pdfreebooks.org/"} +{"d:Title": "cmadras.com", "d:Description": "HTML collection of online classic e-books.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.cmadras.com/"} +{"d:Title": "Literature Collection", "d:Description": "Contains a searchable collection of timeless literature classics.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.literaturecollection.com/"} +{"d:Title": "Full Text Archive", "d:Description": "Large free and searchable collection of classic books, novels and poems.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://fulltextarchive.com/"} +{"d:Title": "Getfreeebooks.com", "d:Description": "Collection of free downloadable ebooks in a variety of popular formats and categories.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.getfreeebooks.com/"} +{"d:Title": "Free classic e-books", "d:Description": "A site with 1000s of free e-books (in pdf format) of the classics and other out of copyright books", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://www.freeclassicebooks.com/"} +{"d:Title": "The Society for the Appreciation of the Post-Dialogic Novel", "d:Description": "For theories on the status of the contemporary novel, reviewing texts in print form, with an eye toward the form's evolution via hypertexts and immersive environments.", "topic": "Top/Arts/Literature/Electronic_Text_Archives", "url": "http://dglen.tripod.com/society.htm"} +{"d:Title": "Distributed Proofreaders", "d:Description": "This site produces ebooks for Project Gutenberg. You can help proofreading ebooks; just one page or as many as you like. Projects and forum for beginners. Registration required.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "http://www.pgdp.net/"} +{"d:Title": "Project Gutenberg of Australia", "d:Description": "Archive of free ebooks of works that are in the public domain in Australia. Australian writers. Thematic book listings.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "http://gutenberg.net.au/"} +{"d:Title": "Project Gutenberg", "d:Description": "A digital library of free ebooks. Includes Book listings, search engine, newsletter, articles and information on how users can help create more free ebooks.", "priority": "1", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "https://www.gutenberg.org/"} +{"d:Title": "Project Gutenberg Consortia Center", "d:Description": "A directory of public domain etext collections with search engine. By Project Gutenberg founder Michael Hart.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "http://www.gutenberg.cc/"} +{"d:Title": "Project Gutenberg Canada", "d:Description": "Archive of free ebooks of works that are in the public domain in Canada, focusing on Canadian writers and topics.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "http://gutenberg.ca/"} +{"d:Title": "Distributed Proofreaders Canada", "d:Description": "Same as Distributed Proofreaders but focused on works in languages spoken in Canada, and on material for Project Gutenberg Canada.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "http://www.pgdpcanada.net/"} +{"d:Title": "Project Gutenberg News", "d:Description": "Companion website for the Project Gutenberg Newsletters, including the newsletter archives and information about PG. Also eBook related news and the supporting technologies.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "http://www.gutenbergnews.org/"} +{"d:Title": "David Price's Project Gutenberg In-progress List", "d:Description": "Listings of books which are being worked on at Project Gutenberg. Many of these books will be added to the PG collection in the near future.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Project_Gutenberg", "url": "http://pglaf.org/~ccx074/gutip.html"} +{"d:Title": "Daoist Scriptures", "d:Description": "A large collection of Chinese Taoist literature.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Religion_and_Spirituality", "url": "http://www.edepot.com/taotext.html"} +{"d:Title": "Christian Classics Ethereal Library", "d:Description": "Classic Christian books in electronic format.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Religion_and_Spirituality", "url": "http://ccel.org/"} +{"d:Title": "Gnostic Society Library", "d:Description": "A collection of materials dealing with Gnosis and Gnosticism, both ancient and modern.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Religion_and_Spirituality", "url": "http://www.gnosis.org/library.html"} +{"d:Title": "Digital Quaker Collection", "d:Description": "DQC is a freely accessible collection of 17th and 18th century Quaker texts. Full text search engine. Includes option of viewing page images.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Religion_and_Spirituality", "url": "http://esr.earlham.edu/dqc/"} +{"d:Title": "Internet Sacred Text Archive", "d:Description": "Ongoing project to publish etexts of the Oxford \"Sacred Texts of the East\"; online HTML; sales of CD-ROM.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Religion_and_Spirituality", "url": "http://www.sacred-texts.com/"} +{"d:Title": "Berkeley Digital Library SunSITE", "d:Description": "Program information, resources, and access to digital collections.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://sunsite.berkeley.edu/"} +{"d:Title": "Humanities Text Initiative", "d:Description": "University of Michigan's online humanities text archives.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://www.hti.umich.edu/"} +{"d:Title": "Digital Library Project", "d:Description": "A University of Pennsylvania selection of digital library collections, exhibits, and finding aids prepared by digital librarians. Includes a section on obscure texts by women writers.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://digital.library.upenn.edu/"} +{"d:Title": "Sophie", "d:Description": "A digital library of works by German-speaking women.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://sophie.byu.edu/"} +{"d:Title": "Corpus of Middle English Prose and Verse Bibliography", "d:Description": "An extensive archive of Middle-English electronic texts (none of which are translated into modern English, however), including works by Chaucer and the ubiquitous Anonymous.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://quod.lib.umich.edu/c/cme/"} +{"d:Title": "Carrie", "d:Description": "Full-text electronic library hosted by the University of Kansas.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://vlib.iue.it/carrie/"} +{"d:Title": "Electronic Texts in American Studies", "d:Description": "Features about 80 documents, primary texts, and literature associated with the history and culture of the United States; PDF format.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://digitalcommons.unl.edu/etas/"} +{"d:Title": "Duke Papyrus Archive", "d:Description": "Features online access to over 1,375 ancient Egyptian papyri at Duke University.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://library.duke.edu/rubenstein/scriptorium/papyrus/"} +{"d:Title": "New Zealand Electronic Text Centre (NZETC)", "d:Description": "Hosted by Victoria University of Wellington. John Cawte Beaglehole Letters, Modern New Zealand Texts Collection, Print History Project and the New Zealand literary journal Sport.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://nzetc.victoria.ac.nz/"} +{"d:Title": "Schoenberg Centre for Electronic Text and Image", "d:Description": "At the University of Pennsylvania Library includes a database of scanned texts from the Furness Shakespeare Library.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://sceti.library.upenn.edu/sceti/"} +{"d:Title": "Oxford Text Archive", "d:Description": "2,500 texts, corpora, and reference works. Some are freely available.", "topic": "Top/Arts/Literature/Electronic_Text_Archives/Universities", "url": "http://ota.ox.ac.uk/"} +{"d:Title": "Prague Writers' Festival", "d:Description": "Official site, featuring video clips and details about the program, author profiles and interviews, and a history.", "topic": "Top/Arts/Literature/Festivals", "url": "http://www.pwf.cz/"} +{"d:Title": "The Palestine Festival of Literature", "d:Description": "An annual cultural roadshow touring Palestine. News, participants, programme, contact information. Middle East.", "topic": "Top/Arts/Literature/Festivals", "url": "http://palfest.org/"} +{"d:Title": "Abu Dhabi International Book Fair", "d:Description": "Offers access to industry professionals and key market players in the Middle East and North Africa.", "topic": "Top/Arts/Literature/Festivals", "url": "http://www.adbookfair.com/"} +{"d:Title": "Jaipur Literature Festival", "d:Description": "Authors from South Asia and across the world come together for five days of readings, debates and discussions at the Diggi Palace in the Rajasthani capital. India.", "topic": "Top/Arts/Literature/Festivals", "url": "http://www.jaipurliteraturefestival.org/"} +{"d:Title": "Buenos Aires Book Fair", "d:Description": "Annual literary event in Latin America, for Spanish readers.", "topic": "Top/Arts/Literature/Festivals", "url": "http://www.el-libro.org.ar/buenos-aires-book-fair/"} +{"d:Title": "Wanganui Literary Festival", "d:Description": "A New Zealand literary festival for vibrant writers and readers. Lists complete program, and details about the featured writers.", "topic": "Top/Arts/Literature/Festivals", "url": "https://www.facebook.com/WhanganuiLiteraryFestival/"} +{"d:Title": "Mostly Fiction", "d:Description": "Features selected links to reviews of fiction, author web sites, and book excerpts.", "topic": "Top/Arts/Literature/Fiction", "url": "http://mostlyfiction.com/"} +{"d:Title": "Metafictional Studies", "d:Description": "Articles and essays on metafiction by professor Michael Friede.", "topic": "Top/Arts/Literature/Fiction", "url": "http://www.metafictionalstudies.blogspot.com/"} +{"d:Title": "English Server Fiction", "d:Description": "Offers works of and about fiction, including short fiction, novels, and criticism. Many entries are for those works considered classics and published in the 18th and 19th centuries.", "topic": "Top/Arts/Literature/Fiction", "url": "http://fiction.eserver.org/"} +{"d:Title": "Modern Fiction Studies", "d:Description": "Johns Hopkins University Press journal publishing articles on prominent works of modern and contemporary fiction.", "topic": "Top/Arts/Literature/Fiction", "url": "http://muse.jhu.edu/journal/125"} +{"d:Title": "FictionDB", "d:Description": "Database of authors and titles. Browse series lists, sequels, pseudonyms, reviews, synopses, book covers, ratings and awards.", "topic": "Top/Arts/Literature/Genres", "url": "http://www.fictiondb.com/"} +{"d:Title": "The Financial Fiction Genre", "d:Description": "A guide to banking and finance in fiction from the classics of Dickens, Thackeray, and Zola to modern financial thrillers.", "topic": "Top/Arts/Literature/Genres", "url": "http://projects.exeter.ac.uk/RDavies/bankfiction/"} +{"d:Title": "Wired", "d:Description": "Award-winning site about web technology and culture.", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://www.wired.com/"} +{"d:Title": "Boing Boing", "d:Description": "Magazine about media and popular culture.", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://boingboing.net/"} +{"d:Title": "Erik Davis Techgnosis", "d:Description": "Little texts penned on this topic, which do not quite make the topic of journalism.", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://www.techgnosis.com/"} +{"d:Title": "Cyberpunk Resources", "d:Description": "A modern cyberpunk-themed blog.", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://cyberpunkresources.blogspot.com/"} +{"d:Title": "The Cyberpunk Database", "d:Description": "The comprehensive cyberpunk database maintained by The Cyberpunk Project.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://project.cyberpunk.ru/idb/index.html"} +{"d:Title": "The Cyberpunk Project", "d:Description": "A project dedicated toward maintaining a cyberpunk database, library, and other information.", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://project.cyberpunk.ru/"} +{"d:Title": "Cyberpunk Forums", "d:Description": "An online discussion forum for the collective cyberpunk community.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://www.cyberpunkforums.com/"} +{"d:Title": "Boing Boing", "d:Description": "Recent entries from a magazine about media and popular culture.", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://boingboing.net/feed"} +{"d:Title": "CyPunk", "d:Description": "Cyberpunk information that includes history of the genre, biographies and filmography.", "topic": "Top/Arts/Literature/Genres/Cyberpunk", "url": "http://www.cypunk.com/"} +{"d:Title": "Bearcave: Dead Girls", "d:Description": "Review by Ian Kaplan with synopsis and opinion.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Calder,_Richard", "url": "http://www.bearcave.com/bookrev/deadgirl.htm"} +{"d:Title": "SF Site Featured Review: Cythera/Dead Trilogy", "d:Description": "Biography, overviews and opinion.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Calder,_Richard", "url": "http://www.sfsite.com/08b/dead39.htm"} +{"d:Title": "January Magazine: Malignos", "d:Description": "Overview, review, and analysis by Claude Lalumi\u00e8re.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Calder,_Richard", "url": "http://www.januarymagazine.com/SFF/malignos.html"} +{"d:Title": "Rapport: An Interview with William Gibson", "d:Description": "William Gibson on non-functioning American democracy, the importance of giving computers to the poor, and the elitist appeal of the Internet. Audio clips as well.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://www.josefsson.net/gibson/index.html"} +{"d:Title": "The SF Site: Idoru", "d:Description": "A review by Leon Olszewski.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://www.sfsite.com/12b/idoru23.htm"} +{"d:Title": "William Gibson Bibliography / Mediagraphy", "d:Description": "Complete William Gibson bibliography and related links. Describes his books, articles, short stories, audio books, and related media.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://www.skierpage.com/gibson/biblio.htm"} +{"d:Title": "MetroActive Books: Virtually a Best-Selling Book", "d:Description": "General overview and analysis of several thematic aspects of the novel, \"Idoru.\"", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://www.metroactive.com/papers/metro/09.12.96/books1-9637.html"} +{"d:Title": "Slashdot | Pattern Recognition", "d:Description": "Archived review and online discussion of Gibson's novel, \"Pattern Recognition.\"", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://books.slashdot.org/article.pl?sid=03/02/11/1521249&mode=thread&tid=99"} +{"d:Title": "Commentary on Gibson's Neuromancer Trilogy", "d:Description": "Written by members of English 111, Cyberspace, VR, and Critical Theory, Brown University, Spring 1998. Archived at the Cyberarts and Cyberculture Research Initiative.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://www.cyberartsweb.org/cpace/scifi/gibson/studentov.html"} +{"d:Title": "Ain't It Cool: Alexandra DuPont Interviews William 'Freakin' Gibson", "d:Description": "Regarding his book \"All Tomorrow's Parties\" and thoughts on the future and writing.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://www.aintitcool.com/node/5140"} +{"d:Title": "Salon: Riding Shotgun With William Gibson", "d:Description": "Overview of the new short film.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Gibson,_William", "url": "http://www.salon.com/2001/02/07/gibson_doc/"} +{"d:Title": "Shiroledge!", "d:Description": "The official website of Masamune Shirow, the cyberpunk manga author.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Authors/Shirow,_Masamune", "url": "http://www.shirowledge.com/index_enu.html"} +{"d:Title": "Biopunk.org", "d:Description": "An online discussion forum about biopunk and DIYbio.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Biopunk", "url": "http://biopunk.org/"} +{"d:Title": "Nanopunk at Azonano", "d:Description": "An article introducing nanopunk including definitions and examples.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Nanopunk", "url": "http://www.azonano.com/article.aspx?ArticleID=1918"} +{"d:Title": "io9 Talks to Kathleen Ann Goonan About Nanopunk and Jazz", "d:Description": "Interview discussing nanopunk fiction.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Nanopunk", "url": "http://io9.gizmodo.com/345891/io9-talks-to-kathleen-ann-goonan-about-nanopunk-and-jazz"} +{"d:Title": "Daily Steampunk", "d:Description": "Private homepage about steampunk, the style, and an ongoing story about the adventures of a Zeppelin and its crew written in the form of a diary. Also includes an in-character blog by the author.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Steampunk", "url": "http://www.dailysteampunk.com/"} +{"d:Title": "Steampunk Magazine", "d:Description": "A magazine of fiction, articles, interviews, instructables, art, and all other things steampunk. The magazine has shut down, but all 9 issues are still available for free download.", "topic": "Top/Arts/Literature/Genres/Cyberpunk/Steampunk", "url": "http://www.steampunkmagazine.com/"} +{"d:Title": "Novels of the Korean War", "d:Description": "Phil Jason's bibliographies of Korean War novels and short fiction.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War", "url": "http://www.illyria.com/korea.html"} +{"d:Title": "REMF Bibliography", "d:Description": "A very detailed bibliography of Viet Nam War literature compiled by David A. Willson with Nancy Kendall. Includes children's literature, drama, novels, poetry, screenplays, short stories.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War", "url": "http://www2.iath.virginia.edu/sixties/HTML_docs/Resources/Bibliographies/REMF_bib_entry.html"} +{"d:Title": "Reilly, Matthew", "d:Description": "Australian action thriller writer. The official site includes a biography, a discussion of his themes and style, interviews, articles, and short descriptions of his works.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://www.matthewreilly.com/"} +{"d:Title": "Galt, Fritz", "d:Description": "Official site of the spy novelist, Fritz Galt. Biographical sketch, interview, links to spy sites, unattributed reader reviews.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://spythrillers.com/"} +{"d:Title": "MacInnes, Helen", "d:Description": "Brief biography of Helen MacInnes (1907-1985), noted author of espionage novels.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://search.eb.com/women/articles/MacInnes_Helen_Clark.html"} +{"d:Title": "Cory, Desmond", "d:Description": "Covers the works of Desmond Cory, creator of spy fiction character Johnny Fedora.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://www.desmondcory.com/"} +{"d:Title": "The World of Andy McNab", "d:Description": "Unauthorized Andy McNab fan site. Pictures, book reviews, interviews, and previously-classified information.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://www.greymansland.com/"} +{"d:Title": "Thor, Brad", "d:Description": "Official site for thriller writer Brad Thor. Includes book list, news, reviews and appearance calendar.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://www.bradthor.com/"} +{"d:Title": "Peterson, Andrew", "d:Description": "Official site of thriller writer Andrew Peterson. Includes biography, news, calendar, and book excerpts.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://www.andrewpeterson.com/"} +{"d:Title": "Solomon Scandals - Rothman, David", "d:Description": "Book excerpt, biography and audio.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors", "url": "http://www.solomonscandals.com/"} +{"d:Title": "IMDb Eric Ambler", "d:Description": "Filmography as writer and producer.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/Ambler,_Eric", "url": "http://www.imdb.com/name/nm0001907/"} +{"d:Title": "Alan Furst Travels Through the Spy-ridden 'Shadows' of Europe", "d:Description": "CNN.com - interview, critical biography, and review of \"Kingdom of Shadows\" by Adam Dunn. Includes a link to an excerpt from \"Kingdom of Shadows.\"", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/Furst,_Alan", "url": "http://edition.cnn.com/2001/books/news/01/19/furst.shadows/"} +{"d:Title": "Our Man in the Shadows", "d:Description": "Charles Taylor, for salon.com, calls Alan Furst, with \"his romantic, complex spy novels about prewar Europe,\" the \"heir to John le Carr\u00e9.\"", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/Furst,_Alan", "url": "http://www.salon.com/2001/01/24/furst/"} +{"d:Title": "Secret Agent He-Man", "d:Description": "A review of the Matt Helm series by Donald Hamilton.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/Hamilton,_Donald", "url": "http://www.moshplant.com/prob/prob01/spy_he-man.html"} +{"d:Title": "The Donald Hamilton Worship Page", "d:Description": "Donald Hamilton fan page containing news, reviews, and various tidbits about the author and his work.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/Hamilton,_Donald", "url": "http://www.benish-industries.com/hamilton/hamilton.php"} +{"d:Title": "Le Carr\u00e9's People", "d:Description": "Article by Anatole Broyard.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.nytimes.com/books/99/03/21/specials/lecarre-people.html"} +{"d:Title": "Allreaders John LeCarre Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.allreaders.com/Topics/Topic_1329.asp"} +{"d:Title": "John le Carr\u00e9 - A Bibliography", "d:Description": "An extensive bibliography of writings by and about the author, including books, interviews, reviews and articles.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.jost-hindersmann.de/lecarre.htm"} +{"d:Title": "John le Carr\u00e9: An Interrogation", "d:Description": "Interview with Michael Barber.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.nytimes.com/books/99/03/21/specials/lecarre-interrogation.html"} +{"d:Title": "New York Times Featured Author: John le Carr\u00e9", "d:Description": "News, interviews, articles and reviews from the archives of The New York Times.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.nytimes.com/books/99/03/21/specials/lecarre.html"} +{"d:Title": "JohnLeCarre.com", "d:Description": "Official site featuring biography and bibliography, plus news and film news.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.johnlecarre.com/"} +{"d:Title": "John le Carr\u00e9, Salman Rushdie and Christopher Hitchens Exchange Biting Letters", "d:Description": "Exchange of letters to the editor by authors Salman Rushdie, John le Carr\u00e9, and Christopher Hitchens in the British daily newspaper, The Guardian.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.rjgeib.com/thoughts/burning/le-carre-vs-rushdie.html"} +{"d:Title": "IMDb: John Le Carr\u00e9", "d:Description": "Filmography at Internet Movie Database.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.imdb.com/name/nm0494170/"} +{"d:Title": "Le Carr\u00e9 the spy", "d:Description": "Audio interview by George Plimpton, from salon.com.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John", "url": "http://www.salon.com/2000/12/08/lecarre/"} +{"d:Title": "Book review of \"The Constant Gardener\"", "d:Description": "A review by Danny Yee of novel about the pharmaceutical industry in Africa.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John/Constant_Gardener,_The", "url": "http://dannyreviews.com/h/Constant_Gardener.html"} +{"d:Title": "Kenya boycotts Le Carre novel", "d:Description": "From BBC News.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John/Constant_Gardener,_The", "url": "http://news.bbc.co.uk/1/hi/world/africa/1205643.stm"} +{"d:Title": "le Carr\u00e9, John", "d:Description": "Official site from Penguin Random House.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/le_Carr\u00e9,_John/Tailor_of_Panama,_The", "url": "http://www.penguinrandomhouse.com/authors/16964/john-le-carre"} +{"d:Title": "Allreaders: Robert Ludlum", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Authors/Ludlum,_Robert", "url": "http://www.allreaders.com/Topics/Topic_162.asp"} +{"d:Title": "The Beleaguered Individual", "d:Description": "This doctoral dissertation by Patrick Paul Christle examines 20th century American war novels and argues that war is a sort of intensified experience of and an allegory for the world at large for the authors studied. Thus, they use the battlefield as the stage upon which to work out their explorations of what it means to be a modern individual.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Criticism", "url": "http://christle.freeshell.org/title.html"} +{"d:Title": "Two Spanish Civil War Novels and Questions of Canonicity", "d:Description": "D.A. Boxwell discusses Rose Macaulay's \"And No Man's Wit\" and Ernest Hemingway's \"For Whom the Bell Tolls.\" Boxwell argues that Macaulay's novel is one of countless object lessons in how literary canonization suffers from strategic amnesia.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Criticism", "url": "http://scholar.lib.vt.edu/ejournals/old-WILLA/fall92/n-boxwell.html"} +{"d:Title": "Yee's War Fiction Reviews", "d:Description": "A small collection of reviews of war novels by Danny Yee.", "topic": "Top/Arts/Literature/Genres/Espionage_and_War/Reviews", "url": "http://dannyreviews.com/s/war_fiction.html"} +{"d:Title": "Science Fiction and Fantasy World", "d:Description": "A newsletter featuring book reviews, news, online serials,interviews, and discussion forums.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.sffworld.com/"} +{"d:Title": "Steampunk", "d:Description": "Includes a large collection of reviews, author information, and interviews.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.steampunk.com/"} +{"d:Title": "Uchronia: The Alternate History List", "d:Description": "An annotated bibliography of alternate history stories.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.uchronia.net/"} +{"d:Title": "Jewish Science Fiction and Fantasy", "d:Description": "A list of science fiction and fantasy novels and shorter works somehow certified kosher.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.sfsite.com/~silverag/jewishsf.html"} +{"d:Title": "Sweet Despise", "d:Description": "An exploration into the darker side of literature, including recommended reading, regular columns, bibliographies and writing on: Michael Moorcock, Clark Ashton Smith, Angela Carter, Graham Masterton, and others.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.eclipse.co.uk/sweetdespise/"} +{"d:Title": "Feminist Science Fiction, Fantasy, and Utopia", "d:Description": "For scholars, fans, and readers of feminist science fiction and fantasy. Includes reviews and author resources.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.feministsf.org/"} +{"d:Title": "Fantasy Finder", "d:Description": "Includes fantasy reviews, links, top lists, a board room for discussion and debate, and a chat room.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.hoh.se/fantasyfinder/"} +{"d:Title": "About.com: Fantasy and Science Fiction", "d:Description": "Includes reviews, articles, and interviews.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://fantasy.about.com/arts/fantasy/"} +{"d:Title": "SF and Fantasy Books Online", "d:Description": "An extensive collection of links to online texts of fantasy and science fiction stories and novels.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.hourwolf.com/sfbooks/"} +{"d:Title": "Biblioholica: The Archive of Fantasy", "d:Description": "Database of fantasy books in English, German and Japanese. [English/Japanese]", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://phantasma.onza.net/biblio/index.html"} +{"d:Title": "FantasyLibrary.net", "d:Description": "A resource for fantasy and science fiction novels, authors, and publishers.", "topic": "Top/Arts/Literature/Genres/Fantasy", "url": "http://www.fantasylibrary.net/"} +{"d:Title": "Science Fiction and Fantasy Writers of America", "d:Description": "A writers' guild for fantasy and science fiction authors.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors", "url": "http://www.sfwa.org/"} +{"d:Title": "SFF.net: Recommended Fantasy Authors List", "d:Description": "List of authors with bibliographies, publication credits, and links to authors' sites.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors", "url": "http://www.sff.net/people/Amy.Sheldon/listcont.htm"} +{"d:Title": "Webring : FantasyWeb", "d:Description": "Directory dedicated to authors of fantasy works. Includes list of member sites.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors", "url": "http://www.webring.org/hub?ring=desormeaux"} +{"d:Title": "Kelley Armstrong's The Other World", "d:Description": "Author of the Women of the Otherworld series. Author journal, extracts, and examples of cover art.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/A/Armstrong,_Kelley", "url": "http://www.kelleyarmstrong.com/"} +{"d:Title": "Fans of Kelley Armstrong Webring", "d:Description": "Ring of fan sites for Kelley Armstrong and her Women of the OtherWorld series of books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/A/Armstrong,_Kelley", "url": "http://webpages.charter.net/sellersjr/WebRing/index.htm"} +{"d:Title": "Eleanor Arnason Page", "d:Description": "Devoted to the author and her works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/A/Arnason,_Eleanor", "url": "http://www.tc.umn.edu/~d-lena/Eleanor%20&%20trog.html"} +{"d:Title": "A. A. Attanasio", "d:Description": "Official site of author A. A. Attanasio.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/A/Attanasio,_A._A.", "url": "http://www.aaattanasio.com/"} +{"d:Title": "Fantasitic Fiction: A. A. Attanasio", "d:Description": "Bibliography, book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/A/Attanasio,_A._A.", "url": "https://www.fantasticfiction.com/a/a-a-attanasio/"} +{"d:Title": "Ballantine, Philippa J.", "d:Description": "The author of Weaver\u2019s Web, Chasing the Bard, Digital Magic, Weather Child and Geist,", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B", "url": "http://www.pjballantine.com/"} +{"d:Title": "James Barclay", "d:Description": "Biography, bibliography, and information about \"The Raven Chronicles\" from the author's official site.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Barclay,_James", "url": "http://www.jamesbarclay.com/"} +{"d:Title": "Unofficially Peter S. Beagle", "d:Description": "Includes biography, bibliography, interviews, awards and appearances and resources to locate hard-to-find items.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Beagle,_Peter_S.", "url": "http://www.peterbeagle.com/"} +{"d:Title": "Bellairsia", "d:Description": "Research and promotion of the life and works of the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bellairs,_John", "url": "http://www.bellairsia.com/"} +{"d:Title": "Anne Bishop", "d:Description": "Official Anne Bishop site.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bishop,_Anne", "url": "http://www.annebishop.com/"} +{"d:Title": "KJBishop.net", "d:Description": "Official site of K.J. Bishop, author of The Etched City.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bishop,_K.J.", "url": "http://www.kjbishop.net/"} +{"d:Title": "James P. Blaylock", "d:Description": "Includes brief biographical information, a complete bibliography, an interview, and links to further resources.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Blaylock,_James_P.", "url": "http://www.sybertooth.com/blaylock/"} +{"d:Title": "The Digging Anubis", "d:Description": "Short summaries of several books by both Blaylock and Powers, a FAQ and news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Blaylock,_James_P.", "url": "http://www.angelfire.com/scifi/timpowers/"} +{"d:Title": "The SF Site Featured Review: Winter Tides", "d:Description": "A 1997 review.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Blaylock,_James_P.", "url": "http://www.sfsite.com/10a/wint18.htm"} +{"d:Title": "Greentown, IL", "d:Description": "Movie news, short story reviews, and a visit to his hometown of Waukegan.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradbury,_Ray", "url": "http://redwood.stormloader.com/bradbury/"} +{"d:Title": "The Bradbury Scrapbook", "d:Description": "Term paper with biography, bibliography, articles, advice to young authors, and quotations.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradbury,_Ray", "url": "http://otgordin.tripod.com/"} +{"d:Title": "Ray Bradbury", "d:Description": "Harper-Collins Publishers official site for author Ray Bradbury. Site includes author profile, selected bibliography, novel excerpts, video interviews, weblog, newsletter, and message board.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradbury,_Ray", "url": "http://raybradbury.com/"} +{"d:Title": "Ray Bradbury Online", "d:Description": "Resource for students and fans of author Ray Bradbury. Includes biography, bibliography, and quotations.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradbury,_Ray", "url": "http://www.spaceagecity.com/bradbury/"} +{"d:Title": "The Martian Chronicles - History behind the Chronicles", "d:Description": "Comparison of Ray Bradbury's The Martian Chronicles and real events in history.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradbury,_Ray", "url": "http://www.angelfire.com/nb/martian/"} +{"d:Title": "Ray Bradbury Media", "d:Description": "The works of Ray Bradbury, concentrating on media adaptations of his work - film, television, radio. Also a short story bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradbury,_Ray", "url": "http://www.bradburymedia.co.uk/"} +{"d:Title": "Marion Zimmer Bradley Literary Works Trust", "d:Description": "Includes a biography, bibliography, and articles.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradley,_Marion_Zimmer", "url": "http://www.mzbworks.com/"} +{"d:Title": "Missgien", "d:Description": "Character information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradley,_Marion_Zimmer/Avalon_Series", "url": "http://www.missgien.net/"} +{"d:Title": "The Avalon Series", "d:Description": "Reviews of all three novels in the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradley,_Marion_Zimmer/Avalon_Series/Reviews", "url": "http://www.futurefiction.com/the_avalon_series.htm"} +{"d:Title": "Dalereuth Guildhouse", "d:Description": "Includes artwork, patterns for medieval clothing, and maps.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradley,_Marion_Zimmer/Darkover", "url": "http://darkover.jaepix.de/Dalereuth/"} +{"d:Title": "Free Amazons of the Web", "d:Description": "A webring of sites about the Guild of Renunciates.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Bradley,_Marion_Zimmer/Darkover/Renunciates", "url": "http://www.webring.org/hub?ring=renunciates"} +{"d:Title": "Outremer", "d:Description": "Historical background of the author's works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brenchley,_Chaz", "url": "http://www.outremer.co.uk/"} +{"d:Title": "Hurog", "d:Description": "Official site of Patricia Briggs includes notes, sample chapters and contact information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Briggs,_Patricia", "url": "http://www.hurog.com/"} +{"d:Title": "Fantasy for the Rest of Us", "d:Description": "Review of \"The Hob's Bargain\" and interview with the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Briggs,_Patricia", "url": "http://www.romanticsf.com/features/hb.html"} +{"d:Title": "C. Dale Brittain Home Page", "d:Description": "Author's own website includes biographical information and a bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brittain,_C._Dale", "url": "http://userpages.bright.net/~bouchard/"} +{"d:Title": "Karen R. Brooks", "d:Description": "Contains information on published and forthcoming books, schedule of appearances, a biography, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brooks,_Karen_R.", "url": "http://www.karenrbrooks.com/"} +{"d:Title": "Varion's Hut", "d:Description": "Includes links, surveys and the site owner's own series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brooks,_Terry", "url": "http://www.angelfire.com/mt/varionhut/"} +{"d:Title": "The Caverns of Shannara", "d:Description": "Includes character, geography, history, message boards, and news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brooks,_Terry", "url": "http://www.angelfire.com/ca4/cavernsofshannara/"} +{"d:Title": "The Terry Brooks Page", "d:Description": "News, reviews and summaries of Brooks' fantasy novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brooks,_Terry", "url": "http://www.angelfire.com/al4/fantasypage/"} +{"d:Title": "The Wondrous Worlds of Terry Brooks", "d:Description": "Author's official web site. News items, biography with photos, opportunity to ask the author a question, forum. List and overall description of his books, with advice on how to read the Shannara novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brooks,_Terry", "url": "http://www.terrybrooks.net/"} +{"d:Title": "The Dream Caf\u00e9", "d:Description": "The author's personal web site. Includes list of books, records, and a weblog.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brust,_Steven", "url": "http://www.dreamcafe.com/"} +{"d:Title": "Dragaera", "d:Description": "Fan site for the author and his Dragaera series. Includes articles, information on the author's schedule, and mailing lists.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brust,_Steven", "url": "http://dragaera.info/"} +{"d:Title": "Alexx's Steven Brust Page", "d:Description": "Fan site (maintained by Alexx Kay).", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brust,_Steven", "url": "http://www.panix.com/~alexx/brust.html"} +{"d:Title": "Lyorn Records", "d:Description": "A wiki about the world of Dragaera.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brust,_Steven", "url": "http://dragaera.wikia.com/"} +{"d:Title": "Bryan Newell's Steven Brust Page", "d:Description": "Fan page, specializing in geography of Dragaera.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/B/Brust,_Steven", "url": "http://www.bryann.net/dragaera/"} +{"d:Title": "Dani Zweig's Belated Reviews: James Branch Cabell", "d:Description": "Reviews of three of Cabell's droll fantasy adventures.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cabell,_James_Branch", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/013.htm"} +{"d:Title": "Wikipedia: James Branch Cabell", "d:Description": "Biographical article on the American author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cabell,_James_Branch", "url": "http://en.wikipedia.org/wiki/James_Branch_Cabell"} +{"d:Title": "The Cream of the Jest", "d:Description": "Complete text.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cabell,_James_Branch/Works", "url": "http://www.uwm.edu/People/mrdunn/cream/creamf.html"} +{"d:Title": "Jurgen", "d:Description": "Complete text of the novel, divided by chapters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cabell,_James_Branch/Works/Jurgen", "url": "http://xroads.virginia.edu/~HYPER/CABELL/title.htm"} +{"d:Title": "The Certain Hour", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cabell,_James_Branch/Works/The_Certain_Hour", "url": "http://www.worldwideschool.org/library/books/lit/humor/TheCertainHour/toc.html"} +{"d:Title": "Caine, Rachel", "d:Description": "Official home page of fantasy author Rachel Caine, creator of the Stormwatch series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Caine,_Rachel", "url": "http://www.rachelcaine.com/"} +{"d:Title": "Jacqueline Carey", "d:Description": "Author's official site, with book information, and a biography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Carey,_Jacqueline", "url": "http://www.jacquelinecarey.com/"} +{"d:Title": "Obernewtyn.net", "d:Description": "The official Obernewtyn Chronicles and Isobelle Carmody fansite, with news straight from Isobelle Carmody and Penguin, detailed book information, competitions, a library of recommended reading, user-oriented writing, art and theory sections, and a welcoming, active international misfit community.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Carmody,_Isobelle", "url": "http://www.obernewtyn.net/"} +{"d:Title": "Isobelle Carmody - Fantastic Fiction", "d:Description": "A bibliography of Isobelle Carmody's books, with the latest releases, covers, descriptions and availability.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Carmody,_Isobelle", "url": "https://www.fantasticfiction.com/c/isobelle-carmody/"} +{"d:Title": "Jonathan Carroll", "d:Description": "Official website for the fantasy author, including biography, bibliography, and introduction by Neil Gaiman.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Carroll,_Jonathan", "url": "http://www.jonathancarroll.com/"} +{"d:Title": "In Memoriam Lin Carter 1930-1988", "d:Description": "A memorial by a fan, with a biography, trivia, andlinks.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Carter,_Lin", "url": "http://www.angelfire.com/az/vrooman/"} +{"d:Title": "Coe, David", "d:Description": "Official site containing a biography and bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Coe,_David", "url": "http://www.davidbcoe.com/"} +{"d:Title": "A Fall of Angels", "d:Description": "Grigori trilogy site includes artwork, fan fiction, and poetry.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Constantine,_Storm", "url": "http://www.angelfire.com/realm/fallenangelz/"} +{"d:Title": "Yahoo! groups: Stormboard", "d:Description": "News concerning books, stories and events.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Constantine,_Storm", "url": "http://groups.yahoo.com/group/Stormboard/"} +{"d:Title": "Forever Wraeththu", "d:Description": "Includes background information on the books as well as Wraeththu-inspired fan fiction and poetry.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Constantine,_Storm", "url": "https://foreverwraeththu.wordpress.com/"} +{"d:Title": "The Dragon Never Sleeps", "d:Description": "Enthusiastic review of the space opera book.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cook,_Glen", "url": "http://www.kentaurus.com/dragon.htm"} +{"d:Title": "Glen Cook: The Fan Page", "d:Description": "Bibliography, descriptions of novels, and a mailing list.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cook,_Glen", "url": "http://www.xmission.com/~shpshftr/GC/"} +{"d:Title": "Ender's Black Company Homepage", "d:Description": "Company roster, timeline, fan fiction, Taken badges, book covers, history of the real Black Company of 1525, and roster of the mailing list.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cook,_Glen/Black_Company,_The", "url": "http://www.angelfire.com/bc/blackcompany/"} +{"d:Title": "Tonk", "d:Description": "Gamecabinet rules for the card game.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cook,_Glen/Black_Company,_The", "url": "http://www.gamecabinet.com/rules/Tonk.html"} +{"d:Title": "Tonk", "d:Description": "Software for Windows to play the card game Tonk. [Shareware and paid versions available]", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cook,_Glen/Black_Company,_The", "url": "http://www.agcrump.com/tonk.htm"} +{"d:Title": "Black Company Outpost", "d:Description": "Information, roleplaying, and gaming.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cook,_Glen/Black_Company,_The", "url": "http://blackco.tripod.com/"} +{"d:Title": "Black Company Maps", "d:Description": "Wraggi's GIF maps of the North and the South.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cook,_Glen/Black_Company,_The", "url": "http://wraggj.people.cofc.edu/blackco/"} +{"d:Title": "Louise Cusack", "d:Description": "Information on the \"Shadow Through Time\" series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/C/Cusack,_Louise", "url": "https://louisecusack.wordpress.com/"} +{"d:Title": "DiGenti, Victor", "d:Description": "Official site for the author of Windrusher, the small-press fantasy novel of a heroic cat on a quest to find its family.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D", "url": "http://www.windrusher.com/"} +{"d:Title": "Night Writer", "d:Description": "Australian author Jane Domagala, provides writing tips and insights into how she writes her novels, as well as a manuscript appraisal service and book mentorship.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D", "url": "http://domarella.blogspot.com.au/"} +{"d:Title": "DeNiro, Alan - Goblin Mercantile Exchange", "d:Description": "Author of short stories and novels, including \"Total Oblivion\" and \"Tyrannia\".", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D", "url": "http://www.goblinmercantileexchange.com/"} +{"d:Title": "Interview by Mary Anne Mohanraj", "d:Description": "Detailed interview about her works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Dean,_Pamela", "url": "http://www.strangehorizons.com/2001/20010101/pamela_dean.shtml"} +{"d:Title": "Pamela Dean", "d:Description": "Official site with a biography and bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Dean,_Pamela", "url": "http://pddb.demesne.com/"} +{"d:Title": "Charles de Lint", "d:Description": "Author's personal website contains his biography, publication news, book excerpts and reviewer comments, and information on joining his mailing list.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/de_Lint,_Charles", "url": "http://www.sfsite.com/charlesdelint/"} +{"d:Title": "Green Books: Charles de Lint", "d:Description": "Provides the writer's comments on Tolkien and his influence on the fantasy genre.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/de_Lint,_Charles", "url": "http://greenbooks.theonering.net/tributes/files/charles_de_lint.html"} +{"d:Title": "Tangled Web: Charles de Lint", "d:Description": "Provides synopsis and reviews for some of the author's books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/de_Lint,_Charles", "url": "http://www.twbooks.co.uk/authors/charlesdelint.html"} +{"d:Title": "Yahoo! Groups : Chalres de Lint", "d:Description": "Includes message boards, chat, and database for fans to discuss his works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/de_Lint,_Charles", "url": "http://groups.yahoo.com/group/deLint/"} +{"d:Title": "Fantastic Fiction: Charles de Lint", "d:Description": "Provides a photo, bibliography of his books and short stories, and list of his awards.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/de_Lint,_Charles", "url": "https://www.fantasticfiction.com/d/charles-de-lint/"} +{"d:Title": "Reviews By Gavrielle", "d:Description": "Critiques of Donaldson's Gap series and mystery novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "http://www.reviewsbygavrielle.com/"} +{"d:Title": "Stephen R. Donaldson", "d:Description": "Official site. Includes short biography, interviews, an area for readers to post comments and questions, works, and reviews.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "http://www.stephenrdonaldson.com/"} +{"d:Title": "Wikipedia - Stephen R. Donaldson", "d:Description": "Include major influences, plot synopsis of the Thomas Covenant books, and bibliography of the author's other works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "http://en.wikipedia.org/wiki/Stephen_R._Donaldson"} +{"d:Title": "Stephen R. Donaldson - Bibliography Summary", "d:Description": "Complete bibliography of the author's works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?Stephen_R._Donaldson"} +{"d:Title": "Kevin's Watch", "d:Description": "Introduction, forum, links, and book cover scans.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "http://kevinswatch.ihugny.com/"} +{"d:Title": "Doug's Library -- Stephen Donaldson", "d:Description": "Extensive review and plot synopsis of the Unebeliever series, bibliography, and short reviews of the author's other works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "http://personal.tcu.edu/dingram/books/sdonaldson.html"} +{"d:Title": "The Land", "d:Description": "A tribute \"Chronicles of Thomas Covenant the Unbeliever\" with articles, novel summaries, maps, and a glossary of terms.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "http://theland.antgear.com/"} +{"d:Title": "Chronicles-network: Stephen Donaldson", "d:Description": "Forums, bibliography of Stephen Donaldson, and links to reviews of his novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Donaldson,_Stephen_R.", "url": "https://www.sffchronicles.com/forum/stephen-donaldson/"} +{"d:Title": "Sara Douglass", "d:Description": "Fan site which includes a list of suggested reading, information about the series, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/D/Douglass,_Sara", "url": "http://www.angelfire.com/pa/smeggas/sara.html"} +{"d:Title": "Edgerton, Teresa", "d:Description": "Official site from the author of The Queen's Necklace.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Edgerton,_Teresa", "url": "http://www.teresaedgerton.com/"} +{"d:Title": "Edghill, Rosemary", "d:Description": "Also writes as Eluki Bes Shahar; additional genres include science fiction, mystery, and romance. Upcoming releases, backlist with excerpts, author profile, interviews, and FAQ.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Edghill,_Rosemary", "url": "http://www.rosemaryedghill.com/"} +{"d:Title": "Loving Dragoncharm", "d:Description": "Includes reviews, desktop wallpaper, and articles.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Edwards,_Graham", "url": "http://lovingdragoncharm.tripod.com/"} +{"d:Title": "Wikipedia: Steven Erikson", "d:Description": "Wikipedia article on the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://en.wikipedia.org/wiki/Steven_Erikson"} +{"d:Title": "The SF Site: A Conversation With Steven Erikson", "d:Description": "Neil Walsh interviews Steven Erikson in May, 2000.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://www.sfsite.com/06a/se82.htm"} +{"d:Title": "Midnight Tides", "d:Description": "Review of Midnight Tides (A Tale of the Malazan Book Of The Fallen book 5) by Steven Erikson.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://www.sfcrowsnest.com/articles/books/2004/Midnight-Tides-A-Tale-of-the-Malazan-Book-Of-The-Fallen-book-5-by-Steven-Erikson-6959.php"} +{"d:Title": "Malazan Empire", "d:Description": "An online community for fans of Steven Erikson's books set in the Malazan universe.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://malazanempire.com/site/index.php"} +{"d:Title": "Clarkesworld - Interview with Steven Erikson", "d:Description": "An interview with Steven Erikson by author Jeff Vandermeer for Hugo and World Fantasy Award Nominated Online Science fiction and fantasy magazine Clarkesworld.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://clarkesworldmagazine.com/erikson_interview/"} +{"d:Title": "Macmillan Books Steven Erikson Page", "d:Description": "This is the dedicated page for Steven Erikson on publisher MacMillan Books' site.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://us.macmillan.com/author/stevenerikson"} +{"d:Title": "Amazon's Steven Erikson Page", "d:Description": "This is an Amazon page that collects all of Erikson's books for easy browsing and shopping.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://www.amazon.com/Erikson-Steven-Books/b?ie=UTF8&node=1044430"} +{"d:Title": "Pat's Fantasy Hotlist: New Steven Erikson interview", "d:Description": "Pat's Fantasy Hotlist interviews Steven Erikson on Dust of Dreams, the Malazan series, the writing process, and future books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "http://fantasyhotlist.blogspot.com/2009/12/new-steven-erikson-interview.html"} +{"d:Title": "Steven Erikson Bibliography", "d:Description": "A bibliography of Steven Erikson's books, with the latest releases, covers, descriptions and availability.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/E/Erikson,_Steven", "url": "https://www.fantasticfiction.com/e/steven-erikson/"} +{"d:Title": "Jennifer Fallon's Official Website", "d:Description": "Information about the author and her work.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/F/Fallon,_Jennifer", "url": "http://www.jenniferfallon.com/"} +{"d:Title": "Midkemia Press", "d:Description": "Information on the world of Midkemia.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/F/Feist,_Raymond_E.", "url": "http://www.midkemia.com/"} +{"d:Title": "Raymond Elias Feist's Books", "d:Description": "Scanned images of UK paperback covers with brief reviews.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/F/Feist,_Raymond_E.", "url": "http://crydee.sai.msu.ru/REF/index.shtml"} +{"d:Title": "Mara of the Acoma", "d:Description": "Dedicated to the Empire series, written with Janny Wurts.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/F/Feist,_Raymond_E.", "url": "http://www.angelfire.com/or/maraoftheacoma/"} +{"d:Title": "Donal's Ray Feist Stuff", "d:Description": "Mailing list for fans including instructions on how to subscribe and an archive of past messages.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/F/Feist,_Raymond_E.", "url": "http://www.cs.man.ac.uk/~fellowsd/REF/"} +{"d:Title": "Lunacat.net", "d:Description": "Bibliography and short novel summaries.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/F/Furey,_Maggie", "url": "http://www.lunacat.net/authors/author-furey.htm"} +{"d:Title": "Biting Dog Press", "d:Description": "Limited editions of \"Snow, Glass, Apples,\" and \"Murder Mysteries,\" with hand-printed artwork. Includes a biography and interview.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.bitingdogpress.com/"} +{"d:Title": "The SF Site: An Interview With Neil Gaiman", "d:Description": "Last Angel Tour interview from August 2000. Discusses the Comic Book Legal Defense Fund, and storytelling.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.sfsite.com/10a/ng90.htm"} +{"d:Title": "CNN: Fairy Tales for Adults", "d:Description": "Interactive interview with clips in Windows Media format.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.cnn.com/books/news/9902/25/gaiman.neil/"} +{"d:Title": "Tabula Rasa: Interview", "d:Description": "The author discusses \"Neverwhere,\" radio plays, and the legacy of \"The Sandman.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.tabula-rasa.info/AusComics/NeilGaiman.html"} +{"d:Title": "Referencing the Magian", "d:Description": "Links to interviews and a bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://ninave-lake.tripod.com/ngjump.html"} +{"d:Title": "Neil Gaiman", "d:Description": "HarperCollins Publishing site including author's journal and essays, images, bio, newsletter, and FAQs.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.neilgaiman.com/"} +{"d:Title": "100 Point Neil Gaiman Purity Test", "d:Description": "A test of knowledge of the author's work.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.angelfire.com/la2/rlea42/Gaiman.html"} +{"d:Title": "M\u00f8t Sandmans far - Dagbladet", "d:Description": "A fan question and answer session with the author. The introduction is in Norwegian, the interview in English.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.dagbladet.no/kultur/2000/08/14/215201.html"} +{"d:Title": "The Slush Factory: Skiing on the slopes of pop culture love", "d:Description": "An interview with the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.slushfactory.com/features/articles/061002-gaiman.php"} +{"d:Title": "Bookworm's Lair: Neil Gaiman", "d:Description": "Bibliography and reviews. Available in English and German.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.bookwormslair.de/gaiman_e.htm"} +{"d:Title": "Guardian Unlimited: The Illustrated Man", "d:Description": "Interview promoting the release of the novel, \"Stardust.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil", "url": "http://www.theguardian.com/culture/1999/jul/14/artsfeatures.neilgaiman"} +{"d:Title": "Coraline", "d:Description": "The official HarperCollins Publishers site for the 2002 novel for young adults, featuring e-cards, downloads, and audio excerpts.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil/Coraline", "url": "http://www.mousecircus.com/"} +{"d:Title": "Review: Coraline", "d:Description": "A review, giving some description of the plot.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil/Coraline", "url": "http://www.strangehorizons.com/2002/20020701/coraline.shtml"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the novel.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil/Neverwhere", "url": "http://www.all-reviews.com/books/neverwhere.htm"} +{"d:Title": "Ljc's Small But Significant Neverwhere Site", "d:Description": "Guide to the BBC television series and novelisation. Includes image gallery, news, information, and biography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil/Neverwhere", "url": "http://www.loony-archivist.com/neverwhere/"} +{"d:Title": "Gaiman, Neil", "d:Description": "HarperCollins Publishing site including author's journal and essays, images, bio, newsletter, and FAQs.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil/Short_Stories", "url": "http://www.neilgaiman.com/"} +{"d:Title": "Amazon.com:", "d:Description": "Reviews: Smoke and Mirrors : Short Fictions and Illusions", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil/Smoke_and_Mirrors", "url": "http://www.amazon.com/gp/product/0380973642/103-2671678-8484655?n=283155"} +{"d:Title": "Neil Gaiman - Stardust", "d:Description": "Commentary, links, and bibliography from the Denver Science Fiction and Fantasy Book Club.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gaiman,_Neil/Stardust", "url": "http://www.denversfbookclub.com/gaiman2.htm"} +{"d:Title": "Drenai.com", "d:Description": "A website dedicated to the author's Drenai Saga with information on characters, a timeline, history, book reviews, and maps.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gemmell,_David", "url": "http://www.drenai.com/"} +{"d:Title": "David Gemmell", "d:Description": "Information about the British author with a listing of his books accompanied by a short synopsis.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gemmell,_David", "url": "http://dace23.tripod.com/Gemmell.htm"} +{"d:Title": "David Gemmell Wiki", "d:Description": "David Gemmell Wiki is a community site that anyone can contribute to. Discover, share and add your knowledge!", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gemmell,_David", "url": "http://davidgemmell.wikia.com/wiki/David_Gemmell_Wiki"} +{"d:Title": "David Gemmell - Wikipedia", "d:Description": "Wikipedia entry about the late author David Gemmel", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Gemmell,_David", "url": "https://en.wikipedia.org/wiki/David_Gemmell"} +{"d:Title": "Ulic&Egan's Sword of Truth Page", "d:Description": "Wizard's Rules, FAQ, message board, trivia, chat, links, and spice soup directions.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://www.angelfire.com/rpg/ulicegan/"} +{"d:Title": "The People's Palace of D'Hara", "d:Description": "Information, membership details for clubs and guilds, list of all the wizard's rules, book summaries, and news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://www.angelfire.com/co/peoplespalace/"} +{"d:Title": "Prophets Inc.", "d:Description": "The official site with news, letters from the author, interviews, message board, pictures, book reviews, and synopses.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://www.terrygoodkind.com/"} +{"d:Title": "Palace of the Prophets", "d:Description": "Mailing list and information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://www.angelfire.com/scifi/potp/"} +{"d:Title": "Kings Row", "d:Description": "Fan page for the Sword of Truth series, with reviews, character descriptions, quotes, wizard rules, and a forum.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://members.tripod.com/~Dark_Prophet_9/"} +{"d:Title": "The D'Haran Empire", "d:Description": "Dedicated to the author's \"Sword of Truth\" novels with reviews of the books, an art section, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://marioandcarrie.bravepages.com/SwordofTruthindex.htm"} +{"d:Title": "SFFWorld.com: Terry Goodkind", "d:Description": "Biography, news, book reviews, bibliography, and resources.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://www.sffworld.com/author/215.html"} +{"d:Title": "Book Review - Wizard's First Rule", "d:Description": "Rating and review of this novel by the Denver science fiction and fantasy book club. Includes general information on the novel and the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://www.denversfbookclub.com/goodkind.htm"} +{"d:Title": "The Sword of Truth - Wikipedia", "d:Description": "Synopsis of the Sword of Truth series and information about the author, Terry Goodkind.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://en.wikipedia.org/wiki/The_Sword_of_Truth"} +{"d:Title": "Macmillan Series: Terry Goodkind", "d:Description": "Summaries of the books in the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://us.macmillan.com/series/SwordofTruth"} +{"d:Title": "Sword Of Truth .com", "d:Description": "News about Terry Goodkind, The Sword of Truth Novels and the TV-Miniseries. Contains a collection of interviews, a forum and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://www.sword-of-truth.com/"} +{"d:Title": "Sword of Truth Wiki", "d:Description": "Information about Goodkinds' Sword of Truth series. Includes featured articles, pictures from the live action television series and news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goodkind,_Terry", "url": "http://sot.wikia.com/wiki/Main_Page"} +{"d:Title": "Theodora Goss", "d:Description": "Biography, bibliography, and information on recent publications.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Goss,_Theodora", "url": "http://www.theodoragoss.com/"} +{"d:Title": "Temple Domain", "d:Description": "Features comments on her novels, \"Embrace the Boogeyman\" and \"Bittersweet,\" and a photo tour of the French Quarter, New Orleans.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/G/Temple,_Georgia", "url": "http://www.georgiatemple.net/"} +{"d:Title": "Hundertmark, Diane C.", "d:Description": "Official site. Contains information on The Dragon and The Rose. Self-published through iUniverse.com.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H", "url": "http://www.angelfire.com/scifi/dragonrose/index.html"} +{"d:Title": "Halmo, Robert", "d:Description": "Official site for the small-press author of Children of the Grove.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H", "url": "http://www.members.tripod.com/halmobd/"} +{"d:Title": "Hall, Aaron Dean", "d:Description": "E-book author of the Sorceress of Atunluck.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H", "url": "http://www.zeffa.com/"} +{"d:Title": "Hines, Jim C.", "d:Description": "Bibliography and information on forthcoming works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H", "url": "http://www.jimchines.com/"} +{"d:Title": "Hale, Shannon", "d:Description": "Official site for Shannon Hale, author of the young adult fantasy The Goose Girl.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hale,_Shannon", "url": "http://www.squeetus.com/"} +{"d:Title": "Barbara Hambly Page", "d:Description": "Official site which includes biography, bibliography, photos, interviews, news, FAQ, and resources.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hambly,_Barbara", "url": "http://www.barbarahambly.com/"} +{"d:Title": "Bookworm's Lair: Barbara Hambly", "d:Description": "Bibliography and reviews of selected novels. Available in English and German.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hambly,_Barbara", "url": "http://www.bookwormslair.de/hambly_e.htm"} +{"d:Title": "Geraldine Harris", "d:Description": "Author's own site with brief bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Harris,_Geraldine", "url": "http://www.chalcedon.demon.co.uk/grhp.html"} +{"d:Title": "Tracy Hickman's Worlds and Works", "d:Description": "Official site with information about the author and his works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hickman,_Tracy", "url": "http://www.trhickman.com/"} +{"d:Title": "BookLoons Reviews - Mystic Warrior by Tracy and Laura Hickman", "d:Description": "Book review of Tracy and Laura Hickman's first book in the Bronze Canticles Trilogy: Mystic Warrior", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hickman,_Tracy", "url": "http://www.bookloons.com/cgi-bin/Review.ASP?bookid=2691"} +{"d:Title": "Hobson, M.K.", "d:Description": "Author of speculative fiction. Includes biography, bibliography and links to selected short stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hobson,_M._K.", "url": "http://www.demimonde.com/"} +{"d:Title": "William Hope Hodgson", "d:Description": "Biography, bibliography, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hodgson,_William_Hope", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/032.htm"} +{"d:Title": "Weird Menace Pulps and Supernatural Detection", "d:Description": "Discusses the author's contribution to detective fiction and includes general comments of interest.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hodgson,_William_Hope", "url": "http://mikegrost.com/weirdmen.htm#Hodgson"} +{"d:Title": "The Night Land", "d:Description": "Novel originally published in 1912.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hodgson,_William_Hope/Works_Online", "url": "http://fiction.eserver.org/novels/nightland/"} +{"d:Title": "Mythago Wood", "d:Description": "Official site of Robert Holdstock includes biography, bibliography, articles, and news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Holdstock,_Robert", "url": "http://robertholdstock.com/"} +{"d:Title": "Camelot Project: Interview with Robert Holdstock", "d:Description": "Long 1989 interview by Raymond H. Tompson concerning Robert's use of the Arthurian legend in many of his books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Holdstock,_Robert", "url": "http://d.lib.rochester.edu/camelot/text/thompson-interview-robert-holdstock"} +{"d:Title": "Tom Holt FAQ", "d:Description": "Alt.Books.Tom-Holt frequently asked questions: Why are some books copyrighted to Kim Holt? Why are so many characters called Jane? And other questions. Includes a biography, bibliography, and contact information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Holt,_Tom", "url": "http://www.faqs.org/faqs/books/tom-holt-faq/"} +{"d:Title": "Tom Holt", "d:Description": "The official website of bestselling comic writer Tom Holt, hosted by his publisher, Orbit. Includes a short biography with photograph, samples of his work, and a bibliography.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Holt,_Tom", "url": "http://www.tom-holt.com/"} +{"d:Title": "Tom Holt", "d:Description": "Includes biography, bibliography, reviews, quotes, short stories, sneak previews of forthcoming books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Holt,_Tom", "url": "http://www.edlin.org/holt/"} +{"d:Title": "HowardWorks", "d:Description": "Bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Howard,_Robert_E.", "url": "http://www.howardworks.iwarp.com/"} +{"d:Title": "Joe Marek's Robert E. Howard Page", "d:Description": "Opinions and criticism of the author's works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Howard,_Robert_E.", "url": "http://www.angelfire.com/tx3/robertehoward/"} +{"d:Title": "The Robert E Howard Comics Discussion Group", "d:Description": "Looks at the characters created by Robert E. Howard that have appeared in comicbook form.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Howard,_Robert_E.", "url": "http://groups.yahoo.com/group/TheREHcomicsgroup/"} +{"d:Title": "Amrathelion", "d:Description": "Dedicated to Robert E. Howard's most famed creation, Conan the Barbarian.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Howard,_Robert_E.", "url": "http://www.amrathelion.com/"} +{"d:Title": "The Barbarian Keep", "d:Description": "Literature, bibliographies, movies, criticism, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Howard,_Robert_E.", "url": "http://www.barbariankeep.com/"} +{"d:Title": "The Robert E. Howard United Press Association", "d:Description": "Fan discussion group includes biography, images, and information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Howard,_Robert_E.", "url": "https://www.facebook.com/RobertEHowardDays/"} +{"d:Title": "Bookworm's Lair: Tanya Huff", "d:Description": "Bibliography and reviews of selected novels. Available in English and German.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Huff,_Tanya", "url": "http://www.bookwormslair.de/huff_tanya_e.htm"} +{"d:Title": "Bridge of Birds Review", "d:Description": "By Richard Horton", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hughart,_Barry", "url": "http://www.sff.net/people/richard.horton/bobrev.htm"} +{"d:Title": "The Lost Continent", "d:Description": "Text of the book.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/H/Hyne,_Charles_John_Cutcliffe_Wright", "url": "http://www.umich.edu/~umfandsf/other/ebooks/lostc10.txt"} +{"d:Title": "Jorges, Ana", "d:Description": "E-book author's official site, including a biography and book list.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J", "url": "http://ana-jorges.fanspace.com/"} +{"d:Title": "James, A.C.", "d:Description": "Blog and urban fantasy/paranormal romance author A.C. James.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J", "url": "http://www.acjames.com/"} +{"d:Title": "J. V. Jones", "d:Description": "The official site of the British fantasy author, with biography, photograph, quick-time movie, news, and sample chapters of her books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jones,_J._V.", "url": "http://www.jvj.com/"} +{"d:Title": "The Demesne of Luc Jordan", "d:Description": "Author's biography, statement, an excerpt from \"The Fruit of Angoroth\", and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Luc", "url": "http://www.angelfire.com/journal2/tothineownselfbetrue/"} +{"d:Title": "The Robert Jordan Page", "d:Description": "Information on Jordan and his books, including his Conan novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert", "url": "http://www.angelfire.com/ct3/therobertjordanpage/"} +{"d:Title": "Wheel of Time Index", "d:Description": "A list of links, sorted by genre.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series", "url": "http://www.ece.umd.edu/~dilli/WOT/WOTindex/"} +{"d:Title": "Cuendillar", "d:Description": "A fan-based WoT site that includes places for book discussion, fanfiction and fan art.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series", "url": "http://cuendillar.proboards.com/"} +{"d:Title": "The Fantasy Forum: Wheel of Time Discussion", "d:Description": "Discussion on the books, games, and speculation for future releases.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Chats_and_Forums", "url": "http://www.thefantasyforum.com/forumdisplay.php?f=107"} +{"d:Title": "Aussie-Taint", "d:Description": "Group of Australian fans organising an unofficial Robert Jordan convention in Melbourne, Victoria.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Aussie-Taint/"} +{"d:Title": "Wheel of Time DL", "d:Description": "Discussion list for the works of Robert Jordan. Registration required.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Chats_and_Forums", "url": "http://groups.yahoo.com/group/-1-Wheel-of-Time/"} +{"d:Title": "Tar Valon", "d:Description": "This lighthearted White Tower focuses on the Ajahs and their specialties.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Chats_and_Forums", "url": "http://www.tarvalon.net/"} +{"d:Title": "The Wheel of Neil Forum", "d:Description": "Small community for the Wheel of Time.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Chats_and_Forums", "url": "http://saidintrue.proboards.com/"} +{"d:Title": "The Wheel Turns As The Wheel Wills", "d:Description": "Pencil drawn character portraits and some color fashion sketches by nation.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Art", "url": "http://elfinity.tripod.com/wheel/wot.html"} +{"d:Title": "Wright, Jonathan", "d:Description": "Elfwood.com gallery with pencil drawings of a few of the main characters and a trolloc.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Art", "url": "http://www.elfwood.com/u/jonathan"} +{"d:Title": "WoT Art Dungeon", "d:Description": "A small gallery of 3D rendered scenes.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Art/3D", "url": "http://home.swipnet.se/ourpage/"} +{"d:Title": "Tome of the Dragon", "d:Description": "Art and information about the books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://www.angelfire.com/in2/infern0/"} +{"d:Title": "Fal Dara Keep", "d:Description": "A page based on the WhiteTower.org.uk web community.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://www.angelfire.com/md/shienar/"} +{"d:Title": "Turnings of the Wheel", "d:Description": "Theories, discussion, and information on the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://members.tripod.com/turnings_of_wheel/"} +{"d:Title": "Wotmania", "d:Description": "Publishing news, contests, theory post, humor, web e-mail.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://www.wotmania.com/"} +{"d:Title": "Tales of Cor Dazar", "d:Description": "Short fictional pieces based on the Wheel of Time series, from the WhiteTower.org.uk fan community.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://www.angelfire.com/nb/MV66/cd.html"} +{"d:Title": "The Aethan Dor", "d:Description": "Information and discussion.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://wot18.tripod.com/"} +{"d:Title": "The Portal Stone", "d:Description": "Community site with book annotation resources, also known as Pages of Prophecy.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://www.theportalstone.com/"} +{"d:Title": "Theoryland of the Wheel of Time", "d:Description": "Community based on discussing plot theories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://www.theoryland.com/"} +{"d:Title": "The White Tower Slash Archive", "d:Description": "Dedicated to exploring the relationships between the Aes Sedai inside the White Tower. Slash.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://wotslash.tripod.com/"} +{"d:Title": "The Eye of The World - Denver SF Book Club", "d:Description": "Information about book one of The Wheel of Time series. Cover art, short summary, character list, ratings, and a Jordan bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://www.denversfbookclub.com/jordan.htm"} +{"d:Title": "Moiraine's World", "d:Description": "Focus on Moiraine Damodred Aes Sedai; featuring art, theories, fan fiction, and forums.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://moiraine.net/"} +{"d:Title": "Rants from a Crazed Aiel", "d:Description": "Humor column about the Wheel of Time as seen through the eyes of a cynical Aielman.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Fan_Pages", "url": "http://crazedaiel.tripod.com/index.html"} +{"d:Title": "Wheel of Time", "d:Description": "Game with sections on roleplaying, player killing, beginning and zones, current ta'veren and players online.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/MUDs", "url": "http://www.wotmud.org/"} +{"d:Title": "Dossy's Moment in Tyme Site", "d:Description": "Contains some useful information for players of the Moment In Tyme MUD.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/MUDs", "url": "http://www.panoptic.com/tyme/"} +{"d:Title": "Forn's Easter Eggs", "d:Description": "Offers tips, hints, and information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/MUDs", "url": "http://wheel_of_forn.tripod.com/"} +{"d:Title": "The Sea of Storms", "d:Description": "Based on heavily modified SMAUG and primarily focused on role play.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/MUDs", "url": "http://www.tsosmud.org/"} +{"d:Title": "The Grey Tower", "d:Description": "The Grey Tower is large and well-established play-by-post Message Board RPG.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/Roleplaying", "url": "http://www.grey-tower.net/"} +{"d:Title": "Weave As It Will", "d:Description": "A forum-based RPG for intermediate to advanced players that doesn't use the WOT cannon.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/Roleplaying", "url": "http://weaveasitwill2.proboards.com/"} +{"d:Title": "Manetheren", "d:Description": "Set at the beginning of \"Eye of the World.\" Play by email, but stories are logged on the site for public view.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/Roleplaying/Mailing_Lists", "url": "http://www.angelfire.com/d20/wheeloftime0/"} +{"d:Title": "Alternate Pattern", "d:Description": "Set in a world based on Robert Jordan's The Wheel of Time series, but with a different weave to the Pattern. Play through yahoogroups.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Games/Roleplaying/Mailing_Lists", "url": "http://www.angelfire.com/rpg/alternatepattern/"} +{"d:Title": "The Wheel of Time Series: Laughable Fantasy", "d:Description": "Anti 'Wheel of Time' site which pokes fun at the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Humor", "url": "http://www.members.tripod.com/~wotiscrap/"} +{"d:Title": "WoTopia: Your Wheel of Time Utopia", "d:Description": "News, information, links, discussion, and theories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Resources", "url": "http://wotopia.tripod.com/"} +{"d:Title": "Dan Weaver's The Wheel of Time", "d:Description": "Theories, glossary, maps, forsaken page and chapter icons.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Resources", "url": "http://danweaver.8m.com/"} +{"d:Title": "SevenSpokes - The Unofficial Wheel of Time Chronology", "d:Description": "Chronology, character information, maps, books, calendar, author information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Resources", "url": "http://www.sevenspokes.com/"} +{"d:Title": "rec.arts.sf.written.robert-jordan FAQ", "d:Description": "Common questions asked at one of the Wheel of Time newsgroups.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Resources", "url": "http://www.faqs.org/faqs/sf/robert-jordan-faq/"} +{"d:Title": "Encyclopaedia Wheel of Time", "d:Description": "Chapter summaries, character chronologies, geography, history, calendar, glossary, and other reference material.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Resources", "url": "http://www.encyclopaedia-wot.org/"} +{"d:Title": "Compleat Old Tongue", "d:Description": "List of translations from old tongue to new tongue.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Resources", "url": "http://www.drosi.de/wot/wt_tongu.htm"} +{"d:Title": "The Pages of Prophecy Webring", "d:Description": "A ring for all members of the Pages of Prophecy, or webmasters of any sort of Wheel of Time site.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.angelfire.com/md/shienar/wotorg.html"} +{"d:Title": "Dragonlance and Wheel of Time Webring", "d:Description": "Intended for web pages and MUD sites based on the Dragonlance and Wheel of Time series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.angelfire.com/la/Vanesti/webringindex.html"} +{"d:Title": "Waygates", "d:Description": "A webring for any Wheel of Time site on the internet which is dedicated to keeping a small size webring banner.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.webring.org/hub?ring=012474"} +{"d:Title": "Dragonmount", "d:Description": "A ring dedicated to all Jordan lovers.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.webring.org/hub?ring=drgmnt"} +{"d:Title": "DragonSworn", "d:Description": "A ring centered around the Wheel of Time but accepting other sites under special circumstances.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.webring.org/hub?ring=lordrahl"} +{"d:Title": "Wheel of Time Alliance", "d:Description": "For fans of the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.webring.org/hub?ring=wotalliance"} +{"d:Title": "Timeline", "d:Description": "For sites concerning the Wheel of Time collectible card game.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.webring.org/hub?ring=wheeloftimeccg"} +{"d:Title": "Robert Jordan's The Wheel of Time", "d:Description": "Directory of sites about the books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.webring.org/hub?ring=wot"} +{"d:Title": "The Age of Legends Webring", "d:Description": "A ring dedicated to the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/J/Jordan,_Robert/Wheel_of_Time_Series/Web_Rings", "url": "http://www.webring.org/hub?ring=age_of_legends"} +{"d:Title": "Kalinkin, Alexander N.", "d:Description": "Official site of a small-press author who writes mainly fairy tales.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K", "url": "http://tales.faithweb.com/"} +{"d:Title": "Kennedy, Kathryne", "d:Description": "Smallpress author of Beneath the Thirteen Moons.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K", "url": "http://www.kathrynekennedy.com/"} +{"d:Title": "Storm The Castle", "d:Description": "Official site of fantasy author Will Kalif, author of Fulcrum Shift.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kalif,_Will", "url": "http://www.stormthecastle.com/"} +{"d:Title": "Guy Gavriel Kay", "d:Description": "Excerpts from Guy Gavriel Kay interview in Locus Magazine, May 2000.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kay,_Guy_Gavriel", "url": "http://www.locusmag.com/2000/Issues/05/Kay.html"} +{"d:Title": "Review: Lord of Emperors", "d:Description": "An informative review of Guy Gavriel Kay's Lord of Emperors.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kay,_Guy_Gavriel", "url": "http://www.steelypips.org/reviews/lordofemperors.html"} +{"d:Title": "Review: Sailing to Sarantium", "d:Description": "An informative review of Sailing to Sarantium", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kay,_Guy_Gavriel", "url": "http://www.steelypips.org/reviews/sarantium.html"} +{"d:Title": "Conversation With Guy Gavriel Kay", "d:Description": "A SFSite interview with GGK, dating from June 2000.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kay,_Guy_Gavriel", "url": "http://www.sfsite.com/09a/ggk88.htm"} +{"d:Title": "Interview with Guy Gavriel Kay", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kay,_Guy_Gavriel", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-guy-gavriel-kay"} +{"d:Title": "Lunacat.net: Katharine Kerr", "d:Description": "Information on Katharine Kerr and reviews of some of her books, from Lunacat.net", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kerr,_Katharine", "url": "http://www.lunacat.net/authors/author-kerr.htm"} +{"d:Title": "Katharine Kerr's Home Page", "d:Description": "The author's official site with information about her writings, worlds, and herself.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kerr,_Katharine", "url": "http://www.deverry.com/"} +{"d:Title": "The Glasswrights' Apprentice", "d:Description": "The Glasswright's Apprentice reviewed by Steven Silver at sfsite.com", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Klasky,_Mindy_L.", "url": "http://www.sfsite.com/~silverag/klasky.html"} +{"d:Title": "The Glasswrights' Apprentice - Review", "d:Description": "Review of The Glasswrights' Apprentice, from lunacat.net", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Klasky,_Mindy_L.", "url": "http://www.lunacat.net/books/books-warriors/0451457897.htm"} +{"d:Title": "Mindy Klasky", "d:Description": "Information and bibliography of this author of fantasy novels and short stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Klasky,_Mindy_L.", "url": "http://www.mindyklasky.com/"} +{"d:Title": "Carol Kluz Home Page", "d:Description": "Official site for authors Carol Randy and Carol Kluz.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kluz,_Carol", "url": "http://www.carolkluz.homestead.com/"} +{"d:Title": "Knaak, Richard A.", "d:Description": "Author of fiction in Dragonlance, Warcraft, Diablo, Dragonrealm, and other fantasy series. Information on online story subscription, news, biography, sample chapters, bibliography, cover art, and appearances.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Knaak,_Richard_A.", "url": "http://www.richardaknaak.com/"} +{"d:Title": "Dark Planet: Beggars and Choosers", "d:Description": "A review of the 1994 sequel to \"Beggars in Spain.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.sff.net/people/lucy-snyder/dp3/dora.html"} +{"d:Title": "Speech by Nancy Kress", "d:Description": "Transcript of a speech made at ConFuse 93 and the question and answer session which followed.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.lysator.liu.se/lsff/mb-nr21/Speech_by_Nancy_Kress.html"} +{"d:Title": "Nancy Kress OmniVisions Interview", "d:Description": "A 1996 chat transcript with regard to \"Beggars Ride.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.hourwolf.com/chats/nkress.html"} +{"d:Title": "The SF Site Featured Review: Beaker's Dozen", "d:Description": "Lisa DuMond reviews the short story collection.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.sfsite.com/09a/beak40.htm"} +{"d:Title": "Explanations, Inc.", "d:Description": "A short story.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.lysator.liu.se/lsff/confuse93/explanat.txt"} +{"d:Title": "People Like Us", "d:Description": "A short story.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.lysator.liu.se/lsff/confuse93/people.txt"} +{"d:Title": "An SF Moderate Climbs Cautiously Onto the Barricades", "d:Description": "A keynote speech held at two SF conventions in 1991.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.lysator.liu.se/lsff/confuse96/An_SF_Moderate_Climbs_Cautiously_Onto_the_Barricades.html"} +{"d:Title": "Interview", "d:Description": "Transcript of an interview conducted in 1993.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://www.lysator.liu.se/lsff/mb-nr28/Interview_with_Nancy_Kress.html"} +{"d:Title": "Nancy Kress's Home Page", "d:Description": "Author-maintained website with bibliography, news, excerpts, and tips for writers.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kress,_Nancy", "url": "http://nancykress.com/"} +{"d:Title": "Airsid Network", "d:Description": "The Official Deryni Fan Fiction Archive", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine", "url": "http://www.airsid.net/"} +{"d:Title": "Deryni FAQ", "d:Description": "Official Deryni FAQ originally created for alt.books.deryni and since expanded.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine", "url": "http://www.mindspring.com/~rebldavis/faq.html"} +{"d:Title": "Deryni Genealogies", "d:Description": "Genealogies of the 11 Kingdoms.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine", "url": "http://www.mindspring.com/~rebldavis/home.html"} +{"d:Title": "Katherine Kurtz", "d:Description": "Jennifer Michael's Katherine Kurtz Appreciation Page", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine", "url": "http://www.angelfire.com/biz5/authors/kurtz.html"} +{"d:Title": "Katherine Kurtz Bibliography", "d:Description": "A bibliography of Katherine Kurtz's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine", "url": "https://www.fantasticfiction.com/k/katherine-kurtz/"} +{"d:Title": "Deryni Fudge Fan Fiction", "d:Description": "FUDGE Fiction from various players - hosted by The Airsid Network.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine/Deryni_Fudge", "url": "http://www.airsid.net/B/Fudge.htm"} +{"d:Title": "Deryni Scrolls", "d:Description": "Author's List for Deryni Fan Fiction. To be used for comments, critiques, beta-reading, and general discussion of writing related matters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine/Yahoo_Groups", "url": "http://groups.yahoo.com/group/DeryniScrolls/"} +{"d:Title": "Deryni Story Distribution List", "d:Description": "Deryni Story Distribution List for the Airsid Network. Stories Distributed by email for those fans who don't have regular web access, or who are currently active military and/or overseas.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine/Yahoo_Groups", "url": "http://groups.yahoo.com/group/dsdist/"} +{"d:Title": "Deryni Times", "d:Description": "discussions all things deryni and maybe in the future start role play based on the series by Katherine Kurtz", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine/Yahoo_Groups", "url": "http://groups.yahoo.com/group/derynitimes/"} +{"d:Title": "Katherine Kurtz's Deryni World", "d:Description": "Discussion Forum and Message Board", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine/Yahoo_Groups", "url": "http://groups.yahoo.com/group/katherinekurtzsderyniworld/"} +{"d:Title": "The Deryni Story", "d:Description": "\"loosely based on Deryni books by Catherine Kurtz\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kurtz,_Katherine/Yahoo_Groups", "url": "http://games.groups.yahoo.com/group/thederynistory/"} +{"d:Title": "Kushner, Ellen", "d:Description": "Author of fantasy novels Swordspoint, and The Fall of the Kings with Delia Sherman", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/K/Kushner,_Ellen", "url": "http://www.ellenkushner.com/"} +{"d:Title": "Stephen R. Lawhead Website", "d:Description": "Official site with information about the author and his works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lawhead,_Stephen", "url": "http://www.stephenlawhead.com/"} +{"d:Title": "Lawhead Web", "d:Description": "General information about the author and his works, including links and frequently asked questions.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lawhead,_Stephen", "url": "http://www.angelfire.com/id/srl/"} +{"d:Title": "Danielle's Lawhead Page", "d:Description": "One reader's reviews and opinions of selected books by the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lawhead,_Stephen", "url": "http://members.tripod.com/~Danielle_Landgren/books/lawhead.html"} +{"d:Title": "The Unofficial Stephen R. Lawhead Page", "d:Description": "An in-depth site about the author and his works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lawhead,_Stephen", "url": "http://lawhead.freeservers.com/"} +{"d:Title": "Tim Lebbon Interviewed", "d:Description": "Fantasy writer, family man and part time horseman of the apocalypse, Tim Lebbon muses on his work and the pleasures of mass slaughter.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lebbon,_Tim", "url": "http://www.infinityplus.co.uk/nonfiction/inttl2.htm"} +{"d:Title": "FantasticFiction: Tim Lebbon", "d:Description": "Bibliography for this British writer of horror and dark fantasy novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lebbon,_Tim", "url": "https://www.fantasticfiction.com/l/tim-lebbon/"} +{"d:Title": "Mary Soon Lee's Home Page", "d:Description": "Bibliography and biography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lee,_Mary_Soon", "url": "http://marysoonlee.s3-website-us-east-1.amazonaws.com/"} +{"d:Title": "Locus Online: Tanith Lee Interview", "d:Description": "Author discusses her fascination with Orient, and coming books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lee,_Tanith", "url": "http://www.locusmag.com/1998/Issues/04/Lee.html"} +{"d:Title": "Tales from the Flat Earth", "d:Description": "Gene Hargrove's analyses and reviews.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lee,_Tanith", "url": "http://www.cas.unt.edu/~hargrove/tales.html"} +{"d:Title": "Tabula Rasa: On the Lee Side", "d:Description": "Containing an interview and bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lee,_Tanith", "url": "http://www.tabula-rasa.info/Horror/TanithLee.html"} +{"d:Title": "Daughter of the Night", "d:Description": "An annotated bibliography of all UK and US publications.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lee,_Tanith", "url": "http://www.daughterofthenight.com/"} +{"d:Title": "Paradys", "d:Description": "Forum for discussion of the English author and her works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lee,_Tanith", "url": "http://goreydoll.tripod.com/Paradys.html"} +{"d:Title": "Fafhrd and the Gray Mouser", "d:Description": "Synopses of the \"Fafhrd\" and \"Gray Mouser\" stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Leiber,_Fritz", "url": "http://www.stormbringer.net/tmouser.html"} +{"d:Title": "IMDb: Fritz Leiber Filmography", "d:Description": "Acting and writing credits.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Leiber,_Fritz", "url": "http://imdb.com/name/nm0500020/"} +{"d:Title": "WashingtonPost.com: Four Ways to Forgiveness", "d:Description": "First chapter of \"Betrayals\" and a link to the review.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K.", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/books/chap1/four_way.htm"} +{"d:Title": "The Ekumen: An Ursula K. Le Guin Reference Page", "d:Description": "News, information, and a discussion listserv.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K.", "url": "http://www.ocelotfactory.com/leguin"} +{"d:Title": "Ursula K. Le Guin", "d:Description": "Official site. Has excerpts, short stories, biography and a map of Earthsea.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K.", "url": "http://www.ursulakleguin.com/"} +{"d:Title": "An Ursula K. Le Guin Bibliography", "d:Description": "Includes information on the author's poetry, fiction, and other writings, as well as translations and awards she has won.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K.", "url": "http://feministsf.org/authors/leguin/"} +{"d:Title": "Ursula LeGuin's Magical World of Earthsea", "d:Description": "Review of the series at Virginia Tech's Digital Library and Archives.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Earthsea_Series", "url": "http://scholar.lib.vt.edu/ejournals/ALAN/spring96/griffin.html"} +{"d:Title": "The Earthsea Trilogy", "d:Description": "Danny Yee reviews the original Earthsea Trilogy.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Earthsea_Series", "url": "http://dannyreviews.com/h/Earthsea.html"} +{"d:Title": "The Isolate Tower: An Earthsea Compendium", "d:Description": "Information about Ursula Le Guin's Earthsea, including a glossary, dictionary, factfiles and timeline.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Earthsea_Series", "url": "http://www.tavia.co.uk/earthsea/index.htm"} +{"d:Title": "Earthsea Trilogy by Ursula K. LeGuin", "d:Description": "Includes series synopsis, cover art reproductions, and author bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Earthsea_Series", "url": "http://www.denversfbookclub.com/leguin.htm"} +{"d:Title": "Amazon.com: Hainish Tales", "d:Description": "The author talks with Therese Littleton about her novel \"The Telling.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Interviews", "url": "http://www.amazon.com/exec/obidos/tg/feature/-/67941"} +{"d:Title": "Danny Yee's Book Reviews: Ursula K. Le Guin", "d:Description": "The author's books reviewed by the webmaster.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://dannyreviews.com/a/Ursula_K._Le_Guin.html"} +{"d:Title": "WashingtonPost.com: Science Fiction and Fantasy", "d:Description": "Review of \"Four Ways to Forgiveness\" by Elizabeth Hand.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/books/reviews/four_way.htm"} +{"d:Title": "Study Guide for Ursula LeGuin: The Dispossessed (1974)", "d:Description": "Notes by Paul Brians, Department of English, Washington State University.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://www.wsu.edu/~brians/science_fiction/dispossessed.html"} +{"d:Title": "SFcrowsnest: Tales From Earthsea", "d:Description": "Review of the novel Tales From Earthsea by Ursula LeGuin.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://www.sfcrowsnest.com/articles/books/2003/Tales-From-Earthsea-by-Ursula-LeGuin-6785.php"} +{"d:Title": "SFcrowsnest: Changing Planes", "d:Description": "Review of the novel Changing Planes by Ursula Le Guin.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://www.sfcrowsnest.com/articles/books/2004/Changing-Planes-by-Ursula-Le-Guin-6884.php"} +{"d:Title": "SFcrowsnest: The Birthday of the World", "d:Description": "Review of The Birthday of the World and other Stories by Ursula LeGuin.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://www.sfcrowsnest.com/articles/books/2003/The-Birthday-Of-The-World-And-Other-Stories-by-Ursula-Le-Guin-6147.php"} +{"d:Title": "Ursula K. Le Guin: The Telling", "d:Description": "Science fiction book review of this Hainish sequence novel set in the universe of the Ekumen.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://fantasticreviews.com/telling_leguin.htm"} +{"d:Title": "LeGuin, Ursula K.: May's Lion", "d:Description": "Review of the story by Felice Aull at New York University.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Le_Guin,_Ursula_K./Reviews", "url": "http://medhum.med.nyu.edu/view/1162"} +{"d:Title": "HollyLisle.com", "d:Description": "Biography, bibliography, sample chapters, and writers' resource.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lisle,_Holly", "url": "http://hollylisle.com/"} +{"d:Title": "Brian Lumley", "d:Description": "The author's official website, including biography, bibliography, multimedia, and a discussion board.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lumley,_Brian", "url": "http://www.brianlumley.com/"} +{"d:Title": "Lundberg, Jason", "d:Description": "Official site for slipstream writer Jason Erik Lundberg.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/L/Lundberg,_Jason", "url": "http://www.jasonlundberg.net/"} +{"d:Title": "George R.R. Martin", "d:Description": "Official site, which contains a biography, bibliography, lists of awards and honors, cover scans, FAQ, and information on upcoming publications.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R.", "url": "http://www.georgerrmartin.com/"} +{"d:Title": "Wild Cards", "d:Description": "An online guide to George R.R. Martin's long-running 'shared world' series of books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R.", "url": "http://www.wildcardsonline.com/"} +{"d:Title": "Not A Blog", "d:Description": "George R.R. Martin's Livejournal site", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R.", "url": "http://grrm.livejournal.com/"} +{"d:Title": "Brotherhood Without Banners", "d:Description": "A fan club for George RR Martin.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R.", "url": "http://brotherhoodwithoutbanners.com/"} +{"d:Title": "SFFWorld.com", "d:Description": "A compilation of fan-submitted book reviews .", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R.", "url": "http://www.sffworld.com/author/349.html"} +{"d:Title": "George R R Martin forum", "d:Description": "UK discussion forum devoted to the writings of George R R Martin.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R.", "url": "https://www.sffchronicles.com/forum/george-r-r-martin/"} +{"d:Title": "George R R Martin Bibliography", "d:Description": "List of novels, collections, anthology series, anthologies edited, anthologies containing stories by Martin, short stories, and awards won. Includes price checks.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R.", "url": "https://www.fantasticfiction.com/m/george-r-r-martin/"} +{"d:Title": "Harrenhal: Home of Kings", "d:Description": "Contains information on different houses.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.angelfire.com/tn/harrenhall/"} +{"d:Title": "Westeros", "d:Description": "News, information, MUSH, and discussion.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.westeros.org/"} +{"d:Title": "Winterfell", "d:Description": "Gallery of original illustrations dedicated to G.R.R. Martin's A Song Of Ice and Fire.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.winterfell.altervista.org/"} +{"d:Title": "Tower of the Hand", "d:Description": "An unofficial encyclopedia including chapter summaries, character profiles, family trees, timelines, and articles.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.towerofthehand.com/"} +{"d:Title": "A Song of Ice and Fire Planet", "d:Description": "Informational website about the series containing information on the noble houses, chapter summaries, PoVs, and cover art and maps.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.angelfire.com/planet/asoiaf/"} +{"d:Title": "A Song of Ice and Fire FAQ", "d:Description": "Answers to frequently asked questions about the series based only on material from the books. No theories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.angelfire.com/ma4/mlarchives/faq/index.html"} +{"d:Title": "People's Republic of Quarth", "d:Description": "Fanart by Adrienne.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.angelfire.com/ak5/daenerys/main.html"} +{"d:Title": "Wikipedia: A Song of Ice and Fire", "d:Description": "Wikipedia article on the series", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://en.wikipedia.org/wiki/A_Song_of_Ice_and_Fire"} +{"d:Title": "DannyReviews.com Book Review", "d:Description": "Book reviews of the first three books of the series", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://dannyreviews.com/h/Song_Ice_Fire.html"} +{"d:Title": "LindaElane&Relic's Fan Site", "d:Description": "A short author biography, scans of book covers, bulletin board, personality test and links to reviews.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://asoiaf.tripod.com/"} +{"d:Title": "Denver Science Fiction and Fantasy Book Club: A Game of Thrones", "d:Description": "Summary and review of the book and some artwork, as well as cover scans and awards that \"A Song of Ice and Fire\" has won.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.denversfbookclub.com/martin.htm"} +{"d:Title": "A Song of Ice and Fire RPG", "d:Description": "A role playing game based on he world of A Song of Ice and Fire.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.greenronin.com/sifrp/"} +{"d:Title": "Digital Attic", "d:Description": "Contains a biography of Daenerys Targaryen, information about the Unsullied, the military power of dragons, the battle of Qohor, the battle of Yunkai, and some maps.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.digitalattic.org/home/read/asoiaf/"} +{"d:Title": "Westeros Map", "d:Description": "Blog news on a searchable world map available on iOS or Android devices.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.westerosmap.com/"} +{"d:Title": "LindaElane's ASOIAF Character Test", "d:Description": "Find out which A Song of Ice and Fire character you are most like.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://asoiaf.tripod.com/ctest.html"} +{"d:Title": "James Randi Educational Forum Thread", "d:Description": "Section in the James Randi Educational Forum to discuss the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://www.internationalskeptics.com/forums/showthread.php?t=72242"} +{"d:Title": "A Podcast of Ice and Fire", "d:Description": "A source for everything in the world of the book series. It offers an amalgamation of series news, theories, discussion, and opinions.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://podcastoficeandfire.com/"} +{"d:Title": "Interactive Game of Thrones Map", "d:Description": "A map of Westeros and the rest of the known world. Allows to show character movements with filters for book and series progress.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Martin,_George_R._R./A_Song_of_Ice_and_Fire", "url": "http://quartermaester.info/"} +{"d:Title": "Laura Williams McCaffrey", "d:Description": "Author of fantasy fiction. Site has information on her works, visits and books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/McCaffrey,_Laura_Williams", "url": "http://www.laurawilliamsmccaffrey.com/"} +{"d:Title": "Visions, Eidolons, and Chimeras", "d:Description": "McGarry's personal page at SFF.net. Bibliography with reviews, biography, pictures, stories, poems, and scrapbook.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/McGarry,_Terry", "url": "http://www.sff.net/people/Terry_McGarry/"} +{"d:Title": "The SF Site: Imprinting", "d:Description": "Review of the collection of poems.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/McGarry,_Terry", "url": "http://www.sfsite.com/08a/imp38.htm"} +{"d:Title": "Nancy McKenzie's Arthurian Tales", "d:Description": "Interviews and excerpts from works about King Arthur, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/McKenzie,_Nancy", "url": "http://www.nancymckenzie.com/"} +{"d:Title": "Robin McKinley", "d:Description": "Author's official site. Features reviews, transcripts, news, and FAQ.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/McKinley,_Robin", "url": "http://www.robinmckinley.com/"} +{"d:Title": "Sean McMullen", "d:Description": "Official site for this SFF author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/McMullen,_Sean", "url": "http://www.seanmcmullen.net.au/"} +{"d:Title": "Uchronia: Modesitt's Ghosts Series", "d:Description": "Synopsis of books in the alternative history Ghosts series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Modesitt,_L._E.,_Jr.", "url": "http://www.uchronia.net/bib.cgi/label.html?id=modeghosts#2"} +{"d:Title": "Ex Libris Archives: L. E. Modesitt, Jr.", "d:Description": "Book reviews by William H. Duquette.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Modesitt,_L._E.,_Jr.", "url": "http://www.wjduquette.com/authors/lemodesitt.html"} +{"d:Title": "Recluce", "d:Description": "An officially sanctioned site about Leland Exton Modesitt, Jr. Contains biography, book maps, series chronology, FAQs, mailing lists, news, and upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Modesitt,_L._E.,_Jr.", "url": "http://www.travelinlibrarian.info/recluce/"} +{"d:Title": "FringeKeep", "d:Description": "Artwork from \"The Talisman Box\" and information about upcoming novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Moeller,_Axel_J.", "url": "http://fringe.fatcow.com/"} +{"d:Title": "Thomas F. Monteleone Bio.", "d:Description": "Biography of the author of 'Dragonstar'.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Monteleone,_Thomas_F.", "url": "https://www.fantasticfiction.com/m/thomas-f-monteleone/"} +{"d:Title": "Elizabeth Moon", "d:Description": "Biography, cover art, and bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/M/Moon,_Elisabeth", "url": "http://www.elizabethmoon.com/"} +{"d:Title": "Vera Nazarian", "d:Description": "Official site for the author of Dreams of the Compass Rose.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Nazarian,_Vera", "url": "http://www.veranazarian.com/"} +{"d:Title": "Who's this John Norman Guy, Anyway?", "d:Description": "Somewhat dated biography of the author, including notes on his various works and references to articles he has written.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://www.rdrop.com/users/wyvern/john.norman.html"} +{"d:Title": "Locus Online: Letter from John Norman", "d:Description": "John Norman's comments on the continued discrimination by portions of the Science Fiction community against his work and contributions to the genre.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://www.locusmag.com/2001/Departments/Letters10Norman.html"} +{"d:Title": "Gorean Piglet-ism", "d:Description": "Parody of Gorean criticism though a farcical comparison of Gor to the world of \"Winnie the Pooh\".", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://gorpg.tripod.com/"} +{"d:Title": "Science Fiction Pioneer John Norman is Back", "d:Description": "PRWeb press release heralding the return of the Gorean Saga and the publication of \"Witness of Gor\". Includes a brief history of the series, its popularity, questionable cancellation, blacklisting, and revival in reaction to internet fandom.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://www.prweb.com/releases/2002/8/prweb43581.htm"} +{"d:Title": "John Norman at Wikipedia", "d:Description": "User written encyclopedia-esque entry for this author and his world of Gor, with passing comment on modern Goreans and limited cross references.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://en.wikipedia.org/wiki/John_Norman"} +{"d:Title": "John Norman's Chronicles of Gor", "d:Description": "Newest publisher the series - developed in association with John Norman and e-Reads. Presents the 26 volumes of the saga in a newly revised form, along with member forums, articles, an online bookstore, and promises periodic new messages from the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://gorchronicles.com/modules/wfchannel/"} +{"d:Title": "Locus Index - John Norman", "d:Description": "Locus Magazine's SciFi Index listing for this author. Includes a publishing history and information on various editions, broken into yearly summaries.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://www.locusmag.com/index/b357.htm#A5137"} +{"d:Title": "Nietzsche, John Norman, and Gor", "d:Description": "A collection of quotations and opinions, examining the influence of Friedrich Nietzsche on the world of Gor and its Gorean philosophy.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://thegoreanforum25823.yuku.com/topic/2055/t/Bits-on-Nietzsche-Norman-and-Gorean-Philosophy.html"} +{"d:Title": "Salon.com: Chain Gang", "d:Description": "Somewhat biased and opinionated article about the online fandom of the Gorean novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norman,_John", "url": "http://www.salon.com/2000/05/18/gor/"} +{"d:Title": "Lady Hernalth's Elvenbane Corner", "d:Description": "Includes character descriptions and discrepancies.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norton,_Andre", "url": "http://www.angelfire.com/scifi/elvenbane/"} +{"d:Title": "Tribute Farm: Andre Norton", "d:Description": "The story of a tribute to Andre Norton, made by naming a foal after her.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norton,_Andre", "url": "http://www.angelfire.com/wi/tributefarm/andrenorton.html"} +{"d:Title": "Witch World", "d:Description": "A review of the world, history, peoples, and books of Andre Norton's cherished Witch World stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norton,_Andre", "url": "http://www.xenite.org/witch-world/"} +{"d:Title": "Dani Zweig's Unnumbered Reviews #7: Andre Norton -- Part I", "d:Description": "Review of Norton's work and some of her novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norton,_Andre", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/UR_007.htm"} +{"d:Title": "Interview with Andre Norton", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Norton,_Andre", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-andre-norton"} +{"d:Title": "Temeraire (series) - Wikipedia", "d:Description": "Setting, plot overview and links to articles on novels and characters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Novik,_Naomi", "url": "http://en.wikipedia.org/wiki/Temeraire_(series)"} +{"d:Title": "temeraire.org", "d:Description": "Author's web site with biography, information on books, appearances and material relating to the Temeraire novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/N/Novik,_Naomi", "url": "http://www.naominovik.com/"} +{"d:Title": "SF Site: The Stone Prince", "d:Description": "Review by Alexander von Thorn.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Patton,_Fiona", "url": "http://www.sfsite.com/07a/ston36.htm"} +{"d:Title": "SF Site: The Painter Knight", "d:Description": "Review by Alexander von Thorn.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Patton,_Fiona", "url": "http://www.sfsite.com/07b/pain37.htm"} +{"d:Title": "SF Site: The Granite Shield", "d:Description": "A review by Jean-Louis Trudel.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Patton,_Fiona", "url": "http://www.sfsite.com/07a/gran60.htm"} +{"d:Title": "Gormenghast Castle", "d:Description": "Information, discussion, chat room, and biography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Peake,_Mervyn", "url": "http://www.gormenghastcastle.co.uk/"} +{"d:Title": "Mervyn Peake", "d:Description": "Official website includes information on his career as an illustrator and novelist.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Peake,_Mervyn", "url": "http://www.mervynpeake.org/"} +{"d:Title": "Terry Persun", "d:Description": "Focuses on his recent novel \"The Witness Tree\" and poetry collection \"Barn Tarot.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Persun,_Terry", "url": "http://www.terrypersun.com/"} +{"d:Title": "Moon and Unicorn", "d:Description": "Meredith Ann Pierce's official site with bibliography, photos, excerpts and cover art.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pierce,_Meredith_Ann", "url": "http://www.moonandunicorn.com/"} +{"d:Title": "Realm of the Lioness", "d:Description": "Alanna, the Lioness Quartet, Tortallan books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pierce,_Tamora", "url": "http://www.angelfire.com/la/DancingDoveClub/"} +{"d:Title": "Les's Tamora Pierce Page", "d:Description": "Fan site with fanfic, character pages and information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pierce,_Tamora", "url": "http://www.angelfire.com/realm2/lestp/"} +{"d:Title": "Tamora Pierce", "d:Description": "Author of the Circle of Magic books, and other popular series. Find a biography of the author, FAQs, and art work.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pierce,_Tamora", "url": "http://www.tamora-pierce.net/"} +{"d:Title": "Realm of Tortall", "d:Description": "Beginning to advanced RPG based in the Tortallan universe, and set in Corus forty years after Trickster's Queen.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pierce,_Tamora/Games", "url": "http://s7.invisionfree.com/Realm_of_Tortall/"} +{"d:Title": "Unseen Theatre Company", "d:Description": "Producing Terry Pratchett plays, general Pratchett information, including book reviews.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://www.unseen.com.au/"} +{"d:Title": "The L-Space Web", "d:Description": "Information on Terry Pratchett and his work. An exceptional resource.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://www.lspace.org/"} +{"d:Title": "January Magazine: Terry Pratchett Interview", "d:Description": "Interview with the author on his books and popularity made by Linda Richards.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://www.januarymagazine.com/profiles/tpratchett2002.html"} +{"d:Title": "BookLore: Terry Pratchett", "d:Description": "Reviews by various readers on the complete works of the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://www.booklore.co.uk/Authors/PratchettTerry/PratchettTerry.htm"} +{"d:Title": "Discworld Monthly Links", "d:Description": "Large alphabetical list of Terry Pratchett links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://www.discworldmonthly.co.uk/dwlinks.php"} +{"d:Title": "Wikipedia: Terry Pratchett", "d:Description": "Features biography, information on the books written or containing contributions by the author, books about him, and influences in his work.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://en.wikipedia.org/wiki/Pratchett"} +{"d:Title": "Writers Write: A Conversation With Terry Pratchett", "d:Description": "Advice to aspiring writers, discussion about his novel, The Fifth Elephant, and how he got his start as a writer. Interview by Claire E. White.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://www.writerswrite.com/journal/apr00/pratchett.htm"} +{"d:Title": "BBC NEWS: Terry Pratchett", "d:Description": "Wednesday's Breakfast talked to the cult science fiction writer. \"Discworld\" has acquired Terry Pratchett a cult following, and this year the series of books comes of age.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://news.bbc.co.uk/1/hi/programmes/breakfast/3698158.stm"} +{"d:Title": "BBC NEWS: Pratchett's Discworld movie hope", "d:Description": "The author says he would allow a movie of his Discworld books if Oscar-winning William Goldman wrote the screenplay.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/2993972.stm"} +{"d:Title": "Pratchett Writes Off Tolkien's 'Fairies'", "d:Description": "Article about the author's swipe at the Lord of the Rings books while accepting the Carnegie Medal. By Richard Eden [Telegraph.co.uk].", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry", "url": "http://www.telegraph.co.uk/news/uknews/1401257/Pratchett-writes-off-Tolkiens-fairies.html"} +{"d:Title": "Paul Kidby", "d:Description": "The official website of Discworld artist Paul Kidby.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld", "url": "http://www.paulkidby.com/"} +{"d:Title": "Mostly Fiction: Pratchett", "d:Description": "Features book review and quotes, author's bibliography, and a short biography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld", "url": "http://mostlyfiction.com/scifi/pratchett.htm"} +{"d:Title": "The Cunning Artificer's Discworld Emporium", "d:Description": "Sculpted models based on Terry Pratchett's Discworld series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld", "url": "http://www.discworldemporium.com/"} +{"d:Title": "Yahoo! Groups: The Mended Drum", "d:Description": "Club for Discworld fans to discuss the books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Chats_and_Forums", "url": "http://groups.yahoo.com/group/themendeddrum/"} +{"d:Title": "Terry Pratchett Unseen Message Board", "d:Description": "Welcomes visitors to the Discworld, Terry Pratchett\u2019s novels and literary enthusiasts for intelligent discussions.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Chats_and_Forums", "url": "http://www.terrypratchettbooks.org/"} +{"d:Title": "A'tuin's World", "d:Description": "Information on Discworld, the author, characters, and books. Also includes an image gallery and forum.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Fan_Pages", "url": "http://www.angelfire.com/biz3/discworld/"} +{"d:Title": "Discworld Almanack", "d:Description": "Discworld based creations like adopt a swamp dragon, Discworld horrorscopes, javascript games and DW desktop theme.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Fan_Pages", "url": "http://www.weirdnes.force9.co.uk/"} +{"d:Title": "The Virtual Discworld", "d:Description": "Includes Terry Pratchetts books, fan art, and surveys.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Fan_Pages", "url": "http://mabarlow.tripod.com/"} +{"d:Title": "Ankh - Guard! Guard!", "d:Description": "Terry Pratchett biography, Discworld pictures, characters and quotes.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Fan_Pages", "url": "http://ankh007.freeservers.com/"} +{"d:Title": "David's and Chris' Discworld Site", "d:Description": "Discworld information to include character descriptions, information on the books, and places on the Disc.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Fan_Pages", "url": "http://davidchris.tripod.com/"} +{"d:Title": "Discworld Cake", "d:Description": "Making a cake that looks like Discworld.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Fan_Works", "url": "http://kimberlychapman.com/crafts/cakes/discworld/discworld.html"} +{"d:Title": "The Truth", "d:Description": "Guide to Ankh Morpork and its guilds, information on Pratchett, and an image gallery.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Games/Roleplaying", "url": "http://www.ankhmorpork.iwarp.com/"} +{"d:Title": "GURPS Discworld bye Nighte", "d:Description": "Expansion for the darker side of Ankh-Morpork, Sundrye, and Fyne Partes of the Dyske. Uses a forum for discussions.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Games/Roleplaying", "url": "http://www.byenighte.com/"} +{"d:Title": "Disc List", "d:Description": "Mailing list dedicated to the discussion of Terry Pratchett's Discworld.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Mailing_Lists", "url": "http://groups.yahoo.com/group/Disc/"} +{"d:Title": "Discworld Monthly", "d:Description": "Free monthly e-mail newsletter about Terry Pratchett's works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Mailing_Lists", "url": "http://www.discworldmonthly.co.uk/"} +{"d:Title": "Ankh-Morpork City Watch House", "d:Description": "Listing of Watchmen throughout the Discworld series, book information, miniatures, trivia and warhammer fantasy battle rules.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Resources", "url": "http://www.beeptwo.com/watch/index.html"} +{"d:Title": "Ankhmorpork Web Ring", "d:Description": "For sites related to Discworld.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/P/Pratchett,_Terry/Discworld/Web_Rings", "url": "http://www.webring.org/hub?ring=ankhmorpork"} +{"d:Title": "Rath, Thomas", "d:Description": "Small-press author of Hand of Fire, Book 1 of The Master of the Tane. Book summary and excerpt.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/R", "url": "http://www.angelfire.com/my/openingpage/index.html"} +{"d:Title": "Royer, Dennis", "d:Description": "Small-press author of Stranger. News of current projects, book excerpts, and answers to questions from readers about writing and getting published.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/R", "url": "http://www.dennisroyer.com/"} +{"d:Title": "Cynthia Radthorne", "d:Description": "Biography, information on books and artwork.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/R", "url": "http://www.cynthiaradthorne.com/"} +{"d:Title": "Exiles", "d:Description": "Melanie Rawn's official site containing information on the author, releases, appearances, chat, and a fan club, Pandemonium.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/R/Rawn,_Melanie", "url": "http://www.melanierawn.com/"} +{"d:Title": "Tara's Melanie Rawn Page", "d:Description": "Information on the books, pictures of the cover art, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/R/Rawn,_Melanie", "url": "http://dragonspire.8m.com/rawn.htm"} +{"d:Title": "Melanie Rawn's Page", "d:Description": "Small fan site with opinions on Melanie Rawn's books and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/R/Rawn,_Melanie", "url": "http://asposaro.tripod.com/index-3.html"} +{"d:Title": "Michael Reaves: An Interview with a Screenwriter", "d:Description": "By Joe Tracy. [Animation Artist] Discusses writing for Batman, Invasion America, Gargoyles, and his other work.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/R/Reaves,_Michael", "url": "http://www.animationartist.com/2000/Interviews/Screenwriters/Michael_Reaves/MReaves.html"} +{"d:Title": "Shortt, Elizabeth", "d:Description": "Small-press author of House of Swords.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S", "url": "http://members.shaw.ca/authoreshortt/"} +{"d:Title": "Shortland, James W - Fantasy In Motion", "d:Description": "A fantasy fiction and writing blog.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S", "url": "http://fantasyinmotion.wordpress.com/"} +{"d:Title": "R.A. Salvatore.com", "d:Description": "Contains biography, bibliography, interviews, reviews, message board, trivia quiz and online bookstore.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Salvatore,_Robert_A.", "url": "http://www.rasalvatore.com/"} +{"d:Title": "City of the Drow", "d:Description": "Character biographies for Drizzt and his friends, links, and map of Faerun.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Salvatore,_Robert_A.", "url": "http://www.angelfire.com/realm/cityofthedrow/"} +{"d:Title": "Drizzt Spirit", "d:Description": "Includes pictures, polls, Drizzt's bio., R.A. Salvatore's bio., trivia, interviews and book reviews.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Salvatore,_Robert_A.", "url": "http://drizztspirit.tripod.com/"} +{"d:Title": "Jonas Books: RA Salvatore", "d:Description": "Reviews of RA Salvatore's fantasy books by fan Jonas Kallman.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Salvatore,_Robert_A.", "url": "http://www.kallman.info/books/ra_salvatore.htm"} +{"d:Title": "Scalora, Suza", "d:Description": "Official site for this fantasy writer. Has reviews, excerpts from her books, message board and available merchandise.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Scalora,_Suza", "url": "http://www.myth.com/"} +{"d:Title": "Scott, Martin", "d:Description": "Official site of Thraxas, wizard detective. Created by Martin Scott, winner of the World Fantasy Award.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Scott,_Martin", "url": "http://www.martinmillar.com/thraxas/thraxas.html"} +{"d:Title": "Matthew Phipps Shiel", "d:Description": "\"A brief biography, with photographs, and bibliography of the writings of one of the modern masters of supernatural fiction.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Shiel,_M._P.", "url": "https://www.fantasticfiction.com/s/m-p-shiel/"} +{"d:Title": "ChrisW's \"Exordium\" Page", "d:Description": "A detailed guide to the Exordium universe.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Smith,_Sherwood", "url": "http://www.kentaurus.com/exordium.htm"} +{"d:Title": "Colorwoods", "d:Description": "Fan site for the Crown Duel and Court Duel novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Smith,_Sherwood", "url": "http://www.angelfire.com/magic/colorwoods/"} +{"d:Title": "Sherwood Smith", "d:Description": "Essays, reviews, and news from the author herself.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Smith,_Sherwood", "url": "http://www.sherwoodsmith.net/"} +{"d:Title": "Angela Sommer-Bodenburg", "d:Description": "Information on the artist and author. Official site.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Sommer-Bodenburg,_Angela", "url": "http://www.angelasommer-bodenburg.com/"} +{"d:Title": "Rudolph's Corner", "d:Description": "Site dedicated to the author and her Little Vampire series with a biography, artwork, poetry, character summaries and updates on Angela's latest projects.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Sommer-Bodenburg,_Angela", "url": "http://www.angelfire.com/nt/rudolph/index.html"} +{"d:Title": "Angela Sommer-Bodenburg Club", "d:Description": "A forum for all fans of Angela Sommer-Bodenburg to meet and discuss her work.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Sommer-Bodenburg,_Angela", "url": "http://groups.yahoo.com/group/angelasommerbodenburg/"} +{"d:Title": "RobertStanek.com", "d:Description": "Offers an author biography and weblog, interview, and forum.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Stanek,_Robert", "url": "http://www.robertstanek.com/"} +{"d:Title": "Ruin Mist", "d:Description": "Provides a FAQ and details on each book.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Stanek,_Robert", "url": "http://www.ruinmist.com/"} +{"d:Title": "Robert Stanek bibliography", "d:Description": "A bibliography and chronology of all the author's books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Stanek,_Robert", "url": "http://www.angelfire.com/scifi2/robertstanek/"} +{"d:Title": "Caroline Stevermer", "d:Description": "The author's own page at authorsguild.net, including a brief biography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Stevermer,_Caroline", "url": "http://members.authorsguild.net/carolinestev/"} +{"d:Title": "James Stoddard's Home Page", "d:Description": "Biography, writing samples, and contact information for the author.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Stoddard,_James", "url": "http://www.james-stoddard.com/"} +{"d:Title": "William Antony Swithin Sarjeant: An obituary", "d:Description": "From the Department of Geological Sciences at the University of Saskatchewan, and including and overview of both his scientific and non-scientific pursuits.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/S/Swithin,_Antony", "url": "http://www.usask.ca/geology/nfaculty/wass/"} +{"d:Title": "Theodoratus, M. K.", "d:Description": "Author of the Half-Elven Publications. Contains biography, book excerpt and free stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T", "url": "http://www.mktheodoratus.com/"} +{"d:Title": "Tolkien.co.uk", "d:Description": "Official site from HarperCollins. Includes biography, some of his artwork, interviews, and video and audio files.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R.", "url": "http://www.tolkien.co.uk/"} +{"d:Title": "Houghton Mifflin: J.R.R. Tolkien", "d:Description": "Official American publisher site includes information on the books, a biography, and list of characters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R.", "url": "http://www.houghtonmifflinbooks.com/features/lordoftheringstrilogy/"} +{"d:Title": "Who is Tom Bombadil?", "d:Description": "Extensive analysis of the figure Tom Bombadil, with links to other sites about him.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Articles_and_Essays", "url": "http://www.cas.unt.edu/~hargrove/bombadil.html"} +{"d:Title": "Visit the Birthplace of 'The Lord of the Rings'", "d:Description": "Pamela S. Turner's article about the author's life in Oxford, England [Christian Science Monitor].", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Articles_and_Essays", "url": "http://www.csmonitor.com/2001/1127/p17s2-altr.html"} +{"d:Title": "Lord of the Rings and Prophecies", "d:Description": "Tolkien's Lord of the Rings and the Bible's prophecies of the Book of Revelation discussed.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Articles_and_Essays", "url": "http://revelation13.net/Tolkien.html"} +{"d:Title": "Christianity and Middle-Earth", "d:Description": "Essays and poetry on the Christian themes in The Lord of the Rings, examining both Tolkien's books and Peter Jackson's movie versions.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Articles_and_Essays", "url": "http://entropyhouse.com/baillie/candme/"} +{"d:Title": "Heroism and Redemption in Middle-Earth", "d:Description": "A study of Tolkien's fictional realm.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Articles_and_Essays", "url": "http://www.literature-study-online.com/essays/tolkien.html"} +{"d:Title": "Smithsonian Magazine: Master of Middle Earth", "d:Description": "Details the unlikely success of \"Lord of the Rings.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Articles_and_Essays", "url": "http://www.smithsonianmag.com/arts-culture/earth.html"} +{"d:Title": "The War of the Rings", "d:Description": "Explores the effects of Internet fandom on the author's legacy.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Articles_and_Essays", "url": "http://www.seattleweekly.com/1999-09-01/news/the-war-of-the-rings/"} +{"d:Title": "IMS: J.R.R. Tolkien, HarperAudio", "d:Description": "Author reading excerpts from \"The Lord of the Rings.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Biographies", "url": "http://town.hall.org/Archives/radio/IMS/HarperAudio/020294_harp_ITH.html"} +{"d:Title": "Entmoot", "d:Description": "Discussions of center around Tolkien, Middle-earth, RPGs, and chat. [vBulletin]", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://entmoot.tolkientrail.com/"} +{"d:Title": "Minas Tirith", "d:Description": "Discussion featuring the Library Council FAQs. [Ultimate Bulletin Board]", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://www.minastirith.com/"} +{"d:Title": "Yahoo! Groups: lordoftheringstrilogy", "d:Description": "Photo collection, newsroom, links, and newsletter. [Yahoo!]", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://groups.yahoo.com/group/lordoftheringstrilogy/"} +{"d:Title": "The City of Arda", "d:Description": "BBS system based in Tolkien's fictional City of Arda.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://www.cityofarda.com/"} +{"d:Title": "Tolkien and the Inklings Forum", "d:Description": "Michael Martinez's discussion forum for scholarly debate on Middle-earth. [Ultimate Bulletin Board]", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://www.sf-fandom.com/vbulletin/forumdisplay.php?s=&f=32"} +{"d:Title": "Rohan", "d:Description": "Discussion board with quizzes and RPG.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://www.landofrohan.com/forum/"} +{"d:Title": "The Argonath", "d:Description": "Discussion board for Lord of the Rings fans. Includes the movies, books and role-playing.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://thelotr.proboards.com/"} +{"d:Title": "Ithilien", "d:Description": "Home of the Loughborough High School Tolkien Society.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://lts.proboards.com/"} +{"d:Title": "Boards of Lorien", "d:Description": "Discussion forum with a Lothlorien theme.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Chats_and_Forums", "url": "http://majestyoflorien.proboards.com/"} +{"d:Title": "One Ring: The Complete Guide to Tolkien Online", "d:Description": "Index of links, organized by topic. Entries editable by webmasters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Directories", "url": "http://onering.virbius.com/"} +{"d:Title": "Lord of the Rings", "d:Description": "Webring for 'Lord of the Rings' websites.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Directories", "url": "http://www.webring.org/hub?ring=lotr"} +{"d:Title": "Fantasy and Tolkien Ring", "d:Description": "Webring for Middle-earth and other fantasy sites.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Directories", "url": "http://www.webring.org/hub?ring=helluin"} +{"d:Title": "The Electronic Tolkien Encyclopedia Project:", "d:Description": "Scholarly articles submitted by visitors about Middle-earth topics.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Encyclopedias", "url": "http://www.tolkienonline.de/etep/"} +{"d:Title": "Encyclopedia of Arda", "d:Description": "An evolving encyclopedia, complete with illustrations, maps and an interactive chronicle.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Encyclopedias", "url": "http://www.glyphweb.com/arda/"} +{"d:Title": "Galadriel Worshippers' Army", "d:Description": "Listings and detailed information for the major female characters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Encyclopedias", "url": "http://www.galadriel.org/"} +{"d:Title": "Annals of Arda", "d:Description": "Glossary of the names, places, objects and thoughts of Middle-earth.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Encyclopedias", "url": "http://www.annalsofarda.dk/"} +{"d:Title": "Wikipedia: Middle-earth", "d:Description": "Article with sections on the continent's geography, peoples, languages and history.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Encyclopedias", "url": "http://en.wikipedia.org/wiki/Middle-earth"} +{"d:Title": "Tolkien Gateway", "d:Description": "A J.R.R. Tolkien encyclopedia.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Encyclopedias", "url": "http://tolkiengateway.net/"} +{"d:Title": "Council of Elrond", "d:Description": "Features news, images, forums, Elvish lessons, multimedia, humor and a newsletter.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://www.councilofelrond.com/"} +{"d:Title": "Tolkien Gateway", "d:Description": "Information about the movies, books, languages, music, as well as downloads and community forums.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://tolkiengateway.net/"} +{"d:Title": "The Tolkien Meta-FAQ", "d:Description": "A unified index to the major Tolkien FAQs and a personalized book list.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://tolkien.slimy.com/"} +{"d:Title": "Shire Post", "d:Description": "Actual paper-and-ink mail with an elaborate hobbit theme.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://www.shirepost.com/"} +{"d:Title": "Luthien Tinuviel's Page", "d:Description": "Personal homepage with an emphasis on Luthien.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://www.luthien-tinuviel.net/"} +{"d:Title": "Lord of the Rings", "d:Description": "Pictures and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://members.tripod.com/LotR_2/lotr.htm"} +{"d:Title": "Meduseld, the Golden Hall of Brego", "d:Description": "Includes trivia, information, news, audio files, images, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://meduseld.tripod.com/tolkien.html"} +{"d:Title": "QuaidXIII's Tolkien Page", "d:Description": "Contains book previews, photos, poems from \"The Hobbit,\" merchandise, polls, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://moriamines.tripod.com/"} +{"d:Title": "Ring Lord", "d:Description": "Images, maps, quotes and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://ring-lord.tripod.com/"} +{"d:Title": "Tolkien's Tavern", "d:Description": "Describes the author's life and works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://www.angelfire.com/tn2/tolkien/index2.html"} +{"d:Title": "The Ringwraith's Tolkien Site", "d:Description": "Summaries, index of places, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://www.angelfire.com/realm2/ringwraith/"} +{"d:Title": "Mellonath Legolas", "d:Description": "Fan material on Legolas.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://woodrealm.tripod.com/"} +{"d:Title": "Hobbit Web Quest", "d:Description": "Small FAQ on Hobbits with a quiz.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://bobmonkey2.tripod.com/HobbitQuest/"} +{"d:Title": "Twin Stars of Imladris", "d:Description": "Tribute to Elladan and Elrohir, the twin sons of Elrond. Features a biography, genealogy, art, and fan fiction.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://elladan_halfelven.tripod.com/"} +{"d:Title": "LOTRfanclub Scrapbook", "d:Description": "Archives of this LOTR fan club. Creative writing, poetry, parodies and essays.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://lotrscrapbook.bookloaf.net/"} +{"d:Title": "Lake Evendim", "d:Description": "The Capital of the Ancient Realm of Arnor. Has LOTR captions, projects, contemplation and wallpapers. Also a guide to Engrish, recording the messed up subtitles that appear on asian bootleg DVDs of the Lord of the Rings.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://www.freewebs.com/lakeevendim/"} +{"d:Title": "Nimrodel", "d:Description": "Costume design and fan page. Original costumes and props with how-to details.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://elysiumgates.com/~nimrodel"} +{"d:Title": "Julian's Tolkien Pages", "d:Description": "Images, biography, and graphics.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://users.telenet.be/ws35756/Tolkien/main.htm"} +{"d:Title": "Downfall of the Lord of the Rings and the Return of the King", "d:Description": "Synopsis and time-line of Middle-earth history.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://diablo222.tripod.com/lotr/"} +{"d:Title": "Gandalf's Smial", "d:Description": "Images, fonts, and essays.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://gandalfelmago.tripod.com/"} +{"d:Title": "My Hobbitat", "d:Description": "Character biographies, images, sounds, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Pages", "url": "http://tnrebma.tripod.com/Hobbitat.htm"} +{"d:Title": "Hallamereth", "d:Description": "Stories centered around the fan-created wood-elf.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works", "url": "http://imlothien.tripod.com/"} +{"d:Title": "Fan.TheOneRing.net", "d:Description": "Archive including music, art, and stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works", "url": "http://fan.theonering.net/"} +{"d:Title": "Dark Elf", "d:Description": "Fan's 3D Photoshop, Bryce and Poser art for LoTR.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works", "url": "http://www.darkelf.ca/"} +{"d:Title": "Lord of the Rings Doujinshi Reviews", "d:Description": "Japanese fan-created Lord of the Rings comics (anime and manga style Tolkien works).", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works", "url": "http://alasse0.tripod.com/doujinshi"} +{"d:Title": "Walking Tree Publishers", "d:Description": "Small-press publisher of Middle Earth-universe books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works", "url": "http://www.walking-tree.org/"} +{"d:Title": "Edoras Tolkien Art-Gallery", "d:Description": "Images annotated with quotes from the original texts. Choice of English or German language version available.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://anke.edoras-art.de/"} +{"d:Title": "Rolozo Tolkien", "d:Description": "Archive for hundreds of Middle-earth art pieces.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://fan.theonering.net/rolozo/"} +{"d:Title": "Eldar.org Tolkien Art Gallery", "d:Description": "Artists include Lee, Howe, Garland, Nasmith, and Kirk.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://anduin.eldar.org/artgallery/tolkien/"} +{"d:Title": "Last Homely House's Rivendell Image Gallery", "d:Description": "Images of Rivendell.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://scv.bu.edu/~aarondf/rivimages.html"} +{"d:Title": "Sketches of Middle-Earth", "d:Description": "Original sketches by Philippe Lesire.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://users.skynet.be/NightGem/gallery5.htm"} +{"d:Title": "3D Map of Middle-Earth", "d:Description": "Peder Langlo's representation, with significant place names marked.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://alt-tolkien.com/pedermap.html"} +{"d:Title": "Lake Town", "d:Description": "Unofficial visual representation of Lake Town from The Hobbit, using ArchiCAD.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://aye_jay0.tripod.com/"} +{"d:Title": "John Howe", "d:Description": "Portfolio of Middle Earth artwork compiled by fan John Howe.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Art", "url": "http://www.john-howe.com/"} +{"d:Title": "List of Beta Readers for LOTR Fan Fiction", "d:Description": "Reader listings are categorised into male/male, female/female, het, and gen.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://lotr_slash_beta.tripod.com/"} +{"d:Title": "Henneth Annun Story Archive", "d:Description": "Archive of fan fiction based around the creative universe of J.R.R. Tolkien. Postings are moderated.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.henneth-annun.net/"} +{"d:Title": "Elven Country", "d:Description": "Fan site dedicated to J.R.R Tolken's Elves with an archive for fan fiction. Also bios. on Tolken's elves and a gallery.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://dbeauty.0catch.com/"} +{"d:Title": "Tolkien Fanfiction", "d:Description": "Character slash stories by various authors, including Van Donovan and Bron DuWynn.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://lotrfics.tripod.com/tolkienfanfiction/"} +{"d:Title": "The Tolkien Trail", "d:Description": "Choose from humorous writing or serious writing.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.tolkientrail.com/rivendell/fanfic.shtml"} +{"d:Title": "Romenna", "d:Description": "Writing and programming workshop with a selection of works by members, and a few stories by non-members.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.romenna.net/"} +{"d:Title": "The Mithril Awards", "d:Description": "The Mithril Awards honour excellence in fan fiction, poetry and critical essays relating to The Lord of the Rings, The Hobbit, The Silmarillion and other works by JRR Tolkien.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.viragene.com/tolkien"} +{"d:Title": "Stories of Arda", "d:Description": "Author-driven site of tales from Middle Earth.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.storiesofarda.com/"} +{"d:Title": "Espresso Recommendations", "d:Description": "Reviews of LoTR fan fiction (includes some other SFF works).", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.tavia.co.uk/recs.asp"} +{"d:Title": "In a Green Shade", "d:Description": "Tiriel's Frodo and Sam-based stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://tiriel.luminousbeings.net/"} +{"d:Title": "Winter Flowers: Stories by Elanor", "d:Description": "Tales of Tooks, Brandybucks and other hobbits, fitted around Tolkien's original work and respectful of canon.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.entropyhouse.com/winterflowers/"} +{"d:Title": "Lord of The Rings Fan Fiction", "d:Description": "A place for LotR and actor related fiction.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.lotrfanfiction.com/"} +{"d:Title": "LOTR Fanfiction Sites", "d:Description": "Web ring for sites featuring stories set in Middle-earth.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Fiction", "url": "http://www.webring.org/hub?ring=lotrwomen"} +{"d:Title": "The Tolkien Music List", "d:Description": "Discography of musical works inspired by or referential to Tolkien's mythology. Includes song lyrics, links to artist homepages, and commentary.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Music", "url": "http://www.tolkien-music.com/"} +{"d:Title": "Harps of Middle Earth", "d:Description": "Reconstruction of Middle-Earth harps by early music performer Asni.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Music", "url": "http://www.asni.net/meharp.html"} +{"d:Title": "Music in Middle Earth", "d:Description": "Essay covering the music in Lord of the Rings.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Music/Essays", "url": "http://www.phil.unt.edu/~hargrove/music.html"} +{"d:Title": "Derdzinski \"Language in The Lord of the Rings Movie\"", "d:Description": "Linguistic analysis of the invented and archaic languages used in the lyrics to the soundtrack score of Peter Jackson's LotR films (2001-2003).", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Music/Essays", "url": "http://www.elvish.org/gwaith/movie.htm"} +{"d:Title": "Hargrove", "d:Description": "Commentary on the songs and poems in The Lord of the Rings.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Fan_Works/Fan_Music/Essays", "url": "http://www.cep.unt.edu/songs/tolkien.html"} +{"d:Title": "Private Life of Tolkien", "d:Description": "Influence of fantasy RPGs on \"Lord of the Rings.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://www.netfunny.com/rhf/jokes/94q1/tolkiendnd.html"} +{"d:Title": "Tolkien Ring Network", "d:Description": "Tale of Micro Earth vs. the Blue Lord.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://galaxy.uci.agh.edu.pl/~szymon/humor/tolkienring.txt"} +{"d:Title": "User Friendly the Comic Strip", "d:Description": "Comic strip with cartoons on \"Lord of the Rings\" and computers.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://www.userfriendly.org/cartoons/archives/99oct/19991018.html"} +{"d:Title": "Mouth of Sauron", "d:Description": "Archive of \"Lord of the Rings\" message board humor.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://www.angelfire.com/sk/sharkens/"} +{"d:Title": "Tolkien Sarcasm Page", "d:Description": "Satirical Middle-earth stories, including a humorous phony synopsis of \"Lord of the Rings.\"", "priority": "1", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://flyingmoose.org/tolksarc/"} +{"d:Title": "CBUB Fights: Merlin vs. Gandalf", "d:Description": "Fight to determine the ultimate sorcerer.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://www.electricferret.com/fights/magi.htm"} +{"d:Title": "CBUB Fights: Lord of the Rings vs. Dragonlance vs. Lodoss", "d:Description": "Fantasy fight between three of the toughest fantasy adventure teams.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://www.electricferret.com/fights/fantasy.htm"} +{"d:Title": "Lord of the Rings as allegory of the PhD", "d:Description": "PhD humor.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://danny.oz.au/danny/humour/phd_lotr.html"} +{"d:Title": "Unusual Nut-Case Lays of Ea (UNCLE): Tolkien Humor", "d:Description": "Musical parodies and spoofs.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Humor", "url": "http://ringil.cis.ksu.edu/Tolkien/Humor/"} +{"d:Title": "Rivendell Group of the Mythopoeic Society", "d:Description": "University of Minnesota, Twin Cities campus.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Organizations", "url": "http://www.tc.umn.edu/~d-lena/RIVENDELL.html"} +{"d:Title": "Beyond Bree", "d:Description": "The newsletter of the Tolkien Special Interest Group of American Mensa. Nominal fee for membership.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Organizations", "url": "http://www.cep.unt.edu/bree.html"} +{"d:Title": "Middle-earth Reunion", "d:Description": "An alternative, web-based society featuring a quarterly magazine.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Organizations", "url": "http://www.alt-tolkien.com/"} +{"d:Title": "Bree Moot 5", "d:Description": "An annual convention.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Organizations", "url": "http://www.tc.umn.edu/~d-lena/BreeMoot.html"} +{"d:Title": "Valar Guild", "d:Description": "Diablo and Starcraft guild with a Middle-earth theme.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Organizations", "url": "http://www.valarguild.org/"} +{"d:Title": "Tolkien Society", "d:Description": "UK-based group that furthers interest in the life and works of the author and offers material on the topic.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Organizations", "url": "http://www.tolkiensociety.org/"} +{"d:Title": "World of J.R.R. Tolkien", "d:Description": "Biography, synopses and analyses of \"The Hobbit,\" \"Lord of the Rings\" and \"The Silmarillion.\" Links and movie reviews.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.indepthinfo.com/tolkien/"} +{"d:Title": "The Barrow-Downs", "d:Description": "Includes a postcard creator, searchable encyclopedia, articles, and a picture gallery.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.barrowdowns.com/"} +{"d:Title": "Grey Havens", "d:Description": "Articles, links, and news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://tolkien.cro.net/"} +{"d:Title": "Planet Tolkien", "d:Description": "News, articles, and a discussion board.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.planet-tolkien.com/"} +{"d:Title": "The Tolkien Trail", "d:Description": "Includes games, fan fiction, MP3s, fan art, Entmoot discussion board, and collectibles.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.tolkientrail.com/"} +{"d:Title": "Lord Of The Rings Fantasy World", "d:Description": "Biography, forums, and chat room.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.lord-of-the-rings.org/"} +{"d:Title": "Ted Nasmith", "d:Description": "Official web site of the illustrator best known for his paintings based on the works of Tolkien.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.tednasmith.com/"} +{"d:Title": "The Tolkien Wiki", "d:Description": "WikiWeb dedicated to the literary works of Prof. J.R.R. Tolkien with biographic and bibliographic information.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.thetolkienwiki.org/"} +{"d:Title": "FAQ of the Rings", "d:Description": "Answers to questions about the Rings of Power, by Stan Brown.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://oakroadsystems.com/genl/ringfaq.htm"} +{"d:Title": "Tolkien Library", "d:Description": "Source for Tolkien book collectors, with a descriptive and illustrated bibliography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.tolkienlibrary.com/"} +{"d:Title": "An Illustrated Tolkien Bibliography", "d:Description": "Aims to list all the British editions of the published writings of Tolkien and to illustrate textual and wrapper changes.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.tolkienbooks.net/"} +{"d:Title": "Mordor: The Land of Shadow", "d:Description": "Profiles of evil in Middle-earth, with an emphasis on Mordor. [Flash required]", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Resources", "url": "http://www.thelandofshadow.com/"} +{"d:Title": "Poetry of Middle-earth", "d:Description": "Looks at the poetry contained in the 5 main books concerning Middle-earth.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Reviews", "url": "http://www.angelfire.com/rings/varda/poetry/poems/poems.html"} +{"d:Title": "Chronological Tolkien", "d:Description": "Reading order for the Middle-earth saga.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works", "url": "http://www.chronology.org/tolkien/"} +{"d:Title": "Hypertextualized Tolkien FAQ", "d:Description": "Questions and answers about Middle-earth.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works", "url": "http://www.daimi.au.dk/~bouvin/tolkienfaq.html"} +{"d:Title": "Tolkien, Wagner, Nationalism, and Modernity", "d:Description": "Comparison between the Lord of the Rings and Wagner's Nibelungen Saga.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works", "url": "http://www.isi.org/lectures/text/pdf/birzer.pdf"} +{"d:Title": "Frodo Lives! The Ancestors of Frodo Baggins", "d:Description": "The genealogy of Frodo, Bilbo, and other characters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Lord_of_the_Rings", "url": "http://www.mycinnamontoast.com/frodo/"} +{"d:Title": "Lord of the Rings Fanatics Plaza", "d:Description": "Topical discussion forums for fans of the work.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Lord_of_the_Rings", "url": "http://www.lotrplaza.com/"} +{"d:Title": "Lord of the Rings - The Wallpaper", "d:Description": "Original desktop wallpaper inspired by the book.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Lord_of_the_Rings", "url": "http://www.angelfire.com/wizard/sin-art/"} +{"d:Title": "One Ring", "d:Description": "Information on Lord of the Rings characters, creatures, races and places.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Lord_of_the_Rings", "url": "http://www.angelfire.com/goth2/onering/"} +{"d:Title": "Mordor, He Wrote...", "d:Description": "Neil Spencer's article about the book's impact on society [Observer].", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Lord_of_the_Rings", "url": "http://www.theguardian.com/books/2001/dec/09/jrrtolkien.sciencefictionfantasyandhorror"} +{"d:Title": "Lord of the Rings Quotations", "d:Description": "Quotations and sound clips.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Lord_of_the_Rings", "url": "http://www.coldal.org/quotes.html"} +{"d:Title": "The Valaquenta: The Account of The Valar", "d:Description": "Discussion of the creation of Middle-earth.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Silmarillion,_The", "url": "http://www.valaquenta.com/"} +{"d:Title": "Gondolin", "d:Description": "Geography, history, and images of the Elven kingdom of Gondolin.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/T/Tolkien,_J._R._R./Works/Silmarillion,_The", "url": "http://gondolin.czweb.org/"} +{"d:Title": "Vivigatz, Melissa J.", "d:Description": "Small-press author of the Drexus Tavosn Saga.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/V", "url": "http://mvivigatz.homestead.com/home.html"} +{"d:Title": "The Jack Vance Archive", "d:Description": "Bibliographies of Vance's works and translations to various languages, scanned book covers, information about the author and the fanzine The Vance Phile.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/V/Vance,_Jack", "url": "http://www.jackvance.com/"} +{"d:Title": "Lady Ailanna's Realm: Paula Volsky", "d:Description": "Reviews of Illusion, The Gates of Twighlight, and The Grand Ellipse.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/V/Volsky,_Paula", "url": "http://www.angelfire.com/ca2/ladyailanna/pvolsky.html"} +{"d:Title": "Wolf, Phillip", "d:Description": "Official site for small-press writer Phillip Wolf, author of Quest of the Dragonslayer. Site has synopsis and details of the author's life.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W", "url": "http://www.wolfentertainment.net/"} +{"d:Title": "Walton, David", "d:Description": "Science fiction and fantasy author blog, with short fiction and information about his novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W", "url": "http://davidwaltonfiction.wordpress.com/"} +{"d:Title": "Wikipedia: Evangeline Walton", "d:Description": "Biography from the online collaborative encyclopedia of the author best known for her four novels retelling the Welsh Mabinogion.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Walton,_Evangeline", "url": "http://en.wikipedia.org/wiki/Evangeline_Walton"} +{"d:Title": "Michael D. Warden", "d:Description": "Official site for the author of Christian fantasy-suspense novel Gideon's Dawn.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Warden,_Michael", "url": "http://www.michaelwarden.com/"} +{"d:Title": "Lawrence Watt-Evans: The Misenchanted Page", "d:Description": "Autobiographical information, a complete index of the author's body of work, and background on the writer and how the stories developed.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Watt-Evans,_Lawrence", "url": "http://www.watt-evans.com/"} +{"d:Title": "Jane Welch", "d:Description": "Official author website with biography, bibliography, cover art, sample chapters, reviews and current news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Welch,_Jane", "url": "http://www.janewelch.com/"} +{"d:Title": "Infinite Matrix: Jack Williamson", "d:Description": "Article on the life and works of Jack Williamson by critic John Clute.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Williamson,_Jack", "url": "http://www.infinitematrix.net/columns/clute/clute1.html"} +{"d:Title": "SFsite: A Conversation with Jack Williamson", "d:Description": "Interview with the author of the Legion of Space series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Williamson,_Jack", "url": "http://www.sfsite.com/03b/jw77.htm"} +{"d:Title": "Winterland, C. E.", "d:Description": "Small-press author of the 7-part fantasy \"Mindsight\" series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Winterland,_C._E.", "url": "http://www.mindsightseries.com/"} +{"d:Title": "Jeffrey Winters", "d:Description": "Author maintained site for \"Mystic Uncle And The Magical Bridge\", includes a summary, index, sample chapter, and a short biography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/W/Winters,_Jeffrey", "url": "http://www.mysticuncle.com/"} +{"d:Title": "Zelazny and Amber", "d:Description": "Lists of facts about the author including bibliography sorted by medium, convention appearances, story synopses, pictures, and an obituary.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger", "url": "http://www.roger-zelazny.com/"} +{"d:Title": "Roger Zelazny in Japan", "d:Description": "A discussion of the release of the author's works in Japan, together with three reviews and a gallery of computer generated images.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger", "url": "http://www.terra.dti.ne.jp/~okawa/erz/RZindex.html"} +{"d:Title": "SF Site Review: Lord of the Fantastic", "d:Description": "This book is a collection of short stories written in tribute to Zelazny, together with anecdotes of each author's memories of the man.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger", "url": "http://www.sfsite.com/11b/lord45.htm"} +{"d:Title": "IMDb: Roger Zelazny", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger", "url": "http://imdb.com/name/nm0954467/"} +{"d:Title": "Shadow Zone", "d:Description": "Features cover artwork from the majority of the authors works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger", "url": "http://ofearna.us/books/zelazny.html"} +{"d:Title": "Roger Zelazny Wikipedia Entry", "d:Description": "Includes biography, trademarks and published works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger", "url": "http://en.wikipedia.org/wiki/Roger_Zelazny"} +{"d:Title": "Fantasticfiction: Roger Zelazny", "d:Description": "Annotated bibliography including quotations by the author on the work of his fellow writers.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger", "url": "https://www.fantasticfiction.com/z/roger-zelazny/"} +{"d:Title": "Newt Humor: Zelazny's Agnostic's Prayer", "d:Description": "Famous paragraph from \"Creatures of Light and Darkness.\"", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works", "url": "http://www.sonic.net/~roelofs/humor/zelazny_agnostic.html"} +{"d:Title": "SF Site Review: Lord Demon", "d:Description": "A review of this posthumously published work, the sequel to Donnerjack, completed by co-author Jane Lindskold.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works", "url": "http://www.sfsite.com/08a/lord62.htm"} +{"d:Title": "SF Site Review: Donnerjack", "d:Description": "Review of this novel, published after the author's death and written in collaboration with Jane Lindskold.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works", "url": "http://www.sfsite.com/09b/don17.htm"} +{"d:Title": "SF Site Review: This Immortal", "d:Description": "Review of one of the author's recently reprinted novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works", "url": "http://www.sfsite.com/10a/ti90.htm"} +{"d:Title": "SFcrowsnest: Damnation Alley", "d:Description": "Review of this post-nuclear war thriller by Roger Zelazny.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works", "url": "http://www.sfcrowsnest.com/articles/books/2003/Damnation-Alley-by-Roger-Zelazny--7091.php"} +{"d:Title": "R. Zelazny's Amber Dictionary", "d:Description": "Index of Characters, Locations and Objects appearing in R. Zelazny's Amber series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Amber", "url": "http://www.stwing.upenn.edu/~avm/Amber.shtml"} +{"d:Title": "Review of \"Roger Zelazny's The Dawn Of Amber\"", "d:Description": "Review the novel written by John Gregory Bethancourt that is a prequel to the late author's works.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Amber", "url": "http://www.booksforabuck.com/sfpages/dawn_amber.html"} +{"d:Title": "SF Site Fiction Excerpt: Roger Zelazny's The Dawn of Amber", "d:Description": "A page from the first of a new trilogy written by John Gregory Bethancourt and set in the world of Amber.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Amber", "url": "http://www.sfsite.com/09a/da135.htm"} +{"d:Title": "SF Site Featured Review: Roger Zelazny's The Dawn of Amber", "d:Description": "A review of this novel by John Gregory Betancourt set in the Amber universe.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Amber", "url": "http://www.sfsite.com/09a/am135.htm"} +{"d:Title": "SF Site Review: The Chronicles of Amber", "d:Description": "A review of the omnibus edition of the first five volumes of the Amber series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Amber", "url": "http://www.sfsite.com/10b/ca91.htm"} +{"d:Title": "Shadows of Amber", "d:Description": "Forum dedicated to Zelazny's setting.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Amber", "url": "http://bb.bbboy.net/shadowsofamber"} +{"d:Title": "SF Site Review: Lord of Light", "d:Description": "A review of this Hugo award winning novel.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Lord_of_Light", "url": "http://www.sfsite.com/03b/lol77.htm"} +{"d:Title": "Danny Yee's Book Reviews: Lord of Light", "d:Description": "Review containing mild plot spoilers of this classic science fiction novel.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Lord_of_Light", "url": "http://dannyreviews.com/h/Lord_Light.html"} +{"d:Title": "Lord of Light by Roger Zelazny", "d:Description": "A review of this novel.", "topic": "Top/Arts/Literature/Genres/Fantasy/Authors/Z/Zelazny,_Roger/Works/Lord_of_Light", "url": "http://alumnus.caltech.edu/~mcelroy/review/books/rzlord.html"} +{"d:Title": "The City of Lost Books", "d:Description": "The hub for research on fantasy and the fantastic at the University of Glasgow.", "topic": "Top/Arts/Literature/Genres/Fantasy/Essays_and_Criticism", "url": "http://fantasy.glasgow.ac.uk/"} +{"d:Title": "Dante's vs. Niven and Pournelle's Inferno", "d:Description": "Essay on the pastiche by two twentieth-century hard science-fiction writers of the sixteenth-century fantastic classic. Includes comparisons between the original and modernized versions of each Circle of Hell.", "topic": "Top/Arts/Literature/Genres/Fantasy/Essays_and_Criticism/Essay_Texts", "url": "http://www.math.nyu.edu/phd_students/campbelm/stuff/mywords/dante.html"} +{"d:Title": "Literature of the Fantastic", "d:Description": "Links to etexts by some of the earliest innovators of this genre.", "topic": "Top/Arts/Literature/Genres/Fantasy/Innovators_and_Originators", "url": "http://www.sff.net/people/doylemacdonald/lit.htm"} +{"d:Title": "Locus Online", "d:Description": "Reviews and news covering the science fiction, fantasy and horror publishing fields.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://www.locusmag.com/"} +{"d:Title": "Infinity Plus", "d:Description": "Short stories, novel excerpts and reviews.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://www.infinityplus.co.uk/"} +{"d:Title": "Fantasy&Science Fiction", "d:Description": "Stories as well as contests, news and reviews covering the genres of fantasy and science fiction.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://www.sfsite.com/fsf/"} +{"d:Title": "DargonZine", "d:Description": "Presents submitted medieval stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://www.dargonzine.org/"} +{"d:Title": "The Harrow", "d:Description": "Original stories, poetry and art of the fantasy and horror genres.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://www.theharrow.com/"} +{"d:Title": "Avalon", "d:Description": "Submitted fantasy stories.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://serenahind.tripod.com/fantasy2000Avalon/index.html"} +{"d:Title": "The FreeLook E-Zine", "d:Description": "Stories, trivia and reviews of science fiction and fantasy.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://www.freelookbookstore.com/E-Zine/index.html"} +{"d:Title": "The Leading Edge", "d:Description": "Offers science fiction and fantasy from Brigham Young University.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://www.leadingedgemagazine.com/"} +{"d:Title": "The Cafe Irreal: International Imagination", "d:Description": "Semi-annual publication that focuses on fantastic fiction.", "topic": "Top/Arts/Literature/Genres/Fantasy/Magazines_and_E-zines", "url": "http://cafeirreal.alicewhittenburg.com/"} +{"d:Title": "Larry Elmore", "d:Description": "Official website includes color and black and white galleries, an illustrated story, comments by Elmore on some of his works, an online store, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance", "url": "http://www.larryelmore.com/"} +{"d:Title": "Caldwell, Clyde", "d:Description": "Official site featuring the artist's work on the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance", "url": "http://www.clydecaldwell.com/"} +{"d:Title": "Alt.fan.dragonlance FAQ", "d:Description": "Includes information on the newsgroup and the series in general.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance", "url": "http://www.cs.uu.nl/wais/html/na-dir/books/dragonlance-faq.html"} +{"d:Title": "Dragonlance Bibliography", "d:Description": "A list of all that has been printed about the world of Krynn in official TSR and Wizards of the Coast publications.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance", "url": "http://www.wizards.com/default.asp?x=books/dl/bibliography"} +{"d:Title": "Dragonlance Movie FAQ Version 2.62", "d:Description": "FAQ of rumors about a Dragonlance Movie.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance", "url": "http://www.cs.uu.nl/wais/html/na-dir/movies/dragonlance-faq.html"} +{"d:Title": "Dragonlance Movie Site", "d:Description": "Unofficial forum for the discussion of news, rumours, gossip relating to movies based on the Dragonlance world.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance", "url": "http://www.dragonlance-movie.com/"} +{"d:Title": "Crook, Jeff", "d:Description": "The author's official website, showcasing his work on the series.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Authors", "url": "http://www.jeffcrook.20m.com/"} +{"d:Title": "The Chronicles of Astinus", "d:Description": "Features image galleries, profiles and life stories of various characters.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://www.angelfire.com/sk/Dragonlance/"} +{"d:Title": "Raistlin's Tower", "d:Description": "An inside look at the Tower of High Sorcery in Palanthas.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://www.angelfire.com/stars2/raistlinstower/"} +{"d:Title": "Picture Gallery", "d:Description": "Contains images, artwork and poetry from the novels.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://step.polymtl.ca/~coyote/dragonlance.html"} +{"d:Title": "The Flying Citadel", "d:Description": "Maps of Ansalon, character biographies, information on the different races, book list, pictures, animations, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://www.angelfire.com/ab3/Dragonlance/"} +{"d:Title": "Kitiara", "d:Description": "A fansite dedicated to Kitiara. Biography, web ring and awards for other Dragonlance sites, and a poll.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://www.angelfire.com/nj3/kit/main.html"} +{"d:Title": "Luna's Review", "d:Description": "Contains quotes from the novels, place and artifact descriptions, song lyrics, significant events in Krynn's history, and a list of inconsistencies and errors.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://www.lunasreview.com/"} +{"d:Title": "Dark Citadel", "d:Description": "Chat, pictures, book list, character biographies, information on gods and dragons, games, original stories, forum, music, FAQ, and links.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://dragonpkk.tripod.com/"} +{"d:Title": "Pig and Whistle Tavern", "d:Description": "Information on heroes, villains, and gods.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Pages", "url": "http://kalinburrfoot.tripod.com/"} +{"d:Title": "Gathering", "d:Description": "Downloadable magazine of short stories set in the world of Krynn. Includes submission guidelines for writers and artists.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Fan_Works", "url": "http://www.leafdigital.com/gathering/"} +{"d:Title": "Dark&Shattered Lands", "d:Description": "A Rom 2.4 MUD with a loosely based Dragonlance theme. It offers both hack and slash and roleplaying features, but roleplaying is required.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Online_Games", "url": "http://www.dsl-mud.org/"} +{"d:Title": "Shades of Glory", "d:Description": "Shades of Glory is a new realm in the world of Fantasy Muds where mythology, Arthurian legend, Highland beauty, and a splash of David Eddings 'Land of Eosia' all combine to bring you an imaginative, enchanting realm the likes of which you've never before seen.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Online_Games", "url": "http://www.angelfire.com/al/ShadesOfGlory/"} +{"d:Title": "ArcticMud", "d:Description": "Game overview, clans, links, support, maps, java client and news.", "topic": "Top/Arts/Literature/Genres/Fantasy/Series/Dragonlance/Online_Games", "url": "http://arcticmud.org/"} +{"d:Title": "Terri Windling's Endicott Studio for Mythic Arts", "d:Description": "Explores myths, folklore and fairy tales in contemporary mythic arts. Includes art, poetry, criticism by Brian Froud, Neil Gaiman, Charles de Lint, and others.", "topic": "Top/Arts/Literature/Genres/Fantasy/Urban_Fantasy", "url": "http://www.endicott-studio.com/"} +{"d:Title": "Requiem for the Devil", "d:Description": "A romantic urban fantasy about a love affair that threatens to end Lucifer's ten-billion-year career. Published by Time Warner Books.", "topic": "Top/Arts/Literature/Genres/Fantasy/Urban_Fantasy", "url": "http://www.jerismithready.com/"} +{"d:Title": "Borderland", "d:Description": "A little history of the book series and the real-life events.", "topic": "Top/Arts/Literature/Genres/Fantasy/Urban_Fantasy", "url": "http://www.borderzone.com/"} +{"d:Title": "The Magic Apostrophe site", "d:Description": "Jenny Sullivan, Welsh author of the Tanith and Gwydion trilogy, gives full details of her writings, current projects, news page and contact details.", "topic": "Top/Arts/Literature/Genres/Fantasy/Urban_Fantasy", "url": "http://www.robsullivan.clara.net/"} +{"d:Title": "Those 'Orrible Borribles!", "d:Description": "A shrine to Michael de Larrabeiti's Borribles trilogy.", "topic": "Top/Arts/Literature/Genres/Fantasy/Urban_Fantasy", "url": "http://jaeger_ayers.tripod.com/Borribles/borribles_main.htm"} +{"d:Title": "The Nautical Fiction List", "d:Description": "An exhaustive collection of novels about the sea, the majority of them historical. The database is searchable.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction", "url": "http://www.boat-links.com/books/nfl/nautfic-01.html"} +{"d:Title": "Prehistoric Fiction", "d:Description": "A site advocating the acceptance of a \"prehistory\" genre in which novels are set in prehistoric times or in which the principal characters are members of a prehistoric society.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction", "url": "http://www.trussel.com/f_prehis.htm"} +{"d:Title": "Of Ages Past", "d:Description": "On-line historical fiction magazine, book reviews, story feedback.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction", "url": "http://www.angelfire.com/il/ofagespast/index.html"} +{"d:Title": "Gallagher, Cynthia P.", "d:Description": "Author's site with information on upcoming events, book reviews, biography, contact information, and where to purchase her books.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://author40.tripod.com/"} +{"d:Title": "Stockwin, Julian", "d:Description": "Author's personal website, with biography, interviews, book reviews, photo album, events, and contact information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://www.julianstockwin.com/"} +{"d:Title": "Uys, Errol Lincoln", "d:Description": "Includes reviews and excerpts of the author's books, biography, interview, and contact information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://erroluys.com/"} +{"d:Title": "Shaara, Jeff and Michael", "d:Description": "Official site for this father's and son's series set during American wars. Biographies, booklist, order autographed copies, movie adaptations, links, news and events.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://jeffshaara.com/"} +{"d:Title": "Dobbie, Susan", "d:Description": "Author's homepage provides biographical information, a synopsis of her new novel, \"When Eagles Call,\" listing of upcoming events, and contact information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://www.wheneaglescall.com/"} +{"d:Title": "Kauffman, Gregory", "d:Description": "Author's personal website contains book review and an excerpt from \"Manuela Saenz,\" and his biographical and contact information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://www.gkauffman.com/"} +{"d:Title": "Nagle, P.G.", "d:Description": "Author's personal website contains bibliography, biographical and contact information, newsletter, appearances and events, and press releases.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://pgnagle.com/"} +{"d:Title": "Galloway, Kathy", "d:Description": "Author's personal website includes a book synopsis, biographical and contact information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://www.kathygalloway.com/"} +{"d:Title": "Wallin, Florence", "d:Description": "Author's personal website with sample pages and book reviews for \"According to Helen\" and biographical information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://main.nc.us/pinetreepress"} +{"d:Title": "Wilkinson, Lisa Marie", "d:Description": "Historical adventure-romance writer, with book excerpts and list of awards.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://www.lisamariewilkinson.com/"} +{"d:Title": "McCrumb, Sharyn", "d:Description": "Tarheel native and author of the Ballad Novels which feature historical fiction of the Appalachian Mountains. Includes biography, body of work, interview, list of awards, and tour schedule.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://www.sharynmccrumb.com/"} +{"d:Title": "Pearl, Matthew", "d:Description": "The author's official website includes biography, book excerpt, forum, and information on upcoming events, on his book club, and on signing up for newsletter.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors", "url": "http://www.matthewpearl.com/"} +{"d:Title": "January Magazine: Bruce Alexander", "d:Description": "Contains the Tim Nolan interview with the author.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Alexander,_Bruce", "url": "http://www.januarymagazine.com/profiles/bruce.html"} +{"d:Title": "Bruce Alexander", "d:Description": "Fan site officially sanctioned by the author. Contains author's biography, bibliography, and book preview.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Alexander,_Bruce", "url": "http://www.angelfire.com/ct/TORTUGA/fielding.html"} +{"d:Title": "AllReaders: Bruce Alexander", "d:Description": "Provides fan reviews and message board for discussion of the author and his works.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Alexander,_Bruce", "url": "http://www.allreaders.com/Topics/Topic_836.asp"} +{"d:Title": "AllReaders: Sidney Allinson", "d:Description": "Contains fan reviews, ratings, and message board for fans to discuss the author.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Allinson,_Sidney", "url": "http://www.allreaders.com/Topics/Topic_2756.asp"} +{"d:Title": "Thalion's Cave", "d:Description": "Includes a collection of fan fiction and fan art inspired by the author.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Auel,_Jean", "url": "http://www.angelfire.com/journal/thalion/"} +{"d:Title": "Jean Auel's Fan Club", "d:Description": "Provides message boards and chat for fans to discuss the author and her works.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Auel,_Jean", "url": "http://groups.yahoo.com/group/jeanauelsfanclub/"} +{"d:Title": "Queen of Stone Age sex", "d:Description": "Interview with Jean Auel, author of a popular saga about a Cro-Magnon woman. [The Age]", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Auel,_Jean", "url": "http://www.theage.com.au/articles/2002/06/10/1022982811478.html"} +{"d:Title": "ECfans.com", "d:Description": "Provides forum, chat, quiz, FAQs, and book information for Jean Auel.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Auel,_Jean", "url": "http://ecfans.com/"} +{"d:Title": "After 12 years, Auel revives Ayla", "d:Description": "Brief article about the fifth installment in the Earth's Children series. [USA Today]", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Auel,_Jean", "url": "http://www.usatoday.com/life/books/2001-06-14-jean-auel.htm"} +{"d:Title": "Maps of the Earth's Children Series", "d:Description": "Includes resources for the study of the Palaeolithic and maps of the journeys taken in the book series.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Auel,_Jean", "url": "http://donsmaps.com/indexmaps.html"} +{"d:Title": "Jean M. Auel", "d:Description": "Includes book list, biography, references and excerpt from \"The Clan of the Cave Bear\".", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Auel,_Jean", "url": "http://www.jeanauel.com/"} +{"d:Title": "Harper's Weekly Magazine: Irving Bacheller", "d:Description": "Provides the author's remarks made at Mark Twain's 70th birthday celebration on December 23, 1905.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Bacheller,_Irving", "url": "http://etext.lib.virginia.edu/railton/sc_as_mt/70birthday/harpers11.html"} +{"d:Title": "IMDb: Irving Bacheller", "d:Description": "Contains listing of filmography based upon the author's works.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Bacheller,_Irving", "url": "http://www.imdb.com/name/nm0045415/"} +{"d:Title": "Denver Post: Civil War Sights, Sounds Captured", "d:Description": "Jonathan Shipley reviews the author's book, \"The Year of Jubilo,\" for this newspaper.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Bahr,_Howard", "url": "http://extras.denverpost.com/books/jub0806.htm"} +{"d:Title": "Mississippi Writers Page: Howard Bahr", "d:Description": "Provides information about the author including biography, bibliography, and links to articles and interviews.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Bahr,_Howard", "url": "http://mwp.olemiss.edu/dir/bahr_howard/"} +{"d:Title": "MouthShut: Taylor Caldwell", "d:Description": "Includes a fan review of the author's book, \"On Captain and the Kings.\"", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Caldwell,_Taylor", "url": "http://www.mouthshut.com/readreview/21505-1.html"} +{"d:Title": "IMDb: Taylor Caldwell", "d:Description": "Contains the author's filmography.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Caldwell,_Taylor", "url": "http://www.imdb.com/name/nm0129797/"} +{"d:Title": "Allreaders: Bernard Cornwell", "d:Description": "Provides a detailed analysis of the plot, theme, setting and characters of his books.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Cornwell,_Bernard", "url": "http://www.allreaders.com/Topics/Topic_195.asp"} +{"d:Title": "Bernard Cornwell", "d:Description": "The author's official website.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Cornwell,_Bernard", "url": "http://www.bernardcornwell.net/"} +{"d:Title": "Gear-Gear.com", "d:Description": "Official website. Best known for their \"People\" series. Book excerpts, series, release dates, images and information on the authors' ranch, author images and biographies.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Gear,_Kathleen_O'Neal_and_W._Michael", "url": "http://www.gear-gear.com/"} +{"d:Title": "AllReaders: Kathleen O'Neal Gear and W. Michael Gear", "d:Description": "Contains fan reviews of books and a message board for the authors.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Gear,_Kathleen_O'Neal_and_W._Michael", "url": "http://www.allreaders.com/Topics/Topic_2177.asp"} +{"d:Title": "GaryJennings", "d:Description": "Author's website contains his biographical information, book synopsis, a book review that he wrote, and contact information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Jennings,_Gary", "url": "http://www.garyjennings.com/"} +{"d:Title": "Of Ages Past: Rosalie More", "d:Description": "Provides an interview with the author, her biography and bibliography.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/More,_Rosalie", "url": "http://www.angelfire.com/il/ofagespast/aotmdecember99.html"} +{"d:Title": "Sharon Kay Penman", "d:Description": "Author's personal website includes biography, book prologues, excerpts, and reviews, contact and tour information.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Penman,_Sharon_Kay", "url": "http://www.sharonkaypenman.com/"} +{"d:Title": "Britannia: Sharon Kay Penman", "d:Description": "Contains encyclopedic biography and bibliography.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Penman,_Sharon_Kay", "url": "http://www.britannia.com/books/spenman.html"} +{"d:Title": "AllReaders: Sharon Kay Penman", "d:Description": "Has fan reviews of the author's books and a message board for fans to discuss her works.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Penman,_Sharon_Kay", "url": "http://www.allreaders.com/Topics/Topic_854.asp"} +{"d:Title": "Rambles: Sharon Kay Penman", "d:Description": "Jenny Ivor reviews the author's book, \"Time and Chance,\" for this cultural arts magazine.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Penman,_Sharon_Kay", "url": "http://www.rambles.net/penman_time02.html"} +{"d:Title": "Sharon Kay Penman", "d:Description": "A fan site dedicated to the author that contains book reviews of the author's works.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Penman,_Sharon_Kay", "url": "http://members.tripod.com/~RKish/penman.html"} +{"d:Title": "Allreaders Mary Renault Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her best novels, and links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Renault,_Mary", "url": "http://www.allreaders.com/Topics/Topic_567.asp"} +{"d:Title": "Danny Reviews: Mary Renault", "d:Description": "Provides reviews of the author's novels, \"The Alexander Trilogy\" and \"The Charioteer.\"", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Renault,_Mary", "url": "http://dannyreviews.com/a/Mary_Renault.html"} +{"d:Title": "Claire-Marie Watson", "d:Description": "Includes audio readings from \"The Curewife\" author's biography, and upcoming publication and appearance dates.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Watson,_Claire-Marie", "url": "http://www.ancrum.force9.co.uk/"} +{"d:Title": "Yonder Days: Janice Woods Windle", "d:Description": "Provides JC Pinkerton's interview with the author regarding her life and works.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Windle,_Janice_Woods", "url": "http://yonderdays.bravepages.com/Articles/InterviewJaniceWindle.html"} +{"d:Title": "IMDb: Janice Woods Windle", "d:Description": "Contains information on the author's filmography.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Authors/Windle,_Janice_Woods", "url": "http://www.imdb.com/name/nm0934742/"} +{"d:Title": "Historical fiction reviews by Danny Yee", "d:Description": "Reviews of fifty historical novels and short story collections, set in periods from the prehistoric to the modern.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Reviews", "url": "http://dannyreviews.com/s/historical_fiction.html"} +{"d:Title": "Rambles: Historical Fiction", "d:Description": "Archived reviews from the e-zine Rambles.", "topic": "Top/Arts/Literature/Genres/Historical_Fiction/Reviews", "url": "http://www.rambles.net/fiction_his.html"} +{"d:Title": "Horror World", "d:Description": "Author news, message boards, and book reviews.", "topic": "Top/Arts/Literature/Genres/Horror", "url": "http://www.horrorworld.org/"} +{"d:Title": "Locus Online", "d:Description": "The online version of the leading news and review magazine of the science fiction, fantasy, and horror publishing fields.", "topic": "Top/Arts/Literature/Genres/Horror", "url": "http://www.locusmag.com/"} +{"d:Title": "Sweet Despise", "d:Description": "An exploration into the darker side of literature, including recommended reading, bibliographies and writing on: Michael Moorcock, Clark Ashton Smith, Angela Carter, Graham Masterton and others.", "topic": "Top/Arts/Literature/Genres/Horror", "url": "http://www.eclipse.co.uk/sweetdespise/"} +{"d:Title": "Ghosts and Scholars", "d:Description": "Website of the magazine devoted to the appreciation and study of Victorian ghost-stories--more precisely, fiction in the tradition of M. R. James.", "topic": "Top/Arts/Literature/Genres/Horror", "url": "http://www.users.globalnet.co.uk/~pardos/GS.html"} +{"d:Title": "The Fright Site", "d:Description": "Horror fiction ezine.", "topic": "Top/Arts/Literature/Genres/Horror", "url": "http://www.fright.com/"} +{"d:Title": "Necronomicon Press' Home Page", "d:Description": "Publishers of Mythos Stories", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://www.necropress.com/"} +{"d:Title": "The Necronomicon Anti-FAQ", "d:Description": "A scholarly collection of Frequently Asked Questions for the Necronomicon written by Abdul Alhazred.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://www.digital-brilliance.com/necron/necron.htm"} +{"d:Title": "Shub-Niggurath Search Engine", "d:Description": "An HP Lovecraft and Cthulhu Mythos search engine. Category browsing and full-text search.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://shub-niggurath.com/"} +{"d:Title": "The Pillow Book of James George White Russell", "d:Description": "Contains fiction, nonfiction, art, and an online journal. Includes scholarly essays about the life and fiction of HP Lovecraft, and Lovecraftian fiction.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://www.ans.com.au/~jgwr"} +{"d:Title": "Arkham Shadows", "d:Description": "Contains Lovecraftian fiction and links.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://members.tripod.com/~j2f/"} +{"d:Title": "Necronomicon Files", "d:Description": "Information on the Necronomicon.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://members.tripod.com/necronomiconfiles/"} +{"d:Title": "Original Art by A.S. Moore", "d:Description": "Original Cthulhu Mythos Art by Anita S. Moore", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://gatesofyith.freeservers.com/"} +{"d:Title": "OutsideLookingOut", "d:Description": "Cthulhu Mythos Writers' Workshop-open to all interested parties.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://groups.yahoo.com/group/OutsideLookingOut/"} +{"d:Title": "HP Lovecraft Resources", "d:Description": "An archive of all things Cthulhu. Links to websites, newsgroups, discussions and FTP downloads.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://www.hplovecraft.com/internet/"} +{"d:Title": "Delta-Green.com", "d:Description": "Variant of Cthulhu Mythos influenced by gaming materials.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos", "url": "http://www.delta-green.com/"} +{"d:Title": "Leah's Corner", "d:Description": "Featuring Cthulhu-oriented comic strips and crafts patterns.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos/Humor", "url": "http://www.angelfire.com/ks/leah1/index.html"} +{"d:Title": "Home Page for Evil", "d:Description": "Information on the Cthulhu For President campaign, limericks, jokes, and an archive of material from alt.sex.cthulhu.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos/Humor", "url": "http://www.cthulhu.org/"} +{"d:Title": "Yes, Virginia, There is a Cthulhu", "d:Description": "Parody by Steven Harris.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos/Humor", "url": "http://www.netfunny.com/rhf/jokes/97/Jan/cthulhu.html"} +{"d:Title": "Cthulhu Coffee", "d:Description": "A humorous website that has information about the Cthulhu Mythos and various convention parties the owners throw.", "topic": "Top/Arts/Literature/Genres/Horror/Cthulhu_Mythos/Humor", "url": "http://www.cthulhucoffee.com/"} +{"d:Title": "Dragon Soup", "d:Description": "Webzine of short horror, science fiction, and fantasy. Also includes reviews and features.", "topic": "Top/Arts/Literature/Genres/Horror/Magazines_and_E-zines", "url": "http://www.dragonsoup.com/"} +{"d:Title": "Maelstrom Speculative Fiction", "d:Description": "Horror, Fantasy, Science fiction stories from outer space to inner terror.", "topic": "Top/Arts/Literature/Genres/Horror/Magazines_and_E-zines", "url": "http://maelstromsf.tripod.com/"} +{"d:Title": "Cold Horror", "d:Description": "Web zine of scary science fiction and horrorific horror.", "topic": "Top/Arts/Literature/Genres/Horror/Magazines_and_E-zines", "url": "http://www.angelfire.com/tn/coldhorror/"} +{"d:Title": "HORROR GARAGE", "d:Description": "Horror Garage Magazine website. A punk influenced fusion of dark fiction, music and psychotronic vibe. Contributors include Alice Cooper, Dennis Etchison, H.G. Lewis, Julie Strain and Norman Partridge.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Horror/Magazines_and_E-zines", "url": "http://www.horrorgarage.com/"} +{"d:Title": "Dark Moon Rising", "d:Description": "On line horror magazine that includes Science Fiction, Fantasy, Artwork, and Non-Fiction.", "topic": "Top/Arts/Literature/Genres/Horror/Magazines_and_E-zines", "url": "http://www.darkmoonrising.com/"} +{"d:Title": "Dracula", "d:Description": "Online literature library featuring Bram Stoker's Dracula, chapter by chapter.", "topic": "Top/Arts/Literature/Genres/Horror/Vampires", "url": "http://www.literature.org/authors/stoker-bram/dracula/"} +{"d:Title": "Tales of Lore", "d:Description": "\"Unknown Wedding\" begins a passage in time lived only through vampires.", "topic": "Top/Arts/Literature/Genres/Horror/Vampires", "url": "http://members.tripod.com/~redboots/index.html"} +{"d:Title": "RavenBlade Productions", "d:Description": "Original artwork and writings by several authors. Also offers books for sale.", "topic": "Top/Arts/Literature/Genres/Horror/Vampires", "url": "http://ravenbladeinc.tripod.com/ravenblade.html"} +{"d:Title": "Mostly Fiction", "d:Description": "Recommended humorous books and reviews.", "topic": "Top/Arts/Literature/Genres/Humorous_Fiction", "url": "http://www.mostlyfiction.com/humor.htm"} +{"d:Title": "QuentinDodd.com", "d:Description": "Official homepage of the author.", "topic": "Top/Arts/Literature/Genres/Humorous_Fiction", "url": "http://www.quentindodd.com/"} +{"d:Title": "Humorous Fiction", "d:Description": "Reader's recommendations of Terry Pratchett, Douglas Adams, and other authors.", "topic": "Top/Arts/Literature/Genres/Humorous_Fiction", "url": "http://www.rumil.de/fun.html"} +{"d:Title": "What is Creative Nonfiction Writing?", "d:Description": "Article by author Barrie Jean Borich.", "topic": "Top/Arts/Literature/Genres/Literary_Nonfiction", "url": "http://barriejeanborich.com/what-is-creative-nonfiction/"} +{"d:Title": "Kessler, Lauren", "d:Description": "Founder of Etude and author of numerous books including Clever Girl, Full Court Press, and The Happy Bottom Riding Club. Includes profile, excerpts, and reviews.", "topic": "Top/Arts/Literature/Genres/Literary_Nonfiction/Authors", "url": "http://www.laurenkessler.com/"} +{"d:Title": "Morano, Michele", "d:Description": "Profile, schedule, and excerpts from Grammar Lessons: Translating a Life in Spain.", "topic": "Top/Arts/Literature/Genres/Literary_Nonfiction/Authors", "url": "http://www.michelemorano.com/"} +{"d:Title": "Borich, Barrie Jean", "d:Description": "Author of My Lesbian Husband and Restoring the Color of Roses. Includes excerpts and reviews, articles on the genre.", "topic": "Top/Arts/Literature/Genres/Literary_Nonfiction/Authors", "url": "http://barriejeanborich.com/"} +{"d:Title": "Creative Nonfiction", "d:Description": "Journal founded by Lee Gutkind. Includes definition of the genre, sample current and previously published essays, subscription information, and education and mentoring programs.", "topic": "Top/Arts/Literature/Genres/Literary_Nonfiction/Journals", "url": "http://www.creativenonfiction.org/"} +{"d:Title": "Fourth Genre: Explorations in Nonfiction", "d:Description": "Biannual publication from the Michigan State University Press. Includes tables of contents of all issues, submission guidelines, and subscription information.", "topic": "Top/Arts/Literature/Genres/Literary_Nonfiction/Journals", "url": "http://msupress.org/journals/fg/"} +{"d:Title": "Tangled Web UK", "d:Description": "Authors, books, reviews, Crime Scene, discussion and news.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.twbooks.co.uk/"} +{"d:Title": "Mysteries In Print", "d:Description": "An on-going listing of new and upcoming mystery books and books on tape. Books and audio tapes can be purchased online.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.bogies.net/mip/mip.html"} +{"d:Title": "MysteryNet.com", "d:Description": "Online mystery network offering mystery entertainment and information.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.mysterynet.com/"} +{"d:Title": "Stop, You're Killing Me!", "d:Description": "Lists authors and series characters, indexed by last name, location and occupation.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.stopyourekillingme.com/"} +{"d:Title": "Mystery Ink", "d:Description": "Information about mystery novels and authors. Includes book reviews.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.mysteryinkonline.com/"} +{"d:Title": "Golden Age Mysteries", "d:Description": "Message board for fans of mysteries in the classic tradition, including those written by Ellery Queen, Dorothy L. Sayers, John Dickson Carr, and Agatha Christie.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.jdcarr.com/forum/"} +{"d:Title": "MystNoir", "d:Description": "Information about African American mystery writers and characters. Reviews and news are also featured.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://mystnoir0.tripod.com/MystNoirDir/"} +{"d:Title": "Detectives on Stamps", "d:Description": "Nicaragua issued a series of postage stamps portraying a dozen fictional detectives.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.trussel.com/detfic/nicarag.htm"} +{"d:Title": "Holidays Can Be Murder!", "d:Description": "List of holiday-theme murder mysteries and their authors, categorized by holiday.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.angelfire.com/ct2/mystery/murderhome.html"} +{"d:Title": "Mormons in Mystery Novels", "d:Description": "Mormon and Utah-based fictional detectives, and the mystery novels in which they appear.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.adherents.com/lit/mys_lds.html"} +{"d:Title": "AllReaders", "d:Description": "Search engine for novels, with specific search elements, including plot, theme, characters, and setting.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.allreaders.com/bookSearcha.asp?SubjectID=2"} +{"d:Title": "Looking for a Mystery?", "d:Description": "A guide to both the history of the mystery novel and to locating modern mysteries by topic and style.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.sldirectory.com/mystery.html"} +{"d:Title": "Wikipedia: Crime Fiction", "d:Description": "Article covering the history of the genre, its categories, use in film and criticism.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://en.wikipedia.org/wiki/Crime_fiction"} +{"d:Title": "Mystery Books With Lesbian Characters", "d:Description": "Showcases mysteries in which the main character is a lesbian. Browse by author or by character. For each author, a link to official site if possible, profile of the character, bibliography. Notes Lambda-winning authors.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://mbwlc.tripod.com/"} +{"d:Title": "Booked", "d:Description": "An interactive online book club where crime fiction lovers can have discussions in a forum, download podcasts, view webisodes and find out more about the accompanying TV show.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.booked.tv/"} +{"d:Title": "A Guide to Classic Mystery and Detection", "d:Description": "History, trends, schools of writing, and an alphabetical list of mystery authors.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://mikegrost.com/classics.htm"} +{"d:Title": "The Early Whodunit", "d:Description": "Traces the development of the early whodunit in both mystery and conventional literature of the late 18th and early 19th centuries.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://mikegrost.com/sensatio.htm"} +{"d:Title": "Clerical Detectives", "d:Description": "Introductions to over 180 clerical detectives, including detecting clergy, ex-clergy, monks, nuns and several others.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://www.detecs.org/"} +{"d:Title": "Tea and Mystery", "d:Description": "Features books, reviews, children's mysteries, and links. Feature author is Agatha Christie.", "topic": "Top/Arts/Literature/Genres/Mystery", "url": "http://teamystery.tripod.com/"} +{"d:Title": "Reed, Mary and Mayer, Eric", "d:Description": "Contains information about fiction, personal essays on a variety of topics and an interactive mystery game.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors", "url": "http://home.epix.net/~maywrite"} +{"d:Title": "Classic Crime Fiction", "d:Description": "Bibliographies, list of cover artists, and biographies of select authors.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors", "url": "http://www.classiccrimefiction.com/"} +{"d:Title": "The Murder Squad", "d:Description": "Virtual collective of seven crime writers from the North of England: John Baker, Ann Cleeves, Martin Edwards, Chaz Brenchley, Margaret Murphy, Cath Staincliffe and Stuart Pawson. Photos, profiles, links and event details are presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors", "url": "http://www.murdersquad.co.uk/"} +{"d:Title": "The Deadly Divas", "d:Description": "Group of four mystery authors: Letha Albright, Lisa Kleinholz, Denise Swanson and Marcia Talley. Includes information about their books and upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors", "url": "http://www.thedeadlydivas.com/"} +{"d:Title": "Tart City", "d:Description": "Archived site by Sparkle Hayter, Katy Munger and Lauren Henderson. Includes information on other female authors who write crime fiction with a sassy, humorous attitude.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors", "url": "http://www.laurenhenderson.net/tartcity/"} +{"d:Title": "Andrews, Donna", "d:Description": "Author of the Meg Langslow series. Includes author biography, excerpts and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://donnaandrews.com/"} +{"d:Title": "Abbott, Jeff", "d:Description": "Writes the Jordan Poteet series. Includes a biography, bibliography, reviews, reading group guides and writing advice.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.jeffabbott.com/"} +{"d:Title": "Albert, Susan Wittig", "d:Description": "Author of the China Bayles and Kathryn Ardleigh series. Includes biography, bibliography, herblore, and writing advice.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.mysterypartners.com/"} +{"d:Title": "Ayres, Noreen", "d:Description": "Author of the Smokey Brandon series. Includes brief biography, bibliography, and excerpts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.noreenayres.com/"} +{"d:Title": "Andreae, Christine", "d:Description": "Writes the Lee Squires mystery series. Includes reviews, bibliography, and a biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.christineandreae.com/"} +{"d:Title": "Abresch, Peter E.", "d:Description": "Author of the Elderhostel mysteries. Includes reviews, author news, and reader comments.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.elderhostelmysteries.com/"} +{"d:Title": "Ascher, Joani", "d:Description": "Features excerpts, biography, and schedule of appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.joaniascher.com/"} +{"d:Title": "Ablow, Keith", "d:Description": "Forensic psychiatrist and author of thrillers. Includes biography, clips of television appearances, news, and excerpts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.keithablow.com/"} +{"d:Title": "Alexander, Tasha", "d:Description": "Includes reviews, excerpt, biography, and information about the art and antiquities that appear in the novel And Only to Deceive.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.tashaalexander.com/"} +{"d:Title": "Anderson, Beth", "d:Description": "Book excerpts, reviews, and sales, writing tips, interviews, and author's weblog.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.bethanderson-hotclue.com/"} +{"d:Title": "Allen, Richard", "d:Description": "Author of Bristol-based crime novels. Includes a biography of the author and details of his latest novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://www.richard-allen-author.com/"} +{"d:Title": "Apodaca, Jennifer", "d:Description": "Creator of the Samantha Shaw series. Contains biography, bibliography, and blog.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/A", "url": "http://jenniferlyonbooks.com/jennifer-apodaca/"} +{"d:Title": "Lorraine Bartlett", "d:Description": "Alter egos Lorna Barrett and L.L. Bartlett. Includes information about her writing and biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.lorrainebartlett.com/"} +{"d:Title": "Blanc, Nero", "d:Description": "Author of the mystery series that includes crosswords in every book. Includes a biography, information about the books, and a listing of events.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.crosswordmysteries.com/"} +{"d:Title": "Barnes, Linda", "d:Description": "Creator of the Carlotta Carlyle series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.lindabarnes.com/"} +{"d:Title": "Bayer, William", "d:Description": "Information about the author (aka David Hunt) and his novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.williambayer.com/"} +{"d:Title": "Brightwell, Emily", "d:Description": "Official site of the author of the Mrs. Jeffries mystery series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.emilybrightwell.com/"} +{"d:Title": "Brown, Molly", "d:Description": "Includes information about the author, her books and a virtual tour of Restoration London.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.okima.com/"} +{"d:Title": "Browning, Sinclair", "d:Description": "Author of the Trade Ellis southwestern mystery series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.sinclairbrowning.com/"} +{"d:Title": "Burke, Jan", "d:Description": "Author of the Irene Kelly series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.janburke.com/"} +{"d:Title": "Beaton, M.C.", "d:Description": "Analysis of the plot, setting, characters, theme, and structure of the author's works, and links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.allreaders.com/Topics/Topic_418.asp"} +{"d:Title": "Bardin, John Franklin", "d:Description": "This site chronicles the work of John Franklin Bardin and includes a complete bibliography and synopses as well as a lot of unique supporting materials.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.johnfranklinbardin.com/"} +{"d:Title": "Borg, Todd", "d:Description": "Todd Borg is the author of the new Owen McKenna mystery thrillers.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://toddborg.com/"} +{"d:Title": "Blauner, Peter", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his best novels, and links to other novels with similar writing styles. From Allreaders.com.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.allreaders.com/Topics/Topic_726.asp"} +{"d:Title": "Bartlett, Joan", "d:Description": "Author of the Nice Skates series of malice domestic mysteries set in an ice skating arena. Features a brief profile of the author and description of the novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.niceskates.com/"} +{"d:Title": "Billheimer, John", "d:Description": "Author of Owen Allison series. Biography, book reviews and descriptions, and tour dates are featured.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.angelfire.com/ab6/ab664/"} +{"d:Title": "Bailey, Frankie Y.", "d:Description": "Criminal justice professor and author. Novel synopses and excerpts, biography, character profiles, newsletter and bulletin board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.frankieybailey.com/"} +{"d:Title": "Burcell, Robin", "d:Description": "Author and police officer. Includes excerpts and a biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.robinburcell.com/"} +{"d:Title": "Brant, Lucinda", "d:Description": "Writer of historical mysteries and historical romances set in Georgian (1760s) England. Biography, gallery of photos, and excerpts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.lucindabrant.com/"} +{"d:Title": "Barrett, Dean", "d:Description": "Author of several mystery and detective novels. Features descriptions, excerpts and reviews of the books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.deanbarrettmystery.com/"} +{"d:Title": "Bell, James Scott", "d:Description": "Writes contemporary thrillers and historical suspense novels. Biography, synopses, and tips for writers are included.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.jamesscottbell.com/"} +{"d:Title": "Barr, Nevada", "d:Description": "Author of the series featuring Anna Pigeon, a park ranger. Biography, information about her books, and mailing list are included.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.nevadabarr.com/"} +{"d:Title": "Benoit, Charles", "d:Description": "Personal page of the author of Relative Danger. Includes short stories, freelance articles and cartoons.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.charlesbenoit.com/"} +{"d:Title": "Brogan, Jan", "d:Description": "Freelance journalist and author. Features a biography, list of events, and a contest.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.janbrogan.com/"} +{"d:Title": "Black, J. Carson", "d:Description": "Biography, excerpt of Darkness on the Edge of Town, and photos.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.jcarsonblack.com/"} +{"d:Title": "Brogan, Mike", "d:Description": "Author's official website includes biography, reviews, and purchasing information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.mikebroganbooks.com/"} +{"d:Title": "Bishop, Maggie", "d:Description": "Writes of adventures and diversions in the North Carolina Appalachians. Biography, reviews and excerpts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://maggiebishop1.tripod.com/"} +{"d:Title": "Banfelder, Robert J.", "d:Description": "Crime thriller author of several novels. He also has written handbooks on fishing and hunting. Contains biography, synopses and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://www.robertbanfelder.com/"} +{"d:Title": "Bale, Tom", "d:Description": "UK crime writer. Contains biography, news and blog.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://tombale.net/"} +{"d:Title": "Baker, John", "d:Description": "Author of the Sam Turner series of novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B", "url": "http://johnbakersblog.co.uk/info/"} +{"d:Title": "The Charlotte Austin Review: Laura Belgrave", "d:Description": "Interview, biography, and review of \"In the Spirit of Murder\".", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Belgrave,_Laura", "url": "http://epe.lac-bac.gc.ca/003/008/099/003008-disclaimer.html?orig=/100/202/300/charlotte/2000/07-31/pages/interviews/authors/laurabelgrave.htm"} +{"d:Title": "Laurien Berenson", "d:Description": "Author of mystery series involving Melanie Travis and a variety of dogs. Information about the books, the author, public appearances and dog training tips.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Berenson,_Laurien", "url": "http://www.laurienberenson.com/"} +{"d:Title": "The Charlotte Austin Review: Laurien Berenson", "d:Description": "Interview with the author.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Berenson,_Laurien", "url": "http://epe.lac-bac.gc.ca/003/008/099/003008-disclaimer.html?orig=/100/202/300/charlotte/2000/07-31/pages/interviews/authors/laurienberenson.htm"} +{"d:Title": "Lawrence Block", "d:Description": "Biography, bibliography, news, articles, and information about the books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Block,_Lawrence", "url": "http://www.twbooks.co.uk/authors/lblock.html"} +{"d:Title": "Talking Mystery With Lawrence Block", "d:Description": "Brief interview with the author.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Block,_Lawrence", "url": "http://www.writerswrite.com/journal/oct99/block.htm"} +{"d:Title": "Ex Libris Archives: Lawrence Block", "d:Description": "Information about Block's books. Includes reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Block,_Lawrence", "url": "http://www.wjduquette.com/authors/lblock.html"} +{"d:Title": "Allreaders Lawrence Block Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Block,_Lawrence", "url": "http://www.allreaders.com/Topics/Topic_252.asp"} +{"d:Title": "Printed Matter", "d:Description": "Interview with columnist Elisabeth Sherwin during the author's 1997 book tour for The Burglar in the Library.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Block,_Lawrence", "url": "http://www.dcn.davis.ca.us/go/gizmo/1997/bernie.html"} +{"d:Title": "Allreaders Lilian Jackson Braun Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Braun,_Lilian_Jackson", "url": "http://www.allreaders.com/Topics/Topic_160.asp"} +{"d:Title": "Rita Mae Brown Fan Club", "d:Description": "Online discussion of Rita Mae's mysteries and other novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Brown,_Rita_Mae", "url": "http://groups.yahoo.com/group/ritamaebrownfanclub/"} +{"d:Title": "Rubyfruit Jungle", "d:Description": "Information on Rita Mae Brown's debut novel.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Brown,_Rita_Mae", "url": "http://medhum.med.nyu.edu/view/334"} +{"d:Title": "Tangled Web", "d:Description": "Author profile, bibliography, and story lines.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/B/Burke,_James_Lee", "url": "http://www.twbooks.co.uk/authors/jlburke.html"} +{"d:Title": "Craft, Michael", "d:Description": "Official site of the author of the Michael Manning series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.michaelcraft.com/"} +{"d:Title": "Crais, Robert", "d:Description": "Official site for information on author's work, awards and tours. Elvis Cole mystery novels are highlighted and excerpted.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.robertcrais.com/"} +{"d:Title": "Cannell, Stephen J.", "d:Description": "Bestelling mystery author and emmy-award winning producer and screenwriter of over 40 television shows.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.cannell.com/"} +{"d:Title": "Cannon, Taffy", "d:Description": "Author of the Nan Robinson mystery series. Includes a biography, interview and list of upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.taffycannon.com/"} +{"d:Title": "Christmas, Joyce", "d:Description": "Author of the Betty Trenka series and the Lady Margaret Priam series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.writerswrite.com/authors/joycechristmas/"} +{"d:Title": "Collins, Max Allan", "d:Description": "A collection of book covers.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.angelfire.com/nc/macgallery/"} +{"d:Title": "Connelly, Michael", "d:Description": "Official site containing the entire book collection of Harry Bosch novels, as well as The Poet and Blood Work. Filled with excerpts, information, interviews, photos, and a message board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.michaelconnelly.com/"} +{"d:Title": "Caris, John", "d:Description": "Presents an online mystery novel involving a championship chess match and computer-hacking at the Federal Reserve Bank. Includes information for ordering the paperback version.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://westgatehouse.com/reality.html"} +{"d:Title": "Craig, Philip R.", "d:Description": "Official site from the author of the J.W. Jackson series. Book descriptions, schedule of appearances, biography, and recipes are provided.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.philiprcraig.com/"} +{"d:Title": "Carr, John Dickson", "d:Description": "Fan site featuring book synopses, links, and message board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.jdcarr.com/"} +{"d:Title": "Cook, Alan", "d:Description": "Features book descriptions and reviews, excerpts, and information about the author's interests.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://alancook.50megs.com/"} +{"d:Title": "Corcoran, Tom", "d:Description": "Includes information about the Alex Rutledge series, book covers, biography, and appearance schedule.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.tomcorcoran.net/"} +{"d:Title": "Coleman, Reed Farrel", "d:Description": "Writes the Dylan Klein and Moe Prager series. Biography, synopses, trivia, and photos are featured.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.reedcoleman.com/"} +{"d:Title": "Campbell, Chester D.", "d:Description": "Home of the series featuring retired OSI Agent Greg McKenzie. Includes information on the author and his books, reviews, interviews, list of appearances, and photos.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.chesterdcampbell.com/"} +{"d:Title": "Coalson, Les", "d:Description": "Brief biography, list of appearances, and contact details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.lescoalson.com/"} +{"d:Title": "Cornelius, Mirika Mayo", "d:Description": "Features a brief biography and information about her work.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.mirikacornelius.com/"} +{"d:Title": "Camacho, Austin S.", "d:Description": "Author's own site which features excerpts, reviews, news, list of upcoming events, and character (Hannibal Jones) profile.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.ascamacho.com/"} +{"d:Title": "Cobb, L.B.", "d:Description": "Author of legal thrillers. Features synopses, reviews, excerpts, list of appearances, and interview.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.lbcobb.com/"} +{"d:Title": "Carlson, P.M.", "d:Description": "Photos, biography, and information about the Deputy Marty Hopkins series and the Bridget Mooney short historical mysteries.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.pmcarlson.net/"} +{"d:Title": "Chadwick, DA", "d:Description": "Author of the Harry Dolan series. Includes information about the novels and links.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://dachadwick.homestead.com/index.html"} +{"d:Title": "Cameron, Dana", "d:Description": "Writes the Emma Fielding series of archaeological mysteries. Synopses, gallery of photos, profile, and calendar of events are presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.danacameron.com/"} +{"d:Title": "Cutler, Judith", "d:Description": "The crime novels of Birmingham UK author Judith Cutler featuring Sophie Rivers and Kate Power", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.judithcutler.com/"} +{"d:Title": "Crum, Laura", "d:Description": "Author of series involving equine veterinarian Gail McCarthy.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C", "url": "http://www.lauracrum.com/"} +{"d:Title": "45 Calibrations of Raymond Chandler", "d:Description": "From the Fall 1997 issue of Conjunctions, 45 interesting facts about Chandler.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Chandler,_Raymond", "url": "http://www.conjunctions.com/archives/c29-ps.htm"} +{"d:Title": "Allreaders.com Raymond Chandler Club", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Raymond Chandler scholar.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Chandler,_Raymond", "url": "http://www.allreaders.com/Topics/Topic_110.asp"} +{"d:Title": "thrillingdetective.com: Raymond Chandler", "d:Description": "Features detailed bibliography and filmography, with a particular focus on the Philip Marlowe character.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Chandler,_Raymond", "url": "http://www.thrillingdetective.com/trivia/chandler.html"} +{"d:Title": "Raymond Chandler and his Followers", "d:Description": "A critical essay on the writing of Chandler and the authors he influenced.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Chandler,_Raymond", "url": "http://mikegrost.com/chandler.htm"} +{"d:Title": "Long, frank and forlorn goodbye", "d:Description": "A review by Robert McCrum of \"The Raymond Chandler Papers: Selected Letters and Non-Fiction\", from The Observer.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Chandler,_Raymond", "url": "http://www.theguardian.com/books/2000/dec/03/biography.raymondchandler"} +{"d:Title": "Raymond Chandler Filmography", "d:Description": "Internet Movie Database lists film adaptations of Chandler's work and Chandler's work as a scriptwriter.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Chandler,_Raymond", "url": "http://www.imdb.com/name/nm0151452/"} +{"d:Title": "The case for Raymond Chandler", "d:Description": "Apprecation by Allen Barra, from Salon.com.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Chandler,_Raymond", "url": "http://www.salon.com/2002/07/31/chandler/"} +{"d:Title": "Delicious Death", "d:Description": "Complete bibliography, organized by date and character, with synopses and cover art.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://www.deliciousdeath.com/"} +{"d:Title": "The World of Agatha Christie", "d:Description": "In French, German, Spanish, and English.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://www.angelfire.com/fl/christianx/page14.html"} +{"d:Title": "Bartleby.com: Agatha Christie", "d:Description": "Brief biography, some quotations, and an online version of \"The Mysterious Affair at Styles.\"", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://www.bartleby.com/people/ChristieA.html"} +{"d:Title": "Agatha Christie Topics", "d:Description": "Analysis of the plot, setting, characters, theme, and structure of her novels, and links to similar works by other novelists.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://www.allreaders.com/Topic.asp?TopicID=114"} +{"d:Title": "Literature Network: Agatha Christie", "d:Description": "Extensive biography and a searchable collection of works.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://www.online-literature.com/agatha_christie/"} +{"d:Title": "Agatha Christie: The Torre Connection", "d:Description": "Author's biography with a focus on her connection with the All Saints Torre Torbay church in Torquay, UK.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://www.sagart.force9.co.uk/agatha.html"} +{"d:Title": "Free Online Library: Christie, Agatha", "d:Description": "Biography, photograph, and an e-text (The Mysterious Affair at Styles).", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://christie.thefreelibrary.com/"} +{"d:Title": "Agatha Christie Mystery Novels: Agatha Christie", "d:Description": "Biography, photos, quotes, reviews, and plot summaries. Includes reviews of novels by other authors as well.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://www.topmystery.com/agatha.htm"} +{"d:Title": "A Guide to Classic Mystery and Detection: Agatha Christie", "d:Description": "Features a collection of essays, a booklist, and television and film information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Christie,_Agatha", "url": "http://mikegrost.com/chris1.htm"} +{"d:Title": "Harlan Coben", "d:Description": "Official site of the author of the Myron Bolitar series and a number of stand alone novels. Includes a biography, excerpts and reviews, tour details, interviews, and gallery of photos.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Coben,_Harlan", "url": "http://www.harlancoben.com/"} +{"d:Title": "Coggins, Mark", "d:Description": "Includes a jacket blurb from Tony Hillerman, the full text of the first chapter, illustrations from all the chapters, author biography, and excerpts from other work.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/C/Coggins,_Mark", "url": "http://www.markcoggins.com/"} +{"d:Title": "Damron, Carla", "d:Description": "Features information about the author and her work.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://carladamron.50megs.com/"} +{"d:Title": "Dixon, Louisa", "d:Description": "Author of suspense novels of police and political procedure set in Mississippi.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.louisadixon.com/"} +{"d:Title": "Dawson, Janet", "d:Description": "Author of the Jeri Howard series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.janetdawson.com/"} +{"d:Title": "Dalen, Eric", "d:Description": "Author's official site featuring excerpts from novels and short stories.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.ericdalen.com/"} +{"d:Title": "Donaldson, Don", "d:Description": "Author of forensic mysteries and medical thrillers, including Do No Harm and In The Blood.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.dondonaldson.com/"} +{"d:Title": "De Noux, O'Neil", "d:Description": "New Orleans based mystery writer who has published five novels, a true crime book and a short story collection.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://members.tripod.com/~DeNoux/TheBigShow.html"} +{"d:Title": "Dearl, Elizabeth", "d:Description": "Author of Diamondback, a mystery set in West Texas rattlesnake country.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.angelfire.com/tx3/elizabethdearl/"} +{"d:Title": "Duckro, Rebecca S.", "d:Description": "Includes an author biography, information about published works and works in progress, and contact details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.duckro.com/"} +{"d:Title": "Dreher, Sarah", "d:Description": "Author of the Stoner McTavish lesbian amateur detective series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.angelfire.com/sd/stonermom/index.html"} +{"d:Title": "Davis, Patrick A.", "d:Description": "Writes military suspense fiction. Features novel synopses and reviews, brief biography, and message board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.patrickadavis.com/"} +{"d:Title": "Doolittle, Sean", "d:Description": "Photo album, interview, and information about his novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.seandoolittle.com/"} +{"d:Title": "Dekker, Ted", "d:Description": "Includes a message from the writer, synopses, and book covers.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.teddekker.com/"} +{"d:Title": "D'Amato, Barbara", "d:Description": "Writes two series, one starring freelance reporter Cat Marsala and one starring Chicago patrol cops Suze Figueroa and Norm Bennis. Synopses and excerpts, list of short stories, and event details are featured.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.barbaradamato.com/"} +{"d:Title": "DeFrangesco, Ralph M.", "d:Description": "Biographical sketch, bibliography, and press kit.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://angelfire.com/journal2/rdefrangesco"} +{"d:Title": "Dudley, Karen", "d:Description": "Author of the Robyn Devara series. Features biography, book covers and synopses, list of upcoming events, and information about awards and nominations.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.karendudley.com/"} +{"d:Title": "Durham, Laura", "d:Description": "Author of the Annabelle Archer series. Includes a biography, list of appearances, and 'confessions of a wedding planner'.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.lauradurham.com/"} +{"d:Title": "Dereske, Jo", "d:Description": "Writes the Miss Zukas series, the Ruby Crane mysteries and several books for young people. Includes biography, articles, bibliography, and newsletter.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.jodereske.com/"} +{"d:Title": "Duncan, Christine", "d:Description": "Author of the Kaye Berreano mystery series set in a Colorado battered women's shelter.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.christineduncan.com/"} +{"d:Title": "DeDakis, John", "d:Description": "A leader of writing workshops and author of two adventure novels, Bluff and Fast Track, featuring Lark Chadwick.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D", "url": "http://www.johndedakis.com/"} +{"d:Title": "Allreaders: Diane Mott Davidson", "d:Description": "Detailed analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/Davidson,_Diane_Mott", "url": "http://www.allreaders.com/Topics/Topic_166.asp"} +{"d:Title": "Diane Mott Davidson", "d:Description": "Includes profile of the author, and mailing list.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/Davidson,_Diane_Mott", "url": "http://www.penguinrandomhouse.com/authors/6475/diane-mott-davidson/"} +{"d:Title": "Financial Thrillers by Linda Davies", "d:Description": "Description of the career of the former New York and London banker and her thrillers set in the worlds of international finance and espionage.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/Davies,_Linda", "url": "http://projects.exeter.ac.uk/RDavies/arian/linda.html"} +{"d:Title": "Yahoo Groups: Marcus Didius Falco", "d:Description": "An online discussion group for fans. [Registration required.]", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/Davis,_Lindsey", "url": "http://groups.yahoo.com/group/Marcus_Didius_Falco/"} +{"d:Title": "Wikipedia: Lindsey Davis", "d:Description": "A biography and bibliography of the British historical novelist from the online collaborative encyclopedia, with a page on her chief fictional character, Marcus Didius Falco, and links to related historical characters.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/Davis,_Lindsey", "url": "http://en.wikipedia.org/wiki/Lindsey_Davis"} +{"d:Title": "Lindsey Davis", "d:Description": "Author of the Marcus Didius Falco series which takes place in Ancient Rome. Includes personal messages from the author, sound files and biographies of the author and her main character.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/Davis,_Lindsey", "url": "http://www.lindseydavis.co.uk/"} +{"d:Title": "Allreaders Nelson DeMille Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a DeMille scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/DeMille,_Nelson", "url": "http://www.allreaders.com/Topics/Topic_183.asp"} +{"d:Title": "Nelson DeMille", "d:Description": "Official author website. Includes biography, photos, bibliography, and contact information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/D/DeMille,_Nelson", "url": "http://www.nelsondemille.net/"} +{"d:Title": "Estleman, Loren D.", "d:Description": "Author specializing in both the mystery and historical western genres. Includes a biography, excerpts and information about the novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E", "url": "http://www.lorenestleman.com/"} +{"d:Title": "Ellis, Robert", "d:Description": "Contains information about the novels, photographs, excerpts, a mailing list, and interviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E", "url": "http://www.robertellis.net/"} +{"d:Title": "Evans, Mary Anna", "d:Description": "Information about her novel, Artifacts, schedule of appearances, and brief biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E", "url": "http://www.maryannaevans.com/"} +{"d:Title": "Ellis, Kate", "d:Description": "Official site of the author of novels that mix mystery and history. Includes biography, synopses and reviews, audio interviews, and list of events.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E", "url": "http://www.kateellis.co.uk/"} +{"d:Title": "Erickson, Pam", "d:Description": "Author of The Memory Quilt, a fictional account of the catastrophic 1918 Cloquet (MN) Fire and its aftermath as seen through the eyes of a 14-year old girl. Includes brief bio and ordering details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E", "url": "http://www.towerwebsites.com/websites/index.php?username=pamelajerickson"} +{"d:Title": "James Ellroy's Books", "d:Description": "Summaries of Ellroy's novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Ellroy,_James", "url": "http://www.twbooks.co.uk/authors/jellroy.html"} +{"d:Title": "Allreaders James Ellroy Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his best books, and links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Ellroy,_James", "url": "http://www.allreaders.com/Topics/Topic_972.asp"} +{"d:Title": "Janet Evanovich", "d:Description": "Official site of the author of the Stephanie Plum series.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://www.evanovich.com/"} +{"d:Title": "Romwell.com-Janet Evanovich", "d:Description": "Site has a short biography of Janet, with book synopsis.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://www.romwell.com/books/best/Evanovich.htm"} +{"d:Title": "The Janet Evanovich Web Ring Home Page", "d:Description": "If you have a site dedicated to mystery writer, Janet Evanovich, join this web ring.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://pandora304.tripod.com/evanovichring.html"} +{"d:Title": "The Box: Janet Evanovich", "d:Description": "Dedicated to the author's Stephanie Plum series. Includes character information and links. Also features similar author Chris Rogers.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://pandora304.tripod.com/evanovich/index.htm"} +{"d:Title": "A Conversation With Janet Evanovich", "d:Description": "Interview With Janet Evanovich -- The Internet Writing Journal(R)", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://www.writerswrite.com/journal/jan99/evanovch.htm"} +{"d:Title": "Allreaders Janet Evanovich Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of her books, plus links to similar books. Sign up to be an Evanovich scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://www.allreaders.com/Topics/Topic_121.asp"} +{"d:Title": "Stephanie Plum", "d:Description": "Brief biography of the character and list of novels in which she was featured.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://www.thrillingdetective.com/plum.html"} +{"d:Title": "Janet Evanovich Mailing List", "d:Description": "This is a mailing list for fans of author Janet Evanovich. A fun place to chat about her books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet", "url": "http://groups.yahoo.com/group/evanovich/"} +{"d:Title": "Four to Score", "d:Description": "Detailed critique by Mark Levine, previously published in Mostly Murder Magazine.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet/Reviews", "url": "http://www.angelfire.com/tx/bookster/FOUR.html"} +{"d:Title": "Tangled Web UK Review - Janet Evanovich", "d:Description": "Featuring UK editions and links to reviews by Val McDermid. Site gives thorough synopsis of all of Evanovich's novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/E/Evanovich,_Janet/Reviews", "url": "http://www.twbooks.co.uk/authors/janetevanovich.html"} +{"d:Title": "Fairstein, Linda", "d:Description": "Author of the Alex Cooper mysteries. Features author interviews, biography, tour dates and book information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F", "url": "http://www.lindafairstein.com/"} +{"d:Title": "Frommer, Sara Hoskinson", "d:Description": "Author of the Joan Spencer mystery series. Information about her books, reviews, biography, and schedule of appearances are presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F", "url": "http://www.sff.net/people/SaraHoskinsonFrommer"} +{"d:Title": "Flinn, Elaine", "d:Description": "Features information about her Molly Doyle series and a message forum.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F", "url": "http://www.elaineflinn.com/"} +{"d:Title": "Joy Fielding", "d:Description": "Official site. Includes a letter from the author, biography, news, and information about her books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Fielding,_Joy", "url": "http://www.joyfielding.com/"} +{"d:Title": "Interview of Joy Fielding", "d:Description": "1995 author interview from the Over My Dead Body mystery magazine.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Fielding,_Joy", "url": "http://www.overmydeadbody.com/fielding.htm"} +{"d:Title": "January Magazine Interview", "d:Description": "Linda L. Richards' October 2001 interview.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Fielding,_Joy", "url": "http://www.januarymagazine.com/profiles/fielding.html"} +{"d:Title": "Allreaders Colin Forbes Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Colin Forbes scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Forbes,_Colin", "url": "http://www.allreaders.com/Topics/Topic_334.asp"} +{"d:Title": "Allreaders Earlene Fowler Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of her books, plus links to similar books. Sign up to be an Earlene Fowler scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Fowler,_Earlene", "url": "http://www.allreaders.com/Topics/Topic_827.asp"} +{"d:Title": "Earlene Fowler", "d:Description": "Author of the Benni Harper series. Includes biography, listing of upcoming signings and information about the books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Fowler,_Earlene", "url": "http://www.earlenefowler.com/"} +{"d:Title": "Dick Francis Overview and Reviews", "d:Description": "Discussion of Francis' work along with plots and reviews of his mystery novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Francis,_Dick", "url": "http://wejosephson.home.mindspring.com/dfrancis.htm"} +{"d:Title": "Allreaders Dick Francis Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Dick Francis scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Francis,_Dick", "url": "http://www.allreaders.com/Topics/Topic_123.asp"} +{"d:Title": "Dert Cert on Dick Francis", "d:Description": "Online discussion forum.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Francis,_Dick", "url": "http://groups.yahoo.com/group/deadcertondickfrancis/"} +{"d:Title": "Friedman, Kinky", "d:Description": "Author and musician. Biography, bibliography, discography, list of tour dates, and gallery of photos.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Friedman,_Kinky", "url": "http://www.kinkyfriedman.com/"} +{"d:Title": "Utopia Animal Rescue Ranch", "d:Description": "All-breed dog rescue operation co-founded by the author. Includes photos and descriptions of dogs available for adoption.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Friedman,_Kinky", "url": "http://www.utopiarescue.com/"} +{"d:Title": "ThrillingDetective.com - Kinky Friedman", "d:Description": "Fan page on the Kinkster from Kevin Burton Smith.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Friedman,_Kinky", "url": "http://www.thrillingdetective.com/kinky.html"} +{"d:Title": "Fulmer, David", "d:Description": "Author of the award-winning novel \"Chasing the Devil's Tail\" (2001), \"Jass\" (2005), and \"Rampart Street\" (2006).", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/F/Fulmer,_David", "url": "http://www.davidfulmer.com/"} +{"d:Title": "Gellis, Roberta", "d:Description": "Introduction to the author and to her shift to historical mystery. Includes biography, bibliography, photographs, cover copy and excerpts from current and future books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://www.robertagellis.com/"} +{"d:Title": "Gallagher, Stephen", "d:Description": "Novelist and screenwriter specializing in contemporary suspense.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://www.stephengallagher.com/"} +{"d:Title": "Geason, Susan", "d:Description": "Author of the Syd Fish mystery series. Includes a biography, news and information about her novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://www.susangeason.com/"} +{"d:Title": "Girard, Danielle", "d:Description": "Features information about the author, upcoming novel, appearances and links.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://www.daniellegirard.com/"} +{"d:Title": "Goldman, Joel", "d:Description": "Attorney and author of crime fiction. News, biography, sample chapters, and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://www.joelgoldman.com/"} +{"d:Title": "Girdner, Jaqueline", "d:Description": "Creator of the mystery series featuring Kate Jasper, an amateur sleuth who practices her dectective skills in California.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://www.maadwomen.com/jakigirdner/"} +{"d:Title": "Goddard, Ken", "d:Description": "Official site with comments from the author, biography, synopses and excerpts, pictures, and an interview.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://www.kengoddardbooks.com/"} +{"d:Title": "Gray, T.L.", "d:Description": "Information about the author and her book.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://home.insightbb.com/~tlgray31/"} +{"d:Title": "Groundwater, Beth", "d:Description": "Mystery author based in Colorado. Provides synopses of her published works and plans for future novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G", "url": "http://bethgroundwater.com/"} +{"d:Title": "Erle Stanley Gardner Page", "d:Description": "Fan site with bibliography and list of Perry Mason television episodes.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Gardner,_Erle_Stanley", "url": "http://www.grooviespad.com/esg/"} +{"d:Title": "Allreaders Lisa Gardner Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Gardner,_Lisa", "url": "http://www.allreaders.com/Topics/Topic_657.asp"} +{"d:Title": "Lisa Gardner", "d:Description": "Official site of mystery suspense author. Features mailing list, biography, and bibliography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Gardner,_Lisa", "url": "http://www.lisagardner.com/"} +{"d:Title": "Elizabeth George", "d:Description": "Official author site complete with novels, faq, interviews, reviews, settings, message board and recent news.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/George,_Elizabeth", "url": "http://elizabethgeorgeonline.com/"} +{"d:Title": "Allreaders Elizabeth George Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of her books, plus links to similar books. Sign up to be an Elizabeth George scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/George,_Elizabeth", "url": "http://www.allreaders.com/Topics/Topic_695.asp"} +{"d:Title": "Allreaders Leonard Goldberg Spotlight", "d:Description": "Analysis of the setting, plot, theme, and characters of his books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Goldberg,_Leonard", "url": "http://www.allreaders.com/Topics/Topic_1005.asp"} +{"d:Title": "Sue Grafton", "d:Description": "Official site produced by Grafton's publisher.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Grafton,_Sue", "url": "http://www.suegrafton.com/"} +{"d:Title": "Allreaders.com: Sue Grafton Topics", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Grafton,_Sue", "url": "http://www.allreaders.com/Topics/Topic_124.asp"} +{"d:Title": "G is for Grafton", "d:Description": "January Magazine's profile of the author, including anecdotes and a brief biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Grafton,_Sue", "url": "http://www.januarymagazine.com/grafton.html"} +{"d:Title": "A Conversation With Sue Grafton", "d:Description": "The Internet Writing Journal's interview of the author at the time \"O is for Outlaw\" was released.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Grafton,_Sue", "url": "http://www.writerswrite.com/journal/oct99/grafton.htm"} +{"d:Title": "Martha Grimes", "d:Description": "Features tour schedule, introductory chapter of the latest mystery, chat and author's journal.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Grimes,_Martha", "url": "http://www.marthagrimes.com/"} +{"d:Title": "Allreaders.com: Martha Grimes", "d:Description": "Reviews and message board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/G/Grimes,_Martha", "url": "http://allreaders.com/books/martha-grimes-231"} +{"d:Title": "Harris, Raina Wissing", "d:Description": "Author of The Captain's Fund, a romance mystery novel. Includes author photo, schedule of events, and information about the book cover.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.thecaptainsfund.com/"} +{"d:Title": "Hooper, Kay", "d:Description": "News, brief reviews, biography, and book reviews and synopses.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.kayhooper.com/"} +{"d:Title": "Hall, Parnell", "d:Description": "Description of the series featuring The Puzzle Lady.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://parnellhall.com/"} +{"d:Title": "Henry, April", "d:Description": "Official site featuring appearance information, biography, contact information, contests, essays and writing tips. Author of \"Circles of Confusion\", \"Square in the Face\", and \"Heart-Shaped Box\".", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.aprilhenrymysteries.com/"} +{"d:Title": "Herman, Julie Wray", "d:Description": "Author of the Three Dirty Women landscaping mystery series. Features book excerpts, list of upcoming appearances, and biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.mysterygarden.com/"} +{"d:Title": "Harris, Ramon", "d:Description": "Author profile and information about his books, with excerpts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://rharris11.tripod.com/"} +{"d:Title": "Hollingshead, M.J.", "d:Description": "Reviews of several books from Allreaders.com.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.allreaders.com/Topics/Topic_959.asp"} +{"d:Title": "Hunter, Stephen", "d:Description": "Author of the Bob Lee Swagger (Bob the Nailer) trilogy of mystery-thriller novels. Features a biography, news and details of upcoming events, information about the books, and links to interviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.stephenhunter.net/"} +{"d:Title": "Haines, Carolyn", "d:Description": "Author of the series featuring Sarah Booth Delaney, southern belle. Includes a biography, synopses, and news.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.carolynhaines.com/"} +{"d:Title": "Hanson, Howard P.", "d:Description": "Writes the series set on the campus of Fr\u00e9mont State University in Durango, Colorado. Brief biography, synopses and excerpts are available.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.hphanson.com/fiction/"} +{"d:Title": "Harper, Brian", "d:Description": "Author's own site with information about his books and answers to questions from readers. Includes a link to the site for his alter ego/alias (Michael Prescott).", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://brianharper.freeservers.com/"} +{"d:Title": "Hoffman, Henry", "d:Description": "Biography and information about his books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.henryhoffman.net/"} +{"d:Title": "Hardwick, Phil", "d:Description": "Author of a series of mystery novellas set in Mississippi featuring private eye Jack Boulder. Brief biography, covers, and links.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.philhardwick.com/"} +{"d:Title": "Hayes, J.M.", "d:Description": "Includes information about the thrillers the author writes, news, and photos.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.jmhayes-author.com/"} +{"d:Title": "Hamilton, Steve", "d:Description": "Author of the Alex McNight series. Features news, scrapbook, synopses and reviews, and links to related content.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.authorstevehamilton.com/"} +{"d:Title": "Henry, Angela", "d:Description": "Includes a novel synopsis and excerpt, author profile, and interview.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.angelahenry.com/"} +{"d:Title": "Harvey, Keith", "d:Description": "Writes the Dutch Vogel series. A biography and information about the books are included.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://brittoninternational.com/"} +{"d:Title": "Haus, Illona", "d:Description": "Writes the Blue Mercy series featuring Detective Kay Delaney. Includes reviews, excerpts, and information about Baltimore.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.illonahaus.com/"} +{"d:Title": "Hawksley, Humphrey", "d:Description": "BBC world affairs foreign correspondent and author. Includes information about the author and his novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H", "url": "http://www.humphreyhawksley.com/"} +{"d:Title": "Dashiell Hammett", "d:Description": "Bibliography and detailed description of all his works.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H/Hammett,_Dashiell", "url": "http://mikegrost.com/hammett.htm"} +{"d:Title": "Harris, Charlaine", "d:Description": "Official site features a biography, bibliography, and interview.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H/Harris,_Charlaine", "url": "http://www.charlaineharris.com/"} +{"d:Title": "Wikipedia: Charlaine Harris", "d:Description": "Encyclopedia article with bibliography and biographical information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H/Harris,_Charlaine", "url": "http://en.wikipedia.org/wiki/Charlaine_Harris"} +{"d:Title": "Internet Speculative Fiction Database: Charlaine Harris", "d:Description": "Bibliography of the author's works.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/H/Harris,_Charlaine", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?Charlaine_Harris"} +{"d:Title": "Isenberg, Jane", "d:Description": "Information about her mysteries in print and in preparation, writings on teaching, schedule of readings, signings and conferences.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/I", "url": "http://www.janeisenberg.com/"} +{"d:Title": "Jecks, Michael", "d:Description": "Official site of the British author who specializes in medieval murder mysteries. Listing (with descriptions) of his novels, a biography, and event details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/J", "url": "http://www.michaeljecks.co.uk/"} +{"d:Title": "Jance, J.A.", "d:Description": "Official site includes a publicity photograph, biography, schedule of appearances, newsletter, and comments about her own novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/J", "url": "http://www.jajance.com/"} +{"d:Title": "Jardine, Quintin", "d:Description": "Scottish crime writer's official site. Features a biography, journal, information about the novels, list of events, photos, and mailing list.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/J", "url": "http://www.quintinjardine.com/"} +{"d:Title": "Iris Johansen", "d:Description": "Author's personal site. Includes a list of recurring characters (organized by book), a personal message, and news.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/J/Johansen,_Iris", "url": "http://www.irisjohansen.com/"} +{"d:Title": "Kane, Stephanie", "d:Description": "Author of Blind Spot, a new legal thriller from Bantam Books. Reviews, excerpts, and character profiles.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://www.writerkane.com/"} +{"d:Title": "Krentz, Jayne Ann", "d:Description": "Analysis of the plot, setting, characters, theme, and structure of several books. Includes links to other novels with similar writing styles.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://www.allreaders.com/Topics/Topic_989.asp"} +{"d:Title": "Kavanagh, Brian", "d:Description": "Author of the Belinda Lawrence cozy series. Includes reviews and a biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://beekayvic.tripod.com/"} +{"d:Title": "Kernick, Simon", "d:Description": "Author of London-based crime thrillers. Biographical information, as well as details from his novels are presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://www.simonkernick.com/"} +{"d:Title": "Klavan, Andrew", "d:Description": "Writes thrillers, many of which have been turned into Hollywood movies. Reviews, excerpts, biography, and book signing schedule are included.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://www.andrewklavan.com/"} +{"d:Title": "Konrath, J.A.", "d:Description": "Author of the series featuring Lt. Jack Daniels. Calendar of events, pictures, character descriptions, and writing tips are presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://www.jakonrath.com/"} +{"d:Title": "Kerley, Jack", "d:Description": "Reviews of The Hundredth Man, list of events, FAQ, and mailing list.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://www.jackkerley.com/"} +{"d:Title": "Kelly, Jane", "d:Description": "Author of novels with a humorous twist, set in New Jersey shore towns. Features information about the author, her books, and the settings she writes about.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K", "url": "http://www.janekellymystery.homestead.com/jk.html"} +{"d:Title": "Allreaders Stuart Kaminsky Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kaminsky,_Stuart", "url": "http://www.allreaders.com/Topics/Topic_227.asp"} +{"d:Title": "Murder Is His Business", "d:Description": "Lengthy interview by January Magazine. Includes links to other reviews and essays.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kaminsky,_Stuart", "url": "http://www.januarymagazine.com/profiles/kaminsky.html"} +{"d:Title": "The City I Can't Forget", "d:Description": "Author's essay on Chicago. Includes background on some of his stories.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kaminsky,_Stuart", "url": "http://www.mysteryreaders.org/Issues/Chicago.html#Kaminsky"} +{"d:Title": "A Cold But Tasty Dish", "d:Description": "Review of the book \"Vengeance\" by Kevin Burton Smith of January Magazine.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kaminsky,_Stuart", "url": "http://www.januarymagazine.com/crfiction/vengeance.html"} +{"d:Title": "Stuart Kaminsky", "d:Description": "Offers filmography, biography, and listing of novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kaminsky,_Stuart", "url": "http://www.imdb.com/name/nm0436757/"} +{"d:Title": "Alex Kava", "d:Description": "Official site of the author of the series featuring FBI Profiler Maggie O'Dell. Biography, journal, interviews, news articles, book reviews and excerpts, mailing list, and list of upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kava,_Alex", "url": "http://www.alexkava.com/"} +{"d:Title": "NPR : The Case of the Horrifying Mystery Writer", "d:Description": "Harry Stephen Keeler wrote stupefyingly bad mystery novels in the first half of the 20th century. Now he's something of a literary cult figure. Hear 'Keeler-head' Paul Collins and NPR's Scott Simon. [8:39 streaming audio broadcast.]", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Keeler,_Harry_Stephen", "url": "http://www.npr.org/templates/story/story.php?storyId=3805070"} +{"d:Title": "Allreaders.com: Jonathan Kellerman Club", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kellerman,_Jonathan", "url": "http://www.allreaders.com/Topics/Topic_167.asp"} +{"d:Title": "Crimetime: Jonathan Kellerman Interview", "d:Description": "Interview with the author conducted after the release of \"Monster\". Includes insights into various recurring characters as well as a discussion of books outside of the Alex Delaware series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kellerman,_Jonathan", "url": "http://www.crimetime.co.uk/interviews/jonathankellerman.php"} +{"d:Title": "Bookreporter.com: Jonathan Kellerman", "d:Description": "Author interview about his book \"Dr. Death\". Includes photo and brief biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/Kellerman,_Jonathan", "url": "http://www.bookreporter.com/authors/jonathan-kellerman"} +{"d:Title": "Laurie R. King", "d:Description": "Official page for the author, with biography, book summaries and reviews, and tour dates.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/King,_Laurie_R.", "url": "http://www.laurierking.com/"} +{"d:Title": "Ex Libris Archives: Laurie R. King", "d:Description": "Overview of her two series, and directory of reviews arranged by title.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/King,_Laurie_R.", "url": "http://www.wjduquette.com/authors/lrking.html"} +{"d:Title": "RUSS-L", "d:Description": "Egroups mailing list for fans of Laurie R. King's Mary Russell mystery series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/King,_Laurie_R.", "url": "http://groups.yahoo.com/group/RUSS-L/"} +{"d:Title": "Mary Russell", "d:Description": "Mailing list for discussion about the main character from Laurie R. King's Holmes pastiche.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/K/King,_Laurie_R.", "url": "http://groups.yahoo.com/group/maryrussell/"} +{"d:Title": "Lavene, Joyce and Jim", "d:Description": "Authors of the Sheriff Sharyn Howard mysteries. Book excerpts and profile of the authors.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.sharynhowardmysteries.com/"} +{"d:Title": "Law, Janice", "d:Description": "Author of short stories and novels, including the Anna Peters series. Includes information about some of the books and links to other mystery related sites.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.janicelaw.com/"} +{"d:Title": "Lewis, Stephen", "d:Description": "Author of the Catherine Williams series and the Seymour Lipp series. Book descriptions, poems, and links for writers are included.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.stephenlewisonline.net/"} +{"d:Title": "Lutterman, Brian", "d:Description": "Features list of upcoming events, author interview, and an excerpt of the Bound to Die novel.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.brianlutterman.com/"} +{"d:Title": "Labriola, Jerry", "d:Description": "Author of the Dr. David Brooks medical mystery series. Novel excerpt and brief biography are included.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.jerrylabriola.com/"} +{"d:Title": "Lescroart, John", "d:Description": "Official site. Biography, excerpts, and FAQs are presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.johnlescroart.com/"} +{"d:Title": "Lardo, Vincent", "d:Description": "Author of the McNally series and the Hampton mysteries. Includes biography and synopses.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.vincentlardo.com/"} +{"d:Title": "Lovesey, Peter", "d:Description": "UK crime author and screenwriter, also writing as Peter Lear. Include biography, details of published books, and lists of awards, short stories and Television, radio and film work.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.peterlovesey.com/"} +{"d:Title": "Littlewood, Ann", "d:Description": "Author of mystery novels centered on a zookeeper in the Pacific Northwest. Author profile, published books, appearances, and a blog.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://annlittlewood.com/"} +{"d:Title": "LaPierre, Janet", "d:Description": "Author of the Meg Halloran mysteries.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.interbridge.com/jlp/"} +{"d:Title": "Lourey, Jess", "d:Description": "Author of humorous Murder-by-Month series featuring a recent college graduate from the city who finds part-time work in a sleepy rural town. Questions and answers, books published, events.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://jessicalourey.com/"} +{"d:Title": "Lippman, Laura", "d:Description": "Website for award-winning mystery author Laura Lippman, author of the Tess Monaghan books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L", "url": "http://www.lauralippman.net/"} +{"d:Title": "Academic Mysteries", "d:Description": "Author discusses \"academic\" mysteries.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L/Langton,_Jane", "url": "http://www.murderonthemenu.com/mystery/Academic.html#Langton"} +{"d:Title": "Interview: Dennis Lehane", "d:Description": "Bibliography, book summaries, and interview with the author.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L/Lehane,_Dennis", "url": "http://www.januarymagazine.com/profiles/lehane.html"} +{"d:Title": "Dennis Lehane", "d:Description": "Online reading group and mailing list for fans of the author.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L/Lehane,_Dennis", "url": "http://groups.yahoo.com/group/Dennis_Lehane/"} +{"d:Title": "Allreaders Dennis Lehane Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his books, plus links to similar books. Sign up to be a Dennis Lehane scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L/Lehane,_Dennis", "url": "http://www.allreaders.com/Topics/Topic_218.asp"} +{"d:Title": "Allreaders: Donna Leon", "d:Description": "Features an analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L/Leon,_Donna", "url": "http://www.allreaders.com/Topics/Topic_215.asp"} +{"d:Title": "Donna Leon", "d:Description": "Brief biography, bibliography, book covers and descriptions, and links to reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/L/Leon,_Donna", "url": "http://www.twbooks.co.uk/authors/donnaleon.html"} +{"d:Title": "McMahan-Grimes, Terris", "d:Description": "Author of the Theresa Galloway mystery series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://vme.net/dvm/sister-sleuth/"} +{"d:Title": "Marks, Jeffrey", "d:Description": "Editor of Canine Crimes, Canine Christmas, and Mayhem and Magnolias.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.jeffreymarks.com/"} +{"d:Title": "Massey, Sujata", "d:Description": "Author of the mystery series featuring amateur sleuth Rei Shimura. Links to author biography, public appearances, book information and Japanese recipes.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.interbridge.com/sujata/"} +{"d:Title": "Murray, Donna Huston", "d:Description": "Author of the Main Line series. Includes reviews, information about the author, books and appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.donnahustonmurray.com/"} +{"d:Title": "McDowall, Iain", "d:Description": "Official site of the British crime writer. Includes information about his books, excerpts, author profile, and list of upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.crowby.co.uk/"} +{"d:Title": "Mathews, Francine", "d:Description": "Francine Mathews is the author of espionage novels and Nantucket mysteries and writes a Jane Austen mystery series under the name of Stephanie Barron", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.francinemathews.com/"} +{"d:Title": "Meltzer, Brad", "d:Description": "Author of The Tenth Justice, Dead Even, and The First Counsel. Biography, tour dates, excerpts, and a message board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.bradmeltzer.com/"} +{"d:Title": "Mann, Jessica", "d:Description": "British writer of crime, mystery and suspense novels. Biography, list of books and other published work, and news.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.jessicamann.net/"} +{"d:Title": "McBride, Susan", "d:Description": "Information about the author's debut novel and her path to publication.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.susanmcbride.com/"} +{"d:Title": "Mayor, Archer", "d:Description": "Author of the series featuring Brattleboro, Vermont policeman Joe Gunther. Biography, book reviews and excerpts, FAQs, and details of upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.archermayor.com/"} +{"d:Title": "Misak, John", "d:Description": "Features a biography, book synopses and excerpts, schedule of signings, and online story continuation of the novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.johnmisak.net/"} +{"d:Title": "Mickey, Linda", "d:Description": "Author of the Kyle Shannon series featuring a female amateur sleuth. News, excerpts, and event details are included.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.lindamickey.com/"} +{"d:Title": "Margolin, Phillip", "d:Description": "Author's site, with biography, synopses, and message board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.phillipmargolin.com/"} +{"d:Title": "Martini, Steve", "d:Description": "Writes courtroom thrillers. Biography, bibliography, reviews, news, and FAQs are presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.stevemartini.com/"} +{"d:Title": "Marston, Edward", "d:Description": "Author of crime novels set in four distinct periods of history. Information about the books is presented.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.edwardmarston.com/"} +{"d:Title": "Martinez, Michele", "d:Description": "Official site of the author of Most Wanted and the Melanie Vargas suspense/thriller series. Includes a biography, excerpts, character profiles, and a mailing list.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.michelemartinez.com/"} +{"d:Title": "Morris, R. C.", "d:Description": "Excerpt of Don't Make the Blackbirds Cry, biography, and gallery of photos.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.raycmorris.com/"} +{"d:Title": "McFadden, Joseph T.", "d:Description": "Official site of the noted neurosurgeon who now pens medical mystery thrillers.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.anguspublishing.com/"} +{"d:Title": "Minichino, Camille", "d:Description": "The official site of author Camille Minichino has published eight novels in the periodic table mystery series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.minichino.com/"} +{"d:Title": "Myers, Tamar", "d:Description": "The official site of Tamar Myers, the award-winning author who has penned over two dozen mysteries.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.tamarmyers.com/"} +{"d:Title": "Murphy, Shirley Rousseau", "d:Description": "Author of mysteries told through the eyes of cats, Joe Grey and Dulcie.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.sylviaengdahl.com/joegrey/"} +{"d:Title": "McGarrity, Michael", "d:Description": "Author of the Kevin Kerney series. Includes information about the books, personal appearances schedule and links.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://michaelmcgarrity.com/"} +{"d:Title": "Mathes, Charles", "d:Description": "Features reviews and information about the author and his novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.valuethoughts.com/mystery-writer-charles-mathes/"} +{"d:Title": "Murphy, Margaret", "d:Description": "Author of several novels that are concerned with the psychology of both the violent criminal and the victims of crime. Includes biography, photos, calendar of events, book synopses, excerpts, and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M", "url": "http://www.margaret-murphy.co.uk/"} +{"d:Title": "John D. MacDonald: A Laurent Auguste Page", "d:Description": "Bibliography, publishing history, general biography, reviews, and quotes.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/MacDonald,_John_D.", "url": "http://johndmacdonald.4t.com/"} +{"d:Title": "Allreaders John MacDonald Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/MacDonald,_John_D.", "url": "http://www.allreaders.com/Topics/Topic_230.asp"} +{"d:Title": "Inspector-Wallander.org", "d:Description": "Devoted to the author's detective series. Includes synopses, timeline, and character information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Mankell,_Henning", "url": "http://www.inspector-wallander.org/"} +{"d:Title": "Henning Mankell", "d:Description": "Features information about the author and his novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Mankell,_Henning", "url": "https://www.penguin.co.uk/authors/henning-mankell/1000347/"} +{"d:Title": "Dame Ngaio Marsh's Home", "d:Description": "Biography, bibliography, and images of her New Zealand home, which is open to visitors.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Marsh,_Ngaio", "url": "http://www.ngaio-marsh.org.nz/"} +{"d:Title": "Ngaio Marsh", "d:Description": "Biography, bibliography, photograph and summaries of several books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Marsh,_Ngaio", "url": "http://www.twbooks.co.uk/authors/nmarsh.html"} +{"d:Title": "Ex Libris Archives: Ngaio Marsh", "d:Description": "Overview of her work, and directory of reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Marsh,_Ngaio", "url": "http://www.wjduquette.com/authors/nmarsh.html"} +{"d:Title": "Ngaio Marsh", "d:Description": "Biography citing her works, and consideration of the \"Intuitionist\" school, from A Guide to Classic Mystery and Detection.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Marsh,_Ngaio", "url": "http://mikegrost.com/ngmarsh.htm#Marsh"} +{"d:Title": "Tangled Web: Peter May", "d:Description": "Profile of the author, with background information and links to reviews of his books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/May,_Peter", "url": "http://www.twbooks.co.uk/authors/petermay.html"} +{"d:Title": "Peter May's Media Room", "d:Description": "Website for print and media journalists with information about author Peter May. Includes story and interview material with high-quality downloadable photographs.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/May,_Peter", "url": "http://www.petermay.info/"} +{"d:Title": "Peter May's", "d:Description": "Personal site, with news, biographical details, and information about his novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/May,_Peter", "url": "http://www.petermay.co.uk/"} +{"d:Title": "Enzo Macleod's Whiteboard", "d:Description": "Peter May's site for his series of books, \"The Enzo Files\", with background details of the character and synopses of his cases.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/May,_Peter", "url": "http://www.enzomacleod.com/"} +{"d:Title": "Peter May, Live", "d:Description": "Online journal with latest news direct from author Peter May.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/May,_Peter", "url": "http://petermaylive.blogspot.com/"} +{"d:Title": "Peter May", "d:Description": "Excerpts from the author's books and their reviews, with biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/May,_Peter", "url": "http://peter.may.pagesperso-orange.fr/peter.may/"} +{"d:Title": "Val McDermid", "d:Description": "Official site features information about the author and her books, news, photos, reviews, interviews, and message forum.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/McDermid,_Val", "url": "http://www.valmcdermid.com/"} +{"d:Title": "Tangled Web UK: Val McDermid", "d:Description": "Bibliography, synopses, and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/McDermid,_Val", "url": "http://www.twbooks.co.uk/authors/valmcdermid.html"} +{"d:Title": "BBC Desert Island Discs: Val McDermid", "d:Description": "Crime writer Val McDermid is interviewed by Kirsty Young.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/McDermid,_Val", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/f9acfaf9#b036tqpl"} +{"d:Title": "Gregory Mcdonald", "d:Description": "Official site offering reviews and excerpts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Mcdonald,_Gregory", "url": "http://gregorymcdonald.com/"} +{"d:Title": "The Stone House", "d:Description": "Tribute site to the author which includes news, biography, bibliography, book reviews, and critical essays on the stories featuring Dame Beatrice Adela Lestrange Bradley.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Mitchell,_Gladys", "url": "http://www.gladysmitchell.com/"} +{"d:Title": "Jerry Jazz Musician: Bill Moody", "d:Description": "The author discusses his careers as writer and musician.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Moody,_Bill", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=moody.html"} +{"d:Title": "Marcia Muller", "d:Description": "Official site includes photo, brief biography, quotes from book reviews, two interviews, descriptions of most of her books. From Muller's publisher, Time-Warner Books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Muller,_Marcia", "url": "http://www.marciamuller.com/"} +{"d:Title": "Warren Murphy", "d:Description": "Author's personal site featuring quotes, biography and information about the author and his books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Murphy,_Warren", "url": "http://www.warrenmurphy.com/"} +{"d:Title": "Works of Warren Murphy", "d:Description": "Lists the books written by Warren Murphy", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Murphy,_Warren", "url": "http://www.sinanju.freeuk.com/bythe.htm#Warren B. Murphy..."} +{"d:Title": "The Destroyer Fan Pages", "d:Description": "Site dedicated to the long running Destroyer series created by Warren Murphy and Richard sapir.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/M/Murphy,_Warren", "url": "http://www.sinanju.net/"} +{"d:Title": "Nikas, Ekaterine", "d:Description": "Browse excerpts of this mystery author's work and read reviews, biography, news, and upcoming signings.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/N", "url": "http://home.earthlink.net/~ktnikas/"} +{"d:Title": "Needham, Jake", "d:Description": "Contains a biography, synopses of Needham's novels, and his latest Letter from Bangkok to readers.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/N", "url": "http://www.jakeneedham.com/"} +{"d:Title": "O'Neil DeNoux", "d:Description": "Site for crime-fiction author O'Neil DeNoux.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O", "url": "http://denoux.tripod.com/"} +{"d:Title": "Olsen, Eric B.", "d:Description": "Official web site for mystery author Eric B. Olsen and his Steve Raymond, D.D.S. mystery series; read excerpts from his latest novel online", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O", "url": "http://members.tripod.com/~eric.b.olsen/"} +{"d:Title": "O'Shaughnessy, Perri", "d:Description": "Author(s) of the Nina Reilly legal mysteries.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O", "url": "http://www.perrio.com/"} +{"d:Title": "Olson, Karen E.", "d:Description": "Information about the author of Sacred Cows, which features police reporter Annie Seymour.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O", "url": "http://www.kareneolson.com/"} +{"d:Title": "Olsen, Jimmy", "d:Description": "Minnesota author of novels and short stories. Books, photo gallery, blog, how to arrange an appearance.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O", "url": "http://www.jimmyolsen.net/"} +{"d:Title": "Free Online Library: E. Phillips Oppenheim", "d:Description": "Brief biography and several e-texts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O/Oppenheim,_E._Phillips", "url": "http://oppenheim.thefreelibrary.com/"} +{"d:Title": "The Kingom of the Blind", "d:Description": "HTML version of the book from the Online Literature Library.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O/Oppenheim,_E._Phillips/Works", "url": "http://www.literature.org/authors/oppenheim-e-phillips/the-kingdom-of-the-blind/index.html"} +{"d:Title": "The Illustrious Prince", "d:Description": "HTML version of the book from the Online Literature Library.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O/Oppenheim,_E._Phillips/Works", "url": "http://www.literature.org/authors/oppenheim-e-phillips/the-illustrious-prince/"} +{"d:Title": "The Malefactor", "d:Description": "HTML version of the book from the Online Literature Library.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/O/Oppenheim,_E._Phillips/Works", "url": "http://www.literature.org/authors/oppenheim-e-phillips/the-malefactor/"} +{"d:Title": "Paretsky, Sara", "d:Description": "Author of the series featuring Chicago-based private investigator V.I. Warshawski. Includes tour dates, an interview and a discussion group.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.saraparetsky.com/"} +{"d:Title": "Parrish, P.J.", "d:Description": "Official site for the author of Dark of the Moon and the Louis Kincaid detective series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.pjparrish.com/"} +{"d:Title": "T. Jefferson Parker", "d:Description": "Official web site for bestselling author T. Jefferson Parker.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.tjeffersonparker.com/"} +{"d:Title": "Potter, Patricia", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her best novels, and links to other novels with similar writing styles. From Allreaders.com.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.allreaders.com/Topics/Topic_770.asp"} +{"d:Title": "Phelan, Twist", "d:Description": "Includes a biography, excerpt, photos, and list of upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.twistphelan.com/"} +{"d:Title": "Prescott, Michael", "d:Description": "Official site for the author of numerous thrillers. Includes sample chapters, background information, interviews, and personal essays.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://michaelprescott.freeservers.com/"} +{"d:Title": "Pellegrin, Harry G.", "d:Description": "Features a biography, information about his books and his career as a musician, ordering details, and list of appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.pellegrinlowend.com/"} +{"d:Title": "Page, Katherine Hall", "d:Description": "New England novelist. Book covers and descriptions, biography, and note from Katherine.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.katherine-hall-page.org/"} +{"d:Title": "Penny, Louise", "d:Description": "Author of the Armand Gamache series. Profile, reviews, and information about getting published.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P", "url": "http://www.louisepenny.com/"} +{"d:Title": "Allreaders.com: Barbara Parker", "d:Description": "Brief reviews of some of her \"Suspicion\" series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Parker,_Barbara", "url": "http://www.allreaders.com/Topic.asp?TopicID=829"} +{"d:Title": "Spenser", "d:Description": "Character profile, author information, bibliography, and links.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Parker,_Robert_B.", "url": "http://www.thrillingdetective.com/spenser.html"} +{"d:Title": "Yahoo Groups: Spensarium", "d:Description": "Discussion forum focused on the author's work, with an emphasis on the Spenser series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Parker,_Robert_B.", "url": "http://groups.yahoo.com/group/spenser/"} +{"d:Title": "James Patterson Topics", "d:Description": "Plots, settings, themes, and characters of some of his books, and lists of books with similar writing styles.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Patterson,_James", "url": "http://www.allreaders.com/Topic.asp?TopicID=150"} +{"d:Title": "The James Patterson Page", "d:Description": "Fan site featuring reviews, list of upcoming releases.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Patterson,_James", "url": "http://www.angelfire.com/pa5/jamespatterson/"} +{"d:Title": "James Patterson", "d:Description": "Book synopses.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Patterson,_James", "url": "http://authorpages.hoddersystems.com/JamesPatterson"} +{"d:Title": "Deseretnews.com: Author Feels Life Isn't About Rules", "d:Description": "James Patterson's life was an accident, a clashing of indecisiveness, a lost first love and an idea that there were rules for ordinary folks like him. But at 59, there's nothing ordinary about the multimillionaire author. By Brian Skoloff.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Patterson,_James", "url": "http://deseretnews.com/dn/view/0,1249,635210474,00.html"} +{"d:Title": "James Patterson", "d:Description": "Official author website, featuring news, biography, photo gallery, schedule of appearances, movie adaptation details, and profiles of popular characters.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Patterson,_James", "url": "http://www.jamespatterson.com/"} +{"d:Title": "Allreaders Ridley Pearson Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of his books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Pearson,_Ridley", "url": "http://www.allreaders.com/Topics/Topic_237.asp"} +{"d:Title": "Ridley Pearson", "d:Description": "Author's official homepage, with information on best selling novels, film deals, tour schedules and biographical information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Pearson,_Ridley", "url": "http://www.ridleypearson.com/"} +{"d:Title": "Allreaders: Anne Perry Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Perry,_Anne", "url": "http://www.allreaders.com/Topics/Topic_247.asp"} +{"d:Title": "The Mysteries of Anne Perry", "d:Description": "Brief biographical background and interview with the author about her work habits, characters, readership and plans for upcoming works. From January Magazine.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Perry,_Anne", "url": "http://www.januarymagazine.com/profiles/perry.html"} +{"d:Title": "Mertz Peters Michaels Books", "d:Description": "Author of the detective Amelia Peabody series, Barbara Mertz, who writes as Elizabeth Peters and Barbara Michaels. Includes newsletter, publicity schedule, book synopses, book recommendations and links.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Peters,_Elizabeth", "url": "http://www.mpmbooks.com/"} +{"d:Title": "Allreaders Elizabeth Peters Spotlight", "d:Description": "Detailed reviews of her best books, and lists of books with similar writing styles.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Peters,_Elizabeth", "url": "http://www.allreaders.com/Topic.asp?TopicID=177"} +{"d:Title": "Who is Elizabeth Peters?", "d:Description": "Information on the author and her several series, and a link to fan club.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Peters,_Elizabeth", "url": "http://www.angelfire.com/ut/westbound341/peters.html"} +{"d:Title": "Allreaders.com: Ellis Peters", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Peters,_Ellis", "url": "http://www.allreaders.com/Topics/Topic_213.asp"} +{"d:Title": "Cadfael Chronicles", "d:Description": "Fan site dedicated to the detective Benedictine monk, with emphasis on images from the television series with Derek Jacobi. Images, glossary, book summaries, author profile, and links to related content.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Peters,_Ellis", "url": "http://www.steveconrad.co.uk/cadfael/"} +{"d:Title": "Edith Pargeter - Wikipedia", "d:Description": "Short biographical information, full bibliography including all pen names.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/P/Peters,_Ellis", "url": "http://en.wikipedia.org/wiki/Edith_Pargeter"} +{"d:Title": "Quinn, Spencer", "d:Description": "Contains bibliography, and influences.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/Q", "url": "http://www.spencequinn.com/"} +{"d:Title": "Ellery Queen", "d:Description": "A scientific discussion of many Queen-books within the context of other mystery-authors.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/Q/Queen,_Ellery", "url": "http://mikegrost.com/queen1.htm"} +{"d:Title": "Ellery Queen", "d:Description": "Information about the writer and character.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/Q/Queen,_Ellery", "url": "http://queen.spaceports.com/"} +{"d:Title": "Ross, Gary Earl", "d:Description": "Contains information on his writing and appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R", "url": "http://www.angelfire.com/journal/garyearlross/"} +{"d:Title": "Richmond, Michelle", "d:Description": "Author's home page includes biography, book list, events, speaking information form and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R", "url": "http://www.michellerichmond.com/"} +{"d:Title": "Ross, Katherine", "d:Description": "Author of the Julian Kestrel mystery series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R", "url": "http://www.iwillfollow.com/kjr/"} +{"d:Title": "Rhea, Nicholas", "d:Description": "Writes the Constable books that inspired TVs Heartbeat series. Includes biography, interviews, and list of the other names under which he has written.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R", "url": "http://www.nicholasrhea.co.uk/"} +{"d:Title": "Rosenfelt, David", "d:Description": "Author of the series featuring lawyer Andy Carpenter. Includes book synopses and reviews, photos, and list of upcoming appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R", "url": "http://www.davidrosenfelt.com/"} +{"d:Title": "Reichs, Kathy", "d:Description": "Official site of the author and practicing forensic anthropologist. Includes information on forensic science, background on her novels, and her credentials.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R", "url": "http://www.kathyreichs.com/"} +{"d:Title": "Richardson, Tracey", "d:Description": "Author's personal site featuring a biography, short stories and information about her novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R", "url": "http://www.traceyrichardson.net/"} +{"d:Title": "Allreaders: Candace Robb", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of her novels, and links to similar works.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R/Robb,_Candace", "url": "http://www.allreaders.com/Topic.asp?TopicID=422"} +{"d:Title": "Candace Robb", "d:Description": "Author of medieval mysteries set in Scotland and England. Official site featuring a biography, information about the books, newsletter, and list of upcoming events.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/R/Robb,_Candace", "url": "http://www.candacerobb.com/"} +{"d:Title": "Shaw, Joan Katherine", "d:Description": "Author of Better than a Millstone, featuring Reverend Thelma St. Clair.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.dragongoose.com/"} +{"d:Title": "Schopen, Bernard", "d:Description": "Award-winning author of the Jack Ross mystery novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://members.tripod.com/~bernardschopen/"} +{"d:Title": "Stabenow, Dana", "d:Description": "Author of the Kate Shugak series. Contains information about the author and her books, photo album, discussion board, and a calendar of appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.stabenow.com/"} +{"d:Title": "Smith, April", "d:Description": "Official site featuring a biography, bibliography, photos, and information about the novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.aprilsmith.net/"} +{"d:Title": "Spindler, Christine", "d:Description": "Author of the Inspector Terry series. Sample chapters, free fanzine and information about the author.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.angelfire.com/ia/inspectorterry/"} +{"d:Title": "Stallsmith, Audrey", "d:Description": "Author of the gardening-related series. Includes excerpts and articles about heirloom plants.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.thymewilltell.com/"} +{"d:Title": "Stackhouse, Bill", "d:Description": "Author of the Ed McAvoy Mystery Series, set in New York's Catskills, and the Caitlin O'Rourke Mystery Series, set in Nashville.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.billstackhouse.com/"} +{"d:Title": "Straley, John", "d:Description": "News and information concerning the books of John Straley.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://johnstraley.com/"} +{"d:Title": "Stallwood, Veronica", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his best novels, and links to other novels with similar writing styles. From Allreaders.com.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.allreaders.com/Topics/Topic_1779.asp"} +{"d:Title": "Swart, Carter", "d:Description": "Site contains free short stories by veteran writer Carter Swart, and links to his works on other sites.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://home.earthlink.net/~ceswart/index2.html"} +{"d:Title": "Squire, Elizabeth Daniels", "d:Description": "Author of the Peaches Dann series and numerous short stories. Biography, bibliography, excerpts, and photos.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.booktalk.com/edsquire"} +{"d:Title": "Swanson, Denise", "d:Description": "Author of the Scumble River/Skye Denison series. Features information about the books, biography, interview and calendar of personal appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.deniseswanson.com/"} +{"d:Title": "Sharp, Zo\u00eb", "d:Description": "Author of Killer Instinct, Riot Act and other novels in the series of thrillers featuring tough girl heroine Charlie Fox.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.zoesharp.com/"} +{"d:Title": "Spencer-Fleming, Julia", "d:Description": "Official site of the author of In the Bleak Midwinter. Features bookstore appearances, biography, reviews, and contact information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.juliaspencerfleming.com/"} +{"d:Title": "Schwinghammer, Dave", "d:Description": "Biography, excerpt from Soldier's Gap, short stories, and the author's reviews of other novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.mysterywriter.net/"} +{"d:Title": "Slaughter, Karin", "d:Description": "Biography, interview, tour information, and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.karinslaughter.com/"} +{"d:Title": "Sherer, Michael W.", "d:Description": "Features synopses, reviews and excerpts of the Emerson Ward series, as well as an author biography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.michaelwsherer.com/"} +{"d:Title": "Smith, Janet Elaine", "d:Description": "Biography and book information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://janet_elaine_smith0.tripod.com/"} +{"d:Title": "Soderquist, Larry", "d:Description": "Author of the Eric Berg series. Biography, synopses, and reader comments.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.mysterynovel.com/"} +{"d:Title": "Smith, Carol", "d:Description": "Lists her published novels (in all editions), a biographical note, excerpts, and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://home.btconnect.com/Carol-Smith/"} +{"d:Title": "Sutton, Anne-Marie", "d:Description": "Features a biography, excerpt, and information about the Newport series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.newportmystery.com/"} +{"d:Title": "Smiley, Patricia", "d:Description": "Biography, information about the novel False Profits, and list of appearances.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.patriciasmiley.com/"} +{"d:Title": "Sorrells, Walter", "d:Description": "Includes news, biography and excerpts from novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.waltersorrells.com/"} +{"d:Title": "Starr, Jason", "d:Description": "Author of the noir crime thrillers Cold Caller, Nothing Personal, Fake I.D., Hard Feelings, Tough Luck, Twisted City, Bust, and Lights Out.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.jasonstarr.com/"} +{"d:Title": "Skillman, Trish Macdonald", "d:Description": "Author of suspense novels and a professional guide for writing thrillers. Synopses and brief reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://trishs.pianobaraustin.org/"} +{"d:Title": "Schaefer, T.L.", "d:Description": "Author of The Summerland, a new mystery from Atlantic Bridge.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S", "url": "http://www.tlschaefer.com/"} +{"d:Title": "McNally's Dilemma", "d:Description": "Short reviews and excerpts of this book written by Vincent Lardo, who was chosen by Sanders' estate to continue the series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Sanders,_Lawrence", "url": "http://www.bookbrowse.com/index.cfm?page=title&titleID=499"} +{"d:Title": "Thrilling Detective: Lawrence Sanders", "d:Description": "Individual pages on the author (calling him \"the Robin Leach of the detective novel\") and characters: Wolf Lannihan, Dora Conti, Samuel Todd, Joshua Bigg, Timothy Cone, Archie McNally. Bibliography.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Sanders,_Lawrence", "url": "http://www.thrillingdetective.com/trivia/sanders.html"} +{"d:Title": "John Sandford", "d:Description": "Includes previews of his books with reviews and anecdotes, as well as a biography, interviews, FAQ, and tour information. Maintained by the author's son.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Sandford,_John", "url": "http://www.johnsandford.org/"} +{"d:Title": "Allreaders: John Sandford", "d:Description": "Brief fan reviews with ratings and message board.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Sandford,_John", "url": "http://www.allreaders.com/Topics/Topic_139.asp"} +{"d:Title": "Steven Saylor", "d:Description": "Short bibliography and reviews of his books set in ancient Rome.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Saylor,_Steven", "url": "http://www.twbooks.co.uk/authors/ssaylor.html"} +{"d:Title": "Steven Saylor", "d:Description": "Author of historical mysteries including the Roma Sub Rosa mysteries set in Ancient Rome.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Saylor,_Steven", "url": "http://www.stevensaylor.com/"} +{"d:Title": "About.com: A Mist of Prophecies", "d:Description": "Review of the ninth volume in the author's ancient Roman series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Saylor,_Steven", "url": "http://ancienthistory.about.com/library/bl/uc_bkrev_hahn7.htm"} +{"d:Title": "Shilan.com", "d:Description": "Information about the author of several medical mysteries including Wednesday's Child, Double Illusion, SHOU and The Experiment.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Shlian,_Deborah", "url": "http://www.shlian.com/"} +{"d:Title": "It's Hammer Time", "d:Description": "Tribute to the seductive power of (fictional) detective Mike Hammer.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Spillane,_Mickey", "url": "http://members.tripod.com/classybroad/"} +{"d:Title": "Wolfe World", "d:Description": "Brian Bain's site includes \"The Ultimate Nero Wolfe Message Board\" and several articles about the series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://www.wolfeworld.8m.com/"} +{"d:Title": "The Office of Nero Wolfe - Private Detective", "d:Description": "2 page advertisement imitation.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://www.gadwall.com/nerowolfe/index.html"} +{"d:Title": "The Wolfe Pack", "d:Description": "Fan organization. Includes biographical data and pictures, listings of his works and related works, story synopses, scanned covers, and event details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://www.nerowolfe.org/"} +{"d:Title": "Nero Wolfe and Archie Goodwin at Home", "d:Description": "Plans and 3D representations of the House at 815 W. 35th Street, references and documentation for placement of furniture and rooms. John Clayton.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://johnclaytonsr.com/Wolfe/index.htm"} +{"d:Title": "Nero Wolfe Page of the Gazette of the Arts", "d:Description": "From the publishers of the \"Corsage: A Bouquet of Rex Stout and Nero Wolfe\" collectors item.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://www.gazetteofthearts.com/wolfe1.htm"} +{"d:Title": "Allreaders Rex Stout Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of his Nero Wolfe books, plus links to similar books. Sign up to be a Rex Stout scholar on the site.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://www.allreaders.com/Topics/Topic_142.asp"} +{"d:Title": "Nero Wolfe List", "d:Description": "Mailing list and discussion board, on Yahoo Groups.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://groups.yahoo.com/group/nerowolfe/"} +{"d:Title": "Nero Wolfe", "d:Description": "List of episodes of the 1981 television show with William Conrad, compiled by Tom Walsh and George Fergus.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://epguides.com/NeroWolfe_1981/"} +{"d:Title": "Van Dine School", "d:Description": "Michael Grost pans the Rex Stout books in a review concentrating on \"ritualistic sacrifice\".", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://mikegrost.com/abbott.htm#Stout"} +{"d:Title": "A Stout Fellow", "d:Description": "David Langford's critical review of Rex Stout's Nero Wolfe books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex", "url": "http://ansible.uk/writing/rexstout.html"} +{"d:Title": "Under the Andes", "d:Description": "HTML version of Rex Stout's Under the Andes", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex/Works", "url": "http://www.pagebypagebooks.com/Rex_Stout/Under_the_Andes/"} +{"d:Title": "Nero Wolfe Book Search", "d:Description": "Search through the books for character details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex/Works", "url": "http://www.cs.rit.edu/~lac/nero/booksearch.html"} +{"d:Title": "Nero Wolfe Character Search", "d:Description": "Search for a specific character and character's details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex/Works", "url": "http://www.cs.rit.edu/~lac/nero/charsearch.html"} +{"d:Title": "Nero Wolfe and Archie Goodwin", "d:Description": "Detailed article on the mystery duo through fiction and film.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/S/Stout,_Rex/Works", "url": "http://www.thrillingdetective.com/wolfe.html"} +{"d:Title": "Todd, Charles", "d:Description": "Author of the Inspector Rutlege series. Book descriptions, news, reviews, and contact information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/T", "url": "http://www.charlestodd.com/"} +{"d:Title": "Terrell, P.M.", "d:Description": "Biography, novel excerpt, reviews, and event details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/T", "url": "http://www.pmterrell.com/"} +{"d:Title": "Tyley, Vicki", "d:Description": "Official website of the Australian author, with a biography, bibliography and interview.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/T", "url": "http://www.vickityley.com/"} +{"d:Title": "Tessler, Margaret", "d:Description": "Writes the series featuring San Antonio attorney Sharon Morgan. Includes a photo and information about the books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/T", "url": "http://www.abq-talespinner.com/"} +{"d:Title": "Allreaders: Marilyn Todd", "d:Description": "An analysis of the plot, theme, setting and characters of her books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/T/Todd,_Marilyn", "url": "http://www.allreaders.com/Topics/Topic_831.asp"} +{"d:Title": "Marilyn Todd", "d:Description": "Photo, bibliography, and book descriptions.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/T/Todd,_Marilyn", "url": "http://www.twbooks.co.uk/authors/marilyntodd.html"} +{"d:Title": "I, Claudia", "d:Description": "Reader's review of this 1996 novel.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/T/Todd,_Marilyn", "url": "http://www.booklore.co.uk/PastReviews/ToddMarilyn/IClaudia/IClaudiaReview.htm"} +{"d:Title": "The Zero", "d:Description": "Official website of Andrew Vachss. Contains articles, interviews, biography, resources, FAQ, pictures and links.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/V/Vachss,_Andrew", "url": "http://www.vachss.com/"} +{"d:Title": "Robert van Gulik", "d:Description": "Brief biography and reviews of the Judge Dee novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/V/Van_Gulik,_Robert", "url": "http://www.wjduquette.com/authors/rvgulik.html"} +{"d:Title": "Judge Dee", "d:Description": "Character chronology and information about the author.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/V/Van_Gulik,_Robert", "url": "http://www.friesian.com/ross/dee.htm"} +{"d:Title": "Judge Dee Review", "d:Description": "A brief review of two of Gulik's novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/V/Van_Gulik,_Robert", "url": "http://www.dannyreviews.com/h/Judge_Dee.html"} +{"d:Title": "Wyle, Dirk", "d:Description": "Author of the Ben Candidi medical mystery series. Includes reviews and excerpts of the novels and links to biomedical, mystery and literary sites.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.dirk-wyle.com/"} +{"d:Title": "White, Stephen", "d:Description": "Author of the Alan Gregory psychological thriller series based in Colorado. Includes a biography, bibliography, reviews, photo gallery, message board, and mailing list.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.authorstephenwhite.com/"} +{"d:Title": "Whitney, Phyllis A.", "d:Description": "Official site of the winner of the Mystery Writers of America Grand Master Award for lifetime achievement. Includes information and trivia about her adult and juvenile novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.phyllisawhitney.com/"} +{"d:Title": "Willoughby, Ronald R.", "d:Description": "Author of No One Left To Burn, featuring PI Rick Stevens. Includes a photo, brief biography and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.ronaldrwilloughby.com/"} +{"d:Title": "Woolrich, Cornell", "d:Description": "Author of Rear Window and known as the father of noir fiction.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://members.toast.net/woolrich/black.htm"} +{"d:Title": "Woods, Stuart", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his best novels, and links to other novels with similar writing styles. From Allreaders.com.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.allreaders.com/Topics/Topic_701.asp"} +{"d:Title": "Workinger, Barbara", "d:Description": "Features information about author, reviews, and excerpts.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.barbaraworkinger.com/"} +{"d:Title": "Woods, Stuart", "d:Description": "Official site featuring an interview and biography, tour dates, and book covers and synopses.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.stuartwoods.com/"} +{"d:Title": "Wells, Melanie", "d:Description": "Suspense writer's website includes biography, reviews, updates on her work, and publishing details.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://melaniewells.com/"} +{"d:Title": "Wright, Nina", "d:Description": "Author of the humorous Whiskey Mattimoe series (as well as novels for younger readers). Features FAQs, bio, and information about workshops.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.ninawright.net/"} +{"d:Title": "Walker, David J.", "d:Description": "Author of the Mal Foley series and the Wild Onion, Ltd. series.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.davidjwalker.com/"} +{"d:Title": "Walker, Sue", "d:Description": "Author of crime fiction based in Scotland. Includes biography, news and information on the books and locations.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.sue-walker.com/"} +{"d:Title": "Walsh, Therese", "d:Description": "Author of The Last Will of Moira Leahy. Includes bio, blog, and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.theresewalsh.com/"} +{"d:Title": "Weeks, Kevin M.", "d:Description": "Author of urban crime fiction, The Street Life Series. Includes biography and awards list.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W", "url": "http://www.thestreetlifeseries.com/"} +{"d:Title": "Allreaders: Donald Westlake", "d:Description": "An analysis of the plot, theme, setting and characters of his books.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W/Westlake,_Donald", "url": "http://www.allreaders.com/Topics/Topic_223.asp"} +{"d:Title": "Donald Westlake", "d:Description": "Official site with biography, bibliography, novel descriptions and covers, and news.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W/Westlake,_Donald", "url": "http://www.donaldwestlake.com/"} +{"d:Title": "Donald E. Westlake: an annotated bibliography", "d:Description": "Very short to concise reviewes of all books originally published under Westlake's own name, and only those.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/W/Westlake,_Donald", "url": "http://home.earthlink.net/~dbratman/westlake.html"} +{"d:Title": "Zigal, Thomas", "d:Description": "Features a biography and book information.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/Z", "url": "http://www.thomaszigal.com/"} +{"d:Title": "Zandri, Vincent", "d:Description": "Brief biography, news, and pictures.", "topic": "Top/Arts/Literature/Genres/Mystery/Authors/Z", "url": "http://www.vincentzandri.com/"} +{"d:Title": "4 Mystery Addicts", "d:Description": "An international forum for the in-depth discussion of crime fiction.", "topic": "Top/Arts/Literature/Genres/Mystery/Chats_and_Forums", "url": "http://groups.yahoo.com/group/4_Mystery_Addicts/"} +{"d:Title": "Women in Mystery", "d:Description": "Yahoo group dedicated to fiction by women writers and/or novels featuring private investigators, police detectives or tough women sleuths.", "topic": "Top/Arts/Literature/Genres/Mystery/Chats_and_Forums", "url": "http://groups.yahoo.com/group/womeninmystery/"} +{"d:Title": "Mystery", "d:Description": "A list for the discussion of spy/mystery/private detective/police books, both fiction and non-fiction.", "topic": "Top/Arts/Literature/Genres/Mystery/Chats_and_Forums", "url": "http://groups.yahoo.com/group/mystery/"} +{"d:Title": "Rara-Avis", "d:Description": "Members discuss hardboiled and noir writing.", "topic": "Top/Arts/Literature/Genres/Mystery/Chats_and_Forums", "url": "http://groups.yahoo.com/group/rara-avis-l/"} +{"d:Title": "Femmystery", "d:Description": "Group/list for discussing female authors who write mysteries with female protagonists.", "topic": "Top/Arts/Literature/Genres/Mystery/Chats_and_Forums", "url": "http://groups.yahoo.com/group/femmystery/"} +{"d:Title": "Historical Mystery Fiction", "d:Description": "A bibliography listed by author, period, and discussion of criteria for inclusion and sources.", "topic": "Top/Arts/Literature/Genres/Mystery/Historical_Mysteries", "url": "http://members.tripod.com/~BrerFox/historicalmystery.html"} +{"d:Title": "Historical Mystery Fiction", "d:Description": "Bibliography from Ancient through WWII, with short story section.", "topic": "Top/Arts/Literature/Genres/Mystery/Historical_Mysteries", "url": "http://www.twbooks.co.uk/authors/bibliographies/historic.html"} +{"d:Title": "Beau Brummell Mystery Series", "d:Description": "Features an excerpt from one of the novels, information about the main character, the Regency era and the author.", "topic": "Top/Arts/Literature/Genres/Mystery/Historical_Mysteries", "url": "http://www.beaubrummell.com/"} +{"d:Title": "Crime Thru Time", "d:Description": "Bibliography organized by author and by timeline, list of new releases, reviews, and message board. Affiliated with a discussion group.", "topic": "Top/Arts/Literature/Genres/Mystery/Historical_Mysteries", "url": "http://www.crimethrutime.com/"} +{"d:Title": "The Cozy Detective Mystery Magazine", "d:Description": "Official website for the print publication, with interviews, writer's guidelines, and subscription information.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.angelfire.com/ms/COZYDETECTIVE/"} +{"d:Title": "Over My Dead Body!", "d:Description": "Quarterly magazine of mystery fiction, articles, and reviews; web site includes additional material.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.overmydeadbody.com/"} +{"d:Title": "Mystery Readers Journal", "d:Description": "Quarterly thematic review. Contains articles, reviews and author essays on a specific theme.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.mysteryreaders.org/"} +{"d:Title": "New Mystery Reader", "d:Description": "Features details about new releases, reviews, author and series profiles, and reader recommendations.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.newmysteryreader.com/"} +{"d:Title": "Mysterical-e", "d:Description": "Online mystery magazine featuring short fiction, interviews, news, and writing tips.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://mystericale.tripod.com/"} +{"d:Title": "HandHeldCrime", "d:Description": "Delivers free short mystery fiction, articles, news and reviews to handheld computer users through email and AvantGo. Issues are also available on the web.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.handheldcrime.com/"} +{"d:Title": "Mysterious Women", "d:Description": "Quarterly newsletter for fans of women mystery writers. Includes subscription details and sample articles.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.myswomen.com/"} +{"d:Title": "The Gumshoe Site", "d:Description": "Nonfiction e-zine of mystery news; updated monthly.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.nsknet.or.jp/~jkimura/"} +{"d:Title": "Black Mask Magazine", "d:Description": "Online resources for Black Mask Magazine, famous publisher of hard-boiled fiction.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.blackmaskmagazine.com/"} +{"d:Title": "Detective-Magazine.com", "d:Description": "Offers a selection of detective magazine cover images for download.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://detective-magazine.com/"} +{"d:Title": "The Thrilling Detective", "d:Description": "A directory of over a thousand private eyes and other tough guys and gals, complete with biographies and bibliographies. Now includes short mystery fiction.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.thrillingdetective.com/"} +{"d:Title": "Crime Time On-Line", "d:Description": "Online version of the print mag - features interviews, reviews, and news about mystery.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.crimetime.co.uk/"} +{"d:Title": "The Mystery Place", "d:Description": "Dell Magazines' official site for its Alfred Hitchcock and Ellery Queen publications. Includes abbreviated online versions of both print magazines, with stories, articles, columns, and online resources.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.themysteryplace.com/"} +{"d:Title": "The Strand Magazine", "d:Description": "A quarterly magazine that publishes short stories, articles, interviews, and book reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.strandmag.com/"} +{"d:Title": "Shots", "d:Description": "Crime and mystery fiction e-zine, featuring interviews, books reviews, short stories, author profiles, book excerpts and articles.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.shotsmag.co.uk/"} +{"d:Title": "January Magazine: Crime Fiction", "d:Description": "Reviews, interviews with authors, links and a monthly round-up of what's newest in the genre.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.januarymagazine.com/crfiction/crfiction.html"} +{"d:Title": "Deadly Pleasures", "d:Description": "American fan magazine featuring book reviews, interviews and articles.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.deadlypleasures.com/"} +{"d:Title": "CrimeSpree Magazine", "d:Description": "Content includes articles, interviews, short stories, and reviews.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.crimespreemag.com/"} +{"d:Title": "Hardluck Stories", "d:Description": "Short stories, interviews, and reviews of hardboiled and noir fiction.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.hardluckstories.com/"} +{"d:Title": "Plots with Guns", "d:Description": "Online magazine of short mystery fiction. All stories feature guns.", "topic": "Top/Arts/Literature/Genres/Mystery/Magazines_and_E-zines", "url": "http://www.plotswithguns.com/"} +{"d:Title": "RWA Kiss of Death", "d:Description": "Group that promotes the reading and writing of suspense and mystery fiction with strong romantic elements. Includes newsletter excerpt, membership details, member directory, and list of new releases.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations", "url": "http://www.rwamysterysuspense.org/"} +{"d:Title": "The Singular Society of the Baker Street Dozen", "d:Description": "Group which discusses and promote Sherlock Holmes and other literary works of Sir Arthur Conan Doyle. Based in Calgary, Alberta.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations", "url": "http://www.bakerstreetdozen.com/"} +{"d:Title": "Ashland Mystery Readers Group", "d:Description": "Monthly visiting author series for mystery readers in Southern Oregon.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations", "url": "http://www.booksandoldlace.com/"} +{"d:Title": "Sisters in Crime National", "d:Description": "To support women mystery writers. The site contains information about events, grants, books in print, resources for members, libraries, and book clubs.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations/Sisters_in_Crime", "url": "http://www.sistersincrime.org/"} +{"d:Title": "Sisters in Crime - Los Angeles Chapter", "d:Description": "Contains information about meetings, events, publications and membership.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations/Sisters_in_Crime", "url": "http://www.sistersincrimela.com/"} +{"d:Title": "Quaking in our Books", "d:Description": "Northern California chapter. Includes news, membership details and a calendar of events.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations/Sisters_in_Crime", "url": "http://www.sincnorcal.org/"} +{"d:Title": "Partners in Crime", "d:Description": "The Boise chapter of the international literary organization, Sisters In Crime, for both readers and writers of mystery, suspense, and true crime. Located in Boise, Idaho.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations/Sisters_in_Crime", "url": "http://www.partnersincrimeboise.com/"} +{"d:Title": "Delaware Valley Sisters in Crime", "d:Description": "Showcases chapter sponsored activities, author profiles and events of interest to mystery fans in the Philadelphia area.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations/Sisters_in_Crime", "url": "http://dvsinc.org/"} +{"d:Title": "Desert Sleuths", "d:Description": "Monthly meetings in Scottsdale, AZ.", "topic": "Top/Arts/Literature/Genres/Mystery/Organizations/Sisters_in_Crime", "url": "http://desertsleuths.com/"} +{"d:Title": "Danny Yee's Book Reviews: Detective Fiction", "d:Description": "Reviews of detective and historical mystery novels.", "topic": "Top/Arts/Literature/Genres/Mystery/Reviews", "url": "http://dannyreviews.com/s/detective_fiction.html"} +{"d:Title": "Crime Watch", "d:Description": "Monthly reviews of new mysteries and thrillers by Dick Adler.", "topic": "Top/Arts/Literature/Genres/Mystery/Reviews", "url": "http://www.angelfire.com/biz/MysteriesFromDick/"} +{"d:Title": "Mystery Cove", "d:Description": "Book reviews and information about authors, writing and book collecting.", "topic": "Top/Arts/Literature/Genres/Mystery/Reviews", "url": "http://www.mysterycove.com/"} +{"d:Title": "Naturewriting", "d:Description": "An online magazine for readers and writers of nature writing. Poems, essays, and journals submitted by readers. Plus quotations, techniques, and ideas for writing. \"You are Nature...Writing.\"", "topic": "Top/Arts/Literature/Genres/Nature_Writing", "url": "http://www.naturewriting.com/"} +{"d:Title": "Nature Study, Nature Writing: Past and Present", "d:Description": "Brief bibliography by the Library of Congress.", "topic": "Top/Arts/Literature/Genres/Nature_Writing", "url": "http://www.loc.gov/rr/scitech/SciRefGuides/nature.html"} +{"d:Title": "The Spirit of Butterflies: Myth, Magic, and Art", "d:Description": "An inspiring book on butterflies and their significance in religion, mythology, superstition, literature, and the arts.", "topic": "Top/Arts/Literature/Genres/Nature_Writing", "url": "http://spiritofbutterflies.com/"} +{"d:Title": "About.com- Romance Fiction", "d:Description": "Providing a library of information on the current romance writers, as well as reviews of their works fiction.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://romancefiction.about.com/"} +{"d:Title": "Writerspace", "d:Description": "Author hosting, chat rooms, bulletin boards, readers calendar, and reviews.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.writerspace.com/"} +{"d:Title": "Allreaders Romance Search Engine", "d:Description": "Allows searching by plot elements, theme, characters, and setting.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.allreaders.com/bookSearcha.asp?SubjectID=4"} +{"d:Title": "We Really Dig Romance Novels", "d:Description": "From contemporary to historical from paranormal to time travel, cover art, synopsis, links to authors and related issues", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.wereallydig.com/romancenovels/"} +{"d:Title": "Bella Online: Romance Books", "d:Description": "Find weekly romance reviews, author interviews, and regular discussions with other romance readers and writers. Check out huge links section to related sites.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.bellaonline.com/site/romancenovels"} +{"d:Title": "Coffee Time Romance", "d:Description": "Romance reviews, interviews, newsletter, and messageboard.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.coffeetimeromance.com/Welcome.html"} +{"d:Title": "Simegen", "d:Description": "Romance writers webpages, author of month, reviews, and message boards.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.simegen.com/romance/"} +{"d:Title": "BYRON Book Reference Database", "d:Description": "Easy-to-use database of detailed information on genre fiction with multiple searchable tracking features, including sequels and themes. Updates available.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.byronref.com/"} +{"d:Title": "Subversion: Celebrating Women's Fiction", "d:Description": "Interactive community and online magazine for readers of romance novels.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.subversionromance.com/"} +{"d:Title": "Sequels and Prequels for Romance Novels", "d:Description": "Project by readers for readers, to provides a simple yet concise listing of sequels and prequels. Includes alphabetized lists in the categories of single titles, romance, and publisher series, and submission guidelines.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://eventmaker.tripod.com/series.html"} +{"d:Title": "The Romance Studio", "d:Description": "A community site for fans of romantic fiction - readers, authors, agents, publishers, book sellers, cover models and other industry professionals.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://theromancestudio.info/"} +{"d:Title": "All About Romance", "d:Description": "Reviews, news, features, romance author interviews, and reader forums.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://allaboutromance.com/"} +{"d:Title": "Fresh Fiction", "d:Description": "Members share an interest in romantic fiction.", "topic": "Top/Arts/Literature/Genres/Romance", "url": "http://www.freshfiction.com/"} +{"d:Title": "It's All About Love", "d:Description": "Article on the development of the African-American or multicultural romance subgenre.", "topic": "Top/Arts/Literature/Genres/Romance/African-American", "url": "http://aalbc.com/reviews/itsallaboutlove.htm"} +{"d:Title": "Romance in Color", "d:Description": "New releases, inspirational multicultural titles, reviews, genre columns, and website staff profiles. Author interviews, profiles and websites, new authors and rising stars, and individual book lists.", "topic": "Top/Arts/Literature/Genres/Romance/African-American", "url": "http://www.romanceincolor.com/"} +{"d:Title": "Young, Sean D.", "d:Description": "Contemporary multicultural author. Biography, image gallery, links, book list and excerpts.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.seandyoung.com/"} +{"d:Title": "Jenkins, Beverly", "d:Description": "Official site. Multicultural historical single titles; author profile, appearances and events, backlist and excerpts, and pajama party images.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.beverlyjenkins.net/"} +{"d:Title": "Ford, Aisha", "d:Description": "Includes bibliography and future plans.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.aishaford.com/"} +{"d:Title": "Giusto, Layle", "d:Description": "The author of Wind Across Kylarmi.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://home.sprynet.com/~layleg/layleg.html"} +{"d:Title": "Griffin, Bettye", "d:Description": "The author of From This Day Forward from BET Books. Read an excerpt from her latest book. Enter her current contest.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.bettyegriffin.com/"} +{"d:Title": "Jackson, Brenda", "d:Description": "The author of One Special Moment.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://brendajackson.net/"} +{"d:Title": "King-Gamble, Marcia", "d:Description": "The author of Island Bliss.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.lovemarcia.com/"} +{"d:Title": "Palfrey, Evelyn", "d:Description": "The author romantic suspense Dangerous Dilemmas.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.evelynpalfrey.com/"} +{"d:Title": "Ray, Francis", "d:Description": "Information about the author and her works, including her new novel.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.francisray.com/"} +{"d:Title": "Poarch, Candice", "d:Description": "Bestselling romance author of The Essence of Love.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors", "url": "http://www.candicepoarch.com/"} +{"d:Title": "Love Letters of a Lifetime (2001)", "d:Description": "Alers, Donna Hill, and Janice Sims write three stories. In \"Hearts of Gold,\" a jilted lover rekindles lost love; while in \"Masquerade,\" a shy editor finds the man of her dreams on the Internet; and in \"To Love Again,\" a revelation helps a widow let go of the past.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors/Alers,_Rochelle/Movies", "url": "http://www.imdb.com/title/tt0272176/"} +{"d:Title": "Amos, Robyn", "d:Description": "Discover the latest multicultural releases by Robyn Amos, get writing tips, network with other authors online, order books, and learn about the writing industry.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors/Amos,_Robyn", "url": "http://www.robynamos.com/"} +{"d:Title": "Benson, Angela", "d:Description": "Author site with book descriptions, blog and biography.", "topic": "Top/Arts/Literature/Genres/Romance/African-American/Authors/Benson,_Angela", "url": "http://theamensisters.com/"} +{"d:Title": "Simply Writers", "d:Description": "Yahoo group for romance author news from Texas.", "topic": "Top/Arts/Literature/Genres/Romance/Authors", "url": "http://groups.yahoo.com/group/Simply_Writers/"} +{"d:Title": "Allen, Rida", "d:Description": "Author of contemporary romance novels featuring full-figured heroines.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A", "url": "http://www.ridaallen.com/"} +{"d:Title": "Alfonsi, Alice", "d:Description": "Yahoo Group mailing list for this paranormal novelist.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A", "url": "http://groups.yahoo.com/group/AliceAlfonsi/"} +{"d:Title": "Arotin, Marguerite", "d:Description": "Fantasy and historical romance author. Book information, news, and blogs.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A", "url": "http://www.ohioromance.net/"} +{"d:Title": "Alexander, Lacey", "d:Description": "Author of romantica trilogy Hot in the City and other erotica and romantica titles at Ellora's Cave Publishing. Books available, bio, links to other romantica authors.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A", "url": "http://www.laceyalexander.net/"} +{"d:Title": "Apostolides, Patty", "d:Description": "A Greek-American author of the romance novel Lipsi's Daughter. Biography, poetry, Greek links, Greek recipes, Writing links and tips.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A", "url": "http://www.pattyapostolides.com/"} +{"d:Title": "Linda Acaster", "d:Description": "Multi-genre author of novels, short stories and non-fiction. Includes sample chapters and author profile.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A", "url": "http://www.lindaacaster.com/"} +{"d:Title": "Ab\u00e9, Shana", "d:Description": "Includes a list of all her books, excerpts, FAQs and brief bio.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Ab\u00e9,_Shana", "url": "http://www.shanaabe.com/"} +{"d:Title": "Adair, Cherry", "d:Description": "The author of series including the Stark Brothers and Lodestone. Contains biography and FAQ.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Adair,_Cherry", "url": "http://www.cherryadair.com/"} +{"d:Title": "Adams, Alina", "d:Description": "The author of Annie's Wild Ride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Adams,_Alina", "url": "http://www.alinaadams.com/"} +{"d:Title": "Adams, Jean", "d:Description": "Discover and contact her on AuthorsDen. First romance novel, The Sabine Connection, a long contemporary is published by Starlight Writer Publications.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Adams,_Jean", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=1207"} +{"d:Title": "Adjei, Gideon", "d:Description": "The author of Darker Shades of Light.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Adjei,_Gideon", "url": "http://www.gideonadjei.com/"} +{"d:Title": "Admirand, CH", "d:Description": "Author of The Marshal's Destiny, published by Avalon Books, view a list of her appearances, read excerpts, reviews of her work, and enter contests.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Admirand,_CH", "url": "http://www.chadmirand.com/"} +{"d:Title": "Alexander, Hannah", "d:Description": "The author of Second Opinion.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Alexander,_Hannah", "url": "http://www.hannahalexander.com/"} +{"d:Title": "Allred, Katherine", "d:Description": "Romance author biography, cover and blurb from Ready or Not - a Precious Gem release, and favorite links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Allred,_Katherine", "url": "http://www.katherineallred.com/"} +{"d:Title": "Alsobrook, Rosalyn", "d:Description": "The author of Tomorrow's Treasures. Proud to be the recipient of Romance Writers of America's prestigious 1999 Lifetime Achievement Award.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Alsobrook,_Rosalyn", "url": "http://home.earthlink.net/~ralsobrook/"} +{"d:Title": "Amiri, Cornelia", "d:Description": "Historical romance author of The Fox Prince.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Amiri,_Cornelia", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=4165"} +{"d:Title": "Ammann, Lillie", "d:Description": "The author of the romance novel Stroke of Luck. Information on her book, and links to resources on ebooks and writing.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Ammann,_Lillie", "url": "http://www.lillieammann.com/"} +{"d:Title": "Susan Andersen", "d:Description": "Bestselling author of romantic suspense and fun and sassy romantic comedy.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Andersen,_Susan", "url": "http://www.susanandersen.com/"} +{"d:Title": "Anderson, Catherine", "d:Description": "The author of Baby Love.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Anderson,_Catherine", "url": "http://www.catherineanderson.com/"} +{"d:Title": "Anderson, Rebecca", "d:Description": "Discover and contact her on AuthorsDen. Debut paranormal romance novel, Glass-Slipper-dot.com (Cinderella meets The Net meets the FBI).", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Anderson,_Rebecca", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=754"} +{"d:Title": "Andrews, Lisa", "d:Description": "A site profiling the books of Lisa Andrews, the romantic author. Her books include 'Dangerous Deceptions', 'Dark Obsession' and 'Too Late For Love'.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Andrews,_Lisa", "url": "http://www.lisaandrews.co.uk/"} +{"d:Title": "Anton, Shari", "d:Description": "The author of By The Ideal Husband.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Anton,_Shari", "url": "http://www.sharianton.com/"} +{"d:Title": "MacAlister, Katie", "d:Description": "Marthe Arends' pseudonym for her paranormal and romantic comedy titles. Journal, newsletter, and news about upcoming and published books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Arends,_Marthe", "url": "http://www.katiemacalister.com/"} +{"d:Title": "Live Journal: Katie MacAlister", "d:Description": "Katie MacAlister keeps a journal with progress on her latest book, promotion for published books and a weekly Dishy Guy photo.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Arends,_Marthe", "url": "http://katiemacalister.livejournal.com/"} +{"d:Title": "Maxwell, Katie", "d:Description": "Marthe's pseudonym for her young adult titles. Back list, series, news, and profile.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Arends,_Marthe", "url": "http://www.katiemaxwell.com/"} +{"d:Title": "Arnold, Judith", "d:Description": "Romance author of Looking For Laura.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Arnold,_Judith", "url": "http://www.juditharnold.com/"} +{"d:Title": "Arrowood, Wilburta", "d:Description": "A writer of inspirational fiction, offers links to writing aids, and pages of interest to Christians.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/A/Arrowood,_Wilburta", "url": "http://www.wilburtaarrowood.com/"} +{"d:Title": "Bangs, Nina", "d:Description": "The author of the time travel, Night Games.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.ninabangs.com/"} +{"d:Title": "Banning, Lynna", "d:Description": "Historical romance writer of The Courtship.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://members.cruzio.com/~carolynw/"} +{"d:Title": "Bemis, Elizabeth", "d:Description": "Official author site for romance novelist, Elizabeth Bemis.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.elizabethbemis.com/"} +{"d:Title": "Bush, Christine", "d:Description": "Meet romance and mystery author Christine Bush and learn about her upcoming releases, appearances, and contest.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.christinebush.com/"} +{"d:Title": "Binder, Pam", "d:Description": "The author of The Enchantment.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.pambinder.com/"} +{"d:Title": "Britton, Pamela", "d:Description": "Official home page of romance author. Sign her guestbook, and look for upcoming contests.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://pamelabritton.com/"} +{"d:Title": "Barrett, Linda", "d:Description": "Website for author of contemporary romance books such as Harlequin Superromance featuring current books, upcoming books, newsletters, contests and favorite links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.linda-barrett.com/"} +{"d:Title": "Beckenham, Jane", "d:Description": "Romance author of Be My Valentine, time travel tale.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.janebeckenham.com/"} +{"d:Title": "Benson, Jessica", "d:Description": "Historical romance author, the RITA award winning author of traditional regencies and humorous historical romances with a chicklit slant.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.jessicabenson.com/"} +{"d:Title": "Burroughs, Leanne", "d:Description": "Scottish historical author Leanne Burroughs' love of writing, led to the novel Highland Wishes, a historical novel about the Scottish war for independence.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://leanneburroughs.com/"} +{"d:Title": "Ballis, Stacey", "d:Description": "The official site for Chicago chick-lit author Stacey Ballis and her debut novel: Inappropriate Men. Recipes, book club information, shopping and Chicago attractions are featured herein.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://www.staceyballis.com/"} +{"d:Title": "Burkhart, Joely Sue", "d:Description": "Author of fantasy romance, mystery, and short stories of various genres. List of works in progress, biography, upcoming news and releases, and online journal.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://joelysueburkhart.com/"} +{"d:Title": "Byrd, Adrianne", "d:Description": "Contemporary/multi-cultural romance author of Unforgettable.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://adriannebyrd.com/"} +{"d:Title": "Bush, Nancy", "d:Description": "The author of Love on Line and upcoming release, GIinny Blue's Boyfriend.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B", "url": "http://nancybush.net/"} +{"d:Title": "Bachman, Ann", "d:Description": "The author of Together Again.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bachman,_Ann", "url": "http://www.eclectics.com/annbachman/index.html"} +{"d:Title": "Baker, Fran", "d:Description": "The author of Once A Warrior and founded her own small press, Delphi Books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Baker,_Fran", "url": "http://www.franbaker.com/"} +{"d:Title": "Baker, Jeanette", "d:Description": "The author of Irish Lady, Reviewers' Choice Nomination, and Nell.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Baker,_Jeanette", "url": "http://www.jeanettebaker.com/"} +{"d:Title": "FantasyLand", "d:Description": "Get sneak peeks at the published, or soon-to-be-published, works of this Romance genre novelist, Madeline Baker.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Baker,_Madeline", "url": "http://www.angelfire.com/ga/apachefire/index.html"} +{"d:Title": "Baker, Megan Sybil", "d:Description": "Wintertide, a novel of sorcery, treachery and love! Fantasy romance author Megan Sybil Baker's site has excerpts, upcoming novel teasers, and writer and reader links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Baker,_Megan_Sybil", "url": "http://www.linneasinclair.com/"} +{"d:Title": "Balogh, Mary", "d:Description": "Award winning author of historical romance novels under the publisher Dell.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Balogh,_Mary", "url": "http://www.marybalogh.com/"} +{"d:Title": "Bambola, Sylvia", "d:Description": "Author of award winning novel \"A Vessel of Honor\" and the suspensefull thriller \"Refiner's Fire\"", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bambola,_Sylvia", "url": "http://www.sylviabambola.com/"} +{"d:Title": "Bardsley, Michele R.", "d:Description": "Paranormal romance author of \"Lycan on the Edge and \"Taming the Monster.\" Contains biography and blog.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bardsley,_Michele_R.", "url": "http://www.michelebardsley.com/"} +{"d:Title": "Barnett, Jill", "d:Description": "Romance author of A Wicked Love Story.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Barnett,_Jill", "url": "http://www.jillbarnett.com/"} +{"d:Title": "Bayne, Robin", "d:Description": "The author of His Brothers Child, and A Matter of Life.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bayne,_Robin", "url": "http://www.robinbayne.com/"} +{"d:Title": "Berg, Patti", "d:Description": "Author of contemporary romance novels and women's fiction published by Avon Books. Latest release Wife for a Day.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Berg,_Patti", "url": "http://www.pattiberg.com/"} +{"d:Title": "Bergren, Lisa Tawn", "d:Description": "The author of The Captain's Bride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bergren,_Lisa_Tawn", "url": "http://www.lisatawnbergren.com/"} +{"d:Title": "Jo Bev", "d:Description": "Author site for Jo Beverley. Includes links to her blogs, newsletter and information about the books she has written and is working on.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Beverley,_Jo", "url": "http://www.jobev.com/"} +{"d:Title": "Billerbeck, Kristin", "d:Description": "Heartsong Author of Meet My Sister Tess.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Billerbeck,_Kristin", "url": "http://www.kristinbillerbeck.com/"} +{"d:Title": "Allreaders Maeve Binchy Spotlight", "d:Description": "Plot, character, setting, and thematic analysis of her works.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Binchy,_Maeve", "url": "http://www.allreaders.com/Topic.asp?TopicID=359"} +{"d:Title": "Penguin Random House: Maeve Binchy", "d:Description": "List of books by the author.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Binchy,_Maeve", "url": "http://www.penguinrandomhouse.com/authors/2333/maeve-binchy/"} +{"d:Title": "Blackstock, Terri", "d:Description": "Christian author of Never Again Good-bye.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Blackstock,_Terri", "url": "http://www.terriblackstock.com/"} +{"d:Title": "Blair, Annette", "d:Description": "The author of Thee, I Love, An Amish Historical Romance ~", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Blair,_Annette", "url": "http://www.annetteblair.com/"} +{"d:Title": "Blake, Jennifer", "d:Description": "Dubbed The Steel Magnolia of women's fiction, Jennifer Blake has published more than 50 historical and contemporary romance titles, including the Louisiana Gentleman series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Blake,_Jennifer", "url": "http://www.jenniferblake.com/"} +{"d:Title": "Blake, Toni", "d:Description": "Toni Blake writes sexy, fast-paced, light-hearted romance novels for Harlequin Temptation. She has also written for Precious Gems as Toni Blair.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Blake,_Toni", "url": "http://toniblake.com/"} +{"d:Title": "Blayne, Sara", "d:Description": "The award winning author of over more than twenty-five regency romance novels.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Blayne,_Sara", "url": "http://www.angelfire.com/ma/Blayne/"} +{"d:Title": "Bleser, Linda", "d:Description": "The author of Enchanted Cottage.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bleser,_Linda", "url": "http://www.bleser.com/linda/"} +{"d:Title": "Bliss, Lauralee", "d:Description": "Inspirational romance, suspense, and comedy available in e-book and print form. Writers links and a Christian e-author yahoo group help authors in their writing ministries.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bliss,_Lauralee", "url": "http://lauraleebliss.homestead.com/lrbweb.html"} +{"d:Title": "Cheryl Bolen", "d:Description": "Historical romance author specializing in Regency set romances.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bolen,_Cheryl", "url": "http://www.cherylbolen.com/"} +{"d:Title": "Bonds, Parris Afton", "d:Description": "Award winning author of more than 35 books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bonds,_Parris_Afton", "url": "http://www.parrisaftonbonds.com/"} +{"d:Title": "Bowen, Charles", "d:Description": "Set on the beautiful, historic steamboat, The Delta Queen, these are original novels from Bowen Books. Order the books, read previews of stories, see photos of the boat and find related steamboatin' links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bowen,_Charles", "url": "http://www.bowenbooks.com/"} +{"d:Title": "Boyle, Elizabeth", "d:Description": "Read excerpts from Elizabeth's award-winning romance novels. Enter her monthly contest, discover her latest news and next local appearance, while taking a sneak peak at her upcoming books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Boyle,_Elizabeth", "url": "http://www.elizabethboyle.com/"} +{"d:Title": "Bradford, Barbara Taylor", "d:Description": "Best-selling author of A Sudden Change Of Heart.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bradford,_Barbara_Taylor", "url": "http://www.barbarataylorbradford.com/"} +{"d:Title": "Brandenburg , Karla", "d:Description": "Author of The Treasure of St. Paul. Was the Holy Grail buried with Pompeii? That's the question that sends Kira Ellison to an archeological excavation in Southern Italy. Discover what Kira unearths on her journey of self-discovery.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brandenburg,_Karla", "url": "http://www.karlabrandenburg.com/"} +{"d:Title": "Brandmeyer, Diana Lesire", "d:Description": "Inspirational romance author of A Time to Dance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brandmeyer,_Diana_Lesire", "url": "http://dianabrandmeyer.com/"} +{"d:Title": "Brashear, Jean", "d:Description": "The author of The Bodyguard's Bride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brashear,_Jean", "url": "http://jeanbrashear.com/"} +{"d:Title": "Bray, Patricia", "d:Description": "Official home page for Patricia Bray, author of The Sword of Change series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bray,_Patricia", "url": "http://www.sff.net/people/patriciabray/"} +{"d:Title": "Breton, Laurie", "d:Description": "The author of romantic suspense novel Black Widow, published by Neighborhood Press.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Breton,_Laurie", "url": "http://www.angelfire.com/az2/breton/"} +{"d:Title": "Bretton, Barbara", "d:Description": "Features book excerpts, a bibliography, articles on time travel and other aspects of the writing life.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bretton,_Barbara", "url": "http://www.barbarabretton.com/"} +{"d:Title": "Brisbin, Terri", "d:Description": "Meet Romance Author Terri Brisbin and learn more about her current and upcoming releases and appearances.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brisbin,_Terri", "url": "http://www.terribrisbin.com/"} +{"d:Title": "Brock, Carrie", "d:Description": "The author of In the Heart of the Diamond.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brock,_Carrie", "url": "http://www.angelfire.com/or/carriebrock/"} +{"d:Title": "Brockmann, Suzanne", "d:Description": "Official site. The bestselling author of the \"Tall, Dark and Dangerous\" category romances about U.S. Navy SEALs.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brockmann,_Suzanne", "url": "http://www.suzannebrockmann.com/"} +{"d:Title": "Brockway, Connie", "d:Description": "RITA winner. My Dearest Enemy 1999 Romance Writers of America's Best Long Historical.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brockway,_Connie", "url": "http://www.conniebrockway.com/"} +{"d:Title": "Bromige, Iris", "d:Description": "A site about the English romance author Iris Bromige. It lists book titles, and gives publication details, dust jacket illustrations, and blurb examples where possible.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bromige,_Iris", "url": "http://www.thirzajane.com/ib/welcome.html"} +{"d:Title": "Brown, Sandra", "d:Description": "Official homepage of author Sandra Brown, NY Times bestselling author of Unspeakable, Fat Tuesday, and Exclusive.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brown,_Sandra", "url": "http://sandrabrown.net/"} +{"d:Title": "Exclusively Sandra", "d:Description": "Dedicated to Sandra Brown, the author of over 60 novels. Includes biography, book descriptions and information, and a mailing list.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brown,_Sandra", "url": "http://excl_sandra.tripod.com/"} +{"d:Title": "French Silk (1994) (TV)", "d:Description": "Susan Lucci stars as Claire Laurent, a Louisiana woman accused of killing another man in town.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Brown,_Sandra/Movies", "url": "http://www.imdb.com/title/tt0109841/"} +{"d:Title": "Bryan, Bobette", "d:Description": "The author of Lady of The Night.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Bryan,_Bobette", "url": "http://www.cookiecreation.com/"} +{"d:Title": "Buchan, Elizabeth", "d:Description": "Official site of bestselling author, of Consider the Lily. Winner of 1994 Romantic Novelists Association. She is on the committee for the Society of Authors.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Buchan,_Elizabeth", "url": "http://www.elizabethbuchan.com/"} +{"d:Title": "Buckley Borcz, Geri", "d:Description": "Author of historical and contemporary romances. Biography and books list.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Buckley_Borcz,_Geri", "url": "http://www.geribuckley.com/"} +{"d:Title": "Burgh, Anita", "d:Description": "A prolific British Writer of women's contemporary fiction and romantic sagas.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Burgh,_Anita", "url": "http://www.anitaburgh.com/"} +{"d:Title": "Burnham, Nicole", "d:Description": "The author of Going To The Castle. The latest news, upcoming releases, Nic's Picks, and a monthly contest.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/B/Burnham,_Nicole", "url": "http://www.nicoleburnham.com/"} +{"d:Title": "Cross, Brian", "d:Description": "The author of Snowbird.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C", "url": "http://briancross.net/"} +{"d:Title": "Corgiat, Jerri", "d:Description": "The author of contemporary romance. Site lists works, excerpts, bio, newsletter,and contest information.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C", "url": "http://www.jerricorgiat.com/"} +{"d:Title": "Cooke, Cynthia", "d:Description": "Romantic suspense author of Luck and a Prayer from Steeple Hill, Love Inspired. .", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C", "url": "http://cynthiacooke.com/"} +{"d:Title": "Crane, Megan", "d:Description": "Author of the chicklit novel, English as a Second Language.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C", "url": "http://www.megancrane.com/"} +{"d:Title": "Campbell, L.K.", "d:Description": "The website of World War II romance novelist of A Soldier's Love.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C", "url": "http://www.lkcampbell.com/"} +{"d:Title": "Craft, Melanie", "d:Description": "The official website of the contemporary romance novelist Melanie Craft. Features profiles, news, reviews, book excerpts and FAQs.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C", "url": "https://www.rebelmouse.com/melaniecraft/"} +{"d:Title": "Carson, Nancy", "d:Description": "UK-based writer of potent romantic sagas. Biography and book excerpts.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C", "url": "http://www.nancy-carson.com/"} +{"d:Title": "Cach, Lisa", "d:Description": "The author Of Midnight Born.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cach,_Lisa", "url": "http://www.lisacach.com/"} +{"d:Title": "Cameron, Stella", "d:Description": "The author of Key West.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cameron,_Stella", "url": "http://www.stellacameron.com/"} +{"d:Title": "Campbell, Glynnis", "d:Description": "Voiceover artist featured on MTV, Blizzard's Diablo and Starcraft, and Berkeley Jove Romance author of My Champion and My Warrior", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Campbell,_Glynnis", "url": "http://www.glynnis.net/"} +{"d:Title": "Campisi, Mary", "d:Description": "Author of Romance and Women's Fiction", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Campisi,_Mary", "url": "http://www.marycampisi.com/"} +{"d:Title": "Carl, Lillian Stewart", "d:Description": "The author of contemporary mysteries (romantic suspense) with historical elements, also fantasy novels (rooted in mythology) and science fiction. Her books include Sabazel, The Winter King, Shadow Dancers, Wings Of Power, Ashes To Ashes, Dust To Dust, Garden Of Thorns, Along The Rim Of Time", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Carl,_Lillian_Stewart", "url": "http://www.lillianstewartcarl.com/"} +{"d:Title": "Carmichael, C J", "d:Description": "RITA-nominated author of contemporary romantic fiction, her stories run the gamut from family drama to romantic intrigues.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Carmichael,_C_J", "url": "http://www.cjcarmichael.com/"} +{"d:Title": "Carr, Robyn", "d:Description": "The author of The Wedding Party.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Carr,_Robyn", "url": "http://www.robyncarr.com/"} +{"d:Title": "Carr, Susanna", "d:Description": "Author of short contemporary sensual romances. Current releases, biography, reading recommendations, articles on writing and contests.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Carr,_Susanna", "url": "http://www.susannacarr.com/"} +{"d:Title": "BBC News: Your Memories of Barbara Cartland", "d:Description": "On the death of the British romantic novelist at the age of 98, the BBC garnered tributes and criticism from the public.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cartland,_Barbara", "url": "http://news.bbc.co.uk/1/hi/talking_point/758082.stm"} +{"d:Title": "Hazard of Hearts, A (1987) (TV)", "d:Description": "When compulsive gambler Sir Giles Staverley has lost his estate and all his money playing dice, he realises that he only has one thing left of value: his daughter Serena. In a final game, he stakes his daughter's hand in marriage, convinced that this time he will not lose.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cartland,_Barbara/Movies", "url": "http://www.imdb.com/title/tt0093159/"} +{"d:Title": "Lady and the Highwayman, The (1989) (TV)", "d:Description": "The young lady Panthea Vyne falls in love with the handsome highwayman who saves her from her brutal husband.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cartland,_Barbara/Movies", "url": "http://www.imdb.com/title/tt0097707/"} +{"d:Title": "Ghost in Monte Carlo, A (1990) (TV)", "d:Description": "Weary of her very public life in Paris, an aging courtesan takes her orphaned niece from her convent home and relocates to Monte Carlo to begin a new life.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cartland,_Barbara/Movies", "url": "http://www.imdb.com/title/tt0099655/"} +{"d:Title": "Duel of Hearts (1992) (TV)", "d:Description": "Lady Caroline Fay meets Lord Vane Breccon, and is attracted to him. She finds out that he is being accused of a murder he did not commit. She sets out to prove him innocent, and takes a position in his family castle as a companion to his mother.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cartland,_Barbara/Movies", "url": "http://www.imdb.com/title/tt0104149/"} +{"d:Title": "Chadwick, Elizabeth", "d:Description": "British author of historical fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Chadwick,_Elizabeth", "url": "http://www.elizabethchadwick.com/"} +{"d:Title": "Chamberlain, Diane", "d:Description": "The award-winning author of nine novels. Her storylines are often a combination of romance, family drama, intrigue and suspense.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Chamberlain,_Diane", "url": "http://www.dianechamberlain.com/"} +{"d:Title": "Chamberlin, Ann", "d:Description": "The author of Sofia.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Chamberlin,_Ann", "url": "http://www.annchamberlin.com/"} +{"d:Title": "Charpentier, Julia Ann", "d:Description": "The author of Starlit Obsession. This freelance writer and editor specializes in electronic books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Charpentier,_Julia_Ann", "url": "http://www.juliacharpentier.com/"} +{"d:Title": "Child, Maureen", "d:Description": "She writes stories about contemporary men and women for Silhouette Desire. Be sure to check out her continuing series, The Bachelor Battalion, about the men and women serving in the U.S. Marine Corps.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Child,_Maureen", "url": "http://www.maureenchild.com/"} +{"d:Title": "Coffman, Elaine", "d:Description": "Bestselling author of romance novels. Look for The Fifth Daughter coming soon.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Coffman,_Elaine", "url": "http://elainecoffman.com/"} +{"d:Title": "Cole, Kresley", "d:Description": "Author of historical romance. Biography, release dates, mailing list, and what to expect as a soon-to-be published romance writer.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cole,_Kresley", "url": "http://www.kresleycole.com/"} +{"d:Title": "Comstock, Mary Chase", "d:Description": "British Historical author's booklist and biography.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Comstock,_Mary_Chase", "url": "http://members.tripod.com/regencycafe/aboutmary.html"} +{"d:Title": "Conrad, Linda", "d:Description": "The author of Operation-Rock-A-Bye trilogy.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Conrad,_Linda", "url": "http://www.lindaconrad.com/"} +{"d:Title": "Cornick, Nicola", "d:Description": "The author of regency romantic fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cornick,_Nicola", "url": "http://www.nicolacornick.co.uk/"} +{"d:Title": "Coulter, Brenda", "d:Description": "Heartwarming, contemporary romance celebrating the Christian faith.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Coulter,_Brenda", "url": "http://www.brendacoulter.com/"} +{"d:Title": "Catherine Coulter Book Listing", "d:Description": "A complete list of all Catherine Coulter's novels.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Coulter,_Catherine", "url": "http://members.tripod.com/~silver_angel_/page0001.html"} +{"d:Title": "Coulter, Catherine", "d:Description": "Author of romance/suspense novels. News, reviews, and excerpts are presented.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Coulter,_Catherine", "url": "http://www.catherinecoulter.com/"} +{"d:Title": "Romance Writer Debra Cowan's Home Page", "d:Description": "The author of One Silent Night, Silhouette Intimate Moments #899.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cowan,_Debra", "url": "http://www.debracowan.net/"} +{"d:Title": "Cresswell, Jasmine", "d:Description": "The author of The Daughter.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Cresswell,_Jasmine", "url": "http://www.jasminecresswell.com/"} +{"d:Title": "Crooks, Pam", "d:Description": "Presenting her debut novel, Wyoming Wildflower.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Crooks,_Pam", "url": "http://www.pamcrooks.com/"} +{"d:Title": "Crossley, Patricia", "d:Description": "Reviews and extracts from Patricia's books. From New Concepts Publishing A Suitable Father (September 2000), Journey's End ( November 2000). From Wordbeams: Beloved Stranger,(March 2001)", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Crossley,_Patricia", "url": "http://www.patriciacrossley.com/"} +{"d:Title": "Allreaders Jennifer Crusie Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Crusie,_Jennifer", "url": "http://www.allreaders.com/Topics/Topic_584.asp"} +{"d:Title": "Crusie, Jennifer", "d:Description": "Official site; single title humorous women's fiction and romance. Backlist, upcoming releases, events schedule, biography, essays and opinions, blogs, FAQ, links, contest, mailing list, and fan club.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Crusie,_Jennifer", "url": "http://www.jennycrusie.com/"} +{"d:Title": "Curington, Lanette", "d:Description": "Author of futuristic, paranormal, and contemporary romance. Excerpts and reviews of published novels and works in progress.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/C/Curington,_Lanette", "url": "http://curington.tripod.com/"} +{"d:Title": "Delisi, Elizabeth", "d:Description": "Romantic suspense from author Elizabeth Delisi, and articles on writing techniques. Author of Fatal Fortune.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://members.tripod.com/~ElizabethDelisi/"} +{"d:Title": "Dodd, Christina", "d:Description": "The author of The Runaway Princess.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.christinadodd.com/"} +{"d:Title": "Delk, Karen Jones", "d:Description": "The home of historical author Karen Jones Delk, AKA \"Kate Kingsley\". The author of Emerald Queen.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.ddelk.com/karen.htm"} +{"d:Title": "D'Alessandro, Jacquie", "d:Description": "The author of Red Roses Mean Love.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.jacquied.com/"} +{"d:Title": "Davis, Dee", "d:Description": "Provides information on the author's books including A Match Made on Madison, Everything in its Time and the A-Tac series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.deedavis.com/"} +{"d:Title": "Donnelly, Shannon", "d:Description": "Winner of the Romance Writers of America's national Golden Heart for Best Regency. The author of A Compromising Situation coming November 2000 from Zebra Regency.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.shannondonnelly.com/"} +{"d:Title": "Dick, Marrissa", "d:Description": "Nubian romance novels by Marrissa, AngelFire, Cousins, Letters and Them's Eve's Daughter's.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.nubianromance.com/"} +{"d:Title": "Devine, Thea", "d:Description": "Romantic Times calls her \"The Queen of Erotic Romance,\" Affaire de Coeur: \"... the divine mistress of sensual writing ...\" Thea is the author of 16 steamy historical romances, a handful of sexy contemporaries and several best-selling novellas.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.theadevine.com/"} +{"d:Title": "DeNosky , Kathie", "d:Description": "Makes her romance fiction debut with Did You Say Married?!, a May 2000 release, from Silhouette Desire, and a finalist in the prestigious Romance Writers of America Golden Heart Award.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.kathiedenosky.com/"} +{"d:Title": "Delinsky, Barbara", "d:Description": "You'll find information about her titles, Lake News, Coast Road and Three Wishes. The latest is The Vineyard. Books published by Simon and Schuster, Inc. Get advice to would-be writers.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.barbaradelinsky.com/"} +{"d:Title": "Dansby, Lee Ann", "d:Description": "The author of Forever, My Knight", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.lionhearted.com/ladansby.htm#leeann"} +{"d:Title": "Dain, Claudia", "d:Description": "Romance author of To Burn.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.claudiadain.com/"} +{"d:Title": "Duarte, Judy", "d:Description": "Award winning romance author of Cowboy Courage, Family Practice and Almost Perfect.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.judyduarte.com/"} +{"d:Title": "DeGroot, Jacqueline", "d:Description": "Breathtaking stories of romance filled with sizzling passion. Your heart will be touched by the endearing characters as they fall in love with each other.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.jacquelinedegroot.com/"} +{"d:Title": "Denholtz, Roni", "d:Description": "A diverse writer who has published articles and poetry in national and regional magazines. \"Lights of Love\" published by Avalon Books garnered enthusiastic reviews.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.ronidenholtz.com/"} +{"d:Title": "Devlin, Mary", "d:Description": "Features the romance and mystery novels of California author Mary Devlin, whose specialty is historical novels of both genres. Sponsored by The Romance Club.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.authorsden.com/marydevlin"} +{"d:Title": "Donovan, Kate", "d:Description": "The author romantic comedy and romantic suspense; time-travel, paranormal and historical romance; and action-adventure stories.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.katedonovan.com/"} +{"d:Title": "Denison, Janelle", "d:Description": "Steamy category and contemporary author of the Wilde family series. Profile and interview, backlist and awards, image gallery, contest, store, and mailing lists.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://janelledenison.com/"} +{"d:Title": "Day, Sylvia", "d:Description": "Romance author shares information on new releases, excerpts of upcoming releases, and offers a mailing list to keep readers informed.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.sylviaday.com/"} +{"d:Title": "Devoti, Lori", "d:Description": "Author of dark paranormal romances and romantic comedies. Information on upcoming releases, excerpts, contests and link to join newsletter.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.loridevoti.com/"} +{"d:Title": "Dare Davis, Justine", "d:Description": "The first website wholly dedicated to author Justine Davis (aka Justine Dare), with synopses of all her books, reviews, a survey, a quiz, excerpts, a biography.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.justinedare.com/index.html"} +{"d:Title": "Dickinson, Margaret", "d:Description": "Author of romantic fiction novels (regional family sagas) set in Lincolnshire and Nottinghamshire.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.panmacmillan.com/author/margaretdickinson"} +{"d:Title": "Domning, Denise", "d:Description": "The author of A Love For All Seasons and The Servant of the Crown series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D", "url": "http://www.thefarmonoakcreek.com/"} +{"d:Title": "Dailey, Janet", "d:Description": "The author of scores of contemporary americana including the Calder Saga.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/D/Dailey,_Janet", "url": "http://www.janetdailey.com/"} +{"d:Title": "Eastwood, Gail", "d:Description": "Award-winning Regency author Gail Easwood announces her newest book, Magnificent Marquess.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/E", "url": "http://www.eclectics.com/gaileastwood/index.html"} +{"d:Title": "Ewing, Jean Ross", "d:Description": "Author of Regency and historical romance of Flowers Under Ice, with a four-plus Gold Medal Rave Review from Romantic Times.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/E", "url": "http://www.jeanrossewing.com/"} +{"d:Title": "Ellis, Julie", "d:Description": "Author of historical sagas and historical romances and contemporary fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/E", "url": "http://www.julieellis.net/"} +{"d:Title": "Emerson, Kathy Lynn", "d:Description": "The author of Sleepwalking Beauty.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/E", "url": "http://www.kathylynnemerson.com/"} +{"d:Title": "Eakes, Laurie Alice", "d:Description": "Romance Author of The Widow's Secret.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/E", "url": "http://www.lauriealiceeakes.com/"} +{"d:Title": "Embry, Michael", "d:Description": "Contemporary mainstream writer of The Touch and A Long Highway.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/E", "url": "http://www.michaelembry.com/"} +{"d:Title": "Freethy, Barbara", "d:Description": "Award winning author of The Sweetest Thing, lists books, biography, news and other romance genre updates.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://barbarafreethy.com/"} +{"d:Title": "Farr, Diane", "d:Description": "Romance Author of Fair Game.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.angelfire.com/fl/ringhome/farr.html"} +{"d:Title": "Fudge, Pam", "d:Description": "A published author with two romance books in print and many magazine articles to her name.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.pamfudge.co.uk/"} +{"d:Title": "Feehan, Chistine", "d:Description": "The author of the bestselling paranormal romance novel, Dark Prince.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.christinefeehan.com/"} +{"d:Title": "Foley, Gaelen", "d:Description": "Includes forthcoming books and Yahoo group.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.gaelenfoley.com/"} +{"d:Title": "Fox, Karen", "d:Description": "Includes author information, contact form, articles on writing techniques, and list of books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.karenafox.com/"} +{"d:Title": "Ferguson, Joann", "d:Description": "Includes bibliography, biography, and contact form.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.joannferguson.com/"} +{"d:Title": "Fetzer, Amy J.", "d:Description": "The author of The Irish Knight. Includes excerpts from her books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.amyjfetzer.com/"} +{"d:Title": "Fforde, Katie", "d:Description": "UK best-selling author of contemporary, humorous romances. Details of all books with chapter openers, synopses, biography and other writing.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.katiefforde.com/"} +{"d:Title": "Fenton, Kate", "d:Description": "This sites contains her books, biogrgaphy and regular column from the North Yorkshire-based, romantic comedy novelist, married to actor, Ian Carmichael.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.katefenton.com/main.htm"} +{"d:Title": "Fielding , Liz", "d:Description": "Writes romantic novels for Harlequin and Mills and Boon, and the author of The Tycoon's Takeover.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.lizfielding.com/"} +{"d:Title": "Fairchild, Elisabeth", "d:Description": "The author of A Game of Patience.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.gimarc.com/fairchild.html"} +{"d:Title": "Ferrarella, Marie", "d:Description": "Romance author of over 120 best selling romance books. Romantic Times top pick, Waldenbooks best seller for And Babies Make Four.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.marieferrarella.com/"} +{"d:Title": "Fritter, Debbie", "d:Description": "Wisconsin Romance Author of contemporary, historical, time-travel, paranormal romances.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.angelfire.com/de3/debbiefritter/"} +{"d:Title": "Fleming, Nickie", "d:Description": "This site showcases Nickie Fleming's novels, features interviews with famous authors and offers contests to amateur writers. The site is in English with many pages also translated into Dutch.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://users.skynet.be/fa024707/"} +{"d:Title": "Foster, Lori", "d:Description": "The author of Beguiled Harlequin 50th Anniversary Limited Collector's Edition.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.lorifoster.com/"} +{"d:Title": "Forster, Suzanne", "d:Description": "The author of Husband, Lover, Stranger.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.suzanneforster.com/"} +{"d:Title": "Flynn, Connie", "d:Description": "Categories, and romantic suspense and paranormal single title author and fiction writing instructor; also wrote as Casey Roberts. Book list, biography, and available courses.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://www.connieflynn.com/"} +{"d:Title": "Foltz, Shellie", "d:Description": "Author provides biography, book details and interviews.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/F", "url": "http://shelliefoltz.wordpress.com/"} +{"d:Title": "Grant, Tracy", "d:Description": "Historical romance novels by Tracy Grant set in Regency Scotland and England. Includes excerpts and historical notes.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.tracygrant.org/"} +{"d:Title": "Givner, Carol", "d:Description": "GoldDuets is Carol site for all her alias, the author of Bing, Bang, Boom.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.goldduets.com/"} +{"d:Title": "Gellis, Roberta", "d:Description": "The author of Irish Magic.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.robertagellis.com/"} +{"d:Title": "Goudge, Eileen", "d:Description": "The author of Garden of Lies, Such Devoted Sisters, Blessing in Disguise, Trail of Secrets, and Thorns of Truth.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.eileengoudge.com/"} +{"d:Title": "Gibson, Rachel", "d:Description": "USATODAY best selling author, Rachel Gibson is known for writing fast paced novels filled with humor. Rachelgibson.com gives readers a forum to get info about upcoming and existing books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.rachelgibson.com/"} +{"d:Title": "Grosvenor, Linda Dominique", "d:Description": "The author of Sometimes I Cry.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.angelfire.com/ny2/grosvenor/"} +{"d:Title": "Gurnee , David", "d:Description": "The author of Memoirs of Elise from WindTime Publications.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.windtime.com/"} +{"d:Title": "Grayson, Elizabeth", "d:Description": "In 1986 her first historical romance, \"Love, Honor and Betray\" was published under the name Elizabeth Kary. In 1995 she began to write \"frontier stories\" under the name Elizabeth Grayson. Stop in and read about her wonderful new book, PAINTED BY THE SUN, a book Romantic Times calls a \"sheer wonder of a story.\"", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.elizabethgrayson.com/"} +{"d:Title": "Graham, Janice", "d:Description": "An interview with bestselling romance author of Firebird. Plus biography, excerpts, reviews and book jacket summary.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.bookbrowse.com/index.cfm?page=title&titleID=336"} +{"d:Title": "Gracie, Anne", "d:Description": "This is the official website of romance author Anne Gracie, who writes historical romances for Harlequin, Mills and Boon and contemporary comedies for Harlequin Duets.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.annegracie.com/"} +{"d:Title": "Gale, Barbara", "d:Description": "This is the official home page of romance author, Barbara Gale. Author of The Ambassador's Vow.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.barbaragale.com/"} +{"d:Title": "Gold, Kristi", "d:Description": "Romance author of His E-Mail Order Wife.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.kristigold.com/"} +{"d:Title": "Grey, Amelia", "d:Description": "aka Gloria Dale Skinner, author of romance and women's fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.ameliagrey.com/"} +{"d:Title": "Griggs, Winnie", "d:Description": "Romance author of What Matters Most.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.winniegriggs.com/"} +{"d:Title": "Gordon, Lucy", "d:Description": "The author of Farelli's Wife", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.lucy-gordon.com/"} +{"d:Title": "Gerard, Cindy", "d:Description": "The author of Lone Star Knight and other best selling romance novels.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.cindygerard.com/"} +{"d:Title": "Guhrke, Laura Lee", "d:Description": "Award-winning author of historical romance, Laura Lee Guhrke is known for her engaging characters and vivid story lines. Winner of the RITA award.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.lauraleeguhrke.com/"} +{"d:Title": "Green, Crystal", "d:Description": "Romance author of There Goes The Bride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://crystal-green.com/"} +{"d:Title": "Gifford, Blythe", "d:Description": "Award winning historical author of The Knave and the Maiden Harlequin Historicals January 2004.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.blythegifford.com/"} +{"d:Title": "Greene, Elena", "d:Description": "The author of Regency romance, including biography, reviews and excerpts from current books, previews of upcoming books and some fun links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.elenagreene.com/"} +{"d:Title": "Gray, R. Garland", "d:Description": "The author of paranormal, fantasy and futuristic romance novels, published in paperback and e-book. Biography, title lists, sample chapters, e-book tutorial.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.rgarlandgray.com/"} +{"d:Title": "Gleason, Colleen", "d:Description": "The author of A Whisper of Rosemary.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.colleengleason.com/"} +{"d:Title": "Gardner, Darlene", "d:Description": "Darlene Gardner is a Harlequin Duet's author. She would love to hear from you. Stop by her Home Page.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.darlenegardner.com/"} +{"d:Title": "Graham, Lynne", "d:Description": "Harlequin romance author since 1987. Current best seller The Contaxis Baby.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.lynnegraham.com/"} +{"d:Title": "Greenwood, Leigh", "d:Description": "Pseudonym for Harold Lowery; past President of RWA. Best known for western historical single title series including The Cowboys, and Seven Brides; has also written a few category contemporaries. Biography and awards, and notes on his backlist in order by series with selected reviews.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://www.leigh-greenwood.com/"} +{"d:Title": "Grant, Vanessa", "d:Description": "Contains information on the author's novels and the \"Writing Romance\" book, and provides a 60,000 name database, Character Names for Writers \u2013 from Muse Creations.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "http://vanessagrant.com/"} +{"d:Title": "Gerow, Tina", "d:Description": "Romance authors biography, current writing project and workshops and speaking information.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G", "url": "https://tinagerow.wordpress.com/"} +{"d:Title": "Je Suis Prest - The Jamie Fraser Fanlisting", "d:Description": "Dedicated to the lead male character in Diana Gabaldon's Outlander series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gabaldon,_Diana", "url": "http://ensnaring.com/jamiefraser/"} +{"d:Title": "Ladies of Lallybroch", "d:Description": "A community for fans of Diana Gabaldon and the Outlander series. Images, information on the series, and message board.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gabaldon,_Diana", "url": "http://www.lallybroch.com/"} +{"d:Title": "Outlander", "d:Description": "Mailing list for the series featuring Jamie and Claire.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gabaldon,_Diana", "url": "http://groups.yahoo.com/group/outlander/"} +{"d:Title": "Bookworm's Lair: Diana Gabaldon", "d:Description": "Complete bibliography and reviews of selected novels. Available in English and German.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gabaldon,_Diana", "url": "http://www.bookwormslair.de/gabaldon_diana_e.htm"} +{"d:Title": "My \"Outlander\" Thing", "d:Description": "Article about how male reader, Gavin McNett, got sucked into reading and enjoying historical romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gabaldon,_Diana", "url": "http://www.salon.com/1999/08/12/outlander/"} +{"d:Title": "Diana Gabaldon", "d:Description": "Official site for the author of the Outlander series. Includes news and notes from the author, excerpts and a writer's corner.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gabaldon,_Diana", "url": "http://www.dianagabaldon.com/"} +{"d:Title": "Gaffney, Patricia", "d:Description": "The author of Circle Of Three from HarperCollins.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gaffney,_Patricia", "url": "http://www.patriciagaffney.com/"} +{"d:Title": "Bookworm's Lair: Patricia Gaffney", "d:Description": "Bibliography and reviews. Available in English and German.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Gaffney,_Patricia", "url": "http://www.bookwormslair.de/gaffney_patricia_e.htm"} +{"d:Title": "Garwood, Julie", "d:Description": "Medieval, Regency, and contemporary romantic suspense. Back list, series, news, contest, image gallery, and guestbook; site is interactive. [Flash]", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Garwood,_Julie", "url": "http://www.juliegarwood.com/"} +{"d:Title": "Rose Hill (1996) (TV)", "d:Description": "Four orphan boys are going West when they pass through Boston and find a baby girl in the trash and decide to take care of her and name her Mary Rose. Eventually they set up a ranch which they name Rose Hill.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Garwood,_Julie/Movies", "url": "http://www.imdb.com/title/tt0117515/"} +{"d:Title": "Grant, Susan", "d:Description": "RITA-winning author of romance, science fiction, and action adventure.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/G/Grant,_Susan", "url": "http://www.susangrant.com/"} +{"d:Title": "Harris, Raina Wissing", "d:Description": "The author of The Captain's Fund, a romance suspense novel is set on St. Maarten. Rod tries to escape his devastating sorrow caused by the death of his beloved wife until a chance encounter with Mary Catherine.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.thecaptainsfund.com/"} +{"d:Title": "Haeger, Diane", "d:Description": "The author of Beyond The Glen.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.dianehaeger.com/"} +{"d:Title": "Hunter, Madeline", "d:Description": "The author of historical romance, By Arrangement.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.madelinehunter.com/"} +{"d:Title": "Hatcher, Robin Lee", "d:Description": "The author of Patterns of Love.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.robinleehatcher.com/"} +{"d:Title": "Hendrix, Lisa", "d:Description": "The author of several romance novels including excerpt of her upcoming book Razzle Dazzle.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.lisahendrix.com/"} +{"d:Title": "Hannah, Kristin", "d:Description": "The author of Mystic Lake.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.kristinhannah.com/"} +{"d:Title": "Hawkins, Karen", "d:Description": "The author of The Abuduction of Julia. Also writes as Kim Bennett.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.karenhawkins.com/"} +{"d:Title": "Hudson , Janis Reams", "d:Description": "The author of Winter's Touch.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.janisreamshudson.com/"} +{"d:Title": "Harmon, Danelle", "d:Description": "The author of The Beloved One.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.danelleharmon.com/"} +{"d:Title": "Hanna, Lynn", "d:Description": "The author of The Starry Child", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://members.tripod.com/~scotgaelic/StarryChild.html"} +{"d:Title": "Heller, Jane", "d:Description": "First chapters from Jane's novels, the inspiration behind the ideas and an excerpt from Jane's upcoming novel.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.janeheller.com/"} +{"d:Title": "Higgs, Liz Curtis", "d:Description": "The author of Bookends, a new, inspirational romantic comedy from Multnomah publishers.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.lizcurtishiggs.com/"} +{"d:Title": "Henderson, Dee", "d:Description": "The author of romantic suspense books Danger in the Shadows, The Negotiator, The Guardian and military romances True Devotion, True Valor, True Courage, True Honor.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.deehenderson.com/"} +{"d:Title": "Hern, Candice", "d:Description": "Author of historical romance novels set in early 19th century Regency England, Candice Hern is known for blending humor and emotion with sharp characterization and crisp dialog.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.candicehern.com/"} +{"d:Title": "Hill, Joey W.", "d:Description": "An author of romance and fantasy fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.storywitch.com/"} +{"d:Title": "Holt , Victoria", "d:Description": "Allreaders Spotlight. Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.allreaders.com/Topics/Topic_453.asp"} +{"d:Title": "Hale, Deborah", "d:Description": "Regency and Georgian-era romance featuring historical information, articles on the techniques of writing historical romance, and even period recipes and Shakespearean trivia.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.deborahhale.com/"} +{"d:Title": "Howard, Jeanne", "d:Description": "Author of Seasons of Forgetting, a literate romance featuring the words of poet Walter Benton and the chronicle of a love affair lasting 40 years and is set in Manhattan and at the New Jersey shore. Also read Howard's essays and a short story, Hands Across Time.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.jeannehoward.com/"} +{"d:Title": "Henke, Shirl", "d:Description": "Author of over 15 novels, welcomes you to her home on the web. Stop by to learn more about her writing and read excerpts from her latest book.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.shirlhenke.com/"} +{"d:Title": "Holm, Stef Ann", "d:Description": "Writes Historical romances and is published by Pocket Books. She is a member of Painted Rock Writers and Readers Colony and the author of Hooked.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.stefannholm.com/"} +{"d:Title": "Haasler, Sue", "d:Description": "The author of Two's Company.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.suehaasler.com/"} +{"d:Title": "Hart, Jessica", "d:Description": "Aromance author with Harlequin, Mills and Boon. Look for her series Outback Brides and celebrate three unexpected weddings, Australian-style.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.jessicahart.co.uk/"} +{"d:Title": "Heath, Lorraine", "d:Description": "USA Today Bestselling Author of historical romances.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.lorraineheath.com/"} +{"d:Title": "Hardy, Kristin", "d:Description": "The author of My Sexiest Mistake, Harlequin Blaze.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.kristinhardy.com/"} +{"d:Title": "Harrington, Alexis", "d:Description": "The author of The Bridal Veil.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.alexisharrington.com/"} +{"d:Title": "Hingle, Metsy", "d:Description": "Best-selling romance author announces her newest book, The Bodyguard and the Bridemaid, from Silhouette Desire. This is the final book in the exciting Right Bride, Wrong Groom Trilogy!", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.metsyhingle.com/"} +{"d:Title": "Halliday, Gemma", "d:Description": "Author of contemporary romantic mysteries including the High Heels Mysteries series. Biography, book list, contests, and games.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.gemmahalliday.com/"} +{"d:Title": "Hawke, Lydia", "d:Description": "Historical and Contemporary Author of Firetrail.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://lydiahawke.us/"} +{"d:Title": "Hill, Kate", "d:Description": "A fantasy and vampire romance author of Moonlust Privateer.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.kate-hill.com/"} +{"d:Title": "Handeland, Lori", "d:Description": "Paranormal author of the Nightcreature/Jager-Sucher novels. Online excerpts, Full Moon Club, and monthly contests.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.lorihandeland.com/"} +{"d:Title": "Harrington, Cheryl Cooke", "d:Description": "Author of Sparks Fly and One for Sorrow, Two for Joy. Featuring excerpts and cover art, research journals, and links of interest to readers and writers.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.eclectics.com/cherylcookeharrington/"} +{"d:Title": "Hooper, Kay", "d:Description": "Covers and descriptions of upcoming novels as well as a backlist and contact information for the author.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://www.kayhooper.com/"} +{"d:Title": "Hunter, Diana", "d:Description": "Author of contemporary romantica. First novel is Secret Submission. This site includes biography, excerpts, news, contact information, and writing workshops.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/H", "url": "http://dianahunter.blogspot.com/"} +{"d:Title": "Ivey, Carolan", "d:Description": "Home page of award-winning paranormal romance writer Carolan Ivey.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/I/Ivey,_Carolan", "url": "http://www.carolanivey.com/"} +{"d:Title": "Johnson, Susan", "d:Description": "A fan site dedicated to discussing and reviewing the works of Susan Johnson, the best erotic historical romance novelist in print.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.angelfire.com/ky2/SusanJohnsonReview/index.html"} +{"d:Title": "James, Samantha", "d:Description": "award-winning historical novels have appeared on numerous bestseller lists, including the USA Today and the New York Times. She has more than two million books in print. Be sure to enter her contest and read the excerpt from her fabulous new release, HIS WICKED PROMISE at her new website in Writerspace.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.samanthajames.com/"} +{"d:Title": "Joyce, Brenda", "d:Description": "Fan site called Brendaholics Anonymousis. Read the Deadly Series. News on Brenda's upcoming novels.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.angelfire.com/theforce/susied/"} +{"d:Title": "Jackson, Jane", "d:Description": "Shortlisted for the Parker Romantic Novel of the Year Award for her novel Eye of the Wind", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.janejackson.net/"} +{"d:Title": "Jacobs, Sherry-Anne", "d:Description": "Multi-internationally published author who writes novels under the pen names Anna Jacobs, Shannah Jay and her own name.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.annajacobs.com/"} +{"d:Title": "Jones, Christina", "d:Description": "Popular British author of women's contemporary fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.christinajones.co.uk/"} +{"d:Title": "Jaffe, Michele", "d:Description": "Author Michele Jaffe's official website. Visit the Arboretti at home and personal. Learn more about The Stargazer and The Water Nymph and the fascinating era during which they are set while exploring the Arboretti mansion.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.michelejaffe.com/"} +{"d:Title": "James, Arlene", "d:Description": "The author of The Man With the Money.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.arlenejames.com/"} +{"d:Title": "Jernigan, Brenda K.", "d:Description": "The author of The Duke's Lady.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://members.tripod.com/brendajernigan/index.htm"} +{"d:Title": "Jones, Veda Boyd", "d:Description": "She enjoys the challenge of writing for diverse readers. She is the author of twenty-eight books: nine are romance novels.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.vedaboydjones.com/"} +{"d:Title": "Justiss, Julia", "d:Description": "The author of My Lady's Pleasure.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.juliajustiss.com/"} +{"d:Title": "Jeffries, Sabrina", "d:Description": "The author of After the Abduction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.sabrinajeffries.com/"} +{"d:Title": "Johnson, Donna Lee", "d:Description": "The author of Too Late For Tomorrow.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.dianaleejohnson.com/"} +{"d:Title": "Jackson, Melanie", "d:Description": "Author of medieval Scottish romances. Includes news, event calendar, and photos.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.melaniejackson.com/"} +{"d:Title": "Jordan, Nicole", "d:Description": "Profile of the historical romance author, book information, excerpts, news, FAQ, and photos.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.nicolejordanauthor.com/"} +{"d:Title": "Johnston, Linda O.", "d:Description": "The author of Point in Time.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "http://www.lindaojohnston.com/"} +{"d:Title": "Jones, Linda Winstead", "d:Description": "The author of Bed with Boone.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J", "url": "https://sites.google.com/a/beta.lindawinsteadjones.com/www/"} +{"d:Title": "Lisa Jackson", "d:Description": "Author of suspense, romantic thriller and historical romance novels. Includes book list, biography, calendar, news, and scrapbook.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J/Jackson,_Lisa", "url": "http://www.lisajackson.com/"} +{"d:Title": "Yahoo Groups: Dara Joy", "d:Description": "Mailing list for the author's works and related topics.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/J/Joy,_Dara", "url": "http://groups.yahoo.com/group/DaraJoy-L/"} +{"d:Title": "Kennedy, Kathryne", "d:Description": "The author of Fantasy romance novel, Beneath the Thirteen Moons.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.kathrynekennedy.com/"} +{"d:Title": "Kauffman, Donna", "d:Description": "Check out my current releases and backlist. Plenty reviews and teasers for all of my books. Enjoy. Donna", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.donnakauffman.com/"} +{"d:Title": "Kane, Andrea", "d:Description": "The home of romance writer Andrea Kane. Look for her new two book series, The Gold Coin and The Silver Coin, coming soon.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.andreakane.com/"} +{"d:Title": "Kaye, Sylvie", "d:Description": "Visit the home pages of traditional print and electronic format, contemporary romance author, sign her guestbook and see what's new!", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.sylviekaye.com/"} +{"d:Title": "Kennedy, Gail", "d:Description": "The author of Tell Me No Lies.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://readromance.tripod.com/gail.html"} +{"d:Title": "Kearney, Susan", "d:Description": "Her latest suspense series from Harlequin Intrigue is HIDE AND SEEK/", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.susankearney.com/"} +{"d:Title": "Keevil, Sabine", "d:Description": "The author of the Soundmaster Romance Series, inspired by the songs of Dwight Yoakam.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.thinkingdogpublishing.com/"} +{"d:Title": "Kerce, Ruth", "d:Description": "Contemporary and historical romance author. Articles, excerpts from book releases, and free short stories.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.ruthkerce.com/"} +{"d:Title": "Kay, Patricia", "d:Description": "USA Today bestselling author of contemporary romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.patriciakay.com/"} +{"d:Title": "Kistler, Julie", "d:Description": "Romance author of Calling Mr. Right.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.juliekistler.com/"} +{"d:Title": "Kurland, Lynn", "d:Description": "Romance author of From This Moment On.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.lynnkurland.com/"} +{"d:Title": "Knight, Susanne Marie", "d:Description": "Author Susanne Marie Knight official website listing Romance Writing With A Twist books, news, and information for readers and writers. Be sure to sign up for free newsletter: Knight Dreams.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.susanneknight.com/"} +{"d:Title": "Kwitney, Alisa", "d:Description": "Critically acclaimed author Alisa Kwitney is known for writing \"smart, funny, sexy\" books \"that you can respect in the morning.\" She has an MFA in fiction from Columbia.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.alisakwitney.com/"} +{"d:Title": "Krinard, Susan", "d:Description": "Official site for this paranormal author of the Loup Garou werewolf series and other single titles. Biography, backlist and excerpts, monthly and quarterly newsletters, plus links to past reviews, interviews, and articles.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.susankrinard.com/"} +{"d:Title": "Kenner, Julie", "d:Description": "Author of Nobody Does It Better, Reckless, The Cat's Fancy, Aphrodite's Kiss, and Intimate Fantasy.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.juliekenner.com/"} +{"d:Title": "Kelly, Sahara", "d:Description": "Author of Alana's Magic Lamp and other romantica novels. Excerpts from Sahara Kelly's current and upcoming novels, reviews, the occasional contest and other pages of interest to those who enjoy romanticas.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.saharakelly.com/"} +{"d:Title": "Kelley, Karen", "d:Description": "Official website of award-winning author of Bachelor Party .", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.authorkarenkelley.com/"} +{"d:Title": "Kelly, Leslie", "d:Description": "The author of Two To Tangle, A Harlequin Temptation \"Wrong Bed\" Release.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.lesliekelly.com/"} +{"d:Title": "Kay, Karen", "d:Description": "The author Lone Arrow's Pride (Legendary Warrior Series).", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://www.novels-by-karenkay.com/"} +{"d:Title": "Knights, Katriena", "d:Description": "Paranormal, romantic comedy, and e-book author. Excerpts and newsletter.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://katrienaknights.kabeka.com/"} +{"d:Title": "Kane, Mallory", "d:Description": "Intrigue author of Under Suspicion and Security Breach. Provides biography and newsletter.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "https://mallorykane.wordpress.com/"} +{"d:Title": "Krentz , Jayne Ann", "d:Description": "Complete list of books under all pseudonyms; also well known as Amanda Quick and Jayne Castle. Book covers, reviews, information on upcoming releases and appearances.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/K", "url": "http://jayneannkrentz.com/"} +{"d:Title": "Layton, Edith", "d:Description": "This beautiful and very large site takes you through the books, reviews and inside information on the Historical Romances and Regency Romances written by Edith Layton, an award winning Romance writer.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.edithlayton.com/"} +{"d:Title": "Long, Kathleen", "d:Description": "Romance Author, featuring excerpts from romantic comedy and suspense novels.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.kathleenlong.com/"} +{"d:Title": "London, Julia", "d:Description": "The author of Wicked Angel.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.julialondon.com/"} +{"d:Title": "Leclaire, Day", "d:Description": "Read excerpts from books by award-winning romance author, Day Leclaire.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.dayleclaire.com/"} +{"d:Title": "Lawrence, Ann", "d:Description": "The author of VIRTUAL DESIRE - Romantic Times Magazine (8/00) a fantasy time travel ~ Love Spell/Perfect Heroes Series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.annlawrence.com/"} +{"d:Title": "Lee, Linda Hope", "d:Description": "An artist, and the author of Death in the Dunes.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.lindahopelee.com/"} +{"d:Title": "Lovelace, Merline", "d:Description": "Homepage of best-selling romance and suspense writer Merline Lovelace", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.merlinelovelace.com/"} +{"d:Title": "Lavene, Joyce and Jim", "d:Description": "The official home for mystery and romance authors Joyce and Jim Lavene.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.joyceandjimlavene.com/"} +{"d:Title": "Lane , Allison", "d:Description": "Holt Medallion Winner. Romantic Times Career Achievement Nominee. The author of Three Beaux Trilogy.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.eclectics.com/allisonlane/"} +{"d:Title": "Laurice Daniels", "d:Description": "The featured author of Sarah Llewellyn and the Druid's Curse.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.romantics.net/writers/laurice.html"} +{"d:Title": "Law, Susan Kay", "d:Description": "Award-winning author of Americana Romance, and great Historical Romance. Golden Heart winner and RITA finalist.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://susankaylaw.com/"} +{"d:Title": "Lee, Adrianne", "d:Description": "Romantic suspense author of nine Harlequin Intrigues. Enter and win a prize in The Secret Bride Contest. Become a book reviewer. Use form to send your review of a book. Includes backlist, excerpt, and pictures.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://adriannelee.com/"} +{"d:Title": "Lackey, Ella Deon", "d:Description": "For Friendship's Sake is the premier novel of Ella Deon Lackey, Christian romance novelist.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.deonlackey.com/"} +{"d:Title": "Lloyd, Dee", "d:Description": "Discover and contact her on AuthorsDen. The author of Romantic Suspense novel, Change of Plans, is set on a cruise ship - one of the most sensuous and romantic settings in the world.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=1406"} +{"d:Title": "Lightfoot, Freda", "d:Description": "The author of Gracie's Sin.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.fredalightfoot.co.uk/"} +{"d:Title": "Landis, Jill Marie", "d:Description": "The author of Blue Moon.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.jillmarielandis.com/"} +{"d:Title": "Lund, Jean", "d:Description": "Personal homepage of author Jean Lund which includes a link to Chapter One of a newly finished book on Internet Romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://members.tripod.com/jeannie_allen/"} +{"d:Title": "Levine, Nancy Goldberg", "d:Description": "Romance author of Tempting Jonah.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.eclectics.com/nancygoldberglevine/"} +{"d:Title": "Lowell, Elizabeth", "d:Description": "Pseudonym of Ann Maxwell, author of romantic suspense, contemporary and historical western romances, medieval, and science fiction; also co-writes as A.E. Maxwell with her husband Evan. Series, monthly contest, forums, FAQ, biography, and her readers favorite quotes.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.elizabethlowell.com/"} +{"d:Title": "Lindsey, Johanna", "d:Description": "Yahoo group for fans of the author and romance novels in general.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://groups.yahoo.com/group/JLlist/"} +{"d:Title": "Liu, Marjorie M.", "d:Description": "Paranormal single title author of the Dirk and Steele and Hunter Kiss series. Profile, weblog, backlist, mailing list, writing tips and links, FAQ, deleted scenes, and full cover art images.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://marjoriemliu.com/"} +{"d:Title": "Lindlaker, Rosa", "d:Description": "Includes biography, news, information on novels, bibliography, and contact details.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.rosalindlaker.com/"} +{"d:Title": "London, Cait", "d:Description": "Bestselling Cait London's (aka Cait Logan) new site provides information on her new releases, upcoming and past books. Join Cait's e-newsletter list, enter her contests, and comment in her guest book. Also includes recipes and how-to's for cooks and tips for writers. Bookseller promos offered.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://caitlondon.blogspot.com/"} +{"d:Title": "Loveday , Chrissie", "d:Description": "The author of The Path to Love. Media files and biography.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/L", "url": "http://www.quantium.plus.com/chrissie/"} +{"d:Title": "Moon, Modean", "d:Description": "The home of Modean Moon - Writer of Romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.sff.net/people/mmoon/"} +{"d:Title": "Michaels, Fern", "d:Description": "New York Times best selling author of many acclaimed novels. Ms. Michales divides her time between New Jersey and South Carolina. .", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.fernmichaels.com/"} +{"d:Title": "Mallery, Susan", "d:Description": "Author Susan Mallery, one of Silhouette's writers.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.susanmallery.com/"} +{"d:Title": "Marley, Louise", "d:Description": "British writer of romantic-comedy novels, including Smoke Gets In Your Eyes. Also writes short stories in a variety of genres.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.louisemarley.co.uk/"} +{"d:Title": "McBlain, Ginny", "d:Description": "The author of Solemn Vows, nominated for the Frankfurt eBook Award.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.angelfire.com/electronic/ginnymcblain/"} +{"d:Title": "McComas, Mary Kay", "d:Description": "Biography, links, library of books, questions and answers, and autographs.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.angelfire.com/il/authorfanpage/"} +{"d:Title": "McMahon, Barbara", "d:Description": "The author of Daddys and Daughters.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.barbaramcmahon.com/"} +{"d:Title": "McKnight, Jenna", "d:Description": "Two-time HOLT Medallion Award winning author of contemporary fiction. McKnight excels in both romantic comedy and romantic suspense, has sold over a million books, and appears on bestseller lists.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.jennamcknight.com/"} +{"d:Title": "Mignerey, Sharon", "d:Description": "Official website of Sharon Mignerey, Award-winning author of romantic fiction and dynamic speaker at Writer's Conferences.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.sharonmignerey.com/"} +{"d:Title": "Moore, Kate", "d:Description": "Reading and writing the romance, featuring an excerpt and reviews for her latest novel, A Prince Among Men, and information about the art of writing romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.katemoore.com/"} +{"d:Title": "McCarthy, Candace", "d:Description": "Official web site of romance author Candace McCarthy. Learn about Candace, her backlist, and current and upcoming releases. View photo album.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.candacemccarthy.com/"} +{"d:Title": "Matlock, Curtiss Ann", "d:Description": "The author of Lost Highways.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.curtissannmatlock.com/"} +{"d:Title": "Monroe, Mary Alice", "d:Description": "The author of many best-selling novels including The Book Club.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.maryalicemonroe.com/"} +{"d:Title": "McCormack, Allie", "d:Description": "Romance author of Truck Stop and Dancer.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.alliemccormack.com/"} +{"d:Title": "Mascle, Deanna", "d:Description": "Professional writer and educator offers tips and links on fiction and nonfiction as well as research. The author of Kentucky Kisses.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.deannamascle.com/"} +{"d:Title": "Murray, Kelli L.", "d:Description": "Discover and contact her on AuthorsDen. The author of \"A Mountain Sanctuary\", a contemporary romantic suspense taking place in the Canadian Rocky Mountains.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=1400"} +{"d:Title": "McKeever, Gracie C.", "d:Description": "Discover and contact her on AuthorsDen. New Age Paranormal Urban Romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=623"} +{"d:Title": "Michaels, Rose", "d:Description": "Personal website of romance author Rose Michaels to showcase her work.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.angelfire.com/realm2/rosemichaels/world.html"} +{"d:Title": "Mullins , Debra", "d:Description": "Regency historical romance author of A Necessary Husband.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.debramullins.com/"} +{"d:Title": "McClellan, Sharron", "d:Description": "Award-winning author featuring paranormal, fantasy and contemporary romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.sharronmcclellan.com/"} +{"d:Title": "McKenna, Lindsay", "d:Description": "The author of Morgan's Mercenaries series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.lindsaymckenna.com/"} +{"d:Title": "Morgan, Kathleen", "d:Description": "The official site of inspirational romance author of Embrace The Dawn from Tyndale House Publishers.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.kathleenmorgan.com/"} +{"d:Title": "Morsi, Pamela", "d:Description": "Romance author of Here Comes The Bride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.pamelamorsi.com/"} +{"d:Title": "Macomber, Debbie", "d:Description": "The author of Can This Be Christmas.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.debbiemacomber.com/"} +{"d:Title": "Madden, Sandra", "d:Description": "Romance author managed by Reuben Kincaid, married to Dave, Madden writes from the heart, creating novels of love for your entertainment; lighthearted, sexy, historical and contemporary romances.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.sandramadden.com/"} +{"d:Title": "Miller, Linda Lael", "d:Description": "Author of over 50 novels, primarily contemporary and historical Americana. Also writes Medievals as Lael St. James. Backlist and series, novel excerpts, author biography and note, image gallery, and scholarship opportunities.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.lindalaelmiller.com/"} +{"d:Title": "Martin, Deirdre", "d:Description": "The author of Body Check and Fair Play, published by Jove. Read an excerpt, and skim her biography.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.deirdremartin.com/"} +{"d:Title": "Major, Ann", "d:Description": "Bestselling romance author of Marry A Man Who Will Dance, and Wild Enough For Willa.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.annmajor.com/"} +{"d:Title": "McCabe, Ammanda", "d:Description": "Author of Regency Romances. This site features her biography, title lists, reviews, links, and author updates.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://ammandamccabe.tripod.com/"} +{"d:Title": "May, Judy", "d:Description": "Historical romance, romantic suspense author of Encore L'Amour, The Midnight Angle of Bodmin Moor, A Rhythm Divine, Celtic Rendezvous. Read excerpts, and reviews.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.judymays.com/"} +{"d:Title": "McCallister, Weslynn", "d:Description": "The author of Apache Springs, an award winning romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.authorsden.com/weslynnmccallister"} +{"d:Title": "Martin, L.C.", "d:Description": "Author of Destiny, a romantic suspense that takes place in the sizzling tropics of Key West and the high intensity dazzle of New York City.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.authorsden.com/lcmartin"} +{"d:Title": "McDavid, Cathy", "d:Description": "Romance author of Real Men Sell Bras.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.cathymcdavid.com/"} +{"d:Title": "Motketsan, E.", "d:Description": "Host of the Talk Eddy Radio Show and Author of fiction romance novels suspense novels historical fiction books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.emotketsan.com/"} +{"d:Title": "Mayne, Debby", "d:Description": "Author of inspirational fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://debbymayne.com/"} +{"d:Title": "Mills, Janet", "d:Description": "Author of award-winning contemporary and historical romances in paperback and electronic formats. Check her page for sample chapters and publisher links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.janetmills.net/"} +{"d:Title": "McFalls, Dorothy", "d:Description": "Writer of Regency Romance and Romantic Suspense. Site offers free short stories and information about the author, her upcoming novels, and publications.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.dorothymcfalls.com/"} +{"d:Title": "Moore, Sandra K.", "d:Description": "Action/adventure for women in the Silhouette Bombshell style, including \"The Orchid Hunter.\" Sample chapters, articles on writing, and romance author resources.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.sandrakmoore.com/"} +{"d:Title": "Marton, Dana", "d:Description": "The author of romantic suspense SHADOW SOLDIER. Excerpts, giveaways, writing advice.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.danamarton.com/"} +{"d:Title": "McLinn, Patricia", "d:Description": "Patricia McLinn, award-winning author of best-seller romance novels, offers articles on writing, updates on her books and a community for readers and writers.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.patriciamclinn.com/"} +{"d:Title": "Maguire, Kristie Leigh", "d:Description": "The author of Desert Triangle, the first novel in The Marcie Series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://kristieleighmaguire.tripod.com/"} +{"d:Title": "Monroe, Lucy", "d:Description": "Category, sensual romantic suspense, and historical single title author. Book list, series, news and upcoming releases, foreign editions, appearances, interviews, articles, writing tips and classes, links collection, weblog, mailing list, and FAQ.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.lucymonroe.com/"} +{"d:Title": "McCutcheon, Pam", "d:Description": "For those who enjoy reading romances with a twist, whether they have an added element of humor, time travel, the paranormal, a touch of fantasy, or science fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://home.pcisys.net/~pammc/"} +{"d:Title": "Martinet, Jeanne", "d:Description": "The author of The Art of Mingling and Etiquette for the End of the World. Excerpt and blog.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.jeannemartinet.com/"} +{"d:Title": "Martin, Kat", "d:Description": "The author of historical and contemporary romantic suspense novels. Book excerpts and contests.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.katmartin.com/"} +{"d:Title": "Matthews, Carole", "d:Description": "Website for writer of romantic comedy, Carole Matthews. Book extracts, listings and book-signing information.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/M", "url": "http://www.carolematthews.com/"} +{"d:Title": "Nash, Sophia", "d:Description": "Regency writer and RITA Award winner. Book list and upcoming releases, biography and FAQ, image gallery, news, events calendar, Regency glossary and overview, contest, writers workshops, and media kit.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/N", "url": "http://www.sophianash.com/"} +{"d:Title": "Needham, Linda", "d:Description": "Monthly contests, book excerpts, reviews and updates on historical romance author of The Maiden Bride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/N/Needham,_Linda", "url": "http://www.lindaneedham.com/"} +{"d:Title": "Novak, Brenda", "d:Description": "Visit the home pages of award winning historical and contemporary romance author, enter contests, sign her guestbook and see what's new!", "topic": "Top/Arts/Literature/Genres/Romance/Authors/N/Novak,_Brenda", "url": "http://www.brendanovak.com/"} +{"d:Title": "O'Shea, Patti", "d:Description": "Romance author of Ravyn's Flight, a futuristic romance. Biography, book summary, excerpt, cover, contest, and mailing list.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/O", "url": "http://www.pattioshea.com/"} +{"d:Title": "O'Reilly, Kathleen", "d:Description": "The author of A Christmas Carol.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/O/O'Reilly,_Kathleen", "url": "http://www.kathleenoreilly.com/"} +{"d:Title": "Ortolon, Julie", "d:Description": "The author of the Pearl Island series.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/O/Ortolon,_Julie", "url": "http://www.julieortolon.com/"} +{"d:Title": "Owens, Robin D.", "d:Description": "The author of futuristic fantasy romantic fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/O/Owens,_Robin_D.", "url": "http://www.robindowens.com/"} +{"d:Title": "Putney, Mary Jo", "d:Description": "The home of romance writer Mary Jo Putney. The author of The Rake.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.maryjoputney.com/"} +{"d:Title": "Painter, Sally", "d:Description": "Author of erotic romance books in fantasy, futuristic, paranormal, and historical adventures and co-founder of the hussies book series. Includes biography, latest books, reviews and weblog.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.sallypainter.com/"} +{"d:Title": "Prior, Lily", "d:Description": "The author of La Cucina. Based in Sicily, this novel is about a shy librarian with a broken heart. Her passion for cooking leads to an unexpected love affair and many surprises.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.lilyprior.com/"} +{"d:Title": "Palmer, Diana", "d:Description": "Author of the contemporary western series, Long, Tall Texans. Biography, title lists, guide to series, bulletin board, mailing list, and contest.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.dianapalmer.com/"} +{"d:Title": "Pace, DeWanna", "d:Description": "Website for Bestselling Texas Author DeWanna Pace. Books include Sugar and Spice, and A Taste of Honey.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.angelfire.com/tx/dpace/dpace.html"} +{"d:Title": "Phillips, Idelia", "d:Description": "Site is designed to showcase \"Star Light, Star Bright,\" a novel full of romance, mystery and intrigue.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://members.tripod.com/ip123/index.htm"} +{"d:Title": "Porter, Jane", "d:Description": "Award-winning author of contemporary romance featuring exotic settings such as Greece, Napa Valley, Arabia, and Argentina. Jane Porter is known for great drama and complex characters.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.janeporter.com/"} +{"d:Title": "Pilcher, Robin", "d:Description": "The son of Rosamunde Pilcher and author of Ocean Apart and Starting over.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.robinpilcher.co.uk/"} +{"d:Title": "Plumley, Lisa", "d:Description": "Nationally bestselling author Lisa Plumley has published nearly a dozen romances in several sub-genres, including Falling For April, Making Over Mike, and The Drifter.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.lisaplumley.com/"} +{"d:Title": "Petit, Marianne", "d:Description": "Long Island, New York, romance author. Member of Romance Writers Of America. Interesting writing, and research links. Listing of publishing houses and agents. Excerpts from her latest book.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.mariannepetitbooks.com/"} +{"d:Title": "Pineiro, Caridad", "d:Description": "Latina romance author, for Encanto romance novels. Contains excerpt, covers, a guestbook and fan fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://www.caridad.com/"} +{"d:Title": "Phillips, Susan E.", "d:Description": "Writer of contemporary women's fiction and romance, including the Chicago Stars series. Biography, forums, image galleries, recipes, and backlist.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/P", "url": "http://susanelizabethphillips.com/"} +{"d:Title": "Quinn, Tara Taylor", "d:Description": "Best selling author of Yesterday's Secrets. Contests and biographies.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/Q", "url": "http://www.tarataylorquinn.com/"} +{"d:Title": "Quinn, Julia", "d:Description": "Official site of romance author Julia Quinn. Includes monthly contests, excerpts from her romance novels, and book recommendations.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/Q/Quinn,_Julia", "url": "http://www.juliaquinn.com/"} +{"d:Title": "Royal, Lauren", "d:Description": "The author of Amethyst, from Signet Books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.laurenroyal.com/"} +{"d:Title": "Ross, JoAnn", "d:Description": "The author of Homeplace, from Pocket Books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.joannross.com/"} +{"d:Title": "Ranney, Karen", "d:Description": "Romance writer and author of My Beloved.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.karenranney.com/"} +{"d:Title": "Riley, Eugenia", "d:Description": "The author of Bushwacked Bride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.eugeniariley.com/"} +{"d:Title": "Reynolds, Catriona J", "d:Description": "Romantic comedy books and short stories by author Catriona J Reynolds. Humor and romance are an irresistible combination--you'll find plenty of both right here.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.catrionajreynolds.com/"} +{"d:Title": "Ryan, Patricia", "d:Description": "The author of Summer Heat.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.patricia-ryan.com/"} +{"d:Title": "Rabe, Sheila", "d:Description": "Home page for Christian author and Romance novelist Sheila Rabe.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.sheilasplace.com/"} +{"d:Title": "Reding, Jaclyn", "d:Description": "Check out this award winning author's latest releases, upcoming novels and enter to win a free autographed copy of her latest release.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.jaclynreding.com/"} +{"d:Title": "Rice, Patricia", "d:Description": "The official website of bestselling contemporary and historical romance author Patricia Rice. The site contains news about the author and her books, complete book lists, excerpts, and newsletter signup.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.patriciarice.com/"} +{"d:Title": "Ramsay, Eileen", "d:Description": "Scottish author of Butterflies in December.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.eileenramsay.co.uk/"} +{"d:Title": "Randel, Tara", "d:Description": "A new and exciting author of Christian mystery and romance novels. Her latest published works include the critically acclaimed Lasting Love and Hidden Hearts.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.tararandel.com/"} +{"d:Title": "Rhodes, Elvi", "d:Description": "Author of historical and family sagas including Ruth Appleby, The Mountain, Madeleine and Mulberry Lane. Biography, bibliography, background information and news on work in progress.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.elvirhodes.com/"} +{"d:Title": "Rhodes, M.L.", "d:Description": "Author of sizzling romance and romantica. Current and upcoming releases, excerpts, reviews, and biography.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.mlrhodeswriting.com/"} +{"d:Title": "Rosenthal, Pam", "d:Description": "Erotic romance author of Almost A Gentleman.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.pamrosenthal.com/"} +{"d:Title": "Ranson, Tracy", "d:Description": "The author of historical romance, Bride of the Overlord.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.tracy-ranson.freeservers.com/"} +{"d:Title": "Richards, Emilie", "d:Description": "Official site includes biography, news, book list, and message board, as well as recipes and photos.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.emilierichards.com/"} +{"d:Title": "Reeves, Joan", "d:Description": "Contemporary romance author of Just One Look. Biography, title lists, links and articles of interest to writers and readers, articles on popular culture history, and newsletter offered.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.joanreeves.com/"} +{"d:Title": "Russell, Carolyn", "d:Description": "Women's fiction author of Beyond the Lies, from Wings epress. Read excerpt and reviews read author biography.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://carolynrussell63901.tripod.com/"} +{"d:Title": "Rimmer, Christine", "d:Description": "Website for USA Today Bestselling author of romance novels (Special Edition and Silhouette Single title) featuring her biography, booklists, newsletter/appearances, contests and favorites links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.christinerimmer.com/"} +{"d:Title": "Robens, Myretta", "d:Description": "A writer of historical romance set in the English Regency. The site contains information about her books and other relevant information.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.myrettarobens.com/"} +{"d:Title": "Roundy, Shaun Bradley", "d:Description": "Gone But Not Forgotten a light romance (no heaving breasts or passionate interludes) with a healthy scoop of adventure, inspiration, and insight by college writing teacher Shaun Bradley Roundy, MA. Just like everyone else, you'll finish the book dying to read the sequel.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R", "url": "http://www.uoflife.com/gone/"} +{"d:Title": "Allreaders Nora Roberts Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R/Roberts,_Nora", "url": "http://www.allreaders.com/Topics/Topic_138.asp"} +{"d:Title": "Roberts, Nora", "d:Description": "Signing schedule, complete book list, tour schedule, Nora FAQs, Nora's store and free stuff.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R/Roberts,_Nora", "url": "http://www.noraroberts.com/"} +{"d:Title": "InDeath.net", "d:Description": "An online community for the fans of Eve Dallas, Roarke and the \"In Death\" series of books by J.D. Robb, aka Nora Roberts. News about the series, a forum, and fan fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/R/Roberts,_Nora", "url": "http://www.indeath.net/"} +{"d:Title": "Saint-Clair, C. .C", "d:Description": "Writer of erotic lesbian romance. Includes reviews, news and downloads.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.ccsaint-clair.com/"} +{"d:Title": "Stover, Deb", "d:Description": "The author of Stolen Wishes, October 1999 Robin Hood has never been like this before.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.debstover.com/"} +{"d:Title": "Stuart, Anne", "d:Description": "Writes gothics, regencies, romantic suspense, romantic adventure, series romance, suspense, historical romance, and mainstream contemporary romance. Winner of Romance Writers of America\u2019s prestigious Lifetime Achievement Award.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.anne-stuart.com/"} +{"d:Title": "Stanton, Judith", "d:Description": "Historical romance author Judith Stanton presents frequently asked questions, a contest, biography, reviews, and excerpts of her novels Wild Indigo and His Brother's Bride.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.catcrossing.com/"} +{"d:Title": "Sparks, Kerrelyn", "d:Description": "Historical romance with laugh-out-loud humor. Meet the James Bond of the American Revolution in \"For Love or Country\".", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.kerrelynsparks.com/"} +{"d:Title": "Samuel, Barbara", "d:Description": "Woman's romance fiction author Barbara Samuel, also writing as Ruth Wind and her books, travels and writings. Includes message board and extensive links page.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://barbarasamuel.com/"} +{"d:Title": "Simpson , Patricia", "d:Description": "Patricia Simpson, award-winning author of supernatural romance, shares her latest books plus fabulous writing and self-promotion tips and tricks.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.patriciasimpson.com/"} +{"d:Title": "Skye, Christina", "d:Description": "The author of The Perfect Gift.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.christinaskye.com/"} +{"d:Title": "Squires, Susan", "d:Description": "Danegeld is Susan Squires' first book, a gritty romance, alive with the historical detail she loves. In Dark Age Britain, a Saxon wicce meets a Viking warrior and their love changes history.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.susansquires.com/"} +{"d:Title": "Swift, Sue", "d:Description": "The romance author of The Ranger and the Rescue.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.sue-swift.com/"} +{"d:Title": "Smith, Debra White", "d:Description": "The author of Second Chances, First Book in The Seven Sister Series from Harvest House Publishers. Also an editor, and speaker.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.debrawhitesmith.com/"} +{"d:Title": "Simmons, Deborah", "d:Description": "The author of more than a dozen historical romances and novellas.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.deborahsimmons.com/"} +{"d:Title": "Soard, Lori", "d:Description": "The author of Man of Means.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.lorisoard.com/"} +{"d:Title": "Sparks, Nicholas", "d:Description": "The Official Web Site for Nicholas Sparks, featuring his biography, in-depth looks at his novels, tips for writers, a messageboard, his calendar, and a weekly update.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.nicholassparks.com/"} +{"d:Title": "Sattler Gail", "d:Description": "The author of Gone Camping.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.gailsattler.com/"} +{"d:Title": "Sage, Kathleen", "d:Description": "The author of Heart of Alaska.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.angelfire.com/il/kathleensage/"} +{"d:Title": "Salonen, Debra", "d:Description": "Romance author of over 10 romance novels, writes for Harlequin Superromance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.debrasalonen.com/"} +{"d:Title": "Scott, Regina", "d:Description": "The author of Utterly Devoted.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.reginascott.com/"} +{"d:Title": "Small, Bertrice", "d:Description": "The author of The O'Malley Saga.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.bertricesmall.com/"} +{"d:Title": "Snodgrass, Catherine", "d:Description": "Author website contains information on current releases, awards, excerpts, articles, and contests. Works include paranormal romance, romantic suspense, and historical romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.catherinesnodgrass.com/"} +{"d:Title": "Sourna, Neale", "d:Description": "Adult Literary romance author of Hobble, Libidinous 1, Aegis, and All Along The Watchtower. Title excerpts, short bio, and bulletin board.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.neale-sourna.com/"} +{"d:Title": "Shalvis, Jill", "d:Description": "The author of Roughing It With Ryan, South Village Singles from Harlequin Temptation, and 2002 MADCAP Comedy Award Winner.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.jillshalvis.com/"} +{"d:Title": "Sands, Charlene", "d:Description": "Romance author of Winning Jenna's Heart, Harlequin Historical.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.charlenesands.com/"} +{"d:Title": "Steffen, Sandra", "d:Description": "Bestselling author of romance fiction, and drama with a touch of humor.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.sandrasteffen.com/"} +{"d:Title": "Stephenson, Carol", "d:Description": "Romance author of Nora's Pride, a Silhouette Special Edition.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.carolstephenson.com/"} +{"d:Title": "Sandrin, Amy", "d:Description": "The author of Got Mick?.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.allreaders.com/Topics/Topic_6250.asp"} +{"d:Title": "Singh, Nalini", "d:Description": "Silhouette Desire author of Desert Warrior.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.nalinisingh.com/"} +{"d:Title": "St. Claire, Roxanne", "d:Description": "Author of contemporary romantic suspense, including Tropical Getaway and French Twist.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.roxannestclaire.com/"} +{"d:Title": "Shelley, Deborah", "d:Description": "Pseudonym of Deborah Mazoyer and Shelley Mosley; with biographies, bibliographies, and reviews.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.deborahshelley.com/"} +{"d:Title": "Strome, Sharah", "d:Description": "Contemporary romance author published in paperback and ebooks.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.sarahstorme.com/"} +{"d:Title": "Sams, Candace", "d:Description": "- Author of the paranormal romance series, The Tales of The Order. Biography, backlist titles, guide to new releases, message board, contact information and awards.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.candacesams.com/"} +{"d:Title": "Simmons, Lynda", "d:Description": "The author of Just The Way You Aren't.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.lyndasimmons.com/"} +{"d:Title": "Sawyer, Meryl", "d:Description": "Romance writer and author of Half Moon Bay.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.merylsawyer.com/"} +{"d:Title": "Scott, Theresa", "d:Description": "The author of Northern Nights.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.theresascott.com/"} +{"d:Title": "Stockenberg, Antoinette", "d:Description": "Author of contemporary women's fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://antoinettestockenberg.com/"} +{"d:Title": "Sands, Lynsay", "d:Description": "Historical and paranormal romantic comedy; author of the Argeneau vampire series. Book list including series and foreign editions, new and upcoming releases, author profile, forums, mailing list, image gallery of England, and links collection.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.lynsaysands.net/"} +{"d:Title": "Senehi, Rose", "d:Description": "Author of five romantic thrillers.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.rosesenehi.com/"} +{"d:Title": "Sinclair, Rebecca", "d:Description": "The author of Murphy's Law. Get a free \"Rebecca Sinclair\" Mood Magnet.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.rebeccasinclair.com/"} +{"d:Title": "Salier, Patty", "d:Description": "Ultra-sexy romance author of Non-Refundable Groom and The Sex Test.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.hybridwriter.com/"} +{"d:Title": "Springer, Jan", "d:Description": "Introducing romantic suspense e-books, reviews, excerpt, sneak previews of upcoming books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "https://janspringerauthor.wordpress.com/"} +{"d:Title": "Simpson, Ginger", "d:Description": "Historical romance author. Read excerpts, reviews, and author biography.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S", "url": "http://www.gingersimpsonauthor.com/"} +{"d:Title": "Steel, Danielle", "d:Description": "Check out new release Bittersweat.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S/Steel,_Danielle", "url": "http://www.daniellesteel.com/"} +{"d:Title": "Allreaders Danielle Steel Spotlight", "d:Description": "Analysis of the plot, theme, setting and characters of her books, plus links to similar books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S/Steel,_Danielle", "url": "http://www.allreaders.com/Topics/Topic_362.asp"} +{"d:Title": "Stone, Jewel", "d:Description": "Contemporary romance author also writing as Dominique Sinclair. Book list, newsletter, author profile and image gallery, speech topics, interview, links collection, and monthly contest.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S/Stone,_Jewel", "url": "http://authorjewelstone.com/"} +{"d:Title": "Sinclair, Dominique", "d:Description": "Erotica Romance author of Private Eyes, a novella is scheduled for a December 2004 release from Red Sage Publishing in their acclaimed \"Secrets\" Collection. Read an excerpt and enter to win a FREE book.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/S/Stone,_Jewel", "url": "http://www.dominiquesinclair.com/"} +{"d:Title": "Thompson, Kate", "d:Description": "Writer of romantic fiction. Information about her books.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.kate-thompson.com/"} +{"d:Title": "Thacker, Shelly", "d:Description": "Excerpts, contests, a newsletter, and photographs.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.shellythacker.com/"} +{"d:Title": "Thomas, Jodi", "d:Description": "Website for Bestselling author Jodi Thomas. Ms. Thomas's novels feature romantic tales set in rich historical Texas backgrounds and the best in contemporary women's fiction.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.jodithomas.com/"} +{"d:Title": "Tess, Charlene", "d:Description": "Discover and contact her on AuthorsDen. Debut romantic suspense novel, The Van Winkle Bride, will be available in June of 2001 from Port Town Publishing.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.authorsden.com/visit/author.asp?AuthorID=1606"} +{"d:Title": "Templeton, Julia", "d:Description": "The site of historical romance author Julia Templeton. The author of Surrender to Love.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://juliatempleton.com/"} +{"d:Title": "Tarr, Hope", "d:Description": "Information on releases and personal background on historical romance author, Hope Tarr.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.hopetarr.com/"} +{"d:Title": "Trent, Louisa", "d:Description": "The author of historical and contemporary romantica.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.louisatrent.com/"} +{"d:Title": "Templeton, Karen", "d:Description": "Humor and realism are hallmarks of Karen's family-oriented stories for Silhouette Books. Read excerpts from currently available and upcoming releases; order directly from website.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.karentempleton.com/"} +{"d:Title": "Tyler, Stephanie", "d:Description": "The romance author of Saving Ava.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.stephanietyler.com/"} +{"d:Title": "Taylor, Tawny", "d:Description": "Author of contemporary, paranormal and futuristic erotic romance. Read reviews, free excerpts, news, writing tips and contests.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.tawnytaylor.com/"} +{"d:Title": "Thayne, RaeAnne", "d:Description": "This is the web home of romance author RaeAnne Thayne. Find out news of current books, upcoming releases, previous titles, short stories and more about this award-winning author", "topic": "Top/Arts/Literature/Genres/Romance/Authors/T", "url": "http://www.raeannethayne.com/"} +{"d:Title": "Verdenius, Angela", "d:Description": "The author of paranormal romance.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/V", "url": "http://angelaverdenius.freeservers.com/"} +{"d:Title": "Van Nuys, Joan", "d:Description": "Adventure-packed historical romances are about strong heroines and courageous but caring heros who are Vikings or Native Americans.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/V/Van_Nuys,_Joan", "url": "http://www.eclectics.com/joan/index.html"} +{"d:Title": "Vaughan, Susan", "d:Description": "Maine contemporary romance writer.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/V/Vaughan,_Susan", "url": "http://www.susanvaughan.com/"} +{"d:Title": "Veryan, Patricia", "d:Description": "The unofficial Patricia Veryan Fan Page.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/V/Veryan,_Patricia", "url": "http://mandry.net/veryan/"} +{"d:Title": "Wiley, Denise Dietz", "d:Description": "Bestselling author Denise Dietz Wiley announces the release of her newest book, The Rainbow's Foot, from Voices Publishing, September 1998", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.eclectics.com/denise/"} +{"d:Title": "Wilhelm, Terri Lynn", "d:Description": "Terri Lynn Wilhelm's thrilling romance novels will grip you and touch your heart.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.mindspring.com/~wilhelm/"} +{"d:Title": "Wiggs, Susan", "d:Description": "Author of historical and contemporary romance, and women's fiction. Author profile, weblog, booklist and series, forums, event schedule, awards, FAQ, reading group guides, image galleries, and recipes.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.susanwiggs.com/"} +{"d:Title": "Woods, Sherryl", "d:Description": "Web site of romance author, Sherryl Woods, which includes her monthly newsletter and contest information, current and past book list and an order form to order autographed copies of her books. Also featuring her bookstore, Potomac Sunrise in Colonial Beach, Virginia.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.sherrylwoods.com/"} +{"d:Title": "White, Karen", "d:Description": "Southern single title author writing multiple subgenres. Book list with reviews and awards, author profile, mailing list, appearances, public relations, image gallery, messages, and recommended teen reads.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.karen-white.com/"} +{"d:Title": "Warren, Nancy", "d:Description": "Sensual contemporary category and single title author. Book list, upcoming book excerpt, author profile, writing tips, links, image gallery, newsletter, monthly contest, and virtual booksigning.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.nancywarren.net/"} +{"d:Title": "Walker, Kate", "d:Description": "Writer of novels for Mills&Boon, and Harlequin Presents. Letter from the author, book list, biography, writer resources, and newsletter with cats of the month.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.kate-walker.com/"} +{"d:Title": "Worman, John W.", "d:Description": "The author of The Highest Mountain, one man's struggle for spiritual freedom in a world that oppresses him. To gain that freedom, Bill Colton must face the dark side of his soul.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.angelfire.com/pe/highestmountain/index.html"} +{"d:Title": "Wagner, Jennifer", "d:Description": "Author of romantic suspense for Silhouette Intimate Moments. Biography, book list, image gallery, contest, awards, links, and guestbook.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.jenniferwagner.com/"} +{"d:Title": "Wright, Laura", "d:Description": "A fresh new author you will love to read more of. She writes 21st century Fairy Tales that you will love to read. Look for Cinderella and the Playboy.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.laurawright.com/"} +{"d:Title": "Webb, Debra", "d:Description": "Category romantic suspense author of the Colby Agency series. Author profile, events schedule, backlist, and news. [Flash]", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.debrawebb.com/"} +{"d:Title": "Welfonder, Sue-Ellen", "d:Description": "Scottish-set medieval single titles; also writes paranormal romance as Allie Mackay. Author biography, English and foreign release title lists, personal and scottish image galleries, mailing list, interviews, links collection, medieval and scottish recipes.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.welfonder.com/"} +{"d:Title": "Wallace, Faye", "d:Description": "Writer of historical single titles and the Angel's Daughters series. Book list and excerpts, profile, and note from the author.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.fayewallace.com/"} +{"d:Title": "Wainscott, Tina", "d:Description": "Romantic suspense single titles and humorous category romances. Message boards, guestbook, FAQ, writer resources, image gallery, book list, and author profile.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.tinawainscott.com/"} +{"d:Title": "Wood, Barbara", "d:Description": "The author of Perfect Harmony.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.barbarawood.com/"} +{"d:Title": "Whiteside, Diane", "d:Description": "Spicy romance author of western historicals and paranormals, including the Texas Vampires trilogy. Book list with synopses, forums, author profile, and links collection.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.dianewhiteside.com/"} +{"d:Title": "WhiteFeather, Sheri", "d:Description": "Writer for Silhouette Desire, featuring American Indians. Book list and awards, author profile, critique partners, mailing list, cover models, online stories, image galleries, links collection, and Native American FAQ.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://sheriwhitefeather.com/"} +{"d:Title": "Worth, Sandra", "d:Description": "Award-winning novel \"Love and War\" is a historical epic highlighting Richard III's romance with Anne Neville. Book excerpt, movie, historical background links, and purchasing information.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://www.sandraworth.com/"} +{"d:Title": "Wayne, Joanna", "d:Description": "Category romantic suspense author; biography, titles and series guides, events schedule, and subgenre writing tips.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://joannawayne.com/"} +{"d:Title": "Woodiwiss, Kathleen E.", "d:Description": "Yahoo group list for this romance novelist.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/W", "url": "http://groups.yahoo.com/group/KWoodiwiss/"} +{"d:Title": "Yardley, Cathy", "d:Description": "Author of single title and category romance, chick lit and women's fiction. Biography, excerpts and back list, newsletter, FAQ, speaking topics and writing tips, contest, and message board links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/Y", "url": "http://www.cathyyardley.com/"} +{"d:Title": "York, Rebecca", "d:Description": "Pseudonym of Ruth Glick. Author of cookbooks, romantic suspense and shapeshifter single titles, and the \"43 Light Street\" series done for Harlequin Intrique. Backlist, FAQ, tips for writers, event calendar and speaking topics, image gallery.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/Y", "url": "http://www.rebeccayork.com/"} +{"d:Title": "Zahnle, Lucy E.", "d:Description": "Georgian and Regency romance writer. Overview of her work and interests, a biography, review and chapter excerpt links, poems and a short story.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/Z", "url": "http://www.lucyzahnle.net/"} +{"d:Title": "Zecca, Lori", "d:Description": "Single title contemporary author. Back list, biography, excerpt, and links.", "topic": "Top/Arts/Literature/Genres/Romance/Authors/Z", "url": "http://members.tripod.com/accez-ivil/lorizecca/"} +{"d:Title": "Romance Announce", "d:Description": "Announce-only list for authors to distribute information on upcoming releases to readers, booksellers, and librarians.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/RomanceAnnounce/"} +{"d:Title": "LRB trade list", "d:Description": "Romance book trading list on Yahoo Groups.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/LRBtradelist/"} +{"d:Title": "Category Romance Book Mailing List", "d:Description": "This is a forum for the discussion of writing and reading category romance. Message archives for members.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/cata-romance/"} +{"d:Title": "Love Romance Books", "d:Description": "Readers discussing favorites.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Loveromancebooks/"} +{"d:Title": "QMC", "d:Description": "For those who like romance but also enjoy general off-topic discussions.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/qmc/"} +{"d:Title": "Romance Books", "d:Description": "For individuals interested in buying, selling, and trading books.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/romancebooks/"} +{"d:Title": "Weekly Book Sale", "d:Description": "Announcements only.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/wbs/"} +{"d:Title": "AARlist Romance Discussion List", "d:Description": "Prodigy Romance Listserv. A discussion group for romance readers and writers. This list is affiliated with the site All About Romance.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/aarlist2/"} +{"d:Title": "Canwetalk Romance Discussion List", "d:Description": "A reader's-only list for romance readers.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/canwetalk/"} +{"d:Title": "Yahoo! Groups - romancereaderscafe", "d:Description": "A message forum for those who enjoy reading romance novels.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/romancereaderscafe/"} +{"d:Title": "Yahoo Groups: Scifi-romance", "d:Description": "For the distribution of the Science Fiction Romance newsletter. Geared toward writers of cross-genre romance.", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://tech.groups.yahoo.com/group/scifi-romance/"} +{"d:Title": "Romance at Random", "d:Description": "From Random House", "topic": "Top/Arts/Literature/Genres/Romance/Chats_and_Forums/Mailing_Lists", "url": "http://www.randomhousebooks.com/email/"} +{"d:Title": "We Really Dig Contemporary Novels", "d:Description": "Modern day couples with modern day problems and triumphs.", "topic": "Top/Arts/Literature/Genres/Romance/Contemporary", "url": "http://www.wereallydig.com/romancenovels/c/contemporarynovels.html"} +{"d:Title": "To Kiss or Kill", "d:Description": "What Makes a Successful Romantic Suspense? by Maureen Morah Smith", "topic": "Top/Arts/Literature/Genres/Romance/Contemporary/Romantic_Suspense", "url": "http://www.maureen-smith.com/"} +{"d:Title": "Romantic Suspense Writers", "d:Description": "Mid-Continent Public Library.", "topic": "Top/Arts/Literature/Genres/Romance/Contemporary/Romantic_Suspense", "url": "http://www.mymcpl.org/books-movies-music/romance"} +{"d:Title": "Premier Author Writing Contest", "d:Description": "Vintage Romance Publishing Company is looking for old-fashioned romance writers with a flair for early to mid-twentieth century settings. Please visit our site for our competition details.", "topic": "Top/Arts/Literature/Genres/Romance/Contests", "url": "http://dawnwhitmire.tripod.com/vintage.htm"} +{"d:Title": "Contests at Writerspace", "d:Description": "Offers information on monthly contests running at romance websites. Enter to win autographed books, shirts, gift certificates and other fun things.", "topic": "Top/Arts/Literature/Genres/Romance/Contests", "url": "http://www.writerspace.com/our-contests/"} +{"d:Title": "A World of Romance Book Cover Art", "d:Description": "Romance book cover art and romantic images made into desktop wallpaper.", "topic": "Top/Arts/Literature/Genres/Romance/Covers", "url": "http://romancecovers.us/"} +{"d:Title": "Cover Cafe", "d:Description": "Annual best and worst cover contest since 2005, polls, interviews with artists and authors, and Dear Publishers commentary on book covers.", "topic": "Top/Arts/Literature/Genres/Romance/Covers", "url": "http://www.covercafe.com/"} +{"d:Title": "O'Hearn, Mike", "d:Description": "The Official Mike O'Hearn Website doing romance book covers for Justine Dare, and Marilyn Campbell.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Cover_Models", "url": "http://www.mikeohearn.com/"} +{"d:Title": "Walker, Stacy E", "d:Description": "Where Science Fiction,Fantasy and Romance come together. Stacy E. Walker takes you on a journey through a world between real and the imaged.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Cover_Models", "url": "http://www.stacyewalker.com/main1.html"} +{"d:Title": "Ranaudo, Tony", "d:Description": "Official website of Tony Ranaudo, a popular young comedian, television actor, Chippendale dancer, and model. Mr Romance 2001.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Cover_Models", "url": "http://tonyranaudo.com/main.htm"} +{"d:Title": "Dedication Pages for Cherif Fortin and Stacy E. Walker", "d:Description": "Picture Gallery, book covers, links to places both Mr. Fortin and Ms. Walker enjoy, Medieval animations, and other romance links.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Cover_Models", "url": "http://www.angelfire.com/celeb/CherifFortin/Enter.html"} +{"d:Title": "A Touch of Heaven", "d:Description": "Links for many of the industry's old and new models.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Cover_Models", "url": "http://www.angelfire.com/celeb/menofrom/home.html"} +{"d:Title": "WOWT News: Pig Farmers Once Graced Romance Novel Covers", "d:Description": "Article by NBC-affiliate on the three Bartling brothers from Nebraska who began their careers as cover models in the 1990s.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Cover_Models", "url": "http://www.wowt.com/home/headlines/Pig_Farmers_Once_Graced_Romance_Novel_Covers_104389584.html"} +{"d:Title": "My John DeSalvo Collection", "d:Description": "List of books with John's covers, including some images of book covers and photos. Links, survey, and monthly contest.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Cover_Models/DeSalvo,_John", "url": "http://www.angelfire.com/fl2/ebenway2/ds.html"} +{"d:Title": "Pino", "d:Description": "The artist-in-demand for Zebra, Bantam, Simon and Schuster, Harlequin, Penguin USA and Dell. To date, Pino has illustrated 3,000 books; his style has dominated and influenced the market.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Illustrators", "url": "http://www.pinoart.com/"} +{"d:Title": "Paul, Jon", "d:Description": "Illustrator of romance book covers by Harlequin, Zebra, Penguin, and Harper Collins.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Illustrators", "url": "http://jonpaulstudios.com/"} +{"d:Title": "Ayers, Alan", "d:Description": "Best cover art for romances in the historical category by the Published Authors Network of Romance Writers of America.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Illustrators", "url": "http://www.alanayers.com/"} +{"d:Title": "Roibal, Larry", "d:Description": "Online portfolio of the artist. Illustrated over five hundred book covers for publishers including Avon, Berkeley, Harlequin/Silhouette, and Pocket.", "topic": "Top/Arts/Literature/Genres/Romance/Covers/Illustrators", "url": "http://www.roibal.net/"} +{"d:Title": "Celebrate Romance", "d:Description": "CR2003-Hyatt Regency Sacramento, California May 2-4, 2003", "topic": "Top/Arts/Literature/Genres/Romance/Events", "url": "http://celebrateromance.tripod.com/"} +{"d:Title": "Romance Reader at Heart", "d:Description": "A website devoted to the historical romance genre, featuring authors, books, timelines, trivia, and cover models.", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://romancereaderatheart.com/"} +{"d:Title": "Heart of Scotland", "d:Description": "Guide to scottish romance, fantasy and historical fiction books.", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://www.heartoscotland.com/Categories/historicalfiction.htm"} +{"d:Title": "Rose's Medieval Romances", "d:Description": "Reviews and links to medieval romances.", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://www.members.tripod.com/rkish/medieval-index.html"} +{"d:Title": "Kathy's Romance Corner", "d:Description": "Dedicated to historical romance authors like Brenda Joyce and Johanna Lindsey. Author's bios, booklist, and upcoming releases are available on this site.", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://dubkaf.tripod.com/"} +{"d:Title": "Monteloup-Ang\u00e9lique series...", "d:Description": "Monteloup, a website dedicated to Anne and Serge Golon, aka Sergeanne Golon, authors of Marquise of the Angels", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://monteloup.free.fr/"} +{"d:Title": "Medieval Love Mailing List", "d:Description": "Devoted to novels and movies with a theme of romance set in the medieval times.", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://groups.yahoo.com/group/MedievalLove/"} +{"d:Title": "Regency", "d:Description": "A forum for readers of Regency romances, including historical romances set during the Georgian or Regency eras. Authors discussed will include, but not be limited to, Mary Balogh, Carla Kelly and Georgette Heyer.", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://groups.yahoo.com/group/Regency/"} +{"d:Title": "Eye on Romance - Historical Fiction", "d:Description": "Reviews of new books and FAQ.", "topic": "Top/Arts/Literature/Genres/Romance/Historical", "url": "http://www.eyeonromance.com/historical/"} +{"d:Title": "Dangerous love", "d:Description": "The murder of a romance novelist by her dashing but abusive husband has fans asking tough questions. By Julia Gracen.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media", "url": "http://www.salon.com/1999/06/17/romancemurder/"} +{"d:Title": "Twilight Times", "d:Description": "A digital journal of speculative fiction.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Magazines_and_E-zines", "url": "http://www.twilighttimes.com/"} +{"d:Title": "Romance Haven", "d:Description": "new authors of historical romance writers ezine. Ethnic writers. African American Latino Asian.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Magazines_and_E-zines", "url": "http://cammie61.tripod.com/"} +{"d:Title": "Shades Of Romance Magazine", "d:Description": "A Guide For Multi-Cultural Romance Fiction.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Magazines_and_E-zines", "url": "http://www.sormag.com/"} +{"d:Title": "Romance Reviews Today", "d:Description": "Book review site. Romance, Mystery, Suspense, Young Adult, General Ficion. Author Interviews.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Magazines_and_E-zines", "url": "http://www.romrevtoday.com/"} +{"d:Title": "Romantic Times Book Reviews", "d:Description": "Reviews and rates romance and suspense books. Romantic fiction industry news and forthcoming releases. Author biographies.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Magazines_and_E-zines", "url": "http://www.rtbookreviews.com/"} +{"d:Title": "Danny Yee's Book Reviews: Romance", "d:Description": "A small collection of reviews of romances.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Reviews", "url": "http://dannyreviews.com/s/romance.html"} +{"d:Title": "A Romance Review", "d:Description": "A site for reviews of romance books. Also interviews with romance authors of all sub-genre's with contests, links and prizes.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Reviews", "url": "http://www.aromancereview.com/"} +{"d:Title": "Mrs. Giggles: Books", "d:Description": "Known for caustic wit and not pulling punches. Reviews, columns, links, and hunks.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Reviews", "url": "http://mrsgiggles.com/books/"} +{"d:Title": "Romance Reviews", "d:Description": "Reviews of romance novels. From Readers Read.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Reviews", "url": "http://www.readersread.com/cgi-bin/reviewlist.pl?genre=romance"} +{"d:Title": "Fallen Angel Reviews", "d:Description": "A safe place for Authors, reviewers, editors, readers, just anyone that shares the love of a good book to get together and share their accomplishments, their thoughts and their love of reading with others.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Reviews", "url": "http://www.fallenangelreviews.com/"} +{"d:Title": "Smart Bitches, Trashy Books", "d:Description": "Snarky reviews of romance books and covers.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Reviews", "url": "http://www.smartbitchestrashybooks.com/"} +{"d:Title": "Writerspace", "d:Description": "Readers resource of reviews, books and authors. Includes more than reviews, books and authors of fiction.", "topic": "Top/Arts/Literature/Genres/Romance/News_and_Media/Reviews", "url": "http://www.writerspace.com/book-search/"} +{"d:Title": "Romantic SF&Fantasy Novels", "d:Description": "Reader resource (reviews, interviews, forthcoming titles, author links, discussion board) on sf, fantasy, and horror novels with strong romance genre appeal.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://www.romanticsf.com/"} +{"d:Title": "Yahoo Groups: Paranormal Romance", "d:Description": "This list is dedicated to discussing speculative romantic fiction, including time-travel, paranormal, shape-shifter, fantasy, and futuristic.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://groups.yahoo.com/group/paranormalromance/"} +{"d:Title": "Elizabeth's Book Collections and More", "d:Description": "A look at paranormal, vampire, and series romances. Also includes a John D'Salvo tribute.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://www.angelfire.com/fl2/ebenway2/home.html"} +{"d:Title": "The Vampire Vixens", "d:Description": "Reviews of vampire romance novels.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://www.vampire-vixens.com/"} +{"d:Title": "Biting-Edge Blog", "d:Description": "A weblog shared by authors Mario Acevedo, Marta Acosta, and Jeanne Stein. Fiction writers who've written vampire novels. Posting about books and events.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://biting-edge.blogspot.com/"} +{"d:Title": "Now&Then", "d:Description": "Time travel and paranormal pages and links.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://members.tripod.com/~ladyareala/index.html"} +{"d:Title": "Mystic Visions", "d:Description": "Resources and links to fantasy, futuristic and paranormal romances.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://www.angelfire.com/ms/MysticVisions/"} +{"d:Title": "Raven Happy Hour", "d:Description": "Paranormal themes by authors Michelle M Pillow and Mandy M Roth. Includes blog and book news.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://ravenhappyhour.com/"} +{"d:Title": "Maryse's Book Blog", "d:Description": "Book reviews, reading order guides, new releases and author interviews.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://www.maryse.net/"} +{"d:Title": "Aries Braeburn's Vampire Age Books", "d:Description": "Official site of the Vampire Age, a series of vampire romance, fantasy, science fiction novels by Aries Braeburn.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://www.vampireage.com/"} +{"d:Title": "The Ultimate VampList", "d:Description": "Listed by name and book genre. Maintained by Michele Hauf.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal", "url": "http://www.michelerhauf.com/vamplist.html"} +{"d:Title": "We Really Dig's Time Travel Books", "d:Description": "Watch people as they move through time to their destined loves.", "topic": "Top/Arts/Literature/Genres/Romance/Paranormal/Time_Travel", "url": "http://www.wereallydig.com/romancenovels/tt/timetravelnovels.html"} +{"d:Title": "Coffeerooms Book*Mark", "d:Description": "A reading group on the Web. Different books every few weeks and special groups for romances and mysteries.", "topic": "Top/Arts/Literature/Genres/Romance/Reading_Groups", "url": "http://www.gurlfriends.com/features/books/index.html"} +{"d:Title": "Arabesque and BET Book Club", "d:Description": "If you love to read multicultural stories such as Arabesque, BET, and Pinnacle Romances this club is for you. Book Reviews and Book ratings.", "topic": "Top/Arts/Literature/Genres/Romance/Reading_Groups", "url": "http://amberluv2000.tripod.com/arabesqueandbetromance/"} +{"d:Title": "DFWtea", "d:Description": "Dallas Ft. Worth Tea group of readers that meet at various tea rooms around the area to discuss books, bookstores and other book-related events.", "topic": "Top/Arts/Literature/Genres/Romance/Reading_Groups", "url": "http://groups.yahoo.com/group/dfwtea/"} +{"d:Title": "My Books", "d:Description": "A site with love story books, and Mills and Boon.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://mybooks.4t.com/"} +{"d:Title": "Jill's Romance Trading Page", "d:Description": "A place to buy/trade romance novels.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://www.angelfire.com/ok2/romancetrading/main.html"} +{"d:Title": "Good Books Resources", "d:Description": "Resource websites for discussing, locating, trading, buying, and selling, used/new books.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://www.angelfire.com/home/goodbooks/"} +{"d:Title": "Trish's Trade List and Wish List", "d:Description": "Includes books for trade and the books that can be traded for them.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://www.bookgator.scriptmania.com/"} +{"d:Title": "Kanchb's Books for Trade", "d:Description": "Includes trade lists for mostly romance books. A wishlist is also included.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://kanchb.tripod.com/"} +{"d:Title": "The Exchange", "d:Description": "A place to trade romance books including fantasy, historicals, series, paranormal and contemporary.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://www.angelfire.com/vamp/books0/index.htm"} +{"d:Title": "Beth's Trading Page", "d:Description": "Contains lists of Silhouette and Harlequin romance books for trading.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://bethhammann.itgo.com/"} +{"d:Title": "Tina's Haven of Romance Novels", "d:Description": "Listing of books for trade or sale. Mostly romance novels, with some non-romance titles, music, and movies.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://members.tripod.com/tropixtee-ivil/"} +{"d:Title": "My Library", "d:Description": "Romance book trader with a list of romance books for trade, a wish list and links to romance book sites.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://alwaysreading.tripod.com/letstradebooks/"} +{"d:Title": "Sandi's Historical Romance Trading Site", "d:Description": "Includes lists of available books, wish lists and links to historical romance book sites.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://coy4u255.tripod.com/"} +{"d:Title": "Aussie Romance Book Swap Club", "d:Description": "This club is for anyone who loves reading romance novels and lives in either Australia or New Zealand. You can sell, buy, trade, or just simply talk about your favourite books here.", "topic": "Top/Arts/Literature/Genres/Romance/Traders", "url": "http://groups.yahoo.com/group/aussierombookswapclub/"} +{"d:Title": "Romance Novels Reading Ring", "d:Description": "Romance authors link together pages for current or upcoming releases.", "topic": "Top/Arts/Literature/Genres/Romance/Web_Rings", "url": "http://members.tripod.com/~Kinleymacg/Romread.htm"} +{"d:Title": "SFFP Romance Webring", "d:Description": "Where all the great romantic science fiction, fantasy, and paranormal sites are gathered.", "topic": "Top/Arts/Literature/Genres/Romance/Web_Rings", "url": "http://sffpromance.iwarp.com/"} +{"d:Title": "Gothic Romance Web Ring", "d:Description": "Contains information on submitting a website, and true love story submission. Note: Adult language.", "topic": "Top/Arts/Literature/Genres/Romance/Web_Rings", "url": "http://www.angelfire.com/ca/venuslove/RomGoth.html"} +{"d:Title": "Regency Ring", "d:Description": "A web ring of Regency and Georgian sites including historical and regency novellists. Providing historical reference material for those interested in the period. As an added feature we publish a monthly e-letter of events, site updates and new novels published.", "topic": "Top/Arts/Literature/Genres/Romance/Web_Rings", "url": "http://homepages.ihug.co.nz/~awoodley/join.html"} +{"d:Title": "Romance Readers, Writers,&Reviewers", "d:Description": "Provides information on joining and adding one's site. Membership is open to romance authors, publishers, bookstores, and fans.", "topic": "Top/Arts/Literature/Genres/Romance/Web_Rings", "url": "http://kristinadaron.tripod.com/ring.html"} +{"d:Title": "Science Fiction Review Site", "d:Description": "Sci-fi and fantasy book reviews, top ten lists, and short stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.science-fiction-review.com/"} +{"d:Title": "FutureFiction.com", "d:Description": "Reviews of authors and books in the science fiction and fantasy genres.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.futurefiction.com/"} +{"d:Title": "Science Fiction&Fantasy World", "d:Description": "Authors, SF news, reviews and a free e-zine.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.sffworld.com/"} +{"d:Title": "Warpcore SF", "d:Description": "SFF short stories, news and reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.warpcoresf.co.uk/"} +{"d:Title": "SF Site: Fiction Home", "d:Description": "Reviews and news of short fiction magazines and anthologies.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.sfsite.com/fiction/fichome.htm"} +{"d:Title": "Best SF", "d:Description": "Short story summaries, reviews, and a collection of links to texts available online.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.bestsf.net/"} +{"d:Title": "About.com: Sci-Fi and Fantasy Channel", "d:Description": "Daily SFF news updates from About.com.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://z.about.com/6/g/scifi/b/index.rdf"} +{"d:Title": "Emerald City Feed", "d:Description": "Reviews of SFF books, conventions and related material.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.emcit.com/emcit.rss"} +{"d:Title": "Sci-Fi Storm", "d:Description": "RSS-fed source for sci-fi news.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://scifistorm.org/articles.rdf"} +{"d:Title": "Speculative Vision", "d:Description": "News feed updated monthly.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://speculativevision.com/scifi_news/news.cdf"} +{"d:Title": "Warpcore SF", "d:Description": "Science fiction and fantasy news and reviews, in RSS 2.0 format.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.warpcoresf.co.uk/feed.xml"} +{"d:Title": "Syfy", "d:Description": "Site of the cable TV science fiction channel. Features a weekly magazine, daily news, web directory, book reviews and bulletin board system.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.syfy.com/"} +{"d:Title": "Course Materials for the Study of Science Fiction", "d:Description": "A study guide from a class taught at Washington State university, this site contains information about many SF classics, including hyperlinks to related sites.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://www.wsu.edu/~brians/science_fiction/Science_Fiction_Guides.html"} +{"d:Title": "Science Fiction Crowsnest", "d:Description": "British SF and fantasy web portal. Features an internet search engine, daily news, fiction, reviews, star interviews, comic strips and games.", "topic": "Top/Arts/Literature/Genres/Science_Fiction", "url": "http://sfcrowsnest.org.uk/"} +{"d:Title": "SF Site: Science Fiction Author Links", "d:Description": "Collection of author links, sorted alphabetically for convenience.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors", "url": "http://www.sfsite.com/scribe/scribe01.htm"} +{"d:Title": "Revolutionizing the Genre", "d:Description": "Dedicated to Samuel R. Delany, Octavia Butler and Nalo Hopkinson - three black writers of science fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors", "url": "http://sharon7210.tripod.com/scifisites/"} +{"d:Title": "The Internet Speculative Fiction Database", "d:Description": "Bibliographies of SF writers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors", "url": "http://www.isfdb.org/"} +{"d:Title": "SFF Net People", "d:Description": "Large collection of links to individual author sites, sorted alphabetically.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors", "url": "http://www.sff.net/people.asp"} +{"d:Title": "Fantastic Fiction", "d:Description": "Bibliographical index of around 2000 science fiction and fantasy authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors", "url": "https://www.fantasticfiction.com/"} +{"d:Title": "Alten, Steve", "d:Description": "Books are about future technology. Includes short descriptions of works, biography and FAQs on becoming a writer.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/A/Alten,_Steve", "url": "http://www.stevealten.com/"} +{"d:Title": "Anders, Lou", "d:Description": "Official homepage of science fiction author and editor Lou Anders. Site includes biographical and contact information.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/A/Anders,_Lou", "url": "http://www.louanders.com/"} +{"d:Title": "Infinity Plus.co.uk: Patricia Anthony", "d:Description": "Profile of the author of Eating Memories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/A/Anthony,_Patricia", "url": "http://www.infinityplus.co.uk/misc/pa.htm"} +{"d:Title": "Asaro, Catherine", "d:Description": "Author-maintained website with sample chapters, reviews, news and information on the author and her books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/A/Asaro,_Catherine", "url": "http://www.catherineasaro.net/"} +{"d:Title": "Burt, D.L.", "d:Description": "Site for Burt's Dark Crusade novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B", "url": "http://www.darkcrusade.com/"} +{"d:Title": "Kage Her Page", "d:Description": "Author maintained site. Contains news, excerpts from her novels, her writing philosophy, a bibliography, and a biography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baker,_Kage", "url": "http://www.kagebaker.com/"} +{"d:Title": "Fastness", "d:Description": "Fan-made images based on the novels and short stories of Iain M. Banks.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://www.fastness.co.uk/"} +{"d:Title": "Iain M. Banks' Culture References in Bungie's Halo", "d:Description": "Influences of Iain Banks on the XBox game, Halo.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://marathon.bungie.org/story/halo_culture.html"} +{"d:Title": "Personal Universes", "d:Description": "Brief interview from the Press and Journal.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://www.andersandersen.com/Miscellaneous/StandAlone/imb.html"} +{"d:Title": "Interview: Spikemagazine", "d:Description": "Getting Used To Being God", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://www.spikemagazine.com/0996bank.php"} +{"d:Title": "A Song of Stone", "d:Description": "An Infinity Plus review of a 1997 mainstream novel by the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://www.infinityplus.co.uk/nonfiction/stone.htm"} +{"d:Title": "Infinite Fan Space", "d:Description": "The web pages of the alt.books.iain-banks newsgroup.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://a.b.i-b.tripod.com/"} +{"d:Title": "Guardian | Doing the business", "d:Description": "The Guardian Profile: Iain Banks", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://www.theguardian.com/books/1999/aug/07/books.guardianreview11/print"} +{"d:Title": "The Guardian: Iain Banks", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Banks,_Iain_M.", "url": "http://www.theguardian.com/books/2008/jun/10/iainbanks"} +{"d:Title": "A Million Open Doors and Earth Made of Glass", "d:Description": "An Infinity Plus review of two connected novels in which the author makes a foray into serious political SF.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Barnes,_John", "url": "http://www.infinityplus.co.uk/nonfiction/barnes.htm"} +{"d:Title": "The Internet Speculative Fiction Database", "d:Description": "A comprehensive bibliography of Stephen's works including a history of awards for each book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?Stephen_Baxter"} +{"d:Title": "Omegatropic", "d:Description": "A free, full version of one of Stephen's most popular short stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.lysator.liu.se/lsff/confuse00/Omegatropic.html"} +{"d:Title": "Infinity Plus: Stephen Baxter", "d:Description": "Infinity Plus's profile of Stephen Baxter containing a biography and bibliography. Also has links to some of Stephen's short stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.infinityplus.co.uk/misc/smb.htm"} +{"d:Title": "The SF Site: Featured Reviews Archive", "d:Description": "Archive of reviews of Stephen Baxter's novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.sfsite.com/revus/revubaxter.htm"} +{"d:Title": "Strange Horizons Articles: Interview: Stephen Baxter, by James Palmer", "d:Description": "An interview with Stephen Baxter.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.strangehorizons.com/2005/20050418/1int-baxter-a.shtml"} +{"d:Title": "Locus Online", "d:Description": "The online version of a news and review magazine of the science fiction, fantasy, and horror publishing fields. Searching their index reveals many articles about Stephen Baxter.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.locusmag.com/"} +{"d:Title": "Stephen Baxter - Wikipedia, the free encyclopedia", "d:Description": "Stephen Baxter on Wikipedia.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://en.wikipedia.org/wiki/Stephen_Baxter"} +{"d:Title": "Internet Book List :: Author Information: Stephen Baxter", "d:Description": "A very good bibliography of Stephen Baxter.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.iblist.com/author597.htm"} +{"d:Title": "Penguin Random House", "d:Description": "A profile of Stephen Baxter from his publishing company in the United States.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "http://www.penguinrandomhouse.com/authors/1676/stephen-baxter/"} +{"d:Title": "Stephen Baxter Bibliography", "d:Description": "A bibliography of Stephen M Baxter's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Baxter,_Stephen", "url": "https://www.fantasticfiction.com/b/stephen-m-baxter/"} +{"d:Title": "Astounding Worlds of Barrington Bayley!", "d:Description": "An extensive website devoted to Bayley, including fiction, a bibliography, articles and a selection of interviews culled from various sources.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bayley,_Barrington_J.", "url": "http://oivas.com/bjb/"} +{"d:Title": "Barrington Bayley", "d:Description": "Mailing list to discuss the works of the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bayley,_Barrington_J.", "url": "http://groups.yahoo.com/group/bayley/"} +{"d:Title": "Greg Bear", "d:Description": "The author's official website. News, cover art, artwork by the author, bibliography, articles and commentaries by the author, interviews, and biography.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bear,_Greg", "url": "http://www.gregbear.com/"} +{"d:Title": "The Opener of the Way", "d:Description": "A May 2000 Infinity Plus interview with the author, conducted by Nick Gevers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bear,_Greg", "url": "http://www.infinityplus.co.uk/nonfiction/intgb.htm"} +{"d:Title": "SF Site Interview: A Conversation With Gregory Benford", "d:Description": "A 1998 interview with the author by Stephen M. Davis.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Benford,_Gregory", "url": "http://www.sfsite.com/03b/ben29.htm"} +{"d:Title": "SF Site Featured Review: Foundation's Fear", "d:Description": "A review by Steven Silver of the author's novel that takes place in Isaac Asimov's Foundation universe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Benford,_Gregory", "url": "http://www.sfsite.com/03b/foun29.htm"} +{"d:Title": "Steven Silver's Reviews: The Martian Race", "d:Description": "A review of the author's novel \"The Martian Race\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Benford,_Gregory", "url": "http://www.sfsite.com/~silverag/martianrace.html"} +{"d:Title": "Terry Bisson SF Story Showcase", "d:Description": "The author's own website, including the complete online texts of several of his unanthologized stories and plays, as well as other material.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bisson,_Terry", "url": "http://www.terrybisson.com/"} +{"d:Title": "Infinity Plus: Terry Bisson", "d:Description": "A feature about the author and \"England Underway\", an online short story by the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bisson,_Terry", "url": "http://www.infinityplus.co.uk/misc/tb.htm"} +{"d:Title": "A Case of Conscience", "d:Description": "Information about the book, and lists of other books written by Blish.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Blish,_James", "url": "http://www.denversfbookclub.com/blish.htm"} +{"d:Title": "NOMAD/Y The Moon Base Project", "d:Description": "Excerpts from the author's novel by that name, about a NASA cover-up of a moon base built during the Apollo Program.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bond,_Noah", "url": "http://www.moonbaseproject.com/"} +{"d:Title": "Ben Bova", "d:Description": "The official site of the author includes biographical information, news, scheduled public appearances and excerpts from his books.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bova,_Ben", "url": "http://www.benbova.com/"} +{"d:Title": "The Official Steven R. Boyett Website", "d:Description": "Publications, biography, bibliography and news about writer Steven R. Boyett.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Boyett,_Steven_R.", "url": "http://www.steveboy.com/"} +{"d:Title": "MetroActive Books: David Brin", "d:Description": "An article about the author's thesis on the Transparent Society, and one about the author and his work.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brin,_David", "url": "http://www.metroactive.com/papers/metro/02.06.97/cover/brin1-9706.html"} +{"d:Title": "Planetary Society Interview: David Brin", "d:Description": "Interview with the author about his motivations as a writer, the creative process, and going to Mars.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brin,_David", "url": "http://mmp.planetary.org/artis/brind/brind70.htm"} +{"d:Title": "David Brin's Official Site", "d:Description": "The author's own personal website. Includes sample chapters from his novels, short stories and non-fiction articles.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brin,_David", "url": "http://www.davidbrin.com/"} +{"d:Title": "Contrary Brin", "d:Description": "Hugo-Award-winning science-fiction author David Brin on science, technology, politics, and especially the future.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brin,_David", "url": "http://davidbrin.blogspot.com/feeds/posts/default"} +{"d:Title": "Infinity's Shore and Heaven's Reach", "d:Description": "Infinity Plus reviews of the second and third books in the author's \"Uplift Storm\" trilogy.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brin,_David", "url": "http://www.infinityplus.co.uk/nonfiction/heaven.htm"} +{"d:Title": "The Transparent Society", "d:Description": "\"The cameras are coming. They're getting smaller and nothing will stop them. The only question is: who watches whom?\". A December 1996 article by the author about the Transparent Society, from Wired Magazine.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brin,_David", "url": "http://www.wired.com/1996/12/fftransparent/"} +{"d:Title": "Keith Brooke", "d:Description": "Official site for the science fiction author and editor includes an autobiography and bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brooke,_Keith", "url": "http://www.keithbrooke.co.uk/"} +{"d:Title": "What Mad Universe", "d:Description": "Links to information on Frederic Brown. A few short short novels in French.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brown,_Fredric", "url": "http://devernay.free.fr/brown.html"} +{"d:Title": "Paradox Lost: The Fredric Brown Homepage", "d:Description": "Site dedicated to Fredric Brown: Bio, a few short short novels, links to other information. Archive of the original site.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brown,_Fredric", "url": "http://devernay.free.fr/paradoxlost/html/paradox.html"} +{"d:Title": "John Brunner: A Remembrance", "d:Description": "A short memorial to Brunner written on the occasion of his death in 1995.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brunner,_John", "url": "http://home.earthlink.net/~oy/brunner.htm"} +{"d:Title": "Voice of the Mysterons - John Brunner Memorial Issue", "d:Description": "Special John Brunner memorial issue of the daily newszine from Intersection, the 53rd Worldcon.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brunner,_John", "url": "http://www.boston-baden.com/smofs/intervom.mem"} +{"d:Title": "Heroes of Cyberspace: John Brunner", "d:Description": "An article by Charles A. Gimon with insights on Brunner's Stand on Zanzibar and The Shockwave Rider.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brunner,_John", "url": "http://www.skypoint.com/members/gimonca/brunner.html"} +{"d:Title": "Stand on Zanzibar", "d:Description": "An infinity Plus review of the author's 1968 Hugo winning novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Brunner,_John", "url": "http://www.infinityplus.co.uk/nonfiction/zanzibar.htm"} +{"d:Title": "Buckner, M.M.", "d:Description": "Science Fiction author's debut novel, Hyperthought. About cosmetic neurosurgery experiments in the year 2125, published by Penguin Books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Buckner,_M._M.", "url": "http://www.mmbuckner.com/"} +{"d:Title": "SF Site Interview: A Conversation with Algis Budrys", "d:Description": "A July 1997 interview with the author by A. John O'Neill.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Budrys,_Algis", "url": "http://www.sfsite.com/08a/alg14.htm"} +{"d:Title": "Official Website of Lois MucMaster Bujold", "d:Description": "This page contains a biography of the author, news and index.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster", "url": "http://www.dendarii.com/"} +{"d:Title": "Lois McMaster Bujold Quotes - The Quotations Page", "d:Description": "A selection of passages from the author's novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster", "url": "http://www.quotationspage.com/quotes.php3?author=Lois+McMaster+Bujold"} +{"d:Title": "Lois McMaster Bujold Bibliography", "d:Description": "A bibliography of the author's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster", "url": "https://www.fantasticfiction.com/b/lois-mcmaster-bujold/"} +{"d:Title": "Lois McMaster Bujold: A Civil Campaign", "d:Description": "A review of this novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster/Reviews", "url": "http://www.sfsite.com/~silverag/campaign.html"} +{"d:Title": "Dreamweaver's Dilemma", "d:Description": "Information about the novel and the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster/Reviews", "url": "http://www.nesfa.org/press/Books/Bujold.htm"} +{"d:Title": "Komarr, by Lois McMaster Bujold", "d:Description": "Steven Silver's review of this novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster/Reviews", "url": "http://www.sfsite.com/~silverag/komarr.html"} +{"d:Title": "SF Site Featured Review: The Spirit Ring", "d:Description": "Review of the author's first historical fantasy novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster/Reviews", "url": "http://www.sfsite.com/08b/spir39.htm"} +{"d:Title": "The Barrayar Series", "d:Description": "A discussion of the author's science fiction works with short reviews of each title.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/B/Bujold,_Lois_McMaster/Reviews", "url": "http://www.rambles.net/bujold_series.html"} +{"d:Title": "Cramer, Brian", "d:Description": "The official website for Zero Calvin, a science fiction novel by Brian Cramer (self-published via iUniverse).", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C", "url": "http://www.zerocalvin.com/"} +{"d:Title": "Cyberspace and Critical Theory: Pat Cadigan", "d:Description": "Biography, bibliography, critical commentary and links related to the cyberpunk pioneer.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cadigan,_Pat", "url": "http://www.cyberartsweb.org/cpace/scifi/cyberbib/Authors/Cadigan/CadiganOV.html"} +{"d:Title": "Interview with Pat Cadigan", "d:Description": "At the occasion of the Virtual Futures 96 Datableed conference.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cadigan,_Pat/Interviews", "url": "http://www.t0.or.at/pcadigan/intervw.htm"} +{"d:Title": "Talking with Pat Cadigan", "d:Description": "Interview at the InterContact '98 SF convention in Norway, where the author was a guest of honor.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cadigan,_Pat/Interviews", "url": "http://www.ii.uib.no/~bjornts/ICW/PR2/PR2_3.html"} +{"d:Title": "Step Outside: An Interview With Pat Cadigan", "d:Description": "Conversation with the author about her childhood, her career as a writer, and her novel Tea From An Empty Cup.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cadigan,_Pat/Interviews", "url": "http://www.sfsite.com/06a/pc82.htm"} +{"d:Title": "Synners", "d:Description": "Plot summary of the book, glossary, themes, and concepts (including two short essays).", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cadigan,_Pat/Works", "url": "http://www.cyberartsweb.org/cpace/scifi/cyberbib/Titles/Synners/SynnersOV.html"} +{"d:Title": "SF Site Featured Review: Tea from an Empty Cup", "d:Description": "Review of the author's 1998 novel, by Greg L. Johnson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cadigan,_Pat/Works", "url": "http://www.sfsite.com/10a/tea42.htm"} +{"d:Title": "The Infinite Matrix: Dervish is Digital", "d:Description": "Excerpts from Pat Cadigan's 2001 novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cadigan,_Pat/Works", "url": "http://www.infinitematrix.net/stories/excerpts/dervish1.html"} +{"d:Title": "John W. Campbell on Robert A. Heinlein", "d:Description": "A selection of letters from The John W. Campbell Letters, Vol. I and Vol. II, looking at Campbell's attitude over time towards Robert A. Heinlein.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Campbell,_John_W.", "url": "http://www.heinleinsociety.org/rah/history/campbellonheinlein.html"} +{"d:Title": "Fortunate Fall Review", "d:Description": "Richard Horton review of the author's \"The Fortunate Fall\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Carter,_Raphael", "url": "http://www.sff.net/people/richard.horton/fortfall.htm"} +{"d:Title": "Science Fiction Worlds of Jeffrey A. Carver", "d:Description": "Carver's own website. The author's books (covers, descriptions, excerpts), two complete short stories, author appearances, writing advice, personal commentary, and TV show.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Carver,_Jeffrey_A.", "url": "http://www.starrigger.net/"} +{"d:Title": "Chandler, Bertram", "d:Description": "Tribute site dedicated to Australian SF writer A. Bertram Chandler. The author wrote novels from the 1950s up until his death in 1984.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Chandler,_Bertram", "url": "http://www.bertramchandler.com/"} +{"d:Title": "Charnas, Suzy McKee", "d:Description": "Official homepage. Features essays, reviews, and current news and announcements.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Charnas,_Suzy_McKee", "url": "http://www.suzymckeecharnas.com/"} +{"d:Title": "C. J. Cherryh's World", "d:Description": "Website by the author herself. Newsletter, current projects, calendar of appearances, art, her universes, biography, bibliography, chronology, reading samples, FAQ, opinions, and autographed books.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cherryh,_C._J.", "url": "http://www.cherryh.com/"} +{"d:Title": "Danny Yee's Book Reviews: The Faded Sun", "d:Description": "Review of the author's Faded Sun series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cherryh,_C._J.", "url": "http://dannyreviews.com/h/The_Faded_Sun.html"} +{"d:Title": "Review of the Morgaine Series", "d:Description": "A review of the author's Morgaine novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cherryh,_C._J.", "url": "http://www.phil.unt.edu/~hargrove/gate.html"} +{"d:Title": "ChView", "d:Description": "Windows program to view 3-D star maps, draw routes for interstellar travel, and access data on some of the stars and extrasolar planets. Includes stars from C.J. Cherryh's fictional universe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cherryh,_C._J.", "url": "http://members.nova.org/~sol/chview/"} +{"d:Title": "Meetpoint Station", "d:Description": "Biography and bibliographies (including book cover texts and reviews), archives of a discussion group on the works of C.J. Cherryh and related topics, timelines, and other reference material. Not updated since 1997.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cherryh,_C._J.", "url": "http://www.perrochon.com/cherryh/"} +{"d:Title": "Ex Libris Archives: C.J. Cherryh", "d:Description": "Reviews of many of the author's works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cherryh,_C._J.", "url": "http://www.wjduquette.com/authors/cjcherryh.html"} +{"d:Title": "Robert J. Sawyer on Arthur C. Clarke", "d:Description": "Very brief commentary on Clarke, unable to put exact date on comments, looks like from 1994.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.sfwriter.com/rmclarke.htm"} +{"d:Title": "Reviews: the Space Odyssey Series", "d:Description": "Reviews by Steven H. Silver of 2001: a space odyssey, 2010: odyssey two, 2061: odyssey three, and 3001: the final odyssey.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.sfsite.com/~silverag/2001.html"} +{"d:Title": "Final Odyssey", "d:Description": "1996 interview with the author at the time he was finishing writing \"3001\", by reporter Ron Gluckman.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.gluckman.com/ArthurCClarke.html"} +{"d:Title": "Arthur C. Clarke \"History Lesson\"", "d:Description": "Comparison of Clarke's works with a modern allegory of 'The Cave' by Plato.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.lesekost.de/HHL59Z.htm"} +{"d:Title": "The Arthur C. Clarke Foundation", "d:Description": "Organization aimed at advancing concerns of author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.clarkefoundation.org/"} +{"d:Title": "Arthur C. Clarke Forum", "d:Description": "Research and discussion site for sci-fi writer Arthur C. Clarke.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.arthurcclarke.net/"} +{"d:Title": "IEEE Spectrum: Final Thoughts from Sir Arthur C. Clarke (1917-2008)", "d:Description": "The last interview with the late author in a Sri Lankan hospital in January 2008. Offers insight into terraforming planets, space elevators, and the search for extraterrestrials", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://spectrum.ieee.org/mar08/6075"} +{"d:Title": "3001: The Final Odyssey", "d:Description": "Penguin Random House web site for 3001: The Final Odyssey by bestselling author Arthur C. Clarke.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.penguinrandomhouse.com/books/28148/3001-the-final-odyssey-by-arthur-c-clarke/"} +{"d:Title": "Science Fiction Author Arthur C. Clarke Dies Aged 90 - Times Online", "d:Description": "The report of the passing of Arthur C. Clarke from the online version of The Times newspaper.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clarke,_Arthur_C.", "url": "http://www.thetimes.co.uk/tto/arts/books/article2452294.ece"} +{"d:Title": "Jo Clayton News Updates", "d:Description": "The story of the author's last 18 months, up to her death.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clayton,_Jo", "url": "http://www.sfwa.org/members/jo/jo.htm"} +{"d:Title": "Brenda W. Clough", "d:Description": "Author-maintained website. Latest news, publications, appearances, notes on \"How Like a God\" (including first three chapters), and a short story.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clough,_Brenda_W.", "url": "http://www.sff.net/people/Brenda/"} +{"d:Title": "Review: How Like a God", "d:Description": "1997 SFSite featured review by Steven H. Silver of \"How Like a God\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Clough,_Brenda_W.", "url": "http://www.sfsite.com/10a/god18.htm"} +{"d:Title": "Cochran, Molly", "d:Description": "Official site for the novelist who wrote 'The Forever King'. Also publishes under the Dev Stryker pen name. QuickTime plug-in.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cochran,_Molly", "url": "http://mollycochran.tripod.com/"} +{"d:Title": "Allan Cole's World of Fantasy&SF", "d:Description": "Author's official web site. News, biography, bibliography, bookstore, message board, and sample chapters.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cole,_Allan", "url": "http://www.acole.com/"} +{"d:Title": "Reviews: the Timura Trilogy", "d:Description": "Reviews by Wayne MacLaurin of the first two books in the author's Timura trilogy - \"Wizard of the Winds\" and \"Wolves of the Gods\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Cole,_Allan", "url": "http://www.sfsite.com/06a/wolv34.htm"} +{"d:Title": "A.C. Crispin", "d:Description": "Author's web site. Biography, bibliography, notes on work in progress, and essays.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/C/Crispin,_A._C.", "url": "http://www.accrispin.com/"} +{"d:Title": "Brian Daley", "d:Description": "Official site for SFF author Brian Daley. Includes excerpts, reviews and other information about the Han Solo Adventures and his last series, GammaLAW.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Daley,_Brian", "url": "http://www.brian-daley.com/"} +{"d:Title": "David Peter (Official)", "d:Description": "The official site for author Peter David.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/David,_Peter", "url": "http://www.peterdavid.net/"} +{"d:Title": "Avram Davidson", "d:Description": "Biographical information on American literary fantasist Avram Davidson (1923-1993).", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Davidson,_Avram", "url": "http://www.avramdavidson.org/"} +{"d:Title": "Marianne de Pierres", "d:Description": "The official site gives information about the author of the Parrish Plessis novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/de_Pierres,_Marianne", "url": "http://www.mariannedepierres.com/"} +{"d:Title": "Young Wizards", "d:Description": "Discussion groups, book excerpts, and interviews for fans of Diane Duane's \"Young Wizards\" series", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Diane_Duane_and_Peter_Morwood", "url": "http://www.youngwizards.com/"} +{"d:Title": "Wikipedia: Diane Duane", "d:Description": "Includes bibliography and screen credits.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Diane_Duane_and_Peter_Morwood", "url": "http://en.wikipedia.org/wiki/Diane_Duane"} +{"d:Title": "Wikipedia: Peter Morwood", "d:Description": "Includes personal history and bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Diane_Duane_and_Peter_Morwood", "url": "http://en.wikipedia.org/wiki/Peter_Morwood"} +{"d:Title": "PK Dick Books", "d:Description": "Pictorial bibliography of Philip K. Dick with cover-scans.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Dick,_Philip_K", "url": "http://www.pkdickbooks.com/"} +{"d:Title": "Doyle, Debra and Macdonald, James D. : Madhouse Manor", "d:Description": "Author-maintained website", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Doyle,_Debra_and_MacDonald,_James_D.", "url": "http://www.sff.net/people/doylemacdonald/index.htp"} +{"d:Title": "Review: Lord of the Isles", "d:Description": "Curledup.com review.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Drake,_David", "url": "http://www.curledup.com/lord_isl.htm"} +{"d:Title": "David Drake's Official Homepage", "d:Description": "Drake's own site. Biography, booklist (with Drake's own comments), publication and appearances schedule, FAQ and question submission form.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Drake,_David", "url": "http://www.david-drake.com/"} +{"d:Title": "Review: Lord of the Isles", "d:Description": "SFSite.com review by Alex Anderson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Drake,_David", "url": "http://www.sfsite.com/09a/lord16.htm"} +{"d:Title": "Review: Patriots", "d:Description": "SFSite.com review by Thomas Myer.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Drake,_David", "url": "http://www.sfsite.com/01b/pat25.htm"} +{"d:Title": "Review: Queen of Demons", "d:Description": "SFSite.com review by Victoria Strauss.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Drake,_David", "url": "http://www.sfsite.com/09a/qeen40.htm"} +{"d:Title": "Review: With the Lightnings", "d:Description": "SFSite.com review by Pete Tillman.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Drake,_David", "url": "http://www.sfsite.com/10b/with43.htm"} +{"d:Title": "Review: With the Lightnings", "d:Description": "CNN.com review by David Mandeville.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Drake,_David", "url": "http://www.cnn.com/books/reviews/9808/19/lightnings.cnn/"} +{"d:Title": "Duncan, Dave", "d:Description": "Official site for the SF/F author behind novels like 'The Great Game' and 'The King's Blades'.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Duncan,_Dave", "url": "http://daveduncan.com/"} +{"d:Title": "Linda Dunn's Home Page", "d:Description": "By the author herself.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/D/Dunn,_Linda", "url": "http://www.lindajdunn.com/"} +{"d:Title": "Danny Yee's Book Reviews: Greg Egan", "d:Description": "Reviewsof Axiomatic, Permutation City, Quarantine, and Diaspora.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/E/Egan,_Greg", "url": "http://dannyreviews.com/a/Greg_Egan.html"} +{"d:Title": "Greg Egan", "d:Description": "Author-maintained website with information about novels, stories and essays. Includes illustrations and bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/E/Egan,_Greg", "url": "http://gregegan.customer.netspace.net.au/"} +{"d:Title": "Engdahl, Sylvia", "d:Description": "Official home of Sylvia Louise Engdahl's works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/E/Engdahl,_Sylvia", "url": "http://www.sylviaengdahl.com/"} +{"d:Title": "Eskridge, Kelley", "d:Description": "Author of 'Solitaire'. Her official site with news, book excerpts, essays, and Virtual Pint - a board for conversations with the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/E/Eskridge,_Kelley", "url": "http://www.kelleyeskridge.com/"} +{"d:Title": "The Official Philip Jose Farmer Home Page", "d:Description": "Features detailed lists of all works by Philip Jose Farmer, including fiction, non-fiction and poetry. Includes regularly updated news on the author and biographical information in the form of personal letters, interviews and photographs.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Farmer,_Philip_Jose", "url": "http://www.pjfarmer.com/"} +{"d:Title": "GURPS: Riverworld", "d:Description": "Promotional article in Steve Jackson Games's newsletter about GURPS Riverworld RPG sourcebook.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Farmer,_Philip_Jose", "url": "http://www.sjgames.com/gurps/Roleplayer/Roleplayer16/Riverworld1.html"} +{"d:Title": "The Wold Newton Universe", "d:Description": "A fan-developed expansion of Farmer's fictional \"family.\" References pop culture figures such as Tarzan, Doc Savage and James Bond.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Farmer,_Philip_Jose", "url": "http://www.pjfarmer.com/woldnewton/Pulp.htm"} +{"d:Title": "International Bibliography", "d:Description": "Cover scans from international editions of novels by Philip Jose Farmer. Also bibliographical data on novels and short works.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Farmer,_Philip_Jose", "url": "http://www.xs4all.nl/~rnuninga/"} +{"d:Title": "Dani Zweig's Belated Reviews: Philip Jose Farmer", "d:Description": "Reviews the entire body of work by Philip Jose Farmer. Favors \"To you Scattered Bodies Go\" over most other novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Farmer,_Philip_Jose", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/PS_019.htm"} +{"d:Title": "Denver Science Fiction Book Club", "d:Description": "Review of To You Your Scattered Bodies Go, first book in Farmer's Riverworld series. Includes cover scans and a biographical summary.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Farmer,_Philip_Jose", "url": "http://www.denversfbookclub.com/farmer.htm"} +{"d:Title": "Fantastic Fiction: Philip Jos\u00e9 Farmer Bibliography", "d:Description": "A bibliography of Philip Jose Farmer's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Farmer,_Philip_Jose", "url": "https://www.fantasticfiction.com/f/philip-jose-farmer/"} +{"d:Title": "Faust, Joe Clifford", "d:Description": "Official Site of the author of Boddekker's Demons.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Faust,_Joe_Clifford", "url": "http://www.joecliffordfaust.com/"} +{"d:Title": "Grantville Gazette", "d:Description": "Bi-monthly electronic publication of stories set in Eric Flint's \"1632\" universe. Each issue features several submissions from pro and fan authors. Subscription.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://www.grantvillegazette.com/"} +{"d:Title": "Official 1632 Fan Site", "d:Description": "\"Collects in one place, all the technical information, archives, and files of interest to the happy habitants of the Baen's Bar 1632 Group.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://1632.org/"} +{"d:Title": "Wikipedia: Eric Flint", "d:Description": "Features career, works, and electonic publishing information.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://en.wikipedia.org/wiki/Eric_Flint"} +{"d:Title": "Eric Flint", "d:Description": "Author's official site, with bibliograhy, biography, news, forums, and upcoming events.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://ericflint.net/"} +{"d:Title": "1632 Wiki", "d:Description": "Has entries on the characters, technology, and developments in the fictional alternative universe. Requires free registration the see the material.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://1632wiki.org/"} +{"d:Title": "Baen's Bar: 1632 Tech", "d:Description": "Forum about possible technologies adaptable to the world of the 1632 series. [ Requires free registration.]", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://bar.baen.com/index.php?t=thread&frm_id=15&"} +{"d:Title": "Baen Books; Eric Flint", "d:Description": "Bibliography with sample chapters of books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://www.baen.com/catalog/category/view/s/eric-flint/id/1720/"} +{"d:Title": "Eric Flint's Ring of Fire Press", "d:Description": "Presents authors, catalog, contact information, and blog.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "http://ericflintsringoffire.com/"} +{"d:Title": "Facebook: 1632 Series", "d:Description": "Has news and photographs.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Flint,_Eric", "url": "https://www.facebook.com/1632Series/"} +{"d:Title": "Tal Cohen's Bookshelf: The Dig", "d:Description": "Review of this science fiction book based on a computer game.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Foster,_Alan_Dean", "url": "http://tal.forum2.org/dig"} +{"d:Title": "Merentha - C.S. Friedman Fan Site", "d:Description": "In-depth online resource on C.S. Friedman and her works. Includes author biography and overview, publishing information, bibliography and excerpts of published novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/F/Friedman,_Celia_S.", "url": "http://www.merentha.org/"} +{"d:Title": "Golden, Bruce", "d:Description": "Small-press author of Mortals All.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G", "url": "http://shamanpress.tripod.com/index.html"} +{"d:Title": "Fictional Planet", "d:Description": "J.A. Grier, scientist and author blogs about writing science fiction, fantasy, and the astronomical sciences. Features commentary, and links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G", "url": "http://onewritersmind.blogspot.com/"} +{"d:Title": "Garfinkle, Richard", "d:Description": "Official site of Richard Garfinkle. It includes a bibliography of published works, links and miscellaneous musings.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Garfinkle,_Richard", "url": "http://www.richardgarfinkle.com/"} +{"d:Title": "David Gerrold", "d:Description": "The author's own website with biography, bibliography, FAQ, interviews, and weblog.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Gerrold,_David", "url": "http://www.gerrold.com/"} +{"d:Title": "Gary Gibson", "d:Description": "Online weblog and official resource for Gary Gibson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Gibson,_Gary", "url": "http://www.garygibson.net/"} +{"d:Title": "Stephen Goldin", "d:Description": "Includes a brief biography of the science fiction author, a bibliography, author comments on his past work, observations about life, and news of upcoming publications and projects.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Goldin,_Stephen", "url": "http://stephengoldin.com/"} +{"d:Title": "Kathleen Ann Goonan", "d:Description": "The science fiction writer provides a bibliography, selected book reviews, interviews, and news.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Goonan,_Kathleen_Ann", "url": "http://www.goonan.com/"} +{"d:Title": "January Magazine Interview", "d:Description": "The author discusses her books and her process of writing.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Griffith,_Nicola", "url": "http://www.januarymagazine.com/profiles/griffith.html"} +{"d:Title": "Nicola Griffith", "d:Description": "Author's own site. Includes information on her books, Stay, Slow River, The Blue Place, and Ammonite; audio excerpts (in MP3 format;) articles and interviews; and Ask Nicola for queries from readers.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Griffith,_Nicola", "url": "http://www.nicolagriffith.com/"} +{"d:Title": "The Blue Place", "d:Description": "Critical essay about Griffith's novel by L. Timmel Duchamp.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/G/Griffith,_Nicola", "url": "http://www.ltimmelduchamp.com/node/61"} +{"d:Title": "Hodges, Whitley", "d:Description": "Whitley Hodges, small-press author of fantasy and science fiction novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H", "url": "http://whitleyhodges.tripod.com/"} +{"d:Title": "Haldeman, Jack", "d:Description": "Author-maintained website.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Haldeman,_Jack", "url": "http://www.sff.net/people/jack.haldeman/"} +{"d:Title": "Steven Silver's Reviews: Forever Free", "d:Description": "A review of this Joe Haldeman novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Haldeman,_Joe", "url": "http://www.sfsite.com/~silverag/free.html"} +{"d:Title": "Joe Haldeman's Tangled Web Site", "d:Description": "The author provides a bibliography and long and short biographies, together with an illustrated diary, news and details of the awards for his works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Haldeman,_Joe", "url": "http://home.earthlink.net/~haldeman/"} +{"d:Title": "Edmond Moore Hamilton Bibliography", "d:Description": "American SF writer, Edmond Hamilton world bibliography, bibliographic information and cover art.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hamilton,_Edmond", "url": "http://edmondhamilton.fc2web.com/"} +{"d:Title": "Tangled Web", "d:Description": "Reviews and quotes from other reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hamilton,_Peter_F.", "url": "http://www.twbooks.co.uk/authors/peterfhamilton.html"} +{"d:Title": "Softlights Sins", "d:Description": "A short story.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hamilton,_Peter_F.", "url": "http://www.lysator.liu.se/lsff/confuse96/Softlights_Sins.html"} +{"d:Title": "Interview", "d:Description": "Transcript of an interview conducted at an SF convention in 1996. At the end there are questions from the audience.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hamilton,_Peter_F.", "url": "http://www.lysator.liu.se/lsff/mb-nr33/Peter_F_Hamilton.html"} +{"d:Title": "Peter F Hamilton", "d:Description": "Official website of Peter F Hamilton. It contains news, events, biography, bibliography and dedicated sections for several his series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hamilton,_Peter_F.", "url": "http://www.peterfhamilton.co.uk/"} +{"d:Title": "Night's Dawn", "d:Description": "A detailed web guide to Night's Dawn Trilogy.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hamilton,_Peter_F.", "url": "http://nightsdawn.tripod.com/"} +{"d:Title": "The Official Website", "d:Description": "Includes bibliography, interviews, a news section, and sample chapters.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_Harry", "url": "http://www.iol.ie/~carrollm/hh/"} +{"d:Title": "Wikipedia: Harry Harrison", "d:Description": "Article about the author. Includes information about his family, early life, bibliography, and related links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_Harry", "url": "http://en.wikipedia.org/wiki/Harry_Harrison"} +{"d:Title": "Naski\u011do de la Rustimuna \u015ctalrato", "d:Description": "Review of the Esperanto translation of the novel A Stainless Steel Rat Is Born by Harry Harrison.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_Harry", "url": "http://literaturo.org/HARLOW-Don/Esperanto/Literaturo/Recenzoj/steel_rat.html"} +{"d:Title": "Disillusioned By The Actual", "d:Description": "Email interview by Patrick Hudson for Zone-SF.com.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.zone-sf.com/mjharrison.html"} +{"d:Title": "Infinity Plus: M John Harrison", "d:Description": "David Mathew talks to Harrison, whom he calls \"one of the most consistently brilliant writers at work today.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.infinityplus.co.uk/nonfiction/intmjh.htm"} +{"d:Title": "Strange Horizons: Interview: M. John Harrison", "d:Description": "Harrison talks to Cheryl Morgan about his life's work and his reawakened enthusiasm for fantastical fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.strangehorizons.com/2003/20030609/harrison.shtml"} +{"d:Title": "SF Site: A Conversation With M. John Harrison", "d:Description": "An interview with Gabriel Chouinard.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.sfsite.com/12b/mjh142.htm"} +{"d:Title": "SF Site Review: The Centauri Device", "d:Description": "A review by Martin Lewis.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.sfsite.com/04a/cd125.htm"} +{"d:Title": "SF Site Review: Travel Arrangements", "d:Description": "A review by Rich Horton.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.sfsite.com/08a/ta86.htm"} +{"d:Title": "Entrevista a M. John Harrison", "d:Description": "Interview from Spanish site Cyberdark.net in connection with Harrison's being a guest of honor at Hispanicon.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.cyberdark.net/portada.php?edi=6&cod=251"} +{"d:Title": "Infinity Plus: Light", "d:Description": "\"Not a comfortable read, it is sometimes ugly and it is often startling ... a simply extraordinary SF novel,\" writes Adam Roberts about Light.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.infinityplus.co.uk/nonfiction/lightrev.htm"} +{"d:Title": "An Acerbic Critic\u2019s Journey to Harrisonium", "d:Description": "Despite his high regard for Harrison, Locus Magazine's Nick Gevers finds Things That Never Happen \"irritatingly sententious and repetitious, terminally irresolute.\" A review.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.locusmag.com/2003/Reviews/Gevers01_Harrison.html"} +{"d:Title": "M. John Harrison: Summary Bibliography", "d:Description": "A complete bibliography from the Internet Speculative Fiction Database, with information about books in print, editions, synopsises and reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?Stephen_Baxter"} +{"d:Title": "Isobel Avens Returns to Stepney in the Spring", "d:Description": "A novelette by M. John Harrison. With an afterword by the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.infinityplus.co.uk/stories/isobel.htm"} +{"d:Title": "The Guardian: Into the 10th dimension", "d:Description": "Review by Iain Banks of Light. Banks finds reality bending around the exhilarating beam of M John Harrison's imagination in this novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Harrison,_M._John", "url": "http://www.theguardian.com/books/2002/nov/02/sciencefictionfantasyandhorror.iainbanks"} +{"d:Title": "Rambles: Race for Doroon", "d:Description": "Review of Race for Doroon by Beth Derochea.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hartman,_Gloria", "url": "http://www.rambles.net/hartman_doroon01.html"} +{"d:Title": "Satin's Bootle", "d:Description": "Message board where Gloria Hartman related topics are discussed.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hartman,_Gloria", "url": "http://forums.delphiforums.com/doroon/start/"} +{"d:Title": "Young Adult Books: Race For Doroon", "d:Description": "Reviewed by J. Mitchell.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hartman,_Gloria", "url": "http://www.yabookscentral.com/component/jreviews/discussions/review/id:68"} +{"d:Title": "David G. Hartwell's Home Page", "d:Description": "Official website of this editor/author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hartwell,_David_G.", "url": "http://www.davidghartwell.com/"} +{"d:Title": "Simon Haynes", "d:Description": "Official home page for Simon Haynes and the Hal Spacejock SF series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Haynes,_Simon", "url": "http://www.spacejock.com.au/"} +{"d:Title": "Site: Robert A. Heinlein", "d:Description": "Offers frequently-asked-questions regarding Heinlein's life and works, with audio clips, photographs, essays and reader's guide information.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.nitrosyncretic.com/rah/"} +{"d:Title": "The Heinlein Society", "d:Description": "Endorsed by his estate and exists to preserve the various works and legacy of Heinlein. Offers information about programs for supplying libraries, academic and literary outreach efforts, membership benefits, and Heinlein's favorite charity, blood drives.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.heinleinsociety.org/"} +{"d:Title": "Stranger In a Strange Land Cover Art", "d:Description": "Scans of the covers, discussion of their history, and personal reflections on the book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://members.tripod.com/~v_m_smith/"} +{"d:Title": "Heinlein in Dimension", "d:Description": "Critical commentary of Heinlein's works by Alexei Panshin, discussing myriad influences and themes.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.panshin.com/critics/Dimension/hdcontents.html"} +{"d:Title": "Thoughts on Starship Troopers", "d:Description": "Contrasts Paul Verhoeven's interpretations of the \"Starship Troopers\" novel with the actual perceived intentions of the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.kentaurus.com/troopers.htm"} +{"d:Title": "Robert Anson Heinlein", "d:Description": "Archived usenet posting containing a definitive listing of all of Heinlein's works and eulogy as it appeared in the Boston Globe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.physics.ohio-state.edu/~bcd/rah.html"} +{"d:Title": "The Heinlein Juveniles", "d:Description": "Collection of commentaries by Joseph T. Major regarding the juvenile novels written for Scribner's.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://members.iglou.com/jtmajor/HeinJuvs.htm"} +{"d:Title": "The Universe as Fiction", "d:Description": "Combined reviews of some novels and links to other reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.wjduquette.com/authors/raheinlein.html"} +{"d:Title": "IMDb: Robert A. Heinlein", "d:Description": "Filmography as screenwriter and biographical information.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.imdb.com/name/nm0374423/"} +{"d:Title": "The Robert A. Heinlein Page", "d:Description": "Offers comparison of Heinlein with Mark Twain and provides story timelines, chronological listings of works, brief biography, and pictures of \"The Starship Troopers\" board game.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.severing.nu/rah.htm"} +{"d:Title": "The Heinlein Prize", "d:Description": "Cash award of $500,000 for practical accomplishments in the field of commercial space activities.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.heinleinprize.com/"} +{"d:Title": "The Church of All Worlds, Inc.", "d:Description": "Religion based in part on the ideas espoused in various Heinlein works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.caw.org/"} +{"d:Title": "Quotable Heinlein", "d:Description": "Searchable database of 375 quotations from various novels and short stories, along with news, articles, and discussion forum.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.quotableheinlein.com/"} +{"d:Title": "The Templeton Gate", "d:Description": "Offers overview of future history storylines, author biography, juvenile novels summaries and book covers, style analyses, and commentary expressing \"reservations\" with regard to some common elements pervasive throughout Heinlein's works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://templetongate.net/rahmain.htm"} +{"d:Title": "L'Envoi List: The Number of the Beast Characters", "d:Description": "Archived Usenet posting attempting to match characters mentioned in the \"L'Envoi\" chapter of the \"The Number of the Beast\" with their respective books in which they first appeared.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A.", "url": "http://www.robertheinlein.it/lenvoi.html"} +{"d:Title": "Legendary Science Fiction: The Robert A. Heinlein Page", "d:Description": "Short Robert A. Heinlein biography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A./Biographies", "url": "http://www.luna-city.com/sf/rah.htm"} +{"d:Title": "Biography for Robert A. Heinlein", "d:Description": "Short biography from the Internet Movie Database", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A./Biographies", "url": "http://www.imdb.com/name/nm0374423/bio"} +{"d:Title": "Wikipedia", "d:Description": "Wikipedia entry featuring biography and bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A./Biographies", "url": "http://en.wikipedia.org/wiki/Robert_Heinlein"} +{"d:Title": "Heinleiners Mailing List", "d:Description": "Yahoo Groups mailing list with general discussion.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Heinlein,_Robert_A./Chats_and_Forums", "url": "http://groups.yahoo.com/group/heinleiners/"} +{"d:Title": "Zenna&Her People", "d:Description": "Page devoted to science fiction writer Zenna Henderson. Some thoughts on where she stands in the genre, reviews, links, quotes about the author from anthologies.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Henderson,_Zenna", "url": "http://www.adherents.com/lit/bk_Zenna.html"} +{"d:Title": "Wikipedia: Zenna Henderson", "d:Description": "Article on her life and works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Henderson,_Zenna", "url": "http://en.wikipedia.org/wiki/Zenna_Henderson"} +{"d:Title": "Zenna (Chlarson) Henderson (1917-1983)", "d:Description": "Bibliography groups the People stories first. Arranged by year of first publication, tells in which magazines or books they may be found.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Henderson,_Zenna", "url": "http://ofearna.us/books/henderson.html"} +{"d:Title": "The Sons of Dune", "d:Description": "Interview with Brian Herbert and Kevin J. Anderson details the background, ideas and development behind the Dune prequels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Brian/Dune_Works", "url": "http://www.januarymagazine.com/profiles/duneprofile.html"} +{"d:Title": "Dune Novels", "d:Description": "Includes information about the recent prequel trilogy and original novels, and highlights from Frank Herbert's recently discovered notes.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Brian/Dune_Works", "url": "http://www.dunenovels.com/"} +{"d:Title": "Thoughts of Dune", "d:Description": "Writings and other information on the Dune series by Frank Herbert.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Frank", "url": "http://www.aeriagloris.com/ThoughtsOfDune/"} +{"d:Title": "The Templeton Gate: Frank Herbert", "d:Description": "Discussion of his works and comments on his writing history.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Frank", "url": "http://templetongate.net/herbert.htm"} +{"d:Title": "Dune Index", "d:Description": "Extensive resource of the Dune universe and other books written by Frank Herbert. Including collectors guide, posters and the latest in Dune.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Frank/Works", "url": "http://www.arrakis.co.uk/"} +{"d:Title": "Tleilax.com", "d:Description": "Information on the Dune chronicles, including quotes and genealogy on the characters involved in the Dune series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Frank/Works", "url": "http://www.tleilax.com/"} +{"d:Title": "Usul's Homepage", "d:Description": "News, information on the author, Dune books, films, games, documents, fan fiction, files, and Dune factions.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Frank/Works", "url": "http://www.usul.net/"} +{"d:Title": "Cave of Birds", "d:Description": "Dedicated to Frank Herbert's other works besides the Dune series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Herbert,_Frank/Works", "url": "http://www.caveofbirds.com/"} +{"d:Title": "Ship of Magic", "d:Description": "A review of this Robin Hobb novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hobb,_Robin", "url": "http://www.science-fiction-review.com/ship_of_magic.htm"} +{"d:Title": "Locus: Robin Hobb Interview", "d:Description": "Locus Online's interview with the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hobb,_Robin", "url": "http://locusmag.com/1998/Issues/01/Hobb.html"} +{"d:Title": "Robin Hobb", "d:Description": "Official site, with news, book and series information, message boards and a FAQ.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hobb,_Robin", "url": "http://www.robinhobb.com/"} +{"d:Title": "JanuaryProfile: Robin Hobb", "d:Description": "An interview by Linda Richards.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hobb,_Robin", "url": "http://www.januarymagazine.com/profiles/hobb.html"} +{"d:Title": "ThePlenty.net", "d:Description": "Fansite about Robin Hobb/Megan Lindholm and her stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hobb,_Robin", "url": "http://www.theplenty.net/"} +{"d:Title": "The Hobb(its)", "d:Description": "Chat board to discuss the works of Robin Hobb.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hobb,_Robin", "url": "http://robinhobbmeganlindholm.yuku.com/"} +{"d:Title": "Cradle of Saturn", "d:Description": "The first four chapters of this book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hogan,_James_P.", "url": "http://baen.com/chapters/cradle_p.htm"} +{"d:Title": "L. Ron Hubbard The Writer: The Shaping of Popular Fiction", "d:Description": "Offers excerpts from books, and biography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron", "url": "http://www.lronhubbard.org/home.html"} +{"d:Title": "Battlefield Earth", "d:Description": "Biography of L. Ron Hubbard, introduction and first chapter of the book, artwork, the setting and characters of the book, information on the movie.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron", "url": "http://www.battlefieldearth.com/"} +{"d:Title": "Author Services Inc.", "d:Description": "Exclusive literary agents of L. Ron Hubbard. Biography, \"Library\" presents reviews, synopses, and first chapters of several of Hubbard's western, adventure, fantasy, and science fiction stories. [Requires Flash]", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron", "url": "http://www.authorservicesinc.com/index1.html"} +{"d:Title": "L. Ron Hubbard - Poet/Lyricist", "d:Description": "Contains 65 poems, lyrics and ballads written by L. Ron Hubbard - 53 of them never before published each of them presented with historical notes and details.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron", "url": "http://www.ronthepoet.org/"} +{"d:Title": "ISFDB: L. Ron Hubbard", "d:Description": "Bibliography of L. Ron Hubbard's fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?L._Ron_Hubbard"} +{"d:Title": "L Ron Hubbard (1911-1986)", "d:Description": "A bibliography of Hubbard's fiction, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron", "url": "https://www.fantasticfiction.com/h/l-ron-hubbard/"} +{"d:Title": "Scott Nicholson", "d:Description": "Finalist in 1998 contest,and the work he has done since.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron/Writers_of_the_Future_Contest", "url": "http://www.infinityplus.co.uk/misc/scottn.htm"} +{"d:Title": "L. Ron Hubbard Achievement Awards for 2001", "d:Description": "Awards ceremony for the award winners for 2001, and the release of the 17th edition of Writers of the Future.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron/Writers_of_the_Future_Contest", "url": "http://www.prnewswire.com/cgi-bin/stories.pl?ACCT=104&STORY=/www/story/08-15-2001/0001555142&EDATE="} +{"d:Title": "Locus Magazine: Hubbard Awards", "d:Description": "SF trade journal looks at the award winners for the 2002 Writers of the Future contest.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron/Writers_of_the_Future_Contest", "url": "http://www.locusmag.com/2002/News/News08Log3.html"} +{"d:Title": "Locus Online News: Writers/Illustrators of the Future Awards", "d:Description": "Article on the 20th annual L. Ron Hubbard Writers and Illustrators of the Future event lifetime achievement award to Anne McCaffrey.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hubbard,_L._Ron/Writers_of_the_Future_Contest", "url": "http://www.locusmag.com/2004/News/08_WotF.html"} +{"d:Title": "Stephen Hunt - Genre Author", "d:Description": "Semi-official home page of genre author Stephen Hunt. Run by fan Kim McManus.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hunt,_Stephen", "url": "http://www.stephenhunt.net/"} +{"d:Title": "Stephen Hunt Bibliography", "d:Description": "ISFDB site's bibliography of Stephen Hunt.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hunt,_Stephen", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?Stephen_Hunt"} +{"d:Title": "Wikipedia: Stephen Hunt", "d:Description": "The Wikipedia encyclopedia's entry on genre writer Stephen Hunt.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hunt,_Stephen", "url": "http://en.wikipedia.org/wiki/Stephen_Hunt"} +{"d:Title": "Amazon.co.uk: 'For The Crown and the Dragon' Reviews", "d:Description": "Collection of media reviews for the winner of the 1994 WH Smith New Talent Award. Includes Locus, Arcane, Interzone and the Guardian newspaper.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hunt,_Stephen/Reviews", "url": "http://www.amazon.co.uk/exec/obidos/tg/stores/detail/-/books/0952288508/reviews/"} +{"d:Title": "Walter H. Hunt", "d:Description": "Official site for the author of the Dark Wing series. Biography, bibliography, reviews and news.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/H/Hunt,_Walter", "url": "http://www.walterhunt.com/"} +{"d:Title": "James, Donovan", "d:Description": "The Kala Empire, a mostly science fiction portfolio of a writer named Donovan.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/J", "url": "http://www.kalaempire.com/"} +{"d:Title": "Mus\u00e9e M\u00e9canique", "d:Description": "Online novel describes how Pinocchio succeeded at last in becoming a puppet.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/J/Jackson,_Shelley", "url": "http://www.conjunctions.com/webcon/jackson.htm"} +{"d:Title": "Altx - My Body", "d:Description": "Hypertext experiment by Shelley Jackson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/J/Jackson,_Shelley", "url": "http://www.altx.com/thebody/body.html"} +{"d:Title": "Jackson, Shelley", "d:Description": "Portfolio, books list and blog.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/J/Jackson,_Shelley", "url": "http://www.shelleyannjackson.com/"} +{"d:Title": "Strange Days: My Life With and Without Jim Morrison", "d:Description": "Rambles review of Kennealy-Morrison's autobiography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/K/Kennealy-Morrison,_Patricia", "url": "http://www.rambles.net/kennealy_strange.html"} +{"d:Title": "Interview with Patricia Kennealy", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/K/Kennealy-Morrison,_Patricia", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-patricia-kennealy"} +{"d:Title": "Reality with a Twist", "d:Description": "Science fiction novelist Kay Kenyon's personal web site. Includes descriptions of her novels, cover art, biography, and signing and convention schedules.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/K/Kenyon,_Kay", "url": "http://www.kaykenyon.com/"} +{"d:Title": "Lord, Blanche F.", "d:Description": "Small-press author of the SF novel Cloud of Chaos Planet of Light.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L", "url": "http://www.bflord.com/"} +{"d:Title": "Liebermann, Jude", "d:Description": "Official site for Jude Liebermann's self-published SFF romance novels: Mexican Sunsets, Tomorrow's Past and Texas Moonshine.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L", "url": "http://www.judesplace.com/"} +{"d:Title": "Lay, James T.", "d:Description": "Science Fiction novels self-published by James T. Lay.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L", "url": "http://www.darkside.co.uk/"} +{"d:Title": "Landis, Geoffrey", "d:Description": "A biography, excerpts of reviews, and links to full reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L", "url": "http://www.geoffreylandis.com/"} +{"d:Title": "Larbalestier, Justine", "d:Description": "The official website of science fiction historian, critic and author Justine Larbalestier. Includes a biography, full list of publications, quotes from reviews and an extract from her most recent book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L/Larbalestier,_Justine", "url": "http://www.justinelarbalestier.com/"} +{"d:Title": "The Battle of the Sexes in Science Fiction", "d:Description": "A review of Larbalestier's history of women and feminism in American science fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L/Larbalestier,_Justine", "url": "http://dannyreviews.com/h/Battle_Sexes.html"} +{"d:Title": "Murray Leinster", "d:Description": "Steven Silver provides a bibliography of Leinster's writings, as well as biographical information.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L/Leinster,_Murray", "url": "http://www.sfsite.com/~silverag/leinster.html"} +{"d:Title": "James Lovegrove", "d:Description": "Official site of this British writer of SF, horror and dark fantasy novels, including 'The Foreigners' and 'Days'.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/L/Lovegrove,_James", "url": "http://www.jameslovegrove.com/"} +{"d:Title": "Moore, C.L.", "d:Description": "Biographical details for Catherine Moore, author of Judgment Night and other SF novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M", "url": "http://www.redjacketpress.com/authors/cl_moore.html"} +{"d:Title": "McCaa, Jane", "d:Description": "British science fiction author Jane McCaa, creator of the Delos series of novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M", "url": "http://www.radisol.com/janemccaa"} +{"d:Title": "McCollum, Michael - Sci-Fi Arizona", "d:Description": "Articles on The Art of Writing and The Art of Writing Science Fiction as well as books by the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M", "url": "http://www.scifi-az.com/"} +{"d:Title": "MacLean, Glynne", "d:Description": "Companion website to the teen SF novel Roivan from Penguin.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/MacLean,_Glynne", "url": "http://www.roivan.co.nz/"} +{"d:Title": "Trots in Space", "d:Description": "2 interviews, short biography and quick review of the first 3 novels in the 'Fall Revolution' series", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken", "url": "http://www.emcit.com/emcitS01.shtml"} +{"d:Title": "The Early Days of a Better Nation", "d:Description": "Ken MacLeod's Weblog", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken", "url": "http://kenmacleod.blogspot.com/"} +{"d:Title": "SF after the Future Went Away", "d:Description": "Critical essay about Science Fiction written by Ken MacLeod.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken", "url": "http://www.infinityplus.co.uk/nonfiction/kensf.htm"} +{"d:Title": "Salon Books | The downloadable boy", "d:Description": "The downloadable boy: An excerpt from 'The Cassini Division'", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken", "url": "http://www.salon.com/1999/07/27/macleod_excerpt/"} +{"d:Title": "Libertarianism, the Loony Left and the Secrets of the Illuminati", "d:Description": "An essay on MacLeod's view of socialism, anarchism and libertarian influences in science fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken/Articles", "url": "http://www.libertarian.co.uk/lapubs/persp/persp010.pdf"} +{"d:Title": "Morality, Mortality, Mentality", "d:Description": "Excerpts from an interview with Locus Magazine. Photo, biography and information about Cosmonaut Keep.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken/Interviews", "url": "http://www.locusmag.com/2000/Issues/10/MacLeod.html"} +{"d:Title": "An Engine of Anarchy", "d:Description": "Interview with MacLeod about his political beliefs.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken/Interviews", "url": "http://www.salon.com/1999/07/27/macleod_interview/"} +{"d:Title": "SFF Net", "d:Description": "'The Stone Canal' Review", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken/Reviews", "url": "http://www.sff.net/people/richard.horton/stonecan.htm"} +{"d:Title": "The Cassini Division", "d:Description": "Danny Yee reviews MacLeod's The Cassini Division.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken/Reviews", "url": "http://dannyreviews.com/h/Cassini_Division.html"} +{"d:Title": "Infinity Plus", "d:Description": "Review of 'The Cassini Division'", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken/Reviews", "url": "http://www.infinityplus.co.uk/nonfiction/cassdiv.htm"} +{"d:Title": "Infinity Plus", "d:Description": "Review of 'The Stone Canal'", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Macleod,_Ken/Reviews", "url": "http://www.infinityplus.co.uk/nonfiction/canal.htm"} +{"d:Title": "Marley, Louise", "d:Description": "Official site for SF author Louise Marley. Offers information on her life, books and appearances.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Marley,_Louise", "url": "http://www.louisemarley.com/"} +{"d:Title": "Paul Marlowe", "d:Description": "Personal website of SF and historical fiction author Paul Marlowe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Marlowe,_Paul", "url": "http://www.sybertooth.com/pm/"} +{"d:Title": "Lisa Mason's web site", "d:Description": "The author of Pangaea and Summer of Love's own web site.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Mason,_Lisa", "url": "http://www.lisamason.com/"} +{"d:Title": "Julian May Discussion List", "d:Description": "An area to discuss the works of the author Julian May, with a focus on her Pliocene Exile and Galactic Milieu story cycle.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/May,_Julian", "url": "http://groups.yahoo.com/group/Julian-May-discuss/"} +{"d:Title": "Paul J. McAuley Interview", "d:Description": "Transcript of an interview conducted at a Swedish SF convention in 1998.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McAuley,_Paul", "url": "http://www.lysator.liu.se/lsff/confuse00/Paul_J_McAuley_interview.html"} +{"d:Title": "Divided by a Common Language", "d:Description": "A Guest of Honor speech about British versus American Science Fiction, given at an SF convention in 1998.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McAuley,_Paul", "url": "http://www.lysator.liu.se/lsff/mb-nr36/Divided_by_a_Common_Language.html"} +{"d:Title": "Stefan's Altar to Anne McCaffrey", "d:Description": "A fan site listing personal experiences with the author, DragonCon information, and book reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne", "url": "http://www.angelfire.com/biz/spunbystefan/pern.html"} +{"d:Title": "The Many Works of Anne McCaffrey", "d:Description": "Fan site containing a bibliography, biography and links to available book excerpts.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne", "url": "http://mccaffrey.srellim.org/"} +{"d:Title": "The Pern Museum&Archives", "d:Description": "Home of the Pern Encyclopedia site, also with information about McCaffrey's other works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne", "url": "http://www.pern.nl/"} +{"d:Title": "Anne McCaffrey Fans Forum&Chat", "d:Description": "Message board and Java chat includes discussions on Pern, the Crystal Singer series, games, fandom. Also welcomes original poetry and fan fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne", "url": "http://www.annemccaffreyfans.org/"} +{"d:Title": "Robin Wood", "d:Description": "Robin Wood painted the People of Pern for Anne McCaffrey's companion book to the Pern series", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern", "url": "http://www.robinwood.com/"} +{"d:Title": "Tokyo Weyr", "d:Description": "A non-role playing fan Weyr that invites one to impress a dragon or flit.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern", "url": "http://www.angelfire.com/rpg/tokyoweyr/"} +{"d:Title": "Sariel's Guide to Pern", "d:Description": "Whether you are new to Pern or a long-time fan, this archive of information is interesting as well as useful.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern", "url": "http://www.srellim.org/pern/index.htm"} +{"d:Title": "Aerrin's Pern", "d:Description": "Recommended reading order for the novels, random Pern facts, an explanation of Pern, links to Pern role-playing and other sites.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern", "url": "http://www.angelfire.com/bc/aerrin/pern.html"} +{"d:Title": "Pern Cards", "d:Description": "Cards for your Pern RPG characters to wish them \"Merry Turns End\" or \"Happy Hatching\" or congratulate them on Impression.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern", "url": "http://arolosweyr.co.uk/perncards/"} +{"d:Title": "Jillian's World of Pern", "d:Description": "A list of the books, a Pern FAQ and bloopers, a quiz, and other information about Pern.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern", "url": "http://www.angelfire.com/wy/pern/"} +{"d:Title": "HerderHall of StarStones", "d:Description": "Ran by StarStones' hall members, this site is a guide to herdercraft on Pern.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Craft_Guides", "url": "http://www.angelfire.com/rpg/herderhall/"} +{"d:Title": "Smithcrafthall", "d:Description": "Ran by PernMUSH members, the site is a guide to smithcraft on Pern.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Craft_Guides", "url": "http://www.angelfire.com/mo/SmithCraft/dlg.html"} +{"d:Title": "The StarCraft at Harper's Tale MOO", "d:Description": "Ran by Harper's Tale MOO members, the site is a guide to starcraft on Pern.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Craft_Guides", "url": "http://jolira.tripod.com/"} +{"d:Title": "Dragonchoice", "d:Description": "A Seventh Interval collaborative fan fiction and artwork project.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Fan_Fiction", "url": "http://www.dragonchoice.com/"} +{"d:Title": "Fanart Central: Dragonriders of Pern", "d:Description": "An art gallery with fan-created artwork and stories based on Pern.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Fan_Fiction", "url": "http://www.fanart-central.net/cat-2186.php"} +{"d:Title": "pern_fic - Dragonriders of Pern Fanfiction", "d:Description": "A LiveJournal community dedicated to Pern fan fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Fan_Fiction", "url": "http://pern-fic.livejournal.com/"} +{"d:Title": "Kadanzer Weyr", "d:Description": "A relaxed canon (female brown and blue riders allowed) alternate post-AIVAS Tenth Pass fanzine club with publicly accessible fan stories and artwork.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Fan_Fiction/Fanzines", "url": "http://www.kadanzer.org/"} +{"d:Title": "Affray Weyr", "d:Description": "Mailing list for a member-owned paper fanzine club with online roleplay, set on the Southern Continent in an alternate Tenth Pass where AIVAS broke shortly after being found.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Fan_Fiction/Fanzines", "url": "http://groups.yahoo.com/group/affrayweyr/"} +{"d:Title": "Harper's Tale", "d:Description": "Provides information about the MOO, maps, player lists and some background information about Pern.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Games/MOOs", "url": "http://www.harpers-tale.com/"} +{"d:Title": "PernMU Discussion Forum", "d:Description": "Discussion, reminiscing and occasional flamewars on issues relating to Pern-based MUSHes and MOOs.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCaffrey,_Anne/Pern/Games/MOOs", "url": "http://www.pernmu.com/"} +{"d:Title": "Wil McCarthy's Old Time Science Bar", "d:Description": "Author maintained site with bibliography, biography and samples.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCarthy,_Wil", "url": "http://www.wilmccarthy.com/"} +{"d:Title": "Epiphyte: Wil McCarthy: Murder In the Solid State", "d:Description": "Review by Christina Schulman about this \"Evil Conspiracy story with some interesting speculation about nanotech thrown in.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCarthy,_Wil", "url": "http://www.epiphyte.net/SF/solid-state.html"} +{"d:Title": "Epiphyte: Wil McCarthy: Bloom", "d:Description": "Review by Christina Schulman of this hard science fiction novel on a grand scale.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCarthy,_Wil", "url": "http://www.epiphyte.net/SF/bloom.html"} +{"d:Title": "The SF Site : Bloom", "d:Description": "Review by Peter D. Tillman of this science fiction novel by a robotics engineer.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCarthy,_Wil", "url": "http://www.sfsite.com/08b/bl87.htm"} +{"d:Title": "The SF Site: Lost In Transmission", "d:Description": "Review by Rich Horton of the third of Wil McCarthy's novels set a few centuries in the future in the Queendom of Sol.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCarthy,_Wil", "url": "http://www.sfsite.com/01b/lt192.htm"} +{"d:Title": "Penguin Random House: Bloom by Wil McCarthy", "d:Description": "Publisher maintained site about this novel, including a biography of the author, an interview with him and excerpt from the book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McCarthy,_Wil", "url": "http://www.penguinrandomhouse.com/books/110541/bloom-by-wil-mccarthy/9780345485373"} +{"d:Title": "Interview", "d:Description": "Transcript of an interview conducted at an SF convention in Sweden 1992.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McDonald,_Ian", "url": "http://www.lysator.liu.se/lsff/mb-nr16/Interview_with_Ian_McDonald.html"} +{"d:Title": "Guest of Honor Speech", "d:Description": "Given in 1992, at an SF convention in Sweden.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McDonald,_Ian", "url": "http://www.lysator.liu.se/lsff/mb-nr17/Speech_by_Ian_McDonald.html"} +{"d:Title": "Big Chair", "d:Description": "A short story set in the vicinity of Desolation Road.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McDonald,_Ian", "url": "http://www.lysator.liu.se/lsff/confuse92/bigchair.txt"} +{"d:Title": "The Best Science Fiction Writer in Britain", "d:Description": "A introduction to McDonald, written by Brian Stableford.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McDonald,_Ian", "url": "http://www.lysator.liu.se/lsff/confuse92/om_ian3.txt"} +{"d:Title": "Future Remix", "d:Description": "Nick Gevers talks to Ian McDonald, one of Britain's more significant SF writers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McDonald,_Ian", "url": "http://www.infinityplus.co.uk/nonfiction/intimcd.htm"} +{"d:Title": "Hodgkins&Me", "d:Description": "Weblog by the author about her fight against Hodgkins Lymphoma.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McHugh,_Maureen_F.", "url": "http://maureenmcq.blogspot.com/"} +{"d:Title": "SFF on McIntyre", "d:Description": "Author maintained site with news, bibliography, biography and excerpts.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McIntyre,_Vonda_N.", "url": "http://www.sff.net/people/Vonda/"} +{"d:Title": "Fantastic Fiction", "d:Description": "Bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/McIntyre,_Vonda_N.", "url": "https://www.fantasticfiction.com/m/vonda-n-mcintyre/"} +{"d:Title": "Meacham, Beth", "d:Description": "Author-maintained website", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Meacham,_Beth", "url": "http://www.panix.com/~bam/"} +{"d:Title": "John Meaney", "d:Description": "The author of To Hold Infinity, Paradox, and Context provides an autobiography, book reviews, weblog and pictures of the writer.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Meaney,_John", "url": "http://johnmeaney.tripod.com/"} +{"d:Title": "Michaels, Melisa", "d:Description": "Author-maintained website.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Michaels,_Melisa", "url": "http://www.sff.net/people/Melisa/"} +{"d:Title": "Fantastic Fiction", "d:Description": "A bibliography and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Michaels,_Melisa", "url": "https://www.fantasticfiction.com/m/melisa-michaels/"} +{"d:Title": "Moreau, Gary", "d:Description": "Author site with artwork and links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Moreau,_Gary", "url": "http://www.garymoreau.com/"} +{"d:Title": "Morrow, James", "d:Description": "Author-maintained website.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/M/Morrow,_James", "url": "http://jamesmorrow.info/"} +{"d:Title": "Nuetzel, Charles", "d:Description": "Author of the ebook Swordmen of Vistar - SFF in the tradition of Edgar Rice Burroughs.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N", "url": "http://www.haldolen.com/"} +{"d:Title": "Transhumanist Fiction", "d:Description": "Review of The Bohr Maker, Tech Heaven and Deception Well.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Nagata,_Linda", "url": "http://www.aleph.se/Trans/Cultural/Art/nagata.html"} +{"d:Title": "Locus", "d:Description": "Excerpt from 1997 interview.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Nagata,_Linda", "url": "http://www.locusmag.com/1997/Issues/02/Nagata.html"} +{"d:Title": "Linda Nagata's Home Page", "d:Description": "The author's own site, including the short novelette \"Hooks, Nets and Time\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Nagata,_Linda", "url": "http://www.mythicisland.com/"} +{"d:Title": "Josef Nesvadba Page", "d:Description": "Stories, interview, biography and other resources about the preeminent Czech science fiction author of the last 50 years.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Nesvadba,_Josef", "url": "http://cafeirreal.alicewhittenburg.com/nesvadba.htm"} +{"d:Title": "Nielsen Hayden, Patrick and Teresa", "d:Description": "Author-maintained website.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Nielsen_Hayden,_Patrick_and_Teresa", "url": "http://www.nielsenhayden.com/"} +{"d:Title": "Nimersheim, Jack", "d:Description": "Author of the 'Farthest Star' (got a Campbell Award nomination).", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Nimersheim,_Jack", "url": "http://www.cheznims.com/"} +{"d:Title": "Timeline: Larry Niven's Known Space", "d:Description": "Chronology of Niven's fictional setting including data from The Ringworld Role Playing Game and the Man-Kzin Wars novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Niven,_Larry", "url": "http://www.chronology.org/niven/"} +{"d:Title": "Man of Steel, Woman of Kleenex", "d:Description": "Story by Larry Niven about Superman's procreative challenges.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Niven,_Larry", "url": "http://www.rawbw.com/~svw/superman.html"} +{"d:Title": "Our Vurt-sion", "d:Description": "Fan fiction in the style of the Vurt series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff", "url": "http://www.sendcoffee.com/vurts.html"} +{"d:Title": "Amazon.co.uk: All Shook Up", "d:Description": "Jeff Noon writes about his collection of short stories, Pixel Juice.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff", "url": "http://www.amazon.co.uk/exec/obidos/tg/feature/-/18062/026-8024809-9563654"} +{"d:Title": "Guardian Unlimited: Jeff Noon's Favourite Fluid Fiction", "d:Description": "Jeff Noon discusses his top ten favourite books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff", "url": "http://www.theguardian.com/culture/2001/jan/17/bestbooks.fiction"} +{"d:Title": "Jeff Noon", "d:Description": "A collection of links to numerous Noon resources on the web. Includes links to interviews, reviews and articles.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff", "url": "http://www.metamorphiction.com/"} +{"d:Title": "Alt-X: As Per Vurt", "d:Description": "An interview which covers musical and comic book influences, differences between British and American versions of his books, getting Vurt published, the internet, and genres.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Interviews", "url": "http://www.altx.com/int2/jeff.noon.html"} +{"d:Title": "Spike: Fairy Tales From The Future", "d:Description": "An interview which focuses on Automated Alice, and musical influences. Also touches on genre labels, his writing style, and Ringpull Press.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Interviews", "url": "http://www.spikemagazine.com/1196noon.php"} +{"d:Title": "Guardian Transcript: Jeff Noon Live Online", "d:Description": "An interview which includes discussions about Needle in the Groove and Cobralingus.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Interviews", "url": "http://www.theguardian.com/books/2000/may/16/fiction"} +{"d:Title": "Needle in the Groove", "d:Description": "A review from Infinity Plus.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://www.infinityplus.co.uk/nonfiction/needle.htm"} +{"d:Title": "Vurt", "d:Description": "Mark Amerika reviews Vurt.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://altx.com/amerika.online/vurt.html"} +{"d:Title": "Cobralingus", "d:Description": "A review of Cobralingus at the Complete Review.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://www.complete-review.com/reviews/noonj/clingus.htm"} +{"d:Title": "Nymphomation", "d:Description": "A review of Nymphomation from the Complete Review.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://www.complete-review.com/reviews/noonj/nymphom.htm"} +{"d:Title": "Pixel Juice", "d:Description": "A review of Pixel Juice from the Complete Review.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://www.complete-review.com/reviews/noonj/pixelj.htm"} +{"d:Title": "Falling Out of Cars", "d:Description": "A review from SFRevu.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://www.sfrevu.com/ISSUES/2002/0211/Book%20-%20Falling%20Out%20of%20Cars/Review.htm"} +{"d:Title": "Phreak Chance", "d:Description": "A 1997 review of Nymphomation from Spike.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://www.spikemagazine.com/1097noon.php"} +{"d:Title": "Falling Out of Cars", "d:Description": "A review from The Guardian newspaper.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/N/Noon,_Jeff/Reviews", "url": "http://www.theguardian.com/books/2002/dec/07/featuresreviews.guardianreview23"} +{"d:Title": "O'Bannon, Ann", "d:Description": "Author of a self-published science fiction romance series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/O", "url": "http://www.annobannon.com/"} +{"d:Title": "O'Brien, Aurelio", "d:Description": "Aurelio O'Brien's novel, Eve, featuring an animated spoof of on-line shopping in the year 3032.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/O/O'Brien,_Aurelio", "url": "http://www.evethenovel.com/"} +{"d:Title": "Peters, Paul", "d:Description": "Science fiction author Paul Peters and his novel Stormbound.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P", "url": "http://www.paulphillippeters.com/"} +{"d:Title": "Alexei Panshin's Rite of Passage", "d:Description": "Extended review; links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Panshin,_Alexei", "url": "http://www.adherents.com/lit/bk_Pans_RiteOfPassage.html"} +{"d:Title": "Lord Kalvan of Otherwhen", "d:Description": "This simple page by Dennis Frank is dedicated to the Paratime novel and includes extensive notes, a map of the region where the novel is set, and photos from various locations depicted in the novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://users.penn.com/~djfrank/Lord_Kalvan/Lord_Kalvan_page.html"} +{"d:Title": "Dead Fuzzies", "d:Description": "Parody filk song, by Dave Weingart.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www.swil.org/FILKS/New_Filks/Dead_Fuzzies.txt"} +{"d:Title": "Hostigos.com", "d:Description": "Official site of editor John F. Carr's sequels to \"Lord Kalvan of Otherwhen\".", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www.hostigos.com/"} +{"d:Title": "Little Fuzzy Animals", "d:Description": "Filk song by Harry Smothers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www.swil.org/FILKS/New_Filks/Little_Fuzzy_H._Beam_Piper_Anim.txt"} +{"d:Title": "Down Styphon!", "d:Description": "Fantasy Games Unlimited's (FGU) out-of-print wargame set in the Kalvan's timeline setting of Piper's Paratime novel \"Lord Kalvan of Otherwhen\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www.theminiaturespage.com/rules/fan/ds.html"} +{"d:Title": "Chaos Manor Mail October 4 - 10, 1999", "d:Description": "Jerry Pournelle comments on his relationship to Piper and his rights to write stories in Piper's universe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www.jerrypournelle.com/archives/archivesmail/mail69.html#piper"} +{"d:Title": "Uchronia: Paratime", "d:Description": "This page from the Uchronia Alternate History bibliography lists several foreign language translations of Piper's Paratime works", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www.uchronia.net/bib.cgi/label/pipeparati.html"} +{"d:Title": "Italian Piper bibliography", "d:Description": "Italian translations of Piper's works, includes links to several cover scans.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www.fantascienza.com/catalogo/A0406.htm# 4233"} +{"d:Title": "Wikipedia: H. Beam Piper", "d:Description": "Article including links and detailed bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://en.wikipedia.org/wiki/H._Beam_Piper"} +{"d:Title": "Dani Zweig's Belated Reviews #3: H Beam Piper", "d:Description": "Brief reviews of several Piper novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piper,_H._Beam", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/003.htm"} +{"d:Title": "Piserchia, Doris", "d:Description": "Official site for Doris Piserchia. Reviews, bibliography, book cover gallery and author interview.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Piserchia,_Doris", "url": "http://www.digitalmediatree.com/dorispiserchia"} +{"d:Title": "Mergers in Hyperspace", "d:Description": "An excerpt from the 1952 work, The Space Merchants.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Pohl,_Frederik", "url": "http://www.ralphmag.org/spacemerchants.html"} +{"d:Title": "Frederik Pohl", "d:Description": "The website maintained by the veteran science fiction author includes a biography, bibliography and events.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Pohl,_Frederik", "url": "http://www.frederikpohl.com/"} +{"d:Title": "Wikipedia: Frederik Pohl", "d:Description": "Biography and bibliography of the noted American science fiction writer and editor from the online collaborative encyclopedia.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Pohl,_Frederik", "url": "http://en.wikipedia.org/wiki/Frederik_Pohl"} +{"d:Title": "Dani Zweig's Belated Reviews: Frederick Pohl and C.M. Kornbluth", "d:Description": "Reviews of Gladiator at Large and The Space Merchants", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Pohl,_Frederik", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/023.htm"} +{"d:Title": "Fantastic Fiction: Frederik Pohl Bibliography", "d:Description": "Covers books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Pohl,_Frederik", "url": "https://www.fantasticfiction.com/p/frederik-pohl/"} +{"d:Title": "Pournelle, Jerry", "d:Description": "Has co-authored a lot of novels with Larry Niven.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Pournelle,_Jerry", "url": "http://www.jerrypournelle.com/"} +{"d:Title": "Christopher Priest", "d:Description": "The author's own site. Contains a biography, texts for downloading and a bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/P/Priest,_Christopher", "url": "http://myweb.tiscali.co.uk/christopherpriest/"} +{"d:Title": "Quaglia, Roberto", "d:Description": "Writer of surrealist science fiction who once served an elected term as Counsellor in Genova, Italy. Political and philosophical reflections, image galleries, metaphorical duels with himself in Quagliaspace. [English, Italian, Spanish, French, Russian]", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/Q", "url": "http://www.robertoquaglia.com/"} +{"d:Title": "Quick, W.T", "d:Description": "Best known for his cyberpunk novel, 'Dreams of Flash and Sand'.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/Q/Quick,_W.T", "url": "http://www.iw3p.com/quick.shtml"} +{"d:Title": "Aaron A. Reed", "d:Description": "The official site of Aaron A. Reed, a writer and artist working at the intersection of literature and games.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reed,_Aaron_A.", "url": "http://www.aaronareed.net/"} +{"d:Title": "Paradise", "d:Description": "A review of this Mike Resnick novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Resnick,_Mike", "url": "http://www.science-fiction-review.com/paradise.htm"} +{"d:Title": "Fantastic Fiction: Mike Resnick", "d:Description": "A bibliography of the author's books and short stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Resnick,_Mike", "url": "https://www.fantasticfiction.com/r/mike-resnick/"} +{"d:Title": "Deep Space, Deeper Revelations", "d:Description": "An email interview conducted in 2000-2001 by Nick Gevers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.infinityplus.co.uk/nonfiction/intar.htm"} +{"d:Title": "Some Sort of Internal Consistency", "d:Description": "An interview conducted by Duncan Lawie.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.zone-sf.com/alreynolds.html"} +{"d:Title": "Revelation Space", "d:Description": "Review by Keith Brooke.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.infinityplus.co.uk/nonfiction/revspace.htm"} +{"d:Title": "Redemption Ark", "d:Description": "Review by Stuart Carter.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.infinityplus.co.uk/nonfiction/redemptionark.htm"} +{"d:Title": "Chasm City", "d:Description": "Review by Nick Gevers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.infinityplus.co.uk/nonfiction/chasmcity.htm"} +{"d:Title": "Spirey and the Queen", "d:Description": "The full text of a novelette that first appeared in Interzone.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.infinityplus.co.uk/stories/spirey.htm"} +{"d:Title": "A Spy in Europa", "d:Description": "The full text of a short story that first appeared in Interzone.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.infinityplus.co.uk/stories/europa.htm"} +{"d:Title": "Chasm City - An Extract", "d:Description": "A sample chapter from the sequel to Revelation Space.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.infinityplus.co.uk/stories/chasm.htm"} +{"d:Title": "Chasm City", "d:Description": "Reviewed by Linda Richards.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.januarymagazine.com/SFF/chasmcity.html"} +{"d:Title": "An Interview", "d:Description": "Conducted in London by John Berlyne.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.sfrevu.com/ISSUES/2001/0104/9908%20Reynolds/alistarr%20reynolds.htm"} +{"d:Title": "Alastair Reynolds - Science and Fiction", "d:Description": "The author's own web site. Contains biography, news column, photographs and information about published writings.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://voxish.tripod.com/"} +{"d:Title": "Confessions of an Astrophysicist", "d:Description": "M. John Harrison reviews Redemption Ark in The Guardian.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Reynolds,_Alastair", "url": "http://www.theguardian.com/books/2002/aug/10/featuresreviews.guardianreview25"} +{"d:Title": "SF Site: Riddell, Paul T.", "d:Description": "Bibliography for the journalist and science fiction essayist Paul T. Riddell.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Riddell,_Paul_T.", "url": "http://www.sfsite.com/paul99.htm"} +{"d:Title": "Adam Roberts", "d:Description": "British science fiction writer, academic and critic, author of 'Salt', 'On', and 'Park Polar'.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Roberts,_Adam", "url": "http://www.adamroberts.com/"} +{"d:Title": "SF Site", "d:Description": "Kim Stanley Robinson reading list", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Robinson,_Kim_Stanley", "url": "http://www.sfsite.com/lists/ksr.htm"} +{"d:Title": "the unofficial, unauthorized Red Green and Blue Mars Site", "d:Description": "With a map of terraformed Mars, tourist info, links, a faq.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Robinson,_Kim_Stanley", "url": "http://www.xs4all.nl/~fwb/rgbmars.html"} +{"d:Title": "The Semi-Official All-Callahanian Web Page", "d:Description": "Information about the author and about Callahan's Place.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Robinson,_Spider", "url": "http://www.callahans.org/"} +{"d:Title": "Spider Robinson", "d:Description": "Spider's official site with weblog, details of upcoming appearances, biography, and new and existing novels.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Robinson,_Spider", "url": "http://www.spiderrobinson.com/"} +{"d:Title": "Rah, Rah, Rah", "d:Description": "Spider's 1980 answer to the critics of Robert A. Heinlein.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Robinson,_Spider", "url": "http://www.heinleinsociety.org/rah/works/articles/rahrahrah.html"} +{"d:Title": "January Magazine - Spider Robinson", "d:Description": "Interview with the author includes his thoughts about his books, space exploration, Dan Quayle, and Usenet.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Robinson,_Spider", "url": "http://www.januarymagazine.com/profiles/spiderrobinson.html"} +{"d:Title": "Boing Boing: Spider Robinson on \"Writer's Tears\" Irish Whiskey", "d:Description": "Email from Spider about his favorite whiskey.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Robinson,_Spider", "url": "http://boingboing.net/2015/12/01/spider-robinson-on-writers.html"} +{"d:Title": "Eric Frank Russell", "d:Description": "Biography of the British science fiction writer.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Russell,_Eric_Frank", "url": "http://en.wikipedia.org/wiki/Eric_Frank_Russell"} +{"d:Title": "And Then There Were None", "d:Description": "A model of an anarchistic or free society in science-fiction form written by Eric Frank Russell.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Russell,_Eric_Frank", "url": "http://www.abelard.org/e-f-russell.php"} +{"d:Title": "Wikipedia: Richard Paul Russo", "d:Description": "A biography and bibliography on the American science fiction writer from the online collaborative encyclopedia.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Russo,_Richard_Paul", "url": "http://en.wikipedia.org/wiki/Richard_Paul_Russo"} +{"d:Title": "Infinity Plus: Richard Paul Russo Interviewed", "d:Description": "Author of fiction which explores the extreme edges of human emotion and experience, including Subterranean Gallery and the Carlucci series, talks to Nick Gevers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Russo,_Richard_Paul", "url": "http://www.infinityplus.co.uk/nonfiction/intrpr.htm"} +{"d:Title": "January Magazine: Terminal Visions by Richard Paul Russo", "d:Description": "Claude Lalumi\u00e8re reviews this collection of Russo's stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/R/Russo,_Richard_Paul", "url": "http://www.januarymagazine.com/SFF/terminalvisions.html"} +{"d:Title": "Sutcliffe, Rick", "d:Description": "Self-published ebook author of various works of Irish Christian SF.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S", "url": "http://www.arjaybooks.com/"} +{"d:Title": "Shiras, Wilmar", "d:Description": "Biographical information for Wilmar Shiras, author of Children of the Atom.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S", "url": "http://www.redjacketpress.com/authors/wilmar_shiras.html"} +{"d:Title": "Smit, Dawn", "d:Description": "Official home this small-press author who writes SFF with a Christian worldview.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S", "url": "http://www.dawnsmit.com/"} +{"d:Title": "Summers, Kevin G.", "d:Description": "Kevin G. Summers, novelist, actor and Star Trek author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S", "url": "http://www.kevingsummers.com/"} +{"d:Title": "Sanders, William", "d:Description": "Best known for science fiction writing. Includes an interview, stories of travels in Europe with photos, his motorcycles, and bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S", "url": "http://www.sff.net/people/sanders/"} +{"d:Title": "Saberhagen's Worlds of SF and Fantasy", "d:Description": "Author-maintained website.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Saberhagen,_Fred", "url": "http://www.berserker.com/"} +{"d:Title": "FredSaberhagen.com", "d:Description": "\"A site dedicated to the sci-fi and fantasy writings of the author Fred Saberhagen.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Saberhagen,_Fred", "url": "http://www.fredsaberhagen.com/"} +{"d:Title": "The TAJ", "d:Description": "Official fan site for Fred Saberhagen's Berserker universe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Saberhagen,_Fred", "url": "http://www.berserkerfan.org/"} +{"d:Title": "Samphire, Patrick", "d:Description": "News, biography, fiction and articles from fantasy and science fiction writer Patrick Samphire. Official site.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Samphire,_Patrick", "url": "http://www.patricksamphire.com/"} +{"d:Title": "Pamela Sargent", "d:Description": "Office WWW site for science fiction author Pamela Sargent", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sargent,_Pamela", "url": "http://www.engel-cox.org/sargent/index.html"} +{"d:Title": "Author Robert J. Sawyer", "d:Description": "\"Robert J. Sawyer, Nebula Award-winning science fiction writer. Author of 10 SF novels. Sample chapters from each book, full-text short stories, how to write tips. 500,000 words; 350 documents; 8,000 hypertext links.\" The author's rather large personal website.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sawyer,_Robert_J.", "url": "http://www.sfwriter.com/index.htm"} +{"d:Title": "Shannon, Lizzy", "d:Description": "Official site from the author of the SF novel Tempest Raised.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Shannon,_Lizzy", "url": "http://www.lizzyshannon.com/"} +{"d:Title": "Robert Sheckley", "d:Description": "The official homepage.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sheckley,_Robert", "url": "http://www.sheckley.com/"} +{"d:Title": "Dimension Of Sheckley", "d:Description": "A tribute to science fiction author Robert Sheckley.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sheckley,_Robert", "url": "http://sheckley.tripod.com/"} +{"d:Title": "Robert Silverberg", "d:Description": "Quasi-official site maintained by a fan with the assistance of author. Includes a biography, bibliography, excerpts and awards.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Silverberg,_Robert", "url": "http://www.majipoor.com/"} +{"d:Title": "Clifford D. Simak", "d:Description": "Biography, common themes, bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simak,_Clifford_D.", "url": "http://en.wikipedia.org/wiki/Clifford_D._Simak"} +{"d:Title": "Clifford Donald Simak Papers", "d:Description": "Finding aid for a special collection at the University of Minnesota.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simak,_Clifford_D.", "url": "http://special.lib.umn.edu/findaid/xml/mss044.xml"} +{"d:Title": "Books by Simak, reviewed by Eric Weeks", "d:Description": "Reviews of a half dozen of some more obscure fantasy and science fiction novels from the 70's.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simak,_Clifford_D.", "url": "http://www.physics.emory.edu/~weeks/if/talisman.html"} +{"d:Title": "SF Site: Dan Simmons Reading List", "d:Description": "List of novels, collections and uncollected short fiction by the author. Includes book descriptions and pictures of the book covers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simmons,_Dan", "url": "http://www.sfsite.com/lists/dsim.htm"} +{"d:Title": "SF Site: The Rise of Endymion", "d:Description": "1997 review by Wayne MacLaurin of the final chapter in the Hyperion Cantos.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simmons,_Dan", "url": "http://sfsite.com/09a/rise16.htm"} +{"d:Title": "SF Site: Song of Kali", "d:Description": "1998 \"hindsight\" review by Wayne MacLaurin of Simmons' first novel.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simmons,_Dan", "url": "http://www.sfsite.com/04a/kali30.htm"} +{"d:Title": "Dan Simmons", "d:Description": "Authorized site of the SFF author, with news of his latest works, a biography, and pictures of his home life.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simmons,_Dan", "url": "http://www.dansimmons.com/"} +{"d:Title": "Shawn Henessee's Dan Simmons Bibliography", "d:Description": "A bibliography, primarily of the author's novels, with pictures of the dust jackets. Includes US trade editions, limited editions and uncorrected proofs, UK trade and limited editions along with some of the proofs.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Simmons,_Dan", "url": "http://shawnh4.tripod.com/simmweb/simmons.html"} +{"d:Title": "The Nude Brain", "d:Description": "Richard Marshall interviews Kenji Siratori.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Siratori,_Kenji", "url": "http://www.3ammagazine.com/litarchives/2002_jun/interview_kenji_siratori.html"} +{"d:Title": "The Cyberpunk Project: Blood Electric", "d:Description": "Review of Kenji Siratori's Blood Electric.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Siratori,_Kenji", "url": "http://project.cyberpunk.ru/idb/bloodelectric.html"} +{"d:Title": "Word Riot: Blood Electric", "d:Description": "Review of Blood Electric by Matthew Flaming.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Siratori,_Kenji", "url": "http://www.wordriot.org/template.php?ID=414"} +{"d:Title": "WriteThis: Kenji Siratori", "d:Description": "An interview with Kenji Siratori.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Siratori,_Kenji", "url": "http://www.writethis.com/kenji.html"} +{"d:Title": "Blood Electric", "d:Description": "Alan Sondheim reviews the novel Blood Electric.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Siratori,_Kenji", "url": "http://beehive.temporalimage.com/content_apps52/sondheim_siratori/01.html"} +{"d:Title": "Smart - D, by Kenji Siratori", "d:Description": "Book review of Kenji Siratori's Smart-D.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Siratori,_Kenji", "url": "http://project.cyberpunk.ru/idb/smart_d.html"} +{"d:Title": "SF Site: Headcode", "d:Description": "Review of Headcode.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Siratori,_Kenji", "url": "http://www.sfsite.com/09b/hc184.htm"} +{"d:Title": "Infinity Plus: The Complete Roderick", "d:Description": "Richard Hammersley reviews Roderick and Roderick at Random.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sladek,_John", "url": "http://www.infinityplus.co.uk/nonfiction/roderick.htm"} +{"d:Title": "Obituary: John Sladek", "d:Description": "From The Guardian.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sladek,_John", "url": "http://www.theguardian.com/books/2000/apr/13/sciencefictionfantasyandhorror.obituaries"} +{"d:Title": "William Sleator Links", "d:Description": "An exhaustive list of links related to William Sleator, author of science fiction and mystery books for young adults", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sleator,_William", "url": "http://www.tycho.org/sleator.shtml"} +{"d:Title": "The Science Fiction of Joan Slonczewski", "d:Description": "Information on Slonczewski and her novels, including A Door Into Ocean and Brain Plague.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Slonczewski,_Joan", "url": "http://www.davidmswitzer.com/slonczewski/"} +{"d:Title": "Slonczewski, Joan", "d:Description": "Author-maintained website.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Slonczewski,_Joan", "url": "http://biology.kenyon.edu/slonc/slonc.htm"} +{"d:Title": "Z9M9Z - A Lensman Website", "d:Description": "Fan-created website devoted to Edward E. \"Doc\" Smith's Lensman (a.k.a. History of Civilization) series of science fiction novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Smith,_E._E._'Doc'", "url": "http://www.ethanfleischer.com/lensman/main.htm"} +{"d:Title": "Wikipedia: E. E. Smith", "d:Description": "Includes biography, bibliography, and links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Smith,_E._E._'Doc'", "url": "http://en.wikipedia.org/wiki/E._E._Smith"} +{"d:Title": "Smith, E.E.", "d:Description": "Fan-maintained website (Douglas Stuart)", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Smith,_E._E._'Doc'", "url": "http://www.cs.utexas.edu/~dastuart/fictiondir/authordir/eesmith/"} +{"d:Title": "Skylark Award List", "d:Description": "Information on the Edward E. Smith Memorial Award, named after Smith's famous Skylark of Space, and its recipients.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Smith,_E._E._'Doc'", "url": "http://www.nesfa.org/awards/skylark.html"} +{"d:Title": "The Unofficial Michael Marshall Smith Site", "d:Description": "All of the details on the novels, short stories and collection, as well as the latest Michael Marshall Smith news.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Smith,_Michael_Marshall", "url": "http://www.deeden.co.uk/mms"} +{"d:Title": "Smith, Michael Marshall", "d:Description": "The official Michael Marshall Smith website. Author of 'Spares', 'Only Forward' and the like. SF bordering on slipstream.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Smith,_Michael_Marshall", "url": "http://www.michaelmarshallsmith.com/"} +{"d:Title": "Smith, Stephanie", "d:Description": "Author-maintained website", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Smith,_Stephanie", "url": "http://web.clas.ufl.edu/users/ssmith/"} +{"d:Title": "Solomon, Prashant", "d:Description": "Official site for Indian SFF writer Prashant Solomon, author of Shadows of Truth.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Solomon,_Prashant", "url": "http://www.prashantsolomon.com/"} +{"d:Title": "Wikipedia: Norman Spinrad", "d:Description": "Biography and bibliography of American science fiction author from the online collaborative encyclopedia.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Spinrad,_Norman", "url": "http://en.wikipedia.org/wiki/Norman_Spinrad"} +{"d:Title": "The Templeton Gate: Norman Spinrad", "d:Description": "A lengthy biography and commentary by Galen Strickland on this controversial American science fiction writer.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Spinrad,_Norman", "url": "http://templetongate.net/spinrad.htm"} +{"d:Title": "Interview with Brian Stableford", "d:Description": "Conducted at an SF convention in Sweden.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stableford,_Brian", "url": "http://www.lysator.liu.se/lsff/mb-nr25/Interview_with_Brian_Stableford.html"} +{"d:Title": "Stormwolf", "d:Description": "Science fiction/fantasy author Michael Stackpole's homepage. Also a computer game designer.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stackpole,_Michael", "url": "http://www.stormwolf.com/"} +{"d:Title": "Talion: Talcity", "d:Description": "About Michael A. Stackpole's fantasy novel and following any future novels in the series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stackpole,_Michael", "url": "http://www.angelfire.com/scifi/talion/"} +{"d:Title": "In the Beginning was the Command Line", "d:Description": "Essay on operating systems written by Neal Stephenson. Download in Mac stuffit or PC Zip format.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://www.cryptonomicon.com/beginning.html"} +{"d:Title": "Complete Review: The Diamond Age", "d:Description": "General information, review of, and links to other reviews of \"The Diamond Age.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://www.complete-review.com/reviews/stephenn/diamond.htm"} +{"d:Title": "Complete Review: Zodiac", "d:Description": "General information, a review, and links to other reviews of \"Zodiac.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://www.complete-review.com/reviews/stephenn/zodiac.htm"} +{"d:Title": "Yahoo! Groups: Snowcrash", "d:Description": "Mailing list devoted to discussing the works of author Neal Stephenson, public archives.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://groups.yahoo.com/group/snowcrash/"} +{"d:Title": "Complete Review: Quicksilver", "d:Description": "Review of Neal Stephenson's Quicksilver.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://www.complete-review.com/reviews/stephenn/qsilver.htm"} +{"d:Title": "Neal Stephenson - Wikipedia", "d:Description": "Provides biographical, stylistic and bibliographical information.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://en.wikipedia.org/wiki/Neal_Stephenson"} +{"d:Title": "Neal Stephenson's Official Website", "d:Description": "Author's site, with bibliographic information and various brief personal statements on diverse topics.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://www.nealstephenson.com/"} +{"d:Title": "Zodiac", "d:Description": "Cover art, book summary, and ratings of this novel as well as an author bibliography and related links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal", "url": "http://www.denversfbookclub.com/stephenson.htm"} +{"d:Title": "Smiley's People", "d:Description": "Anti-smiley article written by Stephenson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Articles", "url": "http://www.spesh.com/lee/ns/smiley.html"} +{"d:Title": "Wired 1.20: Spew", "d:Description": "\"Are you on the trail of the next unexploited market niche - or just on a nookie hunt?\" Article written by Neal Stephenson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Articles", "url": "http://www.wired.com/1994/10/spew/"} +{"d:Title": "Wired: In the Kingdom of Mao Bell", "d:Description": "\"A billion Chinese are using new technology to create the fastest growing economy on the planet. But while the information wants to be free, do they?\" Article written by Stephenson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Articles", "url": "http://www.wired.com/1994/02/mao-bell/"} +{"d:Title": "Wired: Mother Earth Mother Board", "d:Description": "\"The hacker tourist ventures forth across the wide and wondrous meatspace of three continents, chronicling the laying of the longest wire on Earth....\" Article by Neal Stephenson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Articles", "url": "http://www.wired.com/1996/12/ffglass/?person=neal_stephenson&topic_set=wiredpeople"} +{"d:Title": "Cryptonomicon", "d:Description": "Author profile, excerpt, how to order, and chat transcript.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Cryptonomicon", "url": "http://www.cryptonomicon.com/"} +{"d:Title": "Problems with Bruce Schneier's Solitaire", "d:Description": "Describes some problems with the playing card encryption algorithm, includes an implementation in C.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Cryptonomicon", "url": "http://www.ciphergoth.org/crypto/solitaire/"} +{"d:Title": "Complete Review: Cryptonomicon", "d:Description": "General information, review of, and links to other reviews of \"Cryptonomicon.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Cryptonomicon", "url": "http://www.complete-review.com/reviews/stephenn/crypto.htm"} +{"d:Title": "The Solitaire Encryption Algorithm", "d:Description": "Explanation and source code for the crypto system used in Cryptonomicon.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Cryptonomicon", "url": "https://www.schneier.com/solitaire.html"} +{"d:Title": "SFX Magazine: Diamond Geezer", "d:Description": "\"Dark-eyed and intense, with a dry sense of humour, Neal Stephenson has been called 'the Quentin Tarantino of post-cyberpunk science fiction'. Mary Branscome uncovered him at a Kensington hotel during a promotion tour for his most recent novel, 'The Diamond Age'....\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Interviews", "url": "http://www.spesh.com/lee/ns/sfx.html"} +{"d:Title": "The Guardian: Neal Stephenson's message in code", "d:Description": "\"After Snow Crash's cyberpunk, it's cypherpunk, reports Jim McClellan.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Interviews", "url": "http://www.theguardian.com/technology/1999/oct/14/onlinesupplement14"} +{"d:Title": "Salon Books: Deep Code", "d:Description": "Neal Stephenson talks about the history of secrecy, the role of equations in art and the glory of open-source software.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Interviews", "url": "http://www.salon.com/1999/05/19/stephenson/"} +{"d:Title": "Complete Review: Snow Crash", "d:Description": "General information, review of and links to other reviews of \"Snow Crash.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Snow_Crash", "url": "http://www.complete-review.com/reviews/stephenn/snowcrash.htm"} +{"d:Title": "Slashdot: Snow Crash", "d:Description": "General information and archive of a large discussion forum about the book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Snow_Crash", "url": "http://slashdot.org/books/99/10/18/1049244.shtml"} +{"d:Title": "Andersja: Snow Crash", "d:Description": "Review of the book Snow Crash by Neal Stephenson.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Snow_Crash", "url": "http://www.jacobsen.no/anders/blog/archives/2002/09/30/review_neal_stephenson_snow_crash.html"} +{"d:Title": "The Power of Language in Snow Crash and Babel 17", "d:Description": "An essay by Tracy Seneca written in the spring of 1994. Compares the impact of language in several popular science fiction novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Snow_Crash", "url": "http://besser.tsoa.nyu.edu/impact/f93/students/tracy/tracy_midterm.html"} +{"d:Title": "Snow Crash: An Overview", "d:Description": "Author biography, student essays, social themes, religion, technology, themes, characterization, setting, structure and plot.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stephenson,_Neal/Snow_Crash", "url": "http://www.cyberartsweb.org/cpace/cpace/scifi/ns/snowcrashov.html"} +{"d:Title": "The Hacker Crackdown", "d:Description": "Complete text of the book by Bruce Sterling. Provides an insight into the origins, thinking and culture which produced \"cyberpunk.\"", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.mit.edu/hacker/hacker.html"} +{"d:Title": "Mirrorshades Postmodern Archive", "d:Description": "Bruce's unofficial webpage", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.well.com/conf/mirrorshades/"} +{"d:Title": "Interview: The SF Site Convention Report", "d:Description": "A chat with Bruce Sterling", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.sfsite.com/09a/bru16.htm"} +{"d:Title": "Interviews: Slashdot", "d:Description": "The Interview with Bruce Sterling", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://slashdot.org/interviews/99/10/08/1147217.shtml"} +{"d:Title": "Books reviewed by Danny Yee", "d:Description": "Short reviews of Bruce Sterling's novels 'The Difference Engine' and 'Holy Fire'.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://dannyreviews.com/a/Bruce_Sterling.html"} +{"d:Title": "Article: Wired 2.07", "d:Description": "So, people, we have a fight on our hands.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1994/07/sterling-cfp/"} +{"d:Title": "Article: Wired 6.01", "d:Description": "Art And Corruption", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1998/01/sterling/"} +{"d:Title": "Article: Wired 4.01", "d:Description": "The Aleph", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1996/01/sterling-if/"} +{"d:Title": "Article: Wired 3.05", "d:Description": "Good Cop, Bad Hacker", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1995/05/sterling-2/"} +{"d:Title": "Article: Wired 4.11", "d:Description": "Greetings from Burning Man!", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1996/11/burningman-2/"} +{"d:Title": "Article: Wired 1.01:", "d:Description": "War Is Virtual Hell", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1993/01/virthell/"} +{"d:Title": "Article: Wired 3.01", "d:Description": "Triumph of the Plastic People", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1995/01/prague/"} +{"d:Title": "Article: Wired 6.07", "d:Description": "The Spirit of Mega", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://www.wired.com/1998/07/mega/"} +{"d:Title": "O'Reilly Network: Bruce Sterling", "d:Description": "Read the author's speech \"A Contrarian View of Open Source\" at the O'Reilly Open Source Convention.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://archive.oreilly.com/pub/a/network/2002/08/05/sterling.html"} +{"d:Title": "Edge: Bruce Sterling", "d:Description": "Read a biography of the \"Hackercrackdown\" author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sterling,_Bruce", "url": "http://edge.org/memberbio/bruce_sterling"} +{"d:Title": "The Official Home Page for James Stevens-Arce", "d:Description": "Home page of writer James Stevens-Arce", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stevens-Arce,_James", "url": "http://www.stevens-arce.com/"} +{"d:Title": "SM Stirling.com", "d:Description": "Fan-maintained site, approved by S.M. Stirling, with information about his novels. Has samples of up to 11 chapters from each book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stirling,_S._M.", "url": "http://hem.bredband.net/b108107/stirling/"} +{"d:Title": "The John E. Stith Web Site", "d:Description": "Home page of writer John E. Stith.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stith,_John_E.", "url": "http://www.neverend.com/"} +{"d:Title": "Worlds of JMS", "d:Description": "Information on the many projects of Babylon 5 creator J. Michael Straczynski.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael", "url": "http://worldsofjms.com/"} +{"d:Title": "JMSNews", "d:Description": "A searchable archive of postings from newsgroups and other online forums, 1991-present.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael", "url": "http://www.jmsnews.com/"} +{"d:Title": "\"The Salvation of Lyman Terrell\"", "d:Description": "A short story released in January 2004.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael", "url": "http://www.amazon.com/exec/obidos/tg/feature/-/511848/"} +{"d:Title": "Wikipedia: J. Michael Straczynski", "d:Description": "Includes a profile and list of accomplishments.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael", "url": "http://en.wikipedia.org/wiki/J._Michael_Straczynski"} +{"d:Title": "Internet Speculative Fiction DataBase: J. Michael Straczynski", "d:Description": "Sortable bibliography and list of awards.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?J._Michael_Straczynski"} +{"d:Title": "IMDb: Straczynski, J. Michael", "d:Description": "Filmography, trivia and related links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael", "url": "http://www.imdb.com/name/nm0833089/"} +{"d:Title": "B5JMS List Home Page", "d:Description": "Directions for joining the mailing list, which forwards online postings made by the creator of Babylon 5.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael", "url": "http://www3.cs.stonybrook.edu/~ezk/b5jms/"} +{"d:Title": "The Abyss: JMS Comics", "d:Description": "Monica H\u00fcbinette's resource includes descriptions, reviews, information on alternate printings, and relevant quotes from J. Michael Straczynski.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Straczynski,_J._Michael/Comics", "url": "http://abyss.hubbe.net/comics/"} +{"d:Title": "Charlie's Place", "d:Description": "The official site for the Scottish writer Charles Stross, with biography, journal and bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stross,_Charles", "url": "http://www.antipope.org/charlie/"} +{"d:Title": "New England Science Fiction Association: Singularity Sky", "d:Description": "A lengthy review by Mark L. Olson of the first novel that Charles Stross has had published in book form.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Stross,_Charles", "url": "http://www.nesfa.org/reviews/Olson/SingularitySky.html"} +{"d:Title": "Sturgeon, Theodore", "d:Description": "Fan-maintained website (Eric R. Weeks)", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sturgeon,_Theodore", "url": "http://www.physics.emory.edu/~weeks/misc/sturgeon.html"} +{"d:Title": "More Than Human by Theodore Sturgeon, a classic science fiction book", "d:Description": "Cover art for various editions, blurbs, ratings, book review, and bibliography", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sturgeon,_Theodore", "url": "http://www.denversfbookclub.com/sturgeon.htm"} +{"d:Title": "Infinity Plus: Tricia Sullivan Interviewed", "d:Description": "Winner of the Arthur C Clarke Award and author of Someone to Watch Over Me and Dreaming in Smoke, Tricia Sullivan talks to Nick Gevers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sullivan,_Tricia", "url": "http://www.infinityplus.co.uk/nonfiction/intts.htm"} +{"d:Title": "ISFDB: Tricia Sullivan", "d:Description": "Summary bibliography with links to reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sullivan,_Tricia", "url": "http://www.isfdb.org/cgi-bin/ea.cgi?Tricia_Sullivan"} +{"d:Title": "Guardian: Maul by Tricia Sullivan", "d:Description": "Justina Robson revels in Tricia Sullivan's story of a plague-ridden future where women rule the world.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Sullivan,_Tricia", "url": "http://www.theguardian.com/books/2003/nov/01/featuresreviews.guardianreview22"} +{"d:Title": "Michael Swanwick", "d:Description": "About the author, from the program book of the Confluence 1996 science fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/S/Swanwick,_Michael", "url": "http://www.andrew.cmu.edu/user/roboman/Swanwick.html"} +{"d:Title": "Telep, Peter", "d:Description": "Works include novels based on the computer game Descent, the screenplay to Red Planet and scripts for several television series. Biography, works, news, downloads, and links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Telep,_Peter", "url": "http://www.angelfire.com/la/gruntgazette/grunt3.html"} +{"d:Title": "Science Fiction of B. Franklin Thatcher", "d:Description": "Reviews of stories by science fiction writer Bruce Franklin Thatcher.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Thatcher,_Franklin", "url": "http://www.adherents.com/lit/bk_Thatcher.html"} +{"d:Title": "Science Fiction of Diann Thornley", "d:Description": "Links, reviews and information about the science fiction of author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Thornley,_Diann", "url": "http://www.adherents.com/lit/bk_Thornley.html"} +{"d:Title": "James Tiptree, Jr. Award", "d:Description": "Official site of the Award, which is given to the work of science fiction or fantasy published in one year which best explores or expands gender roles.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Tiptree,_James", "url": "http://www.tiptree.org/"} +{"d:Title": "Meet Me At Infinity by James Tiptree, Jr.", "d:Description": "A posthumous collection of eight stories and thirty-six essays and letters, reviewed by Steven H. Silver.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Tiptree,_James", "url": "http://www.sfsite.com/~silverag/tiptree.html"} +{"d:Title": "Yahoo! Groups: Worlds of James Tiptree", "d:Description": "Online club for the discussion of the life, death, and works of James Tiptree, Jr.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Tiptree,_James", "url": "http://groups.yahoo.com/group/theworldofjamestiptreejr/"} +{"d:Title": "Meet Me at Infinity", "d:Description": "Reviews of Jeffrey Smith's collection of Tiptree's previously uncollected fiction and non-fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Tiptree,_James", "url": "http://dannyreviews.com/h/Meet_Infinity.html"} +{"d:Title": "Love was the Plan, The Plan Was . . .", "d:Description": "A remembrance of James Tiptree, Jr. By Mark Siegal.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Tiptree,_James", "url": "http://davidlavery.net/Tiptree/siegellwtptpw.htm"} +{"d:Title": "Harry Turtledove", "d:Description": "Steven H. Silver provides a FAQ, stories, author biography, cover art, and other useful information.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/T/Turtledove,_Harry", "url": "http://www.sfsite.com/~silverag/turtledove.html"} +{"d:Title": "Alan Nicoll's A.E. van Vogt Page", "d:Description": "Briefly discusses the various themes that appear in A.E. van Vogt's fiction, particularly \"supermen\" and gender issues.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/van_Vogt,_A._E.", "url": "http://www.angelfire.com/art/megathink/vanvogt/index.html"} +{"d:Title": "A. E. van Vogt Cover Art Gallery", "d:Description": "Japanese, American, and British book and magazine covers, including many very rare editions.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/van_Vogt,_A._E.", "url": "http://www.asahi-net.or.jp/~we8y-mrt/vanvogt/index.html"} +{"d:Title": "Sevagram: A.E. van Vogt", "d:Description": "Bibliography, reviews, summaries, articles, and interviews. (Formerly known as Icshi: The A.E. van Vogt Information Site.)", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/van_Vogt,_A._E.", "url": "http://www.icshi.net/sevagram/"} +{"d:Title": "A. E. Van Vogt : The Weapon Shops of Isher", "d:Description": "A detailed, analytical review of van Vogt's novel The Weapon Shops of Isher.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/van_Vogt,_A._E.", "url": "http://fantasticreviews.com/weapon_shops_of_isher.htm"} +{"d:Title": "The Weird Worlds of A. E. van Vogt", "d:Description": "A large gallery of international book covers, articles, interviews, book excerpts, and a bibliography. (This site is no longer being updated.)", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/van_Vogt,_A._E.", "url": "http://www.icshi.net/worlds/"} +{"d:Title": "Vardeman, Robert E.", "d:Description": "Cenotaphroad, the official site for SFF author Robert E. Vardeman.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Vardeman,_Robert_E.", "url": "http://www.cenotaphroad.com/"} +{"d:Title": "John Varley", "d:Description": "John Varley's official Website. Has some stories, latest news, biography and bibliography.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Varley,_John", "url": "http://www.varley.net/"} +{"d:Title": "The Golden Globe", "d:Description": "An Infinity Plus review of a 1998 novel by the author, part of the \"Eight Worlds\" sequence.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Varley,_John", "url": "http://www.infinityplus.co.uk/nonfiction/globe.htm"} +{"d:Title": "Joan D. Vinge's Web Page", "d:Description": "Author-maintained website. Biography, bibliography, news, hobbies, favorite links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Vinge,_Joan_D.", "url": "http://www.sff.net/people/JDVinge/home.htm"} +{"d:Title": "Singular Vernor Vinge Page", "d:Description": "Fan-maintained website. Comments on some of his books, and a copy of an essay by the author on the subject of \"The Singularity\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Vinge,_Vernor", "url": "http://mindstalk.net/vinge/"} +{"d:Title": "A Deepness in the Sky", "d:Description": "Infinity Plus Review of the novel set in the same universe as the author's Hugo Award-winning \"A Fire Upon the Deep\".", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Vinge,_Vernor", "url": "http://www.infinityplus.co.uk/nonfiction/deepness.htm"} +{"d:Title": "A Deepness in the Sky", "d:Description": "Summary and review of the author's book. Includes cover art, character list, ratings, commentary, and bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Vinge,_Vernor", "url": "http://www.denversfbookclub.com/vvinge.htm"} +{"d:Title": "Vernor Vinge, Online Prophet", "d:Description": "Salon magazine's take on the impact of Vinge's fiction on SF.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Vinge,_Vernor", "url": "http://www.salon.com/1999/04/05/vinge/"} +{"d:Title": "Interview", "d:Description": "Transcript of an interview conducted in early 1998.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/V/Vornholt,_John", "url": "http://www.lysator.liu.se/lsff/mb-nr42/John_Vornholt.html"} +{"d:Title": "Rambles: Dean Warren, Growing Young", "d:Description": "Ron Bierman reviews this novel considering the long-range effect of a cure for aging.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Warren,_Dean", "url": "http://www.rambles.net/warren_growing02.html"} +{"d:Title": "Ian Watson", "d:Description": "The official site for the British science fiction author provides a biography, bibliography, news, quotations from reviews and some poetry.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Watson,_Ian", "url": "http://www.ianwatson.info/"} +{"d:Title": "Ahead!", "d:Description": "Infinity Plus hosts this story by Ian Watson. It's a gamble: the cryogenic preservation of heads in the hope that somehow they will survive, somehow they will be revived. And it's a gamble with unknowable consequences.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Watson,_Ian", "url": "http://www.infinityplus.co.uk/stories/ahead.htm"} +{"d:Title": "Early, in the Evening", "d:Description": "Infinity Plus hosts this story by Ian Watson. The whole history of the world and human evolution reversed within less than 3000 words, but with a sense of place and characters.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Watson,_Ian", "url": "http://www.infinityplus.co.uk/stories/eve.htm"} +{"d:Title": "Fictionwise eBooks: Ian Watson", "d:Description": "Selling books and stories to download in popular formats. Includes a short biography of the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Watson,_Ian", "url": "http://www.barnesandnoble.com/s/Ian+Watson?_requestid=135415"} +{"d:Title": "GoldSeven", "d:Description": "An art page with portraits based on the book series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Weber,_David", "url": "http://www.goldseven.de/"} +{"d:Title": "Honorverse Ships List", "d:Description": "A detailed list of ships mentioned in the book series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Weber,_David", "url": "http://www.cs.cmu.edu/~tpope/misc/harrington/nefarious-list.html"} +{"d:Title": "The Honor Harrington WebRing", "d:Description": "Member list and links.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Weber,_David", "url": "http://www.webring.org/hub?ring=honor_h"} +{"d:Title": "Wheeler, Wendy", "d:Description": "Author who has contributed to anthologies such as the '13th Annual Year's Best Fantasy and Horror' book. Official site.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Wheeler,_Wendy", "url": "http://www.wendy-wheeler.com/"} +{"d:Title": "Okal Rel Universe (Lynda Williams / Alison Sinclair)", "d:Description": "\"The Saga\" spans critical decades of a future history in which divergent cultures reunite.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Williams,_Lynda", "url": "http://www.okalrel.org/"} +{"d:Title": "Tad Williams", "d:Description": "Official publisher-maintained site with biography, bibliography, and excerpts from the Otherland series.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Williams,_Tad", "url": "http://www.tadwilliams.com/"} +{"d:Title": "Nabou.com: Otherland: Sea of Silver Light", "d:Description": "Book review and synopsis of Sea of Silver Light which is the fourth and final book in the Otherland saga.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Williams,_Tad", "url": "http://bookreviews.nabou.com/reviews/otherland_sea_of_silver_light.html"} +{"d:Title": "Tad vs. Tolkien", "d:Description": "A critical analysis of Tolkien's The Lord of the Rings and Tad Williams' Memory, Sorrow and Thorn trilogy; with reference to the art of plagiarism in fantasy literature.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Williams,_Tad", "url": "http://dr.morgenes.tripod.com/start.htm"} +{"d:Title": "The Hayholt", "d:Description": "Website dedicated to fantasy/SCIFI author Tad Williams. With news, reviews, and all about the author and his works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Williams,_Tad", "url": "http://www.angelfire.com/or3/thehayholt/"} +{"d:Title": "Walter Jon Williams", "d:Description": "Author-maintained website with weblog and details of his books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Williams,_Walter_Jon", "url": "http://www.walterjonwilliams.net/"} +{"d:Title": "OmniVisions: Connie Willis Interview", "d:Description": "Lengthy transcript of an interview including comments by Jim Freund and Ellen Datlow, and a picture of the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Willis,_Connie", "url": "http://www.hourwolf.com/chats/willis.html"} +{"d:Title": "Review of \"Doomsday Book\"", "d:Description": "Very short review.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Willis,_Connie", "url": "http://dannyreviews.com/h/Doomsday_Book.html"} +{"d:Title": "Denver Science Fiction and Fantasy Book Club: To Say Nothing of the Dog", "d:Description": "Synopsis and ratings of the book by club members. Also includes cover art and author bibliography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Willis,_Connie/Reviews", "url": "http://www.denversfbookclub.com/willis.htm"} +{"d:Title": "Wrede, Patricia C.", "d:Description": "Includes short biography of Patricia Wrede, bibliography, new book news, and links to other sites.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Wrede,_Patricia", "url": "http://www.dendarii.co.uk/Wrede/index.html"} +{"d:Title": "Wright, John C.", "d:Description": "About the \"Golden Age\" trilogy. Reviews, sample chapters and interviews. Also information about personal appearances by the author.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Wright,_John_C.", "url": "http://www.sff.net/people/john-c-wright/"} +{"d:Title": "The SF Site: A Conversation With John C. Wright", "d:Description": "Interview by Nick Gevers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Wright,_John_C.", "url": "http://www.sfsite.com/05a/jcw127.htm"} +{"d:Title": "Wright, Susan", "d:Description": "Official site for the author of the SF novels Slave Trade, Slave Masters, and Slave Revolt.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Wright,_Susan", "url": "http://www.susanwright.info/"} +{"d:Title": "Return of the Triffids: The John Wyndham Archive", "d:Description": "An exhibition celebrating the acquisition of the John Wyndham Archive by the University of Liverpool. An informative site on Wyndham and his works, including links to further resources available on the internet.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/W/Wyndham,_John", "url": "https://www.liverpool.ac.uk/~asawyer/triffid2.html"} +{"d:Title": "Yarbro, Chelsea Quinn", "d:Description": "Information on her books, appearances calendar, and biographical and bibliographical content.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/Y/Yarbro,_Chelsea_Quinn", "url": "http://www.mindspring.com/~ebowden/Yarbro/yarbro_homepage.htm"} +{"d:Title": "Zindell Quotes", "d:Description": "Quotes from several of the author's books, sorted by subject.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/Z/Zindell,_David", "url": "http://www.aleph.se/Trans/Cultural/Art/zindell.html"} +{"d:Title": "Zorich, Alexander", "d:Description": "Russian Science Fiction and Fantasy author. Site has bibliography, biography, events and news.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Authors/Z/Zorich,_Alexander", "url": "http://www.zorich.ru/english"} +{"d:Title": "AwardWeb", "d:Description": "Information on the major literary awards of the SF and fantasy community.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.dpsinfo.com/awardweb/"} +{"d:Title": "Sidewise Awards", "d:Description": "Awards for alternate history and parallel reality fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.uchronia.net/sidewise/"} +{"d:Title": "Writers of the Future", "d:Description": "Established by L. Ron Hubbard in 1983, this is an annual competition aimed at discovering and publishing aspiring writers.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.writersofthefuture.com/"} +{"d:Title": "The John W. Campbell Award", "d:Description": "The John W. Campbell Award for the best science-fiction novel of the year.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.writertopia.com/awards/campbell"} +{"d:Title": "Philip K. Dick Awards", "d:Description": "The Philip K. Dick Awards for distinguished science fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.philipkdickaward.org/"} +{"d:Title": "The Hugo Award", "d:Description": "Worldcon's listing of all recipients of the Hugo.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.thehugoawards.org/"} +{"d:Title": "Skylark Awards", "d:Description": "The Edward E. Smith memorial award for imaginative fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.nesfa.org/awards/skylark.html"} +{"d:Title": "Prix Aurora Awards", "d:Description": "Canadian author science fiction awards.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Awards", "url": "http://www.prixaurorawards.ca/"} +{"d:Title": "Steampunk", "d:Description": "Portal for SFF - includes links to author websites, and direct links to online texts.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.steampunk.com/"} +{"d:Title": "Uchronia: The Alternate History List", "d:Description": "Annotated bibliography of novels, stories and essays involving the 'what ifs' of history.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.uchronia.net/"} +{"d:Title": "Classics of Science Fiction", "d:Description": "Site devoted to identifying the classic books of science fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://classics.jameswallaceharris.com/"} +{"d:Title": "Jewish Science Fiction and Fantasy", "d:Description": "List of SFF novels and shorter works somehow certified kosher.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.sfsite.com/~silverag/jewishsf.html"} +{"d:Title": "Religion in SF/F Literature", "d:Description": "Includes c. 2000 references to real churches, religions, and tribes in science fiction novels and short stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.adherents.com/lit/index_adherents.html"} +{"d:Title": "Bibliography of Mormon Speculative Fiction", "d:Description": "Works published by or about Mormon SFF writers, including novels, short stories, articles, and interviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.mormonsf.org/"} +{"d:Title": "Utopian and Dystopian Theses and Dissertations", "d:Description": "Listing of around 2000 theses and dissertations about SFF utopian and dystopian literature.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.csulb.edu/~lswigart/sfftd.html"} +{"d:Title": "Lunacat.net", "d:Description": "SFF books written by women. Broken down by author and type of story.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.lunacat.net/books/index.htm"} +{"d:Title": "The Locus Index to Science Fiction", "d:Description": "Bibliographical database of novels and short stories published after 1983. From the US trade journal for the genre.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://www.locusmag.com/index/"} +{"d:Title": "Islam and Science Fiction", "d:Description": "Resource on Islam as it appears in science fiction books and cinema.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Bibliographies_and_Themes", "url": "http://islamscifi.com/"} +{"d:Title": "Baen Books", "d:Description": "Baen is the specialist science fiction imprint started by Jim Baen. Site has a free e-books archive of their authors' in-print works.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors", "url": "http://www.baen.com/"} +{"d:Title": "Orbit", "d:Description": "An international publisher of science fiction and fantasy books, owned by the Hachette Book Group.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors", "url": "http://www.orbitbooks.net/"} +{"d:Title": "Tom Doherty Associates (TOR)", "d:Description": "Specialist SFF imprint based in the US.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors", "url": "http://www.tor.com/"} +{"d:Title": "Telos", "d:Description": "British publisher of SFF works, including many Doctor Who related tie-ins.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors", "url": "http://www.telos.co.uk/"} +{"d:Title": "Daw Books", "d:Description": "Science fiction imprint which is owned by Penguin Putnam.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors", "url": "http://www.penguin.com/meet/publishers/daw/"} +{"d:Title": "Unbound Worlds", "d:Description": "Science fiction imprint of Penguin Random House.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors", "url": "http://www.unboundworlds.com/"} +{"d:Title": "Analog Cover Art", "d:Description": "Archive of cover art from the SFF magazine Analog 1966-70.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Cover_Art", "url": "http://www.ericsiegmund.com/analog/"} +{"d:Title": "Solar Voyager", "d:Description": "Space art gallery.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Cover_Art", "url": "http://www.solarvoyager.com/"} +{"d:Title": "DM O'Keefe", "d:Description": "Ebook cover illustrator.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Cover_Art", "url": "http://www.donokeefe.com/"} +{"d:Title": "Pierre Fortin Arts", "d:Description": "Book cover artist for SFF.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Cover_Art", "url": "http://www.pierrefortin.com/"} +{"d:Title": "Duncan Long", "d:Description": "Gallery of SFF book cover illustrations from Duncan Long.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Cover_Art", "url": "http://duncanlong.com/portfolio.html"} +{"d:Title": "The SF Site: An Interview With Betsy Mitchell", "d:Description": "Interview with long-time SFF editor Betsy Mitchell.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Publishing_Professionals", "url": "http://www.sfsite.com/03b/bm148.htm"} +{"d:Title": "Sternig&Byrne Literary Agency", "d:Description": "Official site of Jack Byrne, owner of the Sternig and Byrne Literary Agency which represents many major SFF authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Publishing_Professionals", "url": "http://www.sff.net/people/jackbyrne/"} +{"d:Title": "Scott Edelman", "d:Description": "Official home page of this long-time SFF magazine editor. Used to edit Science Fiction Age.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Publishing_Professionals", "url": "http://www.scottedelman.com/"} +{"d:Title": "Patrick and Teresa Nielsen Hayden", "d:Description": "Official home page of one of the main editors of Tor (husband and wife team).", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Publishing_Professionals", "url": "http://www.nielsenhayden.com/"} +{"d:Title": "Ellen Datlow", "d:Description": "Official site of the editor of the Sci-Fi Channel's online publishing arm, Sci-Fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Majors/Publishing_Professionals", "url": "http://ellendatlow.com/"} +{"d:Title": "EDGE", "d:Description": "Small press publisher of science fiction and fantasy literature.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.edgewebsite.com/"} +{"d:Title": "Tyrannosaurus Press", "d:Description": "Independent small press publisher specializing in science fiction and fantasy literature.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.tyrannosauruspress.com/"} +{"d:Title": "PS Publishing", "d:Description": "UK-based imprint for science fiction, fantasy and horror novels, novellas and short fiction collections.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.pspublishing.co.uk/"} +{"d:Title": "Wildside Press", "d:Description": "Small press publisher of SFF.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.wildsidepress.com/"} +{"d:Title": "Crowsnest Books", "d:Description": "Publisher of the Triple Realm fantasy series, and the non-fiction So You Really Want To Write Science Fiction?.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.sfcrowsnest.com/"} +{"d:Title": "Circlet Press", "d:Description": "Small press publisher of erotic science fiction and fantasy.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.circlet.com/"} +{"d:Title": "Arkham House Publishers", "d:Description": "US small press imprint.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.arkhamhouse.com/"} +{"d:Title": "Aardwolf Press", "d:Description": "US-based small press.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.aardwolfpress.com/"} +{"d:Title": "Cemetery Dance Publications", "d:Description": "Small press with a focus on dark fantasy and horror.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.cemeterydance.com/"} +{"d:Title": "Gauntlet Press", "d:Description": "Small press SFF publisher.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.gauntletpress.com/"} +{"d:Title": "Tachyon Books", "d:Description": "Small press operating in the SF market.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.tachyonpublications.com/"} +{"d:Title": "Transylvania Press", "d:Description": "Small press with a horror-focus.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.transylvania.com/"} +{"d:Title": "Wheatland Press", "d:Description": "Small press publisher of slipstream and magic realism-based books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.wheatlandpress.com/"} +{"d:Title": "Yard Dog Press", "d:Description": "Small press publisher of boundary-blurring SFF.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.yarddogpress.com/"} +{"d:Title": "Subterranean Press", "d:Description": "Small press publisher of horror fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.subterraneanpress.com/"} +{"d:Title": "Biting Dog Press", "d:Description": "Small press publisher of fantasy and slipstream works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.bitingdogpress.com/"} +{"d:Title": "Golden Gryphon Press", "d:Description": "Small-press publisher of short story collections.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.goldengryphon.com/"} +{"d:Title": "Haffner Press", "d:Description": "Small-press publisher of many of Jack Williamson's works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.haffnerpress.com/"} +{"d:Title": "Hippocampus Press", "d:Description": "Hippocampus publishes HP Lovecraft and classic weird tales of horror.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.hippocampuspress.com/"} +{"d:Title": "Nitrosyncretic Press", "d:Description": "Small-press imprint dedicated to works about Robert A. Heinlein.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.nitrosyncretic.com/"} +{"d:Title": "Old Earth Books", "d:Description": "SF dedicated imprint. Mostly reprints of old classics.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.oldearthbooks.com/"} +{"d:Title": "Pulpless", "d:Description": "SFF imprint based in the US.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.pulpless.com/"} +{"d:Title": "MonkeyBrain", "d:Description": "Independent publishing imprint specializing in genre-related nonfiction material such as scholarly works on comics and surveys of the fantasy genre.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.monkeybrainbooks.com/"} +{"d:Title": "Wild Flower Press, Inc.", "d:Description": "Smallpress publisher of books such as Gatekeeper and Sojourner (action-oriented sci-fi).", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.wildflowerpress.biz/"} +{"d:Title": "Earthling Publications", "d:Description": "Small-press publishers of novels such as Exorcising Angels by Simon Clark and Tim Lebbon.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.earthlingpub.com/"} +{"d:Title": "Red Jacket Press", "d:Description": "Publishes facsimile reprints of out-of-print SF classics.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.redjacketpress.com/"} +{"d:Title": "White Wolf", "d:Description": "RPG publisher of novels based around their games. Includes Vampire The Masquerade, Werewolf The Apocalypse and Mage The Ascension.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Book_Imprints/Small_Press", "url": "http://www.whitewolf-publishing.com/"} +{"d:Title": "Ex Isle", "d:Description": "A messageboard for friendly science fiction enthusiasts.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Chats_and_Forums", "url": "http://www.exisle.net/"} +{"d:Title": "Science Fiction Land", "d:Description": "Short science fiction genre discussions.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Chats_and_Forums", "url": "http://www.quicktopic.com/19/H/u5k954aeUeK"} +{"d:Title": "SFF Net", "d:Description": "Online community of genre authors, publishers, editors, and readers. Includes the chat system for the Science Fiction and Fantasy Writers of America.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Chats_and_Forums", "url": "http://www.sff.net/"} +{"d:Title": "SFsignal", "d:Description": "Science fiction and fantasy weblog including news, reviews and points of view.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Chats_and_Forums", "url": "http://www.sfsignal.com/"} +{"d:Title": "Baen's Bar", "d:Description": "Forum for discussion of the publisher's titles, series, and authors. Requires free registration.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Chats_and_Forums", "url": "http://bar.baen.com/index.php"} +{"d:Title": "The Ultimate Science Fiction Web Guide", "d:Description": "Science Fiction information and a collection of biographies and links to other sites.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Directories", "url": "http://www.magicdragon.com/UltimateSF/SF-Index.html"} +{"d:Title": "The Science Fiction&Fantasy Bibliography", "d:Description": "A comprehensive bibliography of science-fiction and fantasy authors and their books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Directories", "url": "http://www.sfbooklist.co.uk/"} +{"d:Title": "Classic SF", "d:Description": "Database of fantasy and science fiction stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Directories", "url": "http://www.classic-sf.com/"} +{"d:Title": "TrekSearch", "d:Description": "A directory of science fiction web sites.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Directories", "url": "http://treksearch.ds69bbs.com/"} +{"d:Title": "Post-Apocalyptic Book List", "d:Description": "Database of titles in the Post-Apocalyptic genre. Novels and Book Series from the first to the most recent.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Directories", "url": "http://www.apocalypsebooks.com/"} +{"d:Title": "Unbound Worlds", "d:Description": "A list of books by or about authors who are published by Penguin Random House.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Directories", "url": "http://www.unboundworlds.com/"} +{"d:Title": "HAC-L", "d:Description": "E-mail newsletter for discussion of the works of Robert A. Heinlein, Isaac Asimov and Arthur C. Clarke.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/E-mail_Newsletters", "url": "http://lists.topica.com/lists/hac-l/"} +{"d:Title": "Free Speculative Fiction Online", "d:Description": "Links to science fiction and fantasy stories online.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives", "url": "http://www.freesfonline.de/"} +{"d:Title": "Zombie Me: Patchwork and Pieces", "d:Description": "A horror novel following a newly re-animated anti-hero and the calamity that follows his awakening. Written by William Zedalis", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives", "url": "http://zombieme.blogspot.com/"} +{"d:Title": "Annabel's GRM Matrix", "d:Description": "A design inspired by H R Giger, The Matrix, 3d art and VRML. Download an illustrated work by author Colin James McKinlay.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www3.sympatico.ca/2trilogy/GRMatrix.html"} +{"d:Title": "The Zoo", "d:Description": "Novel in which Bush, Putin, and Mrs Thatcher are spacenapped and taken to an intergalactic zoo by aliens. However, the most important passengers are Whale rockstars.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.angelfire.com/scifi/literaturepd/The_Zoo.htm"} +{"d:Title": "The Star Spawn Saga", "d:Description": "Online stories by Thomas Nevin Huber, originally written in the early 1990s.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.star-spawn.net/"} +{"d:Title": "The Sci-Fi Realm of Elbert Lewis, Jr.", "d:Description": "Online novel, including a brief biography and the first chapter of his book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.elbertlewisjr.com/"} +{"d:Title": "Drake, Derand", "d:Description": "Official site for the Starcruiser Falcon e-book by Derand Drake.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.starcruiser-falcon.com/"} +{"d:Title": "Dream Sequence", "d:Description": "PDF downloads of SFF author Steve Lazarowitz's self-published works [paid].", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.dream-sequence.net/"} +{"d:Title": "The Other World", "d:Description": "Cyrano de Bergerac's classic novel of early science fiction. Includes hypertext notes and commentary.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.bewilderingstories.com/special/tow.html"} +{"d:Title": "Corollary Theorems", "d:Description": "Science and SF eBook publisher.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.corollarytheorems.com/"} +{"d:Title": "Gormglaith", "d:Description": "Radical feminist separatist literature set in a scientifically plausible future.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.gormglaith.com/"} +{"d:Title": "Jim Schicatano's Short Stories", "d:Description": "Science fiction, horror and fantasy stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://jimschicatano.webs.com/stories.htm"} +{"d:Title": "Tuttle, Richard S.", "d:Description": "E-book fantasy author Richard S. Tuttle's site.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.rstuttle.com/"} +{"d:Title": "Descending Circles - Ascending Earth", "d:Description": "John Eric Ellison's e-book, Descending Circles: Ascending Earth.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Electronic_Text_Archives/E-books", "url": "http://www.johneric.org/dcae.html"} +{"d:Title": "Classics of Science Fiction", "d:Description": "Identifying the classic books of science fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Essays_and_Criticism", "url": "http://classics.jameswallaceharris.com/"} +{"d:Title": "Kittywompus Tracks Fanzines", "d:Description": "A weblog providing critical reviews of paper and electronic SF fanzines.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Essays_and_Criticism", "url": "http://www.kittywompus.com/fanzines/reviews"} +{"d:Title": "Science Fiction Studies", "d:Description": "Website includes all article-abstracts and full texts of all reviews published in the journal since its founding in 1973, as well as featured essays, documents in the history of sf, and a bibliography of sf-criticism.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Essays_and_Criticism", "url": "http://www.depauw.edu/sfs/"} +{"d:Title": "Her World Exploded", "d:Description": "Essay taking the view that science fiction is about how you read a story, not how it's written.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Essays_and_Criticism", "url": "http://www-users.cs.york.ac.uk/~susan/sf/explode.htm"} +{"d:Title": "The Templeton Gate", "d:Description": "Essays and reviews of Science Fiction authors, as well as SF films and television.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Essays_and_Criticism", "url": "http://templetongate.net/"} +{"d:Title": "In Defense of Science Fiction", "d:Description": "An essay on science fiction and literature by critic and science fiction historian John Clute.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Essays_and_Criticism", "url": "http://www.salon.com/1999/05/25/sfdefense/"} +{"d:Title": "Spacebloom : A Field Guide to Cosmic Xflora", "d:Description": "A catalog of spaceblooms - autonomous, self-propelling and self-propagating cosmic plants. Includes sections on history, environment, usage and taxonomy.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Imagined_Worlds", "url": "http://www.spacebloom.net/"} +{"d:Title": "Indian Science Fiction&Fantasy", "d:Description": "Short stories, animations, movies and related site links. Indian site, but content only in English.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/International", "url": "http://www.indianscifi.com/"} +{"d:Title": "Science Fiction&Fantasy", "d:Description": "Swedish site covering sf and fantasy literature, including pages on humorous sf, the history of science fiction and influential authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/International", "url": "http://www.edlin.org/sf/eng/"} +{"d:Title": "Locus Online", "d:Description": "The online version of the leading news and review magazine of the science fiction, fantasy, and horror publishing fields.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.locusmag.com/"} +{"d:Title": "The Science Fact&Science Fiction Concatenation", "d:Description": "This is the online version of the Eurocon award winning science and science fiction magazine featuring articles and reviews from contributors all over Europe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.concatenation.org/"} +{"d:Title": "Galaxy eZine", "d:Description": "The online version of the famous science fiction magazine with original material posted daily and weekly.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.galaxyezine.org/"} +{"d:Title": "Tangent", "d:Description": "Reviews of science fiction and fantasy publications.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.tangentonline.com/"} +{"d:Title": "Albedo", "d:Description": "Focuses on Irish, and also European, science fiction literature.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://homepage.eircom.net/~goudriaan/"} +{"d:Title": "SFRevu", "d:Description": "A monthly e-zine for science fiction news, reviews, and interviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.sfrevu.com/"} +{"d:Title": "Asimov's Science Fiction", "d:Description": "Essays by Isaac Asimov, stories, book and net reviews, readers' forum and chats, writers guidelines, cartoons, and puzzles.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.asimovs.com/"} +{"d:Title": "Analog Science Fiction and Fact", "d:Description": "Site for the long running magazine showcasing both science fiction and science fact articles.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://analogsf.com/"} +{"d:Title": "Mimosa", "d:Description": "Hugo-award-winning fanzine by Richard and Nicki Lynch.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.jophan.org/mimosa/"} +{"d:Title": "The Zone", "d:Description": "SFF fiction, essays and reviews of books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.zone-sf.com/"} +{"d:Title": "Sweet Despise", "d:Description": "Exploration into darker literature; including recommended reading, columns, bibliographies and writing.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.eclipse.co.uk/sweetdespise/"} +{"d:Title": "Plokta", "d:Description": "A Hugo-nominated British fanzine with full text and art from back issues online.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.plokta.com/"} +{"d:Title": "Astounding", "d:Description": "Dedicated to John W Campbell's classic magazine Astounding Science Fiction, with a list of stories published plus a selection of quotations from stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.andrew-may.com/asf/"} +{"d:Title": "Bewildering Stories", "d:Description": "Bewildering Stories features experimental writing in science fiction, fantasy and horror. Includes short stories, poetry, drama, reviews, correspondence and novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.bewilderingstories.com/"} +{"d:Title": "Dawn Sky", "d:Description": "Original works of fantasy and science fiction art, fiction and digital audiovisual works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.dawnsky.com/"} +{"d:Title": "Andromeda Spaceways Inflight Magazine", "d:Description": "Lighthearted science fiction and fantasy magazine, published bi-monthly in print.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.andromedaspaceways.com/"} +{"d:Title": "Surprising Stories", "d:Description": "Fanzine featuring SF-related short stories, poetry and articles from published and unpublished authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://surprisingstories.dcwi.com/"} +{"d:Title": "Continuum Science Fiction", "d:Description": "Includes information on the style of stories accepted and publication schedule.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.continuumsciencefiction.com/"} +{"d:Title": "Future Fire", "d:Description": "Online magazine of original writing in dark speculative fantasy.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.futurefire.net/"} +{"d:Title": "Internet Review of Science Fiction", "d:Description": "SF magazine. Registration required to read.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.irosf.com/"} +{"d:Title": "Arte Six", "d:Description": "SFF zine which looks at film festivals and interviews genre authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://artesix.blogspot.com/"} +{"d:Title": "Some Fantastic", "d:Description": "Quarterly eZine dedicated to sf/fantasy/horror book and DVD reviews and academic style essays.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.somefantastic.us/"} +{"d:Title": "SF-Worlds.com", "d:Description": "Essays, fan fiction, jokes and directories devoted to SFF universes.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.sf-worlds.com/"} +{"d:Title": "Nano-Bison", "d:Description": "Speculative fiction - sf, fantasy, horror - and poetry.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.nanobison.com/"} +{"d:Title": "Science Fiction Fantasy Horror.com", "d:Description": "Speculative fiction, fantasy and horror stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.sciencefictionfantasyhorror.com/"} +{"d:Title": "Electric Spec", "d:Description": "Tri-annual e-zine that publishes science fiction, fantasy, and macabre short stories.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.electricspec.com/"} +{"d:Title": "Challenging Destiny", "d:Description": "Canadian science fiction and fantasy short story magazine published by Crystalline Sphere Publishing.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.challengingdestiny.com/"} +{"d:Title": "Scifi Tales", "d:Description": "SFF e-books and poems.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.scifi-tales.com/"} +{"d:Title": "Aurealis", "d:Description": "Australia's Magazine of Fantasy and Science Fiction", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://aurealis.com.au/"} +{"d:Title": "Infinity Plus", "d:Description": "Short stories, novel extracts, reviews, and the work of some of the world's top science fiction and fantasy authors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.infinityplus.co.uk/"} +{"d:Title": "Fantasy and Science Fiction", "d:Description": "The award-winning Magazine of Fantasy and Science Fiction, founded in 1949. The original publisher of Stephen King's Dark Tower, Daniel Keyes's Flowers for Algernon, and Walter M. Miller's A Canticle for Leibowitz.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.sfsite.com/fsf/"} +{"d:Title": "Escape Pod", "d:Description": "Audio podcast which features a new audio sci-fi story each week, usually updated on Fridays.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://escapepod.org/"} +{"d:Title": "TTA Press: Interzone", "d:Description": "Includes subscription information and a brief description of the contents of recent issues of the noted U. K. science fiction magazine.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://ttapress.com/interzone/"} +{"d:Title": "Juptier", "d:Description": "A quarterly UK-based science fiction magazine. Provides a writer's guide for submissions, subscription information and back issues.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.jupitersf.co.uk/"} +{"d:Title": "Starburst", "d:Description": "Magazine offering reviews, news and feature articles on science-fiction and fantasy films, TV and books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.starburstmagazine.com/"} +{"d:Title": "Bento", "d:Description": "Small amateur fanzine with tidbits from the silly to ruminations on the nature of fandom. Contains full text of some issues, and information on how to purchase or trade for hard copies.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://www.bentopress.com/bento/"} +{"d:Title": "On Spec", "d:Description": "Includes subscription and back-issue information, as well as Canadian science fiction convention-related material.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "https://onspecmag.wordpress.com/"} +{"d:Title": "Ansible", "d:Description": "Website version of the U. K. fanzine.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Magazines_and_E-zines", "url": "http://news.ansible.uk/"} +{"d:Title": "Black Scifi Horror Fantasy Club", "d:Description": "Black scifi, horror, and fantasy online club.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Organizations", "url": "http://groups.yahoo.com/group/blackscifihorrorfantasyclub/"} +{"d:Title": "Science Fiction Foundation", "d:Description": "UK association of writers, academics, critics and others with an active interest in science fiction. Information about the research library in Liverpool, the review journal Foundation, and current activities.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Organizations", "url": "http://www.sf-foundation.org/"} +{"d:Title": "MIT Science Fiction Society", "d:Description": "Information on the Society's library (including e-texts), meeting minutes and activities reports, as well as a description of membership benefits and links to sci-fi sites.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Organizations", "url": "http://www.mit.edu/~mitsfs/"} +{"d:Title": "The Speculative Literature Foundation", "d:Description": "Working to promote literary quality in speculative fiction. Site has frequently asked questions, news, resources, and information on their programs and grants.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Organizations", "url": "http://speculativeliterature.org/"} +{"d:Title": "Mostly Fiction: Recommended Science Fiction", "d:Description": "A list of recommended science fiction novels categorized by author and containing bibliographies and links to similar lists in other genres.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reading_Lists", "url": "http://mostlyfiction.com/scifi.htm"} +{"d:Title": "Recommended SF", "d:Description": "A non-definitive guide to good SF and fantasy.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reading_Lists", "url": "http://www.klio.org/sf/"} +{"d:Title": "Suggested Reading", "d:Description": "Reading list from the Science Fiction and Fantasy Writers of America.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reading_Lists", "url": "http://www.sfwa.org/reading/"} +{"d:Title": "NESFA Hugo Recommended Reading", "d:Description": "Annual reading lists from the New England Science Fiction Association.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reading_Lists", "url": "http://www.nesfa.org/recommends/"} +{"d:Title": "SFBook.com", "d:Description": "News and reviews about both new and classic science fiction books. Visitors can add authors, books, comment and their own reviews.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://sfbook.com/"} +{"d:Title": "Garage Sale Gold", "d:Description": "Reviews of science fiction books, with an emphasis on the obscure and the forgotten.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.angelfire.com/ct2/giantsteps/gsg/gsg.html"} +{"d:Title": "Galactic Outpost", "d:Description": "Reviews of science fiction and fantasy books. Also includes soundtrack reviews and a section on Final Fantasy music.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.angelfire.com/ky2/arpeggio/"} +{"d:Title": "Danny Yee's Science Fiction Reviews", "d:Description": "Reviews of thirty odd works of science fiction, a slightly odd selection.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://dannyreviews.com/s/science_fiction.html"} +{"d:Title": "Rambles", "d:Description": "A fast-growing cultural arts magazine, reviews science fiction novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.rambles.net/fiction_sf.html"} +{"d:Title": "SF Reviews", "d:Description": "\"Biased and superficial\" reviews of old and new science fiction books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.sfreviews.com/"} +{"d:Title": "The StuPage Book Reviews", "d:Description": "The first part of the review is my personal feelings about the book. Following that is the summary from the inside cover.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://webhome.idirect.com/~squish/review.htm"} +{"d:Title": "Steven Silver's Book Reviews", "d:Description": "This site includes a number of lengthy reviews of SF works.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.sfsite.com/~silverag/reviews.html"} +{"d:Title": "SFReader.com", "d:Description": "Online community for fans of science fiction, fantasy, and horror novels.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.sfreader.com/"} +{"d:Title": "Science Fiction and Fantasy Audio", "d:Description": "Reviews of and commentary on Science Fiction and Fantasy Audiobooks and Audio Drama.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.sffaudio.com/"} +{"d:Title": "IBDOF", "d:Description": "Mainly SFF works from The Internet Book Database of Fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.ibdof.com/"} +{"d:Title": "Vulcan Ears Book Reviews", "d:Description": "Book review site focusing on science fiction, with some fantasy (and the odd computer science book too).", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://books.vulcanears.com/"} +{"d:Title": "The Science Fiction Review", "d:Description": "Reviews books written by various Sci-Fi writers. A major focus of the website is Isaac Asimov's Robot and Foundation Universe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.scifi-review.net/"} +{"d:Title": "Science Fiction", "d:Description": "Reviews on Science Fiction written by consumers at Epinions.com.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.epinions.com/Books--reviews--media_science_fiction_fantasy"} +{"d:Title": "Dragon Page Reviews", "d:Description": "Reviews of genre books from a SFF radio talk show.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://www.dragonpage.com/category/reviews/"} +{"d:Title": "Ste5en's Science Fiction and Horror book reviews", "d:Description": "Short reviews of SF and Horror books.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Reviews", "url": "http://ste5en.tripod.com/index.html"} +{"d:Title": "The Mystic Muse", "d:Description": "Contains fan fiction set in the Buffy the Vampire Slayer and Angel universes.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Buffy_The_Vampire_Slayer", "url": "http://mysticmuse.net/"} +{"d:Title": "Science Fiction Timeline Site", "d:Description": "Timelines, star maps and essays on series by Larry Niven, Jerry Pournelle, and E. E. \"Doc\" Smith, and the television series Babylon 5.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Literary", "url": "http://www.chronology.org/"} +{"d:Title": "The Diadem FanZone", "d:Description": "For fans of the Diadem series of books. Has fan fiction, an encyclopedia of the Diadem Universe and John Peel biography.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Literary", "url": "http://www.angelfire.com/theforce/diadem/"} +{"d:Title": "Adventures Across the Universe", "d:Description": "Series by teenage writer, Tom Steele.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Literary", "url": "http://advacrtheuniverse.tripod.com/"} +{"d:Title": "ARM-X", "d:Description": "Looks at the SF mecha book series: ARM-X. Includes a mecha database, excerpts and multimedia gallery.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Literary", "url": "http://www.armxofficial.com/"} +{"d:Title": "Chronology of Written Star Trek Fiction", "d:Description": "A timeline of the Star Trek books, including novels, adaptations and short stories, with the events in chronological order.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Trek", "url": "http://www.maplenet.net/~trowbridge/timeline.htm"} +{"d:Title": "The Star Trek Annotated Timeline", "d:Description": "Several useful timelines and chronologies of Star Trek fiction.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Trek", "url": "http://www.pjfarmer.com/woldnewton/Startrek.htm"} +{"d:Title": "The Trek BBS", "d:Description": "BBSs for every Trek category, including literature, this site is frequented by several authors and editors.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Trek", "url": "http://www.trekbbs.com/"} +{"d:Title": "Guide to Gold Key Star Trek Comics", "d:Description": "Information on the Star Trek graphic novels, including character bios, ship listings, and a complete issue index.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Trek", "url": "http://curtdanhauser.com/Enter.html"} +{"d:Title": "Deep Space Nine: Avatar", "d:Description": "Info and related material for the books of the DS9 relaunch, aka Season 8.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Trek", "url": "http://www.angelfire.com/trek/avatar/main.html"} +{"d:Title": "TheForce.net Books", "d:Description": "For past and latest reviews, news, upcoming releases, and an extensive timeline.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars", "url": "http://www.theforce.net/books/"} +{"d:Title": "GalaxyFarAway Books", "d:Description": "Book reviews, news, and literature timeline.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars", "url": "http://www.galaxyfaraway.com/Books/index.shtml"} +{"d:Title": "Star Wars: Young Jedi Knights", "d:Description": "A student-designed site providing character and plot summaries, an analysis and exploration of the books, and thoughts on the series.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars", "url": "http://www.angelfire.com/scifi2/starwarsisu/index.html"} +{"d:Title": "TheForce.net: Star Wars Book Reviews", "d:Description": "Contains detailed reviews of many books in the Star Wars Extended Universe.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars", "url": "http://www.theforce.net/books/reviews/main_reviews.asp"} +{"d:Title": "Wookieepedia: List of Star Wars Books", "d:Description": "A list of all Star Wars Literature published, with links to more information about nearly each publication.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars", "url": "http://starwars.wikia.com/wiki/List_of_books"} +{"d:Title": "Novel Ideas - Shadows of the Empire", "d:Description": "Short synopsis and review. [40/100]", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars/Shadows_of_the_Empire", "url": "http://www.shavenwookie.com/spec/sote.html"} +{"d:Title": "Rambles.net", "d:Description": "Review of Steve Perry's book.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars/Shadows_of_the_Empire", "url": "http://rambles.net/perry_shadows.html"} +{"d:Title": "Grammarman", "d:Description": "Positive review of the novelization.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Star_Wars/Shadows_of_the_Empire", "url": "http://grammarman.50megs.com/shadows.html"} +{"d:Title": "SFcrowsnest: Terminator 3", "d:Description": "Review of the novelisation by David Hagberg of the film.", "topic": "Top/Arts/Literature/Genres/Science_Fiction/Series/Terminator", "url": "http://www.sfcrowsnest.com/articles/books/2003/Terminator-3-Rise-Of-The-Machines-by-David-Hagberg-7020.php"} +{"d:Title": "John Gillham", "d:Description": "Travel author's homepage includes biography and book information.", "topic": "Top/Arts/Literature/Genres/Travel_and_Adventure", "url": "http://www.johngillham.com/"} +{"d:Title": "The Wild West", "d:Description": "Fiction that transcends genre to focus on the West and Southwest.", "topic": "Top/Arts/Literature/Genres/Western", "url": "http://mostlyfiction.com/west.htm"} +{"d:Title": "Native American Indian History", "d:Description": "A collection of links to Native American etext.", "topic": "Top/Arts/Literature/Genres/Western", "url": "http://americanindian.net/links9.html"} +{"d:Title": "Cotton, Ralph", "d:Description": "Includes profile, book listings, photos, and related links.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.ralphcotton.com/"} +{"d:Title": "Blakely, Mike", "d:Description": "Author, singer and songwriter. Includes show schedule, sound clips, book excerpts, and photos.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.mikeblakely.com/"} +{"d:Title": "Jonas, Kirby", "d:Description": "Biography, reviews, interview, and poetry and artwork by the author.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.kirbyjonas.com/"} +{"d:Title": "Law, Steven", "d:Description": "Author and web publisher. Includes a profile, photo gallery, and information about his books.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.stevenlaw.com/"} +{"d:Title": "Brandvold, Peter", "d:Description": "Multipublished western author of \"Once Late with a 38,\" \"Riding with the Devil's Mistress,\" and other titles.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.peterbrandvold.com/"} +{"d:Title": "Holt, A. H.", "d:Description": "Author of western books, poetry, and other writings.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://ahholt.com/"} +{"d:Title": "Nesbitt, John D.", "d:Description": "Western author and college instructor.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.johndnesbitt.com/"} +{"d:Title": "Lassiter, Karl", "d:Description": "Lassiter is a pen name of Robert E. Vardeman and this site explores both fiction and non-fiction western writing", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.karllassiter.com/"} +{"d:Title": "Boggs, Johnny D.", "d:Description": "Site of western writer and spur award winner has bio, books, reviews, appearances, etc.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.johnnydboggs.com/"} +{"d:Title": "Hirt, Douglas", "d:Description": "Home of western author who also writes under Doug Hawkins and Jason Elder", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.douglashirt.com/"} +{"d:Title": "Boling, Frederick W.", "d:Description": "Writer of Western fiction and nonfiction.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.fredrickboling.com/"} +{"d:Title": "Conley, Robert", "d:Description": "Native American poet and novelist.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.hanksville.org/storytellers/conley/"} +{"d:Title": "Estelman, Loren", "d:Description": "Four time golden spur award winner also writes award winning mysteries.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.lorenestleman.com/author.htm"} +{"d:Title": "Schaefer, Jack", "d:Description": "Author of western classic \"Shane\", \"Monte Walsh,\" and other westerns. Died in 1991.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.aristos.org/backissu/schaefer.htm"} +{"d:Title": "Rogers, Lincoln", "d:Description": "Active member of the Western Writers of America and author of cowboy poetry, western short fiction and western journalism.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.lincolnrogers.com/"} +{"d:Title": "Swarthout, Glen", "d:Description": "Twice nominated for the Pulitzer Prize in Fiction by his publishers and won a number of other awards for his novels, including a couple of Spurs and a Wrangler, as well as the Western Writers award for Lifetime Achievement.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.glendonswarthout.com/"} +{"d:Title": "Cheek, Roland", "d:Description": "Throwback to an earlier era, Roland Cheek holds a Ph.D from God's own Academy of Farthest Places and Wildest Things. With ten books, hundreds of magazine articles, and a 20-year syndicated newspaper column--all about western times in western places--Roland turns now to the type of writing he's trained a lifetime to create.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.rolandcheek.com/"} +{"d:Title": "Reynolds, Clay", "d:Description": "Native Texan novelist, scholar, and critic Clay Reynolds is the author of twelve books and more than seven hundred publications ranging from critical studies to short fiction and poems.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.clayreynolds.info/"} +{"d:Title": "Carlson, Chip", "d:Description": "Over 25 years of Carlson's research on Tom Horn compiled; includes stories and photos.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.tom-horn.com/"} +{"d:Title": "Duncklee, John", "d:Description": "Scroll down the directory page for this writer of western fiction, non-fiction, young adult books and poetry.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.home.earthlink.net/~jpduncklee/"} +{"d:Title": "Nipper, Pat Decker", "d:Description": "Author: historical fiction, romance, nonfiction; her books, articles, and background.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.patdeckernipper.com/"} +{"d:Title": "Butts, J. Lee", "d:Description": "Homepage of author of \"Hell in the Nations,\" and \"Texas Bad Boys.\"", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.jleebutts.com/"} +{"d:Title": "Brand, Max", "d:Description": "Also known as Frederick Faust. Official web site owned by the writer's heirs. Book listings and excerpts as well as author biography.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://www.maxbrandonline.com/"} +{"d:Title": "Burns, Terry", "d:Description": "Information blog about the author who has retired.", "topic": "Top/Arts/Literature/Genres/Western/Authors", "url": "http://terryburns.us/"} +{"d:Title": "Zane Grey and Duck Key", "d:Description": "Description of the author's connection to the Florida Keys.", "topic": "Top/Arts/Literature/Genres/Western/Authors/Grey,_Zane", "url": "http://www.duckkeyonline.com/duck_key_history/zane_grey.htm"} +{"d:Title": "Writer Zane Grey", "d:Description": "Biography and pictures.", "topic": "Top/Arts/Literature/Genres/Western/Authors/Grey,_Zane", "url": "http://www.keyshistory.org/artzanegrey.html"} +{"d:Title": "Signatures of Zane Grey", "d:Description": "Two images, featuring the author's signature.", "topic": "Top/Arts/Literature/Genres/Western/Authors/Grey,_Zane", "url": "http://www.purplehousepress.com/sig/zane.htm"} +{"d:Title": "Zane Grey's West Society", "d:Description": "Membership organization promoting interest in writing of Zane Grey, links to films, museums, annual convention.", "topic": "Top/Arts/Literature/Genres/Western/Authors/Grey,_Zane", "url": "http://www.zgws.org/"} +{"d:Title": "Elmer Kelton", "d:Description": "Official site. Six-time winner of the Spur Award and author of the \"Good Old Boys\". Features works, biography, awards, and photographs.", "priority": "1", "topic": "Top/Arts/Literature/Genres/Western/Authors/Kelton,_Elmer", "url": "http://www.elmerkelton.net/"} +{"d:Title": "The Grave of Louis L'Amour", "d:Description": "A photo of the grave of Louis L'Amour.", "topic": "Top/Arts/Literature/Genres/Western/Authors/L'Amour,_Louis", "url": "http://www.seeing-stars.com/ImagePages/LouisLAmourGravePhoto.shtml"} +{"d:Title": "Random House - Louis L'Amour", "d:Description": "Contains book information, story locations, and trivia.", "topic": "Top/Arts/Literature/Genres/Western/Authors/L'Amour,_Louis", "url": "http://www.louislamour.com/"} +{"d:Title": "The Central California Poetry Journal", "d:Description": "Regional journal with essays and articles on poetry.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.solopublications.com/journal.htm"} +{"d:Title": "Glimmer Train Magazine", "d:Description": "Content lists and excerpts from the short-story journal.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.glimmertrain.com/"} +{"d:Title": "The Common Review", "d:Description": "Journal featuring original essays and articles about literature and culture. Includes excerpts, subscription information, submission guidelines and contacts.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.greatbooks.org/"} +{"d:Title": "Paradoxa: Studies in World Literary Genres", "d:Description": "Abbreviated online version of the print publication which explores genre literature.", "topic": "Top/Arts/Literature/Journals", "url": "http://paradoxa.com/"} +{"d:Title": "Euphony", "d:Description": "Issue list, staff, and submission guidelines for a journal of literature and art at the University of Chicago.", "topic": "Top/Arts/Literature/Journals", "url": "http://euphony.uchicago.edu/"} +{"d:Title": "RHINO: The Poetry Forum", "d:Description": "Sample material and submission information about Rhino Magazine, a non-profit poetry journal based in Evanston, Illinois, with links to other poetry and arts-related sites.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.rhinopoetry.org/"} +{"d:Title": "The Little Magazine", "d:Description": "A journal of ideas and literature, largely in translation from the South Asian languages.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.littlemag.com/"} +{"d:Title": "Orchid: A Literary Review", "d:Description": "Champions new and emerging fiction writers with interviews on the craft of fiction and articles examining the role of short stories as literature.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.orchidlit.org/"} +{"d:Title": "The Anthologist", "d:Description": "Literary and Fine Arts Journal of Rutgers College.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.eden.rutgers.edu/~antho/home.html"} +{"d:Title": "Rattapallax", "d:Description": "A journal of international writing with CD featuring the poets reading their work.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.rattapallax.com/"} +{"d:Title": "The Literary Review", "d:Description": "Published quarterly by Fairleigh Dickinson University since 1957, it has introduced new fiction, poetry, and essays from many nations to English readers.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.theliteraryreview.org/"} +{"d:Title": "Many Mountains Moving", "d:Description": "A literary journal of diverse contemporary voices.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.mmminc.org/"} +{"d:Title": "Mars Hill Review Theological&Literary Journal", "d:Description": "Ajournal of provocative thought and transcendent stories. Publishes original essays, interviews, fiction, non-fiction, poetry and reviews of film, music, and books.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.marshillreview.com/"} +{"d:Title": "Monographic Review", "d:Description": "Review of Hispanic literature.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.monographicreview.org/"} +{"d:Title": "The Harvard Advocate Online", "d:Description": "Harvard's undergraduate journal of fiction, poetry, art and criticism.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.theharvardadvocate.com/"} +{"d:Title": "Gulf Coast: A Journal of Literature and Fine Art", "d:Description": "A biannual journal featuring innovative fiction for the literary-minded. Published by the University of Houston.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.gulfcoastmag.org/"} +{"d:Title": "Aesthetica", "d:Description": "Contains news and reviews on visual art, writing, music, film and theatre.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.aestheticamagazine.com/"} +{"d:Title": "Contemporary Poetry Review", "d:Description": "A British-based academic literary journal featuring articles, essays, literary criticism and book reviews of established international poets.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.cprw.com/"} +{"d:Title": "Janus Head", "d:Description": "A literary journal that places the emphasis on critical theory.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.janushead.org/"} +{"d:Title": "Triquarterly", "d:Description": "Features fiction, poetry, literary essays, and graphic art. Highlights from the current issue, submission guidelines, and how to subscribe.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.triquarterly.org/"} +{"d:Title": "Project Muse", "d:Description": "Online database of more than 200 journals from nonprofit publishers.", "topic": "Top/Arts/Literature/Journals", "url": "http://muse.jhu.edu/"} +{"d:Title": "The Cambridge Quarterly", "d:Description": "Journal of literary criticism with a particular interest in challenging accepted views. It also publishes the best Cambridge University Finals dissertation each year.", "topic": "Top/Arts/Literature/Journals", "url": "http://camqtly.oxfordjournals.org/"} +{"d:Title": "KulturPoetik", "d:Description": "Publishes essays in English, German and French on all cultural aspects of literature and on all literary aspects of culture.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.uni-saarland.de/fak4/fr41/Engel/kulturpoetik/welcome_e.htm"} +{"d:Title": "Standards: The International Journal of Multicultural Studies", "d:Description": "A journal for the critical study of how cultural diversity impacts literature.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.colorado.edu/journals/standards/"} +{"d:Title": "Absurdist Monthly Review", "d:Description": "E-magazine with reviews of current absurdist stories and articles covering metafictional devices, experimental techniques and absurdist literary theory and history.", "topic": "Top/Arts/Literature/Journals", "url": "http://amr.obook.org/index.php"} +{"d:Title": "Switchback", "d:Description": "Online publication from the Master of Fine Arts in Writing Program of the University of San Francisco. Featuring critical essays from contrasting points of view, as well as works of fiction, nonfiction, and poetry.", "topic": "Top/Arts/Literature/Journals", "url": "http://swback.com/"} +{"d:Title": "Arts&Letters Journal of Contemporary Culture", "d:Description": "Georgia College's literary journal. Featured writings, submission guidelines, and subscription information.", "topic": "Top/Arts/Literature/Journals", "url": "http://al.gcsu.edu/"} +{"d:Title": "Poetics Today", "d:Description": "International journal for theory and analysis of literature and communication. Subscription and submission information and excerpts from past issues.", "topic": "Top/Arts/Literature/Journals", "url": "http://poeticstoday.dukejournals.org/"} +{"d:Title": "Critical Inquiry", "d:Description": "An academic journal relating to critical analysis of the literary arts.", "topic": "Top/Arts/Literature/Journals", "url": "http://criticalinquiry.uchicago.edu/"} +{"d:Title": "The Massachusetts Review", "d:Description": "The website for the respected print journal includes submission guidelines and subscription information, as well as samples and excerpts online.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.massreview.org/"} +{"d:Title": "Comparative Literature Journal of the University of Oregon", "d:Description": "Information about a journal which explores issues of literary history not confined to a single national literature and significant problems in literary theory.", "topic": "Top/Arts/Literature/Journals", "url": "http://complit.dukejournals.org/"} +{"d:Title": "Quarterly West", "d:Description": "Website for the print journal presents some selections online.", "topic": "Top/Arts/Literature/Journals", "url": "http://quarterlywest.com/"} +{"d:Title": "New Orleans Review", "d:Description": "An international journal of contemporary poetry, fiction, nonfiction, art, photography, film, and book reviews, founded in 1968 at Loyola University in New Orleans.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.neworleansreview.org/"} +{"d:Title": "The Georgia Review", "d:Description": "A quarterly publication of essays, short fiction, poetry, reviews, and art. This site also provides limited access to recent back issues.", "topic": "Top/Arts/Literature/Journals", "url": "http://garev.uga.edu/"} +{"d:Title": "American Literary Review", "d:Description": "An academic journal of poetry, fiction, and nonfiction.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.americanliteraryreview.com/"} +{"d:Title": "The Missouri Review", "d:Description": "The extensive online version of the respected literary journal features highlights of the print version.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.missourireview.com/"} +{"d:Title": "Natural Bridge Literary Journal", "d:Description": "A journal of contemporary literature that publishes poetry, fiction, essays, and works in translation by new and established writers.", "topic": "Top/Arts/Literature/Journals", "url": "http://blogs.umsl.edu/naturalbridge/"} +{"d:Title": "Sewanee Review", "d:Description": "Online version of the print journal, publishing poetry, fiction and criticism.", "topic": "Top/Arts/Literature/Journals", "url": "http://review.sewanee.edu/"} +{"d:Title": "PMS poemmemoirstory", "d:Description": "A literary journal of women writers, includes poems, memoirs, and stories. Alabama's first literary journal exclusively written and edited by women, published by the University of Alabama at Birmingham.", "topic": "Top/Arts/Literature/Journals", "url": "http://www.uab.edu/cas/englishpublications/pms-poemmemoirstory"} +{"d:Title": "Shenandoah: The Washington and Lee Review", "d:Description": "Website for the print journal includes subscription information, writers' guidelines, and sample excerpts of published material.", "topic": "Top/Arts/Literature/Journals", "url": "http://shenandoahliterary.org/"} +{"d:Title": "Appalachian Heritage", "d:Description": "Literary quarterly presenting poetry, short fiction, essays, articles and reviews of recent literature by and about the people of the Appalachian South. Subscription information, instructions for contributors, and sample contents.", "topic": "Top/Arts/Literature/Journals", "url": "http://appalachianheritage.net/"} +{"d:Title": "Nimrod International Journal of Prose and Poetry", "d:Description": "Longstanding literary journal from the University of Tulsa. Subscription and submission information, awards, and featured issues.", "topic": "Top/Arts/Literature/Journals", "url": "https://nimrod.utulsa.edu/"} +{"d:Title": "The Minnesota Review", "d:Description": "Literary and cultural studies journal that places a special emphasis on politically engaged criticism, fiction and poetry. Selections, submission, subscriptions, and call for papers.", "topic": "Top/Arts/Literature/Journals", "url": "https://www.dukeupress.edu/the-minnesota-review"} +{"d:Title": "Mississippi Review", "d:Description": "Online version of the literary magazine published by the Center for Writers at the University of Southern Mississippi.", "topic": "Top/Arts/Literature/Journals", "url": "http://sites.usm.edu/mississippi-review/"} +{"d:Title": "Prospects", "d:Description": "Multidisciplinary journal of nonfiction and criticism. Information for contributors and subscribers.", "topic": "Top/Arts/Literature/Journals", "url": "https://www.cambridge.org/core/journals/prospects"} +{"d:Title": "Arethusa", "d:Description": "Print journal of literary and cultural studies, published for 32 years. Archives available on the web and in PDF format.", "topic": "Top/Arts/Literature/Journals", "url": "http://muse.jhu.edu/journal/14"} +{"d:Title": "Atlantic Online", "d:Description": "A regularly updated companion web site to the magazine Atlantic Monthly. Includes fiction, featured articles and reviews.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.theatlantic.com/"} +{"d:Title": "Painted Bride Quarterly", "d:Description": "Online and print annual of poetry, fiction, essays and art.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://pbq.drexel.edu/"} +{"d:Title": "Zoetrope", "d:Description": "Short-fiction magazine founded by Francis Coppola. Features online submissions and reviews of short stories and novellas.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.all-story.com/"} +{"d:Title": "Prospect: An Anthology of Creative Nonfiction", "d:Description": "Quarterly journal published at Brown University. Includes current and archived issues.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.stg.brown.edu/projects/CreativeNonfiction/"} +{"d:Title": "Wordwrights", "d:Description": "Nationally distributed magazine of poetry and prose.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.angelfire.com/biz/argonnehotel/"} +{"d:Title": "The White Crow", "d:Description": "Poetry and short fiction selections from the print publication.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.wcrow.com/"} +{"d:Title": "Conjunctions", "d:Description": "Publisher of new fiction, poetry, art, and interviews. Samples from past issues, author index, and submission guidelines.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.conjunctions.com/"} +{"d:Title": "Evergreen Review", "d:Description": "Offers classic Beat stories and articles as well as the latest cutting edge literature and art.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.evergreenreview.com/"} +{"d:Title": "Literal Latte", "d:Description": "The online version of the New York-based magazine of prose, poetry and art, featuring established and new writers.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.literal-latte.com/"} +{"d:Title": "The New Yorker", "d:Description": "Online version of the weekly magazine, with current articles, cartoons, blogs, audio, video, slide shows, an archive of articles and abstracts back to 1925.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.newyorker.com/"} +{"d:Title": "Granta", "d:Description": "Quarterly magazine of new writing, with details of the latest issue, extracts from back issues, submission and subscription information and special features.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.granta.com/"} +{"d:Title": "Open City Magazine", "d:Description": "Dedicated to publishing today's best new fiction, poetry, and essays by writers whose bold voices may be too daring and cutting-edge for mainstream publishing.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.opencity.org/"} +{"d:Title": "Snow Monkey", "d:Description": "An eclectic print magazine published three times a year. Fiction and poetry from hardcopy issues, plus ordering and submission information.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.ravennapress.com/snowmonkey/"} +{"d:Title": "The Poet's Haven", "d:Description": "Publishes poetry, artwork, stories, reviews, and essays. Also hosts message forums and a chat room.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.poetshaven.com/"} +{"d:Title": "Salt Fork Review", "d:Description": "The website for a small literary tabloid publishing original fiction, poetry, and non-fiction, has submission and ordering information.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.angelfire.com/journal/saltforkreview/index.html"} +{"d:Title": "The Threepenny Review", "d:Description": "The web site for the prestigious quarterly literary magazine features selections from past and current issues, a gallery of cover art, a message from the magazine's editor, subscription and advertising instructions.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.threepennyreview.com/"} +{"d:Title": "Taddle Creek", "d:Description": "Canadian literary magazine publishing fiction and poetry by writers from across Toronto.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.taddlecreekmag.com/"} +{"d:Title": "Harper's", "d:Description": "The website of the popular magazine includes the Harper's Index; visitor-submitted statistics.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.harpers.org/"} +{"d:Title": "Rosebud Magazine", "d:Description": "Quarterly magazine of poetry and short stories.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.rsbd.net/"} +{"d:Title": "The Hinterland Online", "d:Description": "An online digest of the freely distributed toronto-based lit/art magazine.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://thehinterland.iwarp.com/"} +{"d:Title": "The Sun", "d:Description": "The website contains excerpts from each monthly issue of the magazine, as well as subscription information and newsstand locations.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.thesunmagazine.org/"} +{"d:Title": "Hunger Magazine", "d:Description": "Award winning international journal with a bent for forms of language and image experiments with an edge.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.hungermagazine.com/"} +{"d:Title": "Clamor Magazine", "d:Description": "A magazine of politics and culture.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://clamormagazine.org/"} +{"d:Title": "Tin House", "d:Description": "A quarterly which publishes fiction, poetry and essays by new and established writers: includes samples and subscription information.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.tinhouse.com/"} +{"d:Title": "Caveat Lector", "d:Description": "Online version of the magazine dedicated to fiction, poetry, philosophy, and the arts.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.caveat-lector.org/"} +{"d:Title": "Grain Magazine", "d:Description": "\"The freshest poetry and fiction anywhere.\" Published in Canada, but has an international list of authors.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.grainmagazine.ca/"} +{"d:Title": "The Believer Magazine", "d:Description": "Advertising free magazine features essays, book reviews, interviews ,charts, uncopyrighted ideas free for the taking, as well as more timely features that profile the latest in power tools, mammals, motels, lights, and children.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.believermag.com/"} +{"d:Title": "Zyzzyva", "d:Description": "Website of the internationally noted literary magazine.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.zyzzyva.org/"} +{"d:Title": "Boston Review", "d:Description": "The online version of the print magazine which has been, since 1975, an award-winning forum for political, cultural and literary ideas.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://bostonreview.net/"} +{"d:Title": "Qwerty", "d:Description": "Named after the first six letters on a keyboard. Selections from the print journal.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.lib.unb.ca/Texts/QWERTY/"} +{"d:Title": "Post Road Magazine", "d:Description": "Biannual literary magazine featuring new fiction, poetry, nonfiction, art and theatre pieces.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.postroadmag.com/"} +{"d:Title": "The American Poetry Review", "d:Description": "Bimonthly issues of original poetry, literary criticism, interviews, essays and social commentary.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.aprweb.org/"} +{"d:Title": "ESC! Magazine", "d:Description": "Hybrid print and electronic literary magazine for aspiring writers and artists.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.escmagazine.com/"} +{"d:Title": "Journal of Experimental Fiction", "d:Description": "Online version of the print publication.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.experimentalfiction.com/"} +{"d:Title": "Hobart", "d:Description": "Sporadically published journal of fiction, art and reviews. Submission and subscription information and online excerpts.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.hobartpulp.com/"} +{"d:Title": "Philadelphia Stories", "d:Description": "Literature, poetry, essays, and true stories of the Delaware Valley. Published quarterly.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://philadelphiastories.org/"} +{"d:Title": "Pilgrimage Magazine", "d:Description": "Twice-yearly publication of literature and art from the American Southwest and beyond. With submission and subscription information and online samples.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.pilgrimagepress.org/"} +{"d:Title": "The Beloit Poetry Journal", "d:Description": "Samplings of poetry and reviews, subscription and submission information, news, and on-line discussion of the quarterly publications works.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.bpj.org/"} +{"d:Title": "Kenyon Review", "d:Description": "Publishes emerging writers, especially from diverse communities.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.kenyonreview.org/"} +{"d:Title": "Newark Review", "d:Description": "A journal for New Jersey authors and artists, with poetry, prose, and images.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://web.njit.edu/~newrev/"} +{"d:Title": "The Literary Saloon", "d:Description": "Opinionated commentary weblog on literary matters, from the Complete Review.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.complete-review.com/saloon/"} +{"d:Title": "Silent Voices", "d:Description": "Annual literary journal and nationwide anthology of collected short stories. Ordering and submission information, contests, and links.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.exmachinapress.com/"} +{"d:Title": "Cabinet Magazine", "d:Description": "Showcasing conceptual art, literature and essays. Issue samples, news, purchasing and submission information, and themes.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.cabinetmagazine.org/"} +{"d:Title": "VerbSap", "d:Description": "Online magazine anthologized in print, featuring concise prose and nonfiction writing. Stories, contest and submission information, and anthology orders.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.verbsap.com/"} +{"d:Title": "Blood Ink", "d:Description": "Quarterly student literary magazine at the University of Alberta. Submissions, issues, and call for volunteers.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.ualberta.ca/~jekerr/"} +{"d:Title": "Outercast", "d:Description": "Each issue featuring ten stories from writers all over the world. Submissions, ordering, and authors list.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.outercast.com/"} +{"d:Title": "Bikwil", "d:Description": "A bi-monthly magazine available by paid subscription or for download on the net.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.bikwil.com/"} +{"d:Title": "Harpur Palate", "d:Description": "Binghamton University's literary journal, publishing fiction, poetry, and creative nonfiction. Submissions, subscriptions, contests, and samples.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://harpurpalate.binghamton.edu/"} +{"d:Title": "The SiNK", "d:Description": "Submission guidelines, subscription information, contact names, and excerpts.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://webpages.charter.net/thesink/Frames.html"} +{"d:Title": "The Baltimore Review", "d:Description": "National journal of poetry, fiction and creative non-fiction. Information on their competitions, news, excerpts, and writers' guidelines.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.baltimorereview.org/"} +{"d:Title": "One Story", "d:Description": "Publishing one short story every three weeks. Submission guidelines, how to subscribe, excerpts of past stories, and information on their readings.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.one-story.com/"} +{"d:Title": "Yellow Silk: Journal of Erotic Arts", "d:Description": "International journal of fine arts, photography, fiction, poetry, and essays. Archives only.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.well.com/user/green/Yellow%20Silk/ysysysysys.html"} +{"d:Title": "Brick", "d:Description": "Information on the current issue, list of contributors, and submission guidelines.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.brickmag.com/"} +{"d:Title": "Barrelhouse", "d:Description": "Featuring fiction and poetry from new and established writers. On the site: new fiction, submissions guidelines, and the literary dodgeball challenge.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.barrelhousemag.com/"} +{"d:Title": "Sleepingfish", "d:Description": "Print digest of experimental short fiction, art, and visual poetry. Samples, reviews, submissions, and sales.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.sleepingfish.net/"} +{"d:Title": "spork", "d:Description": "Journal of innovative writing.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.sporkpress.com/"} +{"d:Title": "The Goose Magazine", "d:Description": "Absurdist Harvard literary zine, in print and online, publishing fiction and art. Past issues available in PDF format.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://hcs.harvard.edu/thegoose/"} +{"d:Title": "North Hills Spectrum", "d:Description": "Official website of the North Hills annual literary and arts publication. Review older issues or, if you are a fellow North Hills student, submit your own work to print. Issues sold at May Arts Alive.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://nh-spectrum.awardspace.com/"} +{"d:Title": "Literary Liaisons", "d:Description": "Australian e-zine offering author interviews and profiles, book reviews, and news and commentary.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://members.optusnet.com.au/~waldrenm/"} +{"d:Title": "Raving Dove", "d:Description": "Online humanitarian journal; with membership and submission details.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.ravingdove.org/"} +{"d:Title": "Ploughshares", "d:Description": "Published in April, August, and December in paperback, each issue contains stories and poems, a profile of the guest editor, book reviews, and notes about Ploughshares, its writers, and the literary world.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.pshares.org/"} +{"d:Title": "Prism International", "d:Description": "Established in 1959, publishes new and established writers from around the world.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://prismmagazine.ca/"} +{"d:Title": "Paris Review", "d:Description": "A literary magazine featuring original writing, art, and in-depth interviews with famous writers.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.theparisreview.org/"} +{"d:Title": "OVS Magazine", "d:Description": "A peer-critiqued online and print literary journal that gives new and established artists and poets a place to publish their work.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://ovsmag.com/"} +{"d:Title": "Still Point Arts Quarterly", "d:Description": "A quarterly that includes the portfolios of contemporary artists as well as articles, essays, fiction, and poetry about art, artists, and artistry.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.stillpointartgallery.com/quarterly.htm"} +{"d:Title": "Neon - A Literary Magazine", "d:Description": "Publishes literary and slipstream short-form writing especially the dark and the apocalyptic.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.neonmagazine.co.uk/"} +{"d:Title": "StringTown", "d:Description": "Annual magazine of poetry and fiction available in independent bookstores throughout the Northwest. Subscriptions, submissions, and online samples.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.stringtownpress.org/"} +{"d:Title": "Sensations Magazine", "d:Description": "NJ-based literary review of poetry, fiction, and thematic research. Submissions, subscriptions, and event information.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.freewebs.com/centclub/"} +{"d:Title": "Bellevue Literary Review", "d:Description": "Journal of humanity and human experience. News, how to submit a manuscript, and an events calendar.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://blr.med.nyu.edu/"} +{"d:Title": "Chain", "d:Description": "Journal of experimental and cross-genre writing. Subscriptions, submissions and links.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.chainarts.org/"} +{"d:Title": "The Oxford American", "d:Description": "Writing and art from or about the South. Published bimonthly.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.oxfordamerican.org/"} +{"d:Title": "The Chattahoochee Review", "d:Description": "Current issue, list of upcoming events, how to subscribe, and links.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://depts.gpc.edu/~gpccr/"} +{"d:Title": "Oyster Boy Review", "d:Description": "Current issue, submission guidelines, subscriptions, and links.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.oysterboyreview.org/"} +{"d:Title": "New England Review", "d:Description": "Featuring sample works of fiction, poetry, and nonfiction from the respected print quarterly, plus submission guidelines and ordering information.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.nereview.com/"} +{"d:Title": "Five Points", "d:Description": "Submission guidelines, gallery of past artwork, and sample work from the current issue.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.fivepoints.gsu.edu/"} +{"d:Title": "New Millennium Writings", "d:Description": "A twice-annual journal filled with vibrant imagery and word-craft.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://www.newmillenniumwritings.org/"} +{"d:Title": "Potomac Review", "d:Description": "The Mid-Atlantic's voice for international fiction, poetry, and essays.", "topic": "Top/Arts/Literature/Magazines_and_E-zines", "url": "http://mcblogs.montgomerycollege.edu/potomacreview/"} +{"d:Title": "2River", "d:Description": "Quarterly publishes poems, chapbooks, art, and multi-media presentations of poems. Occasionally publishes individual writers in the 2River Chapbook Series.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.2river.org/"} +{"d:Title": "Convergence", "d:Description": "An on-line publication dedicated to poetry, art, and short stories.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.convergence-journal.com/"} +{"d:Title": "Memory Hole", "d:Description": "A bibliographic catalog of science fiction fanzines.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.gostak.demon.co.uk/"} +{"d:Title": "The Inner Swine", "d:Description": "The web site for the New Jersey zine features samples, web only content, and past issues in PDF format.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.innerswine.com/"} +{"d:Title": "Exiled on Main Street", "d:Description": "A small cultural zine by Bill Tuomala, available in print or online.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.readexiled.com/"} +{"d:Title": "Unleaded", "d:Description": "Indie zine offering news on the latest issues, subscription info, links and gratitude.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://angelfire.com/ok3/Unleaded"} +{"d:Title": "Thoughtworm", "d:Description": "Contains out-of-print stories, information on how to order the print zine, news, a booklist by Malinda, and zine reviews.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.thoughtworm.com/"} +{"d:Title": "Scripturient Productions: For People Who Love Words", "d:Description": "Scripturient Productions: dedicated to showcasing and encouraging the talent of writers under 21 years old. Plus other related projects.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.angelfire.com/ma2/ScripturientYouth/"} +{"d:Title": "Leeking Inc.", "d:Description": "Resources, some perzines and Xerography Debt online", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.leekinginc.com/"} +{"d:Title": "discontent", "d:Description": "A self-published print zine devoted to themes of ambition and mediocrity. Ordering information, past issues with selected articles.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://logomachia.tripod.com/"} +{"d:Title": "Negative Capability", "d:Description": "A \"brilliant, funny, sick, vicious, angry\" zine from New York City by Josh Saitz", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.negcap.com/"} +{"d:Title": "Grrl", "d:Description": "A pop culture zine containing topics such as evil kids and Halloween, Sex, Santa vs. Satan, puppets, Lucha Libre wrestling, drag queens and Alice in Wonderland.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.grrl.com/zine.html"} +{"d:Title": "the2ndhand", "d:Description": "Online version of a free printed broadside distributed throughout Chicago, the US, and the world.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.the2ndhand.com/"} +{"d:Title": "Stop Smiling", "d:Description": "A view askance at media and the subjects it reports, from the perspective of independent culture.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.stopsmilingonline.com/"} +{"d:Title": "Re:Magazine", "d:Description": "A bi-annual publication based in Vancouver BC. This site contains guidelines for submitting music / literature / visual-art, along with band and artist information.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.re-magazine.com/"} +{"d:Title": "The Nocturnal Lyric", "d:Description": "3-times-a-year literary zine printing bizarre short fiction and poetry.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.angelfire.com/ca/nocturnallyric/"} +{"d:Title": "Pipe Up!", "d:Description": "Monthly magazine of politics, art, culture, music, and humor. With issue archives, submission information, and a message forum.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.littlecommie.com/pipeup"} +{"d:Title": "Crimson Regret", "d:Description": "Zine distro distributing various Australian zines by mail order. Catalogue, orders and messageboard.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.angelfire.com/zine2/crimsonregret/"} +{"d:Title": "The Constant Rider", "d:Description": "Chronicling adventures in public transportation in Portland, Oregon. Reviews and order details.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.constantrider.com/"} +{"d:Title": "Crunchable.net", "d:Description": "Essays about the small things that fascinate us, the big things that frighten us, and the crunchy things we like to eat.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.crunchable.net/"} +{"d:Title": "The Adirondack Review", "d:Description": "A quarterly magazine that publishes poetry, fiction, artwork, and photography. Also contains interviews, book reviews, and translations.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.theadirondackreview.com/"} +{"d:Title": "Persimmon Tree", "d:Description": "A showcase for the creativity and talent of women over sixty. Contents includes fiction, non-fiction, poetry and art.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.persimmontree.org/"} +{"d:Title": "Harvard Review Online", "d:Description": "American literary journal with a mix of contributors in a variety of genres and styles.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://harvardreview.fas.harvard.edu/"} +{"d:Title": "mujinga", "d:Description": "The web presence of an English / Czech zine which features art, culture, fiction, politics, party, protest.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://mujweb.cz/mujinga/"} +{"d:Title": "girlongirl", "d:Description": "A feminist publication project - newsletter, graffiti, zines, handbooks, merchandise.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://gogproductions.tripod.com/index.htm"} +{"d:Title": "Johnny America", "d:Description": "Website for the print zine. Archives, subscription and submission information, and contest rules.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "http://www.johnnyamerica.com/"} +{"d:Title": "the carbon based mistake", "d:Description": "Self published zines by Marc Calvary where the title zine is ever changing, ranging from photo essays to short stories.", "topic": "Top/Arts/Literature/Magazines_and_E-zines/E-zines", "url": "https://thecarbonbasedmistake.wordpress.com/"} +{"d:Title": "Mythic Arts", "d:Description": "Writings on mythology, psychopomps, death and the afterlife, ritual and symbolism, and creativity.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.mythicarts.com/"} +{"d:Title": "Pantheons", "d:Description": "Concise dictionaries of the various divinities from nine Eurasian and Middle Eastern pantheons.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://web.raex.com/~obsidian/PanIndex.html"} +{"d:Title": "Rachel's Mythology Page", "d:Description": "A who-is-who of classical, Norse, Gaelic and Egyptian mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.akasha.demon.co.uk/main.htm"} +{"d:Title": "Mythology Notes", "d:Description": "Historical overview of the mythologies of the Ancient Near East, the Scandinavians and the Celts, including story abstracts and names of deities.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.maryforrest.com/mythology/"} +{"d:Title": "Age of Fable Or Beauties of Mythology", "d:Description": "Online publication of the 1913 edition of Thomas Bulfinch's classic.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.bartleby.com/bulfinch/"} +{"d:Title": "Sacred-Texts - Myths and Sagas", "d:Description": "Poetic and prose texts from various cultures including Anglo-Saxon, Arabian, Arthurian, Celtic and Scandinavian.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.sacred-texts.com/neu/"} +{"d:Title": "Literature Network - Thomas Bulfinch", "d:Description": "Offers biography and a searchable collection of works.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.online-literature.com/bulfinch/"} +{"d:Title": "Mythology", "d:Description": "Brief sections on classical, Norse and Egyptian myths.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.infoplease.com/ipa/A0900731.html"} +{"d:Title": "Timeless Myths", "d:Description": "Covering Classical, Norse, and Celtic myths, as well as Arthurian legends, with a summary of popular deities, heroes, and other characters.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.timelessmyths.com/"} +{"d:Title": "MythHome", "d:Description": "Myths, deities, and mythological characters from across the world listed by culture, with discussion board and large collection of links.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.mythome.org/mythhome.htm"} +{"d:Title": "Mythological Studies", "d:Description": "Ancient European mythology and history with art work.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://greek-gods.tripod.com/"} +{"d:Title": "Godchecker - Mythology with a Twist", "d:Description": "Explores the quirky side of mythology with humorous research, including a Holy Database of the weird and wonderful gods from around the world.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.godchecker.com/"} +{"d:Title": "Gods, Monsters and Myths", "d:Description": "Brief accounts of popular myths and legends from Greece and Rome, Egypt, Japan, China, and India.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.starsandseas.com/SAS_Mythology/Myth_Chall.htm"} +{"d:Title": "Flood Stories from Around the World", "d:Description": "Brief description of flood myths from cultures all over the globe.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.talkorigins.org/faqs/flood-myths.html"} +{"d:Title": "Mythology", "d:Description": "Myths of various cultures related to the heavens, from Windows to the Universe at the University Corporation for Atmospheric Research (UCAR).", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.windows2universe.org/mythology/mythology.html"} +{"d:Title": "World Myths and Legends in Art", "d:Description": "Exhibition of images inspired by mythology around the world with background information, photos and audio files. From the Minneapolis Institute of Arts.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://archive.artsmia.org/world-myths/"} +{"d:Title": "Mythical Folk", "d:Description": "An on-line encyclopedia of mythology and folklore.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.themystica.com/mythical-folk/default.html"} +{"d:Title": "Mythology", "d:Description": "Infoplease.com overview of the study of myths.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths", "url": "http://www.infoplease.com/encyclopedia/entertainment/mythology.html"} +{"d:Title": "Into Africa Adventures", "d:Description": "Travelogue comprising some myths and legends of the VhaVenda nation in South Africa.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/African", "url": "http://www.adventures.co.za/ven_art.htm"} +{"d:Title": "Myths and Legends of the Bantu", "d:Description": "By Alice Werner (1933), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/African", "url": "http://www.sacred-texts.com/afr/mlb/"} +{"d:Title": "Gateways to Babylon", "d:Description": "Ancient and modern texts on Mesopotamian mythology, religion, and deities.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Assyro-Babylonian", "url": "http://www.gatewaystobabylon.com/"} +{"d:Title": "The Seven Tablets of Creation", "d:Description": "Includes a translation by LW King (1902), his introduction, and footnotes.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Assyro-Babylonian/Creation", "url": "http://www.sacred-texts.com/ane/stc/"} +{"d:Title": "The Epic of Gilgamesh", "d:Description": "The text as translated by Maureen Gallery Kovacs.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Assyro-Babylonian/Gilgamesh", "url": "http://www.ancienttexts.org/library/mesopotamian/gilgamesh/index.html"} +{"d:Title": "Epic of Gilgamesh Theatre Production", "d:Description": "The oldest known heroes tale Gilgamesh and Enkidu has been created into a play. Photos, score, text, and references.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Assyro-Babylonian/Gilgamesh", "url": "http://netpaths.net/gilgamesh/"} +{"d:Title": "Gilgamesh", "d:Description": "The complete text by tablet.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Assyro-Babylonian/Gilgamesh", "url": "http://www.piney.com/BabGilgPro.html"} +{"d:Title": "He Who Saw Everything", "d:Description": "Verse version of the Epic of Gilgamesh.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Assyro-Babylonian/Gilgamesh", "url": "http://www.angelfire.com/tx/gatestobabylon/temple1.html"} +{"d:Title": "Didjeridu Myths and Legends", "d:Description": "From Ed Drury's Didjiridu site.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Australian_Aboriginal", "url": "http://www.rdrop.com/users/mulara/myths.html"} +{"d:Title": "Australian Dreamtime", "d:Description": "Overview of the oral traditions of the Aboriginals about the origins of the land and its people.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Australian_Aboriginal", "url": "http://www.crystalinks.com/dreamtime.html"} +{"d:Title": "Some Myths and Legends of the Australian Aborigines", "d:Description": "By W. E. Thomas (1923), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Australian_Aboriginal", "url": "http://www.sacred-texts.com/aus/mla/"} +{"d:Title": "The Euahlayi Tribe", "d:Description": "An ethnography by K. Langloh Parker (1905) on the culture, spiritual beliefs and ceremonies of the Euahlayi.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Australian_Aboriginal", "url": "http://www.sacred-texts.com/aus/tet/"} +{"d:Title": "Australian Legends", "d:Description": "By C. W. Peck (1933), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Australian_Aboriginal", "url": "http://www.sacred-texts.com/aus/peck/"} +{"d:Title": "Australian Legendary Tales: Folk-Lore of the Noongahburrahs as Told to the Picaninnies", "d:Description": "By Katherine Langloh Parker (1897), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Australian_Aboriginal", "url": "http://www.sacred-texts.com/aus/alt/"} +{"d:Title": "Cosmology of the Ancient Balts", "d:Description": "Reviews the outlook of ancient Balts on the structure and origin of the world as reconstructed from the archaeological excavations, folklore, mythology, linguistics and chronicles.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Baltic", "url": "http://www.lithuanian.net/mitai/cosmos/baltai.htm"} +{"d:Title": "Wikipedia: Lithuanian Mythology", "d:Description": "Article with bibliography and links.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Baltic", "url": "http://en.wikipedia.org/wiki/Lithuanian_mythology"} +{"d:Title": "Ancient Lithuanian Mythology and Religion", "d:Description": "Abstract from Prane Dunduliene book \"Ancient Lithuanian Mythology and Religion\".", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Baltic", "url": "http://covenantofrhiannon.org/lith.htm"} +{"d:Title": "The Sacred Fire", "d:Description": "A celebration of ancient Celtic history and lore, including legends, myths, festivals, the calendar, druids, ogham, faeries and leprechauns.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://www.sacredfire.net/"} +{"d:Title": "Animal Symbolism in Celtic Mythology", "d:Description": "Overview article by Lars Nood\u00e9n, discussing the tie between animals in Celtic and Welsh mythology with fertility and vitality.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://www-personal.umich.edu/~lars/rel375.html"} +{"d:Title": "Myths and Legends of the Celtic Race", "d:Description": "By Thomas Rolleston (1911), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://www.sacred-texts.com/neu/celt/mlcr/"} +{"d:Title": "Of Celts - Windsor Castle", "d:Description": "Of Celts, fairy tales, stories, and history.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://oaks.nvg.org/pimi10.html"} +{"d:Title": "Celtic Studies Resources", "d:Description": "FAQs, articles, annotated links and reading lists on Celtic topics from an opinionated digital medievalist.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://www.digitalmedievalist.com/"} +{"d:Title": "Epona.net", "d:Description": "In-depth historical information about Epona, the Gaulish goddess of horses. Fully referenced and illustrated.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://www.epona.net/"} +{"d:Title": "Legends: Erin and Alba", "d:Description": "Annotated guide to resources on the net.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://bestoflegends.org/celt/"} +{"d:Title": "Celtic Mythology", "d:Description": "Commentary and literary resources on the ancient religious and spiritual beliefs of the Celts.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic", "url": "http://www.interfaith.org/celtic/"} +{"d:Title": "Irish Literature, Mythology, Folklore, and Drama", "d:Description": "Guide to web resources, created by Anniina Jokinen (web editor of The Luminarium).", "priority": "1", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://www.luminarium.org/mythology/ireland/"} +{"d:Title": "T\u00edr na n\u00d3g: Folklore, Mythology, History and Art", "d:Description": "Selection of annotated links.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://www.alia.ie/tirnanog/myth1.html"} +{"d:Title": "Gods and Fighting Men", "d:Description": "The Story of the Tuatha De Danaan and of the Fianna of Ireland, by Lady Augusta Gregory (1904), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://www.sacred-texts.com/neu/celt/gafm/"} +{"d:Title": "Myths and Folklore of Ireland", "d:Description": "By Jeremiah Curtin (1890), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://www.sacred-texts.com/neu/celt/mfli/"} +{"d:Title": "Tuatha De Danann Family Tree", "d:Description": "Charts the familial relationship among the gods of Irish Celtic mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://baharna.com/celtic/index.htm"} +{"d:Title": "Yahoo! Groups: Irish Mythology", "d:Description": "A place to learn about Irish mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://groups.yahoo.com/group/irishmythology/"} +{"d:Title": "Dates in Irish Myth and Legend", "d:Description": "A chronology of the legendary prehistory and history of Ireland.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://www.legendarytours.com/dates.html"} +{"d:Title": "Seanachi", "d:Description": "Irish folktalkes told by the late storyteller John W. Kelly.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://www.seanachi.org/"} +{"d:Title": "Celtic Twilight: Myths and Legends of Ireland", "d:Description": "Online texts of and links pertaining to Irish myths and legends.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://www.celtic-twilight.com/ireland/"} +{"d:Title": "The Second Battle of Mag Tured (Moytura)", "d:Description": "Excerpt from the Mythological Cycle.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish", "url": "http://web.ncf.ca/dc920/tured.html"} +{"d:Title": "Cuchulain of Muirtheme", "d:Description": "By Lady Augusta Gregory (1902), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish/Ulster_Cycle", "url": "http://www.sacred-texts.com/neu/celt/cuch/"} +{"d:Title": "Bricriu's Feast", "d:Description": "Preparations for this meal took a full year, and the host built a house just for it. Find out what happens once the guests arrive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish/Ulster_Cycle", "url": "http://web.ncf.ca/dc920/bricriu.html"} +{"d:Title": "The Boyhood Deeds of Cu Chulainn", "d:Description": "Traces the childhood of this boy eager to become a warrior, always throwing his toy weapons and asking to hear battle stories.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish/Ulster_Cycle", "url": "http://web.ncf.ca/dc920/boyd.html"} +{"d:Title": "The Story of Mac Dath\u00f3's Pig", "d:Description": "A tale from the Ulster Cycle, presenting the early Irish text next to the English translation.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish/Ulster_Cycle", "url": "http://adminstaff.vassar.edu/sttaylor/MacDatho/"} +{"d:Title": "Cattle-Raid of Cooley", "d:Description": "The central epic of the Ulster Cycle, presenting the early Irish text next to the English translation.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/British_and_Celtic/Irish/Ulster_Cycle", "url": "http://adminstaff.vassar.edu/sttaylor/Cooley/"} +{"d:Title": "Encyclopedia Mythica: Haitian Mythology", "d:Description": "The index file for the area on Haitian mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Caribbean", "url": "http://www.pantheon.org/areas/mythology/americas/haitian/articles.html"} +{"d:Title": "Gods and Spirits of the West Indies", "d:Description": "Light-hearted look at the Gods of the Caribbean, including Taino and Haitian Voodou. Fully cross-referenced alphabetical list.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Caribbean", "url": "http://www.godslaidbare.com/pantheons/caribbean/index.php"} +{"d:Title": "Chinavista: Chinese Myths and Fantasies", "d:Description": "Brief overview of the history and defining characteristics of Chinese mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Chinese", "url": "http://www.chinavista.com/experience/myth/myth.html"} +{"d:Title": "Chinavista: Deities Worshipped by Farmers", "d:Description": "Some of the gods worshipped by Chinese farmers.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Chinese", "url": "http://www.chinavista.com/experience/joss1/deities.html"} +{"d:Title": "Chinavista: Selected Chinese Myths and Fantasies", "d:Description": "Small selection of well-known myths and legends.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Chinese", "url": "http://www.chinavista.com/experience/story/story.html"} +{"d:Title": "The Creation Myth of Yayo", "d:Description": "Told by Siapen Kotan Isamo of the Yami, a Malayo-Polynesian people inhabiting Orchid Island, part of the Republic of China, Taiwan.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Chinese", "url": "http://asian-lp.uga.edu/jpn_html/yami/yayo_main.html"} +{"d:Title": "Chinese Creation and Flood Myth", "d:Description": "The legend in verse, from the Miao People.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Chinese/Creation", "url": "http://www.pitt.edu/~dash/chinaflood.html"} +{"d:Title": "Greek and Roman Mythology", "d:Description": "List of names from Greek and Roman mythology, from Infoplease.com.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Classical", "url": "http://www.infoplease.com/ipa/A0197622.html"} +{"d:Title": "About.com: Myth: Greco-Roman", "d:Description": "The twelve Olympians and other gods and goddesses of the Greco-Roman pantheon.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Classical", "url": "http://ancienthistory.about.com/library/bl/bl_myth_europe_grecoroman_index.htm"} +{"d:Title": "Name and Fame: Greek and Roman", "d:Description": "List of names of famous and not-so-famous characters from Greek and Roman mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Classical", "url": "http://www.nameandfame.org/greek.html"} +{"d:Title": "MythNET", "d:Description": "A source of information about Greek and Roman Mythology. Learn about the Gods, Mythical Creatures and Monsters, the Heroes and their stories and genealogy.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Classical", "url": "http://www.classicsunveiled.com/mythnet/html/index.html"} +{"d:Title": "Forged By Lightning: The Gods", "d:Description": "Extensive information on the Greek, Roman and Carthaginian Gods and Goddesses mentioned in Forged By Lightning: A Novel of Hannibal and Scipio.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Classical", "url": "http://www.hannibalofcarthage.org/gods.php"} +{"d:Title": "Oxford Classical Mythology Online", "d:Description": "Retells the myths and legends of Greece and Rome enabling students to explore and appreciate the most significant ancient sources of classical legends and myths.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Classical", "url": "http://global.oup.com/us/companion.websites/9780195397703/"} +{"d:Title": "Myth of Creation", "d:Description": "Articles on mythology by Stefan Stenudd, working on a dissertation on creation myths and their patterns of thought.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://www.stenudd.com/myth/"} +{"d:Title": "The Golden Bough", "d:Description": "Classical work by Sir James George Frazer, from the 1922 edition.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://www.bartleby.com/196/"} +{"d:Title": "Mythopedia", "d:Description": "Proposing a theory of unity of human mythology, listing the common motifs in mythology, ritual, and symbolism, with an encyclopaedia of gods and myths from all corners of the world.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://www.mythopedia.info/"} +{"d:Title": "Common Elements in Creation Myths", "d:Description": "Explores and highlights commonalities amongst stories depicting the creation of the world from several cultures.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://www.cs.williams.edu/~lindsey/myths/myths.html"} +{"d:Title": "Georges Dum\u00e9zil - Indo-European Mythology", "d:Description": "Discussion group for the work of Georges Dum\u00e9zil on Indo-European mythology and trifunctionality.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://groups.yahoo.com/group/dumezil/"} +{"d:Title": "Hungarian Heritage Page: Myths and Legends", "d:Description": "Comparison of Hungarian myths and legends with Scythian and Mesopotamian ones.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://users.cwnet.com/millenia/legend.htm"} +{"d:Title": "Mythic Rhythm", "d:Description": "Mythic Rhythm is a website and companion weblog that addresses myth and culture in relation to the rhythms of modern life. The site explores myth, film, story, folklore and music of cultures all over the world.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://www.mythicrhythm.com/"} +{"d:Title": "Encyclopedia Mythica", "d:Description": "An online encyclopedia of world mythologies for the scholar, researcher and casual reader.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Comparative_Mythology", "url": "http://www.pantheon.org/"} +{"d:Title": "The Norse Creation Myth", "d:Description": "Abstracted from The Prose Edda of Snorri Sturluson.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Creation", "url": "http://www.pitt.edu/~dash/creation.html"} +{"d:Title": "Creation Stories", "d:Description": "A collection of Creation stories from around the world, with a final chapter exploring common themes.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Creation", "url": "http://www.gly.uga.edu/railsback/CS/CSIndex.html"} +{"d:Title": "Phoenician Creation Story", "d:Description": "Abstract of the Phoenician creation myth.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Creation", "url": "http://www.phoenicia.org/creation.html"} +{"d:Title": "Creation Myths from the Philippines", "d:Description": "A collection of old Filipino accounts of the creation.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Creation", "url": "http://www.pitt.edu/~dash/creation-phil.html"} +{"d:Title": "The Samoan Story of Creation", "d:Description": "From the Journal of the Polynesian Society, 1892; includes a transaltion, introduction and commentary.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Creation", "url": "http://www.sacred-texts.com/pac/jpolys/ssc.htm"} +{"d:Title": "Common Elements in Creation Myths", "d:Description": "An exploration of common themes, with examples of myths from around the world.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Creation", "url": "http://dept.cs.williams.edu/~lindsey/myths/"} +{"d:Title": "Regional Folklore and Mythology", "d:Description": "Extensive list of links organized by cultural and geographical region.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Directories", "url": "http://www.pibburns.com/mythregi.htm"} +{"d:Title": "Folklore, Myth and Legend", "d:Description": "Annotated guide to major sites dealing with traditional literature, geared towards children.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Directories", "url": "http://www.acs.ucalgary.ca/~dkbrown/storfolk.html"} +{"d:Title": "The Magic Web: Mythology and Folklore", "d:Description": "Annotated links to specific topics in mythology and folklore.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Directories", "url": "http://johnadcox.com/page1/Mythology_and_Folklore.html"} +{"d:Title": "About.com: Mythology", "d:Description": "Entries on names of deities, with links to some of the principal myths and legends.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Directories", "url": "http://ancienthistory.about.com/od/greekmythology/a/102310-Read-About-Ancient-Mythology.htm"} +{"d:Title": "Guardian's Egypt - Ancient Egyptian Religion", "d:Description": "Links to sites about ancient Egyptian mythology and religion.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian", "url": "http://guardians.net/egypt/religion.htm"} +{"d:Title": "Infoplease.com: Egyptian Mythology", "d:Description": "List of names from Egyptian mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian", "url": "http://www.infoplease.com/ipa/A0197624.html"} +{"d:Title": "Ancient Egyptian Religion", "d:Description": "Sections on mummification and the Book fof the Dead, with illustrated list of Egyptian gods.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian", "url": "http://www.aldokkan.com/religion/religion.htm"} +{"d:Title": "Fruit of the Nile", "d:Description": "Overview of ancient Egyptian gods, symbols, religious practices, and pharaohs.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian", "url": "http://www.fruitofthenile.com/"} +{"d:Title": "Yahoo! Groups: Ancient Egyptian Mythology", "d:Description": "Discussion group for Egyptian mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian", "url": "http://groups.yahoo.com/group/ancientegyptianmythology/"} +{"d:Title": "Ancient Egypt: the Mythology", "d:Description": "Covering deities, symbols, and myths, along with articles about ancient Egyptian culture and history.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian", "url": "http://www.egyptianmyths.net/"} +{"d:Title": "Assorted Egyptian Religious Texts", "d:Description": "Piecing together the myths of Osiris and Horus, Father and Son, with various Egyptian texts.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian", "url": "http://www.world-destiny.org/father.htm"} +{"d:Title": "Creation", "d:Description": "Article introduces three regional creation cycles, the Heliopolitan, Hermopolitan and the Memphite.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Creation", "url": "http://www.touregypt.net/featurestories/creation.htm"} +{"d:Title": "Gods and Goddesses in Ancient Egypt :: Creation", "d:Description": "A synthesis of ancient Egyptian creation stories, episodes and references drawn from numerous different sources.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Creation", "url": "http://www.digitalegypt.ucl.ac.uk/religion/deitiescreation.html"} +{"d:Title": "Egyptian Creation Myths", "d:Description": "An introduction to ancient Egyptian stories of creation, with the myths of creator gods, Atum and Khepri.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Creation", "url": "http://www.egyptartsite.com/crea.html"} +{"d:Title": "Egyptian Mythology", "d:Description": "Overview of Egyptian mythology with detailed listings of the primary gods and goddesses along with their place of worship", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses", "url": "http://www.touregypt.net/gods1.htm"} +{"d:Title": "Miwu", "d:Description": "Miwu is a collaborative website; it publishes written and artistic material regarding the Egyptian feline gods. It is currently accepting submissions.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses", "url": "http://absurdism.org/kemet/"} +{"d:Title": "Abydos Triad and Set", "d:Description": "Recounts the story of Osiris and his brother Set, along with their sister wives Isis and Nemphys.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses", "url": "http://www.reshafim.org.il/ad/egypt/religion/osiris.htm"} +{"d:Title": "Tour Egypt - Amen and Amen-Ra", "d:Description": "Among the gods who were known to the Egyptians in very early times were Amen and his consort Ament. Detailed article on their worship and characteristics.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Amen_and_Amon_Ra", "url": "http://www.touregypt.net/amen.htm"} +{"d:Title": "Winged Bast Egyptian Cat Goddess", "d:Description": "A tribute to the Egyptian Cat Goddess Bast and mystical magickal felines everywhere. Art, ritual, history, lore, spirituality and magickal resources.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Bast", "url": "http://www.catanna.com/bast.htm"} +{"d:Title": "Depictions of Bast", "d:Description": "Comprehensive essay on this ancient Egyptian goddess, also known as Bastet, mistress of Bubastis and Eye of Her Father, Ra.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Bast", "url": "http://www.per-bast.org/bast/"} +{"d:Title": "Makara's Ancient Egyptian Site", "d:Description": "Features over 150 Egyptian gods and goddesses, definitions of terms, links to many related sites, and various specialty pages.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Guides_and_Directories", "url": "http://www.angelfire.com/me3/egyptgoddess/index.html"} +{"d:Title": "Egyptian Gods and Goddesses", "d:Description": "Contains information and details on 96 Egyptian gods and goddesses. Describes religion, way of life, clothing and includes myths and pictures to accompany text.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Guides_and_Directories", "url": "http://www.nemo.nu/ibisportal/0egyptintro/1egypt/"} +{"d:Title": "Crystal Links - Isis", "d:Description": "The feminine archetype for creation - the goddess of fertility and motherhood. Tells the story of Osiris's death at the hands of his brother set.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Isis", "url": "http://www.crystalinks.com/isis.html"} +{"d:Title": "Tour Egypt - Isis", "d:Description": "Deity worshipped all over Egypt, who was specially venerated in certain cities. Lists her attributes, seat of power and symbols.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Isis", "url": "http://www.touregypt.net/ISIS.HTM"} +{"d:Title": "World Myths and Legends in Art (Minneapolis Institute of Arts)", "d:Description": "This is the Minneapolis Institute of Art's \"World Myths and Legends in Art\" web site.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Isis", "url": "http://www.artsmia.org/world-myths/artbyculture/isis_keyideas.html"} +{"d:Title": "Egyptian Mythology - Osiris Cult", "d:Description": "Entity who played a very important role in ancient Egypt and this carried over into the rituals and beliefs of Egyptians much later.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Egyptian/Gods_and_Goddesses/Osiris", "url": "http://www.touregypt.net/Osiriscu.htm"} +{"d:Title": "Tracing the Bear Myth in Northeast Asia", "d:Description": "Article by Juha Janhunen on the bear cult.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Eurasian", "url": "http://src-h.slav.hokudai.ac.jp/publictn/acta/20/asi20-001-janhunen.pdf"} +{"d:Title": "Some Aspects of Mordvin Mytholoygy", "d:Description": "An introduction by Tatiana Deviatkina, published in the e-zine Folklore.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Eurasian", "url": "http://folklore.ee/folklore/vol17/mordmyth.pdf"} +{"d:Title": "Ancient Finnish Myths", "d:Description": "Myths, culture and feelings from ancient Finland.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Finnish", "url": "http://www.finnishmyth.org/"} +{"d:Title": "Theoi Greek Mythology", "d:Description": "Exploring mythology in classical literature and art. Illustrated biographies of the gods, spirits, creatures and heroes of Greek mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.theoi.com/"} +{"d:Title": "Perseus Project - Summary of Apollodorus's Library", "d:Description": "Frazer's summary of Apollodorus' handbook of Greek mythology all aspects of ancient texts, and including a superb image library.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.perseus.tufts.edu/Texts/apollod.summ.html"} +{"d:Title": "Women in Greek Myths", "d:Description": "A who's-who on all females in Greek mythology, with a section on Greek Men, and collection of myths.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.paleothea.com/"} +{"d:Title": "The Greek Heroes", "d:Description": "Tales of Perseus, the Argonauts, and Theseus, by Charles Kingsley.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.mainlesson.com/display.php3?author=kingsley&book=heroes&story=_contents"} +{"d:Title": "The Heroes, or Greek Fairy Tales for My Children", "d:Description": "Tales of Perseus, the Argonauts, and Theseus, by Charles Kingsley; e-text at the Project Gutenberg.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=677"} +{"d:Title": "Apollonius Rhodius' Argonautica Online on Windsor Castle", "d:Description": "The travels of Jason and the argonauts and other stories, adapted from R. C. Seaton's translation.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://oaks.nvg.org/sa4ra16.html"} +{"d:Title": "AskMen.com - Greek Mythology", "d:Description": "Snippets of Greek mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.askmen.com/toys/special_feature/39_special_feature.html"} +{"d:Title": "MythWeb: Encyclopedia of Greek Mythology", "d:Description": "Mythweb's illustrated encyclopedia of Greek Mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.mythweb.com/encyc/index.html"} +{"d:Title": "Dick Caldwell's Greek Myths", "d:Description": "An exploration of Greek Myths categorized by city.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.greecetravel.com/greekmyths/"} +{"d:Title": "Greek Mythology", "d:Description": "A reference of Greek gods, goddesses, Titans, heros and monsters.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://olympianmythology.blogspot.com/"} +{"d:Title": "GreekMythology.com - Greek Mythology", "d:Description": "Greek mythology offers extensive information and pictures on the gods, goddess and myths of ancient Greece.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.greekmythology.com/"} +{"d:Title": "Empire Arts Resource", "d:Description": "The Greek mythology poetry of Michael J. Farrand.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.empirecontact.com/narrative/"} +{"d:Title": "Gods Metaphysics and Philosophy in modern History", "d:Description": "How Greek Myths are becoming today's reality.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://godsmetaphysicsandphilosophyinmodernhistory.net/"} +{"d:Title": "Greek Mythology: Chapters in Pre-History", "d:Description": "A new look at the myths of the Greek seen as portions of a much older, lost historical tradition. A detailed on-line book by William Harris, Professor Emeritus, Middlebury College.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://community.middlebury.edu/~harris/SubIndex/greekmyth.html"} +{"d:Title": "Ancient Greek Mythology", "d:Description": "Covers the Olympian gods and goddesses, heroes and major mythological stories.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.historylink102.com/greece2/index.htm"} +{"d:Title": "Hesiod, the Homeric Hymns and Homerica", "d:Description": "Part of the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://omacl.org/Hesiod/hymns.html"} +{"d:Title": "Medea's Lair", "d:Description": "A collection of mythology stories from ancient Greece, with paintings to compliment the pages.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.medeaslair.net/"} +{"d:Title": "Greek Mythology", "d:Description": "Devoted to the early Greek mythology from the Iliad to the fall of the last tyrant.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://messagenetcommresearch.com/myths/"} +{"d:Title": "Mythman - Greek Mythology", "d:Description": "Modern style re-telling of the Greek Myths. A new one each month. Illustrated and in a fun style.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek", "url": "http://www.thanasis.com/myth.htm"} +{"d:Title": "Theoi Project Guide to Greek Mythology", "d:Description": "Encyclopedia of Greek gods, spirits and monsters with detailed individual biographies. Quotes from classical literature, gallery of Greek and Roman art and e-texts classics library.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.theoi.com/"} +{"d:Title": "MythWeb", "d:Description": "Kidsafe site devoted to the heroes, gods and monsters of Greek mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.mythweb.com/"} +{"d:Title": "Principal Gods Family Tree", "d:Description": "From Edith Hamiltion's Mythology, with clickable links to each god and goddess.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.desy.de/gna/interpedia/greek_myth/godsFT.html"} +{"d:Title": "Greek Gods", "d:Description": "Table of the gods and goddesses, some with descriptions.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.mythome.org/greek.html"} +{"d:Title": "Greek Deities", "d:Description": "Basic information on several Greek deities, with links.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.teenwitch.com/DEITY/GREEK/LISTGK.HTM"} +{"d:Title": "Encyclopedia Mythica: Greek Mythology", "d:Description": "Lists, tables of genealogy, and information on the Greek gods and goddesses.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.pantheon.org/areas/mythology/europe/greek/articles.html"} +{"d:Title": "Greek Gods and Goddesses", "d:Description": "Learn everything about Greek Gods and Goddesses.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://pantheonofgreece.blogspot.com/"} +{"d:Title": "Gods and Goddesses of Ancient Greece", "d:Description": "Greek gods, goddesses and heroes of ancient Greece. Myths of Greek gods, picture galleries and mythology quizzes.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.greek-gods.info/"} +{"d:Title": "Family Tree of Greek Gods and Goddesses", "d:Description": "A visual genealogy list of the Greek gods and goddesses.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.windows2universe.org/mythology/greek_family_tree.html"} +{"d:Title": "Greek Mythology Links", "d:Description": "Individual pages on the gods and goddesses, with myths, ancient sources, and lists of mates and offspring.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses", "url": "http://www.maicar.com/GML/"} +{"d:Title": "The Greek Heroes", "d:Description": "By Charles Kingsley (1894), e-text from the Baldwin Project.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://www.mainlesson.com/display.php?author=kingsley&book=heroes&story=_contents"} +{"d:Title": "The Golden Fleece and the Heroes Who Lived before Achilles", "d:Description": "Retelling of the classic myth by Padraic Colum, illustrated by Willy Pog\u00e1ny; e-text at Bartleby.com.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://www.bartleby.com/72/"} +{"d:Title": "Trojan War Net", "d:Description": "Learn about the Trojan War and the people who took part in it, why Menelaus was fat, and get homework help.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://www.angelfire.com/weird2/randomstuff/"} +{"d:Title": "Jason's Angels", "d:Description": "A look at Jason and the Argonauts as not so much heroes as a biker gang.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://ancienthistory.about.com/library/weekly/aa092199.htm"} +{"d:Title": "Hector", "d:Description": "Hector - a great character in Greek mythology, fearless fighter in the Trojan War, hero of Troy", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://www.hector.com/"} +{"d:Title": "Achaean Leaders, Greek Mythology Link", "d:Description": "Carlos Parada explains who each of the Greek leaders in the Trojan War were and lists the troops under their command.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://www.maicar.com/GML/ACHAEANLEADERS.html"} +{"d:Title": "Heroic Behavior [About.com]", "d:Description": "The rules governing heroic behavior that guided ancient heroes like Achilles.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://ancienthistory.about.com/od/achilles/a/aa092899a.htm"} +{"d:Title": "Story of the Trojan War - The Sequence of Events [About.com]", "d:Description": "The sequence of major events in the Trojan War and The Iliad of Homer beginning with the theft, by Paris, of Helen of Troy.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://ancienthistory.about.com/cs/troyilium/a/trojanwar.htm"} +{"d:Title": "Achilles", "d:Description": "Basic information on Achilles and his role in the Trojan War.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes", "url": "http://ancienthistory.about.com/cs/achilles/g/Achilles.htm"} +{"d:Title": "Hercules", "d:Description": "An overview of the life of ancient Greek hero Herakles.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes/Heracles", "url": "http://www.royalty.nu/legends/Hercules.html"} +{"d:Title": "Hercules, Greece's Greatest Hero", "d:Description": "Exhibition from the Perseus project, featuring a bio, map, and stories, with images from Greek pottery.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes/Heracles", "url": "http://www.perseus.tufts.edu/Herakles/"} +{"d:Title": "Hercules - Labors - The Sources [About.com]", "d:Description": "A look at what the ancient sources say were the tasks Hercules had to perform for Eurystheus.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes/Heracles", "url": "http://ancienthistory.about.com/od/twelvelabors/a/aa040301a.htm"} +{"d:Title": "Who Was Hercules?", "d:Description": "The many faces of Hercules.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes/Heracles", "url": "http://ancienthistory.about.com/od/hercules/a/Hercules.htm"} +{"d:Title": "Hercules' Mates", "d:Description": "A list of the mates and offspring of Hercules.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Heroes/Heracles", "url": "http://ancienthistory.about.com/od/hercules/qt/HerculesKids.htm"} +{"d:Title": "The Nine Muses Of Ancient Greece", "d:Description": "Table showing the nine Muses of Ancient Greece with their literal name translation, pronunciation, domain, and attributes.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Muses", "url": "http://www.angelfire.com/wy/intellectualloafing/activitiesfolder/beinformedfolder/theninemuses.htm"} +{"d:Title": "Muses", "d:Description": "Encyclopedia Mythica article on the one, three, or nine Muses.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Muses", "url": "http://www.pantheon.org/articles/m/muses.html"} +{"d:Title": "The Shrine of the Goddess Athena", "d:Description": "Online shrine with a museum, encyclopedia, atlas, and athenaeum with contemporary reflections on the goddess.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians", "url": "http://www.goddess-athena.org/"} +{"d:Title": "Aphrodite", "d:Description": "Biography, lovers and offspring, along with images of the goddess of love.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians", "url": "http://www.angelfire.com/tx5/aphrodite/"} +{"d:Title": "Ares", "d:Description": "Encyclopedia Mythica article on the Greek god of war.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians", "url": "http://www.pantheon.org/articles/a/ares.html"} +{"d:Title": "About.com: Olympians", "d:Description": "Basic information on the eight Greek gods and six goddesses.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians", "url": "http://ancienthistory.about.com/od/greekgodsoly/tp/052209GreekGoddesses.htm"} +{"d:Title": "Artemis", "d:Description": "Profile of the goddess, including images and list of links.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians/Artemis", "url": "http://www.teenwitch.com/DEITY/GREEK/ARTEMIS.HTM"} +{"d:Title": "Artemis Transitions Goddess", "d:Description": "The virginal hunting goddess, Artemis smooths life's transitions.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians/Artemis", "url": "http://ancienthistory.about.com/od/artemisdianamyth/a/ArtemisGoddess.htm"} +{"d:Title": "Dionysos Links", "d:Description": "Links to all things Dionysos, Dionysus, or Bacchus.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians/Dionysus", "url": "http://www.baubo5.com/dionysos.html"} +{"d:Title": "Dionysos-L", "d:Description": "E-mail list for discussion of Dionysos in all his forms, ancient and modern.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians/Dionysus", "url": "http://groups.yahoo.com/group/Dionysos-l/"} +{"d:Title": "Dionysus - The God a Foolish Mortal Imprisoned", "d:Description": "Different names for Dionysus and the story of his family, based on Euripides.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Greek/Gods_and_Goddesses/Olympians/Dionysus", "url": "http://ancienthistory.about.com/od/dionysusmyth/a/Dionysus.htm"} +{"d:Title": "Animals of Indian Mythology", "d:Description": "On the role of animals and animal gods in Hindu mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.kamat.com/kalranga/prani/animals.htm"} +{"d:Title": "Gods in Hindu Dharma", "d:Description": "The concept of God in Hindu religion, with an overview of the major deities and their manifestations.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.hindunet.org/god/"} +{"d:Title": "Kamat's Potpourri - Mythology", "d:Description": "Index of topics at Kamat's Potpourri on the mythology of India.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.kamat.com/kalranga/mythology/"} +{"d:Title": "Sages, Rushis&Saints", "d:Description": "Vignettes on the more important sages from mythology as well as from history.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.freeindia.org/biographies/sages/"} +{"d:Title": "Stories from Indian Mythology for Children", "d:Description": "Popular tales summarized for children to enjoy, including the Ramayana and the Mahabharata.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.indolink.com/Kidz/mythology.html"} +{"d:Title": "Indian Mythology", "d:Description": "A glimpse into the rich mythology, various gods, goddesses and legends of India with some detailed information and colorful images.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.webonautics.com/mythology/mythology.html"} +{"d:Title": "Indian Mythology", "d:Description": "A substantial collection of links to individual stories on the web, and indices on the terminology and principal characters.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://indianmythology.com/"} +{"d:Title": "Mythology Stories of India", "d:Description": "Retelling for children of many stories such of the origin of Ganesha, and the Ramayana.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.indolink.com/Kidz/"} +{"d:Title": "Hindu Gods", "d:Description": "Spiritual purpose, physical characteristics, and places of worship of Hindu deities.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.indian-heritage.org/gods/gods.htm"} +{"d:Title": "Lakshmi and Saraswati - Tales in Mythology and Art", "d:Description": "Accounts of the goddesses Lakshmi and Saraswati, with examples of images.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian", "url": "http://www.exoticindiaart.com/lakshmiandsaraswati.htm"} +{"d:Title": "The Laws of Manu", "d:Description": "A translation of the first chapter of the Book of Manu, in which is described the creation of the cosmos, caste, and sacred law.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Indian/Creation", "url": "http://www.sacred-texts.com/hin/manu/manu01.htm"} +{"d:Title": "Japanese Mythology", "d:Description": "Basic overview of Japan's deities and myths.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Japanese", "url": "http://www.interq.or.jp/www-user/fuushi/e-myth-a.htm"} +{"d:Title": "Japanese Myth", "d:Description": "Short retellings of Japanese myths.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Japanese", "url": "http://www.st.rim.or.jp/~cycle/myrefE.HTML"} +{"d:Title": "Amaterasu: Out of the Cave and Into the Light", "d:Description": "A tale of the Sun Goddess Amaterasu and the Storm God Susano-O.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Japanese", "url": "http://www.lyricalworks.com/stories/amaterasu/amaterasu.htm"} +{"d:Title": "Japanese Mythology", "d:Description": "Brief overview of Japanese deities, demons, and ghosts with art work.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Japanese", "url": "http://www.artelino.com/articles/japanese_mythology.asp"} +{"d:Title": "The Kojiki", "d:Description": "Excerpts of the \"Kojiki\", an 8th century official Japanese history that starts with the creation of the world, the deities, and Japan.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Japanese/Creation", "url": "http://www.sacred-texts.com/shi/kojiki.htm"} +{"d:Title": "The Life of Adam and Eve: The Biblical Story in Judaism and Christianity", "d:Description": "Research report by Gary A. Andersons discussing and interpreting the different versions of the apocryphal story of \"The Life of Adam and Eve.\"", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Judaic", "url": "http://www2.iath.virginia.edu/anderson/"} +{"d:Title": "Virgin Land: The American West As Symbol and Myth", "d:Description": "By Henry Nash Smith, e-text version prepared by the American Studies Group at The University of Virginia.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Modern_Myths", "url": "http://xroads.virginia.edu/~HYPER/HNS/home.htm"} +{"d:Title": "In Search of Cupid and Psyche", "d:Description": "Course materials on myth and legend in children's literature.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Modern_Myths", "url": "http://www.scils.rutgers.edu/special/mjoseph/course_outline.html"} +{"d:Title": "Tragedy's Workshop", "d:Description": "David Sheppard's e-books exploring ancient Greek mythology and culture in the American experience.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Modern_Myths", "url": "http://greek-myth.com/"} +{"d:Title": "Laura Strong's Mythic Arts", "d:Description": "Writings on mythology, psychopomps, death and the afterlife, ritual and symbolism, and creativity.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Modern_Myths", "url": "http://www.mythicarts.com/"} +{"d:Title": "Verlyn Flieger - Mythus", "d:Description": "Specialist in myth studies and comparative mythology with a focus on modern fantasy novels.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Mythologists", "url": "http://mythus.com/"} +{"d:Title": "Mythsinger - Explorations in Performative Mythology", "d:Description": "Daniel Deardorff focuses on apprehending myth by performing.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Mythologists", "url": "http://www.mythsinger.com/"} +{"d:Title": "The Structural Study of Myth", "d:Description": "Excerpt from L\u00e9vi-Strauss' article, including his analysis of the Oedipus myth.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Mythologists", "url": "http://mural.uv.es/madelro/myth.html"} +{"d:Title": "The Joseph Campbell Foundation", "d:Description": "A non-profit membership organisation that perpetuates Campbell's pioneering work by collecting and cataloging his books, papers, and recorded lectures on the sigificance of mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Mythologists/Campbell,_Joseph", "url": "http://www.jcf.org/"} +{"d:Title": "Yahoo! Groups: Joseph Campbell Mythology Group", "d:Description": "Exploring the world of archetype, myth, and symbol.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Mythologists/Campbell,_Joseph", "url": "http://groups.yahoo.com/group/josephcampbellmythologygroup/"} +{"d:Title": "Mythic Journeys", "d:Description": "Conference (June 2004, Atlanta, Georgia, USA) exploring story and ritual in contemporary life, art, education and psychology during the Joseph Campbell Centennial.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Mythologists/Campbell,_Joseph", "url": "http://www.mythicjourneys.org/"} +{"d:Title": "Joseph Campbell's Mythic Journey", "d:Description": "Biography, and personal reminiscences of Jonathan Young.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Mythologists/Campbell,_Joseph", "url": "http://www.folkstory.com/campbell/campbell.html"} +{"d:Title": "The Encyclopedia of Hotc\u00e2k (Winnebago) Mythology", "d:Description": "Articles, stories, and histories, edited and compiled by Richard L. Dieterle, with genealogies, bibliography, and links.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://hotcakencyclopedia.com/"} +{"d:Title": "Traditions of the Hopi", "d:Description": "By H. R. Voth (1905), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://www.sacred-texts.com/nam/hopi/toth/"} +{"d:Title": "Tales of the North American Indians", "d:Description": "Anthologized by Stith Thompson (1929), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://www.sacred-texts.com/nam/tnai/"} +{"d:Title": "White Buffalo Calf Woman Brings the First Pipe", "d:Description": "A Lakota myth as told by Joseph Chasing Horse.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://www.kstrom.net/isk/arvol/buffpipe.html"} +{"d:Title": "Aadizookaan - Traditional Stories, Legends, and Myths", "d:Description": "Extensive collection of annotated links to traditional stories, myths, and legends from many different Native American Nations.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://www.kstrom.net/isk/stories/myths.html"} +{"d:Title": "Haliksai! This Is How It Was", "d:Description": "Hopi myth excerpted from Harry C. James' book \"Pages From Hopi History.\"", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://www.manataka.org/page143.html"} +{"d:Title": "The Thunder-bird Amongst the Algonkins", "d:Description": "Article by A. F. Chamberlain (1890?), e-text from Early Canadiana Online.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://eco.canadiana.ca/view/oocihm.02953/3?r=0&s=1"} +{"d:Title": "The Myth of Hiawatha and Other Oral Legends, Mythologic and Allegoric, of the North American Indians", "d:Description": "By Henry R. Schoolcraft (1856), e-text from Early Canadiana Online.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://eco.canadiana.ca/view/oocihm.43244/5?r=0&s=1"} +{"d:Title": "Notes on Eskimo Traditions", "d:Description": "Article by Harlan I. Smith (1893?), e-text from Early Canadiana Online.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://eco.canadiana.ca/view/oocihm.17720/2?r=0&s=1"} +{"d:Title": "The Myths of the New World: A Treatise on the Symbolism and Mythology of the Red Race of America", "d:Description": "By Daniel G. Brinton (1868), e-text from Early Canadiana Online.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American", "url": "http://eco.canadiana.ca/view/oocihm.02056/3?r=0&s=1"} +{"d:Title": "Life and Death in Ancient Mexico", "d:Description": "Exhibition from MesoWeb seeking to understand human sacrifice among the Olmec and Aztec.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American", "url": "http://www.mesoweb.com/features/life_death/life00.html"} +{"d:Title": "Man-Like Gods and Deified Men in Mexican Cosmolore", "d:Description": "Article by Anna-Britta Hellbom discussing perceptions of cosmic realities visualized in god-like images and conceptualised in traditional lore.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American", "url": "http://folklore.ee/folklore/vol10/mexico.htm"} +{"d:Title": "Native Origins", "d:Description": "Information on native American arts, crafts, poetry and protests.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American", "url": "http://groups.yahoo.com/group/nativeorigins/"} +{"d:Title": "The Queen With One Hundred Lovers", "d:Description": "An Aztec legend, incorporating themes of sacrifice and royalty.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American/Aztec", "url": "http://www.astronomy.pomona.edu/archeo/nmexico/aztec.folktale.html"} +{"d:Title": "Aztec Religion", "d:Description": "A guide by Thomas H. Frederiksen to all aspects of Aztec religion, with bibliography.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American/Aztec", "url": "http://www.philtar.ac.uk/encyclopedia/latam/aztec.html"} +{"d:Title": "Popol Vuh - A Creation Story", "d:Description": "Abstract of the tale.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American/Maya", "url": "http://www.meta-religion.com/World_Religions/Ancient_religions/Central_america/popol_vuh_introduction.htm"} +{"d:Title": "Mayan Gods", "d:Description": "Brief list and descriptions of the principle Mayan gods.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American/Maya", "url": "http://www.interfaith.org/mesoamerica/mayan-gods/"} +{"d:Title": "The Popol Vuh - The Creation Story of the Maya", "d:Description": "An excerpt from the book by Dennis Tedlock.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Central_American/Maya", "url": "http://www.criscenzo.com/jaguarsun/popolvuh.html"} +{"d:Title": "The Navajo Creation Story", "d:Description": "Unattributed recounting of the Din\u00e9 origin myth.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Creation", "url": "http://www.lapahie.com/Creation.cfm"} +{"d:Title": "Blackfoot Creation and Origin Myths", "d:Description": "Translation of the stories.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Creation", "url": "http://www.pitt.edu/~dash/blkftcreation.html"} +{"d:Title": "The Popol Vuh - The Creation Story of the Maya", "d:Description": "An excerpt from the book by Dennis Tedlock.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/Creation", "url": "http://www.criscenzo.com/jaguarsun/popolvuh.html"} +{"d:Title": "Deity of Sky: One Way to Interpret the Moche Icongraphy", "d:Description": "Article by Tarmo Kulmar discussing the religion of the Moche, a pre-Columbian Peruvian civilisation, on the basis of archaeological findings.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/South_American", "url": "http://folklore.ee/folklore/vol10/sky.htm"} +{"d:Title": "The Myths of Mexico and Peru", "d:Description": "By Lewis Spence (1913), e-text at Internet Sacred Texts Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/South_American", "url": "http://www.sacred-texts.com/nam/mmp/"} +{"d:Title": "Inca Creation and Origin myths", "d:Description": "Article by Tarmo Kulmar discussing the role of creation and origin myths in the development of Inca state and religion.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Native_American/South_American", "url": "http://folklore.ee/folklore/vol12/inca.htm"} +{"d:Title": "In the Days of Giants: A Book of Norse Tales", "d:Description": "By Abbie Farwell Brown (1902), e-text from the Baldwin Project.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://www.mainlesson.com/display.php?author=brown&book=giants&story=_contents"} +{"d:Title": "Viking/Norse Religion", "d:Description": "Introduction to the pre-Christian religious beliefs of the Scandinavian people.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://www.feri.com/dawn/religion.html"} +{"d:Title": "Norse Mythology", "d:Description": "List of names from Norse mythology, from Infoplease.com.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://www.infoplease.com/ipa/A0197623.html"} +{"d:Title": "Sagnanet", "d:Description": "Icelandic medieval literature online from Cornell Library.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://saga.library.cornell.edu/"} +{"d:Title": "Germanic Mythology", "d:Description": "Student project by Bridget Herrera, covering cosmography and the pantheon.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://users.dickinson.edu/~eddyb/mythology/Cover_page.html"} +{"d:Title": "A-Z of Norse Mythology", "d:Description": "A light hearted look at Norse mythology. Fully cross-referenced gods, giants, heroes and legends from Scandinavia.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://www.godslaidbare.com/pantheons/norse/index.php"} +{"d:Title": "Scandinavian Mythology and Folklore", "d:Description": "List of names in Norse mythology and Scandinavian folklore, compiled by Scott Trimble.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://www.ststlocations.com/Archives/Scandinavian/"} +{"d:Title": "Timeless Myths: Norse Mythology", "d:Description": "Explore the myths of Norse gods and heroes in ancient and medieval literature.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse", "url": "http://norse.timelessmyths.com/"} +{"d:Title": "The Poetic Edda", "d:Description": "As translated by Henry Adams Bellows (1936), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse/Edda", "url": "http://www.sacred-texts.com/neu/poe/"} +{"d:Title": "The Prose Edda", "d:Description": "As translated by Arthur Gilchrist Brodeur (1916), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Norse/Edda", "url": "http://www.sacred-texts.com/neu/pre/"} +{"d:Title": "Legends of Guam II", "d:Description": "Four myths and etiological folktales (in Chamorro and English).", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://guam.org.gu/hemplo/"} +{"d:Title": "The Kumulipo - A Hawaiian Creation Chant", "d:Description": "By Martha Warren Beckwith (1951), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/ku/"} +{"d:Title": "Oceanic Mythology", "d:Description": "By Roland B. Dixon (1916), containing myths and tales of the Oceanic islands, Indonesia, and Australia.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/om/"} +{"d:Title": "Maori Religion and Mythology", "d:Description": "By Edward Shortland (1882); e-text at the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/mrm/"} +{"d:Title": "Hawaiian Legends of Volcanoes", "d:Description": "By W. D. Westervelt (1916), e-text at the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/hlov/"} +{"d:Title": "Hawaiian Legends of Ghosts and Ghost-Gods", "d:Description": "By W. D. Westervelt (1916), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/hlog/"} +{"d:Title": "Hawaiian Legends of Old Honolulu", "d:Description": "Myths and tales from the area around Honolulu, by W. D. Westervelt.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/hloh/"} +{"d:Title": "Legends of Ma-ui, A Demi God of Polynesia, and of His Mother Hina", "d:Description": "By W. D. Westervelt (1910), e-text at the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/maui/"} +{"d:Title": "Polynesian Mythology&Ancient Traditional History of the New Zealanders As Furnished by their Chiefs and Priests", "d:Description": "By George Grey (1854), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Oceanic", "url": "http://www.sacred-texts.com/pac/grey/"} +{"d:Title": "The Mythology of Saturn", "d:Description": "Profile of the harvest deity Saturn.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Roman", "url": "http://members.tripod.com/~Avalon100/Saturn.html"} +{"d:Title": "Roman Goddesses Realm", "d:Description": "Goddess names, attributes and descriptions.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Roman", "url": "http://inanna.virtualave.net/roman.html"} +{"d:Title": "Owls in Roman Mythology", "d:Description": "Article on the role of owls in Roman mythology.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Roman", "url": "http://www.owlpages.com/mythology/roman.html"} +{"d:Title": "Roman Mythology", "d:Description": "Basic information on Roman deities and myths, including a quiz.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Roman", "url": "http://www.angelfire.com/geek/romanmyth/"} +{"d:Title": "Roman Deities", "d:Description": "Basic information on a dozen Roman deities, with links.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Roman", "url": "http://www.teenwitch.com/DEITY/ROMAN/LISTRM.HTM"} +{"d:Title": "UNRV Roman History", "d:Description": "A detailed look into the gods, religion and mythology of the Roman World.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Roman", "url": "http://www.unrv.com/culture/mythology.php"} +{"d:Title": "Roman Gods and Goddesses", "d:Description": "A table of deities of the Roman religion along with their attributes, compiled by Gregory Flood.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Roman", "url": "http://ancienthistory.about.com/od/romangods/a/101910-Roman-Gods-And-Goddesses.htm"} +{"d:Title": "Songs of the Russian People", "d:Description": "Study of folksongs and the ancient traditions reflected therein.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Slavic", "url": "http://www.sacred-texts.com/neu/srp/"} +{"d:Title": "Dazhdbog in Russian Mythology", "d:Description": "Explains the relationships of Russian deities and includes history of mythological words and names.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Slavic", "url": "http://www.ibiblio.org/sergei/Dazhdbog.html"} +{"d:Title": "The Princess Libushe: A Czech Legend", "d:Description": "Story of a time when woman-rule by three wise sisters was questioned by their people, causing the sisters to turn power over to a mysterious peasant who became Princess Libushe's husband.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Slavic", "url": "http://www.theosophy-nw.org/theosnw/world/anceur/eu-ggkn.htm"} +{"d:Title": "Russian Folklore", "d:Description": "Extensive listing of websites that feature such legends as the firebird, the Baba Yaga, byliny, skazki from the University of Alabama's Russian Folklore department.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Slavic", "url": "http://www.bama.ua.edu/~adrozd/rus252/folklorelinks.html"} +{"d:Title": "Lugalbanda Epic", "d:Description": "A lay version of the Sumerian epic depicting the exploits of Lugalbanda, the father of Gilgamesh.", "topic": "Top/Arts/Literature/Myths_and_Folktales/Myths/Sumerian", "url": "http://www.piney.com/BabLugalb.html"} +{"d:Title": "ZBS", "d:Description": "Features contemporary audio drama, from original and published sources. (Requires Apple QuickTime 4.)", "topic": "Top/Arts/Literature/Performance", "url": "http://www.zbs.org/"} +{"d:Title": "Spoken Interludes", "d:Description": "A monthly salon for stories featuring writers and actors reading their own work and the work of others.", "topic": "Top/Arts/Literature/Performance", "url": "http://www.spokeninterludes.com/"} +{"d:Title": "John Adams Institute", "d:Description": "Promotes American literature and culture in Europe by bringing authors and other speakers to Amsterdam to give lectures. With lecture details and membership information.", "topic": "Top/Arts/Literature/Performance", "url": "http://www.john-adams.nl/"} +{"d:Title": "LibriVox", "d:Description": "Extensive collection of free audio books read by volunteers; the goal is to record every book in the public domain.", "topic": "Top/Arts/Literature/Performance", "url": "http://librivox.org/"} +{"d:Title": "Telltale Weekly", "d:Description": "Seeks to record, produce, and sell performances of at least 50 public domain texts per year, with the intention of releasing them under the Creative Commons Attrubition Non-Commercial License five years after their first appearance on the site (or after a hundred-thousand purchases of the recording, whichever comes first).", "topic": "Top/Arts/Literature/Performance", "url": "http://alexwilson.com/telltale/"} +{"d:Title": "Luminarium.org", "d:Description": "Aniina Jokinen's scholarly and entertaining take on Medieval, Renaissance and 17th century English literature: Texts, essays and articles, illustrations and music.", "topic": "Top/Arts/Literature/Periods_and_Movements", "url": "http://www.luminarium.org/lumina.htm"} +{"d:Title": "Postcolonial and Postimperial Literature in English", "d:Description": "Articles on relevant writers, culture and theory. Based at the National University of Singapore.", "topic": "Top/Arts/Literature/Periods_and_Movements", "url": "http://www.postcolonialweb.org/"} +{"d:Title": "Gothic Undercurrents: Instructor Overview", "d:Description": "Essay on the American Gothic movement of the nineteenth century, with a timeline, bibliography, and teachers' notes.", "topic": "Top/Arts/Literature/Periods_and_Movements", "url": "http://www.learner.org/amerpass/unit06/instructor.html"} +{"d:Title": "Psychedelic '60s: Literary Tradition and Social Change", "d:Description": "A broad historical overview of the culture and literature of the '60s.", "topic": "Top/Arts/Literature/Periods_and_Movements", "url": "http://explore.lib.virginia.edu/exhibits/show/sixties"} +{"d:Title": "Michael McClure&Ray Manzarek Official Website", "d:Description": "Beat poet McClure and former Door's band member Manzarek's website focuses on their collaboration of music and poetry projects and publications, performances, books, CDs, and videos.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://mcclure-manzarek.com/"} +{"d:Title": "Naropa University - Audio Archive Project", "d:Description": "Massive oral collection from Buddhist university; includes Allen Ginsberg, Gregory Corso, William S. Burroughs, Philip Whalen, Michael McClure, Gary Snyder, Diane DiPrima, Lawrence Ferlinghetti.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.archive.org/details/naropa"} +{"d:Title": "Beat Quotes", "d:Description": "Quotes by or pertaining to a beat author.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.angelfire.com/al/filosofy/beatquote.html"} +{"d:Title": "American Museum of Beat Art", "d:Description": "Museum located in Pasadena, California. Featuring writers, poets, film, artists, photographs, manifestos, and critical writing.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.beatmuseum.org/"} +{"d:Title": "Literary Kicks", "d:Description": "A free-form study of Beat Literature, literary community and underground culture.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.litkicks.com/"} +{"d:Title": "Beatscene", "d:Description": "Magazine which documents and highlights the writers, poets, musicians and artists of America's Beat Generation.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.beatscene.net/"} +{"d:Title": "Death Of The Beat Generation", "d:Description": "Gay Today magazine article by Jesse Monteagudo, written following the deaths of gay Beat writers William Burroughs, Allen Ginsberg and Herbert Huncke, on their impact on modern culture.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://gaytoday.badpuppy.com/garchive/viewpoint/102797vi.htm"} +{"d:Title": "Hibblen Radio - Beat Generation", "d:Description": "A portfolio website for CBS News Radio reporter Michael Hibblen featuring sound clips of the Beat Generation", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.hibblenradio.com/beat.html"} +{"d:Title": "The Wild Bohemian Home Page", "d:Description": "Colin Pringle's articles and directory on wild cats and chicks, Hippies, the Beat Generation, Bohemian bands, outlaw bikers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://wild-bohemian.com/"} +{"d:Title": "Semiology: Beats Vs. Beatniks In 1950s American Culture", "d:Description": "by Grant L. Allen. Transition from beat idea to beatnik myth distorted the original almost beyond recognition.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://rant.bizland.com/beatnik.html"} +{"d:Title": "Goodie Magazine", "d:Description": "Lost Beat poets", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.goodie.org/"} +{"d:Title": "Ashcan Rantings and Kind King Light of Mind", "d:Description": "Why the Beats Still Matter by actor J.C. Shakespeare", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.altx.com/io/beatgeneration.html"} +{"d:Title": "Amram, David. \"A vanishing America?:", "d:Description": "Kerouac memories, a Guthrie symphony and a trip to Nathan\u2019s Coney Island hot dog emporium", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.popmatters.com/chapter/Issue3/amram.html"} +{"d:Title": "Paul Bowles Photographs", "d:Description": "Literary friends of writer Bowles, visiting him in Tangier in 1950s and 1960s. Includes Ginsberg, Burroughs, Corso.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.paulbowles.org/photoslit3.html"} +{"d:Title": "Six Poets at Six Gallery", "d:Description": "October 7, 1955 reading with Michael McClure, Philip Lamantia, Allen Ginsberg, Gary Snyder, Phil Whalen, Kenneth Rexroth, San Francisco. First reading of \"Howl\" by Ginsberg. Start of modern oral poetry tradition.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.mundomundo.com/6-poets.html"} +{"d:Title": "Cultural Chronology of Early Beat Generation Literature", "d:Description": "Annotated list of beat publication dates and events, by Larry Smith (Firelands College of BGSU)", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.connectotel.com/beat/beatchr1.html"} +{"d:Title": "James Mechem, Beat Writer and Publisher, New York", "d:Description": "Caprice magazine and Bowery Poetry Club founder; interviewed on his 80th birthday in 2003 by poet Denise Low, original photographs.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/mechemlow.html"} +{"d:Title": "A Blackout Tavern", "d:Description": "Study in 1960s Folklore, by Pat O'Connor. The 1960s hippie scene in Wichita, KS. Includes photographs, and covers the first large LSD bust in Kansas.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/ablackout.html"} +{"d:Title": "Beats In Kansas: the Beat Generation in the Heartland", "d:Description": "Collection of links and original articles. Many Beats were from Kansas. Original photos of William Burroughs, Allen Ginsberg, Michael McClure, Charles Plymell.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/"} +{"d:Title": "Ruined Time: The 1950s and the Beat", "d:Description": "Memoir of the Great Depression, World War II, and the 1950s with an uniquely Beat outlook.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.ruinedtime.com/"} +{"d:Title": "Remembering William Burroughs and Allen Ginsberg", "d:Description": "Personal observations by poet Jim McCrary, a longtime friend of Burroughs, and his office manager for ten years.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/mccrary.html"} +{"d:Title": "Haight Ashbury Song Book", "d:Description": "by Ashleigh Brilliant, 1967, San Francisco's \"Songs of love and haight.\" Beat Generation to hippie transition.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/haightashburysongbook.html"} +{"d:Title": "Larry Keenan - Beat Generation&Counter-Culture Photography Galleries", "d:Description": "Featuring Keenan's famed fine art photographs of the Beat Generation and Hippies and counterculture, from 1964 to now. Includes a biography and exhibitions, publications. No photographer was closer to the Beats.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://emptymirrorbooks.com/keenan/"} +{"d:Title": "Moody's Skidrow Beanery", "d:Description": "In Wichita, Kansas, Moody Connell believed in a mix of hoboes and Beats and served them simple fare in a place to congregate, by Pat O'Connor.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/oconnor.html"} +{"d:Title": "Philomene Long -- Interview for Ruta 66", "d:Description": "By Jordi Pujol Nadal. Greenwich Village native and the Beat Queen of Venice, CA, poet Long and her late husband, poet John Thomas, helped create the Los Angeles poetry scene.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.emptymirrorbooks.com/thirdpage/phlmbio.html"} +{"d:Title": "Stuart Perkoff / Philomene Long - Death Bed Conversation", "d:Description": "A central figure in the Venice Beat scene, poet Perkoff died at age 44 on June 24, 1974. Beat Poet Long was his lover.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.emptymirrorbooks.com/thirdpage/withperkoff.html"} +{"d:Title": "William Burroughs&Allen Ginsberg: PJs photograph", "d:Description": "Morning in Burroughs' house, with Beat founders in old fashion cotton pajamas. 1984, by Pat Elliott, Lawrence, KS", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/burroughsginsberg.html"} +{"d:Title": "Wikipedia: Beat Generation", "d:Description": "Detailed article lists principal writers in New York, San Francisco and elsewhere, and explains links to music, visual arts, and drug and alcohol use. With section on anti-Beats such as Norman Podhoretz.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://en.wikipedia.org/wiki/Beat_Generation"} +{"d:Title": "Brautigan, Richard (1935-1984)", "d:Description": "Bibliography and information about the writer told in text, images, and other resources. Maintained by John F. Barber.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.brautigan.net/"} +{"d:Title": "Henry Miller and William Burroughs: An Overview", "d:Description": "Reality Studio's: the influence of Henry Miller on Burroughs at Harvard in September 1935, his senior year, when the Harvard Advocate printed Miller, his first publication in America.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://realitystudio.org/scholarship/henry-miller-and-william-burroughs-an-overview/"} +{"d:Title": "Oliver Harris on Burroughs", "d:Description": "Dr. Harris, a leading Beat scholar on \"William Burroughs and the Composite Text,\" presented at the 4th Annual Symposium on Textual Studies, De Montfort University, Leicester, UK; 25 May 2007.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://realitystudio.org/scholarship/cutting-up-the-archive-william-burroughs-and-the-composite-text/"} +{"d:Title": "Malcolm McNeill Interview on William Burroughs, Ah Puch Is Here", "d:Description": "Emmy award winning artist McNeill worked with Burroughs in London during early 1970s, on comic series, The Unspeakable Mr. Hart, and graphic novel, Ah Puch Is Here (aka, Ah Pook is Here), from Beats In Kansas, 2007", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/malcolmmcneill.html"} +{"d:Title": "Robert Cass, New Orleans Beat Legend", "d:Description": "Dennis Formento interviews Robert Cass, New Orleans' oldest living beatnik, 1999. Cass published \"Climax: A Creative Review in the Jazz Spirit\" in 1955 and 1956 from the bar, A Quarterite Place, 733 Bourbon St. It was among the earliest of the Beat literature.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.alaronowitz.com/column75k.html"} +{"d:Title": "Beat-L", "d:Description": "An online discussion forum devoted to the study of the lives and works of the writers of the Beat Generation, especially Jack Kerouac, Allen Ginsberg, and William Burroughs.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.writing.upenn.edu/~afilreis/88/beat-list.html"} +{"d:Title": "The Beat Page", "d:Description": "Biographies, photos and included works of Beat Generation writers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.rooknet.net/beatpage/"} +{"d:Title": "This is the Beat Generation", "d:Description": "by John Clellon Holmes, A 26-year-old defines his times, New York Times Magazine, Nov. 16, 1952. Very early essay on Beats; Holmes also wrote novel \"Go\" in 1952, the first book to cover Kerouac, Ginsberg, et al.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.rooknet.net/beatpage/writers/holmes.html"} +{"d:Title": "Ashleigh Brilliant", "d:Description": "artist and writer, creator of pot-shots cartoons, famous for their 17-word limit.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.ashleighbrilliant.com/writings.html"} +{"d:Title": "Allen Ginsberg and his world: photos by Gordon Ball", "d:Description": "Ball edited three books with poet Allen Ginsberg, including Pulitzer Prize nominee \"Allen Verbatim.\"", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.jacketmagazine.com/33/gb/index.html"} +{"d:Title": "Back Beat", "d:Description": "Jack Kerouac and William S. Burroughs's co-authored noir novel, \"And the Hippos Were Boiled in Their Tanks\" resurfaces. How the post-Beats make money, by infamous boxing sports writer, Beat George Kimball.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://thephoenix.com/Boston/Arts/70366-Back-Beat/"} +{"d:Title": "Corban LePell letter on art", "d:Description": "Beat artist LePell writes on art in a letter to student Sylvia Smith Kleindinst, 1955", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/corbanlepell/letter.html"} +{"d:Title": "Birth of The Beats is Born, 64 years later", "d:Description": "James Grauerholz on William Burroughs and Jack Kerouac 1946 book, \"And the Hippos Were Boiled in their Tanks,\" the first writing by later Beat literature giants, finally published in 2008.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.lawrence.com/news/2008/nov/17/birth_beats_born_68_years_later/"} +{"d:Title": "Beat Generation in Tangiers", "d:Description": "Author Chuck Woww visits Tangiers and writes to Beat William Burroughs about it, with photographs of Cafe Centrale and the Tangier beach, 2008.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/burroughstangiers.html"} +{"d:Title": "Taylor Mead - The Bowery Poetry Club - Part I", "d:Description": "YouTube - Filmed December 22, 2006, at the Bowery Poetry Club, NYC, where Beat poet and artist Mead performed until his death May 8, 2013.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.youtube.com/watch?v=Rl11V7PI7JA"} +{"d:Title": "Timothy Leary on William Burroughs, Brion Gysin, and Bou Saada", "d:Description": "interview, Pataphysics, October 17, 1989. On beats in Algiers, Tangiers, cut-ups, and the \"Dream Machine.\" From INTO-GAL, 2006", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://realitystudio.org/interviews/timothy-leary-on-william-burroughs-brion-gysin-and-bou-saada/"} +{"d:Title": "Michael McClure: An Anthology of Poems", "d:Description": "Selected by the author for the \"Margins\" symposium series in 1975. McClure is one of the five poets (including Allen Ginsberg) who read at the famous San Francisco Six Gallery reading in 1955.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.thing.net/~grist/l&d/mcclure/mcclurea.htm"} +{"d:Title": "Charles Plymell, from Kansa, Land of the Wind People", "d:Description": "biographical writing from Plymell, early beat generation poet, author.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/charlesplymellkansa.html"} +{"d:Title": "Charles Plymell, from The Last of the Moccasins", "d:Description": "On the Wichita Vortex, from outlaw poet and early beat generation author Plymell, friend of Allen Ginsberg and Neal Cassady.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/charlesplymellmoccasins.html"} +{"d:Title": "Roxie Powell \u2014 Wild Whispers", "d:Description": "Robert Peters said of Powell\u2019s first chapbook, \"anyone anxious for an original experience in poetry will love Dreams of Straw.\" Allen Ginsberg paid for a second printing of the book. From Kansas, friend of Charley Plymell and Dave Haselwood.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.synaesthesia.net/?cat=67"} +{"d:Title": "Poets Charles Plymell, Roxie Powell", "d:Description": "Photograph of Beat writers and poets Plymell and Powell, Cherry Valley, NY, 2009; photograph by videographer Laki Vazakas.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/plymellroxie.html"} +{"d:Title": "Corso - The Last Beat", "d:Description": "Documentary film following Beat co-founder Gregory Corso, \"on the road\" in Europe retracing the early days of \"The Beats\".", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://ginsbergblog.blogspot.com/2009/06/corso-last-beat-film.html"} +{"d:Title": "John Long&Philomene Long", "d:Description": "Ghosts of Venice Beach; late poets and leaders of Los Angeles beat literature", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.virtualvenice.info/poets/pl&jt.htm"} +{"d:Title": "On Ray Bremser", "d:Description": "by poet Charles Plymell; Bremser one of the original, and most authentic Beats, a hipster from the same vein as Herbert Huncke", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.evergreenreview.com/102/poetry/plymell2.html"} +{"d:Title": "The 3rd Page", "d:Description": "Journal of Ongrowing Natures, edited by Hammond Guthrie, author of AsEverWas: Memoirs of a Beat Survivor.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.emptymirrorbooks.com/thirdpage/escape.html"} +{"d:Title": "Catfish McDaris Interviews Charles Plymell", "d:Description": "Beat poets McDaris and Plymell on the 1960s San Francisco scene.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.thing.net/%7Egrist/bove/new/cpinterv.htm"} +{"d:Title": "George Tsongas: San Francisco Poet", "d:Description": "81 year old Tsongas (1929-2010) was of the Beats but not part of any movement.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.thinicepress.com/tsongas1.html"} +{"d:Title": "A. D. Winans Looks Back at the Beat Generation", "d:Description": "San Francisco poet Winans discusses the roots of the Beat Generation poets and writers, and their legacy.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.emptymirrorbooks.com/beat/winans-beat-generation.html"} +{"d:Title": "Some Notes on Cyberliths", "d:Description": "by Bruce Harris; on Beat artist Robert LaVigne's Cyberliths, drawings inspired by the Rosette Stone. LaVigne introduced Allen Ginsberg to Peter Orlovsky.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.bigbridge.org/issue6/cyberliths.htm"} +{"d:Title": "Beatnik!", "d:Description": "from Beat Scene Magazine, by Bill Whipp; on murder, death and violence in North Beach, 1950s", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.tomchristopher.com/?op=home/Beat+Generation/Beatnik!"} +{"d:Title": "Aram Saroyan", "d:Description": "Writer, poet, playwright, with ties to the Beats; author of \"The Saga of Lew Welch and the Beat Generation\"", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.aramsaroyan.com/"} +{"d:Title": "Charles Plymell : The Benzedrine Highway Interview", "d:Description": "by Paul Hawkins, Reality Studio, photographs; Early beat writer&poet Plymell became well known after the 1971 publication of his novel, Last of The Moccasins. Included are comments from Roxie Powell, Hammond Guthrie, Laki Vazakas, Ginger Killian Eades, and Mike Watt.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://realitystudio.org/interviews/charles-plymell-the-benzedrine-highway-interview-revised/"} +{"d:Title": "William Burroughs interviewed by Allen Ginsberg and Gregory Corso", "d:Description": "1961 free-form discussion by three of the Beat Generation literary leaders.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://realitystudio.org/interviews/1961-interview-with-william-s-burroughs-by-gregory-corso-and-allen-ginsberg/"} +{"d:Title": "Beat Papers of Al Aronowitz", "d:Description": "A collection of interviews, memories and articles by the late Aronowitz, the infamous Black Listed Journalist, who was actually there; close friend of Ginsberg and introduced Bob Dylan to the Beatles.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.blacklistedjournalist.com/1beat.html"} +{"d:Title": "San Francisco Renaissance", "d:Description": "6 Poets at 6 Gallery by Al Aronowitz., the late godfather of rock journalism", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.blacklistedjournalist.com/column26.html"} +{"d:Title": "Alan Russo, The Locked Man poems", "d:Description": "1960; Wichita, KS, poet Charles Plymell, printer. Very little poetry is available by Russo, considered one of the best by his beat peers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/alanrusso/"} +{"d:Title": "The Wichita Group", "d:Description": "by James Johnson; on the Wichita Vortex, including Michael McClure, Bruce Conner, and David Haselwood", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/wichitagroup.html"} +{"d:Title": "On R. Crumb and Zap Comix: Curled in Character", "d:Description": "by Charley Plymell, Zap's first printer", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/plymellrcrumb.html"} +{"d:Title": "Kulchur No. 1 Magazine", "d:Description": "Spring 1960 edition, edited by Charles Olson and Le Roi Jones [Amiri Baraka] ; includes William Burroughs, Allen Ginsberg, Diane Di Prima, Paul Bowles and Donald Phelps.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://realitystudio.org/bibliographic-bunker/kulchur/kulchur-1/"} +{"d:Title": "Denton Welsh biography", "d:Description": "Author, painter. 1915-1948. Major literary influence on William Burroughs.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://en.wikipedia.org/wiki/Denton_Welch"} +{"d:Title": "Gordon Ball's Beat Generation", "d:Description": "Ball teaches at the Virginia Military Institute -- a photo of Allen Ginsberg teaching Ball's class is now a classic.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://gordonballgallery.com/EastHillFarm.html"} +{"d:Title": "Characters In Beat and Bohemian Literature", "d:Description": "Listing by person's last name to their fictional character name(s) in Beat literature. From Worchel Institute for the Study of Beat and Bohemian Literature.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.bohemianlit.com/charkey.htm"} +{"d:Title": "Bibliography of The Beat Generation", "d:Description": "A list of books, articles and essays about the Beats from University of Maryland class: The Beat Begins - America in the 1950s.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://universityhonors.umd.edu/COURSES/0701/269j0701.html"} +{"d:Title": "The birth of the beatnik", "d:Description": "By James Campbell, author of This is the Beat Generation, 1999. Excellent background to the naming of the beats by Herb Caen - post Sputnik.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.chron.com/life/article/This-Is-the-Beat-Generation-by-James-Campbell-2075780.php"} +{"d:Title": "Observed While Falling: Bill Burroughs, Ah Pook and Me", "d:Description": "Excerpts from new book by artist Malcolm McNeill on the 1970s, London, and working with Burroughs on a graphic novel.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.lostartofahpook.com/books-observed-while-falling.html"} +{"d:Title": "The Beats and Sixties Counterculture", "d:Description": "A guide to the social contexts of the Fifties so to be able to understand why the Beats\u2019 work was considered to be so significant, by Jed Skinner", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.beatdom.com/?p=672"} +{"d:Title": "Naked Lens:", "d:Description": "An Analysis of Beat Cinema", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://books.google.com/books/about/Naked_Lens.html?id=QCejNvZMAKYC"} +{"d:Title": "Beat Culture: A Later Manifestation of Bohemia", "d:Description": "Subcultural stories and resources with book reviews, recommended links, original articles and event listings. Featuring Jack Kerouac and influential beat characters. From Mt. Holyoke class.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "https://www.mtholyoke.edu/courses/rschwart/hist255-s01/boheme/beat.html"} +{"d:Title": "Beats In Kansas: Interview with George Laughead", "d:Description": "On-line history of the Beat Generation started at Un. of Kansas because of the odd fact that 80 percent of living beats were from Kansas. Interview by Michalis Limnios, Blue@Greece", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://blues.gr/profiles/blogs/an-interview-with-george-laughead-beats-in-kansas-ginsberg"} +{"d:Title": "Dharma Beat Links", "d:Description": "A directory on writer Jack Kerouac, and his friends, including Allen Ginsberg, William Burroughs, and Neal Cassady.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.dharmabeat.com/"} +{"d:Title": "Roxie Powell, Kansas Collateral", "d:Description": "Poems by Beat related poet published in 1978 by CV Editions, Cherry Valley, NY, edited by poet Charley Plymell. Powell grew up in western Kansas, and started writing in the 1940s. He was part of the San Francisco scene in the early 1960s.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/roxiepowell/"} +{"d:Title": "Herbert Huncke Interview", "d:Description": "by Johnny Strike, 1982, at Naropa Institute, Boulder, CO. Huncke was the original Beat; major influence on William Burroughs. Reality Studio.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://realitystudio.org/interviews/herbert-huncke-by-johnny-strike/"} +{"d:Title": "Harold Norse, Poet", "d:Description": "Norse (1916- 2009 ) was among the American expatriates in Europe during the 1950's and early 1960's. He was an occupant of the Beat Hotel, Paris, where he first caught up with the Beat generation writers in 1960. Poet William Carlos Williams was his mentor. Obituary from The Guardian newspaper.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.theguardian.com/culture/2009/jun/17/obituary-harold-norse"} +{"d:Title": "Call Me Burroughs: A Life", "d:Description": "by Barry Miles. A vivid new biography of the beat wild man William Burroughs recasts him as a vitriolic vaudeville performer haunted by the killing of his wife.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.theguardian.com/books/2014/feb/09/call-me-burroughs-a-life-barry-miles-review"} +{"d:Title": "And the Beat Goes On", "d:Description": "about City Lights bookstore and the counterculture: 1961 - 1974, on its 50th anniversary, from S.F. Gate, 2003", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.sfgate.com/entertainment/article/AND-THE-BEAT-GOES-ON-City-Lights-and-the-2611121.php"} +{"d:Title": "William Burroughs Funeral Banquet", "d:Description": "by George Laughead. Events of August 1997 following Beat writer Burroughs death at age 83. Photographs.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.vlib.us/beats/burroughsfuneral.html"} +{"d:Title": "Salon Books | Breaking up with the Beats", "d:Description": "Kerouac and company were David Gates' first literary loves -- but he had to get off their road.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.salon.com/1999/04/12/beats/"} +{"d:Title": "Unspeakable Visions: The Beat Generation and The Bohemian Dialectic", "d:Description": "by Michael Haywood. 1991 paper on the history of the Beat writers in print, from their early stirrings in the underground press, through to their publication by mainstream publishers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.sfu.ca/~hayward/UnspeakableVisions/page1.html"} +{"d:Title": "Ah Puch Is Here", "d:Description": "Malcolm McNeill and William Burroughs - art work for unpublished image novel (aka, Ah Pook Is Here) created in early 1970s in London.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.lostartofahpook.com/"} +{"d:Title": "The Beat Generation of Greenwich Village and Beyond", "d:Description": "A photographic essay of Beat Generation landmarks in New York City.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://selvedgeyard.com/2009/03/12/the-beat-generation-of-greenwich-village-and-beyond/"} +{"d:Title": "Daniel Abdal-Hayy Moore Poetry", "d:Description": "A selection from the lifework in poetry, theater and art of Moore, whose first book of poems, Dawn Visions, was published by City Lights Books in 1964, and the second, Burnt Heart /An Ode to the War Dead in 1972.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://ecstaticxchange.com/"} +{"d:Title": "Cold War Correspondents: Ginsberg, Kerouac, Cassady, and the Political Economy of Beat Letters", "d:Description": "by Dr. Oliver Harris, Dept. of American Studies, Keele University, England, leading Burroughs scholar. (registration is required)", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.jstor.org/stable/441956"} +{"d:Title": "Allen Ginsberg\u2019s \u201cHowl\u201d: Fifty years later and in its own time", "d:Description": "By Andras Gyorgy; World Socialist take on the conditions that the Beats wrote in versus current realites.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://www.wsws.org/en/articles/2007/04/gins-a05.html"} +{"d:Title": "PoLarity eMagazine", "d:Description": "New American Bohemian Literature, George Wallace, editor. Fiction, photographs, Beat events", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://poetrybay.com/poembeat/"} +{"d:Title": "The Poetry Center and American Poetry Archives", "d:Description": "San Francisco State University; artist biographies and MP3 audio files; founded in 1954 on the basis of a gift by W.H. Auden.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat", "url": "http://poetry.sfsu.edu/"} +{"d:Title": "City Lights Booksellers and Publishers", "d:Description": "The infamous San Francisco literary landmark, home of beat literature and publishers of Howl and other Poems, offers a great selection of books.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.citylights.com/"} +{"d:Title": "BeatBooks", "d:Description": "London. Specializes in rare and used books, magazines and ephemera from the Beat Generation, the Sixties CounterCulture, and the Avant-Gardes.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.beatbooks.com/"} +{"d:Title": "Empty Mirror Books", "d:Description": "Specializing in the Beat Generation, poetry, small press, and signed books, bibliographies and biographies.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.emptymirrorbooks.com/"} +{"d:Title": "Skyline Books", "d:Description": "James Muser. Modern, Beat, and counterculture literature including original manuscripts.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.sweetbooks.com/"} +{"d:Title": "The Beat Generation Catalog", "d:Description": "Kerouac.com. Books, videos, related products from Beat authors and poets, featuring Jack Kerouac.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.kerouac.com/homepage.htm"} +{"d:Title": "Black Sparrow Press | David Godine Boston", "d:Description": "Became the home of John Martin's publishing list in 2002. Continues as independent book source.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.blacksparrowbooks.com/"} +{"d:Title": "The Arion Press", "d:Description": "Fine press in San Francisco, limited edition books, many from beat artists, poets, and writers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.arionpress.com/forthcom.htm"} +{"d:Title": "Shivastan Publishing", "d:Description": "Woodstock, NY. Publisher Shiv Mirabito, a Tantric Buddhist-Hindu yogi, photographer&poet, began writing as a teenager while living at Allen Ginsberg's Cherry Valley poetry commune. Features unique list of authors.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.shivastan.org/"} +{"d:Title": "Quill&Brush", "d:Description": "Dickerson, MD; rare book dealer, Beat Generation&modern first editions, mysteries, and signed books. Beautiful store.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.qbbooks.com/"} +{"d:Title": "beat book shop", "d:Description": "Boulder, CO; established in 1990 by Thom Peters, with large selection of modern American books. Live readings by wide range of authors, especially the Beats.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.beatbookshop.com/index2.html"} +{"d:Title": "The Booksmith in San Francisco", "d:Description": "Christin Evans and Praveen Madan, owners. An independent bookstore for the 21st Century. Features weekly author appearances.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.booksmith.com/"} +{"d:Title": "Cow Books", "d:Description": "Tokyo, Japan source of beat literature. (English, Japanese)", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.cowbooks.jp/"} +{"d:Title": "Green Apple Books", "d:Description": "San Francisco independent bookseller, 38 years in business. New and used books, magazines, and LP records, with special regional focus.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.greenapplebooks.com/"} +{"d:Title": "Beyond Baroque Literary Arts Center", "d:Description": "Venice, CA, offers public poetry readings, free workshops, a bookstore. Established in 1968.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.beyondbaroque.org/"} +{"d:Title": "Third Mind Books", "d:Description": "Ann Arbor, MI: Started by Arthur S. Nusbaum, a long-time collector of the Beat Generation, especially the work of William S. Burroughs.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.thirdmindbooks.com/"} +{"d:Title": "Moe's Books", "d:Description": "Berkeley, CA. Founded in 1959 by Moe Moskowitz and his wife Barbara; features live readings by Beat poets, and used and new books, vintage sheet music, collectable paperbacks and pulp magazines.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://moesbooks.com/"} +{"d:Title": "Philip Smith, Bookseller", "d:Description": "Berkeley, California, specializing in unusual and overlooked items, including periodicals, association copies and ephemera. Very knowledgeable on Beat literature.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.philipsmithbooks.com"} +{"d:Title": "Jeff Maser, Bookseller", "d:Description": "Over 250,000 books in stock, with large selection of beat related literature, including rare magazines from 1960s.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.detritus.com/"} +{"d:Title": "Brian Cassidy, Bookseller", "d:Description": "nice selection of very rare Beat related books and magazines. Located in Takoma Park neighborhood of Washington D.C., On-site visits by appointment only.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://store.briancassidy.net/shop/cassidy/index.html"} +{"d:Title": "Ecstatic Yod", "d:Description": "Ecstatic Peace + Father Yod record + book store is Byron Coley and Thurston Moore's company. Books from outlaw poets A.D. Winans, Charley Plymell, Roxie Powell.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://ecstaticyod.com/"} +{"d:Title": "Mammoth Publications", "d:Description": "Lawrence, Kansas regional publisher of Native American and Mid-Plains authors.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://mammothpublications.net/"} +{"d:Title": "Kicks Books | Good Reading for the Minions", "d:Description": "Publisher Miriam Linna (formerly of The Cramps) started Kicks Books to bring out books that no one else would print. Authors range from Charley Plymell to Sun Ra. Based in New York.", "topic": "Top/Arts/Literature/Periods_and_Movements/Beat/Books", "url": "http://www.nortonrecords.com/kicks-books/?sort=alphaasc"} +{"d:Title": "The Bloomsbury Group", "d:Description": "List of authors in the Bloomsbury movement with links to selected online resources.", "topic": "Top/Arts/Literature/Periods_and_Movements/Bloomsbury_Group", "url": "http://therem.net/bloom.htm"} +{"d:Title": "The Bloomsbury Group: Creating A New Modern World", "d:Description": "Article discussing art and the impact of the Bloomsbury Group on artists and writers. Related to an art exhibit at Stanford University Art Gallery.", "topic": "Top/Arts/Literature/Periods_and_Movements/Bloomsbury_Group", "url": "http://www.paloaltoonline.com/weekly/morgue/cover/1997_May_23.ARTSIDE.html"} +{"d:Title": "A Radical Regained", "d:Description": "Article from the online Guardian newspaper by Fiona MacCarthy. Discusses the work of Bloomsbury group member Vanessa Bell and argues that her painting was as radical in it day as the writing of her sister Virginia Woolf.", "topic": "Top/Arts/Literature/Periods_and_Movements/Bloomsbury_Group", "url": "http://www.theguardian.com/theguardian/1999/oct/23/weekend7.weekend3"} +{"d:Title": "Bloomsbury Comes In From The Cold", "d:Description": "Article by Maev Kennedy looks at reconstructing the current negative attitude towards the Bloomsbury Group. Includes a list of group members and their notable achievements.", "topic": "Top/Arts/Literature/Periods_and_Movements/Bloomsbury_Group", "url": "http://www.theguardian.com/uk/1999/jun/25/maevkennedy"} +{"d:Title": "The Enlightenment", "d:Description": "Background information and links to full texts from Fordham University.", "topic": "Top/Arts/Literature/Periods_and_Movements/Enlightenment", "url": "http://www.fordham.edu/halsall/mod/modsbook10.asp#The Enlightenment"} +{"d:Title": "From Beckett to Stoppard: Existentialism, Death, and Absurdity", "d:Description": "Analysis of the dialogue within the playwrights work.", "topic": "Top/Arts/Literature/Periods_and_Movements/Existentialism", "url": "http://home.sprintmail.com/~lifeform/beckstop.html"} +{"d:Title": "Alfred Jarry (1873-1907)", "d:Description": "Brief biography of Alfred Jarry, the first absurd playwright.", "topic": "Top/Arts/Literature/Periods_and_Movements/Existentialism", "url": "http://www.imagi-nation.com/moonstruck/clsc2.htm"} +{"d:Title": "The Absurdity of Beckett", "d:Description": "Biography of Samuel Beckett paying particular attention to influence.", "topic": "Top/Arts/Literature/Periods_and_Movements/Existentialism", "url": "http://mural.uv.es/anlisvii/0_index2.htm"} +{"d:Title": "The Cafe Irreal", "d:Description": "Semiannual webzine focusing on short stories.", "topic": "Top/Arts/Literature/Periods_and_Movements/Existentialism", "url": "http://cafeirreal.alicewhittenburg.com/"} +{"d:Title": "Twists, Slugs and Roscoes: A Glossary of Hardboiled Slang", "d:Description": "Definitions of the lingo used in the crime fiction and movies of the '30's and '40's.", "topic": "Top/Arts/Literature/Periods_and_Movements/Hard-Boiled", "url": "http://www.miskatonic.org/slang.html"} +{"d:Title": "Hardboiled Heaven", "d:Description": "Dedicated to hardboiled detective and mystery novels, with a checklist, links to other sites and a gallery of paperback cover art.", "topic": "Top/Arts/Literature/Periods_and_Movements/Hard-Boiled", "url": "http://writer89.tripod.com/"} +{"d:Title": "The Lost Generation", "d:Description": "Article on the expatriate literary scene in 1920's and '30's Paris, and on the cultural shifts of the roaring Twenties.", "topic": "Top/Arts/Literature/Periods_and_Movements/Lost_Generation", "url": "http://en.wikipedia.org/wiki/Lost_Generation"} +{"d:Title": "Lost Generation", "d:Description": "Collected links and resources.", "topic": "Top/Arts/Literature/Periods_and_Movements/Lost_Generation", "url": "http://classiclit.about.com/od/lostgeneration/"} +{"d:Title": "What Is Magical Realism, Really?", "d:Description": "Article by Bruce Holland Rogers on the elusive definition of the term.", "topic": "Top/Arts/Literature/Periods_and_Movements/Magical_Realism", "url": "http://www.writing-world.com/sf/realism.shtml"} +{"d:Title": "Magical Realism on the Web", "d:Description": "Directory to Latin American, Native American, and international magical realist authors.", "topic": "Top/Arts/Literature/Periods_and_Movements/Magical_Realism", "url": "http://www.angelfire.com/wa2/margin/links.html"} +{"d:Title": "Magic Realism", "d:Description": "Article on the history and philosophy of the modern literary school.", "topic": "Top/Arts/Literature/Periods_and_Movements/Magical_Realism", "url": "http://en.wikipedia.org/wiki/Magic_realism"} +{"d:Title": "Magical Realism", "d:Description": "History, background, and texts for a class in South American, North American, and Eastern European magical realism.", "topic": "Top/Arts/Literature/Periods_and_Movements/Magical_Realism", "url": "http://www.public.asu.edu/~aarios/magicalrealism/"} +{"d:Title": "Magical Realism", "d:Description": "Contains an overview of the style written by Lindsay Moore, and related links.", "topic": "Top/Arts/Literature/Periods_and_Movements/Magical_Realism", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/21/magical-realism/"} +{"d:Title": "Medieval and Classical Library", "d:Description": "Collection of on-line literary works.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://omacl.org/"} +{"d:Title": "Dante and Chaucer", "d:Description": "A detailed thesis by R. A. Shoaf, subtitled 'Money, Images, and Reference in Late Medieval Poetry'.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://web.clas.ufl.edu/users/rashoaf/currency/dccw.html"} +{"d:Title": "Tales of the Middle Ages", "d:Description": "Collected stories, fables, and anecdotes from and about the Middle Ages.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://www.godecookery.com/mtales/mtales.htm"} +{"d:Title": "Wikipedia: Medieval Literature", "d:Description": "Article with sections on languages, authorship, genres and notable works of the period.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://en.wikipedia.org/wiki/Medieval_literature"} +{"d:Title": "NetSERF: Medieval Literature", "d:Description": "A small but carefully selected collection of links to 'net resources on Medieval Literature and online archives of Medieval texts.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://www.netserf.org/Literature/"} +{"d:Title": "Bodleian Library Image Catalogue", "d:Description": "Features medieval manuscript images from the Bodleian Library, Oxford, UK.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://www.bodley.ox.ac.uk/dept/scwmss/wmss/medieval/browse.htm"} +{"d:Title": "The Camelot Project", "d:Description": "University of Rochester database of texts, images and bibliographies concerned with the legends of King Arthur.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://d.lib.rochester.edu/camelot-project"} +{"d:Title": "Middle English Texts", "d:Description": "Collection of important literary texts produced by TEAMS (The Consortium for the Teaching of the Middle Ages).", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval", "url": "http://d.lib.rochester.edu/teams"} +{"d:Title": "Catholic Encyclopedia: Giovanni Boccaccio", "d:Description": "Biography and overview of the author's major works, from the Encyclopedia of 1911.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Boccaccio,_Giovanni", "url": "http://www.newadvent.org/cathen/02607a.htm"} +{"d:Title": "Giovanni Boccaccio - The Geoffrey Chaucer Page", "d:Description": "Harvard University site commenting on the relationship of Boccaccio's and Chaucer's writings.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Boccaccio,_Giovanni", "url": "http://sites.fas.harvard.edu/~chaucer/special/authors/boccaccio/"} +{"d:Title": "The Decameron Web", "d:Description": "A hypermedia project dedicated to the study and teaching of the work.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Boccaccio,_Giovanni", "url": "http://www.brown.edu/Departments/Italian_Studies/dweb/"} +{"d:Title": "Dante's Divine Comedy", "d:Description": "A personal website devoted to study of Dante, includes, among other things, maps of Hell, Purgatory, and Heaven as envisioned by the poet.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.angelfire.com/ak/Nyquil/Dante.html"} +{"d:Title": "Catholic Encyclopedia: Dante Alighieri", "d:Description": "An annotated (in linked hypertext) biography of the poet.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.newadvent.org/cathen/04628a.htm"} +{"d:Title": "Renaissance Dante in Print (1472-1629)", "d:Description": "An online exhibition of illuminated Renaissance editions of Dante's Divine Comedy.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.nd.edu/~italnet/Dante/"} +{"d:Title": "Dante Chronology", "d:Description": "A brief timeline", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.italnet.nd.edu/Dante/text/Chronology.html"} +{"d:Title": "Dante Alighieri", "d:Description": "Contains a brief biography and bibliography; etext of the Harvard Classics translation of the Divine Comedy, and quotations from \"Bartlett's\".", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.bartleby.com/people/DanteAli.html"} +{"d:Title": "Tabula Rasa: Dante", "d:Description": "Article about Dante's life and work", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.tabula-rasa.info/DarkAges/Dante.html"} +{"d:Title": "Dante Alighieri", "d:Description": "Life and work of 13th century Italian poet and philosopher; by Winthrop Wetherbee.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://plato.stanford.edu/entries/dante/"} +{"d:Title": "DanteNet", "d:Description": "An online service for scholars of Dante provided by the Dante Society of America.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.princeton.edu/~dante/"} +{"d:Title": "Wikipedia: Dante Alighieri", "d:Description": "His life and works.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://en.wikipedia.org/wiki/Dante_Alighieri"} +{"d:Title": "Devers Program in Dante Studies", "d:Description": "The University of Notre Dame. Includes the John A. Zahm rare book collection, an annual lecture series and visiting professorship in Dante studies, and supporting electronic and print publication of scholarly research in the field.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.dante.nd.edu/"} +{"d:Title": "Dartmouth Dante Project", "d:Description": "Searchable full-text database containing more than seventy commentaries on Dante's Divine Comedy, the Commedia.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://dante.dartmouth.edu/"} +{"d:Title": "Otfried Lieberknecht's Homepage for Dante Studies", "d:Description": "A directory of Internet resources, both scholarly and more general, on Dante, his works, and Medieval literature.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.lieberknecht.de/dante/welc_old.html"} +{"d:Title": "The Guardian: Dante Alighieri", "d:Description": "Profile, articles and links.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri", "url": "http://www.theguardian.com/books/2008/jun/09/dantealighieri"} +{"d:Title": "Dante's vs. Niven and Pournelle's Inferno", "d:Description": "Essay on the pastiche by two twentieth-century hard science-fiction writers. Includes comparisons between the original and modernized versions of each Circle of Hell.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Reviews", "url": "http://www.math.nyu.edu/phd_students/campbelm/stuff/mywords/dante.html"} +{"d:Title": "Lectura Dantis", "d:Description": "Journal of Dante research and interpretation published twice a year.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Reviews", "url": "http://www.brown.edu/Departments/Italian_Studies/LD/"} +{"d:Title": "Dante, Chaucer, and the Currency of the Word", "d:Description": "Money, images, and reference in late Medieval poetry.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Reviews", "url": "http://users.clas.ufl.edu/ras/currency/dccw.html"} +{"d:Title": "The Inferno of Dante Alighieri", "d:Description": "Illustrated and annotated translation of the Inferno.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works", "url": "http://home.earthlink.net/~zimls/"} +{"d:Title": "Dante's Lyric Poems", "d:Description": "Electronic realization by Charles Franco. New Translation by Joseph Tusiani, introduction and notes by G. Di Scipio.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works", "url": "http://italianstudies.org/poetry/"} +{"d:Title": "Read Print: Dante Alighieri", "d:Description": "Complete works in searchable format.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works", "url": "http://www.readprint.com/author-2/Dante-Alighieri-books"} +{"d:Title": "The Complete Divine Comedy of Dante", "d:Description": "Henry Wadsworth Longfellow's translation of Dante Alighieri's classic.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://www.everypoet.com/archive/poetry/dante/dante_contents.htm"} +{"d:Title": "Dante's Divine Comedy", "d:Description": "A free downloadable English translation of the text with index and notes.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://www.tonykline.free-online.co.uk/"} +{"d:Title": "Inferno", "d:Description": "Longfellow's translation.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://www.worldwideschool.org/library/books/lit/poetry/TheDivineComedy1-Inferno/Chap0.html"} +{"d:Title": "The Divine Comedy - Translated by James Finn Cotter", "d:Description": "Electronic realization by Charles Franco. Includes Inferno, Purgatorio and Paradiso.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://www.italianstudies.org/comedy/"} +{"d:Title": "The Divine Comedy by Dante Alighieri", "d:Description": "Features multiple editions of the Divine Comedy in a variety of customizable viewing formats. Includes the original Italian and translations by Longfellow and the Rev. H. F. Cary.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://www.divinecomedy.org/"} +{"d:Title": "Dante and Others", "d:Description": "New work-in-progress translation of the Divine Comedy, with index and notes.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://poetryintranslation.com/PITBR/Italian/Danthome.htm"} +{"d:Title": "A Commentary on the Divine Comedy", "d:Description": "Commentary on the Divine Comedy hyperlinked to the prose translation. Includes index.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://poetryintranslation.com/PITBR/Italian/Medhome.htm"} +{"d:Title": "Christian Classics Ethereal Library: Dante", "d:Description": "Etexts of Longfellow's translation of \"The Divine Comedy\" in HTML and other formats; biographical articles.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://www.ccel.org/ccel/dante"} +{"d:Title": "The World of Dante", "d:Description": "Hypermedia study of the Inferno includes a searchable text in the original Italian, illustrated with selected images, and annotated using JavaScript pop-ups. Also features a \"3-D\" representation of the structure of Hell in vrml format.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Dante_Alighieri/Works/The_Divine_Comedy", "url": "http://www.worldofdante.org/"} +{"d:Title": "Francesco Petrarch and Laure de Noves", "d:Description": "Information on Petrarch, an archive of his works, images, and a collected papers about the author.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Petrarch", "url": "http://petrarch.petersadlon.com/"} +{"d:Title": "Catholic Encyclopedia: Christine de Pisan", "d:Description": "Biography from the 1911 edition, including a list of her major poetic and historical works.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Pisan,_Christine_de", "url": "http://www.newadvent.org/cathen/03723a.htm"} +{"d:Title": "Extract from the Book of the City of Ladies", "d:Description": "Excerpts from a 1982 translation by EJ Richards regarding the role of women in society, women's education, and the examples of Biblical women.", "topic": "Top/Arts/Literature/Periods_and_Movements/Medieval/Pisan,_Christine_de", "url": "http://dhushara.tripod.com/book/renewal/voices2/pizan.htm"} +{"d:Title": "Metafiction", "d:Description": "Explanation of various metafictive devices.", "topic": "Top/Arts/Literature/Periods_and_Movements/Metafiction", "url": "http://en.wikipedia.org/wiki/Metafiction"} +{"d:Title": "Signifying Nothing: The Fourth Dimension in Modernist Art and Literature", "d:Description": "Essay from The Fourth Dimension, which includes a works by Modernist poets and a companion gallery of Modernist art.", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism", "url": "http://www.case.edu/artsci/engl/VSALM/mod/ricca/paper.html"} +{"d:Title": "James Joyce", "d:Description": "Online Resource Center Home Page", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism", "url": "http://humanities.osu.edu/"} +{"d:Title": "English Literature in Transition, 1880-1920", "d:Description": "\"Offering book-length studies on turn-of-the-century British writers.\"", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism", "url": "http://www.eltpress.org/"} +{"d:Title": "Pasmo: A Page of Poetists", "d:Description": "Original translations of the 1920s Czechoslovakian avant-garde movement influenced by constructivism, carnival, Marxism, Dadaism and the cinema.", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism", "url": "http://cafeirreal.alicewhittenburg.com/poetist.htm"} +{"d:Title": "Project MUSE - Modernism/Modernity", "d:Description": "Focus is on modernist studies from various perspectives.", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism", "url": "http://muse.jhu.edu/journal/131"} +{"d:Title": "Dada - Wave", "d:Description": "Dada and its offshoots, from the Cabaret Voltaire through punk rock.", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism/Dadaism", "url": "http://www.mital-u.ch/Dada/"} +{"d:Title": "No More Words", "d:Description": "A \"non-glossary\" including brief profiles of various surrealists and dadaists.", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism/Dadaism", "url": "http://www-personal.umich.edu/~rmutt/dictionary/NoMoreWords.html"} +{"d:Title": "DadaMonster- Exploration of the Absurd", "d:Description": "Includes an introduction to Dadaism and related essays.", "topic": "Top/Arts/Literature/Periods_and_Movements/Modernism/Dadaism", "url": "http://www.angelfire.com/zine/dadamonster/dadamonster.html"} +{"d:Title": "EnviroArts", "d:Description": "Emphasizes the literary and visual arts in relation to nature, with poetry and essays by contemporary authors (culled mostly from the pages of Orion and Orion Afield magazines--which co-sponsor this site) and information on historic naturalism and naturalist literature.", "topic": "Top/Arts/Literature/Periods_and_Movements/Naturalism", "url": "http://arts.envirolink.org/"} +{"d:Title": "Naturalism", "d:Description": "A definition of naturalism from the \"Glossary of Literary Theory\" by Greig E. Henderson and Christopher Brown.", "topic": "Top/Arts/Literature/Periods_and_Movements/Naturalism", "url": "http://www.library.utoronto.ca/utel/glossary/Naturalism.html"} +{"d:Title": "Oulipo", "d:Description": "The evolution of the movement led by Raymond Queneau.", "topic": "Top/Arts/Literature/Periods_and_Movements/Oulipo", "url": "http://www.nous.org.uk/oulipo.html"} +{"d:Title": "The Oulipo", "d:Description": "Essay on the origins and poetic forms of the Oulipo writers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Oulipo", "url": "http://www.growndodo.com/wordplay/oulipo/"} +{"d:Title": "Pre-Raphaelites: Links", "d:Description": "PreRaphaelite resources online.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pre-Raphaelites", "url": "http://persephone.cps.unizar.es/General/Gente/SPD/Pre-Raphaelites/Links.html"} +{"d:Title": "The Thrilling Detective", "d:Description": "A directory of private eyes and other tough guys and gals, complete with bios and bibliographies.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://www.thrillingdetective.com/"} +{"d:Title": "Adventure House Publishing", "d:Description": "Home of pulp reprint magazine High Adventure, the Spider facsimile reprints, and other pulp-related paraphernalia.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://www.adventurehouse.com/"} +{"d:Title": "ThePulp.Net", "d:Description": "The history and legacy of the pulps -- the popular literature from the first half of the 20th century -- is covered here. You will find information on The Shadow, Doc Savage, The Spider and more pulp characters.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://thepulp.net/"} +{"d:Title": "Phantom Detective", "d:Description": "The only webpage dedicated to the Phantom Detective. Includes a guide to all the stories. Some cover scans.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://www.mindspring.com/~phantom21/phantom.htm"} +{"d:Title": "An Expansion of Philip Jose Farmer's Wold Newton Universe", "d:Description": "Chronologies, articles and speculative genealogies based on Farmer's pseudo-biographies of Tarzan and Doc Savage.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://www.pjfarmer.com/woldnewton/Pulp2.htm"} +{"d:Title": "Pulp Fiction Central", "d:Description": "Part of Vintage Library, this online store gives much information about the pulps, including cover art, writer profiles, and sells print and electronic reprints of the mags. Secure ordering. Requires Adobe Acrobat to read the online reprints.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://www.vintagelibrary.com/pulpfiction/PulpFictionCentral.php"} +{"d:Title": "Noir Texas", "d:Description": "A bibliographic, filmographic resource of noir, pulp, and hardboiled fiction and film.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://www.noirtexas.com/"} +{"d:Title": "The Modesty Blaise Book Covers", "d:Description": "Cover artwork and descriptions of the Modesty Blaise book series by Peter O'Donnell.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction", "url": "http://www.modestyblaisebooks.com/"} +{"d:Title": "Flearun", "d:Description": "Yahoo Groups private discussion group. Subject matter: stories, authors, publisher, and other topics. Membership by application.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://groups.yahoo.com/group/flearun/"} +{"d:Title": "Doc Savage Club", "d:Description": "Yahoo Discussion Group on Doc Savage. Membership by application. Archived messages are public.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://groups.yahoo.com/group/docsavage/"} +{"d:Title": "Doc Savage.Org", "d:Description": "Doc Savage cover illustrations for pulp and paperback editions. Synopsis of stories and fan reviews.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.docsavage.org/"} +{"d:Title": "Rogue's Hero", "d:Description": "Short essay on Doc Savage, includes sound bites from the movie and NPR radio shows.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://members.tripod.com/~Rogue_5/Doc.html"} +{"d:Title": "Bronze Refined As Silver", "d:Description": "Doc Savage fan fiction with religious content.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.e-z.net/~skylab/Bronze%20Saga/1-BronzeRefinedAsSilver/Bronze01.html"} +{"d:Title": "Bronze Icon", "d:Description": "Story examination focusing on influences from actual events and other literary works.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.bronzeicon.com/"} +{"d:Title": "The Doc Savage Library", "d:Description": "Information on Doc Savage comics, books, and movies.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.docsavagelibrary.com/superhero-library/doc-savage/doc-savage-home-page.aspx"} +{"d:Title": "The Doc Savage Chronology", "d:Description": "Genealogy of famous literary characters including Doc Savage and his assistants in the Wold Newton Universe.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.pjfarmer.com/woldnewton/Savage.htm"} +{"d:Title": "The Man of Bronze Movie", "d:Description": "Internet Movie Database information on the 1975 movie. Directed by Michael Anderson starring Ron Ely, Paul Gleason, and William Lucking. Doc Savage and his crew battle Captain Seas for control of a fabulous fortune in gold.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.imdb.com/title/tt0072886/"} +{"d:Title": "Doc Savage (Wikipedia)", "d:Description": "General overview of Doc Savage publication history including pulp magazines, radio, movie, and comic books.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://en.wikipedia.org/wiki/Doc_Savage"} +{"d:Title": "Speculations in Bronze", "d:Description": "Speculative essays and articles dealing with Doc Savage.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://speculations-in-bronze.blogspot.com/"} +{"d:Title": "Death in Silver (Wikipedia)", "d:Description": "Summary and discussion of the \"Death in Silver\" story. Includes story plot, cast of characters, various gadgets and tricks used.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://en.wikipedia.org/wiki/Death_in_Silver_(Doc_Savage)"} +{"d:Title": "Doc Savage in French", "d:Description": "French editions: General and historical information, full publication listings, cover scans, text samples. Bilingual site (English and French).", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://home.citycable.ch/docsavage/frmain.htm"} +{"d:Title": "Bronzdom", "d:Description": "Scans of Street and Smith books and other collectibles. Pictures of Phillip Jose Farmer and Boris Vallejo.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://sahav0.tripod.com/"} +{"d:Title": "Golden Age Doc Savage Comics Covers", "d:Description": "Grand Comic Database entry for golden age Doc Savage comics by Street&Smith. Contains thumbnails images, issue number, and publication date for issues 1-20 (1940 - October 1943).", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.comics.org/series/207/covers/"} +{"d:Title": "Lester Dent (Wikipedia)", "d:Description": "Biographical information on Lester Dent and his work on the Doc Savage series for Street&Smith Publishers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://en.wikipedia.org/wiki/Lester_Dent"} +{"d:Title": "House of Gadgets", "d:Description": "National Register of Historic Places registration form to have the Lester and Norma Dent home in La Plata, Missouri enrolled. The form contains biographical entries on Lester Dent and details about his pulp writings.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.dnr.mo.gov/shpo/nps-nr/90000763.pdf"} +{"d:Title": "La Plata's Man of Bronze", "d:Description": "Biographical sketch of Lester Dent and his association with the Doc Savage series from \"Rural Missouri\" web article", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.nxtbook.com/nxtbooks/ruralmissouri/201012/index.php?startid=8"} +{"d:Title": "Lester B. Dent Collection", "d:Description": "Biographical sketch with link to index of manuscripts, pulp magazines, film, and personal papers held at the University of Missouri. Microfilm copies available via inter-library loan.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://shs.umsystem.edu/historicmissourians/name/d/dent/index.html"} +{"d:Title": "Street&Smith Collection", "d:Description": "Publisher's records housed at Syracuse University. Includes a list of the Doc Savage Radio Show scripts.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://library.syr.edu/digital/guides/s/street_smith.htm"} +{"d:Title": "The Bronze Journal", "d:Description": "Articles about Doc Savage and hero pulps in general.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://mdjackson.tripod.com/"} +{"d:Title": "ThePulp.Net", "d:Description": "Brief sketch of the Man of Bronze and links to Doc Savage sites.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Doc_Savage", "url": "http://www.thepulp.net/the-links/docsavage/"} +{"d:Title": "The Shadow: Master of Darkness", "d:Description": "Information about The Shadow in pulps, radio, comics, and movies. With an interactive section for fans.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Shadow", "url": "http://www.shadowsanctum.net/"} +{"d:Title": "Webring : The Shadow's Agents", "d:Description": "Directory dedicated to the Master of Darkness. Old Time Radio Sites are also accepted.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Shadow", "url": "http://www.webring.org/hub?ring=theshadowring"} +{"d:Title": "The Shadow's WWW Sanctum", "d:Description": "Website with information on the different incarnations of the Shadow.", "topic": "Top/Arts/Literature/Periods_and_Movements/Pulp_Fiction/Shadow", "url": "http://shadowssanctum.artczar.org/"} +{"d:Title": "Social Realism: Instructor Overview", "d:Description": "Essay on the American social realism movement of the late nineteenth century, with a timeline, bibliography, and teachers' notes.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism", "url": "http://www.learner.org/amerpass/unit09/instructor.html"} +{"d:Title": "Honore de Balzac", "d:Description": "Searchable collection of his novels and short stories. Includes a biography.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de", "url": "http://www.online-literature.com/honore_de_balzac/"} +{"d:Title": "Biographies: The Classical Fiction Writers: Honor\u00e9 de Balzac (1799-1850).", "d:Description": "Short note on Balzac and his work.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de", "url": "http://www.blupete.com/Literature/Biographies/Literary/Balzac.htm"} +{"d:Title": "Honor\u00e9 de Balzac", "d:Description": "1799-1850, French novelist. Balzac ranks among the great masters of the novel.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de", "url": "http://www.bartleby.com/people/Balzac-H.html"} +{"d:Title": "Honore de Balzac", "d:Description": "Brief biography and selected texts by Balzac.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de", "url": "http://balzac.thefreelibrary.com/"} +{"d:Title": "Balzac as a Dramatist", "d:Description": "An analysis of the dramatic works of Honor\u00e9 de Balzac. Originally written in 1901 by Epiphanius Brown.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de", "url": "http://www.theatrehistory.com/french/balzac001.html"} +{"d:Title": "Honore de Balzac Books Online", "d:Description": "A large number of e-texts from The Encyclopedia of the Self.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.selfknowledge.com/109au.htm"} +{"d:Title": "Old Goriot", "d:Description": "Online publication of Balzac's classic work at Bartleby.com.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.bartleby.com/313/1/"} +{"d:Title": "Adieu", "d:Description": "This story set during and after the Russian campaign against Napoleon tells of the crossing of the Beresina River. Free Online Library.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://balzac.thefreelibrary.com/Adieu"} +{"d:Title": "An Old Maid", "d:Description": "The entire work from Free Online Library.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://balzac.thefreelibrary.com/Old-Maid"} +{"d:Title": "Bureaucracy", "d:Description": "Monsieur Rabordin, a ministry chief, battles bureaucracy as he and his wife deals with his faltering career. Free Online Library.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://balzac.thefreelibrary.com/Bureaucracy"} +{"d:Title": "Facino Cane", "d:Description": "A young, poverty-stricken writer is invited to a wedding where he encounters an aging musician, who turns out to be the descendant of Venetian royalty. Free Online Library.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://balzac.thefreelibrary.com/Facino-Cane"} +{"d:Title": "The Country Doctor", "d:Description": "The story of do-gooder Dr. Benassis. Free Online Library.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://balzac.thefreelibrary.com/The-Country-Doctor"} +{"d:Title": "Adieu", "d:Description": "In plain text, HTML, for Kindle, or as a zip file, at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1554"} +{"d:Title": "Cousin Betty", "d:Description": "Etext at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1749"} +{"d:Title": "Father Goriot", "d:Description": "Downloadable e-text from Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1237"} +{"d:Title": "The Deputy of Arcis", "d:Description": "Etext at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1871"} +{"d:Title": "The Deserted Woman", "d:Description": "Etext at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1729"} +{"d:Title": "The Duchesse de Langeais", "d:Description": "Etext at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/469"} +{"d:Title": "The Elixir of Life", "d:Description": "Downloadable e-text from Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1215"} +{"d:Title": "The Magic Skin", "d:Description": "Etext at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1307"} +{"d:Title": "The Marriage Contract", "d:Description": "Etext at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1556"} +{"d:Title": "The Message", "d:Description": "Etext at Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.gutenberg.org/ebooks/1189"} +{"d:Title": "Honore de Balzac", "d:Description": "E-texts from The Online Literature Library: Bureaucracy, The Country Doctor, Juana, and An Old Maid.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works", "url": "http://www.literature.org/authors/de-balzac-honore/"} +{"d:Title": "Droll Stories, Volume 2", "d:Description": "Downloadable e-text from Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works/Droll_Stories", "url": "http://www.gutenberg.org/ebooks/2318"} +{"d:Title": "Droll Stories", "d:Description": "Audiobook from Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works/Droll_Stories", "url": "http://www.gutenberg.org/ebooks/6543"} +{"d:Title": "Droll Stories, Volume 3", "d:Description": "Downloadable e-text from Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works/Droll_Stories", "url": "http://www.gutenberg.org/ebooks/2551"} +{"d:Title": "Droll Stories, Volume 1", "d:Description": "Downloadable e-text from Project Gutenberg.", "topic": "Top/Arts/Literature/Periods_and_Movements/Realism/Balzac,_Honor\u00e9_de/Works/Droll_Stories", "url": "http://www.gutenberg.org/ebooks/1925"} +{"d:Title": "Iter", "d:Description": "A database containing a bibliography of Medieval and Renaissance Europe from 400-1700.", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance", "url": "http://www.itergateway.org/"} +{"d:Title": "The Courtier During the Renaissance", "d:Description": "Souvik Mukherjee discusses the notion of a courtier by dwelling on Bembo's Discourse on Love in Castiglione's The Courtier.", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance", "url": "http://www.literature-study-online.com/essays/castiglione.html"} +{"d:Title": "Old Spelling Renaissance Texts", "d:Description": "A couple of old-spelling, SGML-encoded editions of early individual copies of English Renaissance books and manuscripts.", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance", "url": "http://www.library.utoronto.ca/utel/ret/ret.html"} +{"d:Title": "Luminarium: Essays on Sixteenth-Century English Literature", "d:Description": "Links to essays on various sixteenth-century authors. Distinguishes between scholarly articles and student projects.", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance", "url": "http://www.luminarium.org/renlit/essays.htm"} +{"d:Title": "English Handwriting, 1500-1700: An Online Course", "d:Description": "Dedicated to writing by hand (as was done from the 15th to the 17th Century). This site includes lessons where one can practice how to understand old handwriting as well as history of how individual letters were written.", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance", "url": "http://www.english.cam.ac.uk/ceres/ehoc/"} +{"d:Title": "Sixteenth Century English Ballads", "d:Description": "Ballads from before and during 1600 along with sheet music and lyrics, both in their original form, and in a form intelligible to modern listeners.", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance", "url": "http://www.pbm.com/~lindahl/ballads/"} +{"d:Title": "H-Cervantes", "d:Description": "H-Net discussion group dedicated to scholarly study of the life, times, and work of Miguel de Cervantes Saavedra. Features subject overview, archives, reviews, Cervantes Society of America, links to related resources, and subscription details. [English and Spanish.]", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance/Cervantes_Saavedra,_Miguel_de", "url": "http://www.h-net.org/~cervantes/"} +{"d:Title": "Classic Bookshelf: Don Quixote", "d:Description": "Online reader. [requires Java]", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance/Cervantes_Saavedra,_Miguel_de/Works", "url": "http://www.classicbookshelf.com/library/Cervantes/"} +{"d:Title": "Literature Network: Don Quixote", "d:Description": "Chapter indexed HTML of the complete text, with search feature, author biography and readers' comments.", "topic": "Top/Arts/Literature/Periods_and_Movements/Renaissance/Cervantes_Saavedra,_Miguel_de/Works", "url": "http://www.online-literature.com/cervantes/don_quixote/"} +{"d:Title": "The Rise of Romanticism", "d:Description": "A history of the Romantic movement in France. Written by Alfred Bates, 1906.", "topic": "Top/Arts/Literature/Periods_and_Movements/Romanticism", "url": "http://www.theatrehistory.com/french/romanticism001.html"} +{"d:Title": "A Sampling of French Surrealist Poetry", "d:Description": "Works by Desnos, Eluard, R\u00e9verdy and Soupault in English translation.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.alb-neckar-schwarzwald.de/surrealism/surrealism.html"} +{"d:Title": "Surrealist Love Poems", "d:Description": "Three poems by surrealist writers, from the book of the same name.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.press.uchicago.edu/Misc/Chicago/098710.html"} +{"d:Title": "No More Words", "d:Description": "A \"non-glossary\" including brief profiles of various surrealists and dadaists.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www-personal.umich.edu/~rmutt/dictionary/NoMoreWords.html"} +{"d:Title": "The Surrealism Server", "d:Description": "Extensive collection including a bibliography, image gallery, surrealist games, and the Surrealist Compliment Generator.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.madsci.org/~lynn/juju/surr/surrealism.html"} +{"d:Title": "Uurealism", "d:Description": "Contemporary blending of art and spirituality to create texts and performance art, influenced in part by surrealist methods.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.urrealist.com/"} +{"d:Title": "Personal website of Eric W. Bragg", "d:Description": "Features the art and books of this author and artist. Provides links to other pertinent surrealist sites.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://surrealcoconut.com/"} +{"d:Title": "Exact Change", "d:Description": "Publishes literary classics of surrealism, dada, fluxus, pataphysics, and other experimental art movements of the 19th and 20th century.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.exactchange.com/"} +{"d:Title": "Paul Colinet: Selected Prose Poems", "d:Description": "Belgian Surrealist prose poems, translated.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://capa.conncoll.edu/colinet.htm"} +{"d:Title": "Palimpsest byJ. B. Sclisizzi", "d:Description": "Collage poetry, short excerpts from books including Breton's Nadja.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.paraethos.com/palimpsest/"} +{"d:Title": "Surrealist Writers", "d:Description": "Brief glossary of primary writers and precursors of the surrealist movement, with many links to sites on individual writers.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://alangullette.com/lit/surreal/"} +{"d:Title": "History of the Cadavre Exquis", "d:Description": "Brief description of this artistic technique.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.cyberstars.com/ron-mike/history.htm"} +{"d:Title": "What is Surrealism?", "d:Description": "Essay by Andre Breton.", "topic": "Top/Arts/Literature/Periods_and_Movements/Surrealism", "url": "http://www.myism.com/surrealism.htm"} +{"d:Title": "American Transcendentalism", "d:Description": "Selected bibliography and links to major writers in transcendentalism.", "topic": "Top/Arts/Literature/Periods_and_Movements/Transcendentalism", "url": "http://www.csustan.edu/english/reuben/pal/chap4/CHAP4.HTML"} +{"d:Title": "Complexity Simplified", "d:Description": "Personal thoughts on writing, religion and science.", "topic": "Top/Arts/Literature/Personal_Pages", "url": "http://raimalarter.blogspot.com/"} +{"d:Title": "GoddessLibrarian", "d:Description": "Reading, writing and whatever else Jen McIntosh wants.", "topic": "Top/Arts/Literature/Personal_Pages", "url": "http://www.goddesslibrarian.com/"} +{"d:Title": "Passions in Poetry", "d:Description": "Archive of contemporary and user-submitted poetry with categories dedicated to love, sadness, friendship and life.", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.netpoets.com/"} +{"d:Title": "Glossary of Literary and Rhetorical Terms", "d:Description": "A brief glossary of literary terms, focusing on poetic terms in particular.", "topic": "Top/Arts/Literature/Poetry", "url": "http://andromeda.rutgers.edu/~jlynch/Terms/"} +{"d:Title": "Poetry at BellaOnline", "d:Description": "Resource offers archived features, categorized links and recommended reading.", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.bellaonline.com/Site.asp?name=Poetry"} +{"d:Title": "DayPoems", "d:Description": "A random walk through eight centuries of English-language verse, updated daily. Visitors can add their poems by email, and webmasters can link to individual poems. Indexed by poet and title. Illustrated.", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.daypoems.net/"} +{"d:Title": "Modern Poets - University of Vermont", "d:Description": "An introduction to the reading of poetry, with short introductions to several well known modern poets and audio files with discussions or readings of their works.", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.uvm.edu/~sgutman/"} +{"d:Title": "Glossary of Poetic Terms", "d:Description": "\"A unique guide for the study of poetry, with an extensive range of definitions, cross-references between related terms, informative sidelights, hyperlinked keywords, phonetic pronunciation guides and numerous examples.\"", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.poeticbyway.com/glossary.html"} +{"d:Title": "EnviroArts", "d:Description": "Emphasizes the literary and visual arts in relation to nature, with poetry and essays by contemporary authors (culled mostly from the pages of Orion and Orion Afield magazines--which co-sponsor this site) and information on historic naturalism and naturalist literature.", "topic": "Top/Arts/Literature/Poetry", "url": "http://arts.envirolink.org/"} +{"d:Title": "Wikipedia: Poetry", "d:Description": "Article discussing the nature of the form, its history, terminology and the different traditions.", "topic": "Top/Arts/Literature/Poetry", "url": "http://en.wikipedia.org/wiki/Poetry"} +{"d:Title": "Inspired Poems", "d:Description": "A bilingual English and German anthology of poems inspired by other poems and poets.", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.beilharz.com/insp/"} +{"d:Title": "PoetryFoundation.org", "d:Description": "Publisher of Poetry magazine, and an independent literary organization committed to a presence for poetry in American culture. Includes poems, articles and podcasts as well as resources. Chicago, Illinois, United States.", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.poetryfoundation.org/"} +{"d:Title": "Fooling with Words", "d:Description": "Website for the PBS television special hosted by Bill Moyers includes performances and interviews with some of America's most accomplished poets.", "topic": "Top/Arts/Literature/Poetry", "url": "http://www.pbs.org/wnet/foolingwithwords/"} +{"d:Title": "Mudlark", "d:Description": "Each issue of Mudlark is an \"electronic chapbook\" by one poet, but it also publishes shorter selections in between issues.", "topic": "Top/Arts/Literature/Poetry/Contemporary", "url": "http://www.unf.edu/mudlark/index.html"} +{"d:Title": "Between The Lines", "d:Description": "Conversations with contemporary poets about their life and work. Generous extracts from the series of books.", "topic": "Top/Arts/Literature/Poetry/Contemporary", "url": "http://www.interviews-with-poets.com/"} +{"d:Title": "Martine Bellen", "d:Description": "Includes new work, biographical information, and is maintained by author.", "topic": "Top/Arts/Literature/Poetry/Contemporary", "url": "http://www.martinebellen.com/"} +{"d:Title": "Electronic Poetry Center", "d:Description": "\"The EPC serves as a central gateway to resources in electronic poetry and poetics produced at the University at Buffalo [New York] as well as elsewhere on the Internet.\" Emphasis on \"experimental and formally innovative\" work.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://epc.buffalo.edu/"} +{"d:Title": "Click Poetry", "d:Description": "David Knoebel's sound poetry site. Highly resourceful use of sound possibilities online. Most are compact and don't require state of the art computer equipment.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://home.ptd.net/~clkpoet/"} +{"d:Title": "Light and Dust", "d:Description": "This pluralistic and comprehensive site includes at least one example of virtually every form of experiment in progress over the last 30 years.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://www.thing.net/~grist/l&d/lighthom.htm"} +{"d:Title": "Fluxus", "d:Description": "Appropriately confusing site. Includes work by George Maciunus, and Dick Higgins.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://www.panix.com/~fluxus"} +{"d:Title": "Honeysuckle Hecate", "d:Description": "A stream of consciousness womanist underwater assemblage of words and images from travels (inner and outer) around the world.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://paillette1.tripod.com/"} +{"d:Title": "Mez", "d:Description": "Australian Mez, also known as Netwurker, writes in a code she calls Mesangelle. Samples, resume, and reviews.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://www.hotkey.net.au/~netwurker/"} +{"d:Title": "Endless Poetry", "d:Description": "The poetry on this site was generated using a random poetry generator.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://www.endlesspoetry.com/"} +{"d:Title": "One World Mail Art Show", "d:Description": "Good mail art site on many counts: international scope and purpose, school children's section, documentation of show and participants. Perhaps best is the \"closeups\" section, which includes samples of many mail art regulars. Curated by Mark Bloch.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://www.panmodern.com/one.html"} +{"d:Title": "9th Street Laboratories", "d:Description": "Host jake Berry showcases his poetry along with work by colleagues. Links to related pages not limited to poetry.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Experimental", "url": "http://jakeberry.tripod.com/NinthStLabs.html"} +{"d:Title": "The Academy of American Poets", "d:Description": "The Academy of American Poets, the largest organization in the United States, is dedicated to the art of poetry, supports American poets at all stages of their careers and fosters the appreciation of contemporary poetry.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Resources", "url": "http://www.poets.org/"} +{"d:Title": "Poetry Links Library", "d:Description": "An extensive library of links, arranged in these categories: online journals, resource sites, West Coast independent book shops, Japanese forms, poets of renown, and contemporary poets on the Web.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Resources", "url": "http://www.whiteowlweb.com/poetrylink.htm"} +{"d:Title": "International Black Writers' and Artists", "d:Description": "Primarily oriented toward membership, but includes links, references, and a newsletter.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Resources", "url": "http://www.members.tripod.com/~IBWA/"} +{"d:Title": "Workshop of the Scripturality", "d:Description": "The site presents the artwork of Jo\u00eblle Dautricourt on writing, Hebrew and Latin letters. In English and French.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://jdautricourt.free.fr/"} +{"d:Title": "Strings", "d:Description": "Dan Waber's playful series of Flash pieces about relationships.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.vispo.com/guests/DanWaber/index.html"} +{"d:Title": "Kaldron On-Line", "d:Description": "Official site for the visual poetry magazine. Archives, articles and presentations of individual poets.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.thing.net/~grist/l&d/kaldron.htm"} +{"d:Title": "Lettriste Pages", "d:Description": "Main site on the web for this French visual poetry movement, censored out of standard Concrete anthologies. Among other distinctions, Lettrisme was the art form of the 1968 French Students' Movement that came close to bringing about a revolution. Work by founder Isidore Isou, and members from succeding generations.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.thing.net/~grist/l&d/lettrist/lettrist.htm"} +{"d:Title": "Workshop with Hungarian Visual Poets", "d:Description": "Poetry by Maria Hegedus, Tibor Papp, Gabor Toth and others, most publishing in Hungarian Workshop magazine, which helped keep a sense of community going, even when some of these poets lived in exile.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.thing.net/~grist/l&d/hungary/hungary.htm"} +{"d:Title": "A Collective Effort of Australian Visual Poets", "d:Description": "Visual poetry from thalia, Jas H,. Duke, Ruth Cowen, and other poets associated with Collective Effort Press.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.thing.net/~grist/l&d/thalia/aus.htm"} +{"d:Title": "Poetic Solutions : Poemas interreales", "d:Description": "An intersemiotic site: original graphics, translations, poetry, concrete poetry and art, multilingual domain names. Flash design is used in various pages. The Web Design Poet Award resides at Poetic Solutions. In English and Spanish.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.poeticsolutions.com/logosps.html"} +{"d:Title": "Big Bang Faerie", "d:Description": "Virtual animated poetry by Big Bang Art Inner Movement. Texts in French and English. Includes manifesto.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://bigbangart.free.fr/"} +{"d:Title": "The Body Politic", "d:Description": "By Jennifer Ley. Part of DAC '99, My Millennium, and Cauldron and Net.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.heelstone.com/subtext/"} +{"d:Title": "Tom Phillips A Humument", "d:Description": "Centering on A HUMUMENT, this site includes information about Phillips' projects and samples on new pages of his magnum opus.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.tomphillips.co.uk/humument"} +{"d:Title": "Joglars Crossmedia Beliefware", "d:Description": "Works by Miekal And.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://joglars.org/"} +{"d:Title": "Anatol Knotek", "d:Description": "Artist and visual poet.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://anatolknotek.tumblr.com/"} +{"d:Title": "The Gates of Paradise", "d:Description": "Hundreds of shape poems to the unbound human self by David Daniels and friends. Including selections from his biographical visual epic, Years.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.thegatesofparadise.com/"} +{"d:Title": "Mathematical Poetry", "d:Description": "An artistic expression created by performing mathematical operations on words or images as if they were numbers.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://mathematicalpoetry.blogspot.com/"} +{"d:Title": "Poetry Et cetera", "d:Description": "Deborah Young's visual poetry.", "topic": "Top/Arts/Literature/Poetry/Contemporary/Visual_and_Concrete", "url": "http://www.poetryetcetera.com/Visual%20Poetry.htm"} +{"d:Title": "Luminarium", "d:Description": "Collection of classical literary criticism on the Internet (1350 to 1660 A.D.)", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.luminarium.org/lumina.htm"} +{"d:Title": "It Is, As It's Elsewhere", "d:Description": "Report by Lynette Abel of a class given by Eli Siegel on the meaning of poetry. In it, he discusses Carl Sandburg's \"To a Contemporary Bunkshooter.\"", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.lynetteabel.org/Report-Sandburg.html"} +{"d:Title": "Electronic Book Review", "d:Description": "An online literary review.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.altx.com/ebr/reviews/rev8/index.html"} +{"d:Title": "Rebels: Painters and Poets of the 1950s", "d:Description": "An essay on the \"Rebel Poets of the 1950s\" by Steven Watson.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.npg.si.edu/exh/rebels/"} +{"d:Title": "Against National Poetry Month As Such", "d:Description": "Poet and critic Charles Bernstein explains why National Poetry Month is bad for poetry.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.press.uchicago.edu/Misc/Chicago/044106.html"} +{"d:Title": "Danny Yee's Book Reviews: Poetry", "d:Description": "A collection of poetry reviews. Authors reviewed include Ursula K. Le Guin and Robert Graves.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://dannyreviews.com/s/poetry.html"} +{"d:Title": "Online Literary Criticism Collection", "d:Description": "The Internet Public Library's database of literary criticism.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.ipl.org/div/litcrit/"} +{"d:Title": "Poetry Snark", "d:Description": "Attacks on contemporary American poets.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://poetrysnark.blogspot.com/"} +{"d:Title": "Life, love, death, and poetry in the work of Brian Patten", "d:Description": "An essay on the work of Brian Patten, one of the Liverpool Poets.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.literature-study-online.com/essays/patten.html"} +{"d:Title": "Whose New American Poetry?", "d:Description": "Anthologizing in the nineties. An essay by Marjorie Perloff.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://epc.buffalo.edu/authors/perloff/anth.html"} +{"d:Title": "Bad Poetry", "d:Description": "An introduction to bad poetry, though not necessarily by bad poets, with texts of various exemplary poems. As selected and annotated by Professor Seamus Cooney.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://unix.cc.wmich.edu/~cooneys/poems/bad/index.html"} +{"d:Title": "The Genealogy of Postmodernism", "d:Description": "An essay by Albert Gelpi.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.writing.upenn.edu/~afilreis/88/gelpi.html"} +{"d:Title": "berniE-Zine: Rants, Raves&Reviews", "d:Description": "Book reviews by Bernadette \"bernie\" Geyer.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://rantsravesreviews.homestead.com/"} +{"d:Title": "Modern American Poetry", "d:Description": "Critical and historical essays and syllabi on modern American poetry. Many of the works relate to poems in the Oxford Anthology of Modern American Poetry.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.english.illinois.edu/maps/"} +{"d:Title": "DiversityBay: Book Reviews", "d:Description": "Reviews of gay writing coming out of Australia.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://diversitybay.tripod.com/books/page1.html"} +{"d:Title": "Criticizing the Poetry Slam by John Brady", "d:Description": "An article that examines the \"free-for-all\" atmosphere of performance poetry.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://bad.eserver.org/issues/1993/08/brady.html"} +{"d:Title": "Can Poetry Matter? by Dana Gioia", "d:Description": "A 1991 essay examining why poetry has vanished as a cultural force in America.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/gioia/gioia.htm"} +{"d:Title": "Aristotle's Poetics", "d:Description": "Heavily annotated translation at the Perseus Project's website.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:abo:tlg,0086,034"} +{"d:Title": "Callaloo", "d:Description": "Journal of African and African-American writing containing original work by and critical studies of black writers worldwide.", "topic": "Top/Arts/Literature/Poetry/Criticism_and_Theory", "url": "http://muse.jhu.edu/journal/27"} +{"d:Title": "Patrick Martin's Poetry Resource", "d:Description": "A large, general directory of print-published poets from all periods, plus many web-published. 700 links, most with comments by Patrick.", "topic": "Top/Arts/Literature/Poetry/Directories", "url": "http://www.pmpoetry.com/"} +{"d:Title": "About.com Poetry", "d:Description": "Poets and poetry online including poetry festivals, readings, audio and video archives, contests, zines, word games and collaborations, haiku, and sonnets. Featured weekly essays, and interviews.", "topic": "Top/Arts/Literature/Poetry/Directories", "url": "http://poetry.about.com/"} +{"d:Title": "Art4net - Poetry Channel", "d:Description": "Links for these poets: Baudelaire, Byron, Rimbaud, Shelley, Keats, and Rilke. And a painting/portrait for each of the poets.", "topic": "Top/Arts/Literature/Poetry/Directories", "url": "http://www.art4net.com/POETRY.html"} +{"d:Title": "Find Poetry", "d:Description": "findpoetry.com. From Shakespeare to People's Poems. Add your poetry site for free or search through thousands of poetry pages.", "topic": "Top/Arts/Literature/Poetry/Directories", "url": "http://www.findpoetry.com/"} +{"d:Title": "Bartleby.com", "d:Description": "Online publisher of classic works of poetry, literature, and reference.", "topic": "Top/Arts/Literature/Poetry/Directories", "url": "http://www.bartelby.com/verse/"} +{"d:Title": "Poetry and Literary Magazines, Journals, and Ezines", "d:Description": "Consists of an extensive list of poetry magazines and journals on the 'Net, compiled by American poet Frederick Glaysher.", "topic": "Top/Arts/Literature/Poetry/Directories", "url": "http://www.fglaysher.com/LitLinks.htm"} +{"d:Title": "Modern&Contemporary American Poetry", "d:Description": "Reading for the English 88 class at the University of Pennsylvania. Several hundred links.", "topic": "Top/Arts/Literature/Poetry/Directories", "url": "http://www.writing.upenn.edu/~afilreis/88/home.html"} +{"d:Title": "Poetry", "d:Description": "Collection of assorted poems indexed by author, title, first line and keywords.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.raingod.com/angus/Poetry/index.html"} +{"d:Title": "World Wide School: Literature-Poetry", "d:Description": "Collection of rare and popular works including \"Ballad of Reading Gaol\", by Oscar Wilde and \"The Hunting of the Snark\" by Lewis Carroll.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.worldwideschool.org/library/catalogs/bysubject-lit-poetry.html"} +{"d:Title": "Poem of the Week", "d:Description": "Archive of past offerings indexed by poet, first line, title and occasion. Includes submission guidelines and related links.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.potw.org/"} +{"d:Title": "LionHeart", "d:Description": "Searchable collection of love poems spanning four centuries of literature. Also indexed by poet name.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://lionheart.chadwyck.co.uk/"} +{"d:Title": "eMule.com: Poetry Archives", "d:Description": "Educational resource dedicated to researching poetry. Includes an online archive of poetry by numerous recognized poets.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.emule.com/poetry/"} +{"d:Title": "Poetic Miscellany", "d:Description": "Includes selections by Shakespeare, Keats and Tennyson in addition to a personal poetry archive and related links.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.angelfire.com/co3/poeticmiscellany/"} +{"d:Title": "EveryPoet.com - Archive of World Poetry", "d:Description": "Classic poetry from around the world. Mostly British and American.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.everypoet.com/archive/"} +{"d:Title": "Contemporary American Poetry Archive", "d:Description": "Archive of out-of-print collections of contemporary American poetry, indexed alphabetically by poet name.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://capa.conncoll.edu/"} +{"d:Title": "Bartleby.com", "d:Description": "American and English works between 1250 and 1920. Offers numerous anthologies including \"The Oxford Book of English Verse\", the \"Yale Book of American Verse\" and \"Modern American and British Poetry\".", "priority": "1", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.bartleby.com/verse/"} +{"d:Title": "Bibliomania Poetry Archive", "d:Description": "An archive of public domain poetry texts including the works of Blake, Rupert Brooke and Oscar Wilde. Requires JavaScript.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.bibliomania.com/0/2/frameset.html"} +{"d:Title": "Digital Poets Society", "d:Description": "Large searchable collection of classic poetry including Blake, Eliot, Shakespeare and Wilde. Includes related links.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://users.compaqnet.be/cn127848/main.htm"} +{"d:Title": "Categorized Poetry by Pros", "d:Description": "Collection of mostly humorous but sometimes sentimental poetry by authors such as Housman, Herrick, Cope, and Chesterton, sorted by categories such as Advice, Animals, Death, and War.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://littlecalamity.tripod.com/Poetry.html"} +{"d:Title": "Dream Wine", "d:Description": "Ongoing anthology with traditional and translated works from poets such as William Carlos Williams and A.A. Milne.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.users.globalnet.co.uk/~lavie/dreamwine/"} +{"d:Title": "The Poem Tree", "d:Description": "Traditional and contemporary metered poetry, with critical essays and links.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.poemtree.com/"} +{"d:Title": "A Small Anthology of Poems", "d:Description": "Wide variety of poems that are annotated and/or introduced with commentary and author biographical information.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://unix.cc.wmich.edu/~cooneys/poems/index.html"} +{"d:Title": "Poetry 180", "d:Description": "A poem a day provided by the Library of Congress, one for each of the 180 days of a typical American high school year.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.loc.gov/poetry/180/"} +{"d:Title": "Poetry Archive", "d:Description": "Directory-structured database of famous poetry, both written in English and translated.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.poetry-archive.com/"} +{"d:Title": "Anthology of Poetry", "d:Description": "Includes about 650 poems by 117 great poets.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.web-books.com/Classics/Poetry/Anthology/contents.htm"} +{"d:Title": "Poetry X \u00bb Poems", "d:Description": "Large poetry archive featuring classic and contemporary poems along with commentary and analysis.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://poetry.poetryx.com/"} +{"d:Title": "Our Favorite Poems", "d:Description": "Eclectic personal selection of public domain texts of poems from a wide range of authors.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.kyphilom.com/www/poems.html"} +{"d:Title": "Internet Poetry Archive", "d:Description": "Collection of poems by six contemporary poets: Czeslaw Milosz, Seamus Heaney, Philip Levine, Robert Pinsky, Margaret Walker and Yusef Komunyakaa.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.ibiblio.org/dykki/poetry/"} +{"d:Title": "American Verse Project", "d:Description": "Organization for the acquisition, creation and maintenance of electronic texts. Includes a bibliography and a mission statement.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://quod.lib.umich.edu/a/amverse/"} +{"d:Title": "Representative Poetry Online", "d:Description": "Collection of poetry spanning 1400 years, edited by members of the Department of English at the University of Toronto. Indexed by poet, title and first line. Includes critical articles, bibliographies, and other aids for students. Mostly English, some French.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://rpo.library.utoronto.ca/"} +{"d:Title": "Poetry In Translation", "d:Description": "An electronic archive of browsable and downloadable English translations of major European and Chinese Classical poets. Also offers original works by A.S. Kline.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://poetryintranslation.com/"} +{"d:Title": "Famous Poetry Online", "d:Description": "A poetry resource providing famous poems by the world's most popular poets.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.famousliteraryworks.com/"} +{"d:Title": "The Wondering Minstrels", "d:Description": "Archive of the mailing list offering works by numerous poets. Includes personal commentary, critical analysis and biographical information.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://wonderingminstrels.blogspot.com/"} +{"d:Title": "CMU Poetry Index of Canonical Verse", "d:Description": "Searchable archive of online texts from a wide range of recognized poets, both historical and contemporary.", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://poetry.eserver.org/"} +{"d:Title": "Snap Dragon Poetry Corner", "d:Description": "A collection of poems (all on one page). Also includes a selection of \"Bad Poems\".", "topic": "Top/Arts/Literature/Poetry/Electronic_Text_Archives", "url": "http://www.snap-dragon.com/poetry.html"} +{"d:Title": "Marc Moskowitz's Latin Poetry Page", "d:Description": "\"This page is meant to be a jumping-off point for examples of modern (aka 20th century) Latin verse as well as information about such things as scansion, poetic style, and anything else that seems related.\"", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://www.suberic.net/~marc/latinpoetry.html"} +{"d:Title": "Poetry: Meter, Form, and Rhythm", "d:Description": "This site provides interactive tutorials in poetic forms and meter, links to other poetry sites, and numerous examples of stanzas and fixed poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://www.uncg.edu/~htkirbys/intro.html"} +{"d:Title": "It's What I Do", "d:Description": "Poetry, different styles of writing, art work.", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://flipzoied.tripod.com/"} +{"d:Title": "Prosody Anecdotes", "d:Description": "Alberto Rios of Arizona State University has posted anecdotes and introductions to some of the canonical forms of European poetry.", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://www.public.asu.edu/~aarios/formsofverse/anecdotes/"} +{"d:Title": "Spectra", "d:Description": "Satiric poetic 'school' founded by Witter Bynner and Arthur Davison Ficke.", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://www.sniggle.net/spectra.php"} +{"d:Title": "Arnaut and Karkur's Prosody Resource", "d:Description": "A revised edition of this high-brow prosody guide. The phonetic aspects of English poetry seen through a comparison with other Western European languages.", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://www.trobar.org/prosody"} +{"d:Title": "Interactive Quiz on Meter", "d:Description": "\"Test your grasp of terms and your ability to identify [poetic] meters by name.\"", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://unix.cc.wmich.edu/~cooneys/tchg/quiz/meter/q2/quiz.html"} +{"d:Title": "Sprung Rhythm in Hopkins", "d:Description": "A brief description of Gerard Manley Hopkin's use and interpretation of the term.", "topic": "Top/Arts/Literature/Poetry/Forms", "url": "http://www.victorianweb.org/authors/hopkins/hopkins13.html"} +{"d:Title": "Food, Drink and Romance", "d:Description": "Selection of verse based on names.", "topic": "Top/Arts/Literature/Poetry/Forms/Acrostics", "url": "http://locus1.com/fdr/words/acrostics.htm"} +{"d:Title": "Acrostics of Self-Inscription", "d:Description": "Verse by Women Poets of the Romantic Age", "topic": "Top/Arts/Literature/Poetry/Forms/Acrostics", "url": "http://www.english.upenn.edu/~curran/250-96/Acrostics/romantic.html"} +{"d:Title": "Baseball Acrostics", "d:Description": "Collection of baseball acrostics from Writer's Corner", "topic": "Top/Arts/Literature/Poetry/Forms/Acrostics", "url": "http://www.mikki.net/baseball_acrostics.htm"} +{"d:Title": "Acrostics by Seminar Members", "d:Description": "Collection of verse from The University Of Pennsylvania.", "topic": "Top/Arts/Literature/Poetry/Forms/Acrostics", "url": "http://www.english.upenn.edu/~curran/250-96/Acrostics/seminar.html"} +{"d:Title": "Name Poems for Free", "d:Description": "A collection of acrostic name poems by Nicholas Gordon; works are free for any personal or non-commercial purpose.", "topic": "Top/Arts/Literature/Poetry/Forms/Acrostics", "url": "https://www.poemsforfree.com/namepoems.html"} +{"d:Title": "Wikipedia: Alliterative Verse", "d:Description": "Article with sections on common features and Old English and Old Norse poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Alliteration", "url": "http://en.wikipedia.org/wiki/Alliterative_verse"} +{"d:Title": "Alliteration in Poems", "d:Description": "Examples of alliteration and a worksheet for children and teachers.", "topic": "Top/Arts/Literature/Poetry/Forms/Alliteration", "url": "http://www.primaryresources.co.uk/english/pdfs/PC_alit.pdf"} +{"d:Title": "TRINPsite: Vocabulary of Alliteration", "d:Description": "\". . . a new aid in writing poems and songs.\"", "topic": "Top/Arts/Literature/Poetry/Forms/Alliteration", "url": "http://in.home.xs4all.nl/Poet/VocAll.htm"} +{"d:Title": "Dramatic Monologue: An Introduction", "d:Description": "A hypertext-annotated introduction to dramatic monologue.", "topic": "Top/Arts/Literature/Poetry/Forms/Dramatic_Monologue", "url": "http://www.victorianweb.org/authors/rb/dm1.html"} +{"d:Title": "Form Reports", "d:Description": "Descriptions and examples of the kyrielle, terza rima, sapphics, the rondeau, the sonnet, the villanelle, and the sestina. From a course taught by Alberto Rios.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.public.asu.edu/~aarios/formsofverse/reports2000/index.html"} +{"d:Title": "Poetry Forms and Terminology", "d:Description": "A compendium of links to sites that define, explain, and give examples of a variety of poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://thewordshop.tripod.com/forms.html"} +{"d:Title": "Suzie's Sanctuary - Poetry", "d:Description": "Anthology by Suzanne Honour including different types of form poetry, including the cinquain, clerihew, haiku, kyrielle, rondeau, and villanelle.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://members.optusnet.com.au/kazoom/poetry/"} +{"d:Title": "Craft of Poetry", "d:Description": "A course on writing poems in rhyme, meter, and inherited forms. Covers the sonnet, sestina, and villanelle, among others. Taught by Vince Gotera and Damon McLaughlin at the University of Northern Iowa.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.uni.edu/~gotera/CraftOfPoetry"} +{"d:Title": "Personality Quiz - What Poetry Form Am I?", "d:Description": "A quiz of personal characteristics that leads to a humorous poem in one of several forms, including the cinquain, triolet, sonnet, and terza rima.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://quiz.ravenblack.net/poeticform.pl"} +{"d:Title": "deviantART: poetic-forms", "d:Description": "An online community of poets interested in trying out various poetic forms. Includes write-ups on each form, with examples, by members of the community.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://poetic-forms.deviantart.com/"} +{"d:Title": "The Desolation Poems: Poetic Forms Used in English", "d:Description": "Poems by Jan Haag in each of 300 forms used in the English Language.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.janhaag.com/PODesIntro.html"} +{"d:Title": "The Academy of American Poets - Poetic Forms&Techniques", "d:Description": "Essays on fixed forms that first appeared in the National Poetry Almanac.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.poets.org/page.php/prmID/197"} +{"d:Title": "Verse Forms", "d:Description": "Descriptions with examples of the triolet, terzanelle, terza rima, villanelle, and clerihew.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.gryphonsmith.com/fileg/verse/formshome.html"} +{"d:Title": "Shadow Poetry", "d:Description": "Explanations of a wide variety traditional and recently invented poetic forms with examples by Shadow Poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.shadowpoetry.com/resources/wip/types.html"} +{"d:Title": "Guide to Verse Forms", "d:Description": "Descriptions and examples by Bob Newman of a variety of verse forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.volecentral.co.uk/vf/"} +{"d:Title": "The Poets Garret", "d:Description": "Explanations and examples of a variety of poetic forms, including Celtic and Asian forms, as well most Western forms. Readers are invited to submit their own examples of each form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.thepoetsgarret.com/"} +{"d:Title": "Table of Forms", "d:Description": "Short descriptions of both stanza and poetic forms, with examples. By H.T. Kirby-Smith at the University of North Carolina at Greensboro.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.uncg.edu/~htkirbys/stanzas.htm"} +{"d:Title": "Writing-World.com: Poetry", "d:Description": "A collection of articles on writing poetry, including articles on such forms as the sestina, the sonnet, the triolet, and the villanelle.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.writing-world.com/poetry/"} +{"d:Title": "Poetry Kaleidoscope: Guide to Poetry", "d:Description": "Brief explanations with examples of nearly all aspects of poetry, including forms, types, techniques, and national histories.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://languageisavirus.com/poetry-guide/index.html"} +{"d:Title": "Workshops in Poetic Forms", "d:Description": "Descriptions with examples of a variety of poetic forms, including the cinquain, kyrielle, pantoum, rondeau, sonnet, and triolet. From Forward Press.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.forwardpoetry.co.uk/workshops.php"} +{"d:Title": "Poetry Knowledge Zone", "d:Description": "Lessons by Smitha Chakravartula on many aspects of poetry, including such fixed forms as the pantoum, sonnet, villanelle, and terza rima. Part of a much larger site on art and culture, focusing on the cultural heritage of India.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.boloji.com/index.cfm?md=Content&sd=KnowledgeZones"} +{"d:Title": "Web Exhibits: Poetry through the Ages", "d:Description": "A description and step-by-step guide to writing a number of poetic forms with numerous examples. Includes a brief history of poetry and a glossary of poetic terms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.webexhibits.org/poetry/"} +{"d:Title": "Poetry Through the Ages", "d:Description": "The history of a number of fixed verse forms, with famous examples and instructions of how to write them. Includes famous, classic, obscure, and 21st Century forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.webexhibits.org/poetry/explore_overview.html"} +{"d:Title": "Poetry Soup", "d:Description": "A large site with many sections, including definitions, descriptions, and examples of a wide variety of poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.poetrysoup.com/"} +{"d:Title": "Stepping Stones", "d:Description": "Terzanelles and Villanelles by Erin A. Thomas.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://formlesspoet.blogspot.com/search/label/villanelles"} +{"d:Title": "Poetry Terms and Poetry Forms", "d:Description": "Definitions, with an anthology of poetry on a variety of subjects.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "http://www.famousliteraryworks.com/poetry-terms.htm"} +{"d:Title": "Young Writers Glossary of Poetry Types", "d:Description": "Written for British school children. Contains descriptions and instructions for writing a wide variety of fixed poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms", "url": "https://www.youngwriters.co.uk/glossary-poetry-types"} +{"d:Title": "The Oxford Book of Ballads", "d:Description": "176 selections by the anthologist Arthur Quiller-Couch. Indexed by title and first line.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://www.bartleby.com/243/"} +{"d:Title": "Ballad", "d:Description": "A short history of the form with a link to a description of the ballad stanza.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://writing.upenn.edu/~afilreis/88/ballad.html"} +{"d:Title": "Poetic Forms: The Ballad", "d:Description": "A description and short history of the form, with examples, by Conrad Geller.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://www.writing-world.com/poetry/ballad.shtml"} +{"d:Title": "Connections: Poetry: Forms: The Ballad", "d:Description": "A four-page description of the form with examples both old and new.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://www.math.grin.edu/~simpsone/Connections/Poetry/Forms/ballad1.html"} +{"d:Title": "Ballad", "d:Description": "A history of the ballad with many famous examples of the form. Includes instruction on how to write a ballad.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://www.webexhibits.org/poetry/explore_famous_ballad_atglance.html"} +{"d:Title": "The Traditional Ballad Index", "d:Description": "Annotated bibliography of folk ballads.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://www.fresnostate.edu/folklore/BalladSearch.html"} +{"d:Title": "Ballad of the Goodly Fere", "d:Description": "A famous modern literary ballad by Ezra Pound.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://www.bartleby.com/265/295.html"} +{"d:Title": "Ballads For Dummies", "d:Description": "Simple instructions on how to write a ballad with a link to a prior lesson in how to write in meter and rhyme.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballad", "url": "http://www.dummies.com/how-to/content/ballads-a-traditional-form-of-poetry.seriesId-332011.html"} +{"d:Title": "Poetry Form - The Ballade", "d:Description": "A description of the form with instructions on how to write one.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballade", "url": "http://www.baymoon.com/~ariadne/form/ballade.htm"} +{"d:Title": "Ballade of the Hanged", "d:Description": "Francois Villon's epitaph in both English and French, with a description of the form and a short biography of the poet.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballade", "url": "http://wonderingminstrels.blogspot.com/2000/09/ballade-of-hanged-villon-epitaph.html"} +{"d:Title": "A Ballade of Suicide", "d:Description": "A humorous example of the form by G.K. Chesterton.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballade", "url": "http://poetry.poetryx.com/poems/8589/"} +{"d:Title": "Examples of Ballade Poetry", "d:Description": "A large number provided by members of poetrysoup.com.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballade", "url": "http://www.poetrysoup.com/poems/ballade"} +{"d:Title": "Francois Villon: Ballades", "d:Description": "Two by the early master of the form, one translated by Rossetti and one by Swinburne.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Ballade", "url": "http://www.fordham.edu/halsall/source/villon1.asp"} +{"d:Title": "CinquainPoets", "d:Description": "An online community of cinquain poets who meet in an open membership e-list on Yahoo!Groups.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://groups.yahoo.com/group/CinquainPoets/"} +{"d:Title": "AMAZE: The Cinquain Journal", "d:Description": "Dedicated to developing, promoting, and publishing cinquains in the traditional form established by Adelaide Crapsey as well as innovative forms such as mirror cinquains and cinquain cycles or sequences.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://www.amaze-cinquain.com/index.html"} +{"d:Title": "Cinquain", "d:Description": "A description of the form with examples by Suzanne Honour.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://members.optusnet.com.au/kazoom/poetry/cinquain.html"} +{"d:Title": "Personality Quiz - What Poetry Form Am I?", "d:Description": "A cinquain that describes the \"personality\" of a cinquain. With html code for transferring the cinquain to your site and links to \"personality quizzes\" for other poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://quiz.ravenblack.net/poeticform.pl?q=1&a=8"} +{"d:Title": "AHA! Poetry: Cinquain", "d:Description": "A description of the form with numerous examples by Jeanne Cassler, Thomas D. Greer, and Alan Reynolds.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://www.ahapoetry.com/cinqhmpg.htm"} +{"d:Title": "two4six8two", "d:Description": "Some \"fun\" examples of the cinquain that can be used to introduce students to short poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://two4six8two.blogspot.com/"} +{"d:Title": "Brief Words", "d:Description": "Five cinquains and five doublets (couplets with a title) by the Scottish poet William Soutar.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://wiiliamsoutar.blogspot.com/"} +{"d:Title": "Cinquain.org", "d:Description": "An exploration by Aaron Toleos of the American cinquain as popularized by Adelaide Crapsey. Includes cinquains by Toleos as well as the 28 cinquains by Crapsey included in the second edition of \"Verse.\" Also includes the theory and history of the American cinquain.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://www.cinquain.org/"} +{"d:Title": "American Verse Project - Adelaide Crapsey", "d:Description": "The complete works of Adelaide Crapsey, mother of the cinquain form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?type=simple&c=amverse&cc=amverse&sid=ba916e3235ca30151c821ab8aab270be&q1=Adelaide+Crapsey&rgn=div1&view=toc&idno=BAE8954.0001.001"} +{"d:Title": "Cinquain Poems", "d:Description": "Three different formats for writing cinquains, described and illustrated.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://hrsbstaff.ednet.ns.ca/davidc/6c_files/Poem%20pics/cinquaindescrip.htm"} +{"d:Title": "University of Rochester Library: The Adelaide Crapsey Papers, 1978-1934", "d:Description": "A short biography of Adelaide Crapsey; catalogue of papers, scrapbooks, correspondence, and manuscripts house at UR Library's Department of Rare Books and Special Collections. Appropriate resource for scholars.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "http://rbscp.lib.rochester.edu/838"} +{"d:Title": "Poetic Form: Cinquain", "d:Description": "An explanation of the form, with examples earlier than those of Crapsey, from the Academy of American Poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Cinquain", "url": "https://www.poets.org/poetsorg/text/cinquain-poetic-form"} +{"d:Title": "Clerihews from \"Biography for Beginners\"", "d:Description": "By English journalist Edmund Clerihew Bentley, the inventor of the form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Clerihew", "url": "http://www.theotherpages.org/poems/bentley1.html#1"} +{"d:Title": "How to Write a Clerihew", "d:Description": "Giggle Poetry provides an overview of rules and examples for writing Clerihew poetry.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Clerihew", "url": "http://www.gigglepoetry.com/poetryclassdetail.aspx?LessonPlanID=19"} +{"d:Title": "Clerihew Poems", "d:Description": "Political Clerihews (not all adhering to the form) from a conservative point of view. By Roger W. Hancock, who calls himself the PoetPatriot.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Clerihew", "url": "http://www.poetpatriot.com/poems-clerihews.htm"} +{"d:Title": "Clerihews at Verse", "d:Description": "Clerihews to mark important events and newsworthy people in the United Kingdom.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Clerihew", "url": "http://verse.org.uk/"} +{"d:Title": "Philosophical Clerihews", "d:Description": "A small selection of clerihews by Ronald de Sousa.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Clerihew", "url": "http://homes.chass.utoronto.ca/~sousa/CLERIHEWS.html"} +{"d:Title": "Clerihews on the Romantic-Period Women Poets", "d:Description": "By Nanora Sweet.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Clerihew", "url": "http://www.umsl.edu/~sweetn/swetcler.htm"} +{"d:Title": "Kyrielle", "d:Description": "A definition and description of the form with an example by John Payne. From a class taught by Alberto Rios.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Kyrielle", "url": "http://www.public.asu.edu/~aarios/formsofverse/reports2000/page3.html"} +{"d:Title": "Kyrielle", "d:Description": "A description of the form with examples by Suzanne Honour.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Kyrielle", "url": "http://members.optusnet.com.au/kazoom/poetry/kyrielle.html"} +{"d:Title": "Kyrielle Sonnet", "d:Description": "A description with examples of an expansion of the Kyrielle by adding a final couplet consisting of the first line and the refrain. From a larger site called \"The Poets Garret\" hosted by Terry Clitheroe.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Kyrielle", "url": "http://thepoetsgarret.com/2007Challenge/form7.html"} +{"d:Title": "Limerick o' the Day", "d:Description": "A daily (ribald) limerick, with an archive of previously featured limericks.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://pagebuild.com/limerick/limerick.htm"} +{"d:Title": "Alien Limerick Generator", "d:Description": "This interactive 'net artifact is an exercise in computer glossolalia that allows users to randomly generate metrically perfect nonsense-limericks--in an \"alien\" (that is, not spoken, now nor ever, on Earth) language.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.herald.co.uk/~mel/limerick.html"} +{"d:Title": "Bibliography of Limericks", "d:Description": "List of print books of and on limericks, compiled by Karl Dilcher.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.mscs.dal.ca/~dilcher/limericks.html"} +{"d:Title": "The Limerick", "d:Description": "A brief but insightful description of the limerick form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.english.emory.edu/classes/Handbook/limerick.html"} +{"d:Title": "Meskhi lines", "d:Description": "Limericks and short lines by Slava Meskhi. English, Russian and Georgian languages.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://meskhi.livejournal.com/"} +{"d:Title": "Loony Limericks", "d:Description": "Huge and still growing collection of limericks both naughty and nice. Visitors can also add a line to the mass limerick.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://home.earthlink.net/~kristenaa/"} +{"d:Title": "OEDILF - The Omnificent English Dictionary In Limerick Form", "d:Description": "A project to write at least one limerick for every word in the English language.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.oedilf.com/db/Lim.php"} +{"d:Title": "There Was a Young Man Who Sent E-mails", "d:Description": "The incomplete collected limericks of Richard C. Long.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.richardlong.org.uk/"} +{"d:Title": "Limerick Books of the 1820s", "d:Description": "The two earliest known books of limericks, with a link to a third. Part of an Edward Lear home page.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.nonsenselit.org/Lear/limbooks/index.html"} +{"d:Title": "Giggle Poetry - The Limerick", "d:Description": "Limerick writing for kids. By Bruce Lansky.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.gigglepoetry.com/poetryclassdetail.aspx?LessonPlanID=2"} +{"d:Title": "Limerick Poetry", "d:Description": "A limerick page for children, with a simple explanation and some family-friendly examples, including the option to print out limericks in color. Be warned: the site generates pop-up and new-browser-window ads.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.dltk-holidays.com/patrick/m-limerick.htm"} +{"d:Title": "Limericks", "d:Description": "Limericks by teachers in England. Includes suggestions for classroom activities.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.teachingideas.co.uk/english/limerick.htm"} +{"d:Title": "Polish Limericks", "d:Description": "Translations of English and Polish limericks (English-Polish and Polish-English).", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.limeryki.pl/English/English.html"} +{"d:Title": "The Classic Nantucket Limerick", "d:Description": "The original Nantucket limerick published in the Princeton Tiger in 1924, with various extensions that appeared in other publications.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.lisashea.com/lisabase/poetry/art8660.html"} +{"d:Title": "The \"L\" Blog", "d:Description": "A limerick blog. The limericks, however, are not in the classic form, and the rhymes are often not exact.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://its-the-l-blog.blogspot.com/"} +{"d:Title": "Limericks by Brownielocks", "d:Description": "Clean and funny limericks.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.brownielocks.com/Limericks.html"} +{"d:Title": "Limerick Poems", "d:Description": "Invites visitors to write and rate limericks. Includes a \"how to\" section and a description of the form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://limerickpoems.org/"} +{"d:Title": "Funny Limericks For Everyone", "d:Description": "A limerick blog, with a clean, humorous limerick posted each day. Includes a limerick generator and accepts submissions.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.funny-limericks-for-everyone.co.uk/"} +{"d:Title": "Limericks", "d:Description": "A brief history and explanation along with numerous examples from \"A Book of Nonsense\" by Edward Lear.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.famousliteraryworks.com/limericks.htm"} +{"d:Title": "Smithsonian Magazine - \"The Limerick is Furtive and Mean...\"", "d:Description": "From the Maigue poets to Ogden Nash, witty wordsmiths have delighted in composing the oft-risqu\u00e9 five-line verses. Introductory article.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.smithsonianmag.com/people-places/the-limerick-is-furtive-and-mean-68444799/"} +{"d:Title": "Tomastic Limericks", "d:Description": "An article with commentary from The Pentatette concerning early limerick-like prayers written by St. Thomas Aquinas.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.nonsenselit.org/Lear/limerick/stthomas.html"} +{"d:Title": "A Blog of Bosh: Edward Lear and Nonsense Literature", "d:Description": "A blog that collects a number of sites relating to Edward Lear, the creator of the limerick.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "https://nonsenselit.wordpress.com/"} +{"d:Title": "Limericks", "d:Description": "A discussion of the form by Joel D. Ash, a writer of serious limericks, with examples of serious limerick poetry, including one of his own. However, beware: the two links to books of and about limericks do not work.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Limerick", "url": "http://www.cfcl.com/vlb/Projects/Poetry/limerick.html"} +{"d:Title": "Pantoum, An Explanation", "d:Description": "With links to pantoums by Deane P. Goodwin and Mikki Pennington.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://www.angelfire.com/ok/mikkspen/Pantoum.html"} +{"d:Title": "Poetry Form - The Pantoum", "d:Description": "A description and explanation of the form, with examples and a step-by-step guide to writing one.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://www.baymoon.com/~ariadne/form/pantoum.htm"} +{"d:Title": "Pantoum of the Great Depression", "d:Description": "By Donald Justice. Introduced by Robert Haas.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://www.washingtonpost.com/wp-srv/style/books/features/19980920.htm"} +{"d:Title": "Pantoums for Free", "d:Description": "A collection by Nicholas Gordon that can be used free for any personal or non-commercial purpose.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://www.poemsforfree.com/pantoums.html"} +{"d:Title": "Harmonie du soir (Evening Harmony)", "d:Description": "A pantoum from Flowers of Evil by Charles Baudelaire. In French and in multiple English translations.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://fleursdumal.org/poem/142"} +{"d:Title": "Owl Editing: Sol", "d:Description": "A pantoum by Glen Wheeler.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://sites.google.com/site/glendwheeler/poems-by-glen/pantoum"} +{"d:Title": "Poetry Knowledge Zone: Pantoum", "d:Description": "Explanation, history, and step-by-step instructions for writing by Smitha Chakravarthula. Includes examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://www.boloji.com/index.cfm?md=Content&sd=KnowledgeZone&KnowledgeZoneID=19"} +{"d:Title": "About.com Poetry: Pantoums", "d:Description": "A listing of pantoum sites, including definitions, histories, and examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "http://poetry.about.com/od/pantoums/"} +{"d:Title": "Stillbirth", "d:Description": "A pantoum about grief by Laure-Anne Bosselar.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "https://www.poets.org/poetsorg/poem/stillbirth"} +{"d:Title": "Parent's Pantoum", "d:Description": "By Carolyn Kizer. Includes RealAudio clip of poet reading the work. Part of The Academy of American Poets Web site.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Pantoum", "url": "https://www.poets.org/poetsorg/poem/parents-pantoum"} +{"d:Title": "Rondeau", "d:Description": "Description and examples from a course taught by Alberto Rios.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "http://www.public.asu.edu/~aarios/formsofverse/reports2000/page6.html"} +{"d:Title": "Rondel", "d:Description": "By Jean Froissart. Translated by Henry Wadsworth Longfellow. A foreshortened version of the form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "http://www.everypoet.com/archive/poetry/Henry_Wadsworth_Longfellow/longfellow_translations_rondel.htm"} +{"d:Title": "Rondeau", "d:Description": "A description of the form with examples by Suzanne Honour.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "http://members.optusnet.com.au/kazoom/poetry/rondeau.html"} +{"d:Title": "Rondelet", "d:Description": "A description of the form with examples by Suzanne Honour.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "http://members.optusnet.com.au/kazoom/poetry/rondelet.html"} +{"d:Title": "Rondeau", "d:Description": "By Leigh Hunt. A seven-line poem that does not quite fit the usual definition of a rondelet.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "http://rpo.library.utoronto.ca/poems/rondeau"} +{"d:Title": "Rondeau Redoubl\u00e9 (and Scarcely Worth the Trouble, at That)", "d:Description": "By Dorothy Parker.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "http://rpo.library.utoronto.ca/poems/rondeau-redoubl%C3%A9-and-scarcely-worth-trouble"} +{"d:Title": "Rondeau", "d:Description": "A history of the rondeau with many famous examples of the form. Includes instruction on how to write a rondeau.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "http://www.webexhibits.org/poetry/explore_classic_rondeau_atglance.html"} +{"d:Title": "Poetic Form: Rondeau", "d:Description": "An explanation of the form, with the \"Flanders Fields\" example and a link to \"Rondel\" by Frank O'Hara, from the Academy of American Poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Rondeau,_Rondel,_and_Rondelet", "url": "https://www.poets.org/poetsorg/text/rondeau-poetic-form"} +{"d:Title": "Island Sestina", "d:Description": "A sestina by Kathleen Craker Firestone, at the Northern Michigan Journal's website.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.leelanau.com/nmj/views/sestina.html"} +{"d:Title": "Sestina in the Computer Age", "d:Description": "By Scott Reid.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.sonic.net/~scotts/sestina.html"} +{"d:Title": "Sestina: Altaforte", "d:Description": "By Ezra Pound. Perhaps the most famous modern use of the form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://unix.cc.wmich.edu/~cooneys/poems/Pound.altaf.html"} +{"d:Title": "Poetry Form - The Sestina.", "d:Description": "A description and explanation of the form, with examples and a step-by-step guide to writing one.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.baymoon.com/~ariadne/form/sestina.htm"} +{"d:Title": "Sestina of the Tramp-Royal", "d:Description": "By Rudyard Kipling, in Cockney dialect. Part of the DayPoems Poetry Collection edited by Timothy Bovee.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.daypoems.net/poems/1856.html"} +{"d:Title": "Sestina", "d:Description": "Description and examples from a course taught by Alberto Rios.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.public.asu.edu/~aarios/formsofverse/reports2000/page9.html"} +{"d:Title": "The Krenek Sestinas", "d:Description": "By Don Mager. A set of six related sestinas both echoing and about the music and life of Ernst Krenek, a serial composer. Part of Eclectica Magazine v2n2.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.eclectica.org/v2n2/mager_krenek_intro.html"} +{"d:Title": "Complete 360", "d:Description": "A brief description and history of the sestina form with examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://complete360.50webs.com/sestina.html"} +{"d:Title": "Sestina", "d:Description": "A brief definition and description from a course at the University of Pennsylvania.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.writing.upenn.edu/~afilreis/88/sestina.html"} +{"d:Title": "Sestinas for Free", "d:Description": "A collection by Nicholas Gordon that can be used free for any personal or non-commercial purpose.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.poemsforfree.com/sestinas.html"} +{"d:Title": "Sestinas: Browse, or Build Your Own", "d:Description": "A template for creating sestinas.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://dilute.net/sestinas/"} +{"d:Title": "Some Thoughts on Sestinas", "d:Description": "By Lawrence Schimel, with a sestina by Joe Haldeman.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.writing-world.com/poetry/schimel4.shtml"} +{"d:Title": "Cledisat 9", "d:Description": "By Arnaut Daniel. A side-by-side translation from the Provencal, with a .midi version of a traditional troubadour melody. From a troubadour site, which claims this as \"the first sextain in the history of literature.\"", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.trobar.org/troubadours/arnaut_daniel/arnaut_daniel_09.php"} +{"d:Title": "The Sestina Page", "d:Description": "A Web site devoted to the sestina form, with a large online archive of examples. Going beyond the extensive home page requires the reader to join \"Yahoo Groups.\"", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://webspace.webring.com/people/ju/um_11877/sestina.htm"} +{"d:Title": "Sestina", "d:Description": "By Elizabeth Bishop. Includes readers' comments.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.eliteskills.com/analysis_poetry/Sestina_by_Elizabeth_Bishop_analysis.php"} +{"d:Title": "Sestina", "d:Description": "By Algernon Charles Swinburne. Unusual in its use of rhyme.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://rpo.library.utoronto.ca/poems/sestina"} +{"d:Title": "The Shrinking Lonesome Sestina", "d:Description": "By Miller Williams. Part of a larger site called The Wondering Minstrels.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://wonderingminstrels.blogspot.com/2001/10/shrinking-lonesome-sestina-miller.html"} +{"d:Title": "McSweeney's Internet Tendency: Sestinas", "d:Description": "A treasure trove of sestinas submitted to McSweeney's from 2003 - 2007.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "http://www.mcsweeneys.net/columns/sestinas"} +{"d:Title": "Poetic Form: Sestina", "d:Description": "An explanation of the form with a link to Ashberry's \"Farm Implements and Rutabagas in a Landscape\" and an explanation of Marie Ponsot's redaction of the form, the \"tritina.\" From the Academy of American Poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sestina", "url": "https://www.poets.org/poetsorg/text/sestina-poetic-form"} +{"d:Title": "Five Sevenlings", "d:Description": "By Sherman Alexie. In Mudlark Flash No. 48 (January 2009).", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sevenling", "url": "http://www.unf.edu/mudlark/flashes/alexie.html"} +{"d:Title": "Four Sevenlings", "d:Description": "By Yolanda Calderon-Horn. Second Place Winner, November 2005 InterBoard Poetry Competition.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sevenling", "url": "http://poetry.about.com/library/bl1105ibpc2.htm"} +{"d:Title": "Flying South for Winter", "d:Description": "A sevenling by Nicolette Bethel. In Eclectica Magazine v12n2.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sevenling", "url": "http://www.eclectica.org/v12n2/bethel.html"} +{"d:Title": "Sevenling (He lived for)", "d:Description": "By Rodger Moody. Published on The Oregonian Web site, June 10, 2011.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sevenling", "url": "http://www.oregonlive.com/books/index.ssf/2011/06/poetry_sevenling_he_lived_for.html"} +{"d:Title": "The Poets Collective: Sevenling", "d:Description": "A description of the form with the poem by Anna Akhmatova that inspired it, plus two examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sevenling", "url": "http://poetscollective.org/poetryforms/sevenling/"} +{"d:Title": "Sijo", "d:Description": "An explanation with examples by Larry Gross. Includes links to other sijo sites.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "http://www.ahapoetry.com/sijo.htm"} +{"d:Title": "Korean Sijo Masters in Translation", "d:Description": "Translations into English of Korean sijo from poets of the Classical period.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "http://thewordshop.tripod.com/Sijo/"} +{"d:Title": "Sejong Cultural Society - Sijo Writing Competition", "d:Description": "An annual competition. Includes links to sijo sites, sample sijo poems, and an explanation of the form with two .pdf files on sijo by Larry Gross and David McCann.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "http://www.sejongculturalsociety.org/writing/current/index.php"} +{"d:Title": "The Sijo", "d:Description": "An introductory page by Larry Gross, a leading proponent of writing sijo in English, with some of his poems and links to his several pages on the subject, and an e-mail discussion list on the subject.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "http://thewordshop.tripod.com/Sijo/sijo-index.htm"} +{"d:Title": "An Introduction to Sijo", "d:Description": "An overview of sijo in the Korean tradition and North American poets' response, by Elizabeth St Jacques, with links to her online sijo magazine, Sijo Blossoms, and other articles.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "http://startag.tripod.com/IntroSijo.html"} +{"d:Title": "SIJO: A Korean Lyric Poetic Tradition", "d:Description": "A Yahoo group that \"encourages the writing, discussion and translation of traditional sijo in English.\"", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "https://groups.yahoo.com/neo/groups/sijoforum/info"} +{"d:Title": "SHIJO - Korean Poetry", "d:Description": "A collection of links to Sijo websites, lesson plans, power points, and contests. Some of the links are useful, but many are no longer working.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "http://www.chlive.org/kkaplan/Korea%20-%20Shijo.htm"} +{"d:Title": "Sijo Structures and Samples", "d:Description": "A slide presentation in .pdf format on the structure of Sijo, with examples of classical Sijo and extensive commentary.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sijo", "url": "http://www.sejongculturalsociety.org/mediafiles/resources/sijo-structuresamples-NotePages.pdf"} +{"d:Title": "Sonnet Central", "d:Description": "\"An archive of English sonnets, commentary, pictures, audio, and relevant web links.\"", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.sonnets.org/"} +{"d:Title": "An Italian Sonnet", "d:Description": "By James DeFord.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.lnstar.com/mall/james/italiansonnet.htm"} +{"d:Title": "Personality Quiz - What Poetry Form Am I?", "d:Description": "A sonnet that describes the \"personality\" of a sonnet. With html code for transferring the sonnet to your site and links to \"personality quizzes\" for other poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://quiz.ravenblack.net/poeticform.pl?q=1&a=10"} +{"d:Title": "Michael Peach's Site for Lovers of The English Sonnet", "d:Description": "Sonnets by Peach and a selection of sonnets by his favorite poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.michaelpeach.org/"} +{"d:Title": "Empire Arts Resource", "d:Description": "Sonnets by Michael J. Farrand.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.empirecontact.com/sonnets/"} +{"d:Title": "Shakespeare's Sonnets", "d:Description": "The complete sonnets with an analysis and translation into Modern English of selected poems.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.shakespeare-online.com/sonnets/"} +{"d:Title": "The Sonnet", "d:Description": "A brief description and definition of the sonnet form(s).", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.writing.upenn.edu/~afilreis/88/sonnet.html"} +{"d:Title": "Sonnets for Free", "d:Description": "A collection by Nicholas Gordon that can be used free for any personal or non-commercial purpose.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.poemsforfree.com/sonnets.html"} +{"d:Title": "Sonnets from the Portuguese", "d:Description": "Text of Elizabeth Barrett Browning's sonnets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://theotherpages.org/poems/ebb01.html"} +{"d:Title": "John Donne - Holy Sonnets", "d:Description": "Nineteen sonnets on religious themes.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://theotherpages.org/poems/donne02.html"} +{"d:Title": "Writing a Sonnet - For Dummies", "d:Description": "Instructions on how to write a Shakespearean sonnet with an analysis of the form and content of Shakespeare's Sonnet 18.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.dummies.com/how-to/content/writing-a-sonnet.html"} +{"d:Title": "The Amazing Web Site of Shakespeare's Sonnets", "d:Description": "Shakespeare's sonnets with extensive, line-by-line commentary and illustrations from the 1609 Quarto. Includes also artwork, love poetry, and Valentine's Day poems.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.shakespeares-sonnets.com/"} +{"d:Title": "Connections: Poetry: Forms: The Sonnet", "d:Description": "A three-page description of the form with examples by Elizabeth Barrett Browning and Shakespeare.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.math.grin.edu/~simpsone/Connections/Poetry/Forms/sonnet1.html"} +{"d:Title": "Poetry Knowledge Zone: The Soulful Sonnet", "d:Description": "Explanation, history, and step-by-step writing instructions by Smitha Chakravarthula. Includes examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.boloji.com/index.cfm?md=Content&sd=KnowledgeZone&KnowledgeZoneID=13"} +{"d:Title": "Toru Dutt: Sonnet", "d:Description": "Written in 1876 by an Indian woman raised in France. From Volume 2 of an anthology entitled, \"Reading About the World.\"", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://public.wsu.edu/~brians/world_civ/worldcivreader/world_civ_reader_2/dutt.html"} +{"d:Title": "Brother and Sister", "d:Description": "An eleven sonnet sequence by George Eliot.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://rpo.library.utoronto.ca/poems/brother-and-sister"} +{"d:Title": "The Sonnets to Orpheus", "d:Description": "55 sonnets by Rainer Maria Rilke translated by Robert Temple..", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.sonnetstoorpheus.com/rainer_maria_rilke.html"} +{"d:Title": "About.com Poetry: Sonnets", "d:Description": "A collection of sonnets, definitions of the various sonnet forms, and links to sonnet sites.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://poetry.about.com/od/sonnets/"} +{"d:Title": "Sonnettics", "d:Description": "Sonnets by Scott Ennis. Part of a larger site that includes and invites videos of sonnets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Sonnet", "url": "http://www.sonnettics.com/category/sonnets/"} +{"d:Title": "The Terzanelle", "d:Description": "A definition of the form.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terzanelle", "url": "http://www.angelfire.com/art/formsofpoetry/agamemmnon's.sanctuary.frenchforms.terzanelle.html"} +{"d:Title": "Terzanelle in Thunderweather", "d:Description": "By Lewis Turco.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terzanelle", "url": "http://www.angelfire.com/pa4/tommistuff/terzanelle.html"} +{"d:Title": "Transformation", "d:Description": "A terzanelle by Mari Ness.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terzanelle", "url": "http://www.goblinfruit.net/2010/winter/poems/?poem=transformation"} +{"d:Title": "Hunger", "d:Description": "A terzanelle by Mari Ness.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terzanelle", "url": "http://www.goblinfruit.net/2009/fall/poems/?poem=hunger"} +{"d:Title": "Terzanelle: Manzanar Riot", "d:Description": "By Claire Kageyama-Ramakrishnan.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terzanelle", "url": "https://www.poets.org/poetsorg/poem/terzanelle-manzanar-riot"} +{"d:Title": "\"Ode to the West Wind\" by P. B. Shelley.", "d:Description": "Perhaps the most famous example of terza rima in English. From Francis T. Palgrave's 19th century anthology, \"The Golden Treasury.\" Notice that the tercets and final couplets have not been separated by spaces.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://www.bartleby.com/106/275.html"} +{"d:Title": "Terza Rima", "d:Description": "A description by a student in Rios' course with examples, including Frost's \"Acquainted with the Night.\"", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://www.public.asu.edu/~aarios/formsofverse/reports2000/page4.html"} +{"d:Title": "Terza Rima", "d:Description": "Description and examples from a course taught by Alberto Rios.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://www.public.asu.edu/~aarios/formsofverse/anecdotes/page4.html"} +{"d:Title": "Personality Quiz - What Poetry Form Am I?", "d:Description": "A terza rima that describes the \"personality\" of a terza rima. With html code for transferring the terza rima to your site and links to \"personality quizzes\" for other poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://quiz.ravenblack.net/poeticform.pl?q=1&a=16"} +{"d:Title": "Terza Rima", "d:Description": "A brief description of the form from an English handbook at Emory University with examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://www.english.emory.edu/classes/Handbook/terzarima.html"} +{"d:Title": "Terza Rima", "d:Description": "A brief description of the form with an interesting review of Pinsky's attempt to translate Dante's terza rima.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://www.writing.upenn.edu/~afilreis/88v/terza.html"} +{"d:Title": "First Snow in Alsace", "d:Description": "By Richard Wilbur.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://www.naic.edu/~gibson/poems/wilbur1.html"} +{"d:Title": "Poetry Knowledge Zone: Terza Rima", "d:Description": "Explanation, history, and step-by-step instructions for writing by Smitha Chakravarthula. Includes examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://www.boloji.com/index.cfm?md=Content&sd=KnowledgeZone&KnowledgeZoneID=12"} +{"d:Title": "The House on the Hill", "d:Description": "A famous example of the form by Edward Arlington Robinson.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://rpo.library.utoronto.ca/poems/house-hill"} +{"d:Title": "Woman -- A Terza Rima", "d:Description": "By Janan Platt.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "http://morpo.com/index.php?c=display&vol=2&iss=5&disp=197"} +{"d:Title": "Poetic Form: Terza Rima", "d:Description": "An explanation of the form, with references to numerous examples and a brief discussion of Ciardi's and Pinsky's translations of Dante, from the Academy of American Poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Terza_Rima", "url": "https://www.poets.org/poetsorg/text/terza-rima-poetic-term"} +{"d:Title": "Poetry Workshop: The Triolet Form", "d:Description": "Two triolets by Charlie Rossiter with audio commentary by the poet.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://www.poetrypoetry.com/Workshops/00-01/Triolet.html"} +{"d:Title": "6.033 Triolet Challenge", "d:Description": "A collection by students at MIT.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://web.mit.edu/6.033/1997/www/triolets.html"} +{"d:Title": "J\u00f3nas Hallgr\u00edmssonin: Valley Song", "d:Description": "University of Wisconsin site featuring \"Dalv\u00edsa,\" 19th century Icelandic triolet stanzas by J\u00f3nas Hallgr\u00edmssonin with English translation, facsimile images,commentary and audio.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://www.library.wisc.edu/etext/Jonas/Dalvisa/Dalvisa.html"} +{"d:Title": "Thomas Hardy: \"The Coquette, and After\" (Triolets)", "d:Description": "One of many Hardy triolets on the DayPoems Poetry Collection.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://www.daypoems.net/poems/1044.html"} +{"d:Title": "Poetry Form - The Triolet.", "d:Description": "A description and explanation of the form, with examples and a step-by-step guide to writing one.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://www.baymoon.com/~ariadne/form/triolet.htm"} +{"d:Title": "Personality Quiz - What Poetry Form Am I?", "d:Description": "A triolet that describes the \"personality\" of a triolet. With html code for transferring the triolet to your site and links to \"personality quizzes\" for other poetic forms.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://quiz.ravenblack.net/poeticform.pl?q=1&a=1"} +{"d:Title": "Poetic Forms: The Triolet", "d:Description": "A short history of the form, a detailed \"how-to,\" and some examples, all by Conrad Geller.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://www.writing-world.com/poetry/triolet.shtml"} +{"d:Title": "\"Shining\"", "d:Description": "By Brandon Astor Jones, as of 1996 a prisoner on death row. From the archives of The Green Left Weekly.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://www.greenleft.org.au/node/12494"} +{"d:Title": "\"To a Fat Lady Seen From the Train\"", "d:Description": "Critique of Francis Cornford's triolet \"To a Fat Lady Seen from a Train\" with notes on the triolet form and links. From The Wondering Minstrels Poetry Anthology.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://wonderingminstrels.blogspot.com/2001/10/to-fat-lady-seen-from-train-frances.html"} +{"d:Title": "\"Les Prunes (All Through Plums)\"", "d:Description": "A triolet cycle by Alphonse Daudet with singable English translation by Samuel Byrne. Part of an archive of texts to Lieder and other classical art songs.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "http://www.lieder.net/lieder/get_text.html?TextId=4195"} +{"d:Title": "Poetic Form: Triolet", "d:Description": "An explanation of the form, with the example of \"How Great My Grief,\" by Thomas Hardy, from the Academy of American Poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Triolet", "url": "https://www.poets.org/poetsorg/text/triolet-poetic-form"} +{"d:Title": "Theocritus: a Villanelle", "d:Description": "By Oscar Wilde.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://www.bartleby.com/143/37.html"} +{"d:Title": "Villanelle at Sundown", "d:Description": "By Donald Justice.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://plagiarist.com/poetry/?wid=388"} +{"d:Title": "Villanelle", "d:Description": "A description of the form with examples by Suzanne Honour.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://members.optusnet.com.au/kazoom/poetry/villanelle.html"} +{"d:Title": "Poetry Form - The Villanelle", "d:Description": "A description and explanation of the form, with examples and a step-by-step guide to writing one.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://www.baymoon.com/~ariadne/form/villanelle.htm"} +{"d:Title": "Villanelle", "d:Description": "Descriptions and examples from a course taught by Alberto Rios.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://www.public.asu.edu/~aarios/formsofverse/reports2000/page8.html"} +{"d:Title": "Pan - Double Villanelle", "d:Description": "By Oscar Wilde.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://www.worldwideschool.org/library/books/lit/poetry/PoemsbyOscarWilde/chap82.html"} +{"d:Title": "Do Not Go Gentle into That Good Night", "d:Description": "By Dylan Thomas. The most famous example of the form in English.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://www.bigeye.com/donotgo.htm"} +{"d:Title": "Villanelles for Free", "d:Description": "A collection by Nicholas Gordon that can be used free for any personal or non-commercial purpose.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://www.poemsforfree.com/villanelles.html"} +{"d:Title": "The Rapist's Villanelle", "d:Description": "By Tom Disch.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://amalgamonster.blogspot.com/2009/01/rapists-villanelle.html"} +{"d:Title": "Poetry Knowledge Zone: Villanelle", "d:Description": "Explanation, history, and step-by-step instructions for writing by Smitha Chakravarthula. Includes examples.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://www.boloji.com/index.cfm?md=Content&sd=KnowledgeZone&KnowledgeZoneID=11"} +{"d:Title": "Villanelle of Change", "d:Description": "By Edwin Arlington Robinson.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://rpo.library.utoronto.ca/poems/villanelle-change"} +{"d:Title": "Villanelle", "d:Description": "By W.H. Auden", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://wonderingminstrels.blogspot.com/2001/01/villanelle-w-h-auden.html"} +{"d:Title": "Villanelle", "d:Description": "By William Empson.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "http://wonderingminstrels.blogspot.com/2001/02/villanelle-william-empson.html"} +{"d:Title": "Poetic Form: Villanelle", "d:Description": "An explanation of the form, with the example of Dylan Thomas' \"Do Not Go Gentle into That Good Night\" and a link to Elizabeth Bishop's \"One Art,\" from the Academy of American Poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Fixed_Verse_Forms/Villanelle", "url": "https://www.poets.org/poetsorg/text/villanelle-poetic-form"} +{"d:Title": "Uma's Ghazal Page", "d:Description": "Includes a brief history and description of the form, as well as a selection of ghazals by and information on various authors associate with the form.", "topic": "Top/Arts/Literature/Poetry/Forms/Ghazal", "url": "http://www.uramamurthy.com/ghazal.html"} +{"d:Title": "Russian Haiku", "d:Description": "Also has a \"Foreign\" section, with material in English, French, and Japanese. Definition of Haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://haiku.ru/"} +{"d:Title": "Free Times / Temps Libres", "d:Description": "An international haiku site in English and French, featuring haiku theory and practice, publication, rules, reading corner. Online submissions accepted. Includes \"Aozora\", a special sub-section devoted to the haiku scene in southeastern Europe, with a list of and links to the Web sites of some dozen or more print magazines--many highly international. Webmaster Serge Tome.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://tempslibres.org/"} +{"d:Title": "Aha! Poetry", "d:Description": "The main entry page to Jane Reichhold's world of haiku, tanka, and linked poetry.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.ahapoetry.com/"} +{"d:Title": "History of Haiku", "d:Description": "Haiku by 10 classical and modern masters, with brief biographies", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.big.or.jp/~loupe/links/ehisto/ehisinx.shtml"} +{"d:Title": "Mushimegane - Haiku, Tanka, And Contemporary Art", "d:Description": "Legacy site of one of the more interesting Japanese haiku publication Web sites in English (and French and Japanese). Contains much information on haiku, tanka, and related subjects. Edited by Ryu Yotsuya and Niji Fuyuno.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.big.or.jp/~loupe/links/enginx.shtml"} +{"d:Title": "Haiku Poetry: Links, References and Resources", "d:Description": "One of the most comprehensive collections of links to haiku resources on the Internet, assembled by Michael P. Garofalo.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.gardendigest.com/haiku1.htm"} +{"d:Title": "First Australian Haiku Anthology", "d:Description": "A haiku anthology built entirely online, and featuring quick loading, single-haiku presentation for contemplation, and brief biographical notes on authors.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://users.mullum.com.au/jbird/haiku.html"} +{"d:Title": "SciFaiku.com", "d:Description": "Science-fiction haiku including poetry, explanations of scifaiku, and a mailing list.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.scifaiku.com/"} +{"d:Title": "In the Moonlight a Worm", "d:Description": "A detailed guide for the teaching of haiku to primary and secondary pupils, plus a self teaching guide.", "priority": "1", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.haiku.insouthsea.co.uk/"} +{"d:Title": "Contemporary Haiku", "d:Description": "\"Contemporary Haiku: Origins and New Directions\", an essay by A.C. Missias. Clear summary of the situation in English.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://webdelsol.com/Perihelion/acmarticle.htm"} +{"d:Title": "Haiku For Beginners", "d:Description": "A lesson in Haiku appreciation and writing, conducted by Paul Brown.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.arttech.ab.ca/pbrown/haiku/lesson1.html"} +{"d:Title": "Introduction: Haikai, Hokku, Haiku", "d:Description": "Links to a series of brief pages with introductory material on haiku by professors associated with Columbia University: Donald Keene, and Haruo Shirane. Includes brief video and audio clips.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.columbia.edu/itc/eacp/asiasite/topics/index.html?topic=Haiku+subtopic=Intro"} +{"d:Title": "Haiku Poet's Hut", "d:Description": "Haiku by Soji, aka Gary Barnes, haiku by the masters, Basho, Buson, Issa, and by a number of contemporary practitioners of the art in English.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.haikupoetshut.com/"} +{"d:Title": "Haikuworld", "d:Description": "Haikuworld is dedicated to bringining poets, publishers, and readers together. Information on books, magazines, and contests. Monthly haiku kukai. Webmaster Gary Warner.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.haikuworld.org/"} +{"d:Title": "Museum of Haiku Literature", "d:Description": "Gateway to the English-language pages of the museum in Tokyo run by the Haiku Poets Association, Japan's largest haiku organization.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www2.famille.ne.jp/~haiku/index-e.html"} +{"d:Title": "The Art of Haiku", "d:Description": "Articles, reviews, mailing list and links.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.geraldengland.co.uk/hk/"} +{"d:Title": "Haiku North America", "d:Description": "A biennial gathering of haiku poets. Includes background, details of the current year's event, and past conference information.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.haikunorthamerica.com/"} +{"d:Title": "WHC ... World Kigo Database", "d:Description": "This weblog represents an attempt to build a comprehensive database of words and phrases that might serve as season words in global haiku. It includes sections for Alaska, Hawai'i, Romania, and Kenya, among other places, and the ongoing discussion of many participants. Managed by Gabi Greve.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://worldkigodatabase.blogspot.com/"} +{"d:Title": "Haikutalk Links Page", "d:Description": "Links to the haiku world in English by Gerald England. In addition to the useful links to many different haiku web sites, there is a link to his \"Art of Haiku\" web pages, a useful compendium of haiku and related information, commentary.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.geraldengland.co.uk/hk/hklinks.htm"} +{"d:Title": "Sumauma Haiku", "d:Description": "Brazilian haiku site, largely in Portuguese, but with substantial information about haiku and representation in English of many International haiku poets.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.sumauma.net/"} +{"d:Title": "Dogwood Blossoms", "d:Description": "Legacy web site of the online haiku magazine edited by Gary Warner, last updated in 1998. Some good haiku reading.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.askgar.com/haiku/hkuframe.html"} +{"d:Title": "Mann Library Daily Haiku", "d:Description": "The Mann Library at Cornell University presents a haiku by an American poet each day.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://haiku.mannlib.cornell.edu/"} +{"d:Title": "Haiku Hike (World Walks)", "d:Description": "A series of hikes through the London Olympic Development Site will take place with participants encouraged to share their feelings haiku-like or otherwise.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://haikuhikes.blogspot.com/"} +{"d:Title": "Haiku of Kobayashi Issa", "d:Description": "A collection of haiku by the Japanese poet Kobayashi Issa, in romanized Japanese and with fine translations by David Lanoue.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://haikuguy.com/issa/"} +{"d:Title": "Ray's Web: Photography and Haiku", "d:Description": "Photography and haiku, haibun, and haiga by Ray Rasmussen.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://raysweb.net/"} +{"d:Title": "Butterfly Dreams", "d:Description": "Entry page of Butterfly Dreams: The Seasons through Haiku and Photographs. About thirty classic and modern Japanese haiku in translations by William J. Higginson, together with nature photographs by Michael Lustbader.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://fhp.2hweb.net/scrapbook_bd/index.html"} +{"d:Title": "The Five Hundred Essential Japanese Season Words", "d:Description": "Five hundred traditional Japanese season words with authoritative English translations, seasonally organized, for the benefit of haiku and linked poem poets and translators of traditional Japanese poetry. Selected by Kenkichi Yamamoto, translated by Kris Young Kondo and William J. Higginson.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://www.2hweb.net/haikai/renku/500ESWd.html"} +{"d:Title": "The Wordshop", "d:Description": "Homepage leading to discussions of sijo, haiku, renga and other forms, Asian and otherwise. Hosted by Larry Gross.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://thewordshop.tripod.com/index.htm"} +{"d:Title": "Tanka-Sijo Cupboard", "d:Description": "A comparative selection of poems in both the traditional Japanese and Korean forms, respectively. Markets, links, definitions, supplied by Neca Stoller.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://neca.tripod.com/Tanka-Sijo.htm"} +{"d:Title": "Poetry in the Light", "d:Description": "Haiku, tanka, sijo, related genres and articles by internationally known as well as promising poets of these genres.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://startag.tripod.com/Contents.html"} +{"d:Title": "Haiku Dreaming Australia", "d:Description": "A site devoted to haiku and senryu firmly set in Australian landscape and culture. Managed by John Bird.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms", "url": "http://users.mullum.com.au/jbird/dreaming/ozku.html"} +{"d:Title": "World Haiku Association", "d:Description": "Web site of this worldwide membership group, with pages of scores of members' haiku and other resources. Webmaster Bin Akio, a senior member of Japan's haiku community.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.worldhaiku.net/"} +{"d:Title": "Alaska Haiku Society", "d:Description": "Alaska haiku poetry is shared by members of Alaska Haiku Society and guest poets. Site managed by Billie Wilson and Cindy Zackowitz.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://home.gci.net/~alaskahaiku/index.html"} +{"d:Title": "Boston Haiku Society", "d:Description": "Promotes the reading and writing of haiku poetry in English through public readings, constructive criticism among peers, and special programs in the Boston metropolitan area. The Society hosted Haiku North America 2001.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.bostonhaikusociety.org/"} +{"d:Title": "Croatian Haiku Poets Association", "d:Description": "Welcome to Croatian haiku web pages of Rijeka haiku poets; now includes materials from open submissions as well as worldwide haiku news and local poets' haiku. (Most pages have English content; scroll down.)", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.karolina-rijecka.com/index.html"} +{"d:Title": "Haiku Society of America", "d:Description": "\"The Haiku Society of America is a not-for-profit organization founded in 1968 to promote the writing and appreciation of haiku in English.\" Publishes journal Frogpond. Recently updates with new definitions of haiku and related genres.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.hsa-haiku.org/"} +{"d:Title": "North Carolina Haiku Society", "d:Description": "Includes haiku by members, brief notes and remarks on haiku, lists of haiku books, lists of haiku-related links.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://nc-haiku.org/"} +{"d:Title": "HIA Haiku International Association", "d:Description": "An international haiku association formed in 1989 by the leadership of the three main haiku organizations, with members in Japan and foreign countries. Also features an international haiku contest. Pages edited by Shun'ichi Shibota.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.haiku-hia.com/index_en.html"} +{"d:Title": "Young Leaves", "d:Description": "Web site of the Yuki Teikei Haiku Society of United States and Canada, a Bay Area group with international membership and extensive activities built around a tradition-based understanding of haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.youngleaves.org/"} +{"d:Title": "Haiku Canada", "d:Description": "Haiku Canada is a society of haiku poets and enthusiasts founded in 1977 by Dr. Eric Amann, Betty Drevniok and George Swede. Members share information on haiku, haiku events, organizations, markets and publications. Publishes Haiku Canada Newsletter.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.haikucanada.org/"} +{"d:Title": "ukku spring haiku", "d:Description": "Weblog of the low-key online group \"ukku\" (UK Haiku?).", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://ukkuhaiku.blogspot.com/"} +{"d:Title": "Hailstone Haiku Circle", "d:Description": "The old, archived weblog of the Hailstone Haiku Circle, a group of Japanese and foreign haiku poets meeting regularly in the Kansai area of Japan and writing in English. Current activity has moved to \"Icebox\"--see below.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.hailhaiku.blogspot.com/"} +{"d:Title": "Irish Haiku Society", "d:Description": "The Irish Haiku Society is a not-for-profit organisation founded in 2006 to promote the writing and appreciation of haiku in Ireland. The IHS conducts workshops, readings, and ginko [haiku excursions].", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://www.freewebs.com/irishhaiku/"} +{"d:Title": "Icebox", "d:Description": "Web site (blog) of the Kyoto-based Hailstones Haiku Circle.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Associations", "url": "http://hailhaiku.wordpress.com/"} +{"d:Title": "Renku Home", "d:Description": "Examples of original renku in English. Also, articles on renku--Japanese-style linked poems. Includes an extensive bibliography and English/Japanese season word list. Maintained by William J. Higginson.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Linked_Poems", "url": "http://haikai.2hweb.net/renku/index.html"} +{"d:Title": "Yahoo! Groups: Scifaiku", "d:Description": "This group is for the writing and sharing of science fiction haiku (aka scifaiku). They also occasionally write similar genres, such as fantasy haiku and horror haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Mailing_Lists", "url": "http://groups.yahoo.com/group/Scifaiku/"} +{"d:Title": "Yahoo! Groups: Shachihoko", "d:Description": "Shachihoko is a haiku list tended by Timothy Russell. Its main purpose is to provide a place where writers who want to improve their haiku can obtain instruction and guidance.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Mailing_Lists", "url": "http://groups.yahoo.com/group/shachihoko/"} +{"d:Title": "Yahoo! Groups : simply_haiku", "d:Description": "The Simply Haiku list is just that. A place for anyone to come and share their Japanese short form poetry including haiga. Moderated by Robert Wilson.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Mailing_Lists", "url": "http://groups.yahoo.com/group/simply_haiku/"} +{"d:Title": "Yahoo! Groups : WHCworldkigo", "d:Description": "An on-going global haiku project of \"world kigo\", where we discuss and compile local season words from different parts of the world. Once the collection reaches a certain size and content, we will present it online as the \"WHC World Kigo Database\", and continue to augment it. We welcome as many haiku poets from as many locations in the world as possible. Moderated by Gabi Greve.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Mailing_Lists", "url": "http://groups.yahoo.com/group/WHCworldkigo/"} +{"d:Title": "Yahoo! Groups: Translating Haiku", "d:Description": "This is a group for discussing the art and the problems of translating haiku, from any language into another. Managed by Gabi Greve.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Mailing_Lists", "url": "http://groups.yahoo.com/group/translatinghaiku/"} +{"d:Title": "Haiku Talk and Haiku Talk 2", "d:Description": "\"Haiku Talk\" and \"Haiku Talk 2\" are two different general discussion lists for writers and others interested in haiku and related genres. Both lists include new writing, discussions of the nature of haiku, and information on those who do haiku, haiku publishers, and haiku competitions. Moderated by Gerald England.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Mailing_Lists", "url": "http://www.geraldengland.co.uk/hk/hktalk.htm"} +{"d:Title": "Big Cec's Haiku Page", "d:Description": "Contemporary American haiku, with photographs by the author.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.angelfire.com/pq/bigcec/photos7.html"} +{"d:Title": "Cuttings: Haiku and Short Poems", "d:Description": "An index to haiku-related sites maintained by Michael P. Garofalo.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.gardendigest.com/haiku3.htm"} +{"d:Title": "Haiku Arts Room", "d:Description": "Haiku in Japanese and English translation with stunning artwork by the poet, Tamasudare.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.cosmos.ne.jp/~m10031/"} +{"d:Title": "The Haiku of WordWright", "d:Description": "Haiku by Colin Crombie, WordWright", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://wordwright.stormloader.com/"} +{"d:Title": "Creative Ideas", "d:Description": "Samples of poetry and some thoughts on haiku by Dan Brady.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.creativeideasforyou.com/haiku_main.html"} +{"d:Title": "Haikus from the Soul", "d:Description": "Haikus from the soul is a site devoted to 5-7-5s (i.e., mostly not haiku), mostly by site-owners Lukas and Alison.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.angelfire.com/poetry/haikusfromthesoul/"} +{"d:Title": "Haiku poetry", "d:Description": "Pain. Nature. Freedom. Contemporary haiku poetry by Ulf Mohager. Originally a wap site, but now accessible through an emulator also. Updated with 1-3 poems/week.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.mohager.nu/"} +{"d:Title": "Byakko's Haiku", "d:Description": "Author- and user-submitted haiku. Includes instant haiku generator and links to haiku resources.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://byakkohaiku.tripod.com/"} +{"d:Title": "Bin's Haiku World.", "d:Description": "Haiku master Akio Bin's Haiku World English-language pages. A student of Shiki and master of his own haiku group, Bin-sensei has had the good luck to have a number of his haiku translated for this page.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.asahi-net.or.jp/~cf9b-ako/english0.htm"} +{"d:Title": "Haiku Cupboard", "d:Description": "A variety of poetry and fiction with definitions, examples, links, writer's tools, and books. Its contents are haiku, tanka, sijo, renku, haibun, stort story, flash fiction, flash play, contemporary poetry, prose poems, free verse, fiction. By Neca Stoller and friends.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://neca.tripod.com/"} +{"d:Title": "Short Summers", "d:Description": "Haikus from Cindy Zackowitz about the seasons, written in English and French and illustrated with photographs.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.tempslibres.org/cindy/"} +{"d:Title": "Nick Virgilio Poetry Project - Welcome", "d:Description": "Nicholas A. Virgilio (1928-1989) was a pioneer of American haiku. This site brings to the Web some of the archive of his works at Rutgers University, Camden, NJ.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.nickvirgilio.rutgers.edu/"} +{"d:Title": "Small Poems", "d:Description": "A poet's work in mostly haiku, by SB.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://smallpoems.sbpoet.net/"} +{"d:Title": "J. D. Nelson : haiku", "d:Description": "The haiku of xenographer J. D. Nelson", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://milehaiku.blogspot.com/"} +{"d:Title": "Haiku Field", "d:Description": "The haiku and haibun of Martin Gottlileb Cohen, plus some haiga and photo-haiku with his poems.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://martin51144.tripod.com/"} +{"d:Title": "The Plenitude of Emptiness", "d:Description": "A blog consisting mainly of haibun, by Hortensia Anderson.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://hortensiaanderson.blogspot.com/"} +{"d:Title": "Haiku in Low Places", "d:Description": "Haiku and other personal poetry pages by Paul David Mena. Some good stuff here. Includes link to his haiku-a-day blog.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.haikupoet.com/"} +{"d:Title": "Larry Kimmel&Winfred Press", "d:Description": "Personal haiku pages by Larry Kimmel, featuring haiku, tanka, cherita, gembun and short poems. Links to his Winfred Press pages: haiku bumper stickers; printed books.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://larrykimmel.tripod.com/"} +{"d:Title": "wakakusa", "d:Description": "haiku and related poems in a blog by Dustin.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://wakakusa.blogspot.com/"} +{"d:Title": "Couplets", "d:Description": "A blog devoted to \"crystallines\" by Brian Strand. The crystalline is a haiku-like poem in couplet form.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://ichthys-couplets.blogspot.com/"} +{"d:Title": "Eikon", "d:Description": "Many examples of this cinqku fixed form cinquain with guidelines to this concise 17 syllable image verse form by Brian Strand.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://eikon-ichthys.blogspot.com/"} +{"d:Title": "Haiku Novels and Criticism by David G. Lanoue", "d:Description": "Haiku novels, translation, criticism, with excerpts from some of the author's books.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://haikuguy.com/"} +{"d:Title": "Tom's Haiku", "d:Description": "Haiku on Tom Brinck's website for usability, poetry, art, etc.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://simplytom.com/haiku.cgi"} +{"d:Title": "Area 17", "d:Description": "A blog by Alan Summers, an active poet who does a good deal with haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://area17.blogspot.com/"} +{"d:Title": "Haiku and Happiness - and Haiku", "d:Description": "Gabi Greve's weblog focused on haiku, with links photographs of her collection of Daruma images and statues.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://happyhaiku.blogspot.com/"} +{"d:Title": "kami haiku", "d:Description": "A weblog of haiku and other micropoetry by Judy Kamilhor, usually set in Brooklyn, New York.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://kamihaiku.blogspot.com/"} +{"d:Title": "Eel Pie Dharma", "d:Description": "A 60's memoir / haibun in prose and haiku by Chris Faiers, including memories of Eel Pie Commune, Glastonbury Festival and travelling through Europe.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.eelpie.org/epd.htm"} +{"d:Title": "Janice M Bostok", "d:Description": "Home page of Janice M. Bostok, Australian haiku poet.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://members.dodo.com.au/janbos/"} +{"d:Title": "marlene mountain", "d:Description": "Marlene Mountain's writing/painting journey from late 50s to present, including especially one-line haiku and visual \"eye-ku\".", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.marlenemountain.org/"} +{"d:Title": "Haiku Musings", "d:Description": "Regular notes from poet and author Edward Weiss", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://haikuplanet.blogspot.com/"} +{"d:Title": "masago-no-haiku", "d:Description": "A haiku weblog from Masago (Vaughn Seward).", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://masago-no-haiku.blogspot.com/"} +{"d:Title": "haiga", "d:Description": "A blog of haiku, senryu, tanka, haiga by Eric Houck, Jr.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://ericshaiku.blogspot.com/"} +{"d:Title": "-the sound of splinters-", "d:Description": "A haiku weblog by jem.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://soundofsplinters.blogspot.com/"} +{"d:Title": "Ricardo Haiku Blog", "d:Description": "Personal haiku blog in English and Lithuanian updated every day by Ri\u010dardas.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://ricardo-haiku.blogspot.com/"} +{"d:Title": "Past Tense", "d:Description": "Haiku and tanka weblog of J. A. Lockhart.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://jamesalockhart.blogspot.com/"} +{"d:Title": "Crows&Daisies", "d:Description": "Haiku, haiga, photos and occasional stuff in Slovenian and English by Polona Oblak.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://crowsndaisies.blogspot.com/"} +{"d:Title": "zen speug", "d:Description": "A haiku and related poetry weblog in Scots and English by John McDonald.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://zenspeug.blogspot.com/"} +{"d:Title": "Winter Haiku 2007", "d:Description": "A weblog community of poets, sharing haiku on the subject of this winter, 2007-2008, hosted by Isabel and Mandy.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://winterhaiku2007.blogspot.com/"} +{"d:Title": "f/k/a", "d:Description": "Weblog of retired lawyer David Giacalone, who takes up legal issues among the haiku. Lately, haiku has been the main focus, and many haiku poets have poems on the site. Includes a significant discussion of copyright and haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://blogs.law.harvard.edu/ethicalesq/"} +{"d:Title": "USpace Haiku y Senryu +", "d:Description": "Random Images and a back door to Absurd Thoughts - a weblog of haiku and other \"form poems\" by Uspace.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://uspacehaikusenryutanka.blogspot.com/"} +{"d:Title": "The Haiku Poet Blog", "d:Description": "Sangeet's haiku and poetry corner.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.thehaikupoet.com/"} +{"d:Title": "Tobacco Road Poet - Curtis Dunlap", "d:Description": "This site features both Curtis's own writings, in several genres including haiku and linked forms, and also has a fresh poem by another poet featured daily, with a readily accessible archive of these poems.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://tobaccoroadpoet.com/"} +{"d:Title": "Yerry Hill Road Haiku", "d:Description": "Traditional haiku written over the past five years concerning the same walk that the author has made on Yerry Hill Road, Woodstock, New York, during all four seasons. Photographs accompany the haiku. Weblog by Sarvananda Bluestone.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://sarvananda.blogspot.com/"} +{"d:Title": "Inklings", "d:Description": "H. Gene Murtha's blog: poems, haiku, tanka, haibun . . .", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://hgenemurtha.blogspot.com/"} +{"d:Title": "Hokku", "d:Description": "David Coomler's blog devoted to \"hokku\"--his term for traditional (i.e., pre-modern) haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://hokku.wordpress.com/"} +{"d:Title": "The Haiku&Zen World of James W Hackett", "d:Description": "Site of James W. Hackett, American haiku pioneer and correspondent of R. H. Blyth.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.hacketthaiku.com/"} +{"d:Title": "Hai Queue", "d:Description": "A personal haiku poetry site with a new haiku each day, 365 days a year.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://haiqueue.com/"} +{"d:Title": "Reseeding Infinity", "d:Description": "Collection of haiku, senryu, tanka and haibun by Robert Davey.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://reseedinfinity.wordpress.com/"} +{"d:Title": "Haiku Heights", "d:Description": "Writing prompts for haiku which are updated every two days.Participating poets can enter their submissions on the website itself and browse those of others.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://haiku-heights.blogspot.in/"} +{"d:Title": "Black and White Heart", "d:Description": "Personal blog with a variety of content - haiku, writing prompts, flash fiction, photography.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://blackandwhiteheart.wordpress.com/"} +{"d:Title": "Paul E. Nelson: American Sentences", "d:Description": "Essays, examples, links and interviews presenting a uniquely American form of haiku, created by poet Allen Ginsberg. Features the \"American sentences\" of Paul Nelson.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://paulenelson.com/american-sentences-2/"} +{"d:Title": "A Haiku&Photography Webpage", "d:Description": "Combining visual arts with literary work.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://raysweb.net/fall-haiku/"} +{"d:Title": "imperfections", "d:Description": "A collection of artworks comprising photographs of the Tasmanian Wilderness by Martin Hawes, haiku by Ron Moss, and translations into Japanese by Yoji Hashimoto.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Personal_Pages", "url": "http://www.imperfections.info/"} +{"d:Title": "Airless Suburban Haiku", "d:Description": "\"A cynical celebration of conspicuous consumption in haiku form.\" --What they said of themselves. It's accurate.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.selendy.com/suburban/"} +{"d:Title": "Haikus for Jews", "d:Description": "Humorous haikus, combining ancient Zen wisdom with timeless Jewish noodging. Excerpts from book for sale.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.haikusforjews.com/"} +{"d:Title": "AsianAmericanFilm.com: Haiku Fu", "d:Description": "A weekly haiku contest based on photos of Asian Americans in the film world. Read 'em! Write 'em! Win cheap but delightful prizes! In your face fun.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.asianamericanfilm.com/haikufu"} +{"d:Title": "Dog Haikus", "d:Description": "A poetic tribute to the domesticated dog. Not classical, not 'artsy', just apt. Hopefully entertaining.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.yuckles.com/doghaikus.htm"} +{"d:Title": "Bing Crosby's Haiku", "d:Description": "Uses invented names in all its content, except in cases when public figures are being satirized.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.angelfire.com/la/haikus/"} +{"d:Title": "Bar Haiku", "d:Description": "Scanned images of haiku written in bars (usually on napkins). Fun and funny, with some X-rated, as you might expect from the \"Bar Haiku Crowd\".", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://barhaiku.20m.com/index.html"} +{"d:Title": "Arpeggiating through the Feeblesquare", "d:Description": "A surreal, unconventional, and somewhat postmodern exploration of the modern abyss through haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://feeblesquare.undonet.com/"} +{"d:Title": "Cowku For Better Living", "d:Description": "Pseudo-haiku about cows.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.13d.org/haiku/cowku/"} +{"d:Title": "Haiku Error Messages", "d:Description": "Yet another rendition of this popular group of 5-7-5s, with a few new ones thrown in.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://funnypoetry.com/haikuerror.htm"} +{"d:Title": "Bushku Generator", "d:Description": "Cyberpoetry as from the glib lips of the Global Village Idiot. Bushku use a 3-5-3 syllable form, 'cause 5-7-5 is too darn complexicated, Laura.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.everypoet.com/bushku"} +{"d:Title": "haikus4hotties", "d:Description": "Irreverent 5-7-5 syllable poetry dedicated to beautiful strangers found on Friendster and other dating sites.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://haikus4hotties.blogspot.com/"} +{"d:Title": "Sayings of the Wise Shang-ti", "d:Description": "Proverb-like guru-ku written by the Wise Shang-ti while meditating high atop McDonald's golden arches.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://thewordshop.tripod.com/asian/Japan/shang-ti.htm"} +{"d:Title": "The Genuine Haiku Generator", "d:Description": "The Genuine Haiku Generator, powered by JavaScript, creates evocative, meaningful verse (?) using completely random combinations of individual words. Save your favorite haiku online, or send one to a friend. (May be X-rated.)", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.everypoet.com/haiku/default.htm"} +{"d:Title": "Dairy Lama: Land of Cheese Haiku", "d:Description": "Submit dairy-inspired haiku poems, called mook\u00fcs. Mook\u00fc poems are submitted and displayed on topics such as art, philosophy, love, science, and adventure.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.dairylama.com/"} +{"d:Title": "Haiku by Everyone", "d:Description": "User-contributed collection, mostly 5-7-5.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.virtualsurreality.com/poems/haiku.htm"} +{"d:Title": "Honku", "d:Description": "Aaron Naparstek's attempt to combat urban traffic noise with haiku and promote his book. Includes verses, message board, and notes and links on the urban noise problem.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.honku.org/"} +{"d:Title": "Haiku error messages", "d:Description": "If programmers were more into Haiku these are the sort of error messages you might see. (This appears to be the same as the original Salon.com set, see below.)", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://members.tripod.com/martin_leese/haiku.html"} +{"d:Title": "HaikuMachine", "d:Description": "HM automatically generates \"haikus\" online using HM's patented 575 technology engine.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.haikumachine.com/"} +{"d:Title": "Beer Haiku Daily", "d:Description": "We publish a beer haiku daily. A simple poem each day to celebrate one of life's simple pleasures. Submissions are welcome.", "priority": "1", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.beerhaikudaily.com/"} +{"d:Title": "Hot Cross Haiku", "d:Description": "A poetry weblog featuring traditional and modern haiku by Ian Row of Melbourne and fellow hot crossers from around the world.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://hotcrosshaiku.blogspot.com/"} +{"d:Title": "Bad Haiku", "d:Description": "A very old (10 years plus online) repository of pseudo haiku, moderated by Janis.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://www.badhaiku.com/"} +{"d:Title": "Hard To Swallow", "d:Description": "Mostly absurd thoughts about the God of the Universe in loose Senryu and Tanka poetry. Food for thought and discussion. Brief comments on religious extremism, totalitarianism etc.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Pseudo_Haiku", "url": "http://absurdthoughtsaboutgod.blogspot.com/"} +{"d:Title": "Acorn Book Company", "d:Description": "Publishes and distributes books of haiku and other minimalist poetry, notably by authors in the UK.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.acornbook.co.uk/"} +{"d:Title": "Haiga Online", "d:Description": "A web site devoted to haiga (haiku-style illustrations, often accompanying haiku) and haiku. Some sections feature both poems and haigafrom open submissions. Established by Jeanne Emrich in 1998, now managed by an'ya.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.haigaonline.com/"} +{"d:Title": "Brooks' English-Language Haiku Web Site", "d:Description": "Information about haiku poets, and publications.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.brooksbookshaiku.com/"} +{"d:Title": "Snapshot Press", "d:Description": "Snapshot Press specializes in haiku, tanka, and short poem, producing books, chapbooks and journals including Snapshots, for haiku and senryu, and Tangled Hair, dedicated to Tanka. Presided over by John Barlow.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.snapshotpress.co.uk/"} +{"d:Title": "HaikuHut.com", "d:Description": "Haikuhut is a site that exhibits, supports, and mentors in the creation of Haiku, Senryu, and Tanka, as well as other 'short forms' of poetry. Includes Photo Haiku that merge images and words. Short Stuff, an on-line journal of short form poetry is published monthly. Webmaster Michael Rehling.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.haikuhut.com/"} +{"d:Title": "Tinywords.com", "d:Description": "Publishes just one new haiku every day on the web and via e-mail. You can even receive your daily haiku by short message service (SMS) or text paging. The message is nothing but one haiku and author's name. (The web page includes some biographical information.) Editor D. F. Tweney.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://tinywords.com/"} +{"d:Title": "Haiku Spirit", "d:Description": "Thanks to webmaster Gilles Fabre, the \"Haiku Spirit\" begun by Jim Norton and Sean O'Connor lives in a new form. Includes contributions in French and English.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://haikuspirit.org/"} +{"d:Title": "Modern Haiku Magazine", "d:Description": "English language print haiku magazine. Longest-running and largest in the business (pages and subscribers). Edited by Lee Gurga.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.modernhaiku.org/"} +{"d:Title": "Tangerine Antho", "d:Description": "Short verse forms deriving from the haiku and tanka tradition, edited by Larry Kimmel.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://larrykimmel.tripod.com/shorthaibun.htm"} +{"d:Title": "Still: a Journal of Short Verse", "d:Description": "Home page of the print journal, one of the zappiest zines of short poems, including haiku. Information on the richest haiku contest in the world, by ai li.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.into.demon.co.uk/"} +{"d:Title": "Paraverse Press", "d:Description": "Here find Paraverse Press books on haiku and cross-cultural communication and other subjects by Robin D. Gill. Several of these are grounded in traditional Japanese saijiki.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.paraverse.org/"} +{"d:Title": "Simply Haiku: Quarterly Journal of Japanese Short Form Poetry", "d:Description": "Simply Haiku publishes haiku, English haiku,tanka, renku, renga, rengay, haiga, haibun, short poetry, oriental art, Japanese haiku, Japanese poetry. A haiku electronic journal, edited by Robert Wilson and team.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.simplyhaiku.com/"} +{"d:Title": "Blogging Along Tobacco Road", "d:Description": "Curtis Dunlap writes and edits this blog, largely related to haiku. Soon after his initial posts, he began inviting haiku poets to answer three questions, including three favorite haiku from their own works. These answers and poems occupy the majority of the blog.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://tobaccoroadpoet.blogspot.com/"} +{"d:Title": "Turtle Light Press", "d:Description": "Turtle Light Press publishes limited and popular editions of volumes of poetry, Judaica, and photography, as well as memoirs and life histories. It also produces book art projects and sells originals, prints, and notecards of fine art. In its digitography projects, Turtle Light Press digitally re-images business and house photos. Rick Black, publisher.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.turtlelightpress.com/"} +{"d:Title": "DailyHaiku", "d:Description": "DailyHaiku is an edited print and online journal of contemporary haiku. One new haiku appears each day, and we publish one collected print edition per year.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.dailyhaiku.org/"} +{"d:Title": "Haibun Today", "d:Description": "Edited by Jeffrey Woodward. Publishes haibun, tanka prose, essays and book reviews.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.haibuntoday.com/"} +{"d:Title": "Haiku Presence", "d:Description": "The web site of Haiku Presence, a British haiku magazine edited by Martin Lucas.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://haiku-presence.50webs.com/"} +{"d:Title": "Craigleigh Press", "d:Description": "A small local publisher of selected literary works. Craigleigh Press has produced several books of poetry and some of them are entirely haiku.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://sites.google.com/site/craigleighpress/"} +{"d:Title": "Acorn: a Journal of Contemporary Haiku", "d:Description": "The site is associated with a hardcopy haiku journal, and features submission guidelines and sample haiku. Edited by A. C. Missias.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://acornhaiku.com/"} +{"d:Title": "RedMoon Press", "d:Description": "Publisher of quality haiku books. Jim Kacian, Publisher.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.redmoonpress.com/"} +{"d:Title": "Asahi Haikuist Network", "d:Description": "Haiku selected by David McMurray are shared at this site with original haiga artwork by Mitsuaki Kojima and a poet`s notebook. The column is updated weekly and archived. Online submission directions.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Publishers", "url": "http://www.asahi.com/ajw/special/haiku/"} +{"d:Title": "American Tanka", "d:Description": "A print journal devoted exclusively to the fast-growing genre of English-language tanka. The journal features sample poems from previous issues and an on-line submission form.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://www.americantanka.com/"} +{"d:Title": "Kokin Wakashu--Introduction", "d:Description": "This English-language intro by Prof. Lewis Cook introduces the full Japanese text of the first imperial anthology of Japanese poetry (which requires Japanese-text-handling software). It is a thorough and interesting introduction to the early history of the tanka.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://etext.lib.virginia.edu/japanese/kokinshu/intro.html"} +{"d:Title": "Yahoo Groups : Tanka", "d:Description": "Gateway to a tanka discussion forum moderated by Jane Reichhold.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://groups.yahoo.com/group/Tanka/"} +{"d:Title": "Selected Tanka Bibliography", "d:Description": "An extensive list of books on the subject, compiled and commented upon by William J. Higginson.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://www.geraldengland.co.uk/hk/hk002.htm"} +{"d:Title": "Kujaku Poetry", "d:Description": "The weblog of M. Kei, a Chesapeake poet. Personal, informative, and opinionated, with history and examples of various types of Japaniform poems, including haiku, senryu, choka, tanka, waka, kyoka, emphasizing mainly the latter three.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://kujakupoet.blogspot.com/"} +{"d:Title": "Tanka Online", "d:Description": "Learn to write the lyric poem of Japan known as tanka, now one of the most popular and versatile short forms of poetry in English. Webmaster, Jeanne Emrich.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://www.tankaonline.com/"} +{"d:Title": "Kyoka Mad Poems", "d:Description": "A Google Group: Kyoka, variously translated into English as 'mad poems,' 'comic waka' and 'humorous tanka' are to tanka/waka what senryu is to haiku: the lighter side of poetry, with few rules. Frequently satirical, bawdy, and just plain silly, kyoka is for poets who want to have fun.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://groups.google.com/group/kyoka"} +{"d:Title": "Keibooks-Announce", "d:Description": "Email list, to provide press releases and other information about poet and publisher M. Kei.", "topic": "Top/Arts/Literature/Poetry/Forms/Haiku_and_Related_Forms/Tanka", "url": "http://groups.google.com/group/Keibooks-Announce"} +{"d:Title": "Hotel Boheme", "d:Description": "\"Beat Poetry\" pages at the website for the actual hotel \". . . specially designed to reflect the culture and taste of late fifties North Beach San Francisco.\"", "topic": "Top/Arts/Literature/Poetry/Genres/Beat", "url": "http://www.hotelboheme.com/beat/"} +{"d:Title": "William Blake and Allen Ginsberg", "d:Description": "Undergraduate thesis comparing their poetic viewpoints.", "topic": "Top/Arts/Literature/Poetry/Genres/Beat", "url": "http://www.angelfire.com/ab2/blake1/"} +{"d:Title": "The Cosmic Baseball Association", "d:Description": "\"The CBA is a baseball league of the imagination.\" Defies easy description, but it's probably a good introduction to current Beat consciousness and thinking.", "topic": "Top/Arts/Literature/Poetry/Genres/Beat", "url": "http://www.cosmicbaseball.com/"} +{"d:Title": "The Liverpool poets", "d:Description": "An introduction to Adrian Henri, Roger McGough and Brian Patten, and their association with the Beat poets of America and the Pop Art movement", "topic": "Top/Arts/Literature/Poetry/Genres/Beat", "url": "http://www.literature-study-online.com/essays/liverpool-poets.html"} +{"d:Title": "Bohemian Ink", "d:Description": "An \"underground review\" of Beat literature and phenomena, both past and present.", "topic": "Top/Arts/Literature/Poetry/Genres/Beat", "url": "http://www.levity.com/corduroy/index.htm"} +{"d:Title": "Beat Generation Resources", "d:Description": "\"Beat Generation FAQ and Resources.\" Beat-links and answers to questions Beat.", "topic": "Top/Arts/Literature/Poetry/Genres/Beat", "url": "http://www.connectotel.com/beat/"} +{"d:Title": "Keith Barnes", "d:Description": "Dedicated to the English poet Keith Barnes, 1934-1969. Includes 'K.B.' published by Maurice Nadeau in 1987, 'Les Bougons' (2002) by Jacqueline Starer and information on writers of the beat generation. English and French.", "topic": "Top/Arts/Literature/Poetry/Genres/Beat/Poets", "url": "http://keith-barnes.com/"} +{"d:Title": "Mama Lisa's Eugene Field Page", "d:Description": "Poems by the American poet Eugene Field.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.mamalisa.com/field"} +{"d:Title": "Fun-4-Children", "d:Description": "Poems, riddles, stories, jokes for, about, and by children.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.fun4children.com/"} +{"d:Title": "Giggle Poetry", "d:Description": "Includes funny poetry, contests, lessons, and poems about school.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.gigglepoetry.com/"} +{"d:Title": "Writing for Children by Larry A. Tilander", "d:Description": "Poems and stories for children.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www3.sympatico.ca/ltilander/kidex.htm"} +{"d:Title": "Fairy Poems and Other Children's Poetry", "d:Description": "An illustrated anthology of classic fairy poems and children's poetry.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://members.tripod.com/poems_of_fairy/"} +{"d:Title": "Potty Poets", "d:Description": "Encourages reluctant readers through the use of humorous \"potty\" and \"yuck\" poetry.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.pottypoets.com/"} +{"d:Title": "Fizzy Funny Fuzzy Poetry for Kids", "d:Description": "Fun poetry for kids by English poet Gareth Lancaster.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.fizzyfunnyfuzzy.com/"} +{"d:Title": "L. W. Lewis Poetry", "d:Description": "Humorous poetry for children by author L. W. Lewis.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://lwlewispoetry.com/"} +{"d:Title": "Funny Poems for Children", "d:Description": "An original collection of humorous short poems about animals, family life, famous people, and school.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.funny-poems.co.uk/"} +{"d:Title": "Enchanted Tulips and Other Verses for Children", "d:Description": "Part of the Victorian Women Writers Project, the site offers the text of a 1914 collection of poetry for children.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.indiana.edu/~letrs/vwwp/keary/tulips.html"} +{"d:Title": "Poetry for Children", "d:Description": "Finding and sharing quality poetry with young people. Written by Sylvia Vardell, a Professor at Texas Woman's University, author of books on poetry.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://poetryforchildren.blogspot.com/"} +{"d:Title": "Poetryteachers.com", "d:Description": "Poetry publisher Meadowbrook Press offers tips on how to teach poetry using activities, poetry theater, and poem samples written by some of their own published poets.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://www.poetryteachers.com/"} +{"d:Title": "Poetry Zone", "d:Description": "Contains poems by well-known children's poets, as well as those submitted by kids, and educational material for teachers.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://poetryzone.co.uk/"} +{"d:Title": "Children's Bedtime Poems", "d:Description": "A number of bedtime poems for children by Richard Johns.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's", "url": "http://rjohns1.tripod.com/index.html"} +{"d:Title": "Bagert, Brod", "d:Description": "Author is a children's performance poet.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.brodbagert.com/"} +{"d:Title": "Burg, Brad", "d:Description": "Author of children's \"graphic\" or shape poems (also known as \"concrete\" poems).", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.bradburg.com/"} +{"d:Title": "Ode, Eric", "d:Description": "Poet, author, singer, and songwriter for children and families. Information available on school visits and concerts.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.ericode.com/"} +{"d:Title": "Scheu, Ted", "d:Description": "Original poetry for kids.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.poetryguy.com/"} +{"d:Title": "Prelutsky, Jack", "d:Description": "Named the first Children\u2019s Poet Laureate by the Poetry Foundation in 2006, this poet is known for his wacky and inventive verse.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.jackprelutsky.com/"} +{"d:Title": "Spinelli, Eileen", "d:Description": "Official web site of children's poet/author Eileen Spinelli.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.eileenspinelli.com/"} +{"d:Title": "Sardelli, Darren", "d:Description": "The official site of humorous poet Darren Sardelli.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.laughalotpoetry.com/"} +{"d:Title": "Nesbitt, Kenn", "d:Description": "Humorous poetry for kids, plus information on school visits and author's books.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.poetry4kids.com/"} +{"d:Title": "Pottle, Robert", "d:Description": "Original, funny poems: text and audio; with reader feedback poll.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.robertpottle.com/"} +{"d:Title": "Singer, Marilyn", "d:Description": "Author of numerous books of poetry for children, as well as works of fiction and non-fiction.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.marilynsinger.net/"} +{"d:Title": "Lewis, J. Patrick", "d:Description": "(Official site) J. Patrick Lewis has written both prose and verse books for young readers utilizing word play, riddles, and limericks.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.jpatricklewis.com/"} +{"d:Title": "Donaldson, Julia", "d:Description": "(Official site) Information about Julia Donaldson, poet/author of many rhyming picture books and books for young readers, as well as original songs.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets", "url": "http://www.juliadonaldson.co.uk/"} +{"d:Title": "Yolen, Jane", "d:Description": "Official site of Jane Yolen, author and poet, often called \"America's Hans Christian Andersen.\"", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets/Yolen,_Jane", "url": "http://www.janeyolen.com/"} +{"d:Title": "Writers Write: Jane Yolen", "d:Description": "Extensive interview with the poet/author about her work.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets/Yolen,_Jane", "url": "http://www.writerswrite.com/journal/jun02/yolen.htm"} +{"d:Title": "Purple Crayon: Book Review and Discussion with Jane Yolen, Author", "d:Description": "Review of Briar Rose and interview that discusses writers, storytellers, and the importance of preserving stories.", "topic": "Top/Arts/Literature/Poetry/Genres/Children's/Poets/Yolen,_Jane", "url": "http://www.underdown.org/yolen.htm"} +{"d:Title": "Cowboy Poets Society", "d:Description": "Organization, names and images of members, shows, information on cowboy entertainment, contacts.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://www.cowboyrudy.com/cbyptsoc.htm"} +{"d:Title": "Cowboy Poetry at the Bar-D Ranch", "d:Description": "Claims the largest collection of cowboy poetry online; includes classic and contemporary poems; accepts original contributions from visitors.", "priority": "1", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://www.cowboypoetry.com/"} +{"d:Title": "Echo Canyon Poetry", "d:Description": "Poetry, short essays, and western art by Utah cowboy poet, Jo Lynne Kirkwood.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://jolynne.freeservers.com/"} +{"d:Title": "Jo Lynne Kirkwood's Cowboy Poetry", "d:Description": "Poems, artwork, short stories and essays about the western culture by this Utah artist and poet.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://www.angelfire.com/amiga/writer/"} +{"d:Title": "Leon Flick", "d:Description": "Working cowboy, western entertainer and poet. Site shares poetry and how to purchase book.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://www.leonflickcowboypoetry.com/"} +{"d:Title": "Baxter Black", "d:Description": "Includes links to radio commentary and reading of syndicated column, a catalog of books, videos and audio cassettes and listing of itinerary.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://www.baxterblack.com/"} +{"d:Title": "Western Folklife Center", "d:Description": "Official site of the Elko, Nevada home of the Cowboy Poetry Gathering and public radio's The Open Road.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://www.westernfolklife.org/"} +{"d:Title": "John Pelham", "d:Description": "Published cowboy poet from Granbury, Texas provides a brief profile, photographs, audio recordings, and links to related sites.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "https://sites.google.com/site/johntpelhampoet/"} +{"d:Title": "Texas Cowboy Poetry Gathering", "d:Description": "Annual event during February in Alpine, Texas. Lists schedule and performers, and information about student poetry contest.", "topic": "Top/Arts/Literature/Poetry/Genres/Cowboy", "url": "http://texascowboypoetry.com/"} +{"d:Title": "Poetry Inspired By Belly Dancing", "d:Description": "Collection of poems from various contributors, with related artwork.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural", "url": "http://www.shira.net/arts.htm"} +{"d:Title": "Aloud!: Voices from the Nuyorican Poets Cafe", "d:Description": "Discover original, bohemian style works and information about the editors.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural", "url": "http://archives.obs-us.com/obs/english/books/holt/books/aloud/index.htm"} +{"d:Title": "Nubianpoets.com", "d:Description": "Links to profiles of prolific African-American poets. Includes a poetry contest and trivia challenge.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural/African-American", "url": "http://www.nubianpoets.com/"} +{"d:Title": "Afropoets", "d:Description": "Find original works, meet the poets, short stories, submission detail, and contacts.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural/African-American", "url": "http://afropoets.tripod.com/"} +{"d:Title": "Mr. Africa Poetry Lounge", "d:Description": "A site filled with various compilations of African-American poems by famous and undiscovered poets. Reader submissions of African-American poetry are welcome.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural/African-American", "url": "http://www.ctadams.com/"} +{"d:Title": "Deaf Poetry", "d:Description": "Features works by Tate DeCaro and Gil Eastman.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural/Deaf", "url": "http://signpetch__1.tripod.com/laurelssignlink/id7.html"} +{"d:Title": "Deaf Poetry", "d:Description": "Features links to collections and essays on the genera.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural/Deaf", "url": "http://www.deaflinx.com/ASL/poetry.html"} +{"d:Title": "Poems Related to the Deaf Experience", "d:Description": "Archive features works linked by title.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural/Deaf", "url": "http://www.zak.co.il/d/deaf-info/old/poems.html"} +{"d:Title": "Poetry by Deaf and Hard of Hearing People", "d:Description": "Works by deaf and hard of hearing people, expressing their feelings and experiences with hearing loss.", "topic": "Top/Arts/Literature/Poetry/Genres/Cultural/Deaf", "url": "https://www.verywell.com/poetry-by-deaf-and-hard-of-hearing-people-1046795"} +{"d:Title": "HyperEpos", "d:Description": "Links to epic poetry, criticism, resources", "topic": "Top/Arts/Literature/Poetry/Genres/Epic_and_Narrative", "url": "http://www.auburn.edu/~downejm/hyperepos.html"} +{"d:Title": "The Story of the Volsungs and Niblungs", "d:Description": "Translated by Eirikr Magnusson and William Morris.", "topic": "Top/Arts/Literature/Poetry/Genres/Epic_and_Narrative", "url": "http://www.bartleby.com/49/4/"} +{"d:Title": "SkylondaWorks Consulting and Desktop Publishing of Narrative Poetry", "d:Description": "Desktop publishing of narrative poetry, including the author's Onegin-like Nineteenth-Century Friend in tetrameter sonnets.", "topic": "Top/Arts/Literature/Poetry/Genres/Epic_and_Narrative", "url": "http://www.skylondaworks.com/"} +{"d:Title": "Bruce Harris Bentzman poems", "d:Description": "Two poems that indirectly touch gender (at the Blue Moon Review Poetry Page).", "topic": "Top/Arts/Literature/Poetry/Genres/Gender", "url": "http://www.thebluemoon.com/4/spr99poebentzman.html"} +{"d:Title": "Anthology of Male Poetry", "d:Description": "Men's Magazine anthology of modern poetry called \"Men of Our Time\"", "topic": "Top/Arts/Literature/Poetry/Genres/Gender", "url": "http://www.menweb.org/mentime.htm"} +{"d:Title": "The Blue Moon Review", "d:Description": "The Internet's Premier Literary Review. New work published on a monthly basis", "topic": "Top/Arts/Literature/Poetry/Genres/Gender", "url": "http://thebluemoon.typepad.com/"} +{"d:Title": "Poetry for Men", "d:Description": "MenWeb site offers a brief synopsis of modern \"men's movement\" poetry along with links to \"web casts\" of major poets reading their work, aloud on-line.", "topic": "Top/Arts/Literature/Poetry/Genres/Gender", "url": "http://www.menweb.org/poetpage.html"} +{"d:Title": "Performance and Gender in Ancient Greece", "d:Description": "Describing how men and women performed poetry in Ancient Greece, Ms. Eva Stehle shows this poetry as \"an occasion for the performer's self presentation.\"", "topic": "Top/Arts/Literature/Poetry/Genres/Gender", "url": "http://press.princeton.edu/titles/5819.html"} +{"d:Title": "Poems on Jane Austen", "d:Description": "An online collection of mostly light and satirical verse dealing with Jane Austen and her works, including a selection of limericks.", "topic": "Top/Arts/Literature/Poetry/Genres/Light_Verse", "url": "http://www.pemberley.com/janeinfo/othpoems.html"} +{"d:Title": "Word Chowder: A Little Book of Light Verse on the Web", "d:Description": "A short book of humorous verse by Scott Emmons, illustrated by Chris Harding. Updated two to four times a month.", "topic": "Top/Arts/Literature/Poetry/Genres/Light_Verse", "url": "http://www.wordchowder.com/"} +{"d:Title": "Tea and Puns", "d:Description": "Whimsical wordplay on life by various women.", "topic": "Top/Arts/Literature/Poetry/Genres/Light_Verse", "url": "http://www.vanewomen.co.uk/puns.html"} +{"d:Title": "Virge's Silly Scrapbook", "d:Description": "Virgil Keys writes limericks, mainly with no sexual content, sonnets, and other comic verse, including parodies of well known works. Also includes a prose journal.", "topic": "Top/Arts/Literature/Poetry/Genres/Light_Verse", "url": "http://www.virgilanti.com/"} +{"d:Title": "Light", "d:Description": "A literary journal published today and is devoted to light verse. Includes excerpts from the print edition, plus submission information.", "topic": "Top/Arts/Literature/Poetry/Genres/Light_Verse", "url": "http://lightpoetrymagazine.com/"} +{"d:Title": "Phrase and Fable", "d:Description": "Definition from Brewer's Phrase and Fable", "topic": "Top/Arts/Literature/Poetry/Genres/Light_Verse/Amphigouri", "url": "http://www.bibliomania.com/2/3/255/frameset.html"} +{"d:Title": "Nephelidia", "d:Description": "Swinburn's famous amphigouri, that mocks his own style of poetry.", "topic": "Top/Arts/Literature/Poetry/Genres/Light_Verse/Amphigouri", "url": "http://wonderingminstrels.blogspot.com/1999/05/nephelidia-algernon-charles-swinburne.html"} +{"d:Title": "Salamander Oasis Trust", "d:Description": "Trust to collects and publishes poems written by servicemen of all nations during the 1939-1945 War: includes sample poems, news, contact information.", "topic": "Top/Arts/Literature/Poetry/Genres/Military", "url": "http://www.salamanderoasis.org/"} +{"d:Title": "Allspirit", "d:Description": "A site full of spiritual poetry, quotations and song lyrics. Poets include Rumi, Rilke, Tagore, Gibran, and Emerson. Also details of Allspirit mailing list for sharing spiritual poetry and quotations.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious", "url": "http://www.allspirit.co.uk/"} +{"d:Title": "The Oxford Book of English Mystical Verse", "d:Description": "Spans five centuries with 390 selections by 162 authors. Indexed alphabetically and chronologically, and searchable.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious", "url": "http://www.bartleby.com/236/"} +{"d:Title": "Hans Eibe's Poetry and Visualized Atmospheres", "d:Description": "Some poetry, pictures, reflections and small stories created as a result of being exposed to the world.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious", "url": "http://www.eibe.dk/"} +{"d:Title": "Poetry - Do Unto Others Project", "d:Description": "Inspirational poetry from poets aged 13 to 98.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious", "url": "http://www.dountoothers.org/poetry.html"} +{"d:Title": "ShortPoems.org", "d:Description": "Collection of short poems with emphasis on the work of Sri Chinmoy. Includes poem of the day online or by free newsletter.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious", "url": "http://www.shortpoems.org/"} +{"d:Title": "Marie Dolistova", "d:Description": "Poems by an author from the Czech Republic, mainly on Christian and nature themes. In English, Czech, Serbian, and Esperanto.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious", "url": "http://mujweb.cz/dolistova/EN-Index.htm"} +{"d:Title": "Reflections of Taqwa - Islamic Poetry", "d:Description": "Islamic Poetry by Velma Cook", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Islamic", "url": "http://www.islamicgarden.com/poetry.html"} +{"d:Title": "Classic Islamic Poems", "d:Description": "Classic Islamic poems from well known Muslim Poets.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Islamic", "url": "http://www.islamicedfoundation.com/material.htm"} +{"d:Title": "Beautiful Poetry", "d:Description": "Compilation of Islamic poems.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Islamic", "url": "http://www.themodernreligion.com/poetry/poetry_index.htm"} +{"d:Title": "Collection of Islamic Poems", "d:Description": "Collection of Islamic poems divided into categories", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Islamic", "url": "http://ik-poems.tripod.com/index.htm"} +{"d:Title": "What Goes Round", "d:Description": "An article exploring the irony that a 13th-century Turkish poet is a best-seller in the US, while the order of Sufi dervishes he founded is banned in Turkey.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Islamic", "url": "http://www.theguardian.com/books/2005/nov/05/featuresreviews.guardianreview26"} +{"d:Title": "An Invitation to Piyyut", "d:Description": "Texts, articles, and performances relating to historical and contemporary piyyut.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Jewish", "url": "http://www.piyut.org.il/english/"} +{"d:Title": "Medieval Hebrew Poetry", "d:Description": "Translations, articles, and reading and class lists on Hebrew poetry from the Fourth to the Eighteenth Centuries. User submissions accepted.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Jewish", "url": "http://medievalhebrewpoetry.org/"} +{"d:Title": "Music for Song of Solomon", "d:Description": "Selections from the Bloch translation of the Song of Songs, with audio files of some new music for them, and a commentary emphasizing the religious eroticism and nature interpretations.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Jewish", "url": "http://www.songofsongs.com/"} +{"d:Title": "Images of Taoism", "d:Description": "Words and images from Lao Tzu's \"Tao Te Ching\", calligraphy, acrylic work and digital images.", "topic": "Top/Arts/Literature/Poetry/Genres/Religious/Taoist", "url": "http://symynet.com/tao_te_ching/"} +{"d:Title": "Best Love Poems", "d:Description": "Features ~60 classic poems; quotations; and original poems.", "topic": "Top/Arts/Literature/Poetry/Genres/Romantic", "url": "http://www.best-love-poems.com/"} +{"d:Title": "Love Poetry, Archaic and Modern", "d:Description": "A selection of works by poet Paul Vereshack, in ancient and contemporary styles.", "topic": "Top/Arts/Literature/Poetry/Genres/Romantic", "url": "http://www.paulvereshack.com/welcome.html"} +{"d:Title": "Today's Love Poems", "d:Description": "A romantic poem selected daily. With an archive.", "topic": "Top/Arts/Literature/Poetry/Genres/Romantic", "url": "http://www.zdaily.com/poems.htm"} +{"d:Title": "Links 2 Love", "d:Description": "Original poetry and fiction about love, dating tips and links.", "topic": "Top/Arts/Literature/Poetry/Genres/Romantic", "url": "http://www.links2love.com/poetry_poems.htm"} +{"d:Title": "Egyptian Love Poetry", "d:Description": "Examples and analysis of poetry written c. 2000 - 1100 BC.", "topic": "Top/Arts/Literature/Poetry/Genres/Romantic", "url": "http://www.fordham.edu/halsall/ancient/2000egypt-love.asp"} +{"d:Title": "SciFaiku Review-O-Rama", "d:Description": "Contains the definition, information on submitting, and reviews of this poetry genre.", "topic": "Top/Arts/Literature/Poetry/Genres/Science_Fiction,_Fantasy_and_Horror", "url": "http://www-personal.umich.edu/~danhorn/scifaikureview.html"} +{"d:Title": "The Science Fiction Poetry Association", "d:Description": "Information on the association, genre poetry, and the annual Rhysling Award.", "topic": "Top/Arts/Literature/Poetry/Genres/Science_Fiction,_Fantasy_and_Horror", "url": "http://www.sfpoetry.com/"} +{"d:Title": "Poems of the Fantastic and Macabre", "d:Description": "Anthology of poems from the Middle Ages to the modern era about supernatural creatures, imaginary places, and uncanny experiences.", "topic": "Top/Arts/Literature/Poetry/Genres/Science_Fiction,_Fantasy_and_Horror", "url": "http://www.poemsofthefantastic.com/"} +{"d:Title": "Poems by Philip Jose Farmer", "d:Description": "A small selection of poems.", "topic": "Top/Arts/Literature/Poetry/Genres/Science_Fiction,_Fantasy_and_Horror", "url": "http://www.pjfarmer.com/"} +{"d:Title": "Ray Kurzweil's Cybernetic Poet", "d:Description": "Creates \"language models\" based on poets and helps you find rhymes, alliterations, turns of phrase, and has a screen saver that writes poetry. Download basic version for free.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.kurzweilcyberart.com/"} +{"d:Title": "UBU Web: Visual + Concrete + Sound Poetry", "d:Description": "A learned, varietous, and rewarding assortment of links and works concerning visual/concrete poetry, including a knowledgeable historical perspective on visual/concrete poetry and many links to international contemporary work.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.ubu.com/"} +{"d:Title": "Electronic Poetry Center", "d:Description": "E-poetry; radio show LINEbreak; poetics email forum.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://epc.buffalo.edu/"} +{"d:Title": "Warnell.com", "d:Description": "warnell.com new media network. Ted Warnell's work is some of the most zenny elegant visual design you'll run across. Funny and thoughtful, simple and strong. You'll leave feeling you didn't get it all and you'd be right.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.warnell.com/"} +{"d:Title": "Riding the Meridian", "d:Description": "... exists to seek out and support new forms of literary art based on Internet technology and emerging theories, to facilitate communication within the online literary community, to recognize unique poetic talent and support emerging voices, to explore the myriad forms by which the Internet can be used to publish and promote poetry.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.heelstone.com/meridian/"} +{"d:Title": "TRINPsite: Computer Generated Poetry", "d:Description": "Some examples of \"computer generated poetry.\"", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.trinp.org/Poet/ComP.htm"} +{"d:Title": "Re: The Virtual Affair", "d:Description": "net.art, cyber.poetry, multi.new.media.works by Reiner Strasser and friends", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://repoem.tripod.com/"} +{"d:Title": "Vispo Langu(im)age", "d:Description": "Poetry - New Media: L inks of the Imagination. \"Links to literary (in some sense) Web sites.\"", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://vispo.com/misc/links.htm"} +{"d:Title": "The Seven By Nine Squares", "d:Description": "An early and innovative Web project headed by Florian Kramer. Wild and ranging, great read. Includes much Neoism and some of (the late?) David Zack's writings about Neoism as well as others involved in this interesting piece of art. For instance, Monty Cantsin is a Neoist figure. Anybody can be Monty Cantsin, famous artist, that's part of his character.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.thing.de/projekte/7:9%23/Welcome.html#1"} +{"d:Title": "Poems that go", "d:Description": "A website devoted to unite words, design, music and motion.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://poemsthatgo.com/"} +{"d:Title": "E-Poetry 2001", "d:Description": "An International Digital Poetry Festival held in Buffalo, New York, April 19-21, 2001. A convocation of digital poets and artists to focus on the state of art of digital poetry.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://epc.buffalo.edu/e-poetry/2001"} +{"d:Title": "Wordcircuits", "d:Description": "A forum and gallery of hypertextual poetry and fiction.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.wordcircuits.com/"} +{"d:Title": "RE: Words and Works for the Cyber Age", "d:Description": "Word and visual works for the Web by German artist and educator Reiner Strasser.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://netartefact.de/repoem/re.html"} +{"d:Title": "Loss Peque\u00f1o Glazier", "d:Description": "Literary experiments by the mind behind the SUNY Buffalo EPC (Electronic Poetry Center).", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://epc.buffalo.edu/authors/glazier/"} +{"d:Title": "Electronic Book Review", "d:Description": "electronic book review (ebr) is an online scholarly journal promoting print/screen translations and new modes of critical writing on the Internet.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.electronicbookreview.com/"} +{"d:Title": "Snakeskin", "d:Description": "Poetry magazine specialized in hypertext and intertext works.", "topic": "Top/Arts/Literature/Poetry/Interactive", "url": "http://www.snakeskinpoetry.co.uk/"} +{"d:Title": "The Alt-X Online Publishing Network", "d:Description": "Where the digerati meet the literati.", "topic": "Top/Arts/Literature/Poetry/Interactive/Journals", "url": "http://www.altx.com/"} +{"d:Title": "BeeHive", "d:Description": "\"Hypertext Hypermedia Literary Journal.\" Includes interactive, hypertext poetry, literary essays and criticism.", "topic": "Top/Arts/Literature/Poetry/Interactive/Journals", "url": "http://beehive.temporalimage.com/"} +{"d:Title": "Fridge Magnet Poetry Boards", "d:Description": "Interactive poetry site with gallery of poems.", "topic": "Top/Arts/Literature/Poetry/Interactive/Magnetic", "url": "http://www.thepixiepit.co.uk/magnets.htm"} +{"d:Title": "puzzlePoems", "d:Description": "Faye Manning's submissions to the Poetry In Motion contest at Poetry.com.", "topic": "Top/Arts/Literature/Poetry/Interactive/Magnetic", "url": "http://www.angelfire.com/journal/novjul/un/puzzle/index.html"} +{"d:Title": "Shocked Poetry", "d:Description": "Uses shockwave to bring magnetic poetry and poetry eGreetings to the web.", "topic": "Top/Arts/Literature/Poetry/Interactive/Magnetic", "url": "http://www.shockedpoetry.com/"} +{"d:Title": "Sheep Poetry Generator", "d:Description": "Randomly arrange fifteen sheep with words on their sides, to make poetry.", "topic": "Top/Arts/Literature/Poetry/Interactive/Magnetic", "url": "http://thesurrealist.co.uk/sheep"} +{"d:Title": "Smylie, Barry", "d:Description": "Web entertainment: Stories and poems, paintings, graphics, animation, and documentary designed by Barry Smylie and company.", "topic": "Top/Arts/Literature/Poetry/Interactive/Poets", "url": "http://barrysmylie.com/"} +{"d:Title": "Offerings", "d:Description": "Offerings is an on going project. It lists offers-thoughts, comments, poems, objects that have been sent to me. Offerings is a virtual altar to connectedness", "topic": "Top/Arts/Literature/Poetry/Interactive/Poets", "url": "http://www.art-poetry.info/"} +{"d:Title": "Salt River Review", "d:Description": "The Salt River Review, an online magazine featuring poetry, fiction and creative non-fiction, also in translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation", "url": "http://www.poetserv.org/"} +{"d:Title": "Metamorphoses: Literary Translation", "d:Description": "Metmorphoses is the journal of the Five College Seminar on Literary Translation. Published in the spring and fall, the journal provides a forum for literary translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation", "url": "http://www.smith.edu/metamorphoses/"} +{"d:Title": "The Drunken Boat", "d:Description": "A quarterly webmagazine of international poetry, translations, reviews, interviews, features, publishing news, writers' resources.", "topic": "Top/Arts/Literature/Poetry/In_Translation", "url": "http://www.thedrunkenboat.com/"} +{"d:Title": "Ubuweb", "d:Description": "Visual, concrete and sound poetry (historical, contemporary, insane).", "topic": "Top/Arts/Literature/Poetry/In_Translation", "url": "http://www.ubuweb.com/"} +{"d:Title": "Poems Found In Translation", "d:Description": "Blog devoted to A. Z. Foreman's translations of poetry from Arabic, Catalan, Esperanto, French, German, Galician, Latin, Persian, Russian, Spanish, Welsh and other languages into English. Includes reviews of translations by others.", "topic": "Top/Arts/Literature/Poetry/In_Translation", "url": "http://poemsintranslation.blogspot.com/"} +{"d:Title": "Poetry International", "d:Description": "A worldwide poetry magazine on the Internet. The PIW Archive contains thousands of poems by modern poets from all around the world, both in the original language and in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation", "url": "http://www.poetryinternationalweb.net/"} +{"d:Title": "The Gate of Basque Poetry", "d:Description": "The site offers a brief introduction to Basque language and literature and links to translations from 15th, 16th and 20th century Basque poetry.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Basque", "url": "http://basquepoetry.eus/"} +{"d:Title": "Plurilingual Anthology of Catalan Poetry", "d:Description": "A small anthology of poems by Catalan, Valencian and Balearic authors, in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Catalan", "url": "http://perso.wanadoo.es/lipmic/Pluricat/eng/index.htm"} +{"d:Title": "300 Tang Poems", "d:Description": "Complete online text, with English translations and Chinese originals [the latter requiring appropriate fonts]", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://etext.lib.virginia.edu/chinese/frame.htm"} +{"d:Title": "Translations of Chinese Poetry", "d:Description": "By Mike O'Connor. Appeared in Mudlark No. 7 (1997).", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://www.unf.edu/mudlark/mudlark07/contents.html"} +{"d:Title": "Chinese Poems", "d:Description": "Poems by Du Fu, Wang Wei, Li Bai and others in traditional and simplified characters, pinyin, and literal and literary English. Includes subject index.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://www.chinese-poems.com/"} +{"d:Title": "Asian Topics: Tang Poetry", "d:Description": "Articles on various aspects of the genre, including its forms and its relationship to everyday life, plus information on Du Fu, Li Bo and Wang Wei.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://www.columbia.edu/itc/eacp/asiasite/topics/index.html?topic=Tang+subtopic=Intro"} +{"d:Title": "Clouds Over Fort Jade", "d:Description": "Images of screens exploring works by Du Fu and Wang Wei, with an introduction describing the project. By Karl Young.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://www.thing.net/~grist/ld/young/clouds2.htm"} +{"d:Title": "Hsia Yu: Four Poems", "d:Description": "Works by the contemporary Taiwanese poet, in translation by Steve Bradbury.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://www.jacketmagazine.com/08/hsia.html"} +{"d:Title": "Like Water or Clouds", "d:Description": "The T'ang Dynasty and The Tao. Chinese poetry in English translation: T'ao Chien, Wang Wei, Li Po, Tu Fu, Po Ch\u00fc-Yi.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://poetryintranslation.com/PITBR/Chinese/Allwaterhome.htm"} +{"d:Title": "David Hinton", "d:Description": "Information and selected passages from the author's original poetry and translations of classical Chinese poetry and philosophy.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://www.davidhinton.net/"} +{"d:Title": "Song of Roses", "d:Description": "A collection of poems by Vena Yin Sheng, presented in Chinese calligraphy and translated into English.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Chinese", "url": "http://members.dslextreme.com/users/rojolee/sheng.html"} +{"d:Title": "Poems by Vasko Popa", "d:Description": "Selection of poems by Vasko Popa translated from Serbian.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.beyond-the-pale.co.uk/vaskopopa.htm"} +{"d:Title": "Albanian Poems", "d:Description": "Experimental poems in Albanian, with English translation, plus some poems in English about Albania.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.beyond-the-pale.co.uk/albanian.htm"} +{"d:Title": "Poems by Ivan Borislavov", "d:Description": "Bulgarian poet Ivan Borislavov (*1946) in English translation by Zdravka Mihaylova.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.alb-neckar-schwarzwald.de/bulgaria/borislavov.html"} +{"d:Title": "Poems by Ivan Theofilov", "d:Description": "Poems from 'Geometry of the Spirit' by Ivan Theofilov in English translation by Zdravka Mihaylova.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.jbeilharz.de/bulgaria/theofilov.html"} +{"d:Title": "Vladimir Holan - She Asked You", "d:Description": "English translation by Jarmila and Ian Milner. Other poems by Czech poet Holan (1905-1980) are posted at the same site.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.artofeurope.com/holan/hol1.htm"} +{"d:Title": "Attila J\u00f3zsef - JATE Library Exhibition", "d:Description": "Biography and some poems in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.bibl.u-szeged.hu/exhib/ja/ja.html"} +{"d:Title": "Poetry from Lithuania", "d:Description": "Selected by J.C. Todd. Special feature in winter 2002 issue of The Drunken Boat.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.thedrunkenboat.com/lithuania.html"} +{"d:Title": "Late Echo and Sic Transit ...", "d:Description": "Two poems by George Bacovia (Romania) in English translation by David Hill.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.alb-neckar-schwarzwald.de/poetas/bacovia/"} +{"d:Title": "On the Nature of Love", "d:Description": "and other poems by Krisztina T\u00f3th (Hungary, *1967) in English translation by David Hill.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.alb-neckar-schwarzwald.de/poetas/toth/"} +{"d:Title": "Warm Weather", "d:Description": "A poem by Dostena Angelova-Lavergne (Bulgaria, *1972) in English translation by Zdravka Mihaylova.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.jbeilharz.de/bulgaria/angelova.html"} +{"d:Title": "Ivy Press Princeton", "d:Description": "dedicated to publishing Bulgarian poetry in English and bilingual editions. Links to sample poems.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.ivypressprinceton.com/"} +{"d:Title": "George Cosbuc", "d:Description": "Small selection by Romanian poet George Cosbuc (1866-1918) in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.romanianvoice.com/poezii/poeti_tr/cosbuc_eng.php"} +{"d:Title": "Nichita St\u00e3nescu", "d:Description": "Romanian Poetry by Nichita St\u00e3nescu (1933-1983) in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.romanianvoice.com/poezii/poeti_tr/stanescu_eng.php"} +{"d:Title": "Nicolae Sirius", "d:Description": "The White Century -- by Walter Tonetto. English transcreations from the Romanian of Nicolae Sirius.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.romanianvoice.com/poezii/poeti_tr/sirius_eng.php"} +{"d:Title": "Attila J\u00f3zsef in English Translation", "d:Description": "A selection of poems by Hungarian poet Attila J\u00f3zsef in English translation by John Batki.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.oberlin.edu/ocpress/Books/Jozsef.htm"} +{"d:Title": "Marin Sorescu in English Translation", "d:Description": "Selected poems by Romanian poet Marin Sorescu in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.oberlin.edu/ocpress/Books/Sorescu.htm"} +{"d:Title": "Vasko Popa in English Translation", "d:Description": "A selection of Serbian poet Vasko Popa in English translation by Charles Simic.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.oberlin.edu/ocpress/Books/Popa.htm"} +{"d:Title": "Novica Tadic in English Translation", "d:Description": "A selection by Serbian poet Novica Tadic in English translation by Charles Simic.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.oberlin.edu/ocpress/Books/Tadic.htm"} +{"d:Title": "Mihai Eminescu", "d:Description": "21 poems by Mihai Eminescu (1850-1889) in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/East_European", "url": "http://www.romanianvoice.com/poezii/poeti_tr/eminescu_eng.php"} +{"d:Title": "Life&Works of O. V. de L. Milosz", "d:Description": "Bilingual (French/English) site dedicated to the strange and mystical French poet, Oscar V. de Lubicz-Milosz.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://milosz.planetaclix.pt/milosz.html"} +{"d:Title": "Poetry and Translation : The Uncreated", "d:Description": "A game about language paradoxes, the poetry of Rebecca Behar in five languages, and the manifesto of intermittent poetry.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://www.rebecca-behar.com/"} +{"d:Title": "A Sampling of French Surrealist poetry", "d:Description": "A sampling of French surrealist poetry by Desnos, Eluard, Reverdy and Soupault in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://www.alb-neckar-schwarzwald.de/surrealism/surrealism.html"} +{"d:Title": "French Surrealist Poetry in English Translation by David Gascoyne", "d:Description": "French surrealist poetry by Arp, Breton, Dal\u00ed, P\u00e9ret, Picasso, Ribemont-Dessaignes and Unik in English translation by David Gascoyne.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://www.alb-neckar-schwarzwald.de/surrealism/gascoyne-translations.html"} +{"d:Title": "The Song of Roland", "d:Description": "The poem is the first of the great French heroic poems known as \"chansons de geste.\"", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://www.bartleby.com/49/2/"} +{"d:Title": "Three Poems from Surrealist Love Poems", "d:Description": "Poems by Jacques-Bernard Brunius, Paul Eluard and Joyce Mansour in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://www.press.uchicago.edu/Misc/Chicago/098710.html"} +{"d:Title": "Arthur Graves Canfield: French Lyrics", "d:Description": "Introduction to French lyric poetry. Includes English introduction, French texts, and biographical notes, but no translations. In many formats for computer and PDA. Text from Project Gutenberg.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://manybooks.net/titles/canfieldartetext058flyr10.html"} +{"d:Title": "Benjamin P\u00e9ret: From the Hidden Storehouse", "d:Description": "Translation of \"Spilled Blood\" and bibliographic data on the translation into English by Keith Hollaman of a selection of poems by French surrealist Benjamin P\u00e9ret.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://www.oberlin.edu/ocpress/Books/Peret.htm"} +{"d:Title": "Michel Galiana", "d:Description": "Poems by Michel Galiana (1933-1999), with translations by Christian Souchon. Aside from their refined descriptions, Galiana's texts have mainly introspective contents, discussing beauty, incommunicability, and seclusion, much in the style of the French hermetic poets.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://chrsouchon.free.fr/galiana/galiana.htm"} +{"d:Title": "The Song of Roland", "d:Description": "Modern English translation of La Chanson de Roland (11th century).", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://omacl.org/Roland/"} +{"d:Title": "Madness: An Attempt to Simulate General Paralysis", "d:Description": "English translation of text by Andr\u00e9 Breton and Paul Eluard.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://www.consideration.org/ephemera/eluard2.html"} +{"d:Title": "Louise Lab\u00e9", "d:Description": "Sonnets. In French, some of them also in English translation. Site also contains translations into Dutch, German, Italian and Spanish.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://coulmont.com/labe/labe.html"} +{"d:Title": "Gu\u00e9rin", "d:Description": "B\u00e9renger of the Long Arse, 13th cent. fabliau in modern English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://sites.fas.harvard.edu/~chaucer/special/litsubs/fabliaux/berenger.html"} +{"d:Title": "Eustache d'Amiens", "d:Description": "The Butcher of Abbeville, 13th century fabliau in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://sites.fas.harvard.edu/~chaucer/special/litsubs/fabliaux/butcher.html"} +{"d:Title": "The Priest and the Lady", "d:Description": "Anonymous French fabliau (13th century).", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://sites.fas.harvard.edu/~chaucer/special/litsubs/fabliaux/prandlad.html"} +{"d:Title": "Marie de France", "d:Description": "The Lais (12th century), in a verse translation by Judith P. Shoaf.", "topic": "Top/Arts/Literature/Poetry/In_Translation/French", "url": "http://users.clas.ufl.edu/jshoaf/Marie/"} +{"d:Title": "German Expressionist Poetry", "d:Description": "Some poetry of the expressionist decade (1910-1920) in English translation: Kurt Schwitters, Wassily Kandinsky, Ren\u00e9 Schickele, George Grosz and others.", "topic": "Top/Arts/Literature/Poetry/In_Translation/German", "url": "http://www.alb-neckar-schwarzwald.de/expr/expr_poems.html"} +{"d:Title": "Rhodes, June 2000", "d:Description": "\"Singing Pipes\" and other poems by Hellmut Seiler in English translation. Includes a link to a short biography of the author.", "topic": "Top/Arts/Literature/Poetry/In_Translation/German", "url": "http://www.alb-neckar-schwarzwald.de/seiler/rhodos-e.html"} +{"d:Title": "Injektion / Injection, ein Gedicht von / a poem by Walle Sayer", "d:Description": "A poem by Walle Sayer (*1960) in German and English translation, with a link to a short biography.", "topic": "Top/Arts/Literature/Poetry/In_Translation/German", "url": "http://www.alb-neckar-schwarzwald.de/sayer/injection.html"} +{"d:Title": "Poetry of Hans Raimund", "d:Description": "In German and English translation by David Chorlton. In The Adirondack Review, vol. II, no. 1, 2001.", "topic": "Top/Arts/Literature/Poetry/In_Translation/German", "url": "http://www.adirondackreview.homestead.com/chorltongerman.html"} +{"d:Title": "Four Poems By Walle Sayer", "d:Description": "In The Drunken Boat Magazine.", "topic": "Top/Arts/Literature/Poetry/In_Translation/German", "url": "http://www.thedrunkenboat.com/sayer.html"} +{"d:Title": "Five Poems By Jos\u00e9 F.A. Oliver", "d:Description": "In The Drunken Boat Magazine.", "topic": "Top/Arts/Literature/Poetry/In_Translation/German", "url": "http://www.thedrunkenboat.com/oliver.html"} +{"d:Title": "Raoul Hausmann", "d:Description": "Sound poetry by and biographical information on Austrian poet Raoul Hausmann.", "topic": "Top/Arts/Literature/Poetry/In_Translation/German", "url": "http://www.ubuweb.com/sound/hausmann.html"} +{"d:Title": "Contemporary Greek Poetry", "d:Description": "Magazine of contemporary Greek poetry in translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Greek", "url": "http://genesis.ee.auth.gr/dimakis/greekpoetransl.html"} +{"d:Title": "Italian Poetry of the Second Half of the 20th Century", "d:Description": "Selected poets, from thirty to sixty years old, bear witness to the various tendencies present in Italy nowadays. In Italian, English and other languages.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Italian", "url": "http://www.italian-poetry.org/"} +{"d:Title": "StoikaEstinta", "d:Description": "Italian rock singer/poetess Eva Milan's online collection. Includes selections from her poetry in Italian and English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Italian", "url": "http://digilander.libero.it/StoikaEstinta/"} +{"d:Title": "Massimo Rossi", "d:Description": "Presents poetry by the contemporary Italian poet, with some translations into English, French, Spanish, Russian, and Romanian. Also has biographical note in English as well as Italian.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Italian", "url": "http://www.massimo-rossi.com/"} +{"d:Title": "Eugenio Montale Collected Poems", "d:Description": "Two poems by Eugenio Montale in English translation by Jonathan Galassi.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Italian", "url": "http://users.starpower.net/jrharris/montale.html"} +{"d:Title": "Cesare Pavese - Deola's Return", "d:Description": "English translation by Margaret Crosland. More poems by Pavese are available at this same site.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Italian", "url": "http://www.artofeurope.com/pavese/pav1.htm"} +{"d:Title": "Poems by Massimo Rossi", "d:Description": "Poems by Massimo Rossi (*1956) in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Italian", "url": "http://www.italian-poetry.org/Rossi2.htm"} +{"d:Title": "The Pillow Book - The Poems", "d:Description": "These 13 poems were written by a lady in waiting at the Japanese Heian Dynasty Imperial Court at the very end of the 10th century and they were the core of director P. Greenaway's film \"The Pillow Book\".", "topic": "Top/Arts/Literature/Poetry/In_Translation/Japanese", "url": "http://www.filippos.com/poems/"} +{"d:Title": "Ogura Hyakunin Isshu: 100 Poems by 100 Poets", "d:Description": "Complete online text of the classical Japanese anthology of waka or tanka, with the originals in Japanese characters, romanji, and English translation available in a side-by-side-by-side display format.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Japanese", "url": "http://etext.lib.virginia.edu/japanese/hyakunin/"} +{"d:Title": "Hass on Haiku", "d:Description": "Selected Basho and Issa haiku translated into English by U.S. Poet Laureate Robert Hass, along with excerpts from his introduction to \"The Essential Haiku\".", "topic": "Top/Arts/Literature/Poetry/In_Translation/Japanese", "url": "http://www.english.illinois.edu/maps/poets/g_l/haas/haiku.htm"} +{"d:Title": "David Rosenmann-Taub", "d:Description": "Contemporary Latin American poet, author of Cortejo y Epinicio, El Cielo en la Fuente, and other acknowledged masterpieces of Hispanic literature.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Latin_American", "url": "http://davidrosenmann-taub.com/"} +{"d:Title": "Throwing Error", "d:Description": "and other poems by Alexis G\u00f3mez Rosa (*1950, Dominican Republic) in Spanish and English translation by Kim Mattson.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Latin_American", "url": "http://www.intelinet.org/eboli/eboli01/eboli_0118.htm"} +{"d:Title": "Peruvian Poetry Translations", "d:Description": "A generation of Peruvian poets - Antonio Cisneros, Mirko Lauer, Marcos Matos, Abelardo Sanchez Leon and Blanca Varela - translated to English by Michael L. Smith.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Latin_American", "url": "http://www.gci275.com/writing/translate.shtml"} +{"d:Title": "Jaime Saenz", "d:Description": "Five poems by the Bolivian poet (1921-1986) translated by Kent Johnson and Forrest Gander.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Latin_American", "url": "http://www.jacketmagazine.com/08/saenz.html"} +{"d:Title": "Corda Foundation", "d:Description": "A charity dedicated to the preservation of the poetry, music and art of David Rosenmann-Taub. Information on the organisation's activities and the writer's works.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Latin_American", "url": "http://cordafoundation.org/"} +{"d:Title": "Iranian Women Poets", "d:Description": "Extracts from their poetry.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern", "url": "http://www.artarena.force9.co.uk/womenp.htm"} +{"d:Title": "Shahnaz A'lami", "d:Description": "Poem by Shahnaz A'lami (*1921) in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern", "url": "http://www.artarena.force9.co.uk/shahnaz.htm"} +{"d:Title": "Life&Poetry of Hafiz", "d:Description": "Divan-e Hafiz (Hafez) in Persian and English, Fal-e Hafiz (divination by the oracle of Shiraz), biography, picture gallery and links.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern", "url": "http://www.hafizonlove.com/"} +{"d:Title": "The Songs of Hafiz", "d:Description": "Dedicated to the great fourteenth century poet Hafiz of Shiraz. Includes biographical and descriptive information concerning Hafiz and his poetry with sample translations.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern", "url": "http://www.thesongsofhafiz.com/"} +{"d:Title": "Hebrew and Yiddish Poetry Translated by Karen Alkalay-Gut", "d:Description": "Yehuda Amichai, Asher Reich, and Yossl Birstein.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern", "url": "http://karenalkalay-gut.com/translations"} +{"d:Title": "Turkish Poetry in Translation", "d:Description": "A wide selection of texts by various Turkish poets, as well as links to further resources.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern/Turkish_Poetry", "url": "http://www.cs.rpi.edu/~sibel/poetry/translation.html"} +{"d:Title": "Ottoman Lyric Poetry: An Anthology", "d:Description": "Excerpts from this book by Walter G. Andrews.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern/Turkish_Poetry", "url": "http://www.cs.rpi.edu/~sibel/poetry/books/ottoman/index.html"} +{"d:Title": "Poetry from/about Cyprus or by Cypriots", "d:Description": "Traditional and modern poetry and articles.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern/Turkish_Poetry", "url": "http://www.stwing.upenn.edu/~durduran/newpage/culture/poetry/poetry.html"} +{"d:Title": "Medieval Sourcebook: The Legends&Poetry of the Turks", "d:Description": "Selection of poems from the 1917 book by C. F. Horne.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Middle-Eastern/Turkish_Poetry", "url": "http://www.fordham.edu/halsall/source/turkishpoetry1.asp"} +{"d:Title": "Renoir", "d:Description": "and other poems by Anfisa Osinnik (Russia, *1957) in English translation.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Russian", "url": "http://www.jbeilharz.de/poetas/osinnik/"} +{"d:Title": "Chernobyl Poems by Lyubov Sirota", "d:Description": "Poems from the survivors of the Chernobyl nuclear accident.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Russian", "url": "http://www.wsu.edu/~brians/chernobyl_poems/chernobyl_poems.html"} +{"d:Title": "Russian Poetry", "d:Description": "A selection of Russian poetry in English translations. Alphabetical and chronological orders.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Russian", "url": "http://www.russianlegacy.com/russian_culture/poetry/"} +{"d:Title": "Three poems by Rolf Jacobsen", "d:Description": "Translations by Roger Greenwald of works by the Norwegian poet.", "topic": "Top/Arts/Literature/Poetry/In_Translation/Scandinavian", "url": "http://www.press.uchicago.edu/Misc/Chicago/390357.html"} +{"d:Title": "Spinning Jenny", "d:Description": "Annual poetry zine out of New York, with subscription and submission information on the website.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.spinning-jenny.com/"} +{"d:Title": "The Comstock Review", "d:Description": "Published in print biannually with 100 pages of all styles of original poetry. Submission and subscription information, the Comstock Writers' Group, contest and a handbook for poets.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.comstockreview.org/"} +{"d:Title": "The Drunken Boat", "d:Description": "The Drunken Boat is an on-line international poetry journal featuring poetry in translation and interviews with poets.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.thedrunkenboat.com/"} +{"d:Title": "Poetry Greece", "d:Description": "Includes extracts from past print issues. No longer accepts submission.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://genesis.ee.auth.gr/dimakis/greekpoetransl.html"} +{"d:Title": "Atlanta Review", "d:Description": "A biannual print magazine for poetry that features an international poetry contest.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.atlantareview.com/"} +{"d:Title": "Blue Collar Review", "d:Description": "Quarterly print journal of poetry and prose from Partison Press. Webpage includes samples.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.angelfire.com/va/bcr/"} +{"d:Title": "Jubilat", "d:Description": "An international print zine from the University of Massachusetts. Examples and subscription information on the site.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.jubilat.org/"} +{"d:Title": "Potato Hill Poetry Magazine", "d:Description": "Subscription information for the print magazine devoted to poetry education.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.potatohill.com/magazine.html"} +{"d:Title": "Poetic Hours", "d:Description": "Online companion to the print zine published twice yearly.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.poetichours.homestead.com/"} +{"d:Title": "Poetry Flash", "d:Description": "This Poetry Review and Literary Calendar is a bi-monthly print zine with an online companion.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://poetryflash.org/"} +{"d:Title": "Poetrybay", "d:Description": "Website for Poetrybay, a poetry magazine dedicated to contemporary poetry, and Long Island Quarterly, a Long Island-based poetry magazine. On-line versions available for both magazines.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.poetrybay.com/"} +{"d:Title": "Waterways", "d:Description": "A print zine published 11 times a year, with a different theme for each issue.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.tenpennyplayers.org/jan.html"} +{"d:Title": "Panic! Brixton Poetry", "d:Description": "A print magazine and webzine of poetry and art dedicated to \"the myriad voices of Brixton, South London and beyond\".", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://homepages.which.net/~panic.brixtonpoetry"} +{"d:Title": "Poetry Depth Quarterly", "d:Description": "Small print quarterly out of North Highlands, CA, includes samples of poetry and the feature artist from the current issue, as well as details on how to subscribe and submit.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.angelfire.com/biz/PoetsGuild/index.html"} +{"d:Title": "Concrete Wolf", "d:Description": "On-line sampling of the poetry journal with information on submitting, subscribing, and excerpts of previous issues.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://concretewolf.com/"} +{"d:Title": "Agnieszka's Dowry - AgD", "d:Description": "Poetry serial of \"A Small Garlic Press.\" Appears as print chapbooks and webzine.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://asgp.org/agnieszka.html"} +{"d:Title": "Slope", "d:Description": "Online journal of original poetry. Current and past issues. Part of Slope Publishing Inc., a non-profit organization registered in the State of New Hampshire.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.slope.org/"} +{"d:Title": "Rattle", "d:Description": "A diverse, ad-free print publication of poetry and opinions from all walks of life. Previous issues are available online.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.rattle.com/"} +{"d:Title": "Firewheel Editions", "d:Description": "Home page of Firewheel Editions, publisher of Sentence: A Journal of Prose Poetics and of fine chapbooks and books of contemporary poetry.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://firewheel-editions.org/"} +{"d:Title": "Haggard and Halloo", "d:Description": "Independent ezine publishes surreal poetry by underground writers. Also has movie reviews and some visual art.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.haggardandhalloo.com/"} +{"d:Title": "Bywords", "d:Description": "A poetry magazine centered in Ottawa. Available worldwide by subscription.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.bywords.ca/"} +{"d:Title": "Poesy", "d:Description": "Publishes inspirational poetry, reviews, interviews and articles about Santa Cruz, Boston and other areas of the United States.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.poesy.org/"} +{"d:Title": "32 Poems", "d:Description": "Semiannual journal containing 32 poems in each edition. Subscription and submission information. Some poetry from journal online.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.32poems.com/"} +{"d:Title": "My Favorite Bullet", "d:Description": "Quarterly print zine for contemporary poetry. Site contains archives of all issues, including featured poet pages, essays, submission guidelines and links.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://myfavoritebullet.com/"} +{"d:Title": "Barrow Street", "d:Description": "A nonprofit organization that publishes a poetry journal and hosts a poetry reading series in New York City's West Village.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.barrowstreet.org/"} +{"d:Title": "Epicenter", "d:Description": "A magazine of poetry, short stories, essays and art.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.epicentermagazine.org/"} +{"d:Title": "Tiger's Eye: A Journal of Poetry", "d:Description": "Includes submission, contest, subscription information, and sample poetry.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.tigerseyejournal.com/"} +{"d:Title": "Vallum: contemporary poetry", "d:Description": "A biannual journal featuring poetry by emerging and established writers from Canada, the U.S. and abroad.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.vallummag.com/"} +{"d:Title": "Island", "d:Description": "A Tasmanian-based quarterly featuring short stories, poetry, extracts from forthcoming novels, and articles and essays on topics of social, environmental and cultural significance.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.islandmag.com/"} +{"d:Title": "Hanging Loose", "d:Description": "Poetry magazine since 1966. A regular section is dedicated to high school writers.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.hangingloosepress.com/"} +{"d:Title": "4AM", "d:Description": "California-based poetry review. Submission guidelines, subscriptions, and sample poems.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://fourampoetryreview.i8.com/"} +{"d:Title": "Poetry Daily", "d:Description": "An anthology of contemporary poetry offering new poems from books, magazines and journals currently in print, as well as an archive and daily news.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.poems.com/"} +{"d:Title": "Arc Poetry Magazine", "d:Description": "Canadian-based poetry magazine that administers a series of awards, contests, and public readings.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.arcpoetry.ca/"} +{"d:Title": "Contemporary Verse 2", "d:Description": "Canadian-based quarterly dedicated to the publication and promotion of poetry.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.contemporaryverse2.ca/"} +{"d:Title": "Poetry International", "d:Description": "Website for the print annual includes publication history, subscription and submission information.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://poetryinternational.sdsu.edu/"} +{"d:Title": "The Curious Record", "d:Description": "Australian based e-zine and print magazine featuring poetry, as well as fiction and visual arts.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.ozpoeticsociety.com/curiousrecord/"} +{"d:Title": "Poetry Kanto", "d:Description": "An introduction to contemporary English poetry for Japanese readers and contemporary Japanese poetry in English translation for readers worldwide.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://home.kanto-gakuin.ac.jp/~kg061001/"} +{"d:Title": "Poetry Cemetery", "d:Description": "An on-line poetry magazine designed to showcase poetry every other month.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.poetrycemetery.com/"} +{"d:Title": "The Rogue Scholars Collective", "d:Description": "Poems and an email newsletter.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://roguescholars.com/"} +{"d:Title": "The Wolf", "d:Description": "UK-based quarterly print poetry magazine including poems and reviews.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.wolfmagazine.co.uk/"} +{"d:Title": "Decanto Magazine", "d:Description": "UK-based litmag featuring diverse styles of poetry. Subscriptions, submissions and a sample issue.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://myweb.tiscali.co.uk/masquepublishing/decanto.html"} +{"d:Title": "WordWrights", "d:Description": "Bi-monthly print zine, selections and subscription information available on the site.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.angelfire.com/art/ww/"} +{"d:Title": "Famous Reporter", "d:Description": "Biannual Australian literary magazine featuring poems, reviews, and essays.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://walleahpress.com.au/past.html"} +{"d:Title": "Pregnant Moon Poetry Review", "d:Description": "A US-based poetry review that publishes imagery-driven poetry in accordance to full moon cycles.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.pregnantmoon.com/"} +{"d:Title": "Pen Pusher", "d:Description": "A London-based literary magazine, published three times a year, that features original short fiction, poetry, reviews, columns, literary facts and curiosities.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.penpushermagazine.co.uk/"} +{"d:Title": "Crann\u00f3g", "d:Description": "Literary magazine published in Galway, Ireland by Crann\u00f3g Media three times a year featuring quality poetry and fiction", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.crannogmagazine.com/"} +{"d:Title": "Fulcrum", "d:Description": "An international annual of poetry and aesthetics.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://fulcrumpoetry.com/"} +{"d:Title": "Conduit", "d:Description": "A biannual literary journal that is at once direct, playful, inventive, irreverent, and darkly beautiful.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.conduit.org/"} +{"d:Title": "Softblow Poetry Journal", "d:Description": "An on-line poetry journal that features poets from all over the world.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.softblow.org/"} +{"d:Title": "eye on mars", "d:Description": "An eclectic poetry webzine edited by J. D. Nelson.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://eyeonmars.blogspot.com/"} +{"d:Title": "Lilliput Review", "d:Description": "Small press print magazine specializing in short poetry ten lines or less. Includes sample pages and subscription information.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://sites.google.com/site/lilliputreview/"} +{"d:Title": "Seneca Review", "d:Description": "Selected excerpts and subscription information in addition to other materials related to the journal", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.hws.edu/academics/senecareview/"} +{"d:Title": "U.S. 1 Worksheets", "d:Description": "A poetry and fiction magazine published by a Poets' Cooperative in Princeton, New Jersey.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://us1poets.com/"} +{"d:Title": "Forge", "d:Description": "A literary and arts journal considering a wide range of subjects and genres", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.forgejournal.com/"} +{"d:Title": "Valparaiso Poetry Review", "d:Description": "An electronic journal of contemporary poetry and poetics. Includes submission guidelines, recommended book list, author archives and online issues.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.valpo.edu/vpr/"} +{"d:Title": "The New York Quarterly", "d:Description": "A magazine devoted to the pure craft and technique of poetry writing.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://nyq.org/"} +{"d:Title": "Anon", "d:Description": "International print poetry magazine employing blind review assessment procedures.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://anonpoetrymagazine.tumblr.com/"} +{"d:Title": "Forklift, Ohio", "d:Description": "Semiannual literary journal publishes works of poetry, prose, and visual art. Includes items from the print edition.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.forkliftohio.com/"} +{"d:Title": "Pleiades", "d:Description": "On-line version of the literary magazine noted for publishing formal poetry.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.ucmo.edu/pleiades/"} +{"d:Title": "The Hootenanny", "d:Description": "A web supplement to the hard copy magazine published twice yearly in New York City. It has some poetry not found in the hard copy.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://thehootenanny.com/"} +{"d:Title": "Poetry Magazine", "d:Description": "Website of the print magazine includes a weekly featured poet, information on current issue, subscriptions, and programs of the Modern Poetry Association.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.poetryfoundation.org/poetrymagazine/"} +{"d:Title": "Shearsman Books", "d:Description": "Publisher of books and a magazine of contemporary poetry. Catalogues, information for authors and magazine back issues.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "http://www.shearsman.com/"} +{"d:Title": "The Fiddlehead", "d:Description": "Canada's longest living literary journal, The Fiddlehead is published four times a year at the University of New Brunswick.", "topic": "Top/Arts/Literature/Poetry/Magazines_and_E-zines", "url": "https://www.facebook.com/TheFiddlehead"} +{"d:Title": "Federation of Poets", "d:Description": "An online international organization dedicated to the promotion of poets, poetry and organizations. Burbank, California, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.federationofpoets.com/"} +{"d:Title": "The Poetry Society", "d:Description": "Arts organization representing British poetry both nationally and internationally, with a mission to advance the study, use and enjoyment of poetry. Publications, advice, funding and education. London, United Kingdom.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poetrysociety.org.uk/"} +{"d:Title": "Friendly Street Poets", "d:Description": "Provides a supportive venue for live performance poetry. Also publishes collections of South Australian poetry. Adelaide, Australia.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.friendlystreetpoets.org.au/"} +{"d:Title": "The Ontario Poetry Society", "d:Description": "Support and encouragement for all aspects of poetry including writing, performing and publishing. There are branches throughout Ontario, and auxiliary branches located in Edmonton, Alberta and Ladysmith, British Columbia, Canada.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.theontariopoetrysociety.ca/"} +{"d:Title": "Federal Poets", "d:Description": "Holds monthly meetings to read and discuss members' work and publishes a bi-annual anthology. Based in the Washington, DC. area.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.blehert.com/TheFederalPoets/poetindex.html"} +{"d:Title": "Utmost Christian Writers", "d:Description": "A nonprofit organization that offers support, encouragement and resources specific to poets, contests (many free of charge), market lists and instructional articles. Focus is on Christian poetry. Edmonton, Alberta, Canada.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.utmostchristianwriters.com/"} +{"d:Title": "The National Association for Poetry Therapy", "d:Description": "Information about poetry as therapy, the Poetry Therapy Foundation, membership, training and education, and informative publications. Based in Louisville, Colorado, United States, but membership is open to all those who wish to promote growth and healing through language, symbol and story.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poetrytherapy.org/"} +{"d:Title": "The Academy of American Poets", "d:Description": "An organization with a national membership in the United States, that supports American poets at all stages of their careers. Provides an information database on recognized contemporary poets, their educational and promotional programs, and events.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poets.org/"} +{"d:Title": "Poetry Society of America", "d:Description": "Non-profit organization devoted to fostering and promoting poetry. Contains membership details, mission statement and news. New York, New York, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poetrysociety.org/"} +{"d:Title": "The National Federation of State Poetry Societies", "d:Description": "The society works independently of, and with member state poetry societies to promote poetry and poets. The site provides information about state poetry societies, sponsored poetry competitions and its convention. United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.nfsps.com/"} +{"d:Title": "Mother's Hen", "d:Description": "Community-based organization established to promote the spoken word in the San Francisco Bay area through publications, open mikes and slams. San Francisco, California, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.mothershen.com/"} +{"d:Title": "The Poetry Society of Virginia", "d:Description": "Encourages excellence in the writing and appreciation of poetry by sponsoring writing contests, reading contests, workshops, open readings and guest readers at meetings throughout the state. Virginia, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poetrysocietyofvirginia.org/"} +{"d:Title": "The Austin Poetry Society", "d:Description": "Austin affiliate of the Poetry Society of Texas and National Federation of State Poetry Societies. Contests, programs, newsletter and outreach information.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.austinpoetrysociety.org/"} +{"d:Title": "Albany Poets, Inc.", "d:Description": "A not-for-profit organization whose goal is to promote the poets and poetry of upstate New York. Features mission statement, poet profiles, videos and store. Albany, New York, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.albanypoets.com/"} +{"d:Title": "Georgia Poetry Society", "d:Description": "Features membership, contest and events information, and member news, meeting schedules, publications details. Alpharetta, Georgia, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.georgiapoetrysociety.org/"} +{"d:Title": "Oregon Poetry Association", "d:Description": "Welcomes all poets, regardless of publishing history, academic background, and writing experience. Portland, Oregon, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.oregonpoets.org/"} +{"d:Title": "The Red Room Company", "d:Description": "Aims to create, produce and distribute poetry, by new and emerging Australian writers, to the public, in unusual ways. Sydney, Australia.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://redroomcompany.org/"} +{"d:Title": "The Kent and Sussex Poetry Society", "d:Description": "Information about the Society's open poetry competition, monthly poetry readings, workshops, retreats and other events. Tunbridge Wells, United Kingdom.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://kentandsussexpoetry.com/"} +{"d:Title": "Australian Poetry", "d:Description": "Promotes and supports Australian poets and poetry. Includes board and staff details, programs, events, how to join, and resources. Melbourne, Victoria, Australia.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.australianpoetry.org/"} +{"d:Title": "Poetry Ireland/\u00c9igse \u00c9ireann", "d:Description": "The national organization for poetry in Ireland, serving all 32 counties. Contains staff list, news, and resources. Based in Dublin, Ireland.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poetryireland.ie/"} +{"d:Title": "East Valley Poets", "d:Description": "A branch of the Arizona State Poetry Society in Tempe that welcomes poets of all ages. Dedicated to studying all forms of poetry and developing writing skills. Contains meeting details, news and activities. Tempe, Arizona, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://eastvalleypoets.webs.com/"} +{"d:Title": "Suffolk Poetry Society", "d:Description": "Offers numerous events, mostly in the county of Suffolk; an annual poetry competition, a postal portfolio group, in which members share and critique their poems. Includes membership information, poetry, books for sale and photographs. Suffolk, United Kingdom.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://suffolkpoetrysociety.org.uk/"} +{"d:Title": "Poetswednesday", "d:Description": "New Jersey poetry events, news, poetry and profiles. United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://wednesdaypoet.typepad.com/"} +{"d:Title": "Poetry Society of Texas", "d:Description": "Founded to promote public recognition of the art of poetry, and encourage writing of poetry by Texans. Offers outreach program, education and publication opportunities, fellowship and support to members. Contains information about membership, events, programs and contests, and list of member chapters in the state. Garland, Texas, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://poetrysocietyoftexas.org/"} +{"d:Title": "Community Arts Partnership", "d:Description": "Championing community arts, offering support and collaboration with community groups, schools, artists, arts centres and other organizations. Includes history, projects, news and events. Belfast, Northern Ireland.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://comartspartner.org/"} +{"d:Title": "Poems and Pints at the Lorelei", "d:Description": "Meets at The Lorelei Hotel, Esplanade Avenue. Evenings are informal and all those with an interest in poetry are welcome to attend. Contains meeting information and directions. Porthcawl, Bridgend, United Kingdom.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://poemspints.webs.com/aaa/location.htm"} +{"d:Title": "Dallas Poets Community", "d:Description": "An organization devoted to the craft, creation, and performance of poetry in North Texas. Information on events and membership. Dallas, Texas, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://dallaspoetscom.org/"} +{"d:Title": "Poetry Society of Oklahoma", "d:Description": "To encourage members in knowledge, skill, writing and reading of poetry.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.angelfire.com/poetry/pso/"} +{"d:Title": "Back Room Poets", "d:Description": "A membership society in Oxford, England, BRP holds workshops and open mic and guest readings.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://backroompoets.blogspot.com/"} +{"d:Title": "Howard County Poetry and Literature Society (HoCoPoLitSo)", "d:Description": "Community-based not-for-profit arts organization in Howard County, Maryland, that produces readings and other literary events for the general public, including students, seniors, and ethnic audiences.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://hocopolitso.org/"} +{"d:Title": "Montgomery County Poet Laureate Program", "d:Description": "The program promotes a sense of accomplishment and pride among students, artists, and citizens who compete for the position of Montgomery County Poet laureate. It benefits the county by building a long-term infrastructure for literary advancement. Hatfield, Pennsylvania, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.a2pwebdesign.com/montcopoet/home.htm"} +{"d:Title": "UNESCO: 21 March - World Poetry Day", "d:Description": "The declaration of World Poetry Day, March 21. Documentary heritage information.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.unesco.org/new/en/communication-and-information/flagship-project-activities/memory-of-the-world/register/access-by-international-days/world-poetry-day/"} +{"d:Title": "Arizona State Poetry Society", "d:Description": "The ASPS strives to make poetry accessible to all through workshops and events for all ages. Mission, member benefits and branches details. Arizona, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://azpoetry.webs.com/"} +{"d:Title": "Poetry Foundation Ghana", "d:Description": "Publisher of Poetry Ink, working to make poetry a major art form and to preserve poetry in all forms. Kumasi, Ghana.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poetryfoundationghana.org/"} +{"d:Title": "Inland Empire Poets", "d:Description": "A poetry workshop for poets serious about improving their craft. Contains information on meetings and the workshop process. Riverside, California, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://sites.google.com/site/iepoets/"} +{"d:Title": "Denton Poets' Assembly", "d:Description": "A non-profit, free, public organization that meets monthly to share poetry. Contains information on events, contests and blog. Denton, Texas, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.dentonpoetsassembly.weebly.com/"} +{"d:Title": "Poetic Research Bureau", "d:Description": "A non-profit collective situated in the Glendale area of northeast Los Angeles. It hosts a reading series for visiting poets and writers. California, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poeticresearch.com/"} +{"d:Title": "The Sheppey and Sittingbourne Writer's Group", "d:Description": "Promoting the work of young and older poets. News of events, competitions, new writing and a meeting place for Swale writers. based on the Isle of Sheppey, Sheerness, United Kingdom.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://sheppeywritersgroup.wordpress.com/"} +{"d:Title": "Gulf Coast Poets", "d:Description": "A chapter of the Poetry Society of Texas, meets monthly in Webster, Texas. Includes events, meeting handouts and membership details.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://gulfcoastpoets.info/"} +{"d:Title": "The Ohio Poetry Association", "d:Description": "Originally the Verse Writers\u2019 Guild of Ohio, supporter of Ohio Poetry Day. Chapters sponsor student poetry contests, including school competitions. Includes membership information and events. Columbus, Ohio, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "https://sites.google.com/a/ohiopoetryassn.com/the-ohio-poetry-association/home"} +{"d:Title": "Whatcom Poetry Series", "d:Description": "The Poet As Art program offers free readings featuring distinguished Pacific Northwest poets, workshops and a contest. Features board of directors list and how to donate. Bellingham, Washington, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "https://whatcompoetryseries.wordpress.com/"} +{"d:Title": "Poetry Society of Oklahoma", "d:Description": "Mission is to encourage members in improving knowledge and skill in writing and reading of poetry. Site offers membership information, poetry contests and events. Oklahoma City, Oklahoma, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.oklahomapoets.com/"} +{"d:Title": "Pennsylvania Poetry Society", "d:Description": "Recognition and better appreciation for poetry and to assist Pennsylvania poets in their craft.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.nfsps.com/pa/"} +{"d:Title": "Connecticut Poetry Society", "d:Description": "Statewide membership association sponsors annual poetry contests, publishes a national journal and a members-only anthology, conducts critique groups, workshops, readings, and lectures. Based in West Hartford, Connecticut, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://ctpoetry.net/index.html"} +{"d:Title": "Olympia Poetry Network", "d:Description": "Nonprofit, charitable organization dedicated to increasing literary awareness and appreciation of poetry for those living at the south end of Puget Sound. Olympia, Washington, United States.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://yake325.wixsite.com/olympiapoetrynetwork"} +{"d:Title": "PoeticWrites.org", "d:Description": "An educational nonprofit. Guild (tooling traditional poetics and beyond), Association (members' endeavors to general public), Fraternity (interpretation gatherings), and Foundation (poetic writes literacy honored).", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.poeticwrites.org/"} +{"d:Title": "Alabama State Poetry Society", "d:Description": "Sponsors contests, guest readings, workshops and other activities.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://alabamapoets.org/"} +{"d:Title": "Massachusetts State Poetry Society", "d:Description": "Publishes a newsletter to keep members informed as to what is happening in the field of poetry.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://mastatepoetrysociety.tripod.com/"} +{"d:Title": "WyoPoets", "d:Description": "An organization of people who write poetry for publication and/ or as a hobby. Based in Wyoming, US.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.wyopoets.org/"} +{"d:Title": "Illinois State Poetry Society", "d:Description": "Posting bimonthly editions of poetry written by members. All ISPS members are invited to submit a poem each two-month period.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.illinoispoets.org/"} +{"d:Title": "Poetry Society of Michigan", "d:Description": "Provides workshops, contests and opportunities to be published in two annual editions of their literary journal. Meetings are held twice each year.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "https://poetrysocietyofmichigan.wordpress.com/"} +{"d:Title": "Poets' Roundtable of Arkansas", "d:Description": "Dedicated to the study of poetry. Encourages poets in the art and promotes an appreciation of poetry in the state of Arkansas.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "https://poetsroundtableofarkansas.org/"} +{"d:Title": "Indiana State Federation of Poetry Clubs", "d:Description": "A non-profit organization dedicated to the creative process and preservation of poetry.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.isfpc.org/"} +{"d:Title": "League of Minnesota Poets", "d:Description": "Five regional chapters for Minnesota poetry consciousness and poets.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.mnpoets.org/"} +{"d:Title": "California State Poetry Society", "d:Description": "To promote poetry and art in California.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.californiastatepoetrysociety.org/"} +{"d:Title": "New Mexico State Poetry Society", "d:Description": "An organization to foster the appreciation and creation of poetry throughout the State of New Mexico.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://nmpoetry.org/"} +{"d:Title": "Florida State Poets Association, Inc.", "d:Description": "Securing public recognition of the art of poetry. Providing opportunities and incentives for writing, reading and the enjoyment of poetry.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.floridastatepoetsassociation.org/"} +{"d:Title": "Mississippi Poetry Society", "d:Description": "Fosters interest in poetry writing and provides an opportunity to recognize individual creative efforts through meetings, contests and festivals.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.misspoetry.net/"} +{"d:Title": "Columbine Poets of Colorado, Inc.", "d:Description": "A poetry society to promote the writing and appreciation of poetry across Colorado.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.columbinepoetsofcolorado.com/"} +{"d:Title": "Utah State Poetry Society", "d:Description": "Contests, events and publications for state poets.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.utahpoets.com/"} +{"d:Title": "Missouri State Poetry Society", "d:Description": "News, contests, workshops and local chapters.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.mostatepoetry.com/"} +{"d:Title": "Louisiana State Poetry Society", "d:Description": "Contests, meetings, links and member chapters.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.louisianastatepoetrysociety.org/"} +{"d:Title": "Iowa Poetry Association", "d:Description": "A non-profit organization to promote interest in and appreciation for better poetry by Iowans.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.iowapoetry.com/"} +{"d:Title": "South Dakota State Poetry Society", "d:Description": "To encourage poets and poem reading in South Dakota.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://sdpoetry.org/"} +{"d:Title": "Kentucky State Poetry Society", "d:Description": "Supports poetry locally and regionally through adult and student poetry contests and the publication of a poetry journal.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.kystatepoetrysociety.org/"} +{"d:Title": "Maine Poets Society", "d:Description": "An organization of Maine writers actively engaged in creating, encouraging and sharing poetry", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://www.mainepoetssociety.com/"} +{"d:Title": "The Poetry Society of Tennessee", "d:Description": "A statewide organization sponsoring contests, readings, workshops and other activities designed to help members and enhance the enjoyment of poetry. The Memphis chapter is also on this site.", "topic": "Top/Arts/Literature/Poetry/Organizations", "url": "http://poetrysocietytn.org/"} +{"d:Title": "The Word Works", "d:Description": "This non-profit literary organization sponsors readings, workshops, and poetry contests, including the annual Washington Prize for a book-length poetry manuscript.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.wordworksdc.com/"} +{"d:Title": "Northwest SPokenword LAB", "d:Description": "Information on poetry slams and workshops in Washington State. SPLAB is a center dedicated to poetry, storytelling, conversation, debate and building community through shared experience of the written and spoken word.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.splab.org/"} +{"d:Title": "Mad Poets Society", "d:Description": "National organization based in Media, Pennsylvania, that strives to promote poetry and the literary arts in communities. Venues, schedules, contests, and submission and general information about Mad Poets Review.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.madpoetssociety.com/"} +{"d:Title": "Concrete and Sound Poetry", "d:Description": "Includes an extensive online collection of recorded poetry, both historical and contemporary, as well as online reproductions of visual or \"concrete\" poetry and poetry in \"experimental\" forms.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.ubu.com/"} +{"d:Title": "HarperAudio", "d:Description": "Small archive includes poetry readings, in most cases by the original authors, in various audio formats; among the more notable poets are Robert Frost, Robert Graves, T.S. Eliot, Langston Hughes, Ann Sexton, Wallace Stevens, J.R.R. Tolkien and Dylan Thomas.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://town.hall.org/Archives/radio/IMS/HarperAudio/"} +{"d:Title": "Lyricalworks", "d:Description": "Especially for teens and young adults, an introduction to the experience of lyric poetry and lyricism, including audio recordings.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.lyricalworks.com/poetry/poetry.htm"} +{"d:Title": "TRINPsite Poetry", "d:Description": "Includes sections on computer generated poetry, alliterative technique, and a collection of sound files (.wav and .mp3 file-formats).", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.trinp.org/Poet.htm"} +{"d:Title": "Lizard Lounge Poetry Jam", "d:Description": "Poets can sign up to read with a Jazz accompaniment at this regular open mic night in Cambridge, Massachusetts. Featured poets, slams, poetry awards, and information on the jazz trio that plays regularly at the Lounge.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://poetryjam.com/"} +{"d:Title": "e-poets network", "d:Description": "Features audio, video, and textual poetry, as well as essays and reviews, poetry news, and performance poetry videoconferencing and webcasting.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.e-poets.net/"} +{"d:Title": "Kota Press", "d:Description": "A small press that offers a quarterly online poetry journal, and provides support to writers-particularly those who have used their writing as a way to process grief and finding healing.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.kotapress.com/"} +{"d:Title": "Spoken Words", "d:Description": "Listing of spoken word events in Houston and Austin, Texas. Front page includes a poem from the featured poet of the week, links to sites on poetry festivals.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://kcotton97.tripod.com/Houstonspokenwords.html"} +{"d:Title": "Pif Magazine", "d:Description": "One of the best-known ezines for poetry and fiction. Includes interviews with writers, \"interactive\" poetry.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.pifmagazine.com/"} +{"d:Title": "Wired On Words", "d:Description": "Virtual poetry performances. A compilation of poetry and music, spoken word, and \"language\" poetry.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://wiredonwords.com/"} +{"d:Title": "Poetry Center at Smith College", "d:Description": "Features poems by poets from around the world; a schedule of upcoming poetry readings at the college; and poets' biographical information.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.smith.edu/poetrycenter/"} +{"d:Title": "PoetsWest", "d:Description": "Information on poets and poetry in the Pacific Northwest. Calendar of regular poetry venues and special events, submission guidelines, \"who's who\" in Northwest poetry, and poetry resources.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.poetswest.com/"} +{"d:Title": "Museum of American Poetics", "d:Description": "The Museum of American Poetics presents multimedia poetry exhibits, information on lectures, the \"Best Minds Reading Series\", and the \"Floating Muse Bookstore\".", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.poetspath.com/"} +{"d:Title": "Favorite Poem Project", "d:Description": "Started by former U.S. Poet Laureate Robert Pinsky, the Favorite Poem Project allows people to submit their favorite poems along with a personal story about why the poem means something to them. The site features a sampling of the poems, the poets, and stories from the people who have participated in the project.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.favoritepoem.org/"} +{"d:Title": "Nuyorican Poets Cafe", "d:Description": "This multi-cultural community is dedicated to artistic empowerment and creative diversity. The organization is celebrating over 25 years of spoken word, theater, poetry, slam, hip hop, live music, and film on the lower East Side of New York City.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.nuyorican.org/"} +{"d:Title": "Electronic Poetry Center at SUNY Buffalo", "d:Description": "Real video archives of poetry readings by Robert Creeley, Bruce Andrews, Edwin Torres, and others. Also featured is an index of \"kinetic\" interactive poetry, and hypertext poetry specifically geared toward interactive use on the internet.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://epc.buffalo.edu/"} +{"d:Title": "The Arthouse Underground", "d:Description": "Underground writing, madcap psychedelic art, electro-industrial music, Nietzschean dark humor, Bob Dylan becomes a borg and redefines cyberpunk. Poetry and performance audio available via RealAudio.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.frankmedia.com/"} +{"d:Title": "National Association for Poetry Therapy", "d:Description": "Members of this organization represent a wide range of expertise and training in both mental and physical health disciplines. Advocates use of poetry and other forms of literature to achieve therapeutic goals and personal goals.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.poetrytherapy.org/"} +{"d:Title": "Poetix", "d:Description": "A monthly poetry reading series in Southern California. Events are held at Home Los Feliz in Los Angeles. Past and upcoming featured poets include M.L. Liebler, Jason Carney, and Sharon Hudson.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.poetix.net/"} +{"d:Title": "Skagit River Poetry Festival", "d:Description": "Biennial poetry festival in La Conner Washington. The May 2000 festival featured Coleman Barks, Lorna Dee Cervantes, Jane Hirshfield, Kurtis Lamkin, David Lee, Thomas Lux, Heather Mc Hugh, and Naomi Shihab Nye.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.skagitriverpoetry.org/"} +{"d:Title": "Artscape", "d:Description": "Annual arts festival in Baltimore, Maryland, featuring literary readings, poetry performances, and literary arts workshops.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.artscape.org/"} +{"d:Title": "Kelley Writers House Webcasts", "d:Description": "Live webcasts of poetry readings and other literary happenings at the University of Pennsylvania. Webcasts can be viewed using RealPlayer.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.english.upenn.edu/~wh/webcasts"} +{"d:Title": "PoetryPlus Performances", "d:Description": "Spoken word poetry and musical improvisation, live jazz performances, and workshops on themes of equity, diversity, multicultural and women's issues including body image, sexual assault survival, jazz history.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://home.earthlink.net/~ekdaufin/"} +{"d:Title": "BertGlick.com", "d:Description": "Poetry and drama in textual, audio, and video formats by Bert Glick.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://bertglick.com/"} +{"d:Title": "The Oak Cliff Circle of Poets", "d:Description": "A three-year-old performance poetry group that meets in the Oak Cliff area of Dallas Texas. Open to all comers. Information about the poets, the regular meeting, and the various featured events around town.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://oakcliffpoets.tripod.com/"} +{"d:Title": "Cataclysm Productions", "d:Description": "General information on the collective, with links.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://cataclysmproductions.tripod.com/"} +{"d:Title": "Press Record", "d:Description": "The audio adventures of the Wandering Jew, Barrett Golding, in RealAudio format. Poetry and comment with music.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.pressrecord.com/"} +{"d:Title": "DC Poetry", "d:Description": "A calendar of poetry readings and performances in the Washington, DC, area. The site also contains information about past featured readers, samples of their poetry, and reviews of past performances.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.dcpoetry.com/"} +{"d:Title": "The Internet Poetry Archive", "d:Description": "Sponsored by the University of North Carolina Press and the North Carolina Arts Council, the archive features poetry and recordings of the readings of six well-known poets, including Seamus Heaney, Robert Pinsky, and Czeslaw Milosz.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.ibiblio.org/ipa/"} +{"d:Title": "The Poetry Archive", "d:Description": "A collection of recordings of poets reading their own works, with texts. Recordings are in Realplayer format.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.poetryarchive.org/"} +{"d:Title": "IrRaDiAtEd PoEtS", "d:Description": "A group of poets in Portland, Oregon, that sponsors poetry readings at the Hi-iH Gallery, and PicNuke, a poetry reading under the cooling tower of the Trojan Nuclear Power Plant.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://home.teleport.com/~piratwin/"} +{"d:Title": "Naropa University - Audio Archive Project", "d:Description": "Massive audio collection housed in Boulder, Colorado, US, with readings by post-1940s poets such as Allen Ginsberg, Gregory Corso, William S. Burroughs, Philip Whalen, Michael McClure, Gary Snyder, Diane DiPrima, and Lawrence Ferlinghetti.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.archive.org/details/naropa"} +{"d:Title": "Live at the Writers' House", "d:Description": "Internet archive of University of Pennsylvania's WXPN Radio's \"Live at the Writers' House\" program, with numerous recordings of contemporary poetry in RealPlayer format.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://writing.upenn.edu/wh/involved/series/live/"} +{"d:Title": "Texas Nafas", "d:Description": "Non-profit organization that produces programming for television and the Poetry Journal series of educational DVDs. Includes history, people and information about poetry DVDs and CDs available for purchase. Austin, Texas, United States.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://texasnafas.org/"} +{"d:Title": "F. Omar Telan", "d:Description": "Asian American writer, poet, and performance artist. Biography, calendar, sample writings, audio files, and press kit.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://www.telan.org/"} +{"d:Title": "Poetry Super Highway", "d:Description": "An online magazine publishing new poets every week as well as links to hundreds of other poetry related websites, also a chat room with weekly scheduled events, online poetry classifieds, poetry contests, and an online bookstore.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://poetrysuperhighway.com/psh/links/poetry-resources/"} +{"d:Title": "International Literature Festival Dublin", "d:Description": "Annual gathering of Irish and international poets and writers.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation", "url": "http://ilfdublin.com/"} +{"d:Title": "Youth Speaks", "d:Description": "Youth Speaks organizes the National Youth Poetry Slam. The group brings young people together across community, school, and neighborhood lines through the written and spoken word.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://www.youthspeaks.org/"} +{"d:Title": "Poetry Slam, Inc.", "d:Description": "The sponsors of the National Poetry Slam provide insight into \"what is a slam\" as well as a thorough listing of slam venues around the United States. Site also features a \"store\" for purchasing books, CDs and tapes of poetry performances.", "priority": "1", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://www.poetryslam.com/"} +{"d:Title": "San Diego Slam", "d:Description": "Home of the San Diego Poetry Slam, featuring a listing of upcoming events and readings, as well as information on \"drift wood highway,\" an annual poetry anthology.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://poetryscenestealers.tripod.com/"} +{"d:Title": "Ballabajoomba Slam", "d:Description": "Conducts regularly scheduled poetry slams and competitions in Corpus Christi, Texas.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://ballabajoomba.tripod.com/"} +{"d:Title": "New York City Slam", "d:Description": "Artists collective that sponsors regular poetry slams in New York City. The site features audio recordings of recent slams, and print collections of poetry from slam champions.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://www.louderarts.com/"} +{"d:Title": "Wits End Poetry", "d:Description": "The what, when, where, why and how of poetry slam in Greenville, South Carolina, and the surrounding region.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://www.witsendpoetry.com/"} +{"d:Title": "DC Slam", "d:Description": "Myth @ Teaism is the home of the Washington, DC's, slam team. Schedules for slams and other events are listed on the site, as well as information on the slam team members.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://dcslam.weebly.com/"} +{"d:Title": "Worcester Poets\u2019 Asylum", "d:Description": "Slam in Worcester, MA, plus information about other events. Hosts of iWPS 2005.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://worcesterpoetsasylum.wordpress.com/"} +{"d:Title": "Boston Poetry Slam", "d:Description": "Lists schedule, announcements, open mic, and press coverage. Boston, Massachusetts.", "topic": "Top/Arts/Literature/Poetry/Performance_and_Presentation/Slams_and_Slam_Teams", "url": "http://bostonpoetryslam.com/"} +{"d:Title": "My Kaleidoscope", "d:Description": "Here are random thoughts, ramblings, musings and poetry on life. From, Sajeev Kumar Menon.", "topic": "Top/Arts/Literature/Poetry/Personal_Pages", "url": "http://sajeevkmenon.wordpress.com/"} +{"d:Title": "Incompetech's British Author Series", "d:Description": "A witty and scholarly take on various venerated British authors, most of whom had the misfortune of being poets.", "topic": "Top/Arts/Literature/Poetry/Poets", "url": "http://www.incompetech.com/authors/"} +{"d:Title": "Twentieth-century Poetry in English", "d:Description": "Metapage comprising Internet resources on twentieth-century poetry in English.", "topic": "Top/Arts/Literature/Poetry/Poets", "url": "http://www.lit.kobe-u.ac.jp/~hishika/20c_poet.htm"} +{"d:Title": "Neurotic Poets", "d:Description": "An exploration of madness and the poet.", "topic": "Top/Arts/Literature/Poetry/Poets", "url": "http://www.neuroticpoets.com/"} +{"d:Title": "Lost Poets of the Great War", "d:Description": "A hypertext document on the poetry of World War I.", "topic": "Top/Arts/Literature/Poetry/Poets", "url": "http://www.english.emory.edu/LostPoets/"} +{"d:Title": "Black Cat Poems", "d:Description": "A collection of poetic works, organized by subject and by poet.", "topic": "Top/Arts/Literature/Poetry/Poets", "url": "http://www.blackcatpoems.com/"} +{"d:Title": "Electronic Poetry Center Author Home Page Library", "d:Description": "Browse authors by name.", "topic": "Top/Arts/Literature/Poetry/Poets", "url": "http://epc.buffalo.edu/e-poetry/"} +{"d:Title": "Mary Adams's Poetry", "d:Description": "Excerpts from Epistles from the Planet Photosynthesis, UP Florida, 1999.", "topic": "Top/Arts/Literature/Poetry/Poets/A", "url": "http://www.maryadams.net/mfapoetry.html"} +{"d:Title": "Aylen, Leo", "d:Description": "Biography and bibliography of this poet, actor, film and TV director and broadcaster.", "topic": "Top/Arts/Literature/Poetry/Poets/A", "url": "http://www.leoaylen.com/"} +{"d:Title": "Adams, Ayin", "d:Description": "Award-winning member of Academy of American Poets and the Detroit Black Writer's Guild, Hawaii-based lesbian writer. RealAudio readings and CD sales, short film directing credits.", "topic": "Top/Arts/Literature/Poetry/Poets/A", "url": "http://www.ayinadams.com/"} +{"d:Title": "Agha Shahid Ali", "d:Description": "The Academy of American Poets presents a biography, photograph, poems, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/A", "url": "https://www.poets.org/poetsorg/poet/agha-shahid-ali"} +{"d:Title": "Ai", "d:Description": "The Academy of American Poets presents a biography with photograph, poem, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/A", "url": "https://www.poets.org/poetsorg/poet/ai"} +{"d:Title": "New Zealand Book Council: Fleur Adcock", "d:Description": "Article reviews her work and development, including influences.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Adcock,_Fleur", "url": "http://www.bookcouncil.org.nz/writers/adcockfleur.html"} +{"d:Title": "Thumbscrew - An Interview with Fleur Adcock", "d:Description": "Discussion of Poems 1960-2000, Looking Back, and her interest in ancestry, with views on Plath and other women poets.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Adcock,_Fleur", "url": "http://www.poetrymagazines.org.uk/magazine/record.asp?id=11940"} +{"d:Title": "Guardian Unlimited: Fleur Adcock", "d:Description": "Biographical interview in which it is suggested she is abandoning poetry to investigate her genealogy which she regards in a very Adcockian manner.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Adcock,_Fleur", "url": "http://www.theguardian.com/books/2000/jul/29/poetry.features"} +{"d:Title": "British Council Literature: Fleur Adcock", "d:Description": "Genres, list of publications, awards and critical perspective.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Adcock,_Fleur", "url": "http://literature.britishcouncil.org/fleur-adcock"} +{"d:Title": "British Council Literature: Patience Agbabi", "d:Description": "Biography, bibliography, critical perspective and author statement.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Agbabi,_Patience", "url": "http://literature.britishcouncil.org/patience-agbabi"} +{"d:Title": "Home Page", "d:Description": "Works by this Israeli poet, and translations of the works of other Israelis.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Alkalay-Gut,_Karen", "url": "http://karenalkalay-gut.com/"} +{"d:Title": "British Council Literature: Simon Armitage", "d:Description": "Biography, bibliography, prizes and awards, plus critical perspective.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Armitage,_Simon", "url": "http://literature.britishcouncil.org/simon-armitage"} +{"d:Title": "Simon Armitage - Study Guide", "d:Description": "Guide for GCSE students and teachers.", "topic": "Top/Arts/Literature/Poetry/Poets/A/Armitage,_Simon", "url": "http://www.universalteacher.org.uk/anthology/simonarmitage.htm"} +{"d:Title": "Barnes, Keith", "d:Description": "Site information compiled by Jacqueline Starer. Includes pictures, biography, selected poems. Site language in English and French.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://keith-barnes.com/"} +{"d:Title": "Bilgere, George", "d:Description": "Poems, book links, biography, and news.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://www.georgebilgere.com/"} +{"d:Title": "Balaban, John", "d:Description": "A short autobiography, interviews, poetry, books and book reviews,and information about Ho Xuan Huong.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://www.johnbalaban.com/"} +{"d:Title": "Bass, Ellen", "d:Description": "Samples of her poetry, list of published works, calendar of events, information on workshops and consultations, and a mailing list.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://www.ellenbass.com/"} +{"d:Title": "Bohrn, Jonathan", "d:Description": "Author's site collects his L.A.-area spoken-word poetry readings and published material in themed collections from 1998 to present.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://jbohrn.augustpoetry.org/"} +{"d:Title": "Bunting, Basil", "d:Description": "SUNY Electronic Poetry Center entry - Photos of the poet, external links to poems and articles.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://epc.buffalo.edu/authors/bunting/"} +{"d:Title": "Bunting, Basil - Review of \"Basil Bunting: The Complete Poems\"", "d:Description": "Book review by Andrew Nash, archived from \"Thumbscrew\" magazine.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://www.poetrymagazines.org.uk/magazine/record.asp?id=5406"} +{"d:Title": "Bunting, Basil", "d:Description": "The Basil Bunting Poetry Centre at the University of Durham sponsors research about the modernist poet. Contains information about him and some of his poetry.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://www.dur.ac.uk/basil-bunting-poetry.centre/"} +{"d:Title": "Bertolino, James", "d:Description": "Includes biography, editing and mentoring details, listing of publications and selected poetry.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://jamesbertolino.com/"} +{"d:Title": "Bell Jar", "d:Description": "Jo Bell is a poetry freelancer, working on commissions, projects and courses all over the UK. Includes activities and events as well as profile.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://belljarblog.wordpress.com/"} +{"d:Title": "Brackenbury, Alison", "d:Description": "Biographical details, and samples of prose by the poet.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://alisonbrackenbury.wordpress.com/"} +{"d:Title": "Bunting, Basil - Review of \"Basil Bunting On Poetry\"", "d:Description": "Book Review by Jeffery Beam. The book collects Bunting's 1968 and 1974 Newcastle University lectures giving his views on English poetry.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "http://www.oysterboyreview.org/archived/16/recommended/BeamJ-BasilBunting.html"} +{"d:Title": "Burns, Ralph", "d:Description": "The Academy of American Poets presents a biography, photograph, poems, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/B", "url": "https://www.poets.org/poetsorg/poet/ralph-burns"} +{"d:Title": "Why don't I invent a wall mounted toothpaste dispenser to settle my frustration and make a lot of money at the same time?", "d:Description": "Presents a web dialog by Peter Balestrieri and Mark Peters.", "topic": "Top/Arts/Literature/Poetry/Poets/B/Balestrieri,_Peter", "url": "http://epc.buffalo.edu/ezines/deluxe/three/dispenser.html"} +{"d:Title": "A Walk in The Pork", "d:Description": "Poem by Peter Balestrieri", "topic": "Top/Arts/Literature/Poetry/Poets/B/Balestrieri,_Peter", "url": "http://epc.buffalo.edu/ezines/deluxe/two/pork.html"} +{"d:Title": "Idiot's Delight", "d:Description": "Poem by Peter Balestrieri.", "topic": "Top/Arts/Literature/Poetry/Poets/B/Balestrieri,_Peter", "url": "http://epc.buffalo.edu/ezines/deluxe/five/idiots.html"} +{"d:Title": "Chandler, Sherry", "d:Description": "A short biography of the poet, with sample poems and links to publications and memberships.", "topic": "Top/Arts/Literature/Poetry/Poets/C", "url": "http://sherrychandler.com/"} +{"d:Title": "Cassells, Cyrus", "d:Description": "The Academy of American Poets presents a biography, photograph, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/C", "url": "https://www.poets.org/poetsorg/poet/cyrus-cassells"} +{"d:Title": "Clarke, Cheryl", "d:Description": "The Academy of American Poets presents a biography and links.", "topic": "Top/Arts/Literature/Poetry/Poets/C", "url": "https://www.poets.org/poetsorg/poet/cheryl-clarke"} +{"d:Title": "Collins, Martha", "d:Description": "The Academy of American Poets presents a biography, photograph, poem, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/C", "url": "https://www.poets.org/poetsorg/poet/martha-collins"} +{"d:Title": "Cooley, Nicole", "d:Description": "The Academy of American Poets presents a biography, photograph, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/C", "url": "https://www.poets.org/poetsorg/poet/nicole-cooley"} +{"d:Title": "Paul Celan", "d:Description": "The Academy of American Poets presents a biography, photograph, poem, bibliography and links.", "topic": "Top/Arts/Literature/Poetry/Poets/C/Celan,_Paul", "url": "https://www.poets.org/poetsorg/poet/paul-celan"} +{"d:Title": "Academy of American Poets: Lorna Dee Cervantes", "d:Description": "Includes a brief biography, online text of an essay, and an audio recording of the author reading one of her poems.", "topic": "Top/Arts/Literature/Poetry/Poets/C/Cervantes,_Lorna_Dee", "url": "http://www.poets.org/poetsorg/poet/lorna-dee-cervantes"} +{"d:Title": "Wendy Cope's Use of Parody", "d:Description": "Academic essay discussing her use of parody in Making Cocoa for Kingsley Amis .", "topic": "Top/Arts/Literature/Poetry/Poets/C/Cope,_Wendy", "url": "http://www.arlindo-correia.com/wendy_cope_article.html"} +{"d:Title": "British Council Literature: Wendy Cope", "d:Description": "Biography, publications list and critical review.", "topic": "Top/Arts/Literature/Poetry/Poets/C/Cope,_Wendy", "url": "http://literature.britishcouncil.org/wendy-cope"} +{"d:Title": "The Online Medieval and Classical Library", "d:Description": "An extensive online archive, includes numerous annotated texts and links to further related resources.", "topic": "Top/Arts/Literature/Poetry/Poets/Classical", "url": "http://omacl.org/"} +{"d:Title": "The Music of the Ancient Greeks - Early Epic and Lyrical Poetry", "d:Description": "A reconstruction of the music of ancient Greeks by Ioannidis Nikolaos. Audio, original Greek texts and English translations.", "topic": "Top/Arts/Literature/Poetry/Poets/Classical", "url": "http://homoecumenicus.com/ioannidis_music_ancient_greeks.htm"} +{"d:Title": "Dennis, Felix", "d:Description": "A short biography of this British poet and entrepreneur, samples of his poetry and details of his tours.", "topic": "Top/Arts/Literature/Poetry/Poets/D", "url": "http://www.felixdennis.com/"} +{"d:Title": "Armando Garcis Davila", "d:Description": "Biography, publications in English and Spanish, poetry samples, and appearance dates.", "topic": "Top/Arts/Literature/Poetry/Poets/D", "url": "http://www.armandogd.com/"} +{"d:Title": "Deming, Alison Hawthorne", "d:Description": "Poet and essayist based in Tucson, Arizona. Provides a biography, photograph and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/D", "url": "https://www.poets.org/poetsorg/poet/alison-hawthorne-deming"} +{"d:Title": "di Pasquale, Emanuel", "d:Description": "Biography, photograph and links for the East Brunswick, New Jersey-based poet and educator.", "topic": "Top/Arts/Literature/Poetry/Poets/D", "url": "https://www.poets.org/poetsorg/poet/emanuel-di-pasquale"} +{"d:Title": "Di Piero, W.S.", "d:Description": "San Francisco-based poet and professor. Includes a biography, photograph and links.", "topic": "Top/Arts/Literature/Poetry/Poets/D", "url": "https://www.poets.org/poetsorg/poet/w-s-di-piero"} +{"d:Title": "Dalton, Roque", "d:Description": "Provides a detailed biography and links for the late El Salvador-born poet.", "topic": "Top/Arts/Literature/Poetry/Poets/D", "url": "https://www.poets.org/poetsorg/poet/roque-dalton"} +{"d:Title": "Poets.org: Frank Marshall Davis", "d:Description": "Provides a biography of the Arkansas City-born poet, with related links.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Davis,_Frank_Marshall", "url": "http://www.poets.org/poetsorg/poet/frank-marshall-davis"} +{"d:Title": "Poets.org: Tory Dent", "d:Description": "Provides a biography, photograph, samples and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dent,_Tory", "url": "http://www.poets.org/poetsorg/poet/tory-dent"} +{"d:Title": "Emily Dickinson: Literature Network", "d:Description": "Includes a biography, selected poems and a search feature.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.online-literature.com/dickinson/"} +{"d:Title": "Dickinson and James: Creative Exploration", "d:Description": "This site showcases the creative and scholarly works of students inspired by Emily Dickinson and Henry James - an example of a way to use Emily Dickinson in the classroom.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.nku.edu/~emily"} +{"d:Title": "Poetry of Emily Dickinson", "d:Description": "A brief biography of Dickinson, as well as three of her poems and a selection of related reading material available both online and off.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.sappho.com/poetry/e_dickin.html"} +{"d:Title": "Wikipedia - Emily Dickinson", "d:Description": "Hyperlinked encyclopedia article including a biography, as well as information on her poetry, horticulture and sexuality.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://en.wikipedia.org/wiki/Emily_Dickinson"} +{"d:Title": "Emily Dickinson Syllabus", "d:Description": "College level classroom syllabus.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://academic.brooklyn.cuny.edu/english/melani/cs6/dickinson.html"} +{"d:Title": "Emily Dickinson Lexicon", "d:Description": "Searchable lexicon of language used in Dickinson's Poems.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://edl.byu.edu/index.php"} +{"d:Title": "I Hear America Singing: Emily Dickinson", "d:Description": "The PBS show provides a profile of Emily Dickinson including a biography illustrated with her poems.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.pbs.org/wnet/ihas/poet/dickinson.html"} +{"d:Title": "What Would Emily Say?", "d:Description": "Question and answer using Dickinson's poetry to answers questions about politics and war.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://poetry.about.com/library/weekly/aa021803a.htm"} +{"d:Title": "Postcard Photos Related to Emily Dickinson", "d:Description": "Photos of the homestead, its rooms and furniture.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.mtholyoke.edu/~dalbino/eed.html"} +{"d:Title": "Emily Dickinson International Society", "d:Description": "forum for scholarship on the poet and her relation to the tradition of American poetry and women's literature.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.emilydickinsoninternationalsociety.org/"} +{"d:Title": "About.com: Emily Dickinson: Continuing Enigma", "d:Description": "Biography and quotes.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://womenshistory.about.com/od/dickinsonemily/a/emily_dickinson.htm"} +{"d:Title": "The New York Times - Emily Dickinson News", "d:Description": "Ongoing collection of news articles, commentary, photos and multimedia about Emily Dickinson.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.nytimes.com/topic/person/emily-dickinson"} +{"d:Title": "Encyclopedia Britannica - Emily Dickinson", "d:Description": "Encyclopedia article including biography and major works.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://www.britannica.com/biography/Emily-Dickinson"} +{"d:Title": "Emily Dickinson", "d:Description": "The Academy of American Poets presents a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "https://www.poets.org/poetsorg/poet/emily-dickinson"} +{"d:Title": "Emily Dickinson Journal", "d:Description": "Journal showcases the Dickinson, providing an ongoing examination of the poet and her relation to the tradition of American poetry and women's literature.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily", "url": "http://muse.jhu.edu/journal/56"} +{"d:Title": "Dickinson Electronic Archives", "d:Description": "Includes letters and writing by and to Dickinson's family, critical responses to her writing, and reference resources.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Collections_and_Manuscripts", "url": "http://www.emilydickinson.org/"} +{"d:Title": "Search the DICKNSON archives", "d:Description": "Search tool for the archives of the Emily Dickinson Discussion List.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Mailing_Lists", "url": "http://listserv.uta.edu/cgi-bin/wa.exe?S1=dicknson"} +{"d:Title": "The DICKNSON List", "d:Description": "With about 125 subscribers in June 1999, DICKNSON is open to anyone interested in Dickinson's writing. The list discusses issues in recent scholarship, offers announcements of events and publications of interest, and discusses what draws us to the work of this poet.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Mailing_Lists", "url": "http://www.uta.edu/english/tim/dicknson.html"} +{"d:Title": "NPR - My Wars are Laid Away in Books", "d:Description": "Host Bob Edwards talks with Alfred Habegger who says the reclusive poet developed a strong will in response to the wave of Puritanism she resisted.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Reviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1133921"} +{"d:Title": "Excerpts from \"My Emily Dickinson\"", "d:Description": "Excerpts of the book by Susan Howe placing Dickinson in relation to Gertrude Stein and William Carlos Williams.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Reviews", "url": "http://www.writing.upenn.edu/~afilreis/88/my-emily.html"} +{"d:Title": "Poetry Archive: Emily Dickinson", "d:Description": "An archive of poems by Dickinson, including \"I had a guinea golden\" and \"Come slowly, Eden.\"", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works", "url": "http://www.poetry-archive.com/d/dickinson_emily.html"} +{"d:Title": "American Verse Project - First Volume of Dickinson's Poems", "d:Description": "The first volume reproduced online.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=amverse;idno=BAC5632.0001.001"} +{"d:Title": "Bartleby.com: Emily Dickinson", "d:Description": "Provides a brief profile, article and a complete collection of 597 poems by the poet.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works", "url": "http://www.bartleby.com/people/DickinsoE.html"} +{"d:Title": "Emily Dickinson's Letters to Susan Gilbert", "d:Description": "Includes excerpted text of letters to Emily's friend and sister in-law Susan Dickinson nee Gilbert.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works", "url": "http://www.sappho.com/letters/e_dickinsn.html"} +{"d:Title": "American Poems - Emily Dickinson", "d:Description": "Includes a biography and more than 1775 of her poems from Thomas Johnson's book (1955).", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works", "url": "http://americanpoems.com/poets/emilydickinson"} +{"d:Title": "Poems", "d:Description": "Annotated index to online Dickinson poetry, from the About.com Guide to Women's History.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works", "url": "http://womenshistory.about.com/od/dickinsonpoems/"} +{"d:Title": "Poems by Emily Dickinson", "d:Description": "A large selection of Dickinson's poems archived online at the Women's Studies Database Reading Room from the University of Maryland.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works", "url": "http://mith.umd.edu/WomensStudies/ReadingRoom/Poetry/Dickinson/"} +{"d:Title": "I'll Tell You How the Sun Rose - analysis", "d:Description": "Analysis of the Emily Dickinson poem, an image from Claude Monet which is also offered as a print for sale, quotations from artists and several web links on Dickinson and Monet.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dickinson,_Emily/Works/Poetry", "url": "http://poetrypages.lemon8.nl/nature/illtellyou/illtellyouhow.htm"} +{"d:Title": "Poets.org: Denise Duhamel", "d:Description": "Profile offers a biography, photograph, samples and links.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Duhamel,_Denise", "url": "http://www.poets.org/poetsorg/poet/denise-duhamel"} +{"d:Title": "Modern American Poetry: Paul Laurence Dunbar", "d:Description": "Provides a biography, articles, photos and selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dunbar,_Paul_Laurence", "url": "http://www.english.illinois.edu/maps/poets/a_f/dunbar/dunbar.htm"} +{"d:Title": "Poets.org: Paul Lawrence Dunbar", "d:Description": "Profile offers a biography, photograph, samples and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dunbar,_Paul_Laurence", "url": "http://www.poets.org/poetsorg/poet/paul-laurence-dunbar"} +{"d:Title": "Book Reviews - Mourning Ruby by Helen Dunmore", "d:Description": "Links to several reviews including The Telegraph, Observer and Guardian.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dunmore,_Helen", "url": "http://www.reviewsofbooks.com/mourning_ruby/"} +{"d:Title": "Guardian Unlimited Interview", "d:Description": "Helen Dunmore talks about The Siege.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dunmore,_Helen", "url": "http://www.theguardian.com/books/2001/jun/10/fiction.features3"} +{"d:Title": "British Council Literature - Helen Dunmore", "d:Description": "Biography, bibliography, awards and critical perspective.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dunmore,_Helen", "url": "http://literature.britishcouncil.org/helen-dunmore"} +{"d:Title": "Reading Group Guides - A Spell of Winter", "d:Description": "Introduction to the work with suggested discussion points, quotes from reviews and an excerpt.", "topic": "Top/Arts/Literature/Poetry/Poets/D/Dunmore,_Helen", "url": "http://www.readinggroupguides.com/reviews/a-spell-of-winter"} +{"d:Title": "Life and Literary Work", "d:Description": "Biography, samples of poetry and prose, critiques, copies of correspondence and a bibliography.", "topic": "Top/Arts/Literature/Poetry/Poets/E/Eminescu,_Mihai", "url": "http://www.mihaieminescu.ro/en/english.htm"} +{"d:Title": "Electronic Poetry Center: Clayton Eshleman", "d:Description": "Offers a biography, selected list of published works, and various sample poems.", "topic": "Top/Arts/Literature/Poetry/Poets/E/Eshleman,_Clayton", "url": "http://epc.buffalo.edu/authors/eshleman/"} +{"d:Title": "Poets.org: Clayton Eshleman", "d:Description": "Profile provides a biography, photograph, selected poems, an essay and links.", "topic": "Top/Arts/Literature/Poetry/Poets/E/Eshleman,_Clayton", "url": "http://www.poets.org/poetsorg/poet/clayton-eshleman"} +{"d:Title": "Modern American Poetry: Martin Espada", "d:Description": "Archive of resources including articles, reviews, essays and criticism.", "topic": "Top/Arts/Literature/Poetry/Poets/E/Espada,_Martin", "url": "http://www.english.illinois.edu/maps/poets/a_f/espada/espada.htm"} +{"d:Title": "Poets.org: Martin Espada", "d:Description": "Presents a brief biography, photograph and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/E/Espada,_Martin", "url": "http://www.poets.org/poetsorg/poet/mart%C3%ADn-espada"} +{"d:Title": "Fiorentino, Jon Paul", "d:Description": "News, poetry samples, and information about ordering his books.", "topic": "Top/Arts/Literature/Poetry/Poets/F", "url": "http://www3.sympatico.ca/jondrowning"} +{"d:Title": "Feldman, Irving", "d:Description": "Presents a brief biography and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/F", "url": "https://www.poets.org/poetsorg/poet/irving-feldman"} +{"d:Title": "Feldman, Ruth", "d:Description": "Presents a brief biography and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/F", "url": "https://www.poets.org/poetsorg/poet/ruth-feldman"} +{"d:Title": "Ferry, David", "d:Description": "Presents a brief biography and photograph of the New Jersey-born poet.", "topic": "Top/Arts/Literature/Poetry/Poets/F", "url": "https://www.poets.org/poetsorg/poet/david-ferry"} +{"d:Title": "Forbes, Calvin", "d:Description": "Presents a biography, photograph, sample and links.", "topic": "Top/Arts/Literature/Poetry/Poets/F", "url": "https://www.poets.org/poetsorg/poet/calvin-forbes"} +{"d:Title": "Lidia Vianu -Desperado Literature", "d:Description": "Text of an interview discussing her work.", "topic": "Top/Arts/Literature/Poetry/Poets/F/Fanthorpe,_U._A.", "url": "http://lidiavianu.scriptmania.com/ua_fanthorpe.htm"} +{"d:Title": "Verse Daily: U. A. Fanthorpe", "d:Description": "Introduction and text of Bird Psalm.", "topic": "Top/Arts/Literature/Poetry/Poets/F/Fanthorpe,_U._A.", "url": "http://www.versedaily.org/aboutuafanthorpecp.shtml"} +{"d:Title": "Peterloo Poets - U. A. Fanthorpe", "d:Description": "Publisher provides biography and details of U A Fanthorpe's cassettes and books which include Consequences, Safe as Houses, Neck-Verse, A Watching Brief, Christmas Poems and Queuing for the Sun.", "topic": "Top/Arts/Literature/Poetry/Poets/F/Fanthorpe,_U._A.", "url": "http://www.peterloopoets.com/html/u_a__fanthorpe.html"} +{"d:Title": "Marked by Teachers: U A Fanthorpe", "d:Description": "Sample essays on the poet for GCSE coursework.", "topic": "Top/Arts/Literature/Poetry/Poets/F/Fanthorpe,_U._A.", "url": "http://www.markedbyteachers.com/gcse/english/english-literature/poetry/post-1914/u-a-fanthorpe/"} +{"d:Title": "Edward Field", "d:Description": "Offers copies of four poems by the poet, including \"The Bride of Frankenstein\" and \"The Return of Frankenstein\".", "topic": "Top/Arts/Literature/Poetry/Poets/F/Field,_Edward", "url": "http://unix.cc.wmich.edu/~cooneys/poems/Field.frankenstein.html"} +{"d:Title": "Poets.org: Edward Field", "d:Description": "Presents a brief biography of the New York City poet.", "topic": "Top/Arts/Literature/Poetry/Poets/F/Field,_Edward", "url": "http://www.poets.org/poetsorg/poet/edward-field"} +{"d:Title": "Tameme: Cola Franzen", "d:Description": "Provides brief biographical portraits in English and Spanish.", "topic": "Top/Arts/Literature/Poetry/Poets/F/Franzen,_Cola", "url": "http://www.tameme.org/issue_1/franzen.html"} +{"d:Title": "Poets.org: Cola Franzen", "d:Description": "Presents a brief biography, photograph and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/F/Franzen,_Cola", "url": "http://www.poets.org/poetsorg/poet/cola-franzen"} +{"d:Title": "Glaysher, Frederick", "d:Description": "Poems, essays, reviews, biography, and interviews.", "topic": "Top/Arts/Literature/Poetry/Poets/G", "url": "http://www.fglaysher.com/"} +{"d:Title": "Gibbons, John - Selected Poems", "d:Description": "Samples of prose from his book, and ordering information.", "topic": "Top/Arts/Literature/Poetry/Poets/G", "url": "http://www.touched.co.uk/press/cpoems.html"} +{"d:Title": "Greenlaw, Lavinia", "d:Description": "The only site fully sanctioned by the author. Includes biography, publication list, readings.", "topic": "Top/Arts/Literature/Poetry/Poets/G", "url": "http://www.laviniagreenlaw.org/"} +{"d:Title": "Glazner, Greg", "d:Description": "Presents a brief biography, photograph and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/G", "url": "http://www.poets.org/poetsorg/poet/greg-glazner"} +{"d:Title": "Graham, Catherine", "d:Description": "Read about her writing workshops, poetry readings, publications and poems.", "topic": "Top/Arts/Literature/Poetry/Poets/G", "url": "http://catherinegraham.com/"} +{"d:Title": "Getty, Sarah", "d:Description": "Presents a biography, photograph, poems and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/G", "url": "https://www.poets.org/poetsorg/poet/sarah-getty"} +{"d:Title": "Phat African American Poetry Book - Nikki Giovanni", "d:Description": "Poems and biographical information on the poet.", "topic": "Top/Arts/Literature/Poetry/Poets/G/Giovanni,_Nikki", "url": "http://www.math.buffalo.edu/~sww/poetry/giovanni_nikki.html"} +{"d:Title": "The Internet Writing Journal(R)", "d:Description": "The Authority of a Writer: An Interview with Nikki Giovanni By Jan McDaniel. (Jul 2000)", "topic": "Top/Arts/Literature/Poetry/Poets/G/Giovanni,_Nikki", "url": "http://www.writerswrite.com/journal/jul00/giovanni.htm"} +{"d:Title": "AfroPoets: Nikki Giovanni", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/G/Giovanni,_Nikki", "url": "http://www.afropoets.net/nikkigiovanni.html"} +{"d:Title": "Poets.org: Nikki Giovanni", "d:Description": "Presents a biography, photograph and links.", "topic": "Top/Arts/Literature/Poetry/Poets/G/Giovanni,_Nikki", "url": "http://www.poets.org/poetsorg/poet/nikki-giovanni"} +{"d:Title": "African American Literature Book Club", "d:Description": "Notes on the poet's books, with purchasing links.", "topic": "Top/Arts/Literature/Poetry/Poets/G/Giovanni,_Nikki", "url": "http://aalbc.com/authors/nikki.htm"} +{"d:Title": "Poets.org: Jan Heller Levi", "d:Description": "Presents a biography, photograph and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/H/Heller_Levi,_Jan", "url": "http://www.poets.org/poetsorg/poet/jan-heller-levi"} +{"d:Title": "Dona Hilbert", "d:Description": "Biography and e-mail address.", "topic": "Top/Arts/Literature/Poetry/Poets/H/Hilbert,_Donna", "url": "http://www.donnahilbert.com/"} +{"d:Title": "Louis Jenkins", "d:Description": "Author's official web site. Profile, recent books, audio files of selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/J/Jenkins,_Louis", "url": "http://www.louisjenkins.com/"} +{"d:Title": "Wikipedia: Louis Jenkins", "d:Description": "Encyclopedia-style article on the poet.", "topic": "Top/Arts/Literature/Poetry/Poets/J/Jenkins,_Louis", "url": "http://en.wikipedia.org/wiki/Louis_Jenkins"} +{"d:Title": "The Writer's Almanac: Louis Jenkins", "d:Description": "Dates on which the poet has been featured. Includes text of some poems, and RealAudio files of Garrison Keillor reading more than a dozen of Jenkins' poems.", "topic": "Top/Arts/Literature/Poetry/Poets/J/Jenkins,_Louis", "url": "http://writersalmanac.publicradio.org/author.php?auth_id=1284"} +{"d:Title": "Poet Louis Jenkins Writes About Life \"North of the Cities\"", "d:Description": "Interview on \"All Things Considered,\" on Minnesota Public Radio. Text introduction. RealAudio files, including the poet reading four of his poems.", "topic": "Top/Arts/Literature/Poetry/Poets/J/Jenkins,_Louis", "url": "http://www.mprnews.org/story/2007/08/01/louisjenkins"} +{"d:Title": "Kraft, Jerry", "d:Description": "A resume and samples of prose by this playwright, poet, theatre critic and photographer.", "topic": "Top/Arts/Literature/Poetry/Poets/K", "url": "http://writerjer.tripod.com/"} +{"d:Title": "Web Home of James Kavanaugh", "d:Description": "Includes biography, reviews, poems and bookshop.", "topic": "Top/Arts/Literature/Poetry/Poets/K/Kavanaugh,_James", "url": "http://www.jkavanaugh.com/"} +{"d:Title": "The Poetry of James Kavanaugh", "d:Description": "A page dedicated to the work of James Kavanaugh with excerpts from several of his books.", "topic": "Top/Arts/Literature/Poetry/Poets/K/Kavanaugh,_James", "url": "http://www.celestineview.com/kavanaugh.htm"} +{"d:Title": "Quotes by James Kavanaugh", "d:Description": "12 quotes from James Kavanaugh at Goodreads.", "topic": "Top/Arts/Literature/Poetry/Poets/K/Kavanaugh,_James", "url": "http://www.goodreads.com/author/quotes/288137.James_Kavanaugh"} +{"d:Title": "Kelly, Robert", "d:Description": "Online works.", "topic": "Top/Arts/Literature/Poetry/Poets/K/Kelly,_Robert", "url": "http://epc.buffalo.edu/authors/kelly/"} +{"d:Title": "Everypoet.com: Kilmer, Joyce", "d:Description": "Includes full-text poems from \"Main Street and Other Poems\" and \"Trees and Other Poems\"", "topic": "Top/Arts/Literature/Poetry/Poets/K/Kilmer,_Joyce", "url": "http://www.everypoet.com/archive/poetry/Joyce_Kilmer/Joyce_Kilmer_contents.htm"} +{"d:Title": "Joyce Kilmer (1886 - 1919) - Author of \"Trees and Other Poems\"", "d:Description": "Designed and maintained by the poet's granddaughter, Miriam A. Kilmer. Research resources, frequently asked questions, corrections of widely-published misinformation, photographs, digital images of rare manuscripts, anecdotes, genealogy, poetry.", "topic": "Top/Arts/Literature/Poetry/Poets/K/Kilmer,_Joyce", "url": "http://www.risingdove.com/kilmer/trees.asp"} +{"d:Title": "Lee, Priscilla", "d:Description": "Biographical information, images, and links to poetry by the author of Wishbone.", "topic": "Top/Arts/Literature/Poetry/Poets/L", "url": "http://www.psycherelics.com/pris.html"} +{"d:Title": "Lane, Patrick", "d:Description": "Official site of well known Canadian poet. Links to books and recordings, samples of works in progress, photographs of the poet, and biography.", "topic": "Top/Arts/Literature/Poetry/Poets/L", "url": "http://www.patricklane.ca/"} +{"d:Title": "Poets.org: Timothy Liu", "d:Description": "Presents a biography, photograph, selected poems and related links.", "topic": "Top/Arts/Literature/Poetry/Poets/L/Liu,_Timothy", "url": "http://www.poets.org/poetsorg/poet/timothy-liu"} +{"d:Title": "Mong-Lan", "d:Description": "Vietnamese-American poet, writer, and visual artist. Poems, biography, bibliography, and images.", "topic": "Top/Arts/Literature/Poetry/Poets/M", "url": "http://www.monglan.com/"} +{"d:Title": "Moore, Thomas", "d:Description": "Thomas Moore was one of Ireland's most famous poets. This 1823 essay served as a preface to his poem, The Loves of the Angels.", "topic": "Top/Arts/Literature/Poetry/Poets/M", "url": "http://www.djmcadam.com/angels.htm"} +{"d:Title": "Moran, Daniel Thomas", "d:Description": "A biographical sketch, book reviews, examples of poetry and information about upcoming events.", "topic": "Top/Arts/Literature/Poetry/Poets/M", "url": "http://www.danielthomasmoran.net/"} +{"d:Title": "Moore, Dena L.", "d:Description": "Poetry of love, loss, and the occult from her collections \"Fluidity of Creation\" and \"Immortality Lives.\" Also biography, newsletter, links to other poetry and occult sites, and links to order books.", "topic": "Top/Arts/Literature/Poetry/Poets/M", "url": "http://www.denaspoetry.com/"} +{"d:Title": "Massimilla, Stephen", "d:Description": "A short biography, reviews, and examples of poetry by this New York poet and winner of the 2001 Bordighera Poetry Prize.", "topic": "Top/Arts/Literature/Poetry/Poets/M", "url": "http://www.poetryinfo.com/"} +{"d:Title": "Jackson Mac Low", "d:Description": "Provides a short biography and detailed bibliography.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Mac_Low,_Jackson", "url": "http://www.writing.upenn.edu/~afilreis/88/bio.html"} +{"d:Title": "Poets.org: Jackson Mac Low", "d:Description": "Presents a biography, photograph and selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Mac_Low,_Jackson", "url": "http://www.poets.org/poetsorg/poet/jackson-mac-low"} +{"d:Title": "William Matthews: Rising and Falling", "d:Description": "Complete electronic text of the poet's third book, with notes.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Matthews,_William", "url": "http://capa.conncoll.edu/matthews.rising.htm"} +{"d:Title": "Poets.org: William Matthews", "d:Description": "Presents a biography, photograph and selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Matthews,_William", "url": "http://www.poets.org/poetsorg/poet/william-matthews"} +{"d:Title": "Atlantic Online: William Matthews", "d:Description": "Peter Davison interviews the poet. Includes related links and a photo.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Matthews,_William", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/antholog/matthews/wmint.htm"} +{"d:Title": "British Council Literature - Roger McGough", "d:Description": "Professional biography, bibliography, awards and critical perspective.", "topic": "Top/Arts/Literature/Poetry/Poets/M/McGough,_Roger", "url": "http://literature.britishcouncil.org/roger-mcgough"} +{"d:Title": "Roger McGough", "d:Description": "Official website with tour dates, biography, press releases, books, cds and poems.", "topic": "Top/Arts/Literature/Poetry/Poets/M/McGough,_Roger", "url": "http://www.uktouring.org.uk/rogermcgough/"} +{"d:Title": "Poets.org: Sandra McPherson", "d:Description": "Presents a biography, photograph, selected poems and links.", "topic": "Top/Arts/Literature/Poetry/Poets/M/McPherson,_Sandra", "url": "http://www.poets.org/poetsorg/poet/sandra-mcpherson"} +{"d:Title": "Canadian Authors - Kim Morrissey", "d:Description": "University level educational resource site for Kim Morrissey's books, with lesson plans and essays.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Morrissey,_Kim", "url": "http://www.kimmorrissey.com/"} +{"d:Title": "Guardian Unlimited: A fanfare for the common man", "d:Description": "Andrew Motion on Philip Larkin.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Motion,_Andrew", "url": "http://www.theguardian.com/books/2003/jul/05/poetry.highereducation"} +{"d:Title": "Andrew Motion", "d:Description": "Biography, awards, prizes, critical perspective, author statement and details of the Walberberg Seminar on creative writing.", "topic": "Top/Arts/Literature/Poetry/Poets/M/Motion,_Andrew", "url": "http://literature.britishcouncil.org/andrew-motion"} +{"d:Title": "The Online Medieval and Classical Library", "d:Description": "An extensive online archive, includes numerous annotated texts and links to further related resources.", "topic": "Top/Arts/Literature/Poetry/Poets/Medieval", "url": "http://omacl.org/"} +{"d:Title": "Anthology of Middle English Literature (1350-1485)", "d:Description": "\" . . . from Chaucer to Malory. Works, biographies, essays and articles on featured authors. Also includes mystery and morality plays and Middle English Lyrics.\" The extensive and well-designed Medieval section of the luminarium.org website.", "topic": "Top/Arts/Literature/Poetry/Poets/Medieval", "url": "http://www.luminarium.org/medlit/"} +{"d:Title": "Troubadours' Originals", "d:Description": "Complete originals of more than 36 troubadours. Some have: translations, original melodies in MIDI format. Bibliography and search are included.", "topic": "Top/Arts/Literature/Poetry/Poets/Medieval", "url": "http://www.trobar.org/troubadours"} +{"d:Title": "Medieval Irish Poetry", "d:Description": "Original texts and with English translations. Also background information.", "topic": "Top/Arts/Literature/Poetry/Poets/Medieval", "url": "http://www.suburbanbanshee.net/irishptr/"} +{"d:Title": "Internet Medieval Sourcebook", "d:Description": "A general internet resource for Medieval Studies, and a good starting point for anyone seeking information on Medieval poets and poetry.", "topic": "Top/Arts/Literature/Poetry/Poets/Medieval", "url": "http://sourcebooks.fordham.edu/halsall/sbook.asp"} +{"d:Title": "Modernism", "d:Description": "A very brief definition of the literary term \"Modernism.\"", "topic": "Top/Arts/Literature/Poetry/Poets/Modernist", "url": "http://andromeda.rutgers.edu/~jlynch/Terms/modernism.html"} +{"d:Title": "Signifying Nothing: The Fourth Dimension in Modernist Art and Literature", "d:Description": "This paper is part of a website entitled \"The Fourth Dimension,\" which includes a small \"library\" of poetry by Modernist poets of note and a companion \"gallery\" of Modernist art.", "topic": "Top/Arts/Literature/Poetry/Poets/Modernist", "url": "http://www.case.edu/artsci/engl/VSALM/mod/ricca/paper.html"} +{"d:Title": "Petals on a Wet Black Bough", "d:Description": "American Modernist Writers and the Orient - an exhibition at Yale that took place in 1996.", "topic": "Top/Arts/Literature/Poetry/Poets/Modernist", "url": "http://brbl-archive.library.yale.edu/exhibitions/orient/intro.htm"} +{"d:Title": "Nemerov, Howard", "d:Description": "Two poems about the space shuttle written by a former US Poet Laureate.", "topic": "Top/Arts/Literature/Poetry/Poets/N", "url": "http://www.press.uchicago.edu/Misc/Chicago/572439.html"} +{"d:Title": "O'Donnell, Mary", "d:Description": "Selected essays by the Irish writer, with excerpts from her poetry and other prose. Also includes biography, reviews of her work, and purchase of her books.", "topic": "Top/Arts/Literature/Poetry/Poets/O", "url": "http://www.maryodonnell.com/"} +{"d:Title": "O'Dea, Michael", "d:Description": "The poet's homepage. Includes work from various published and pre-publication collections.", "topic": "Top/Arts/Literature/Poetry/Poets/O", "url": "http://homepage.eircom.net/~michaelodea/"} +{"d:Title": "Said Hanrahan", "d:Description": "Text of this poem, background information on the poet and his place in Australian literature, and explanation of some terms used.", "topic": "Top/Arts/Literature/Poetry/Poets/O/O'Brien,_John", "url": "http://wonderingminstrels.blogspot.com/2004/12/strugnell-sonnets-iv-wendy-cope.html"} +{"d:Title": "Around the Boree Log and Other Verses", "d:Description": "Complete book available online, in plain text.", "topic": "Top/Arts/Literature/Poetry/Poets/O/O'Brien,_John/Works", "url": "http://gutenberg.net.au/ebooks05/0500051.txt"} +{"d:Title": "Paterson, Banjo", "d:Description": "Australian bush balladeer, poet and journalist and writer of \"Waltzing Matilda\". Provides a biography, photos and a detailed selection of online works.", "topic": "Top/Arts/Literature/Poetry/Poets/P", "url": "http://www.uq.edu.au/~mlwham/banjo/"} +{"d:Title": "Dr. France Preseren", "d:Description": "Homepage of the Slovene poet, with his works in textual and audio format, a biography, links, and information about Slovenia.", "topic": "Top/Arts/Literature/Poetry/Poets/P", "url": "http://www.preseren.net/ang/default.asp"} +{"d:Title": "Pordon, Judith: Casa Poema", "d:Description": "Poems by Judith Pordon, publications, and other poetry links.", "topic": "Top/Arts/Literature/Poetry/Poets/P", "url": "http://judithpordon.tripod.com/"} +{"d:Title": "British Council Literature - Ruth Padel", "d:Description": "Biography, critical perspective by Dr Jules Smith, awards and bibliography.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Padel,_Ruth", "url": "http://literature.britishcouncil.org/ruth-padel"} +{"d:Title": "Ron Padgett", "d:Description": "Article by Michael Leddy, from World Poets, published in 2000 by Scribner, with detailed biography and light-hearted style analysis.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Padgett,_Ron", "url": "http://www.ronpadgett.com/RonPadgettByMichaelLeddy.htm"} +{"d:Title": "Padgett, Ron", "d:Description": "Some sample poems, with a biography, bibliography, reviews and critical articles, a list of events, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Padgett,_Ron", "url": "http://www.ronpadgett.com/"} +{"d:Title": "Ron Padgett: Nine poems", "d:Description": "From Jacket Magazine 27, full text versions with brief biography and photo of the poet.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Padgett,_Ron", "url": "http://jacketmagazine.com/27/padg.html"} +{"d:Title": "Ron Padgett and Yu Jian: Five poems", "d:Description": "From Jacket Magazine 29.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Padgett,_Ron", "url": "http://jacketmagazine.com/29/yujian-padgett.html"} +{"d:Title": "Ron Padgett", "d:Description": "Poemhunter.com page links to \"Ladies and Gentlemen of Outer Space\" with fan comment and additional links.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Padgett,_Ron", "url": "http://www.poemhunter.com/ron-padgett/"} +{"d:Title": "Guardian Unlimited: Pinter's poetry? Anyone can do it", "d:Description": "On the occasion of giving the 2004 TS Eliot lecture, the poet calls for total eradication of amateur poets and of Postmoderns.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Paterson,_Don", "url": "http://www.theguardian.com/uk/2004/oct/30/books.booksnews"} +{"d:Title": "British Council Literature: Don Paterson", "d:Description": "Biography, bibliography, awards and critical perspective.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Paterson,_Don", "url": "http://literature.britishcouncil.org/don-paterson"} +{"d:Title": "On the Ezra Pound/Marshall McLuhan Correspondence", "d:Description": "At the University of Toronto.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://www.chass.utoronto.ca/mcluhan-studies/v1_iss1/1_1art11.htm"} +{"d:Title": "World War I According to Ezra Pound", "d:Description": "With a quotation from \"These Fought in Any Case\".", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://www.rjgeib.com/thoughts/fight/fight.html"} +{"d:Title": "Ezra Pound (1885-1972)", "d:Description": "Biography, classified bibliography, and web guide by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University.", "priority": "1", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://www.lit.kobe-u.ac.jp/~hishika/pound.htm"} +{"d:Title": "AmericanPoems.com: Ezra Pound", "d:Description": "Includes a brief biography and a selection of his most popular poems.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://www.americanpoems.com/poets/ezrapound/"} +{"d:Title": "Ezra Pound and the Occult", "d:Description": "A project at Case Western Reserve U.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://www.case.edu/artsci/engl/VSALM/mod/ballentine/index.html"} +{"d:Title": "Encyclopedia.com", "d:Description": "Brief article and listings.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://www.encyclopedia.com/topic/Ezra_Loomis_Pound.aspx"} +{"d:Title": "Petals on a Wet Black Bough", "d:Description": "American Modernist Writers and the Orient - an exhibition at Yale that took place in 1996.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://brbl-archive.library.yale.edu/exhibitions/orient/intro.htm"} +{"d:Title": "Ezra Pound and Fenollosa", "d:Description": "Manuscripts at Yale's Beinecke Library.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://brbl-archive.library.yale.edu/exhibitions/orient/mod3.htm"} +{"d:Title": "Beinecke Rare Book and Manuscript Library", "d:Description": "At Yale University Library. Typing \"Ezra\" and \"Pound\" in the search form yields many digital images of important manuscript material.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "http://brbl-dl.library.yale.edu/vufind/"} +{"d:Title": "The Academy of American Poets: Ezra Pound", "d:Description": "With bibliography and some texts.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra", "url": "https://www.poets.org/poetsorg/poet/ezra-pound"} +{"d:Title": "The strange and inscrutable case of Ezra Pound", "d:Description": "By Robert Wernick, In the Smithsonian magazine.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Biography", "url": "http://www.smithsonianmag.com/people-places/pound-abstract.html"} +{"d:Title": "What the Architecture Said", "d:Description": "A Benjaminian Reading of Ezra Pound's \"Quest for the Paradiso\"", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Reviews", "url": "http://webdoc.sub.gwdg.de/edoc/ia/eese/artic96/northcut/9_96.html"} +{"d:Title": "Ezra Pound", "d:Description": "Electronic Poetry Center at SUNY Buffalo.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Reviews", "url": "http://epc.buffalo.edu/authors/pound/"} +{"d:Title": "Sestina: Altaforte", "d:Description": "At Western Michigan University.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works", "url": "http://unix.cc.wmich.edu/~cooneys/poems/Pound.altaf.html"} +{"d:Title": "The River-Merchant's Wife", "d:Description": "At Western Michigan University.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works", "url": "http://unix.cc.wmich.edu/~cooneys/poems/li-po-pound.html"} +{"d:Title": "Ego Scriptor Cantilenae: The Music of Ezra Pound", "d:Description": "CD of compositions by Pound between 1920 and 1933, including two operas - Le Testament and Cavalcanti.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works", "url": "http://otherminds.org/shtml/Poundcd.shtml"} +{"d:Title": "The Encounter", "d:Description": "At the UPenn English department.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works", "url": "http://www.writing.upenn.edu/~afilreis/88/encounter.html"} +{"d:Title": "Hugh Selwyn Mauberley", "d:Description": "HTML at American Poems.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works", "url": "http://www.americanpoems.com/poets/ezrapound/16155"} +{"d:Title": "Other Translations of A River Merchant's Wife", "d:Description": "The Fenollosa source material, various translations and image of a Chinese text.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works", "url": "http://www.english.illinois.edu/maps/poets/m_r/pound/othertranslations.htm"} +{"d:Title": "On The River-Merchant's Wife: A Letter", "d:Description": "Analysis of Pound's translation, plus comparisons by various writers with other translations.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works", "url": "http://www.english.illinois.edu/maps/poets/m_r/pound/letter.htm"} +{"d:Title": "Canto XLIX", "d:Description": "Text at Americanpoems.com.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pound,_Ezra/Works/Cantos", "url": "http://www.americanpoems.com/poets/ezrapound/12627"} +{"d:Title": "Hommage \u00e0 Jacques Prevert", "d:Description": "Homage to Jacques Prevert: his poems, biography, and quotes. [English and French]", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pr\u00e9vert,_Jacques", "url": "http://xtream.online.fr/Prevert"} +{"d:Title": "Homage to Jacques Prevert", "d:Description": "Biography, quotations, and some of his poems.", "topic": "Top/Arts/Literature/Poetry/Poets/P/Pr\u00e9vert,_Jacques", "url": "http://xtream.online.fr/Prevert/indexeng.html"} +{"d:Title": "Ronan, John J.", "d:Description": "Poet, teacher, and journalist. Provides a biography, samples, news, links and photos.", "topic": "Top/Arts/Literature/Poetry/Poets/R", "url": "http://www.theronan.org/"} +{"d:Title": "Radn\u00f3ti, Mikl\u00f3s", "d:Description": "Dedicated to the Hungarian poet Mikl\u00f3s Radn\u00f3ti (1909-1944). Includes biographical info, photographs, English translations of his poetry, and links.", "topic": "Top/Arts/Literature/Poetry/Poets/R", "url": "http://www.angelfire.com/poetry/miklosradnoti/"} +{"d:Title": "Bartleby.com: Edwin Arlington Robinson", "d:Description": "166 poems online with short biography", "topic": "Top/Arts/Literature/Poetry/Poets/R/Robinson,_Edwin_Arlington", "url": "http://www.bartleby.com/people/RbnsnEA.html"} +{"d:Title": "Sonnet Central: Edwin Arlington Robinson (1869-1935)", "d:Description": "His sonnets, sorted by book.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Robinson,_Edwin_Arlington", "url": "http://www.sonnets.org/robinson.htm"} +{"d:Title": "Edwin Arlington Robinson (1869-1935)", "d:Description": "Short overview of Robinson's writing style. Includes some suggestions for teaching his work.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Robinson,_Edwin_Arlington", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/robinson.html"} +{"d:Title": "Modern American Poetry: Edwin Arlington Robinson (1869-1935)", "d:Description": "Includes a short biography and some critical works about Robinson's poetry.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Robinson,_Edwin_Arlington", "url": "http://www.english.illinois.edu/maps/poets/m_r/robinson/robinson.htm"} +{"d:Title": "John Wilmot, Second Earl of Rochester", "d:Description": "A college honors thesis about the poet's satires, with some poems and some links. Indecent poems have been marked.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Rochester,_John_Wilmot,_Earl_of", "url": "http://www.ealasaid.com/fan/rochester/main.html"} +{"d:Title": "Pornokrates: A Debt to Pleasure", "d:Description": "Offers lyrics and verses from the poet.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Rochester,_John_Wilmot,_Earl_of", "url": "http://www.pornokrates.com/rochester.html"} +{"d:Title": "Bartleby.com: Rochester\u2019s Life and Character", "d:Description": "Full text of The Cambridge History of English and American Literature in 18 Volumes (1907\u201321), Vol. VII, The Court Poets, by Charles Whibley.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Rochester,_John_Wilmot,_Earl_of", "url": "http://www.bartleby.com/218/0807.html"} +{"d:Title": "Poet Hero - Isaac Rosenberg", "d:Description": "A biography and full text of Break of Day in the Trenches.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Rosenberg,_Isaac", "url": "http://myhero.com/go/hero.asp?hero=irosenberg"} +{"d:Title": "Rosenberg, Isaac: Birkbeck's War Poet", "d:Description": "Lecture on the English war poet by Steven Connor.", "topic": "Top/Arts/Literature/Poetry/Poets/R/Rosenberg,_Isaac", "url": "http://www.stevenconnor.com/rosenberg/"} +{"d:Title": "Sixteenth Century Renaissance English Literature", "d:Description": "Guide to English literature of the Renaissance with over 100 original pages and biographies, with links.", "topic": "Top/Arts/Literature/Poetry/Poets/Renaissance", "url": "http://www.luminarium.org/renlit/"} +{"d:Title": "The Oxford Book of English Verse: 1250-1900. BartlebyVerse, Vol. I", "d:Description": "Arthur Quiller-Couch ed. 1919. Chronologic and alphabetic indices.", "topic": "Top/Arts/Literature/Poetry/Poets/Renaissance", "url": "http://www.bartleby.com/101/index.html"} +{"d:Title": "Storming Heaven", "d:Description": "Biography and criticism of four famous Elizabethans - Essex, Raleigh, Marlowe, and Donne, from the perspective of poetry, and the history of ideas.", "topic": "Top/Arts/Literature/Poetry/Poets/Renaissance", "url": "http://www.poetryintranslation.com/PITBR/English/Stormhome.htm"} +{"d:Title": "Harvard Classics: English Poetry II - From Collins to Fitzgerald", "d:Description": "330 works by over 60 authors in this second part of an anthology of the greatest works of the English romantic poets.", "topic": "Top/Arts/Literature/Poetry/Poets/Romantic", "url": "http://www.bartleby.com/41/"} +{"d:Title": "The Romantic Period, 1820-1860", "d:Description": "From \"An Outline of American Literature,\" by Kathryn Van Spanckeren, published by the United States Information Agency.", "topic": "Top/Arts/Literature/Poetry/Poets/Romantic", "url": "http://www.let.rug.nl/usa/outlines/literature-1991/the-romantic-period-1820-1860-essayists-and-poets/"} +{"d:Title": "Schneider, Myra", "d:Description": "Accessible poetry by the published novelist and poet and teacher of creative writing, with biography, publications, courses, and information on the Second Light Network for older women poets.", "topic": "Top/Arts/Literature/Poetry/Poets/S", "url": "http://www.esch.dircon.co.uk/"} +{"d:Title": "Smith, Sydney Bernard - Little Red Hen", "d:Description": "Poet, playwright, satirist, fiction writer. Offers excerpts of his works.", "topic": "Top/Arts/Literature/Poetry/Poets/S", "url": "http://www.esatclear.ie/~littleredhen"} +{"d:Title": "Seidman, Hugh", "d:Description": "Includes information on the author's books and tapes, biography, upcoming appearances, web links, and reviews of recent works.", "topic": "Top/Arts/Literature/Poetry/Poets/S", "url": "http://www.hughseidman.com/"} +{"d:Title": "Spencer, Anne", "d:Description": "Brief biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/S", "url": "http://www.afropoets.net/annespencer.html"} +{"d:Title": "Solomon, Sandy", "d:Description": "Contact and biographical information, sample poems, book reviews, and schedule of readings.", "topic": "Top/Arts/Literature/Poetry/Poets/S", "url": "http://www.sandysolomon.com/"} +{"d:Title": "Starnes, Sofia M.", "d:Description": "Author's website contains biographical information, list of book publications, professional activities, a selection of published poems, and contact information.", "topic": "Top/Arts/Literature/Poetry/Poets/S", "url": "http://www.sofiamstarnes.com/"} +{"d:Title": "Siegel, Eli", "d:Description": "Poetry by the founder of Aesthetic Realism.", "topic": "Top/Arts/Literature/Poetry/Poets/S", "url": "http://aestheticrealism.net/poetry/"} +{"d:Title": "Voices From the Gaps: Sonia Sanchez", "d:Description": "A biography of Sanchez.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Sanchez,_Sonia", "url": "http://voices.cla.umn.edu/artistpages/sanchez_sonia.php"} +{"d:Title": "May Sarton: A Poet's Life", "d:Description": "Offers a detailed biography with a photo and selected bibliography.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Sarton,_May", "url": "http://digital.library.upenn.edu/women/sarton/blouin-biography.html"} +{"d:Title": "Spend the Night with Robert Service", "d:Description": "Information on the annual birthday commemoration held in Whitehorse, Yukon Territory, Canada. Also, includes biography and published works.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Service,_Robert_W.", "url": "http://www.yukonweb.com/special/rservice/"} +{"d:Title": "The Original Home Page of Robert W. Service", "d:Description": "His poetry, biography, links.", "priority": "1", "topic": "Top/Arts/Literature/Poetry/Poets/S/Service,_Robert_W.", "url": "http://www.robertwservice.com/"} +{"d:Title": "The Law of the Yukon", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Service,_Robert_W./Works", "url": "http://plexipages.com/reflections/yukon.html"} +{"d:Title": "The World of Lemn Sissay", "d:Description": "Overview of Lemn's work, books, recordings, forthcoming projects and public art projects, plus biography and agent details.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Sissay,_Lemn", "url": "http://www.lemnsissay.com/"} +{"d:Title": "George Sterling", "d:Description": "Biography and selected texts from the Californian poet.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Sterling,_George", "url": "http://www.angelfire.com/journal/chrismayou/gspoetry/index.html"} +{"d:Title": "Wallace Stevens", "d:Description": "A brief bibliography and several links provided by Professor Eiichi Hishikawa, Faculty of Letters, Kobe University. Also includes many other poetry links.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://www.lit.kobe-u.ac.jp/~hishika/stevens.htm"} +{"d:Title": "Wallace Stevens Journal/Society", "d:Description": "This is the home page of the Wallace Stevens Society, which publishes The Wallace Stevens Journal. Viewers will find membership forms, a complete index of published articles, and sample covers of the Stevens Journal as well as other publications by the Wallace Stevens Society Press.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://www.wallacestevens.com/"} +{"d:Title": "Aphorisms", "d:Description": "A few phrases from Stevens' work.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://homepage.tinet.ie/~colmmcd/stevans.htm"} +{"d:Title": "Audio Clips: Wallace Stevens Reads His Poetry", "d:Description": "Audio clips of Wallace Stevens reading several poems, including \"The Idea of Order at Key West,\" \"The Poem that Took the Place of a Mountain,\" and \"Vacancy in the Park.\" The poems are not individually announced.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://town.hall.org/Archives/radio/IMS/HarperAudio/021594_harp_ITH.html"} +{"d:Title": "Estate of Mind", "d:Description": "Excerpts from Stevens'poetry accompanied by drawings by Mark Napier.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://users.rcn.com/napier.interport/eom/eom.html"} +{"d:Title": "\"Harmonium\" and the Visual Arts", "d:Description": "Paper on Stevens' book \"Harmonium\" and its inspirations from modern art. Cites specific artworks as sources for individual poems.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://www.case.edu/artsci/engl/VSALM/mod/socha/stevandcube.html"} +{"d:Title": "Wallace Stevens", "d:Description": "Extensive overview from Wikipedia.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://en.wikipedia.org/wiki/Wallace_Stevens"} +{"d:Title": "Wallace Stevens", "d:Description": "Disorganized but interesting collection of Stevens resources including online critical papers, book reviews, photos, and letters, by noted critic and Stevens scholar Alan Filreis.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://www.writing.upenn.edu/~afilreis/Stevens/home.html"} +{"d:Title": "New York Times obituary", "d:Description": "Published August 3, 1955", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://www.writing.upenn.edu/~afilreis/Stevens/obit.html"} +{"d:Title": "Critical/Interpretive Resources", "d:Description": "Case Western Reserve U. Contains a bibliography, a paper about Stevens and modern art, some online poems and related links. [Warning: Often loads very slowly]", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://www.case.edu/artsci/engl/VSALM/mod/socha/links.htm"} +{"d:Title": "Teaching Stevens", "d:Description": "From Houghton Mifflin Publishers, a brief guide for how to teach this difficult poet.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/stevens.html"} +{"d:Title": "Academy of American Poets: Stevens Exhibit", "d:Description": "Online poems, brief biography, bibliography, and a few related links.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace", "url": "https://www.poets.org/poetsorg/poet/wallace-stevens"} +{"d:Title": "In the Carolinas", "d:Description": "From \"Harmonium\" (1923)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.lit.kobe-u.ac.jp/~hishika/stevens.htm"} +{"d:Title": "Thirteen Ways of Looking at a Blackbird", "d:Description": "From \"Harmonium\" (1923)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.boppin.com/poets/stevens.htm"} +{"d:Title": "High-Toned Old Christian Woman, A", "d:Description": "From \"Harmonium\" (1923)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.everypoet.com/archive/poetry/Wallace_Stevens/wallace_stevens_a_high_toned_old_christian_woman.htm"} +{"d:Title": "Sunday Morning", "d:Description": "From \"Harmonium\" (1923)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.everypoet.com/archive/poetry/Wallace_Stevens/wallace_stevens_sunday_morning.htm"} +{"d:Title": "Comedian as the Letter C, The", "d:Description": "Contains the poem in its entirety.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.everypoet.com/archive/poetry/Wallace_Stevens/wallace_stevens_the_comedian_as_the_letter_c.htm"} +{"d:Title": "Peter Quince at the Clavier", "d:Description": "Offers the full poem.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.everypoet.com/archive/poetry/Wallace_Stevens/wallace_stevens_peter_quince_at_the_clavier.htm"} +{"d:Title": "Well Dressed Man with a Beard, The", "d:Description": "Provides the text from the poem.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.repeatafterus.com/print.php?i=7069"} +{"d:Title": "Plot against the Giant, The", "d:Description": "Full text of the poem.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.repeatafterus.com/print.php?i=6911"} +{"d:Title": "Idea of Order at Key West, The", "d:Description": "From \"Ideas of Order\" (1936)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.writing.upenn.edu/~afilreis/88/not-ideas.html"} +{"d:Title": "Emperor of Ice Cream, The", "d:Description": "From \"Harmonium\" (1923)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://plexipages.com/reflections/emperor.html"} +{"d:Title": "Of Mere Being", "d:Description": "From \"Late Poems\" (1950-55)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://plexipages.com/reflections/ofmere.html"} +{"d:Title": "Place of the Solitaires, The", "d:Description": "From \"Harmonium\" (1923)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://plexipages.com/reflections/solitair.html"} +{"d:Title": "To the One of Fictive Music", "d:Description": "From \"Harmonium\" (1923)", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://plexipages.com/reflections/fictive.html"} +{"d:Title": "Gray Room", "d:Description": "Includes the full text.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.writing.upenn.edu/~afilreis/88/gray-room.html"} +{"d:Title": "Of Modern Poetry", "d:Description": "Consists of the text from the poem.", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://www.writing.upenn.edu/~afilreis/88/of-modern-poetry.html"} +{"d:Title": "The House Was Quiet and the World Was Calm", "d:Description": "From Transport To Summer (1947).", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "http://wonderingminstrels.blogspot.com/2003/05/house-was-quiet-and-world-was-calm.html"} +{"d:Title": "Metaphors of a Magnifico", "d:Description": "From \"Harmonium\" (1923).", "topic": "Top/Arts/Literature/Poetry/Poets/S/Stevens,_Wallace/Specific_Poems", "url": "https://www.poets.org/poetsorg/poem/metaphors-magnifico"} +{"d:Title": "Taylor, P.J.", "d:Description": "My Blue Muse. The San Francisco poet and writer's home on the web, with poems and biography.", "topic": "Top/Arts/Literature/Poetry/Poets/T", "url": "http://www.mybluemuse.com/"} +{"d:Title": "Jean Valentine.com", "d:Description": "Jean Valentine's official site features samples and audio clips of her reading her poems, as well as her current reading and teaching schedule.", "topic": "Top/Arts/Literature/Poetry/Poets/V", "url": "http://www.jeanvalentine.com/"} +{"d:Title": "Waters, Chocolate", "d:Description": "This site spans three decades of the work of performance poet Chocolate Waters.", "topic": "Top/Arts/Literature/Poetry/Poets/W", "url": "http://www.chocolatewaters.com/"} +{"d:Title": "Williams, David Brian", "d:Description": "An African American poet in the Mississippi tradition. His books include \"Simple Love\". Texts, audio files, and images.", "topic": "Top/Arts/Literature/Poetry/Poets/W", "url": "http://www.angelfire.com/ms/realpoet/"} +{"d:Title": "David Whyte: Many Rivers", "d:Description": "Offers poetry, European walking tours and public speaking. Includes biography, bibliography, poems, and tour information.", "topic": "Top/Arts/Literature/Poetry/Poets/W", "url": "http://www.davidwhyte.com/"} +{"d:Title": "Difference and Sameness: Augusta Webster", "d:Description": "A report by Amy Dienes on an aesthetic realism lecture discussing the life and work of Webster.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://www.dienes-and-dienes.com/Augusta_Webster.html"} +{"d:Title": "Women in the Literary Marketplace: Augusta Webster", "d:Description": "Profile and image of Yu-Pe-Ya's Lute; a Chinese Tale in English Verse inscribed by the author.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://rmc.library.cornell.edu/womenLit/learned_poets/Webster_L.htm"} +{"d:Title": "A Book of Rhyme", "d:Description": "Full text of the collection published in 1881.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7029"} +{"d:Title": "Blanche Lisle and Other Poems", "d:Description": "Full text of the book published in 1860 under the nom-de-plume Cecil Home.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7027"} +{"d:Title": "Daffodil and the Croaxaxicans: A Romance of History", "d:Description": "Full text of the children's story published in 1884.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7045"} +{"d:Title": "Dramatic Studies", "d:Description": "Full text of the book of verse published in 1866.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7051"} +{"d:Title": "Lilian Gray: a Poem", "d:Description": "Full text of the poem published in 1864 under the nom-de-plume Cecil Home.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7094"} +{"d:Title": "Portraits", "d:Description": "Full text of the second edition of the poetry collection published in 1870.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7130"} +{"d:Title": "A Woman Sold", "d:Description": "Full text of the volume of verse published in 1867.", "topic": "Top/Arts/Literature/Poetry/Poets/W/Webster,_Julia_Augusta_Davies", "url": "http://webapp1.dlib.indiana.edu/vwwp/view?docId=VAB7196"} +{"d:Title": "C. Dale Young: Poet", "d:Description": "Young's poems have appeared in national journals. He's poetry editor of the New England Review. Read his poems and learn about his poetry books.", "topic": "Top/Arts/Literature/Poetry/Poets/Y/Young,_C._Dale", "url": "http://www.cdaleyoung.com/"} +{"d:Title": "Zucker, Rachel", "d:Description": "A short biography, bibliography, events schedule, book details and links to poetry samples.", "topic": "Top/Arts/Literature/Poetry/Poets/Z", "url": "http://www.rachelzucker.net/"} +{"d:Title": "Carolyn Zonailo", "d:Description": "Author's site includes her biography, bibliography, reviews, interviews, and selected poems.", "topic": "Top/Arts/Literature/Poetry/Poets/Z", "url": "http://www.carolynzonailo.com/"} +{"d:Title": "A Poet Called Benjamin Zephaniah", "d:Description": "Rasta and performance poet from London, UK. Poems, articles, books, politics, biography and friends.", "topic": "Top/Arts/Literature/Poetry/Poets/Z/Zephaniah,_Benjamin", "url": "http://www.benjaminzephaniah.com/"} +{"d:Title": "Me? I thought, OBE me? Up yours, I thought", "d:Description": "Guardian article by the poet on rejecting the offer of an OBE. Includes the text of his poem \"Bought and Sold\".", "topic": "Top/Arts/Literature/Poetry/Poets/Z/Zephaniah,_Benjamin", "url": "http://www.theguardian.com/books/2003/nov/27/poetry.monarchy"} +{"d:Title": "British Council - Benjamin Zephaniah", "d:Description": "Professional biography of the poet, novelist and playwright. Bibliography, prizes and awards, and critical perspective.", "topic": "Top/Arts/Literature/Poetry/Poets/Z/Zephaniah,_Benjamin", "url": "http://literature.britishcouncil.org/benjamin-zephaniah"} +{"d:Title": "Rambles: Poetry Reviews", "d:Description": "A cultural arts magazine that explores the world of poetry.", "topic": "Top/Arts/Literature/Poetry/Reviews", "url": "http://www.rambles.net/poetry.html"} +{"d:Title": "Poetry Reviews", "d:Description": "Examines modern and classical poetry, with links to related reviews and websites.", "topic": "Top/Arts/Literature/Poetry/Reviews", "url": "http://www.brothersjudd.com/webpage/poetryrevtxt.htm"} +{"d:Title": "The Constant Critic", "d:Description": "Tri-weekly poetry reviews. Includes mailing list.", "topic": "Top/Arts/Literature/Poetry/Reviews", "url": "http://www.constantcritic.com/"} +{"d:Title": "Guardian Books: Poetry", "d:Description": "The poetry page of the Guardian newspaper's online book section with extensive reviews, features, profiles, and poems.", "topic": "Top/Arts/Literature/Poetry/Reviews", "url": "http://www.theguardian.com/books/poetry"} +{"d:Title": "Lavender Salon Reader Online", "d:Description": "Online verson of a newsletter for lesbian reading groups across the country, includes lists of book clubs.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.focol.org/lsr/"} +{"d:Title": "BookChatCentral", "d:Description": "Reading group community, friendly message boards and chats. Newcomers welcome.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://bookchatcentral.yuku.com/"} +{"d:Title": "NYTimes.com Reading Group", "d:Description": "Provides a moderated forum to discuss a book chosen by readers each month. Requires registration.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.nytimes.com/books/forums/"} +{"d:Title": "Reading Group Choices", "d:Description": "Selections for lively book discussions. Features annual print publication, hints for leaders, hints for starting groups.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.readinggroupchoices.com/"} +{"d:Title": "The Book Venue", "d:Description": "Books are rated, reviewed and discussed by site visitors.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.geekvenue.net/bookvenue/"} +{"d:Title": "Roman History Reading Group", "d:Description": "Discusses Roman history, related fiction and nonfiction. Includes a reading list and Roman and Mediterranean history related links.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://romanhistorybooksandmore.freeservers.com/"} +{"d:Title": "Classics Reading Group", "d:Description": "Virtual reading group which meets online to discuss one classic reading title per month.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://groups.yahoo.com/group/classicsreadinggroup/"} +{"d:Title": "Literacy Nerds", "d:Description": "General discussion forum for those who have an interest in reading.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://literacynerds.tribe.net/"} +{"d:Title": "Great Books Foundation", "d:Description": "Reading and discussion programs, group leader training, book publishing, critical thinking for adults and children.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.greatbooks.org/"} +{"d:Title": "Modern Library 100 Greatest Books", "d:Description": "Discussing one book a month from the Modern Library's list of the top 100 novels of the 20th century.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://groups.yahoo.com/group/modernlibrary100greatestbooks/"} +{"d:Title": "Pass-the-Book", "d:Description": "Viral reading. Book exchanges and reading chains among members and friends.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://pass-the-book.blogspot.com/"} +{"d:Title": "PaperBackSwap", "d:Description": "Swap your used paperback books with other book lovers while reading books from other members for free.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.paperbackswap.com/"} +{"d:Title": "Book-blog.com", "d:Description": "Online reading club. Site has book reviews.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://dhamel.typepad.com/book_blog/"} +{"d:Title": "SJeanneM's Book Club", "d:Description": "We read a chapter daily of a published author's book and discuss the questions that come up in the reading.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.susannahsbooks.blogspot.com/"} +{"d:Title": "BookTalk.org", "d:Description": "Reading group and discussion forum for both fiction and non-fiction. Includes live author interviews and chats.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.booktalk.org/"} +{"d:Title": "It's A Must Read", "d:Description": "Book discussions reading group. Join in on the monthly read, read and give your opinions on books and authors.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://itsamustread.yuku.com/"} +{"d:Title": "BookMooch", "d:Description": "Arranges trades of books between people. International. No cost to join. How it works, search available books or wish lists.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.bookmooch.com/"} +{"d:Title": "Book Buzz", "d:Description": "Virtual book club sponsored by the Toronto Public Library, open to members all over the world. Discusses monthly picks, hosts online author chats, and holds contests.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.torontopubliclibrary.ca/bookbuzz/"} +{"d:Title": "Reading Groups for Everyone", "d:Description": "The UK\u2019s largest reading group network.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://readinggroups.org/"} +{"d:Title": "Book Club Reading List", "d:Description": "New titles by independent authors who have made themselves available to attend book club meetings by phone, Skype, or in-person added to the monthly reading list.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://bookclubreading.com/"} +{"d:Title": "ReadUps", "d:Description": "A web-based (social media enabled) reading system for tablets and laptops. Create an event, invite friends to read with you for a limited time, sharing comments.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.readups.com/"} +{"d:Title": "A Starbucks Book Club Pitch", "d:Description": "A pitch to Howard Schultz to have a conversation about books at Starbucks stores.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://starbucksbookclub.com/"} +{"d:Title": "Little Free Library", "d:Description": "Builds and places weatherproof boxes to be used for the free exchange of books.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "https://littlefreelibrary.org/"} +{"d:Title": "Book Club Tours", "d:Description": "Tailor-made literary-themed short breaks for book clubs and special interest groups. Mainly UK groups but inquiries from overseas are welcome.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://bookclubtours.co.uk/"} +{"d:Title": "Lit Lovers", "d:Description": "A site for book discussion groups with reader guides, recommendations, free online lit courses, book club ideas and activities.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.litlovers.com/"} +{"d:Title": "SeniorLearn Book Discussions&Latin Classes", "d:Description": "Online book discussions in all genres. Readers' Guides are provided for selected books.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.seniorlearn.org/"} +{"d:Title": "Homing Books", "d:Description": "Share your book-reading experiences with people from all around the world by giving your books away and recording the book's path around the globe on this site.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://www.homingbooks.com/"} +{"d:Title": "Bookstr", "d:Description": "Book reviews and activities for site members.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "https://www.bookstr.com/"} +{"d:Title": "Literatoo", "d:Description": "Booksharing platform to lend and borrow books in your neighborhood.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://en.literatoo.com/"} +{"d:Title": "Lenro", "d:Description": "Find and meet book readers in your immediate neighborhood.", "topic": "Top/Arts/Literature/Reading_Groups", "url": "http://lenro.co/"} +{"d:Title": "BookCrossing", "d:Description": "Official site. Encourages readers to read, register, and release books for others to enjoy. Includes a way to track books released, add journal entries and reviews, and search for books in the wild.", "priority": "1", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://www.bookcrossing.com/"} +{"d:Title": "BookCrossing Meetup", "d:Description": "Search for groups of local BookCrossers who gather to meet monthly.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://bookcrossing.meetup.com/"} +{"d:Title": "RinaldiWeb's European BookCrossing Support", "d:Description": "Offers templates for labels, flyers, cards, and stamps. [Dutch, English, French, German, Italian, Portuguese, Spanish]", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://www.rinaldiweb.it/eurobc/"} +{"d:Title": "Nyisutter's BookCrossing Pages", "d:Description": "Includes a list of official crossing zones, BookCrossing-related sites, book-related geocaches, and the BookCrosser's current book rays. Also includes templates for labels, flyers, and bookmarks.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://www.angelfire.com/theforce/nyisutter/bookcrossing.html"} +{"d:Title": "TexasWren's BookCrossing Hints", "d:Description": "Extensive FAQ, forum, list of BookCrossers' birthdays, special day calendar for themed release ideas, and a list of favorite books.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://www.texaswren.com/"} +{"d:Title": "The Wheelbarrow Project", "d:Description": "Weblog about a project to leave a wheelbarrow full of books in conspicuous places.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://www.thewheelbarrow.blogspot.com/"} +{"d:Title": "Yahoo! Groups: BookCrossing Australia", "d:Description": "Resources and discussion for Australian bookcrossers.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://groups.yahoo.com/group/BCAUS/"} +{"d:Title": "Yahoo! Groups: Malaysian BookCrossers", "d:Description": "Mailing list for BookCrossers in Malaysia.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://groups.yahoo.com/group/malaysian-bookcrossers/"} +{"d:Title": "Yahoo! Groups: BookCrossing UK", "d:Description": "Mailing list for BookCrossers in the United Kingdom.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://uk.groups.yahoo.com/group/BookCrossingUK/"} +{"d:Title": "Yahoo! Groups: BookCrossing Reading Group", "d:Description": "Mailing list for reading and discussion group of BookCrossers.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://groups.yahoo.com/group/BookCrossing_Reading_Group/"} +{"d:Title": "Bookcrossing Journal", "d:Description": "An online journal to record readings, mainly of books received from Bookcrossing.com. Contains reading lists, reviews, news.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://bookmaniac70.blogspot.com/"} +{"d:Title": "Yahoo! Groups: Bookcrossing Ireland", "d:Description": "Mailing list for BookCrossers in Ireland.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://groups.yahoo.com/group/bookcrossingie/"} +{"d:Title": "BookCrossing Wishes", "d:Description": "Wish list that BookCrossers can use to track the books they want. From cliff1976.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://bcwish.cliff1976.net/"} +{"d:Title": "Book Obsessed", "d:Description": "Various BookCrossing related utilities, including other ways to trade books.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://bookobsessed.com/"} +{"d:Title": "My BookCrossing labels", "d:Description": "BookCrossing labels from Myranya, available in English and Dutch.", "topic": "Top/Arts/Literature/Reading_Groups/BookCrossing", "url": "http://myranya.home.xs4all.nl/labels.html"} +{"d:Title": "Oxford Reading Group", "d:Description": "Oxfordshire-based book group who meet monthly to discuss literature (and other things). Site contains details of books read so far, links and details about how to join.", "topic": "Top/Arts/Literature/Reading_Groups/Europe", "url": "http://www.oxfordreadinggroup.org.uk/"} +{"d:Title": "Wimbledon Book Club - MeetUp", "d:Description": "A reading group that meets in Wimbledon, SW19 Merton South London, on the first and third Mondays of the month.", "topic": "Top/Arts/Literature/Reading_Groups/Europe", "url": "http://www.meetup.com/wimbledon-Book-Club/"} +{"d:Title": "Books on the Broad Reading Group", "d:Description": "The reading group meets in Blackwell's bookshop on Broad Street, Oxford, to discuss works of fiction. Newsletter and convention.", "topic": "Top/Arts/Literature/Reading_Groups/Europe", "url": "http://booksonthebroad.googlepages.com/"} +{"d:Title": "Canadian Book Clubs", "d:Description": "Provides information on starting or joining a book club, sample discussion questions, tips, and a discussion forum. Register your book club for free or read about other clubs in Canada.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/Canada", "url": "http://www.canadianbookclubs.com/"} +{"d:Title": "The National Reading Campaign", "d:Description": "Readers, writers, educators , librarians, parents, young people, and book and magazine publishers talk about developing reading in Canada.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/Canada", "url": "http://www.nationalreadingcampaign.ca/"} +{"d:Title": "The Toronto Canadian Authors Readers Group - Meetup", "d:Description": "Dedicated to reading books written by Canadian authors. Books will be chosen in advance.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/Canada", "url": "http://www.meetup.com/The-Toronto-Canadian-Authors-Readers-Group/"} +{"d:Title": "The Emily Chesley Reading Circle", "d:Description": "Includes the history, literature, science and speculative fiction, parody and humor of Emily Chesley and other writers of her era.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/Canada", "url": "http://markarayner.com/emily/"} +{"d:Title": "100 Novels that Make you Proud to be Canadian - CBC", "d:Description": "CBC Books considered cultural impact, critical reception and reader response while making this list. The authors all call or once called Canada home.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/Canada", "url": "http://www.cbc.ca/books/books100.html"} +{"d:Title": "Canadian Content Group - GoodReads", "d:Description": "A group to talk about books written by Canadian authors This group has read: The Diviners, Green Grass, Running Water, and Mercy Among the Children.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/Canada", "url": "https://www.goodreads.com/group/show/182921-canadiancontent"} +{"d:Title": "Scholastic Canada | Reading Club", "d:Description": "Information for teachers and parents.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/Canada", "url": "http://www.scholastic.ca/clubs/"} +{"d:Title": "Booker Tea Reading Group", "d:Description": "Monthly meetings in Washington D.C. to discuss literature on contemporary political issues. Includes profile, reading list, archive of past titles and details of local booksellers.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/United_States", "url": "http://www.thebookertea.com/"} +{"d:Title": "Biblion Boys' Club", "d:Description": "Club meets about once a month in Cedar Rapids, Iowa to discuss a book.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/United_States", "url": "http://hokiepokie.org/bbc"} +{"d:Title": "Houston Book Club", "d:Description": "They discuss books and share life events, interests, and concerns. Monthly meetings.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/United_States", "url": "http://www.houstonbookclub.com/"} +{"d:Title": "Fellowship of Book Goddesses", "d:Description": "Group of Friends that meets every month in Edmonds, WA for a good laugh and discussion on a good piece of literature. There is no shortage of discussion or laughter.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/United_States", "url": "http://home.earthlink.net/~djebegeman/bc/"} +{"d:Title": "Redlands Fortnightly Club", "d:Description": "Founded in 1895, the Redlands Fortnightly Club is the oldest continuously-meeting literary group in California. Listing of all papers and all members. Full text of more than 70 papers on varied subjects.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/United_States", "url": "http://www.redlandsfortnightly.org/"} +{"d:Title": "Mostly, We Eat", "d:Description": "Book club in New Jersey that meets over great meals. Book reviews, reading lists, great book related links, excerpts of extensive press coverage.", "topic": "Top/Arts/Literature/Reading_Groups/North_America/United_States", "url": "http://www.mostlyweeat.org/"} +{"d:Title": "Penguin Classics", "d:Description": "Reading guides for Penguin classics, including teachers' guides and suggestions for starting reading groups.", "topic": "Top/Arts/Literature/Reading_Groups/Publisher's_Reading_Group_Pages", "url": "http://www.penguinclassics.com/"} +{"d:Title": "Houghton Mifflin Reader's Guides", "d:Description": "Reader's Guides for books published by the Trade and Reference Division of Houghton Mifflin. Printer friendly versions available.", "topic": "Top/Arts/Literature/Reading_Groups/Publisher's_Reading_Group_Pages", "url": "http://www.houghtonmifflinbooks.com/readers_guides/"} +{"d:Title": "ReadingGroupGuides.com", "d:Description": "Complete reading group resource - provides nearly 1,000 guides from a variety of publishers, searchable by author, title and subject. Separate sites for kids and teens.", "topic": "Top/Arts/Literature/Reading_Groups/Publisher's_Reading_Group_Pages", "url": "http://readinggroupguides.com/"} +{"d:Title": "Norton Reading Group Guides", "d:Description": "Online general interest reading group guides from W.W. Norton and Company.", "topic": "Top/Arts/Literature/Reading_Groups/Publisher's_Reading_Group_Pages", "url": "http://www.wwnorton.com/trade/rgg.htm"} +{"d:Title": "Ballantine Reader's Circle", "d:Description": "Featured reading groups selections, tips for reading groups, and e-mail newsletter subscription.", "topic": "Top/Arts/Literature/Reading_Groups/Publisher's_Reading_Group_Pages", "url": "http://www.randomhouse.com/BB/read/"} +{"d:Title": "BookBrowse: Reading Group Guides", "d:Description": "Reading Group Guides for many of the best recent books. New guides added weekly. Plus an excerpt of each book, reviews, interviews, author biographies and book jacket summaries.", "topic": "Top/Arts/Literature/Reading_Groups/Publisher's_Reading_Group_Pages", "url": "http://www.bookbrowse.com/reading_guides/"} +{"d:Title": "Vintage Books and Anchor Books Reading Group Center", "d:Description": "Reading group guides for every interest: from fiction to memoirs, award winners to bestsellers. Fully searchable.", "topic": "Top/Arts/Literature/Reading_Groups/Publisher's_Reading_Group_Pages", "url": "http://knopfdoubleday.com/reading-group-center/"} +{"d:Title": "Msichana Rafiki Literary Club", "d:Description": "Literary Club, recommendations of books by great black writers, links to a webring", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://msichana.freeservers.com/"} +{"d:Title": "Sisters and Brothers of Hotlanta Book Club", "d:Description": "Literary Discussion group to heighten awareness of issues of importance to the black community", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://www.sistersbrothers.com/"} +{"d:Title": "African American Literature BookClub", "d:Description": "An African American literary book club that allows the websurfer to participate online. Guidance for discussion groups offered also.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://aalbc.com/"} +{"d:Title": "The Literary Club(TLC)", "d:Description": "Monthly literary discussion group in the Chicago area, promoting African-American Authors of Fiction and Non-Fiction Works.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://www.theliteraryclub.org/"} +{"d:Title": "Sister Sippin Tea Bookclub Houston Chapter", "d:Description": "African-American women's bookclub located throughout the U.S. This webpage is for the Houston, Texas Chapter.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://sisterssippintea.tripod.com/"} +{"d:Title": "Isis Collective Book Circle", "d:Description": "Women of color group, based in Washington, D.C., USA. Includes author, book and event information.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://www.isiscollective.bravepages.com/"} +{"d:Title": "The Sophisticated Souls of Learning Book Club", "d:Description": "This club is located in Memphis, Tn. It was established in 2001. It consists of ten members who all share a love of reading. Membership is always opened.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://sophisticatedsouls.tripod.com/"} +{"d:Title": "SciFiNoir Literature Club", "d:Description": "A club for those who love science fiction, horror, Afrofuturism, fantasy and other forms of speculative fiction and how it relates to people of color.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://groups.yahoo.com/group/SciFiNoir_Lit/"} +{"d:Title": "IMANI Book Club", "d:Description": "This is a group of African American Women seeking faith which is embodied in their beauty, power, strength and confidence bonding through the written words of African American Authors. This site highlights their works, club information, reading syllabus, and other interesting information for lovers of Black literature.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "http://www.imanivoices.com/"} +{"d:Title": "Mahogany Book Club", "d:Description": "An African-American reading group on GoodReads.", "topic": "Top/Arts/Literature/Reading_Groups/Readers_of_Black_Literature", "url": "https://www.goodreads.com/group/show/5594-mahogany-book-club"} +{"d:Title": "Book Group.info", "d:Description": "UK site providing reviews and recommendations, a book group directory and forums.", "topic": "Top/Arts/Literature/Reading_Groups/Resources", "url": "http://www.bookgroup.info/"} +{"d:Title": "Reader's Circle", "d:Description": "Book club networking directory with listings for local groups, author events, and phone chats.", "topic": "Top/Arts/Literature/Reading_Groups/Resources", "url": "http://www.readerscircle.org/"} +{"d:Title": "Book Movement", "d:Description": "Offers tools for managing a book club, including reminder e-mails, a forum, and a library of reading guides.", "topic": "Top/Arts/Literature/Reading_Groups/Resources", "url": "http://www.bookmovement.com/"} +{"d:Title": "Whichbook", "d:Description": "Gives readers an intuitive way to find books to match their mood. Using innovative software enables the choice of book to start from the individual reader and what he/she is looking for.", "topic": "Top/Arts/Literature/Reading_Groups/Resources", "url": "http://www.openingthebook.com/whichbook/"} +{"d:Title": "Sammath Naur, the Hawaii Mythopoeic Society", "d:Description": "Affiliated with the National Mythopoeic Society. Book discussions are held monthly.", "topic": "Top/Arts/Literature/Reading_Groups/Science_Fiction_and_Fantasy", "url": "http://bookgroup.pulelehuadesign.com/"} +{"d:Title": "Denver Science Fiction&Fantasy Book Club", "d:Description": "SF book reading and discussion group meeting twice monthly in Denver, Colorado. Web site contains pages on books and authors.", "topic": "Top/Arts/Literature/Reading_Groups/Science_Fiction_and_Fantasy", "url": "http://www.denversfbookclub.com/"} +{"d:Title": "The Science Fiction Book Club", "d:Description": "Meets in central London (England) on the 2nd and 4th Mondays of the month. Open to people who enjoy discussing the themes and issues found in science fiction novels.", "topic": "Top/Arts/Literature/Reading_Groups/Science_Fiction_and_Fantasy", "url": "http://www.meetup.com/The-Science-Fiction-Book-Club/"} +{"d:Title": "SciFi and Fantasy Book Club - GoodReads", "d:Description": "Web group with a podcast. Reading list on the site.", "topic": "Top/Arts/Literature/Reading_Groups/Science_Fiction_and_Fantasy", "url": "https://www.goodreads.com/group/show/1865-scifi-and-fantasy-book-club"} +{"d:Title": "Nashville Science Fiction Book Club - GoodReads", "d:Description": "Book club for fans of science fiction in the Nashville area.", "topic": "Top/Arts/Literature/Reading_Groups/Science_Fiction_and_Fantasy", "url": "https://www.goodreads.com/group/show/81368-nashville-science-fiction-book-club"} +{"d:Title": "The Fantasy Book Club", "d:Description": "Meets in central London (England) on the third Tuesday of the month. Open to people who enjoy discussing the themes and issues found in fantasy novels.", "topic": "Top/Arts/Literature/Reading_Groups/Science_Fiction_and_Fantasy", "url": "http://www.meetup.com/Fantasy-Book-Club/"} +{"d:Title": "Ultimate Fantasy Books", "d:Description": "Paranormal, fantasy, dystopia and romance readers, writers and reviewers meeting through GoodReads.", "topic": "Top/Arts/Literature/Reading_Groups/Science_Fiction_and_Fantasy", "url": "http://www.ultimatefantasybooks.com/"} +{"d:Title": "The Listology", "d:Description": "A user-contributed, searchable collection of book lists.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.listology.com/"} +{"d:Title": "berniE-zine: Book Reviews&More", "d:Description": "A monthly online zine featuring fiction and poetry reviews, literary journals, fiction and non-fiction. Also features links to sites of literary interest.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://rantsravesreviews.homestead.com/"} +{"d:Title": "Washingtonpost.com: Style Chapter One", "d:Description": "A continually updated list of reviews by The Washington Post alongside the first chapters of each book as a preview.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.washingtonpost.com/wp-srv/style/books/chapterone.htm"} +{"d:Title": "BookBrowse: Your Guide to Exceptional Books", "d:Description": "An online magazine that includes reviews, \"beyond the book\" articles, read-alikes, and browsing by genre, time period, setting and theme.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.bookbrowse.com/"} +{"d:Title": "Blether", "d:Description": "Short reviews of recent fiction and non-fiction from a group of dedicated readers. The site also features discussion and an archive.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.blether.com/"} +{"d:Title": "Curled Up with a Good Book", "d:Description": "Book reviews and recommendations for new and backlist nonfiction and literary, mainstream and genre fiction.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.curledup.com/"} +{"d:Title": "Complete Review", "d:Description": "\"A Literary Saloon and Site of Review.\" Includes brief reviews of a wide variety of books (which are also rated using \"A+ through F\" grades), with links to other off-site, online reviews of the same given book.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.complete-review.com/"} +{"d:Title": "The Compulsive Reader", "d:Description": "Features reviews of literary fiction, author interviews, profiles, monthly contests, and literary criticism.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.compulsivereader.com/"} +{"d:Title": "Nabou.com - Book Reviews", "d:Description": "Book reviews of selected classic and new titles.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://bookreviews.nabou.com/"} +{"d:Title": "Necessary Prose", "d:Description": "Reviews, essays, and writing on the crux of the Bible and Western European Literature.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.necessaryprose.com/"} +{"d:Title": "BookSpot.com Newsletter", "d:Description": "Monthly newsletter features sites for book reviews, reading lists, bestseller lists, and author and publisher information.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.bookspot.com/newsletter.htm"} +{"d:Title": "Cambridge History of English and American Literature", "d:Description": "Online publication of classic eighteen-volume work of English and American literary history and criticism.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.bartleby.com/cambridge"} +{"d:Title": "Beatrice", "d:Description": "Ron Hogan interviews leading authors of contemporary literature.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.beatrice.com/"} +{"d:Title": "Book Lore", "d:Description": "A non-commercial UK book review site featuring capsule reviews of popular titles.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.booklore.co.uk/"} +{"d:Title": "goodreports.net", "d:Description": "Book site featuring reviews, news, and interviews from critic Alex Good.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.goodreports.net/"} +{"d:Title": "Book-A-Minute", "d:Description": "Humorous lampooning of popular books via ultra-condensation.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://rinkworks.com/bookaminute/"} +{"d:Title": "MyShelf.Com", "d:Description": "Book reviews, interviews with authors, top ten monthly reads, editorials, and monthly contests.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://myshelf.com/"} +{"d:Title": "TheBookHaven.net", "d:Description": "Reviews, links and news about books and writing.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.thebookhaven.net/"} +{"d:Title": "Realm Book Reviews", "d:Description": "Book review site covering all genres of literature, along with author interviews, recommendations, and bestseller lists.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.angelfire.com/realm/bookreviews/index.html"} +{"d:Title": "Tracy's Book Nook", "d:Description": "Contains some short and some in-depth reviews of popular Christian fiction, children's books, and parenting books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.tracysbooknook.com/"} +{"d:Title": "Bookreporter.com", "d:Description": "Dedicated to book lovers and writers. Forums, author interviews, book reviews, reading group guides, and commentary all can be found here. New releases and perennial favorites.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.bookreporter.com/"} +{"d:Title": "Just Book Reviews", "d:Description": "Non-commercial online journal of book reviews by academics, as well as author interviews. Reviews and books for review accepted.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.justbookreviews.net/"} +{"d:Title": "Bookslut", "d:Description": "Includes feature stories, author interviews, reviews, columns, and a weblog of book-related content.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.bookslut.com/"} +{"d:Title": "English Literary Criticism", "d:Description": "Project Gutenberg etext of the book by Charles Edwyn Vaughan.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=6320"} +{"d:Title": "Bookz World", "d:Description": "Reviews, commentary, and cover art of popular young adult and teen books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://bookzworld.tripod.com/"} +{"d:Title": "Online Review of Books and Current Affairs", "d:Description": "Focuses on reviewing independently published books. Includes reports on current affairs.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.onlinereviewofbooks.com/"} +{"d:Title": "The Book Review Blog", "d:Description": "Includes commentary on comics, journals, novels, handbooks, and dictionaries.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://bookreviewblog.blogspot.com/"} +{"d:Title": "Gumby's Bookreviews Index", "d:Description": "A complete index with links to Gumby's bookreviews. All kinds of books much fantasy/sf-books, but also other kinds of books. (Computing and other topics). Also points to other books you might like if you enjoy a certain author.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.edlin.org/reviews/index.html"} +{"d:Title": "The Harvard Book Review", "d:Description": "Harvard University journal features over 30 reviews and original art by Harvard undergraduates. Reviews include poetry, history, fiction and satire.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.hcs.harvard.edu/~hbr/"} +{"d:Title": "Reviews of Scientific and Academic Books", "d:Description": "Reviews technical titles in professional and academic fields.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://wordtrade.com/"} +{"d:Title": "Electronic book review", "d:Description": "Generally interested in promoting literary innovation on the Internet and reviewing books that address the electronic future of fiction, poetry, criticism, and the visual arts.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.electronicbookreview.com/"} +{"d:Title": "Wordcandy", "d:Description": "A cyberspace lovesong to the best of popular fiction and other odds and ends, offering book and author reviews, top-ten lists, and a forum for book-related ranting.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.wordcandy.net/"} +{"d:Title": "One-Minute Book Reviews", "d:Description": "Short reviews by Janice Harayda, former book editor of The Cleveland Plain Dealer.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.oneminutebookreviews.wordpress.com/"} +{"d:Title": "Booked", "d:Description": "Weekly podcast of reviews, discussions, author interviews and book recommendations.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://www.bookedpodcast.com/"} +{"d:Title": "Crowyne's Reading Room", "d:Description": "\"Home of the Society of Aspiring Writers (SAW), site also includes book reviews, Women in Mythology project, Legenda listing of online articles (regularly updated), a Writer's Toolkit, and occasional commentary and musings.\"", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://crowyne-ivil.tripod.com/indexa.html"} +{"d:Title": "culturevulture.net", "d:Description": "Reviews of select books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism", "url": "http://culturevulture.net/category/books-cds/"} +{"d:Title": "The Essay And The Beginning Of Modern English Prose", "d:Description": "Article by A.A. Tilley about the rise of English literature.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors", "url": "http://www.ourcivilisation.com/smartboard/shop/tilleyaa/index.htm"} +{"d:Title": "A Year With Yvor Winters", "d:Description": "A detailed introduction to the writings and critical thought of the controversial American poet and critic, Yvor Winters.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors", "url": "http://www.msu.edu/user/kilpela/ywywint.htm"} +{"d:Title": "Landow, George", "d:Description": "Early and influential theorist. List of academic activities and publications, some available online.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors", "url": "http://www.victorianweb.org/cv/landow_ov.html"} +{"d:Title": "Cleanth Brooks and Robert Penn Warren", "d:Description": "Excerpts from Understanding Poetry: An Anthology", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors", "url": "http://www.writing.upenn.edu/~afilreis/50s/understanding-poetry.html"} +{"d:Title": "Spender, Stephen", "d:Description": "Links to reviews and articles published by the critic for the New York Review of Books, plus a bibliography of his books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors", "url": "http://www.nybooks.com/contributors/stephen-spender/"} +{"d:Title": "Steel, Ronald", "d:Description": "Links to reviews and articles published by the critic for the New York Review of Books, plus a bibliography of his books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors", "url": "http://www.nybooks.com/contributors/ronald-steel/"} +{"d:Title": "I. F. Stone", "d:Description": "Links to reviews and articles published by the critic for the New York Review of Books, plus a bibliography of Stone's books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors", "url": "http://www.nybooks.com/contributors/if-stone/"} +{"d:Title": "Mimetic Polemicism: Girard and Bloom", "d:Description": "A review essay.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors/Bloom,_Harold", "url": "http://www.anthropoetics.ucla.edu/AP0201/bloom.htm"} +{"d:Title": "The New York Times: Harold Bloom", "d:Description": "Archive of articles and essays by or about Bloom.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors/Bloom,_Harold", "url": "http://www.nytimes.com/books/98/11/01/specials/bloom.html"} +{"d:Title": "Stanford Presidential Lectures: Harold Bloom", "d:Description": "Includes biography, bibliography, several interviews, links, and lecture schedule.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors/Bloom,_Harold", "url": "http://prelectur.stanford.edu/lecturers/bloom/"} +{"d:Title": "Robert Teeter: The Western Canon by Harold Bolom", "d:Description": "Harold Bloom's Great Books lists from the appendices of his book: The Theocratic Age 2000 BCE-1321 CE, The Aristocratic Age 1321-1832, The Democratic Age 1832-1900, The Chaotic Age 20th Century.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors/Bloom,_Harold", "url": "http://www.interleaves.org/~rteeter/grtbloom.html"} +{"d:Title": "The New York Review of Books: Harold Bloom", "d:Description": "Bibliography of books and articles by Harold Bloom.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors/Bloom,_Harold", "url": "http://www.nybooks.com/contributors/harold-bloom/"} +{"d:Title": "Infography about Sontag, Susan (1933- )", "d:Description": "Books and articles recommended by a professor who specializes in the study of Susan Sontag.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors/Sontag,_Susan", "url": "http://www.infography.com/content/558161876424.html"} +{"d:Title": "Sontag, Susan", "d:Description": "Links to reviews and articles published by the author in the New York Review of Books, plus a bibliography of her books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Authors/Sontag,_Susan", "url": "http://www.nybooks.com/contributors/susan-sontag/"} +{"d:Title": "Complete Review - Book Review Sites", "d:Description": "International list includes short descriptions of each source.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Directories", "url": "http://www.complete-review.com/links/links.html#linksb"} +{"d:Title": "Powells.com: Review-a-Day", "d:Description": "Collection of reviews from well-known sources: The Atlantic Online, Esquire, The New Republic, Salon.com, and Powell's own staff. Daily updates.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Directories", "url": "http://www.powells.com/review"} +{"d:Title": "The Midwest Book Review", "d:Description": "Numerous book reviews published monthly, along with information for book lovers, writers and publishers", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.midwestbookreview.com"} +{"d:Title": "Arts and Letters Daily", "d:Description": "News and reviews from the world of letters. Includes current issue and archives. Published by the Chronicle of Higher Education.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://aldaily.com/"} +{"d:Title": "Booklist", "d:Description": "Magazine includes pre-publication reviews of adult, children's and reference books plus author interviews, essays, columns and other features from the American Library Association (ALA). Full index.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.booklistonline.com/"} +{"d:Title": "BookPage", "d:Description": "Online companion to monthly book review publication. Contains interviews, reviews and features from the print edition, along with web-exclusive content and a review archive.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.bookpage.com/"} +{"d:Title": "New York Times Books", "d:Description": "Reviews, features, author interviews and book excerpts from the national daily and the Sunday book review. Registration required.", "priority": "1", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.nytimes.com/pages/books/index.html"} +{"d:Title": "January Magazine", "d:Description": "Reviews of sci-fi, mystery, mainstream novels, cookbooks, children's books, and non-fiction.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.januarymagazine.com/index.html"} +{"d:Title": "R.A.L.P.H.: The Review of Arts, Literature, Philosophy, and the Humanities", "d:Description": "The online reincarnation of the storied Fessenden Review.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.ralphmag.org/"} +{"d:Title": "Tapestry", "d:Description": "The literary section of Women Online Worldwide (WOW) features reviews, fiction and poetry.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.wowwomen.com/tapestry/"} +{"d:Title": "London Review of Books", "d:Description": "Literary review publishing essay-length book reviews and topical articles on politics, literature, history, philosophy, science and the arts by leading writers and thinkers.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.lrb.co.uk/"} +{"d:Title": "The New York Review of Books", "d:Description": "The online version of the biweekly book review and journal of intellectual currents.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.nybooks.com/"} +{"d:Title": "Rain Taxi", "d:Description": "Quarterly publication which reviews literary fiction, poetry, and nonfiction. The print and online version have different content. Current issue, archives, submission guidelines, and subscription services.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.raintaxi.com/"} +{"d:Title": "Library Journal", "d:Description": "Breaking news, features, pre-publication reviews and publishing announcements from a journal for professional librarians. USA.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.libraryjournal.com/"} +{"d:Title": "Alternative Culture Magazine", "d:Description": "This site reviews Native American, outdoors and literary titles and reading recommendations with links to online booksellers.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.alternativeculture.com/books/"} +{"d:Title": "Complete Review Quarterly", "d:Description": "A literary saloon and site of review.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.complete-review.com/quarterly"} +{"d:Title": "BC BookWorld", "d:Description": "British Columbia's guide to authors and publishing is an unbiased, review periodical in a populist tab format.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.bcbookworld.com/"} +{"d:Title": "Literary Review", "d:Description": "Founded in 1979, a literary magazine reviewing both fiction and non-fiction. Famous for the Bad Sex in Fiction Award and Auberon Waugh's editorship.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.literaryreview.co.uk/"} +{"d:Title": "Biblio: A Review Of Books", "d:Description": "Current and past articles, contents of next issue. Owned and published by the Biblio Charitable Trust, a non-profit organization which attempts to upgrade the social and cultural environment of India and South Asia by raising the awareness of literature, arts and ideas, and by creating an intellectual forum for discussion beyond partisan and national boundaries.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.biblio-india.org/"} +{"d:Title": "Los Angeles Review of Books", "d:Description": "A West Coast literary review journal covering the American and international book scenes.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://lareviewofbooks.org/"} +{"d:Title": "Berfrois", "d:Description": "Literary-intellectual online magazine updated daily with articles, links and curiosities.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.berfrois.com/"} +{"d:Title": "The Plain Dealer Books", "d:Description": "Reviews and literary news from the pages of The Plain Dealer and online book forum.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.cleveland.com/books/"} +{"d:Title": "The Barnes&Noble Review", "d:Description": "Literary reviews, interviews, features as well as reviews of DVDs and music.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://bnreview.barnesandnoble.com/"} +{"d:Title": "New York Journal of Books", "d:Description": "Book reviews of fiction and nonfiction books for adults and children covering wide array of genres and topics. Related content, such as book trailers, author interviews and excerpts. Most reviews published at midnight on date of release.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.nyjournalofbooks.com/"} +{"d:Title": "San Francisco Chronicle Book Review", "d:Description": "Reviews, best seller lists, and literary events.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.sfgate.com/books"} +{"d:Title": "Independent.co.uk: Books", "d:Description": "Features news, book reviews, features and author interviews from the British newspaper.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.independent.co.uk/arts-entertainment/books"} +{"d:Title": "Atlantic Unbound: Books", "d:Description": "Book reviews, features and author interviews from the Atlantic Monthly.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.theatlantic.com/books"} +{"d:Title": "Affaire de Coeur Magazine", "d:Description": "Offers book reviews in all genres including romance, contemporary, historical, paranormal, suspense, mystery, science fiction, fantasy, and erotica.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.adcmagazine.com/"} +{"d:Title": "Choice Magazine", "d:Description": "Reviews of scholarly books for academic libraries from the American Library Association.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.ala.org/acrl/choice/home"} +{"d:Title": "English Kills Review", "d:Description": "Reviews of book readings in New York City, reviews of books, and author events around New York City.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://englishkillsreview.com/"} +{"d:Title": "Chicago Tribune Book Reviews", "d:Description": "The daily and Sunday book reviews from the Chicago daily.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.chicagotribune.com/features/books/"} +{"d:Title": "Australian Book Review", "d:Description": "Selected articles from present and past issues.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "https://www.australianbookreview.com.au/"} +{"d:Title": "Innovative Fiction Magazine", "d:Description": "Poetry, Dada, surrealism and theory. Reviews of James Joyce, Anais Nin, Henry Miller, Andre Breton, Marc Saporta, Claude Simon, Christine Brooke-Rose, Ronald Sukenick, Raymond Federman, and David Detrich.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.innovative-fiction-magazine.com/"} +{"d:Title": "Christian Science Monitor: Books", "d:Description": "An array of book reviews and features from the national newspaper.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.csmonitor.com/Books?nav=88-csm_category-headerNav"} +{"d:Title": "Guardian Unlimited Books", "d:Description": "News and reviews, critics, authors, first chapters, Top 10s, bestsellers, talk board and games. Offers special sections by genre and full archives.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.theguardian.com/books"} +{"d:Title": "Self Publishing Review", "d:Description": "Book reviews for indie authors, editing, and book promotion and marketing.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.selfpublishingreview.com/"} +{"d:Title": "Bookforum", "d:Description": "Reviews of the latest titles, author interviews, and commentary. Archive of past issues, subscription form, and advertising information.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.bookforum.com/"} +{"d:Title": "Los Angeles Times Book Review", "d:Description": "Reviews, features and event listings from the West Coast daily newspaper.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.latimes.com/books/"} +{"d:Title": "Seattle Post-Intelligencer: Books", "d:Description": "Book reviews and literary features from the daily newspaper. USA.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.seattlepi.com/entertainment/books/"} +{"d:Title": "Salon Books", "d:Description": "The literary section of Salon features book reviews, interviews, columnists and publishing news.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.salon.com/topic/books/"} +{"d:Title": "Hollins University: The Hollins Critic", "d:Description": "Journal published five times a year presenting surveys of the entire body of the works of contemporary writers and brief reviews of books and poetry.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Magazines_and_Newspapers", "url": "http://www.hollins.edu/who-we-are/news-media/hollins-critic/"} +{"d:Title": "Notes in the Margin", "d:Description": "Book reviews for real people, by a real person.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.notesinthemargin.org/"} +{"d:Title": "Anthony Campbell's Book Reviews", "d:Description": "Over 120 book reviews on a wide range of subjects in science and the humanities.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.acampbell.org.uk/bookreviews/"} +{"d:Title": "Mal's Book Reviews", "d:Description": "Reviews of current, popular books. Also online games, free icons and banners, web awards, and horoscopes.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://angelfire.com/grrl/bookreviewsmal"} +{"d:Title": "Strange and Wonderful Books", "d:Description": "Books about the mysterious which can change a person's life.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.cartania.com/strangebooks.html"} +{"d:Title": "The Internet Junkie", "d:Description": "Reviews of books, book series, and comic books as seen through the eyes of a collector from Ohio.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.angelfire.com/realm/cwalsh/junk.html"} +{"d:Title": "Connie Martinson Talks Books", "d:Description": "Based on the oldest author interview show on national cable television. Includes interviews, reviews, and author comments.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.conniemartinson.com/"} +{"d:Title": "Steven C. Scheer's Web of Words", "d:Description": "Essays on literature, critical theory, writing, and movies.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.stevencscheer.com/"} +{"d:Title": "Remarkable Book Reviews", "d:Description": "Reviews by Cheval of books of all genres.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.angelfire.com/ga/jewliet/bookreviews.html"} +{"d:Title": "Virtual Marginalia", "d:Description": "Book reviews and ratings, along with publishing news and information.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.papaya-palace.com/katbooks/?"} +{"d:Title": "Fictionlover's Paige", "d:Description": "Reviews of novels for the purpose of sharing great reading experiences.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://fictionlover.tripod.com/"} +{"d:Title": "Bruce's Booklist", "d:Description": "Mystery, fantasy, fiction, and non-fiction recommendations, with brief plot synopses.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.booklist.org/"} +{"d:Title": "Literary Essays and Creative Writing by Robert Nagle", "d:Description": "Presents reviews of information technology and general books by a Texas writer, as well as essays on other subjects.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.imaginaryplanet.net/essays/literary/"} +{"d:Title": "Book Pleasures", "d:Description": "Presents book reviews and author interviews by Norm Goldman, a retired Canadian lawyer. Includes instructions for submitting books for review.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.bookpleasures.com/"} +{"d:Title": "The Little Bookroom", "d:Description": "Current and archived book reviews in blog format, with an occasional digression.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.littlebookroom.blogspot.com/"} +{"d:Title": "Kymberlie McGuire's BiblioBlog", "d:Description": "Weblog with book reviews from a woman in Houston, Texas, USA.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.biblioblog.com/"} +{"d:Title": "The Brothers Judd", "d:Description": "Reviews of books of all genres, including the Modern Library Top 100, National Book Award winners, and current books. A complete directory of recommended authors and their works that have all been read by one or both of the Brothers Judd.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.brothersjudd.com/"} +{"d:Title": "Danny Yee's Book Reviews", "d:Description": "Offers book reviews on a variety of topics including zoology, physics, philosophy, religion, fiction and politics.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://dannyreviews.com/"} +{"d:Title": "David's Book Reviews", "d:Description": "Short reviews of books, mainly on philosophy, linguistics, history, languages, geography, travel, poetry, and art and literary criticism.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://good-books-bad-books.com/"} +{"d:Title": "The Pequod", "d:Description": "Literary criticism and reviews, as well as poetry, travel journals and creative writing.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.thepequod.org.uk/"} +{"d:Title": "Will's Book Blog", "d:Description": "Brief reviews of books read by Will Stuivenga, a librarian from Washington state. Much science fiction, some mysteries, some nonfiction. About one or two postings per week.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://tillabooks.blogspot.com/"} +{"d:Title": "E-Literacies", "d:Description": "Politexts, Hypertexts, and Other Cultural Formations in the Late Age of Print. Hypertextual essay by Nancy Kaplan.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.ibiblio.org/cmc/mag/1995/mar/kaplan.html"} +{"d:Title": "Online Essays by David Miall", "d:Description": "Mainly on Romantic texts and on hypertext.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.ualberta.ca/~dmiall/essays.htm"} +{"d:Title": "Sue's Book Reviews", "d:Description": "Fiction book reviews for children, teenagers, and adults. From a Christian homeschooling mom.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://suesbookreviews.blogspot.com/"} +{"d:Title": "I Eat Books", "d:Description": "A young bibliophile gives book reviews and occasional meal recommendations.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://ieatbooks.blogspot.com/"} +{"d:Title": "Classic Bitch", "d:Description": "Irreverent reviews of the Modern Library's 100 best English-language novels of the 20th century, in reverse order.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.classicbitch.com/"} +{"d:Title": "Armchair Traveller", "d:Description": "Sharing thoughts on travel books and travel writing.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://armchair-traveller.blogspot.com/"} +{"d:Title": "Jonas Book Reviews", "d:Description": "Capsule reviews with ratings and author information.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.kallman.info/books.htm"} +{"d:Title": "Epiphyte Reviews", "d:Description": "Short reviews, mainly of science fiction novels.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.epiphyte.net/SF/"} +{"d:Title": "Sexual Fables", "d:Description": "Alternative interpretations of sexuality in the literary and mythological canon.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://sexualfables.com/"} +{"d:Title": "Jim Trageser's Reading Diary and Book Reviews", "d:Description": "Trageser's reviews of recent fiction and non-fiction.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://trageser.com/books/"} +{"d:Title": "Norman Holland's Home Page", "d:Description": "Norman N. Holland's articles, autobiography, current seminars, activities", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.clas.ufl.edu/users/nholland/"} +{"d:Title": "Eclectic Closet: Random Thoughts&Ponderings", "d:Description": "A litblog dedicated to book reviews/recommendations, as well as literary and publishing news.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.eclecticcloset.ca/"} +{"d:Title": "Reading in Reykjav\u00edk", "d:Description": "Reading diary of abook lover planning to read a book a week for one year. Includes personal comments and reviews.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://52books.blogspot.com/"} +{"d:Title": "A Striped Armchair", "d:Description": "A Striped Armchair is hosted by Eva and she reviews books of various genres.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://astripedarmchair.wordpress.com/"} +{"d:Title": "Agrippina Legit - take a journey, read a book", "d:Description": "Book review site of an Anglo-Australian with a special interest in ancient Rome and 19th century England", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.taracalaby.com/"} +{"d:Title": "Parajunkee's View", "d:Description": "Parajunkee's View is another book blog where books are reviewed. She specializes in urban fantasy and science fiction novels.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.parajunkee.com/"} +{"d:Title": "BrownGirl Speaks", "d:Description": "BrownGirl Speaks is a blog that consists of three blogs. She has Book Speak where she reviews books and does question and answer sessions with authors, Homeschool Speak, and Random Speak.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://browngirlspeaks.com/"} +{"d:Title": "David Louis Edelman", "d:Description": "Book reviews, author interviews and other works by critic and journalist Dave Edelman.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.davidlouisedelman.com/blog/"} +{"d:Title": "My Friend Amy", "d:Description": "Delightful book reviewing blog that includes in depth reviews of books, author interviews and guest posts, and lots of interesting posts on life and tv.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.myfriendamysblog.com/"} +{"d:Title": "Drey's Library", "d:Description": "Wonderful book blog with a fresh young approach. Drey offers great book reviews along with quite a few giveaways, interviews and guest post on a regular basis.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://dreyslibrary.blogspot.com/"} +{"d:Title": "Booklover Book Reviews", "d:Description": "Reviews by a booklover with broad reading tastes - literature, mystery thrillers, adventure, contemporary women's fiction, classics and travel memoirs.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.bookloverbookreviews.com/"} +{"d:Title": "The Teen Bibliophile", "d:Description": "Reviews of books with an emphasis on YA books", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://teenbibliophile.blogspot.co.uk/"} +{"d:Title": "Bookmarked!", "d:Description": "This is the blog of a girl who loves books, and chronicles the books she reads, reading challenges she is attempting to conquer, and other adventures that her book obsession takes her.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://sumthinblue.com/"} +{"d:Title": "Pen to Paper", "d:Description": "Book review and giveaway site of a student of English with Creative Writing", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.pentopaperblog.com/"} +{"d:Title": "The Millions", "d:Description": "Weblog about books, reading, and the book industry. Readers can also \"ask a book question.\"", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "http://www.themillions.com/"} +{"d:Title": "Ellen And Jim Have A Blog, Two", "d:Description": "A blog on books, films, art, music, cultures.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Personal_Pages", "url": "https://ellenandjim.wordpress.com/"} +{"d:Title": "Building Rainbows", "d:Description": "User contributed reviews and discussion.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://www.buildingrainbows.com/"} +{"d:Title": "The Virtual Bookcase", "d:Description": "A site which collects user reviews of books and lets people browse those reviews to see what other people think.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://www.virtualbookcase.com/"} +{"d:Title": "The Assayer", "d:Description": "A site for user-contributed book reviews, with a special focus on reviewing free books. All the reviews are free information, meaning that they are copyrighted by their authors, but are available for free reading and copying under a licensing agreement.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://theassayer.org/"} +{"d:Title": "Tregolwyn Book Reviews", "d:Description": "Independent book review site offering priority to books from small publishers, self-publishers and other new authors.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://tregolwyn.tripod.com/"} +{"d:Title": "The Book Review Repository", "d:Description": "Allows weblog-authors to submit book-reviews automatically. The reviews are classified by genre.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://www.jacobsen.no/books/"} +{"d:Title": "ReadLiterature.com", "d:Description": "An online reading group, French-English discussion forum, and contributed reviews of literature from around the world.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://www.readliterature.com/"} +{"d:Title": "Reviewscout", "d:Description": "A place where people can read and share reviews on books.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://www.reviewscout.co.uk/"} +{"d:Title": "Reviewscout", "d:Description": "The newest book reviews from Reviewscout.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Reader-Submitted", "url": "http://www.reviewscout.co.uk/whats-new.rss"} +{"d:Title": "Illuminations", "d:Description": "Collection of articles, excerpts, and chapters from many contemporary writers of and about critical theory, firmly based in Frankfurt School thought.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory", "url": "http://www.uta.edu/huma/illuminations"} +{"d:Title": "Voice of the Shuttle: Literary Theory", "d:Description": "A directory of links to literary criticism and analysis on the Internet, mostly scholarly.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory", "url": "http://vos.ucsb.edu/browse.asp?id=2718"} +{"d:Title": "Approaches to Reading and Interpretation", "d:Description": "Overviews of the new criticism and formalism, traditional historicism, new historicism, reader-response criticism, psychoanalytical criticism, feminist literary criticism, structuralist criticism, and Marxist literary criticism.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory", "url": "http://www.assumption.edu/users/ady/HHGateway/Gateway/Approaches.html"} +{"d:Title": "Feminist Literary Criticism and Theory", "d:Description": "Literary genres, specific historical periods, pedagogical issues, politics and literature, and literary theory.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory", "url": "http://www.cddc.vt.edu/feminism/lit.html"} +{"d:Title": "Glossary of Literary Theory", "d:Description": "An index of brief explanations of critical terms. Hosted by the University of Toronto.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory", "url": "http://www.library.utoronto.ca/utel/glossary/headerindex.html"} +{"d:Title": "Criticism and Theory Resources", "d:Description": "Resource page hosted by the University of Toronto. Has an essay on the Postmodern by Linda Hutcheon, a glossary of literary theory, Hazlitt's essays on the characters of Shakespeare's plays, and Leslie Stephen on George Eliot.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory", "url": "http://www.library.utoronto.ca/utel/criticism.html"} +{"d:Title": "Introduction to Modern Literary Theory", "d:Description": "An index with brief explanations and bibliographies.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory", "url": "http://www.kristisiegel.com/theory.htm"} +{"d:Title": "ACM Special Interest Group for Hypertext and Hypermedia and the Web", "d:Description": "Host of annual, international conferences since 1987. Access to full papers from the conferences in the ACM digital library, in addition to newsletters and conference information.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.sigweb.org/"} +{"d:Title": "Indra's Net or Hologography", "d:Description": "Introduction to some of the work in 'machine modulated poetry' which John Cayley has been developing since the late 1970s.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.shadoof.net/in/"} +{"d:Title": "Hypertext Resources at Eastgate", "d:Description": "Resource list by specialists in hypertextual fiction and theory.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.eastgate.com/Hypertext.html"} +{"d:Title": "The Hypertext Kitchen", "d:Description": "Portal.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.hypertextkitchen.com/"} +{"d:Title": "BeeHive", "d:Description": "Hypertext and hypermedia literary journal.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://beehive.temporalimage.com/"} +{"d:Title": "Encyclopaedia and Hypertext", "d:Description": "Hypertext as an object of philosophical reflection. The three main research topics are From Encyclopaedia to Hypertext, Hypertext and Text Theory, and The Image Atlas of Aby Warburg.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.educ.fc.ul.pt/hyper/eng/"} +{"d:Title": "ht_lit Mailing List", "d:Description": "Instructions on how to join ht_lit, a low-traffic but valuable list a lot of hypertext theorists are members of.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.wordcircuits.com/dir/ht_lit.htm"} +{"d:Title": "Lisbeth Klastrup: A Study of Interactive Reading", "d:Description": "An MA thesis written in 1997 discussing, among other works, Joyce's afternoon, Moulthrop's Victory Garden and Gaarder's Sophie's World", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.itu.dk/people/klastrup/Disindex.html"} +{"d:Title": "alt.hypertext FAQ", "d:Description": "Answers to questions frequently asked in alt.hypertext and references to other online resources about hypertext and hypermedia.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.csd.uwo.ca/~jamie/hypertext-faq.html"} +{"d:Title": "Nestvold, Ruth: The use of the second person in electronic fiction", "d:Description": "Essay discussing second person narration in hypertext fiction and text adventures. First presented at the IALS conference in Freiburg in 1997.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.ruthnestvold.com/2ndper.htm"} +{"d:Title": "Weblog Kitchen: Hypertext Theory", "d:Description": "An entry point for discussion of hypertext theory, rhetoric, and related topics.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://weblogkitchen.com/wiki.cgi?HypertextTheory"} +{"d:Title": "Word Circuits: New Media Poetry", "d:Description": "Database of listings for hypertext, cybertext, and interactive literature.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://directory.wordcircuits.com/"} +{"d:Title": "Cyberspace, Hypertext,&Critical Theory", "d:Description": "Overview of interrelations between cyberspace and information technology on the one hand and critical theory and philosophy on the other.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://www.cyberartsweb.org/cpace/"} +{"d:Title": "The Electronic Labyrinth", "d:Description": "Both utilizes and focuses upon the medium of hypertext as it relates to literature and its concrete manifestations--from palimpsests to mechanically printed books to CD-ROMs -- throughout history to the present, with speculation on the future.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://elab.eserver.org/"} +{"d:Title": "Hipertulia", "d:Description": "Forum whose aim is to introduce hypertext and hyperfiction to the Spanish-speaking public. Includes some articles in English.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://pendientedemigracion.ucm.es/info/especulo/hipertul/indexeng.htm"} +{"d:Title": "The New Review of Hypermedia and Multimedia", "d:Description": "Archive of issues from this annual peer-reviewed review journal on the practical and theoretical developments in hypermedia and hypertext.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext", "url": "http://dblp.uni-trier.de/db/journals/hm/index.html"} +{"d:Title": "Bernstein, Mark", "d:Description": "Developer of hypertext software (notably Storyspace and Tinderbox) and hypertext theorist, publisher and editor. Weblog, links to publications.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.markbernstein.org/"} +{"d:Title": "Rosenberg, Jim", "d:Description": "Hypertext theorist and author of spatial hypertext poems. Links to both theory and artistic work, and a bibliography of offline work.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.well.com/user/jer"} +{"d:Title": "Cole, William", "d:Description": "Has researched hypertext in relation to the Talmud. Includes a CV and list of publications.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.donutage.org/bill/"} +{"d:Title": "Marshall, Cathy", "d:Description": "Developer, theorist and hypertext fiction author whose research is in the fields of annotation, spatial hypertext and e-books. Several publications available here.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.csdl.tamu.edu/~marshall/"} +{"d:Title": "Blustein, Jamie", "d:Description": "Scholarly resources on hypertext, including advice for students), publications, bookmark index, personal information, non-scholarly resources, off-line activities, address.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.csd.uwo.ca/~jamie/"} +{"d:Title": "Picot, Edward", "d:Description": "Articles about and criticism of hyperliterature and links to other related sites.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.edwardpicot.com/"} +{"d:Title": "Walker, Jill", "d:Description": "jill/txt is Jill Walker's weblog or research diary about hypertext fiction, electronic texts, net art, net literature, MUDs and MOOs, computer games and cyberculture.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://jilltxt.net/"} +{"d:Title": "Luesebrink, Marjorie (also known as M. D. Coverley)", "d:Description": "Hypertext theorist and author, whose site contains most of her fictional and theoretical work.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://califia.us/"} +{"d:Title": "Deena Larsen's Hypertext and Electronic Literature Corner", "d:Description": "General introduction, list of hypertexts categorized by the time needed to enjoy each one, recommended webzines, and Larsen's own hypertext fiction.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.deenalarsen.net/"} +{"d:Title": "Greco Josefowicz, Diane", "d:Description": "She has published hypertext fictions and theory, and has worked as a hypertext editor at Eastgate. Her weblog comments hypertext and related fields and has links to her other work.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://dianegreco.blogspot.com/"} +{"d:Title": "Bolter, Jay David", "d:Description": "Author of two influential books in hypertext theory: Writing Space (1991) and Remediation (1998, with Richard Grusin). Publications and teaching syllabi.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.jdbolter.net/"} +{"d:Title": "Johnson-Eilola, Johndan", "d:Description": "Recent publications and conference presentations, course notes, weblog.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Hypertext/Personal_Pages", "url": "http://www.johndan.com/"} +{"d:Title": "Image and Narrative", "d:Description": "An academic e-journal on theory and criticism of visual narrative.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Narrative", "url": "http://www.imageandnarrative.be/"} +{"d:Title": "Jahn, Manfred", "d:Description": "Abstracts and articles on narratology and literature, including a guide to the theory of narrative.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Narrative", "url": "http://www.uni-koeln.de/~ame02/"} +{"d:Title": "Liquid Narrative", "d:Description": "Research group which aims to create computational models and applications of narrative interaction.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Narrative", "url": "http://liquidnarrative.csc.ncsu.edu/"} +{"d:Title": "NarrNet", "d:Description": "Information network for narratologists: about seminars, research groups and their projects, a mailing list, bibliography, and links.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Narrative", "url": "http://www.narratology.net/"} +{"d:Title": "The Narrative Act: Wittgenstein and Narratology", "d:Description": "In this article, Henry McDonald develops distinctions between aspects of narrative, drawing on the work of the later Wittgenstein.", "topic": "Top/Arts/Literature/Reviews_and_Criticism/Theory/Narrative", "url": "http://www.pum.umontreal.ca/revues/surfaces/vol4/mcdonald.html"} +{"d:Title": "Forming Connections and Awakening Visions", "d:Description": "A guide to using short story collections in the classroom, by Teri S. Lesesne.", "topic": "Top/Arts/Literature/Short_Stories", "url": "http://scholar.lib.vt.edu/ejournals/ALAN/spring94/Lesesne.html"} +{"d:Title": "StoryBites", "d:Description": "Provides reader based reviews of classic and modern short stories.", "topic": "Top/Arts/Literature/Short_Stories", "url": "http://www.storybites.com/"} +{"d:Title": "Annenberg Media Exhibits: Literature", "d:Description": "Interactive exhibit that takes visitors on a literary journey through the classic short story.", "topic": "Top/Arts/Literature/Short_Stories", "url": "http://www.learner.org/interactives/literature/"} +{"d:Title": "The Rea Award for the Short Story", "d:Description": "Awarded by the Dungannon Foundation; includes current and previous winners.", "topic": "Top/Arts/Literature/Short_Stories/Awards", "url": "http://www.reaaward.org/"} +{"d:Title": "Asham Award", "d:Description": "Official website promoting the Asham Award for short stories by new women writers. Guidelines, judges, and past winners.", "topic": "Top/Arts/Literature/Short_Stories/Awards", "url": "http://www.ashamaward.com/"} +{"d:Title": "The American Short Story", "d:Description": "A selective chronology.", "topic": "Top/Arts/Literature/Short_Stories/Classics", "url": "http://titan.iwu.edu/~jplath/sschron.html#romantic"} +{"d:Title": "Twenty Great American Short Stories", "d:Description": "Full text of classics by Hawthorne, Melville, Bierce, Irving, Poe, Twain, Alcott, London, Cather, and Crane.", "topic": "Top/Arts/Literature/Short_Stories/Classics", "url": "http://www.americanliterature.com/SS/SSINDX.HTML"} +{"d:Title": "Bartleby.com: The Short Story", "d:Description": "Etext of Brander Matthews's collection of 23 short stories from the Middle Ages to the beginning of the twentieth century.", "topic": "Top/Arts/Literature/Short_Stories/Classics", "url": "http://www.bartleby.com/195/"} +{"d:Title": "Bibliomania: Short Stories", "d:Description": "Includes etexts of more than 500 stories.", "topic": "Top/Arts/Literature/Short_Stories/Classics", "url": "http://www.bibliomania.com/0/5/frameset.html"} +{"d:Title": "Nathan Englander in Black and White", "d:Description": "Article about the young Jewish author and his latest book, For the Relief of Unbearable Urges.", "topic": "Top/Arts/Literature/Short_Stories/Contemporary/Englander,_Nathan", "url": "http://www.mindspring.com/~dbholzel/englander1.html"} +{"d:Title": "Nathan Englander", "d:Description": "Audio excerpt of the author reading from the short story \"For the Relief of Unbearable Urges.\"", "topic": "Top/Arts/Literature/Short_Stories/Contemporary/Englander,_Nathan", "url": "http://www.salon.com/2000/10/05/englander/"} +{"d:Title": "My Weddings", "d:Description": "Text of Krouse's short story from The Atlantic Monthly.", "topic": "Top/Arts/Literature/Short_Stories/Contemporary/Krouse,_Erika", "url": "http://www.theatlantic.com/issues/98oct/weddings.htm"} +{"d:Title": "Bartleby.com: The Harvard Classics Shelf of Fiction", "d:Description": "A 20-volume set comprising works by 30 authors from 7 national literatures. Features novels and short stories with biographical and critical introductions.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://www.bartleby.com/hc/"} +{"d:Title": "Voice of the Shuttle: Literatures (Other Than English) Page", "d:Description": "Separate national, regional or ethnic categories (e.g., \"Irish,\" \"Australian,\" \"Afro-American\").", "priority": "1", "topic": "Top/Arts/Literature/World_Literature", "url": "http://vos.ucsb.edu/browse.asp?id=2719"} +{"d:Title": "In Parentheses", "d:Description": "Hundreds of works of literature in translation. Adobe Acrobat format.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://www.yorku.ca/inpar/"} +{"d:Title": "Norton Anthology of World Literature", "d:Description": "Provides links to anthologies that cover diverse timelines and cultures. Includes a section index, audio glossary, instructor resources, and quizzes.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://www.wwnorton.com/nawol/"} +{"d:Title": "World Literature Reviews", "d:Description": "Reviews of some fifty works, by writers from a broad range of countries.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://dannyreviews.com/s/world_literature.html"} +{"d:Title": "Tashogi", "d:Description": "New world literary fiction by Natalija Grgorinic and Ognjen Raden.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://www.tashogi.com/"} +{"d:Title": "Korean Literature Book Reviews", "d:Description": "Very brief book reviews of modern Korean literature.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://dannyreviews.com/s/Korean_literature.html"} +{"d:Title": "Modern Writers", "d:Description": "Publishes articles on various aspects of literature. Maintains an open call for submissions, a forum and a chatroom.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://www.modernwriters.org/"} +{"d:Title": "Khaled Hosseini", "d:Description": "Provides information on the Afghan novel, The Kite Runner.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://www.khaledhosseini.com/"} +{"d:Title": "Words Without Borders", "d:Description": "Working to promote international communication through translation of the world's best writing.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://wordswithoutborders.org/"} +{"d:Title": "Powers of Literature", "d:Description": "Course by Gary Gutchess covering major works of Western Civilization since ancient times. Instructor profile and course description, suggested readings, and illustrated lessons and analysis of readings provided online.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://englishare.net/literature/Index.htm"} +{"d:Title": "Babelguides", "d:Description": "A guide to literature in English translation. Provides a cross-referenced database of books from 12 languages, reviews and a forum.", "topic": "Top/Arts/Literature/World_Literature", "url": "http://www.babelguides.co.uk/"} +{"d:Title": "AfricaBib", "d:Description": "Bibliographic databases covering Africana periodical literature and African women's literature.", "topic": "Top/Arts/Literature/World_Literature/African", "url": "http://www.africabib.org/"} +{"d:Title": "Bibliography: Africa", "d:Description": "Bibliography of literature, history and culture for all regions of Africa.", "topic": "Top/Arts/Literature/World_Literature/African", "url": "http://www.ibike.org/bibliography/africa/index.htm"} +{"d:Title": "African Literature Association", "d:Description": "An independent non-profit professional society aiming to promote African writers and artists.", "topic": "Top/Arts/Literature/World_Literature/African", "url": "http://www.h-net.org/~aflitweb/ala.html"} +{"d:Title": "The New Gong", "d:Description": "Publishers of English-language Nigerian and other African writing and images. Based in Lagos.", "topic": "Top/Arts/Literature/World_Literature/African/Nigerian", "url": "http://www.thenewgong.com/"} +{"d:Title": "Sierra Leone Literature", "d:Description": "A site for Sierra Leonean writers to display their work online. The site also includes a chat room and a discussion forum.", "topic": "Top/Arts/Literature/World_Literature/African/Sierra_Leonean", "url": "http://home.swipnet.se/~w-59204/page2.html"} +{"d:Title": "Athol Fugard Statements", "d:Description": "Includes reviews of the plays, photos, biography and links.", "topic": "Top/Arts/Literature/World_Literature/African/South_African", "url": "http://www.iainfisher.com/fugard.html"} +{"d:Title": "Olive Schreiner", "d:Description": "Biography, bibliography and secondary literature.", "topic": "Top/Arts/Literature/World_Literature/African/South_African", "url": "http://postcolonialstudies.emory.edu/olive-schreiner/"} +{"d:Title": "Smith, Wilbur", "d:Description": "Features a short biography and book reviews.", "topic": "Top/Arts/Literature/World_Literature/African/South_African", "url": "http://rollindice.tripod.com/"} +{"d:Title": "South African literature", "d:Description": "An overview of the main currents in South African literature, from Olive Schreiner's depiction of life on isolated Karoo farms to more recent work that tackles the aftermath of apartheid.", "topic": "Top/Arts/Literature/World_Literature/African/South_African", "url": "http://www.southafrica.info/"} +{"d:Title": "Berlin - Johannesburg", "d:Description": "Opening Speech at the Goethe-Institut Johannesburg by Nadine Gordimer.", "topic": "Top/Arts/Literature/World_Literature/African/South_African/Gordimer,_Nadine", "url": "http://genealogy.metastudies.net/ZDocs/Webp/Gordimer1.html"} +{"d:Title": "Nadine Gordimer Nobel Prize 1991", "d:Description": "Biography, list of works and text of her Nobel Lecture.", "topic": "Top/Arts/Literature/World_Literature/African/South_African/Gordimer,_Nadine", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1991/index.html"} +{"d:Title": "Cry : Speech", "d:Description": "Text of Mandela's speech at the world premiere of Cry, The Beloved Country.", "topic": "Top/Arts/Literature/World_Literature/African/South_African/Paton,_Alan", "url": "http://archives.obs-us.com/obs/english/films/mx/cry/speech4m.htm"} +{"d:Title": "Albanian authors in translation", "d:Description": "Large selection of translations by Robert Elsie.", "topic": "Top/Arts/Literature/World_Literature/Albanian", "url": "http://www.albanianliterature.net/"} +{"d:Title": "Danny Yee's Book Reviews: The Concert", "d:Description": "A brief review of Kadare's novel - a \"comedy of communist manners\".", "topic": "Top/Arts/Literature/World_Literature/Albanian/Kadare,_Ismail", "url": "http://dannyreviews.com/h/Concert_Kadare.html"} +{"d:Title": "PAL: Perspectives in American Literature", "d:Description": "Includes images and bibliographical and biographical information on American writers from Anne Bradstreet and Cotton Mather to Langston Hughes and Kurt Vonnegut. The guide has links and study questions.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.csustan.edu/english/reuben/pal/TABLE.HTML"} +{"d:Title": "Works for Children&Adults, 1800-1872", "d:Description": "Anthology of early American works, with summary. Some text available on e-book.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.merrycoz.org/"} +{"d:Title": "American Authors on the Web", "d:Description": "General resources.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/AmeLit.html"} +{"d:Title": "American Poems", "d:Description": "American poetry, from the classic to the latest contemporary poets.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.americanpoems.com/"} +{"d:Title": "Literary Resources - American (Lynch)", "d:Description": "Index of literary resources available on the web, focusing on those of interest to scholars. Searchable and organized by period and topic.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://andromeda.rutgers.edu/~jlynch/Lit/american.html"} +{"d:Title": "The American Literature Archive", "d:Description": "Literature from the late 19th to early 20th century. Includes full text of several novels.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.en.utexas.edu/amlit/"} +{"d:Title": "Wikipedia: Poetry of the United States", "d:Description": "A chronological survey of American poetry, from colonial to contemporary work.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://en.wikipedia.org/wiki/Poetry_of_the_United_States"} +{"d:Title": "Brief Timeline of American Literature and Culture, Pre-1620 to 1920", "d:Description": "Includes events in American history and literature, with links to pages on literary movements, bibliographies, and individual authors.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.wsu.edu/~campbelld/amlit/timefram.html"} +{"d:Title": "Simonds History of American Literature", "d:Description": "General overview of American literature, broken down into time periods.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.bibliomania.com/2/3/270/frameset.html"} +{"d:Title": "Literary Movements in American Literature", "d:Description": "Contains brief essays on movements and important concepts in American literature. Each page also contains links to a bibliography of secondary sources for further reading and links to outside sites.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.wsu.edu/~campbelld/amlit/litfram.html"} +{"d:Title": "American Authors", "d:Description": "Pages on individual authors with picture (when available), links to works online, and other information; most pages also contain a selected bibliography of secondary criticism.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.wsu.edu/~campbelld/amlit/aufram.html"} +{"d:Title": "American Writers", "d:Description": "Companion to C-SPAN TV series. Includes transcripts, links, and background information.", "topic": "Top/Arts/Literature/World_Literature/American", "url": "http://www.c-span.org/series/?americanWriters"} +{"d:Title": "HTI American Verse Project", "d:Description": "A collaborative project between the University of Michigan Humanities Text Initiative (HTI) and the University of Michigan Press.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century", "url": "http://quod.lib.umich.edu/a/amverse/"} +{"d:Title": "Introduction to American Romanticism", "d:Description": "By Ann Woodlief.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century", "url": "http://www.vcu.edu/engweb/eng372/intro.htm"} +{"d:Title": "Sunday School Books: Shaping the Values of Youth in Nineteenth-Century America (American Memory, Library of Congress)", "d:Description": "A representative selection of American Sunday school books published between 1815 and 1865, drawn from the collections of Michigan State University and Central Michigan University Libraries.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century", "url": "http://memory.loc.gov/ammem/award99/miemhtml/svyhome.html"} +{"d:Title": "Emmeline Grangerford's Scrapbook", "d:Description": "Study of the American Way of Death in the Victorian era. Includes an examination of views on death, spiritualism, the mourning process, obituaries, postmortem photography, memorial photography, posthumous mourning paintings, funerary practices, and epitaphs.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century", "url": "http://xroads.virginia.edu/~MA04/hess/Emmeline/EmmelineGrangerford.html"} +{"d:Title": "19th-Century American Children and What They Read", "d:Description": "A site devoted to American children and their literature from 1800-1872, featuring texts of children's books and magazines of the period, particularly works by Samuel G. Goodrich.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century", "url": "http://www.merrycoz.org/"} +{"d:Title": "USA: National Identity I", "d:Description": "An essay by Keijo Virtanen on the role of philosophy and literature in building up the national identity of the early 19th century United States.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century", "url": "http://www.let.rug.nl/usa/essays/1801-1900/the-role-of-philosophy-and-literature/"} +{"d:Title": "Looking Backward From 2000 to 1887", "d:Description": "A utopian romance about a future under state socialism. Text divided by chapter.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bellamy,_Edward", "url": "http://www.pagebypagebooks.com/Edward_Bellamy/Looking_Backward_From_2000_to_1887/"} +{"d:Title": "Don Swaim's The Ambrose Bierce Site", "d:Description": "The myth and mind of Ambrose Bierce, with original prose and poetry. Links to other Bierce sites and scholarship, communication board, and Bierce in the news.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose", "url": "http://www.donswaim.com/"} +{"d:Title": "Ambrose Bierce", "d:Description": "Includes biographical information, a literary overview, and links.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose", "url": "http://alangullette.com/lit/bierce/"} +{"d:Title": "Literature Network: The Devil's Dictionary", "d:Description": "Searchable etext and biographical information.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works", "url": "http://www.online-literature.com/bierce/devilsdictionary/"} +{"d:Title": "The Damned Thing", "d:Description": "At Doyle and Macdonald.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works", "url": "http://www.sff.net/people/DoyleMacdonald/L_DAMNED.HTM"} +{"d:Title": "Ambrose Bierce Bids Farewell to his Niece Lora", "d:Description": "Author's last letter before he disappeared into Mexico in 1913.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works", "url": "http://www.rjgeib.com/thoughts/bierce/ambrose-bierce.html"} +{"d:Title": "Ambrose Bierce - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works", "url": "http://www.theotherpages.org/poems/bierce01.html#1"} +{"d:Title": "Free Online Library - Bierce, Ambrose", "d:Description": "Online e-texts include \"The Devil's Dictionary\", \"A Son of Gods\" and several other short stories.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works", "url": "http://bierce.thefreelibrary.com/"} +{"d:Title": "The Devil's Dictionary", "d:Description": "Ambrose Bierce's collection of dark and bitter definitions for common terms.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works", "url": "http://www.thedevilsdictionary.com/"} +{"d:Title": "Moxon's Master", "d:Description": "Etext at Doyle and Macdonald.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.sff.net/people/DoyleMacdonald/l_moxon.htm"} +{"d:Title": "Can Such Things Be", "d:Description": "Etext of 1909 edition.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.infomotions.com/etexts/literature/american/1900-/bierce-can-547.txt"} +{"d:Title": "An Occurrence at Owl Creek Bridge", "d:Description": "Full text in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.mendosa.com/occurence.htm"} +{"d:Title": "The Damned Thing", "d:Description": "Complete short story in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://classiclit.about.com/library/bl-etexts/abierce/bl-abierce-damned.htm"} +{"d:Title": "An Occurrence at Owl Creek Bridge", "d:Description": "Etext at Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.pagebypagebooks.com/Ambrose_Bierce/An_Occurrence_At_Owl_Creek_Bridge/"} +{"d:Title": "A Horseman in the Sky", "d:Description": "Full text in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.classicreader.com/book/1155/1/"} +{"d:Title": "Killed at Resaca", "d:Description": "Full text in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.classicreader.com/book/1157/1/"} +{"d:Title": "One of the Missing", "d:Description": "Full text in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.classicreader.com/book/1160/1/"} +{"d:Title": "One Summer Night", "d:Description": "Complete short story in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.classicreader.com/book/1948/1/"} +{"d:Title": "What I Saw of Shiloh", "d:Description": "Full text in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bierce,_Ambrose/Works/Short_Stories", "url": "http://www.classicreader.com/book/1165/1/"} +{"d:Title": "William Cullen Bryant - Selected Works 1", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bryant,_William_Cullen/Works", "url": "http://www.theotherpages.org/poems/bryant01.html"} +{"d:Title": "William Cullen Bryant - Selected Works 2", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bryant,_William_Cullen/Works", "url": "http://www.theotherpages.org/poems/bryant02.html"} +{"d:Title": "Poets' Corner - William Cullen Bryant - Selected Works II", "d:Description": "After a Tempest, Summer Wind and The Constellations.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bryant,_William_Cullen/Works", "url": "http://www.theotherpages.org/poems/poem-ab.html#bryant"} +{"d:Title": "Four Bryant Poems", "d:Description": "To a Waterfowl, Sonnet- to an American Painter Departing for Europe, The Poet and Thanatopsis.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bryant,_William_Cullen/Works", "url": "http://www.vcu.edu/engweb/webtexts/Bryant/"} +{"d:Title": "Poems", "d:Description": "Selected and arranged by the author. 1862 edition (Image, text, PDF).", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Bryant,_William_Cullen/Works", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=aej0260"} +{"d:Title": "Cooper's Indians", "d:Description": "Introduction to Cooper's portrayal of Native Americans.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore", "url": "http://xroads.virginia.edu/~HYPER/HNS/Indians/cooper.html"} +{"d:Title": "James Fenimore Cooper Society", "d:Description": "Includes texts, events and society membership details.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore", "url": "http://external.oneonta.edu/cooper/"} +{"d:Title": "Free Online Library - James Fenimore Cooper", "d:Description": "Read the complete works of this American writer. Also includes a short biography, quotations, and related links.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore", "url": "http://cooper.thefreelibrary.com/"} +{"d:Title": "New York", "d:Description": "HTML etext of essay.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore/Works", "url": "http://www.pagebypagebooks.com/James_Fenimore_Cooper/New_York/New_York_p1.html"} +{"d:Title": "Literature Network: The Pioneers", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore/Works", "url": "http://www.online-literature.com/cooperj/pioneers/"} +{"d:Title": "The Last of the Mohicans", "d:Description": "A searchable online version of the book.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore/Works/Last_of_the_Mohicans,_The", "url": "http://www.online-literature.com/cooperj/mohicans/"} +{"d:Title": "History and the Last of the Mohicans", "d:Description": "Overview of the truth and fiction in Cooper's novel and Michael Mann's film. Includes photos, biographies, first person accounts, and tribal histories.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore/Works/Last_of_the_Mohicans,_The", "url": "http://www.mohicanpress.com/mo08000.html"} +{"d:Title": "Page by Page Books: The Last of the Mohicans", "d:Description": "HTML etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore/Works/Last_of_the_Mohicans,_The", "url": "http://www.pagebypagebooks.com/James_Fenimore_Cooper/The_Last_of_the_Mohicans/"} +{"d:Title": "Classic Bookshelf - James Fenimore Cooper", "d:Description": "Online novel with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore/Works/Last_of_the_Mohicans,_The", "url": "http://www.classicbookshelf.com/library/Cooper/"} +{"d:Title": "The Last of the Mohicans", "d:Description": "Full text searchable HTML. At Bibliomania.com.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Cooper,_James_Fenimore/Works/Last_of_the_Mohicans,_The", "url": "http://www.bibliomania.com/0/0/23/50/frameset.html"} +{"d:Title": "Stephen Crane - Biography and Poems", "d:Description": "Includes a brief biography and a collection of Crane's most important poems, by AmericanPoems.com.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen", "url": "http://www.americanpoems.com/poets/stephencrane/"} +{"d:Title": "The Stephen Crane Society", "d:Description": "Dedicated to study of this nineteenth-century American author. Associated with the American Literature Association. Publishes semiannual journal. Tips on where to find biographies and Crane's works online.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen", "url": "http://www.wsu.edu/~campbelld/crane/index.html"} +{"d:Title": "Literature Network: Active Service", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works", "url": "http://www.online-literature.com/crane/activeservice/"} +{"d:Title": "The Black Riders and Other Lines", "d:Description": "Introduction and texts of selected works.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works", "url": "http://www.theotherpages.org/poems/crane02.html"} +{"d:Title": "Stephen Crane - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works", "url": "http://www.theotherpages.org/poems/crane03.html"} +{"d:Title": "War Is Kind and Other Lines", "d:Description": "Selected works.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works", "url": "http://www.theotherpages.org/poems/crane01.html"} +{"d:Title": "Literature Network: Maggie, A Girl of the Streets", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Maggie_-_A_Girl_of_the_Streets", "url": "http://www.online-literature.com/crane/maggie/"} +{"d:Title": "Maggie: A Girl of the Streets", "d:Description": "Etext by chapter.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Maggie_-_A_Girl_of_the_Streets", "url": "http://www.learnlibrary.com/maggie/index.htm"} +{"d:Title": "Maggie: A Girl of the Streets", "d:Description": "HTML etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Maggie_-_A_Girl_of_the_Streets", "url": "http://pagebypagebooks.com/Stephen_Crane/Maggie_A_Girl_of_the_Streets/"} +{"d:Title": "Literature Network: The Red Badge of Courage", "d:Description": "Chapter indexed HTML text. Includes a search feature and author information.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Red_Badge_of_Courage,_The", "url": "http://www.online-literature.com/crane/redbadge/"} +{"d:Title": "The Red Badge of Courage", "d:Description": "E-text.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Red_Badge_of_Courage,_The", "url": "http://wiretap.area.com/Gopher/Library/Classic/badge.txt"} +{"d:Title": "The Red Badge of Courage by Stephen Crane", "d:Description": "Complete chapter-indexed e-text from Literature Project.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Red_Badge_of_Courage,_The", "url": "http://www.literatureproject.com/red-badge-of-courage/index.htm"} +{"d:Title": "The Red Badge of Courage", "d:Description": "by Stephen Crane.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Red_Badge_of_Courage,_The", "url": "http://www.worldwideschool.org/library/books/lit/adventure/TheRedBadgeofCourage/Chap1.html"} +{"d:Title": "The Red Badge of Courage", "d:Description": "Page by page HTML etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Crane,_Stephen/Works/Red_Badge_of_Courage,_The", "url": "http://www.pagebypagebooks.com/Stephen_Crane/The_Red_Badge_of_Courage/index.html"} +{"d:Title": "Harvard Classics: Two Years Before the Mast and Twenty-four Years After", "d:Description": "Text of the book, with search function.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Dana,_Richard_Henry,_Jr.", "url": "http://www.bartleby.com/23/"} +{"d:Title": "Wikipedia: Richard Henry Dana, Jr.", "d:Description": "Includes biographical material and a list of selected works.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Dana,_Richard_Henry,_Jr.", "url": "http://en.wikipedia.org/wiki/Richard_Henry_Dana,_Jr."} +{"d:Title": "Richard Henry Dana, Jr., 1815-1882", "d:Description": "Biographical essay by Homer Eaton Keyes, B.L., Assistant Professor of Art in Dartmouth College.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Dana,_Richard_Henry,_Jr.", "url": "http://www.du.edu/~ttyler/ploughboy/danabiography.htm"} +{"d:Title": "Seventy-Six Years After", "d:Description": "As a section in a later edition of \"Two Years Before the Mast,\" the author's son compares the California of his father's time with the California of 1911; provides updated information about the fate of the vessels and people mentioned in the book; and gives biographical information about his father.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Dana,_Richard_Henry,_Jr.", "url": "http://www.winthrop.dk/seventyfive.html"} +{"d:Title": "Frederick Douglass: A Monumental Rebuke to Slavery", "d:Description": "biographical information.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick", "url": "http://www.baltimoremd.com/monuments/douglass1.html"} +{"d:Title": "Frederick Douglass Papers", "d:Description": "The Frederick Douglass Papers project collects and publishes his speeches and writings. The site gives information about this 19th-century African American abolitionist and reformer.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick", "url": "http://www.iupui.edu/~douglass/"} +{"d:Title": "American Civil War: Frederick Douglass", "d:Description": "Biography and related links.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick", "url": "http://www.americancivilwar.com/colored/frederick_douglass.html"} +{"d:Title": "Free Online Library - Douglass, Frederick", "d:Description": "Biography of Frederick Douglass and online e-texts of \"Narrative of the Life of Frederick Douglass An American Slave.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick", "url": "http://douglass.thefreelibrary.com/"} +{"d:Title": "Frederick Douglass Resources", "d:Description": "Resources for the study of Douglass.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick", "url": "http://www.wsu.edu/~campbelld/amlit/douglass.htm"} +{"d:Title": "My Escape From Slavery", "d:Description": "From The Century Illustrated Magazine 23, published November 1881. From Project Gutenberg.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick/Works", "url": "http://www.thrall.org/urr/myescape.htm"} +{"d:Title": "Narrative of the Life of Frederick Douglass", "d:Description": "His autobiography, from Project Gutenberg.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick/Works", "url": "http://www.thrall.org/urr/bio.htm"} +{"d:Title": "My Escape From Slavery", "d:Description": "An article that first appeared in the November 1881 edition of The Century Illustrated Magazine.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick/Works", "url": "http://www.pagebypagebooks.com/Frederick_Douglass/My_Escape_From_Slavery/My_Escape_From_Slavery_p1.html"} +{"d:Title": "Frederick Douglass 1817?-1895", "d:Description": "The papers of Frederick Douglass span the years 1841 to 1964, with the bulk of the material concentrated in the period 1862-95. The Library of Congress collection consists of correspondence, speeches and articles by Douglass and his contemporaries, a draft of his autobiography, financial and legal papers, and miscellaneous items.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick/Works", "url": "http://memory.loc.gov/ammem/doughtml/doughome.html"} +{"d:Title": "An Appeal to Congress for Impartial Suffrage", "d:Description": "An article from the Atlantic Monthly 19 (Jan. 1867).", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick/Works", "url": "http://eserver.org/race/impartial-suffrage.txt"} +{"d:Title": "Literature Network: Frederick Douglass", "d:Description": "Includes searchable versions of his autobiographies, essays, and speeches.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick/Works", "url": "http://www.online-literature.com/frederick_douglass/"} +{"d:Title": "The Meaning of July Fourth for the Negro", "d:Description": "1854 Speech attacking the hypocrisy of the 4th of July celebrations during times of slavery.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Douglass,_Frederick/Works", "url": "http://www.pbs.org/wgbh/aia/part4/4h2927t.html"} +{"d:Title": "Ralph Waldo Emerson Quotes", "d:Description": "The quote will change each time you visit the page.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://www.pbat.com/rwe/"} +{"d:Title": "Felix Adler on Emerson", "d:Description": "Felix Adler: An Ethical Philosophy of Life, Chapter III: Emerson", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://www.jjnet.com/archives/documents/adleron.htm"} +{"d:Title": "What is Transcendentalism?", "d:Description": "An introductory essay explaining the roots and basic ideas of Transcendentalism.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://womenshistory.about.com/bltranscend.htm"} +{"d:Title": "AmericanPoems.com: Ralph Waldo Emerson", "d:Description": "A brief biography and a few of his most important poems.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://www.americanpoems.com/poets/emerson/"} +{"d:Title": "The Transcendentalists", "d:Description": "Concise, simple directory to resources on Ralph Waldo Emerson, Henry David Thoreau, and other Transcendentalist authors, books, literature, nonfiction, poetry, philosophy and classics.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://www.transcendentalists.com/"} +{"d:Title": "Ralph Waldo Emerson", "d:Description": "Learn more about the famous Transcendentalist, Ralph Waldo Emerson, and read \"Essays, First Series\" and \"Essays, Second Series\" online.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://emerson.thefreelibrary.com/"} +{"d:Title": "Emerson: A Visionary Life", "d:Description": "Essays on Ralph Waldo Emerson, the 19th century American poet whose words still speak deeply into our struggles to live with integrity.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://www.watershedonline.ca/literature/Emerson/Emersonstudent.html"} +{"d:Title": "Academy of American Poets: Ralph Waldo Emerson", "d:Description": "Includes a biography, photograph, and selected poems.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo", "url": "http://www.poets.org/poet.php/prmPID/201"} +{"d:Title": "Emerson by Sooy", "d:Description": "An 1874 review of Emerson's writings, by Rev. J. L. Sooey, printed in the 19th century women's journal, The Ladies' Repository. Represents the negative criticism of Emerson which also greeted his writings.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Biographies", "url": "http://www.emersoncentral.com/emerson_by_sooy.htm"} +{"d:Title": "Life of Ralph Waldo Emerson", "d:Description": "This biography, written near the time of Emerson's death, offers an interesting perspective on Emerson's times.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Biographies", "url": "http://www.emersoncentral.com/others/life_of_ralph_waldo_emerson.htm"} +{"d:Title": "Ralph Waldo Emerson", "d:Description": "Amos Bronson Alcott honors the life of Emerson with a eulogy and two poems written in 1882. (Image, text, PDF)", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Biographies", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa;idno=ACJ8678"} +{"d:Title": "Emerson Text Discussions", "d:Description": "A forum for discussion of Emerson and other Transcendentalist topics.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Chats_and_Forums", "url": "http://www.transcendentalists.com/discussions.htm"} +{"d:Title": "Poems: Early Emerson Poems", "d:Description": "A collection of Emerson's best-known poems including Threnody, Concord Hymn, Monadnoc, The Rhodora and others.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/poems/index.htm"} +{"d:Title": "Concord Hymn", "d:Description": "Hymn Sung at the Completion of the Concord Monument, April 19, 1836.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/poems/concordhymn.htm"} +{"d:Title": "Literature Network: Ralph Waldo Emerson", "d:Description": "Includes selected works, a short biography and a search feature.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.online-literature.com/emerson/"} +{"d:Title": "The Conduct of Life (1860)", "d:Description": "Fate, Power, Wealth, Culture, Behavior, Worship, Considerations by the Way, Beauty, Illusions", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/conduct.htm"} +{"d:Title": "The Poet", "d:Description": "The Poet, from Essays Second Series: Ralph Waldo Emerson. HTML format, all on one page for ease of reading and printing.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/poet.htm"} +{"d:Title": "Representative Men (1850)", "d:Description": "(Plato, Swedenborg, Montaigne, Shakespeare (Shakspeare), Napoleon, Goethe.)", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/repmen.htm"} +{"d:Title": "Emerson Texts", "d:Description": "Ralph Waldo Emerson, American essayist, poet, and philosopher. This site contains HTML (web-readable) versions of many of Emerson's best-known essays, including a Search function to look for specific words, phrases, or quotations.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/"} +{"d:Title": "The Sovereignty of Ethics", "d:Description": "A later work of Emerson's (1878) showing his move away from the radical individualism of his younger years and towards a spirituality of relationships.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/sovereignty_of_ethics.htm"} +{"d:Title": "Search the Emerson Texts", "d:Description": "A sophisticated search facility for finding a specific Emerson text if it's included in this site.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/search.htm"} +{"d:Title": "The Lord's Supper", "d:Description": "The Lord's Supper (essay). Emerson explains the theological basis for his refusal to celebrate communion, a refusal that cost him his pastorate in the Unitarian church.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/lordsupper.htm"} +{"d:Title": "English Traits (1856)", "d:Description": "HTML version of the chapters in Emerson's 1856 book on his observations about England.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/english.htm"} +{"d:Title": "Divinity School Address", "d:Description": "Ralph Waldo Emerson: Divinity School Address Delivered before the Senior Class in Divinity College, Cambridge, Sunday Evening, July 15, 1838", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/divaddr.htm"} +{"d:Title": "Mary Moody Emerson, by Ralph Waldo Emerson", "d:Description": "Emerson's essay in honor of his aunt who helped to raise him, and who recent scholars have credited with much influence over his thinking. Originally presented to the Woman's Club in Boston, 1869.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/mary_moody_emerson.htm"} +{"d:Title": "Uncollected Prose", "d:Description": "Includes essays originally published in The Dial magazine, and the essay \"The Lord's Supper.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/uncollec.htm"} +{"d:Title": "Transcendentalism", "d:Description": "Essay on Transcendentalism, by Ralph Waldo Emerson himself. From the Dial, 1842.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.emersoncentral.com/transcendentalism.htm"} +{"d:Title": "Thoreau", "d:Description": "A biographical essay by Emerson, printed in the Atlantic Monthly, 1862.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://eserver.org/thoreau/emerson1.html"} +{"d:Title": "Essays: First Series", "d:Description": "A searchable version of Emerson's first collection of essays which includes History, Self-Reliance, Compensation, Spiritual Laws, Love, Friendship, Prudence, Heroism, The Over-Soul, Circles, Intellect, and Art.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.aboutemerson.com/essays1.htm"} +{"d:Title": "Essays: Second Series", "d:Description": "A searchable version of Emerson's second collection of essays which includes The Poet, Experience, Character, Manners, Gifts, Nature, Politics, Nominalist and Realist, and New England Reformers.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.aboutemerson.com/essays2.htm"} +{"d:Title": "Nature: Addresses and Lectures (1849)", "d:Description": "An online searchable collection of Emerson's essays including Nature, Commodity, Beauty, Language, Discipline, Idealism, Spirit, Prospects, The American Scholar, Divinity School Address, Literary Ethics, The Method of Nature, Man the Reformer, An Introductory Lecture on the Times, The Conservative, The Transcendentalist, and The Young American.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.aboutemerson.com/natureand.html"} +{"d:Title": "Poetry of Emerson - Atlantic Monthly November 1857", "d:Description": "Atlantic Unbound: The Atlantic Monthly Magazine Online presents a November 1857 article with four poems by Ralph Waldo Emerson", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://www.theatlantic.com/unbound/poetry/nov1857/nov1857.htm"} +{"d:Title": "Circles: The Centrality of the Center in Ralph Waldo Emerson's \"Circles\"", "d:Description": "Patrick Paul Christle discusses Emerson's essay and its relation to the mystical tradition of the center.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works", "url": "http://christle.freeshell.org/essays/circles.pdf"} +{"d:Title": "Emerson Poems", "d:Description": "Individual pages for each of the poems in the volume, Early Emerson Poems. HTML format, with a search utility available.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works/Poetry", "url": "http://www.emersoncentral.com/poems/index.htm"} +{"d:Title": "Threnody", "d:Description": "Mourning poem written in memory of Emerson's son Waldo.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works/Poetry", "url": "http://www.emersoncentral.com/poems/threnody.htm"} +{"d:Title": "Fable", "d:Description": "Poem sometimes known as \"The mountain and the squirrel had a quarrel.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works/Poetry", "url": "http://www.emersoncentral.com/poems/fable.htm"} +{"d:Title": "Give All To Love", "d:Description": "Poem by Ralph Waldo Emerson.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works/Poetry", "url": "http://www.emersoncentral.com/poems/give_all_to_love.htm"} +{"d:Title": "Concord Hymn", "d:Description": "Emerson's poem with the immortal line \"fired the shot heard round the world,\" presented first in 1836.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works/Poetry", "url": "http://www.emersoncentral.com/poems/concordhymn.htm"} +{"d:Title": "Poets' Corner - Ralph Waldo Emerson", "d:Description": "Sixteen selected poems, including \"Hymn Sung at the Completion of the Concord Monument, April 19, 1836,\" \"Brahma,\" \"Nemesis,\" and \"The Snowstorm.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Emerson,_Ralph_Waldo/Works/Poetry", "url": "http://www.theotherpages.org/poems/poem-ef.html#emerson"} +{"d:Title": "Holmes, Oliver Wendell", "d:Description": "Biographical article on the American doctor and writer, from the 1911 Encyclop\u00e6dia Britannica.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Holmes,_Oliver_Wendell", "url": "http://encyclopedia.jrank.org/HIG_HOR/HOLMES_OLIVER_WENDELL_18o9_1894.html"} +{"d:Title": "The Last Leaf", "d:Description": "With author's note, history of the poem and illustrations.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Holmes,_Oliver_Wendell/Works", "url": "http://www.eldritchpress.org/owh/llpix.html"} +{"d:Title": "The Deacon's Masterpiece", "d:Description": "Includes illustrations by Howard Pyle and a glossary.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Holmes,_Oliver_Wendell/Works", "url": "http://eldritchpress.org/owh/shay.html"} +{"d:Title": "The Autocrat of the Breakfast Table", "d:Description": "E-text of Holmes's 1858 work.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Holmes,_Oliver_Wendell/Works", "url": "http://www.eldritchpress.org/owh/abt.html"} +{"d:Title": "The Broomstick Train", "d:Description": "E-text includes companion poems and original illustrations.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Holmes,_Oliver_Wendell/Works", "url": "http://eldritchpress.org/owh/bt.html"} +{"d:Title": "Poetry Archive: Oliver Wendell Holmes", "d:Description": "A few poems by the American poet.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Holmes,_Oliver_Wendell/Works", "url": "http://www.poetry-archive.com/h/holmes_oliver_wendell.html"} +{"d:Title": "Washington Irving", "d:Description": "Irving's contribution to the early literary images of Native Americans. Excerpted from White on Red, Eds. Black, Nancy B. and Bette S. Wiedman.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington", "url": "http://etext.lib.virginia.edu/railton/projects/rissetto/irving.html"} +{"d:Title": "Free Online Library - Washington Irving", "d:Description": "Short biography, related links, and online e-texts of the writer's works.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington", "url": "http://irving.thefreelibrary.com/"} +{"d:Title": "Astoria", "d:Description": "Complete text.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.xmission.com/~drudy/mtman/html/astoria/index.html"} +{"d:Title": "The Adventures of Captain Bonneville", "d:Description": "The complete text of Washington Irving's classic history.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.xmission.com/~drudy/mtman/html/bville/bonneville.html"} +{"d:Title": "Bartleby.com: Washington Irving", "d:Description": "Etexts of \"Rip Van Winkle\" and \"The Legend of Sleepy Hollow\"; biography, and critical essays.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.bartleby.com/310/2/"} +{"d:Title": "The Legend Of Sleepy Hollow", "d:Description": "E-text of the short story.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.pagebypagebooks.com/Washington_Irving/The_Legend_of_Sleepy_Hollow/The_Legend_Of_Sleepy_Hollow_p1.html"} +{"d:Title": "Little Britain", "d:Description": "E-text provided by Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.pagebypagebooks.com/Washington_Irving/Little_Britain/Little_Britain_p1.html"} +{"d:Title": "Literature Network: The Adventures of Captain Bonneville", "d:Description": "Complete HTML text with chapter indexed. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.online-literature.com/irving/captain_bonneville/"} +{"d:Title": "Literature Network: The Sketchbook of Geoffrey Crayon", "d:Description": "Chapter indexed HTML of the complete text, with a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.online-literature.com/irving/geoffrey_crayon/"} +{"d:Title": "The Legend Of Sleepy Hollow", "d:Description": "Etext. (If you don't have the font Lucinda Calligraphy installed, you may see only strange characters.)", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Irving,_Washington/Works", "url": "http://www.angelfire.com/wi2/legendwashington/"} +{"d:Title": "University of Michigan: Sonnets and Lyrics by Helen Jackson", "d:Description": "Complete version of the 1886 compilation, consisting of scanned images of all pages.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Jackson,_Helen_Hunt", "url": "http://moa.umdl.umich.edu/cgi/sgml/moa-idx?notisid=BAD8912"} +{"d:Title": "Library of Congress: Glimpses of California and the Missions", "d:Description": "Full text of the book by Helen Hunt Jackson with illustrations by Henry Sandham. SGML version also available.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Jackson,_Helen_Hunt", "url": "http://lcweb2.loc.gov/cgi-bin/query/r?ammem/calbk:@field(DOCID+@lit(C186T00)):@@@$REF$"} +{"d:Title": "Helen Hunt Jackson", "d:Description": "Links to biographies and chronologies, bibliographies, and text of written works, provided by Donna Campbell, Professor at Gonzaga University.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Jackson,_Helen_Hunt", "url": "http://www.wsu.edu/~campbelld/amlit/jackson.html"} +{"d:Title": "The Country of the Pointed Firs", "d:Description": "HTML Etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Jewett,_Sarah_Orne", "url": "http://www.pagebypagebooks.com/Sarah_Orne_Jewett/The_Country_of_the_Pointed_Firs/"} +{"d:Title": "Jewett, Sarah Orne", "d:Description": "Biographical information and the complete texts of her poems, essays, and novels.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Jewett,_Sarah_Orne", "url": "http://www.public.coe.edu/~theller/soj/sj-index.htm"} +{"d:Title": "Henry Wadsworth Longfellow", "d:Description": "Biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth", "url": "http://www.auburn.edu/~vestmon/longfellow_bio.html"} +{"d:Title": "Henry Wadsworth Longfellow", "d:Description": "The Maine Historical Society presents biographical information and a database of poems.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth", "url": "http://www.hwlongfellow.org/"} +{"d:Title": "Norse Ballads of Henry Wadsworth Longfellow", "d:Description": "Etext and words from the author himself.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://www.pitt.edu/~dash/longfellow.html"} +{"d:Title": "Quotations", "d:Description": "Excerpts from poems.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://www.bartleby.com/100/437.html"} +{"d:Title": "The Sound of the Sea", "d:Description": "Text and analysis at Classic Poetry Pages.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://poetrypages.lemon8.nl/nature/soundofsea/soundofthesea.htm"} +{"d:Title": "Poetry of Henry Wadsworth Longfellow", "d:Description": "Archive of Longfellow's poetry including over 500 poems.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://www.everypoet.com/archive/poetry/Henry_Wadsworth_Longfellow/longfellow_contents.htm"} +{"d:Title": "Santa Filomena", "d:Description": "Poem published in The Atlantic Monthly in 1857.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://www.theatlantic.com/unbound/poetry/nov1857/filomena.htm"} +{"d:Title": "On Translating the Divina Commedia - 1864.12", "d:Description": "As published in The Atlantic Monthly in December, 1864, and July, September, and November, 1866.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://www.theatlantic.com/unbound/aandc/dante/longfell.htm"} +{"d:Title": "Paradiso, XXIII", "d:Description": "From the author's translation of Three Cantos of Dante's Paradiso.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://www.theatlantic.com/unbound/aandc/dante/long2.htm"} +{"d:Title": "Wikipedia: The Song of Hiawatha", "d:Description": "History and background of Longfellow's epic poem, with extensive information about its many parodies.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://en.wikipedia.org/wiki/The_Song_of_Hiawatha"} +{"d:Title": "Snowflakes", "d:Description": "The poem in HTML.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://www.naic.edu/~gibson/poems/longfellow1.html"} +{"d:Title": "The Theologian's Tale", "d:Description": "At Reflections.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://plexipages.com/reflections/theotale.html"} +{"d:Title": "The Reaper and the Flowers", "d:Description": "At Reflections.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longfellow,_Henry_Wadsworth/Works", "url": "http://plexipages.com/reflections/raf.html"} +{"d:Title": "Augustus Baldwin Longstreet", "d:Description": "Short biography from the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Longstreet,_Augustus", "url": "http://etext.virginia.edu/railton/projects/price/alongstr.htm"} +{"d:Title": "Wikipedia: Herman Melville", "d:Description": "Short encyclopedia-style essay on the author.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman", "url": "http://en.wikipedia.org/wiki/Herman_Melville"} +{"d:Title": "The Academy of American Poets: Herman Melville", "d:Description": "Short biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman", "url": "http://www.poets.org/poet.php/prmPID/236"} +{"d:Title": "Charles Olson's Melville Project", "d:Description": "Collection of notes from years of research, accessible as searchable transcripts or as electronic images of the handwritten notecards.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman", "url": "http://charlesolson.uconn.edu/Works_in_the_Collection/Melville_Project/"} +{"d:Title": "Hawthorne and His Mosses", "d:Description": "Melville writing on Hawthorne on a trip to Vermont.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works", "url": "http://xroads.virginia.edu/~MA96/atkins/cmmosses.html"} +{"d:Title": "I and My Chimney", "d:Description": "HTML. Divided into 20 pages. At Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction", "url": "http://www.pagebypagebooks.com/Herman_Melville/I_and_My_Chimney/"} +{"d:Title": "Bartleby, the Scrivener", "d:Description": "Online publication of Melville's short story.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction", "url": "http://www.bartleby.com/129"} +{"d:Title": "The Piazza Tales", "d:Description": "Framed. Each story in its own file.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction", "url": "http://www.esp.org/books/melville/piazza/"} +{"d:Title": "Bibliomania: Herman Melville", "d:Description": "Some of his novels and short stories. Framed. For the novels, each chapter is in its own file. Requires JavaScript and a 4.0 or later browser.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction", "url": "http://www.bibliomania.com/0/0/36/frameset.html"} +{"d:Title": "ClassicReader.com: Herman Melville", "d:Description": "Three novels and three short stories, in searchable HTML. For the novels, each chapter has its own file.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction", "url": "http://www.classicreader.com/author/77/"} +{"d:Title": "Benito Cereno", "d:Description": "In plain text, at Alex. 183K.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/Benito_Cereno", "url": "http://www.infomotions.com/etexts/literature/american/1800-1899/melville-benito-104.txt"} +{"d:Title": "Billy Budd", "d:Description": "In plain text, at Alex. 177K.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/Billy_Budd", "url": "http://www.infomotions.com/etexts/literature/american/1800-1899/melville-billy-105.txt"} +{"d:Title": "American Literature - Moby Dick", "d:Description": "Full electronic text separated by chapter.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/Moby_Dick", "url": "http://americanliterature.com/author/herman-melville/book/moby-dick-or-the-whale/summary"} +{"d:Title": "Omoo: A Narrative of Adventures in the South Seas", "d:Description": "Searchable page images of an 1850 printing of the 6th edition.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/Omoo", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ACS4580.0001.001"} +{"d:Title": "Redburn: His First Voyage", "d:Description": "Searchable page images of 1850 edition.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/Redburn", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=moa&idno=ACS6723.0001.001"} +{"d:Title": "The Confidence-Man: His Masquerade", "d:Description": "Annotated hypertext with bibliography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/The_Confidence-Man", "url": "http://xroads.virginia.edu/~MA96/atkins/cmmain.html"} +{"d:Title": "Typee", "d:Description": "Chapter-indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/Typee", "url": "http://www.online-literature.com/melville/typee/"} +{"d:Title": "Typee", "d:Description": "In searchable HTML, at World Wide School. Each chapter in its own file, with links to previous, next, table of contents, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Melville,_Herman/Works/Fiction/Typee", "url": "http://www.worldwideschool.org/library/books/lit/romance/Typee/toc.html"} +{"d:Title": "Edgar Allan Poe", "d:Description": "Complete collection of all Poe's work as well as a biography, related images, and files.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://edgarallan2002.tripod.com/"} +{"d:Title": "E. A. Poe Society of Baltimore", "d:Description": "General topics, works, lectures and articles.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://www.eapoe.org/"} +{"d:Title": "Edgar Allan Poe Museum", "d:Description": "An online Poe museum.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://www.poemuseum.org/"} +{"d:Title": "Easylit Poe", "d:Description": "Edgar Allan Poe's complete works, essays on his work, merchandise, and a short biography on Poe himself.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://www.easylit.com/poe"} +{"d:Title": "A Poe Webliography by Heyward Ehrlich", "d:Description": "A critical guide to electronic resources for Poe research on the internet and CD-ROM, including electronic texts, commentaries, backgrounds, literary indexes and search engines.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://andromeda.rutgers.edu/~ehrlich/poesites.html"} +{"d:Title": "The Poe Decoder", "d:Description": "Offers essays about Edgar Allan Poe's life and works written by qualified people.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://www.poedecoder.com/"} +{"d:Title": "Mr. B's Edgar Allan Poe Page", "d:Description": "Includes selected short stories, brief biographical data, and links to other Poe resources.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://members.tripod.com/~RGBJR/index.html"} +{"d:Title": "Knowing Poe", "d:Description": "Explore Poe\u2019s Baltimore through this highly engaging site that includes audio readings and an interactive timeline.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://knowingpoe.thinkport.org/"} +{"d:Title": "Robert Bloch on Poe and Lovecraft", "d:Description": "Comparison of the two preeminent American authors of supernatural horror and fantasy.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://alangullette.com/lit/hpl/bloch.htm"} +{"d:Title": "Poe Stories", "d:Description": "Contains Poe's works, story summaries, quotes, Poe wordlist with definitions, photos and paintings.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://www.poestories.com/"} +{"d:Title": "Qrisse's Edgar Allan Poe Pages", "d:Description": "An extensive biography, chat, message walls, comments on Poe's work and links to Poe sites.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://www.poedecoder.com/Qrisse/"} +{"d:Title": "House of Usher", "d:Description": "Virtual library including links to pages helpful for researching and understanding Poe.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://www.houseofusher.net/"} +{"d:Title": "Raven Society", "d:Description": "Honorary society at the University of Virginia, named after Poe's poem: maintains the heritage of Poe's time and work.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan", "url": "http://aig.alumni.virginia.edu/raven/"} +{"d:Title": "E. A. Poe Society of Baltimore", "d:Description": "Etext of Myths and Reality: The Mysterious Mr. Poe from the Edgar Allan Poe Society of Baltimore.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Biography", "url": "http://www.eapoe.org/papers/psbbooks/pb19871.htm"} +{"d:Title": "Edgar Allan Poe National Historic Site", "d:Description": "Information on the author's home in Philadelphia from 1838-1844, which is owned and maintained by the National Park System. Contains an outline of Poe's life, biographical information and e-text of The Raven.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Biography", "url": "http://www.nps.gov/edal/"} +{"d:Title": "Edgar Allan Poe in His Time", "d:Description": "A timeline of Poe's life.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Biography", "url": "http://www.heise.de/ix/raven/Literature/Authors/poe/life.html"} +{"d:Title": "Sarah Helen Power Whitman", "d:Description": "Biography of a woman who Poe had a relationship with, and who was also the subject of his second poem entitled \"To Helen\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Biography", "url": "http://womenshistory.about.com/library/bio/blbio_whitman_sarah_helen_power.htm"} +{"d:Title": "Women in the Life of Edgar Allen Poe", "d:Description": "Richard P. Benton essay on Poe's relationship to various women through his lifetime.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Biography", "url": "http://www.eapoe.org/papers/psbbooks/pb19871c.htm"} +{"d:Title": "Edgar A. Poe", "d:Description": "A brief biography and survey of online links of the American poet, and writer of horror, mystery and science fiction.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Biography", "url": "http://alangullette.com/lit/poe/"} +{"d:Title": "Edgar Allan Poe Poetry", "d:Description": "A collection of poems by Poe.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works", "url": "http://www.webterrace.com/poe"} +{"d:Title": "The Canon of Poe's Works", "d:Description": "At the E. A. Poe Society of Baltimore. Etexts divided into poems, tales and other writings.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works", "url": "http://www.eapoe.org/works/canon/poecanon.htm"} +{"d:Title": "Literature Network: Edgar Allan Poe", "d:Description": "Selected works. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works", "url": "http://www.online-literature.com/poe/"} +{"d:Title": "The Purloined Letter and Other Short Stories", "d:Description": "A collection of short stories with online hypertexts, downloadable e-texts, and discussion groups.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works", "url": "http://www.learnlibrary.com/book/poe/purlioned-letter/"} +{"d:Title": "Everypoet: The Raven", "d:Description": "E-text of The Raven.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Poems", "url": "http://www.everypoet.com/archive/poetry/Edgar_Allen_Poe/edgar_allen_poe_the_raven.htm"} +{"d:Title": "The Raven (audio)", "d:Description": "Read by Basil Rathbone. Available in MP3 and RealAudio formats.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Poems", "url": "http://www.salon.com/2000/11/01/poe_4/"} +{"d:Title": "The Poetic Principle", "d:Description": "E-text of essay submitted to Home Journal, August 31, 1850.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Reviews", "url": "http://www.eapoe.org/works/essays/poetprnd.htm"} +{"d:Title": "The Thousand-and-Second Tale of Scheherazade", "d:Description": "Short story parody of the Arabian Nights tales by Edgar Allan Poe, first published in 1850.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://www.eapoe.org/works/tales/schzdc.htm"} +{"d:Title": "Bartleby.com: Edgar Allan Poe", "d:Description": "Biography, critical essays, and etexts of \"Eleonora,\" \"The Fall of the House of Usher\" and \"The Purloined Letter.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://www.bartleby.com/310/3/"} +{"d:Title": "Page By Page Books: Edgar Allan Poe", "d:Description": "HTML etexts of several short stories.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://www.pagebypagebooks.com/Edgar_Allan_Poe/"} +{"d:Title": "The Fall of the House of Usher", "d:Description": "Presentation notes, background information and themes.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://www.watershedonline.ca/literature/Poe/pousher.html"} +{"d:Title": "The Gold Bug- Introduction", "d:Description": "Essay by Arthur Paul Patterson.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://www.watershedonline.ca/literature/Poe/goldbug/pogoldbug.html"} +{"d:Title": "The Pit and the Pendulum--From Death to Rebirth", "d:Description": "Essay by Arthur Paul Patterson.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://www.watershedonline.ca/literature/Poe/pit/PitAndPendulum.html"} +{"d:Title": "The Facts in the Case of M. Valdemar", "d:Description": "At Doyle and Macdonald.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://www.sff.net/people/DoyleMacdonald/l_valdem.htm"} +{"d:Title": "The Fall of the House of Usher", "d:Description": "HTML text, in page by page format.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://pagebypagebooks.com/Edgar_Allen_Poe/The_Fall_of_the_House_of_Usher/The_Fall_of_the_House_of_Usher_p1.html"} +{"d:Title": "Tales", "d:Description": "Downloadable files from the University of North Carolina.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Poe,_Edgar_Allan/Works/Tales", "url": "http://docsouth.unc.edu/southlit/poe/menu.html"} +{"d:Title": "Thoreau, Walden and the Environment", "d:Description": "The Walden Woods Project preserves Thoreau\u2019s land and legacy by protecting Walden Woods, offering education programs, and managing the Thoreau Institute\u2019s research collections.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.walden.org/"} +{"d:Title": "Today in History: July 12", "d:Description": "The Library of Congress website honors Thoreau with an essay on his literary and philosophical contributions.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://lcweb2.loc.gov/ammem/today/jul12.html"} +{"d:Title": "Poetry on Thoreau", "d:Description": "Poems about Thoreau, from Amy Belding Brown, Louisa May Alcott, Ralph Waldo Emerson and Sonya Welter.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://eserver.org/thoreau/poetry.html"} +{"d:Title": "Simply Walking", "d:Description": "Mark Stabb's essay reflecting on Thoreau's \"Walking.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://eserver.org/thoreau/simply.html"} +{"d:Title": "Writing a Paper on Thoreau", "d:Description": "Advice from Fred Musante for students writing a paper on Thoreau.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://eserver.org/thoreau/papers.html"} +{"d:Title": "Henry David Thoreau (1817-1862): A Guide to Resources", "d:Description": "A concise, simple directory to resources on Thoreau and his classics including Walden, Civil Disobedience and Walking, plus resources on Transcendentalist authors, philosophy and literature.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.transcendentalists.com/1thorea.html"} +{"d:Title": "Henry David Thoreau and the Walden Mailing List", "d:Description": "A site devoted to Thoreau and the home of the Walden mailing list, dedicated to discussions of his work and thinking.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.mcelhearn.com/thoreau/thoreau.html"} +{"d:Title": "The Metamorphoses of Walden", "d:Description": "A discussion of Thoreau's contradictory relationship to both Transcendentalism and to science. In considering this, especial emphasis is put on Thoreau's observations of insects, so that entomology becomes a crucial link.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.davidspooner.org/"} +{"d:Title": "The Walden Mailing List", "d:Description": "Mailing list (waldenlist) dedicated to Henry David Thoreau.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.mcelhearn.com/thoreau/waldenlist.html"} +{"d:Title": "Henry David Thoreau at Today in Literature", "d:Description": "Biographical stories about Thoreau's life and the creation of specific works. Requires free registration to read full articles.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.todayinliterature.com/biography/henry.david.thoreau.asp"} +{"d:Title": "Henry David Thoreau", "d:Description": "Read about the life of Thoreau and explore three of his works online.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://thoreau.thefreelibrary.com/"} +{"d:Title": "AmericanPoems.com: Henry David Thoreau", "d:Description": "Find a short biography and a selection of Thoreau's most famous poems, plus analysis and comments on the poems.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.americanpoems.com/poets/thoreau"} +{"d:Title": "Thoreau Reader", "d:Description": "Three complete books and four essays by Henry David Thoreau, annotated copies of Walden and Civil Disobedience, links to other Thoreau sites, and help for students on the Walden Express", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://thoreau.eserver.org/"} +{"d:Title": "The Thoreau Society", "d:Description": "Organization to promote Thoreau's life and works through education, outreach, and advocacy. Includes membership information, activities and teacher resources.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.thoreausociety.org/"} +{"d:Title": "Henry David Thoreau Teaching Guide", "d:Description": "A guide for teaching Thoreau which can also serve as an introduction for students. Analysis of themes, suggested classroom strategies, questions, bibliography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/thoreau.html"} +{"d:Title": "Four Pioneering Environmental Advocates, 1845-1913", "d:Description": "A book review on H-NET by Adam M. Sowards on Robert L. Dorman's book, A Word for Nature, which includes Thoreau as one of its four subjects.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David", "url": "http://www.h-net.org/reviews/showrev.php?id=2963"} +{"d:Title": "Thoreau", "d:Description": "A biographical essay by Emerson about Thoreau, printed in the Atlantic Monthly, 1862.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Biographies", "url": "http://eserver.org/thoreau/emerson1.html"} +{"d:Title": "Images of Thoreau", "d:Description": "Pictures and words describing Thoreau.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Biographies", "url": "http://eserver.org/thoreau/images.html"} +{"d:Title": "Thoreau's Pencils", "d:Description": "An essay on Thoreau's career as a pencil maker as an example of an inventive mind.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Biographies", "url": "http://www.uh.edu/admin/engines/epi339.htm"} +{"d:Title": "Three Thoreaus", "d:Description": "Ralph Waldo Emerson, Robert Louis Stevenson, and John Burroughs all wrote about Thoreau. Includes an essay on the relationship between Emerson and Thoreau.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Biographies", "url": "http://eserver.org/thoreau/hdtx3.html"} +{"d:Title": "Thoreau: Genius Ignored", "d:Description": "A detailed biography of Thoreau by Lucius Furius.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Biographies", "url": "http://eserver.org/thoreau/ignored.html"} +{"d:Title": "Maine Woods", "d:Description": "Hypertext of Thoreau's book on three trips he took to Maine.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works", "url": "http://eserver.org/thoreau/mewoods.html"} +{"d:Title": "Life without Principle", "d:Description": "An 1854 lecture evolved into this essay; Thoreau rails against a culture whose primary focus is financial. Includes the text of the essay in two parts and a brief history of the essay.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works", "url": "http://eserver.org/thoreau/lifewout.html"} +{"d:Title": "John Brown: A Plea for Captain John Brown", "d:Description": "Thoreau's 1859 defense of the controversial John Brown, in HTML searchable format.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works", "url": "http://www.transcendentalists.com/thoreau_plea_john_brown.htm"} +{"d:Title": "Thoreau Reader", "d:Description": "Three complete books and three essays by Thoreau, annotated versions of Walden and Civil Disobedience, links to other Thoreau and Walden sites, and help for students on the Walden Express.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works", "url": "http://eserver.org/thoreau/"} +{"d:Title": "\"Thoreau Transforms His Journal into \"Slavery in Massachusetts\"", "d:Description": "Thoreau's journal entries of May and June of 1854 evolve into a call for the end of American slavery.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works", "url": "http://eserver.org/thoreau/edsav1.html"} +{"d:Title": "Cape Cod", "d:Description": "Hypertext version of Thoreau's Cape Cod, including the 1908 introduction by Clifton Johnson.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works", "url": "http://thoreau.eserver.org/capecd00.html"} +{"d:Title": "Slavery in Massachusetts", "d:Description": "Hypertext of Thoreau's essay.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works", "url": "http://thoreau.eserver.org/slavery.html"} +{"d:Title": "On the Duty of Civil Disobedience", "d:Description": "Complete chapter-indexed e-text from Literature Project.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Civil_Disobedience", "url": "http://literatureproject.com/civil-disobedience/civil-disobedience.htm"} +{"d:Title": "Civil Disobedience", "d:Description": "Online annotated hypertext of Thoreau's classic work.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Civil_Disobedience", "url": "http://eserver.org/thoreau/civil.html"} +{"d:Title": "Civil Disobedience", "d:Description": "Also known as Resistance to Civil Government. Includes many well-known quotes.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Civil_Disobedience", "url": "http://www.transcendentalists.com/civil_disobedience.htm"} +{"d:Title": "Poets' Corner - Henry David Thoreau - Selected Works", "d:Description": "Nine poems: \"What's the Railroad to Me?\", \"They Who Prepare My Evening Meal Below,\" \"On Fields O'er Which the Reaper's Hand Has Pass'd,\" \"Pray to What Earth Does This Sweet Cold Belong,\" \"Winter Memories,\" \"Mist,\" \"Smoke,\" \"The Inward Morning,\" and \"Indeed Indeed, I cannot tell.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Poetry", "url": "http://www.theotherpages.org/poems/poem-st.html#thoreau"} +{"d:Title": "Literature Network: Walden", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walden", "url": "http://www.online-literature.com/thoreau/walden/"} +{"d:Title": "Thoreau Reader: Walden", "d:Description": "Hypertext of Thoreau's Walden, divided into chapters, and including relevant links to understand the text better.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walden", "url": "http://eserver.org/thoreau/walden00.html"} +{"d:Title": "University of Virginia Etexts: Walden", "d:Description": "HTML version of the Henry David Thoreau classic.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walden", "url": "http://xroads.virginia.edu/~HYPER/WALDEN/walden.html"} +{"d:Title": "The Walden Express", "d:Description": "A quick guide for students, with very brief summaries and study recommendations.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walden", "url": "http://eserver.org/thoreau/waldenxp.html"} +{"d:Title": "Classic Bookshelf: Walden", "d:Description": "Online text with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walden", "url": "http://www.classicbookshelf.com/library/Thoreau/"} +{"d:Title": "Walden", "d:Description": "Find Thoreau's essay \"Walden\" divided by chapters, each in HTML format.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walden", "url": "http://thoreau.thefreelibrary.com/Walden-&-on-the-Duty-of-Civil-Disobedience"} +{"d:Title": "A Large Number of Words by Thoreau", "d:Description": "An essay by Julian Darius on Thoreau and American identity.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walden", "url": "http://www.literaryescorts.com/?act=non-fiction&item=561"} +{"d:Title": "Walking", "d:Description": "HTML version of Thoreau's essay.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walking", "url": "http://thoreau.thefreelibrary.com/Walking"} +{"d:Title": "Walking", "d:Description": "Walking, by Henry David Thoreau, reformatted for the web (HTML format).", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walking", "url": "http://www.transcendentalists.com/walking.htm"} +{"d:Title": "Walking", "d:Description": "Hypertext of Thoreau's \"Walking,\" in several parts, with links to other online versions.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Thoreau,_Henry_David/Works/Walking", "url": "http://eserver.org/thoreau/walking.html"} +{"d:Title": "Harper's Weekly Magazine: Mark Twain", "d:Description": "Includes an article consisting of a contemporary's remarks about the author which were made at his 70th birthday celebration on December 23, 1905.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark", "url": "http://etext.lib.virginia.edu/railton/sc_as_mt/70birthday/harpers11.html"} +{"d:Title": "MT's Memory Builder", "d:Description": "Game board created as a way to help his daughters learn history, but developed into a marketable commodity that he hoped would sweep the country.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark", "url": "http://etext.virginia.edu/railton/marketin/memory.html"} +{"d:Title": "Mark Twain: A Film Directed by Ken Burns", "d:Description": "Accompanying web site for the PBS production. Much background information, including audio, video, interviews and ephemera.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark", "url": "http://www.pbs.org/marktwain/"} +{"d:Title": "PinkMonkey.com: Mark Twain", "d:Description": "Barron's Booknotes summary online for \"The Adventures of Huckleberry Finn\" and other titles.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark", "url": "http://www.pinkmonkey.com/booknotes/barrons/huckfin.asp"} +{"d:Title": "Mark Twain: Life and Racism", "d:Description": "Detailed review of Twain's life and his attitude toward racial issues.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark", "url": "http://marktwain.veitkuehne.de/"} +{"d:Title": "The Free Library: MarkTwain", "d:Description": "Read about Mark Twain's life and his work online.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark", "url": "http://twain.thefreelibrary.com/"} +{"d:Title": "Mark Twain Papers and Project", "d:Description": "The Bancroft Library.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark", "url": "http://bancroft.berkeley.edu/MTP/"} +{"d:Title": "Inventing Mark Twain", "d:Description": "From the biography by Andrew Hoffman, courtesy of the Washington Post.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Biographies", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/books/chap1/inventingmarktwain.htm"} +{"d:Title": "Mark Twain in His Times", "d:Description": "Texts and manuscripts, contemporary reviews and articles, images and interactive exhibits.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Biographies", "url": "http://etext.virginia.edu/railton/index2.html"} +{"d:Title": "Mark Twain | Connecticut History", "d:Description": "Photos and biographical information from the Connecticut Humanities Council.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Biographies", "url": "http://connecticuthistory.org/people/samuel-clemens-1835-1910/"} +{"d:Title": "TwainWeb", "d:Description": "The Mark Twain Forum \u2013 a mailing list for persons having a scholarly interest in the life and writings of Mark Twain.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Chats_and_Forums", "url": "http://www.twainweb.net/"} +{"d:Title": "Mark Twain Speaking", "d:Description": "Video and iInformation on programs, booking and press. Represented by agent in Houston, Texas.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Impersonators", "url": "http://www.marktwainslaststand.com/"} +{"d:Title": "Here's Mark Twain", "d:Description": "Pennsylvania-based character actor provides testimonials, rates and a video.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Impersonators", "url": "http://www.heresmarktwain.com/"} +{"d:Title": "Mark Twain Quotations", "d:Description": "Quotations, newspaper collections and related resources.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Quotations", "url": "http://www.twainquotes.com/"} +{"d:Title": "Literature Network: Life on the Mississippi", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works", "url": "http://www.online-literature.com/twain/life_mississippi/"} +{"d:Title": "Classic Bookshelf: Mark Twain", "d:Description": "Texts of six novels and Following the Equator. With adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works", "url": "http://www.classicbookshelf.com/library/Twain/"} +{"d:Title": "Innocents Abroad", "d:Description": "Etext and study resources at the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works", "url": "http://etext.virginia.edu/railton/innocent/iahompag.html"} +{"d:Title": "The Territorial Enterprise", "d:Description": "Includes works by and about Twain from his work on the Nevada newspaper.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works", "url": "http://www.territorial-enterprise.com/"} +{"d:Title": "Mark Twain Books", "d:Description": "Several works in HTML format. Each book is divided into pages. At Classic Literature Library.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works", "url": "http://mark-twain.classic-literature.co.uk/"} +{"d:Title": "A Tramp Abroad", "d:Description": "by Mark Twain.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works", "url": "http://www.worldwideschool.org/library/books/lit/marktwain/ATrampAbroad/Chap1.html"} +{"d:Title": "Penguin Random House - Mark Twain", "d:Description": "A brief biography and book list.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works", "url": "http://www.penguinrandomhouse.com/authors/31611/mark-twain"} +{"d:Title": "Is Shakespeare Dead?", "d:Description": "Etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Essays", "url": "http://www.pagebypagebooks.com/Mark_Twain/Is_Shakespeare_Dead/"} +{"d:Title": "Bibliomania.com", "d:Description": "Etexts of The Adventures of Tom Sawyer and Huckleberry Finn, with brief biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels", "url": "http://www.bibliomania.com/0/0/54/frameset.html"} +{"d:Title": "Literature Network: The Adventures of Tom Sawyer", "d:Description": "Chapter indexed HTML text, with search feature.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Adventures_of_Tom_Sawyer", "url": "http://www.online-literature.com/twain/tomsawyer/"} +{"d:Title": "The Adventures of Tom Sawyer", "d:Description": "Online chapter indexed hypertext of the book, with a downloadable text version.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Adventures_of_Tom_Sawyer", "url": "http://www.literatureproject.com/tom-sawyer/index.htm"} +{"d:Title": "Tom Sawyer", "d:Description": "Includes background information and sections on composition, publication, piracy, advertising, publicity, etext, illustrations and contemporary reviews.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Adventures_of_Tom_Sawyer", "url": "http://etext.virginia.edu/railton/tomsawye/tomhompg.html"} +{"d:Title": "Tom Sawyer", "d:Description": "Etext in page by page HTML format, from Classic Books Online .", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Adventures_of_Tom_Sawyer", "url": "http://www.pagebypagebooks.com/Mark_Twain/Tom_Sawyer"} +{"d:Title": "Captain Stormfield's Visit to Heaven", "d:Description": "HTML etext, annotated, with cross-references to the Encyclopedia of the Self.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Captain_Stormfield's_Visit_to_Heaven", "url": "http://www.selfknowledge.com/435au.htm"} +{"d:Title": "Captain Stormfield's Visit to Heaven", "d:Description": "HTML etext at PageByPageBooks.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Captain_Stormfield's_Visit_to_Heaven", "url": "http://www.pagebypagebooks.com/Mark_Twain/Captain_Stormfields_Visit_to_Heaven/"} +{"d:Title": "A Connecticut Yankee in King Arthur's Court", "d:Description": "Etext with cookie-based bookmark.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Connecticut_Yankee_in_King_Arthur's_Court,_A", "url": "http://conneticut.com/uma-ctyankee/index.html"} +{"d:Title": "The Morte de Malory", "d:Description": "Essay on Twain's use of Malory's \"Le Morte d'Arthur.\"", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Connecticut_Yankee_in_King_Arthur's_Court,_A", "url": "http://etext.lib.virginia.edu/railton/yankee/malory.html"} +{"d:Title": "A Connecticut Yankee In King Arthur's Court", "d:Description": "E-text of Twain's classic story.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Connecticut_Yankee_in_King_Arthur's_Court,_A", "url": "http://www.pagebypagebooks.com/Mark_Twain/A_Connecticut_Yankee_In_King_Arthurs_Court/"} +{"d:Title": "A Connecticut Yankee in King Arthur's Court", "d:Description": "Etext and study resources at the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Connecticut_Yankee_in_King_Arthur's_Court,_A", "url": "http://twain.lib.virginia.edu/yankee/cyhompg.html"} +{"d:Title": "Extracts from Adam's Diary", "d:Description": "At Wiretap.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Extracts_from_Adam's_Diary", "url": "http://wiretap.area.com/Gopher/Library/Classic/adam.mt"} +{"d:Title": "Literature Network: A Horse's Tale", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Horse's_Tale,_A", "url": "http://www.online-literature.com/twain/horses_tale/"} +{"d:Title": "A Horse's Tale", "d:Description": "Etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Horse's_Tale,_A", "url": "http://www.pagebypagebooks.com/Mark_Twain/A_Horses_Tale/"} +{"d:Title": "LIterature Network: Huckleberry Finn", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Huckleberry_Finn", "url": "http://www.online-literature.com/twain/huckleberry_finn/"} +{"d:Title": "Huck Finn", "d:Description": "Etext and study resources at the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Huckleberry_Finn", "url": "http://etext.virginia.edu/railton/huckfinn/huchompg.html"} +{"d:Title": "A Ken's Look into Huckleberry Finn", "d:Description": "Provides an overview of the book, breakdown of chapters as well as movies of the book.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Huckleberry_Finn", "url": "http://www.scientificameriken.com/huck/huck.htm"} +{"d:Title": "The Adventures of Huckleberry Finn", "d:Description": "Etext in page by page HTML format, from Classic Books Online.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Huckleberry_Finn", "url": "http://www.pagebypagebooks.com/Mark_Twain/Adventures_of_Huckleberry_Finn"} +{"d:Title": "Huckleberry Finn", "d:Description": "Text, illustrations and early reviews at the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Huckleberry_Finn", "url": "http://twain.lib.virginia.edu/"} +{"d:Title": "Personal Recollections of Joan of Arc", "d:Description": "Split into two parts. Plain text. Zip files of plain text also available. At Project Gutenberg.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Personal_Recollections_of_Joan_of_Arc", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=2874"} +{"d:Title": "Personal Recollections of Joan of Arc", "d:Description": "HTML. Each chapter in its own file, with links to next chapter and table of contents.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Personal_Recollections_of_Joan_of_Arc", "url": "http://mark-twain.classic-literature.co.uk/personal-recollections-of-joan-of-arc-vol-1/"} +{"d:Title": "Personal Recollections of Joan of Arc", "d:Description": "A work of fiction, told from the perspective of Joan's page Louis. HTML. 874K.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Personal_Recollections_of_Joan_of_Arc", "url": "http://www.fordham.edu/halsall/basis/conte-joanofarc.asp"} +{"d:Title": "Literature Network: The Prince and the Pauper", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Prince_and_the_Pauper,_The", "url": "http://www.online-literature.com/twain/princepauper/"} +{"d:Title": "The Prince and the Pauper", "d:Description": "Etext in page by page HTML format, from Classic Books Online.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Prince_and_the_Pauper,_The", "url": "http://www.pagebypagebooks.com/Mark_Twain/The_Prince_and_the_Pauper"} +{"d:Title": "Tom Sawyer, Detective", "d:Description": "HTML format, each page separate.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Tom_Sawyer,_Detective", "url": "http://www.pagebypagebooks.com/Mark_Twain/Tom_Sawyer_Detective/"} +{"d:Title": "Tom Sawyer Abroad", "d:Description": "HTML Etext at PageByPageBooks.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Tom_Sawyer_Abroad", "url": "http://www.pagebypagebooks.com/Mark_Twain/Tom_Sawyer_Abroad/"} +{"d:Title": "Pudd'nhead Wilson", "d:Description": "Etext and study resources at the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Tragedy_of_Pudd'nhead_Wilson,_The", "url": "http://etext.virginia.edu/railton/wilson/pwhompg.html"} +{"d:Title": "The Tragedy of Pudd'nhead Wilson", "d:Description": "E-text of the classic story.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Novels/Tragedy_of_Pudd'nhead_Wilson,_The", "url": "http://www.pagebypagebooks.com/Mark_Twain/The_Tragedy_of_Pudd_nhead_Wilson/"} +{"d:Title": "The $30,000 Bequest and Other Stories", "d:Description": "At Wiretap.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Stories_and_Sketches", "url": "http://wiretap.area.com/Gopher/Library/Classic/bequest.mt"} +{"d:Title": "Bartleby.com: Jim Smily and His Jumping Frog", "d:Description": "Text and criticism of the story.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Stories_and_Sketches", "url": "http://www.bartleby.com/310/5/"} +{"d:Title": "The Man that Corrupted Hadleyburg", "d:Description": "Etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Stories_and_Sketches", "url": "http://www.pagebypagebooks.com/Mark_Twain/The_Man_that_Corrupted_Hadleyburg/"} +{"d:Title": "The Bridge-Builders", "d:Description": "Etext.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Twain,_Mark/Works/Stories_and_Sketches", "url": "http://www.pagebypagebooks.com/Mark_Twain/The_Bridge_Builders/The_Bridge_Builders_p1.html"} +{"d:Title": "The Hardwick Bill", "d:Description": "Interview with Washington in the Atlanta Constitution, 1900.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T.", "url": "http://lcweb2.loc.gov/cgi-bin/query/r?ammem/murray:@field(FLD001+91898143+):@@@$REF$"} +{"d:Title": "African American Odyssey: The Booker T. Washington Era", "d:Description": "Detailed Library of Congress exhibit on Washington's life, work, and influence on American culture.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T.", "url": "http://memory.loc.gov/ammem/aaohtml/exhibit/aopart6.html"} +{"d:Title": "Free Online Library - Booker T. Washington", "d:Description": "Creator of the Tuskegee University, read about the life of Booker T. Washington and his work \"Up From Slavery\".", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T.", "url": "http://washington.thefreelibrary.com/"} +{"d:Title": "The Rediscovery of Booker T. Washington: Lessons for Black History Month", "d:Description": "Article by Daniel Hager from the Mackinac Center for Public Policy.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T.", "url": "http://www.mackinac.org/article.aspx?ID=345"} +{"d:Title": "Booker T. Washington", "d:Description": "Hyper-linked biography of Washington with comments on his life by contemporaries.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T.", "url": "http://spartacus-educational.com/USAbooker.htm"} +{"d:Title": "Booker T. Washington's Address at the Jubilee Thanksgiving Services", "d:Description": "Complete text from as originally published in the Chicago Times-Herald, October 18, 1898.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T./Works", "url": "http://lcweb2.loc.gov/cgi-bin/query/r?ammem/murray:@field(FLD001+91898139+):@@@$REF$"} +{"d:Title": "A Protest Against the Burning and Lynching of Negroes", "d:Description": "Text of Washington's letter published in the Birmingham Age-Herald, 1904.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T./Works", "url": "http://lcweb2.loc.gov/cgi-bin/query/r?ammem/murray:@field(FLD001+91898237+):@@@$REF$"} +{"d:Title": "The Negro and the Signs of Civilization", "d:Description": "Washington's essay from The Tradesman, 1899. Also includes \"The Negro's Part in the Upbuilding of the South.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T./Works", "url": "http://lcweb2.loc.gov/cgi-bin/query/r?ammem/murray:@field(FLD001+90898323+):@@@$REF$"} +{"d:Title": "Up From Slavery", "d:Description": "Online publication from Bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Washington,_Booker_T./Works", "url": "http://www.bartleby.com/1004"} +{"d:Title": "The Poet at Work", "d:Description": "Recovered notebooks and cardboard butterfly that disappeared from the Library of Congress in 1942. They were returned on February 24, 1995.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://lcweb2.loc.gov/ammem/wwhome.html"} +{"d:Title": "American Poems: Walt Whitman", "d:Description": "E-text of works and brief biography.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://www.americanpoems.com/poets/waltwhitman/"} +{"d:Title": "Bartleby.com: Walt Whitman", "d:Description": "Searchable works, quotations, small portrait. Also a chapter on Whitman from a history of American literature.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://www.bartleby.com/people/WhitmnW.html"} +{"d:Title": "The Walt Whitman Hypertext Archive", "d:Description": "E-text of works, letters, biographical materials, and contemporary reviews.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://www.whitmanarchive.org/"} +{"d:Title": "Walt Whitman Poets Page", "d:Description": "Site contains biography, photographs, and selected poems.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://www.akoot.com/waltwhitman.html"} +{"d:Title": "I Hear America Singing: Walt Whitman", "d:Description": "An illustrated history of the poet's life from a musical perspective, with biography, timeline, selected works, and video clips. From PBS.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://www.pbs.org/wnet/ihas/poet/whitman.html"} +{"d:Title": "The Academy of American Poets: Walt Whitman", "d:Description": "E-text of works, biography and links.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://www.poets.org/poet.php/prmPID/126"} +{"d:Title": "Spartacus Educational: Walt Whitman", "d:Description": "For schoolchildren. A picture and a biography of the nineteenth-century poet, and some excerpts from his prose writings about the American Civil War.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt", "url": "http://spartacus-educational.com/USASwhitman.htm"} +{"d:Title": "Tribute to Whitman", "d:Description": "Robert Ingersoll's tribute at Whitman's funeral.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Biographies", "url": "http://www.infidels.org/library/historical/robert_ingersoll/tribute-whitman.html"} +{"d:Title": "Mother Bloor Remembers Walt Whitman in Camden", "d:Description": "From the autobiography entitled We Are Many, by Ella Reeve Bloor.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Biographies", "url": "http://www.writing.upenn.edu/~afilreis/88/whitman-per-bloor.html"} +{"d:Title": "Drum Taps and Washington's Civil War Hospitals", "d:Description": "Discusses the poem and its relation to events and places in the Civil War.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Reviews", "url": "http://xroads.virginia.edu/~CAP/hospital/whitman.htm"} +{"d:Title": "A Sort of Visitor in Life", "d:Description": "Rob Couteau's biographical essay on the author, published in West Hills Review, 1985, explores the poet as an American ideal, focusing on his spirituality and politics.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Reviews", "url": "http://www.tygersofwrath.com/whitman1.htm"} +{"d:Title": "Reviews of Whitman's Works", "d:Description": "Reviews and criticism at the Walt Whitman Hypertext Archive.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Reviews", "url": "http://www.whitmanarchive.org/criticism/index.html"} +{"d:Title": "Major Themes, Historical Perspectives, and Personal Issues of Walt Whitman", "d:Description": "Analysis of several of Whitman's works,by Betsy Eikkila, part of an online syllabus.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Reviews", "url": "http://college.cengage.com/english/heath/syllabuild/iguide/whitman.html"} +{"d:Title": "Emerson on Walt Whitman's \"Leaves of Grass\"", "d:Description": "Ralph Waldo Emerson's July 21, 1855 letter praising \"Leaves of Grass\", and scholarly criticism concerning that letter.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Reviews", "url": "http://www.classroomelectric.org/volume1/belasco/whitman-emerson.htm"} +{"d:Title": "Prose Works", "d:Description": "Online publication of the 1892 edition.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Works", "url": "http://www.bartleby.com/229/"} +{"d:Title": "Leaves of Grass", "d:Description": "Online publication of the 1900 edition.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Works", "url": "http://www.bartleby.com/142/index.html"} +{"d:Title": "Leaves of Grass", "d:Description": "The complete online HTML text, extensively annotated, with references cross-linked to the Encyclopedia of the Self.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Works", "url": "http://www.selfknowledge.com/lvgrs10.htm"} +{"d:Title": "When I Heard the Learn'd Astronomer", "d:Description": "E-text of the poem.", "topic": "Top/Arts/Literature/World_Literature/American/19th_Century/Whitman,_Walt/Works", "url": "http://www.naic.edu/~gibson/poems/whitman1.html"} +{"d:Title": "A Princess in Berlin", "d:Description": "Online text of Arthur R.G. Solmssen's 1982 novel plays in Berlin at the time of the murder of Walther Rathenau.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century", "url": "http://www.acamedia.info/literature/princess/A_Princess_In_Berlin.htm"} +{"d:Title": "O. Henry Awards", "d:Description": "Annual collection of the year's best stories published in American and Canadian magazines and written by American or Canadian authors.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century", "url": "https://www.randomhouse.com/anchor/ohenry/"} +{"d:Title": "Penguin Random House - James Baldwin", "d:Description": "Biography and book synopses.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Baldwin,_James", "url": "http://www.penguinrandomhouse.com/authors/1261/james-baldwin"} +{"d:Title": "Truman Capote", "d:Description": "Wikipedia biography, features information on people and places that were significant to the author.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Capote,_Truman", "url": "http://en.wikipedia.org/wiki/Truman_Capote"} +{"d:Title": "Fresh Air: Michael Chabon", "d:Description": "RealAudio interview.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Chabon,_Michael", "url": "http://www.npr.org/templates/story/story.php?storyId=1122285"} +{"d:Title": "Michael Chabon", "d:Description": "Author's official site includes list of works.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Chabon,_Michael", "url": "https://www.harpercollins.com/authors/michaelchabon"} +{"d:Title": "Salon.com: \"The Amazing Adventures of Kavalier&Clay\" by Michael Chabon", "d:Description": "Amy Benfer's favorable review: \"In the fusion of dashing young men in fresh new $12 suits, the smell of newsprint and burned coffee and laundry, and the courage to face unrelenting evil with pluck and humor, Chabon has created an important work, a version of the 20th century both thrillingly recognizable and all his own.\"", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Chabon,_Michael/Works/Amazing_Adventures_of_Kavalier_and_Clay,_The", "url": "http://www.salon.com/2000/09/28/chabon_2/"} +{"d:Title": "Penguin Random House - Michael Chabon", "d:Description": "Biography and book list.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Chabon,_Michael/Works/Amazing_Adventures_of_Kavalier_and_Clay,_The", "url": "http://www.penguinrandomhouse.com/authors/4580/michael-chabon"} +{"d:Title": "James Dickey in The Atlantic", "d:Description": "Poems, some with audio recordings, and articles about the poet and novelist.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Dickey,_James", "url": "http://www.theatlantic.com/unbound/poetry/dickey/jdindex.htm"} +{"d:Title": "Academy of American Poets: James Dickey", "d:Description": "Short biography, photograph, bibliography, and links.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Dickey,_James", "url": "http://www.poets.org/poet.php/prmPID/363"} +{"d:Title": "IMDb: James Dickey", "d:Description": "His film and television credits.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Dickey,_James", "url": "http://imdb.com/name/nm0225463/"} +{"d:Title": "The James Dickey Page", "d:Description": "Personal page devoted to the author includes biographical information, photos of Dickey, images of book covers, remembrances, information for collectors.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Dickey,_James", "url": "http://eric.stamey.com/dickey.html"} +{"d:Title": "Modern American Poetry: James Dickey (1923-1997)", "d:Description": "Timeline, selected poems online, bibliography.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Dickey,_James", "url": "http://www.english.illinois.edu/maps/poets/a_f/dickey/dickey.htm"} +{"d:Title": "New Georgia Encyclopedia: James Dickey (1923-1997)", "d:Description": "Biography of the poet. Includes portraits.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Dickey,_James", "url": "http://www.georgiaencyclopedia.org/articles/arts-culture/james-dickey-1923-1997"} +{"d:Title": "Timothy McSweeney's Internet Tendency", "d:Description": "Literary magazine edited by the author.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://www.mcsweeneys.net/"} +{"d:Title": "Complete Potshot at Dave Eggers", "d:Description": "Footnotes to the opening of the author's memoir, with personal criticism.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://www.coldbacon.com/eggers.html"} +{"d:Title": "The Guardian: The Agony and the Irony", "d:Description": "Interview with the author, by Stephanie Merritt.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://www.theguardian.com/books/2000/may/14/biography.features"} +{"d:Title": "The Guardian: Come to the Cabaret", "d:Description": "Review of \"A Heartbreaking Work of Staggering Genius\" by Adam Begley.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://www.theguardian.com/books/2000/jul/15/biography"} +{"d:Title": "Guardian Unlimited: You Shall Know Our Velocity", "d:Description": "Review of the novel by Adam Mars-Jones in The Observer.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://www.theguardian.com/books/2003/feb/02/fiction.features1"} +{"d:Title": "Salon.com: Dave Eggers", "d:Description": "An interview by the author with Mark Eitzel, plus a review of A Heartbreaking Work of Staggering Genius and a discussion of the book with Amy Benfer.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://www.salon.com/topic/dave_eggers/"} +{"d:Title": "Salon.com: You Shall Know Our Velocity", "d:Description": "Review of the novel, with links to other articles on the author.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://www.salon.com/2002/10/31/eggers_3/"} +{"d:Title": "Knopf Doubleday : Dave Eggers", "d:Description": "Short biography and books list.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Eggers,_Dave", "url": "http://knopfdoubleday.com/author/7857/dave-eggers/"} +{"d:Title": "Interview", "d:Description": "Interview with Bret Easton Ellis.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Ellis,_Bret_Easton", "url": "http://www.altx.com/interviews/bret.easton.ellis.html"} +{"d:Title": "Tabula Rasa: The American Psycho Files", "d:Description": "Items from American and Australian publications about the novel and the censorship debate which it provoked.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Ellis,_Bret_Easton", "url": "http://www.tabula-rasa.info/Horror/AmericanPsychoFiles.html"} +{"d:Title": "American Psycho", "d:Description": "Review from The Tech.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Ellis,_Bret_Easton", "url": "http://tech.mit.edu/V111/N18/jackso.18o.html"} +{"d:Title": "The Ghetto Trap", "d:Description": "A study of the social history behind Hansberry's play.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Hansberry,_Lorraine", "url": "http://www.literature-study-online.com/essays/hansberry.html"} +{"d:Title": "The Creative Americans: Portraits by Carl Van Vechten, 1932 - 1964", "d:Description": "Collection contains 1,400 Portraits of literary figures, artists, and celebrities, many of them figures from the movement.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "http://memory.loc.gov/ammem/vvhtml/vvhome.html"} +{"d:Title": "African American Women Dreaming in Color", "d:Description": "About the role of women in the movement.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "http://womenshistory.about.com/library/weekly/aa022900a.htm"} +{"d:Title": "About Harlem Renaissance Women - Women's History", "d:Description": "Links to biographical material on women who were part of the movement, from the About.com Guide to Women's History.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "http://womenshistory.about.com/library/bio/blbio_list_harlem.htm"} +{"d:Title": "Harlem Renaissance, 1919-1937", "d:Description": "A research guide and reference, with background, list of individuals (many with links to further information), timeline, assessment of the importance of the movement.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "http://www.csustan.edu/english/reuben/pal/chap9/chap9.html"} +{"d:Title": "Rhapsodies in Black", "d:Description": "Introduction to the period of the flowering of the arts in the black community in Harlem. Included are backgrounds for artists, a bibliography, and a chronology.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "http://www.iniva.org/harlem/"} +{"d:Title": "Wikipedia: Nella Larsen", "d:Description": "Biography of the writer, with summaries of \"Quicksand\" and \"Passing\".", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "http://en.wikipedia.org/wiki/Nella_Larsen"} +{"d:Title": "The Harlem Renaissance", "d:Description": "A collection of art, poetry, and prose. Includes a link to subscribe to an email discussion list.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "http://www.nku.edu/~diesmanj/guides/"} +{"d:Title": "Poets of the Harlem Renaissance and After", "d:Description": "Offers a brief guide.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Harlem_Renaissance", "url": "https://www.poets.org/poetsorg/text/brief-guide-harlem-renaissance"} +{"d:Title": "About.com: Zora Neale Hurston", "d:Description": "Information on her life and works. Includes biography, links, bibliography, quotations.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Hurston,_Zora_Neale", "url": "http://womenshistory.about.com/library/bio/blhurston.htm"} +{"d:Title": "Zora Neale Hurston", "d:Description": "Short stories Spunk and Black Death, available online.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Hurston,_Zora_Neale", "url": "http://www.nku.edu/~diesmanj/guides/znhurston.html"} +{"d:Title": "Zora Neale Hurston Festival of the Arts and Humanities", "d:Description": "An annual gathering in Hurston's self-proclaimed birthplace of Eatonville, Florida.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Hurston,_Zora_Neale", "url": "http://www.zoranealehurstonfestival.com/"} +{"d:Title": "Hurston, Zora Neale", "d:Description": "Reviews of her works, in the Literature, Arts&Medicine Database.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Hurston,_Zora_Neale", "url": "http://medhum.med.nyu.edu/person/2370"} +{"d:Title": "Portrait of Zora Neale Hurston", "d:Description": "From the Library of Congress collection: Creative Americans: Portraits by Carl Van Vechten, 1932-1964.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Hurston,_Zora_Neale", "url": "http://lcweb2.loc.gov/ammem/aaohtml/exhibit/aopart7b.html#0712"} +{"d:Title": "Mundane Evil", "d:Description": "Brief biography, timeline and reports on her works.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley", "url": "http://www.angelfire.com/mi/sjackson/"} +{"d:Title": "Tabula Rasa: Shirley Jackson", "d:Description": "Critical essay about Shirley Jackson's life and work. Includes a selected bibliography.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley", "url": "http://www.tabula-rasa.info/DarkAges/ShirleyJackson.html"} +{"d:Title": "Yahoo! Groups: shirleyjackson", "d:Description": "Live chat and message board for Shirley Jackson fans.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley", "url": "http://groups.yahoo.com/group/shirleyjackson/"} +{"d:Title": "Shirley Jackson: \"Delight in What I Fear\"", "d:Description": "Contrasts the life of Jackson with her writing, including work published posthumously. [Omni]", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley", "url": "http://www.darkecho.com/darkecho/darkthot/jackson.html"} +{"d:Title": "Shirley Jackson&The Haunting of Hill House", "d:Description": "The movie remake prompts Paula Guran to evaluate the horror novel and introduce its author.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley", "url": "http://www.darkecho.com/darkecho/horroronline/jackson.html"} +{"d:Title": "IMDb: Shirley Jackson", "d:Description": "Movies and television productions based on her work.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley", "url": "http://www.imdb.com/name/nm0414047/"} +{"d:Title": "Shirley Jackson Bibliography", "d:Description": "A bibliography of Jackson's books and short stories, with book covers and links to related authors.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley", "url": "https://www.fantasticfiction.com/j/shirley-jackson/"} +{"d:Title": "BrothersJudd.com - Review of Shirley Jackson's We Have Always Lived in the Castle", "d:Description": "Setting and review of the disturbing novel about two sisters living in a ruined house. Links to other material.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley/Works", "url": "http://www.brothersjudd.com/index.cfm/fuseaction/reviews.detail/book_id/398/We%20Have%20Alwa.htm"} +{"d:Title": "NYTimes.com Review: Distress Signals", "d:Description": "A scathing review of the posthumous collection Just an Ordinary Day, which singles out the worthwhile stories buried among those that \"should have been mercifully allowed to molder away\".", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Jackson,_Shirley/Works", "url": "http://www.nytimes.com/1996/12/29/books/distress-signals.html"} +{"d:Title": "Barron's Booknotes: To Kill a Mockingbird", "d:Description": "Chapter summary for \"To Kill a Mockingbird\".", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Lee,_Harper/To_Kill_a_Mockingbird", "url": "http://www.pinkmonkey.com/booknotes/barrons/mocking.asp"} +{"d:Title": "Homework Online: To Kill A Mockingbird", "d:Description": "Study guide with chapter summaries, character profiles and identification of themes.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Lee,_Harper/To_Kill_a_Mockingbird", "url": "https://www.homework-online.com/to-kill-a-mockingbird/index.html"} +{"d:Title": "The Mencken Society", "d:Description": "Baltimore, Maryland-based group which promotes the memory and reading of the works of Henry Louis Mencken.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L.", "url": "http://www.mencken.org/"} +{"d:Title": "Friends of the H. L. Mencken House", "d:Description": "Information about the Baltimore row house that Mencken lived in for most of his life; efforts to preserve and restore the home; and a biography of the man.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L.", "url": "http://www.menckenhouse.org/"} +{"d:Title": "H. L. Mencken Quotes", "d:Description": "Quotations on education, poetry, and other subjects collected by a Lock Haven University professor.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L.", "url": "http://www.lhup.edu/~dsimanek/mencken.htm"} +{"d:Title": "Millard Fillmore's Bathtub", "d:Description": "Describes Mencken's hoax which asserted that no bathtub existed in the White House prior to Millard Fillmore's administration.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L.", "url": "https://sniggle.net/bathtub.php"} +{"d:Title": "H. L. Mencken Room and Collection", "d:Description": "A biography and description of Mencken's impact on American culture, as well as a description of the permanent archive of his writings at the Enoch Pratt Free Library in Baltimore.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L.", "url": "http://www.prattlibrary.org/locations/mencken/index.aspx?id=7960&mark=H.L.+Mencken+Room"} +{"d:Title": "American Writers: H.L. Mencken", "d:Description": "From C-Span, a video of the broadcast about Mencken.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L.", "url": "https://www.c-span.org/video/?165662-1/writings-hl-mencken"} +{"d:Title": "Bartleby.com: The American Language", "d:Description": "Full text organized by chapter of Mencken's analysis of the discrepancies between British and American English and the distinguishing characteristics of the latter.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L./Works", "url": "http://www.bartleby.com/people/Mencken.html"} +{"d:Title": "Prejudices: First Series.", "d:Description": "HTML and SGML full text of H.L. Mencken's 1919 work, along with title page illustration. Sponsored by the Documenting the American South project at the University of North Carolina.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Mencken,_H._L./Works", "url": "http://docsouth.unc.edu/southlit/mencken/menu.html"} +{"d:Title": "Chris Moore", "d:Description": "Author's site. Features excerpts and reviews of his books, interviews, biography, recommended reading, photo galleries, and bulletin board.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Moore,_Christopher", "url": "http://www.chrismoore.com/"} +{"d:Title": "The Agony Column: Fluke", "d:Description": "Positive review by Rick Kleffel.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Moore,_Christopher", "url": "http://trashotron.com/agony/reviews/2003/moore-fluke.htm"} +{"d:Title": "The Agony Column: Practical Demonkeeping", "d:Description": "Rick Kleffel's review of the debut novel.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Moore,_Christopher", "url": "http://trashotron.com/agony/reviews/moore-practical_demon.htm"} +{"d:Title": "SF Site: Island of the Sequined Love Nun", "d:Description": "Steven H. Silver's positive review of the novel.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Moore,_Christopher", "url": "http://www.sfsite.com/06a/nun34.htm"} +{"d:Title": "Featured Author: Joyce Carol Oates", "d:Description": "New York Times reviews, articles and interviews concerning Oates and her work. Includes articles written by Oates.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol", "url": "http://www.nytimes.com/books/98/07/05/specials/oates.html"} +{"d:Title": "The Horror of Connie's Story and Ours", "d:Description": "A feminist analysis by Patrick Paul Christle of Joyce Carol Oates's short story, \"Where Are You Going, Where Have You Been?\".", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol", "url": "http://christle.freeshell.org/essays/oates.pdf"} +{"d:Title": "\"This Is the Time for Which We Have Been Waiting\" by Joyce Carol\u00a0Oates", "d:Description": "The author reads her poem.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol", "url": "http://slate.com/?id=2059839"} +{"d:Title": "\"Marooned in Dallas\" by Joyce Carol Oates", "d:Description": "The author reads her poem.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol", "url": "http://slate.com/?id=3413"} +{"d:Title": "\"The Little Whip\" by Joyce Carol Oates", "d:Description": "The author reads her poem.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol", "url": "http://slate.com/?id=2062237"} +{"d:Title": "\"Double Portrait\" by Joyce Carol Oates", "d:Description": "The author reads her poem.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol", "url": "http://slate.com/?id=3380"} +{"d:Title": "Celestial Timepiece", "d:Description": "News, online texts, biographical and bibliographical information, reviews and criticism, an online discussion group and photographs.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol", "url": "http://celestialtimepiece.com/"} +{"d:Title": "Big Mouth&Ugly Girl", "d:Description": "Review of the author's 2002 young adult novel.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Oates,_Joyce_Carol/Works/Books/Fiction", "url": "http://www.writerswrite.com/bookreviews/big-mouth-ugly-girl-70202"} +{"d:Title": "AmericanPoems.com: Dorothy Parker", "d:Description": "Biography and texts of Enough Rope, Sunset Gun and Death and Taxes.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Parker,_Dorothy", "url": "http://www.americanpoems.com/poets/parker/"} +{"d:Title": "Dot City", "d:Description": "A New Yorker's tour of the city Parker knew, with photographs of her homes, schools and haunts.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Parker,_Dorothy", "url": "http://www.dorothyparker.com/"} +{"d:Title": "Bohemian Ink: Dorothy Parker", "d:Description": "A short biography and some related links.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Parker,_Dorothy", "url": "http://www.levity.com/corduroy/parker.htm"} +{"d:Title": "Selected Poetry of Dorothy Parker (1893-1967)", "d:Description": "Fourteen poems, including Finis and One Perfect Rose. Also a paragraph of biographical data and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Parker,_Dorothy", "url": "http://rpo.library.utoronto.ca/poets/parker-dorothy"} +{"d:Title": "Dorothy Parker's Room Enough For Two", "d:Description": "From Terrie Frankel, author and composer of the one-woman play, with several biographical articles about Parker.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Parker,_Dorothy", "url": "http://dorothyparkermusical.com/"} +{"d:Title": "The William Saroyan Society", "d:Description": "A non-profit organization to promote the life, times and literary works of Saroyan. Information about its annual storywriting contest for students, and articles by and about the author.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Saroyan,_William", "url": "http://www.williamsaroyansociety.org/"} +{"d:Title": "The William Saroyan Literary Foundation International", "d:Description": "Objectives are to educate, inform and communicate others about the author and his works. Includes photographs, quotes, and stories contributed by readers.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Saroyan,_William", "url": "http://www.bvahan.com/williamsaroyan/"} +{"d:Title": "William Saroyan: The Man, the Writer", "d:Description": "A documentary by Paul Kalinian. Winner of numerous international awards. Other Saroyan information as well.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Saroyan,_William", "url": "http://saroyandocumentaryfilm.com/"} +{"d:Title": "Literature Network: The Jungle", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Sinclair,_Upton", "url": "http://www.online-literature.com/upton_sinclair/jungle/"} +{"d:Title": "The Jungle", "d:Description": "HTML etext.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Sinclair,_Upton", "url": "http://www.pagebypagebooks.com/Upton_Sinclair/The_Jungle/"} +{"d:Title": "Damaged Goods", "d:Description": "HTML etext.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Sinclair,_Upton", "url": "http://www.pagebypagebooks.com/Upton_Sinclair/Damaged_Goods/"} +{"d:Title": "The National Steinbeck Center", "d:Description": "Centered on the life of John Steinbeck.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Steinbeck,_John", "url": "http://www.steinbeck.org/"} +{"d:Title": "John Ernst Steinbeck, circa 1960", "d:Description": "Photo of the writer on a trip to Monterey.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Steinbeck,_John", "url": "http://caviews.com/john.htm"} +{"d:Title": "John Steinbeck's Pacific Grove", "d:Description": "Virtual tour of this seaside town, where the author lived and drew inspiration for his works.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Steinbeck,_John", "url": "http://www.93950.com/steinbeck/"} +{"d:Title": "The Cannery Row Foundation", "d:Description": "Bibliography of Steinbeck's articles and contributions to periodicals.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Steinbeck,_John", "url": "http://www.canneryrow.org/Research/Steinbeck/js_articles_biblio.html"} +{"d:Title": "On Dying, Good and Evil", "d:Description": "Long quote from Steinbeck.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Steinbeck,_John/Works/East_of_Eden", "url": "http://www.rjgeib.com/thoughts/glad/glad.html"} +{"d:Title": "The Grapes Of Wrath", "d:Description": "Information on John Ford's cinematic production from filmsite.org. Sections on style, summary and characters, with excerpts from the screenplay.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Steinbeck,_John/Works/Grapes_of_Wrath,_The", "url": "http://www.filmsite.org/grap.html"} +{"d:Title": "Novel Guide", "d:Description": "Summary, character profiles, metaphor and theme analysis of Steinbeck's 'Of Mice and Men.'", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Steinbeck,_John/Works/Of_Mice_and_Men", "url": "http://www.novelguide.com/of-mice-and-men"} +{"d:Title": "New York Times Life and Times", "d:Description": "Reviews of the author's books published up to 1997, texts of articles by him and audio files of interviews and readings.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Updike,_John", "url": "http://www.nytimes.com/books/97/04/06/lifetimes/updike.html"} +{"d:Title": "Penguin Random House - John Updike", "d:Description": "Short biography and books list.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Updike,_John", "url": "http://www.penguinrandomhouse.com/authors/31730/john-updike"} +{"d:Title": "Vonnegutted", "d:Description": "A site about the experience of hearing Vonnegut speak in Pennsylvania.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Vonnegut,_Kurt", "url": "http://www.links.net/vita/speak/vonnegut/"} +{"d:Title": "Welcome To The Monkey House", "d:Description": "Extensive collection of related sites on the internet. Includes a section on Vonnegut's influence on pop culture, including his influence on more than a few popular bands.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Vonnegut,_Kurt", "url": "http://www.ibiblio.org/brian/vonnegut/"} +{"d:Title": "Salon People - Brilliant Careers", "d:Description": "Mad humanist: In Kurt Vonnegut's world, free will is an open question, life is poignant and pointless and kindness is appreciated above all else.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Vonnegut,_Kurt", "url": "http://www.salon.com/1999/04/27/vonnegut/"} +{"d:Title": "Vonnegut, Jr., Kurt: Fortitude", "d:Description": "Synopsis of the play.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Vonnegut,_Kurt", "url": "http://medhum.med.nyu.edu/view/129"} +{"d:Title": "The Books of Bokonon", "d:Description": "In Cat's Cradle, Kurt Vonnegut, Jr., created a new religion, Bokononism. All material contained was written by Kurt Vonnegut and scattered throughout Cat's Cradle wherever it best suited the novel. The site tabulates his snippets into an order that one might find in a real copy of the Books of Bokonon.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Vonnegut,_Kurt/Bokononism", "url": "http://www.cns.uni.edu/~wallingf/personal/bokonon.html"} +{"d:Title": "Philip Jos\u00e9 Farmer on Trout", "d:Description": "Philip Jos\u00e9 Farmer on Kurt Vonnegut and Kilgore Trout.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Vonnegut,_Kurt/Kilgore_Trout", "url": "http://www.pjfarmer.com/trout.htm"} +{"d:Title": "Living by Grace: The Life and Philosophy of Alice Walker", "d:Description": "Biography, a bibliographical listing of other reference sources, a listing of her complete works and writing influences and fun facts about the author!", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Walker,_Alice", "url": "http://members.tripod.com/chrisdanielle/alicemain.html"} +{"d:Title": "Anniina's Alice Walker Page", "d:Description": "Includes a biography, a list of works, and links to Web resources, essays, criticism, and reviews. From the web-mistress of Luminarium.org.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Walker,_Alice", "url": "http://www.luminarium.org/contemporary/alicew/"} +{"d:Title": "Alice Walker", "d:Description": "Hyperlinked biography and excerpts from some of her works.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Walker,_Alice", "url": "http://ipoet.com/ARCHIVE/BEYOND/Walker/Alice.html"} +{"d:Title": "BBC Desert Island Discs: Alice Walker", "d:Description": "Alice Walker, Pulizter prize-winning author of The Color Purple, is interviewed by Kirsty Young.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Walker,_Alice", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/49a99856#b01shstm"} +{"d:Title": "Pittsburgh Post-Gazette: There's More to Author Than 'The Virginian'", "d:Description": "Discusses \"Romney,\" Wister's unfinished novel of Philadelphia life, and his other works.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Wister,_Owen", "url": "http://www.post-gazette.com/books/20020406hoover0407fnp1.asp"} +{"d:Title": "Owen Wister's Virginian", "d:Description": "Coined the phrase \"When you call me that, smile\" made famous by Gary Cooper. Page at cowboypoetry.com talks about Wister and his works.", "topic": "Top/Arts/Literature/World_Literature/American/20th_Century/Wister,_Owen", "url": "http://www.cowboypoetry.com/wister.htm"} +{"d:Title": "Gross, Gwendolen, 1967-", "d:Description": "New Jersey-area author of \"Field Guide\" (2001) and \"Getting Out\" (2002). Includes biography, extracts, and information on author events.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.gwendolengross.com/"} +{"d:Title": "Gamble,Terry", "d:Description": "Author of \"The Water Dancers\" (2003) and \"Good Family\" (2005). Events, autobiography, and book excerpts.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.terrygamble.com/"} +{"d:Title": "Ursu, Anne", "d:Description": "Award-winning author of \"Spilling Clarence\" (2002), \"Disapparation of James\" (2003), and \"Shadow Thieves\" (2006).", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://anneursu.com/"} +{"d:Title": "Stern, Amanda", "d:Description": "Brooklyn-based author of \"The Long Haul\" (2003). Mailing list, book tour diary, ugly teen photos, and rejection letters.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.amandastern.com/"} +{"d:Title": "Seigel, Andrea, 1979-", "d:Description": "California-based author of \"Like The Red Panda\" (2004), a novel about teen suicide in Orange County. News, author information, and link to her weblog.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.andreaseigel.com/index.html"} +{"d:Title": "Franklin, Emily", "d:Description": "Author of the novel \"Liner Notes\" (2003) and a series of teen fiction titled \"The Principles of Love\" (2005-).", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.emilyfranklin.com/"} +{"d:Title": "Frank, Jeffrey, 1942-", "d:Description": "Author of \"The Columnist\" (2001), \"The Stories of Hans Christian Andersen: A New Translation From the Danish\" (2003), and \"Bad Publicity\" (2004).", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.jeffreyfrank.com/"} +{"d:Title": "Blum, Jenna", "d:Description": "Author of \"Those Who Save Us\" (2004). [Requires Flash]", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.jennablum.com/"} +{"d:Title": "Fairman, Huck", "d:Description": "Author of \"Hymn\" (2003) and other novels set in New York City.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://huckfairman.com/"} +{"d:Title": "Getty, Matt", "d:Description": "Weblog of the author of \"You Will Behave\" (2005), a novella written in second person future tense.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://gettydrafts.blogspot.com/"} +{"d:Title": "Bunker, Todd, 1971-", "d:Description": "Seattle-based author of \"Wrapped in Gray\" (2002) and \"The Champion\" (2005).", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.toddbunker.com/"} +{"d:Title": "Sanchez, Thomas", "d:Description": "Californian author of \"Rabbit Boss\" (1989), \"Zoot-Suit Murders\" (1991), \"Mile Zero\" (1990), \"Day of the Bees\" (2001), and \"King Bongo\"(2004).", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.thomas-sanchez.com/"} +{"d:Title": "Weiner, Jennifer, 1970-", "d:Description": "Author of \"Good In Bed\" (2001), \"In Her Shoes\" (2002), \"Little Earthquakes\" (2004), and \"Goodnight Nobody\" (2005). Weblog, first chapters, tips for writers, tour dates, and author information.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.jenniferweiner.com/"} +{"d:Title": "Waksler, Norman", "d:Description": "Author of \"The Book of Regrets\" (2005). Biography, upcoming appearances, and short stories.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://normanwakslerfiction.com/"} +{"d:Title": "Muller, Eddie", "d:Description": "Author of \"Distance\" (2002), \"Shadow Boxer\" (2003), and a number of non-fiction titles. Novels, biographies, movie histories, plays, short stories, DVD commentaries, and scheduled appearances.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.eddiemuller.com/"} +{"d:Title": "Wasserman, Eric", "d:Description": "Author of \"The Temporary Life\" (2005), a collection of short stories with Jewish themes.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.ericwasserman.com/"} +{"d:Title": "McCann, Richard", "d:Description": "Author of \"Ghost Letters: Poems\" (1994) and \"Mother of Sorrows\" (2005).", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.richardmccann.net/"} +{"d:Title": "King, Dave, 1955-", "d:Description": "Brooklyn-based author of \"The Ha-Ha\" (2005).", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.davekingwriter.com/author.html"} +{"d:Title": "Zaruba, Cassandra", "d:Description": "Baltimore/Washington, D.C.-area author of \"Liquid Bones\" (2006) a murder mystery set in North Dakota. Reviews, author events, and book excerpts.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://www.cassandrazaruba.net/"} +{"d:Title": "Mirvis, Tova", "d:Description": "Author of \"The Ladies Auxiliary\" (1999) and \"The Outside World\" (2004) and \"Visible City\". Biography, writing, news, and reviews.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century", "url": "http://tovamirvis.com/author/"} +{"d:Title": "House of Leaves page from Wikipedia", "d:Description": "Wikipedia page on the novel. Contains plot information and links to further resources.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century/Danielewski,_Mark_Z.", "url": "http://en.wikipedia.org/wiki/House_of_Leaves"} +{"d:Title": "Exploration Z", "d:Description": "Bibliography, writings, press, MP3s, book tour coverage and promotional e-mails.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century/Danielewski,_Mark_Z.", "url": "http://www.markzdanielewski.info/"} +{"d:Title": "Guardian: Five Minutes with Mark Z. Danielewski", "d:Description": "Interview with the author with audio clips.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century/Danielewski,_Mark_Z.", "url": "http://www.theguardian.com/books/2000/nov/30/guardianfirstbookaward2000.gurardianfirstbookaward"} +{"d:Title": "Penguin Randome House - Mark Danielewski", "d:Description": "Short biography and book list.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century/Danielewski,_Mark_Z.", "url": "http://www.penguinrandomhouse.com/authors/6363/mark-z-danielewski"} +{"d:Title": "Mark Z. Danielewski", "d:Description": "Author of \"House of Leaves\", \"Only Revolutions,\" and \"The Familiar\".", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century/Danielewski,_Mark_Z.", "url": "http://markzdanielewski.com/"} +{"d:Title": "Who is Augustine?", "d:Description": "Exploratory site for \"Everything is Illuminated\".", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century/Foer,_Jonathan_Safran", "url": "http://www.whoisaugustine.com/"} +{"d:Title": "Weber, Katharine", "d:Description": "Award-winning novelist; with a biography, bibliography, and news.", "topic": "Top/Arts/Literature/World_Literature/American/21st_Century/Weber,_Katherine", "url": "http://www.katharineweber.com/"} +{"d:Title": "Mayflower Compact", "d:Description": "The compact which established the first basis in the new world for written laws.", "topic": "Top/Arts/Literature/World_Literature/American/Early", "url": "http://www.historyplace.com/unitedstates/revolution/mayflower.htm"} +{"d:Title": "The Avalon Project : The Federalist Papers", "d:Description": "Divided by number and enhanced with related resources to the papers.", "topic": "Top/Arts/Literature/World_Literature/American/Early", "url": "http://avalon.law.yale.edu/subject_menus/fed.asp"} +{"d:Title": "The Avalon Project : 18th Century Documents", "d:Description": "From the 1754 Albany Plan of Union to the 1794 Whiskey Rebellion, comes Yale Law School's hyperlinked index of important 18th Century American documents. Also includes a site search engine by Alta Vista.", "topic": "Top/Arts/Literature/World_Literature/American/Early", "url": "http://avalon.law.yale.edu/subject_menus/18th.asp"} +{"d:Title": "Declaring Independence: Drafting the Documents", "d:Description": "From The Library of Congress.", "topic": "Top/Arts/Literature/World_Literature/American/Early", "url": "http://www.loc.gov/exhibits/declara/declara1.html"} +{"d:Title": "English and American Literature Collections", "d:Description": "Brief biographical and historical notes on various English and American authors.", "topic": "Top/Arts/Literature/World_Literature/American/Early", "url": "http://www.lib.udel.edu/ud/spec/exhibits/treasures/02_lit.html"} +{"d:Title": "How To Read A 200-Year-Old Document and Other FAQs", "d:Description": "Tips and hints for reading Early American documents, with a brief but helpful glossary.", "topic": "Top/Arts/Literature/World_Literature/American/Early", "url": "http://www.varsitytutors.com/earlyamerica/read-document"} +{"d:Title": "William Bradford Web Site", "d:Description": "Facsimile documents and large collection of resources.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Bradford,_William", "url": "http://www.angelfire.com/ny4/djw/williambradford.html"} +{"d:Title": "Wikipedia: William Bradford", "d:Description": "Brief biography and list of noteworthy descendants.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Bradford,_William", "url": "http://en.wikipedia.org/wiki/William_Bradford"} +{"d:Title": "An Outline of American Literature: William Bradford", "d:Description": "Includes brief biographical sketch of Bradford, partial etext of his \"Of Plymouth Plantation.\"", "topic": "Top/Arts/Literature/World_Literature/American/Early/Bradford,_William", "url": "http://www.let.rug.nl/usa/outlines/literature-1991/authors/william-bradford.php"} +{"d:Title": "Proposals for Printing a Large Bible", "d:Description": "Announcement and subscription notice dated 1688. Part of the Evans Early American Imprint Collection.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Bradford,_William/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=evansdemo;cc=evansdemo;view=toc;idno=R08189.0001.001"} +{"d:Title": "History of Plymouth Plantation", "d:Description": "1856 edition with index and editorial preface by Charles Deane.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Bradford,_William/Works", "url": "http://books.google.com/books?id=tYecOAN1cwwC"} +{"d:Title": "Letters from an American Farmer", "d:Description": "Etext at the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/American/Early/de_Crevecoeur,_St._John", "url": "http://xroads.virginia.edu/~HYPER/CREV/home.html"} +{"d:Title": "Stanford Encyclopedia of Philosophy: Jonathan Edwards", "d:Description": "Life and work of 18th-century American philosophical theologian, by William Wainwright.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan", "url": "http://plato.stanford.edu/entries/edwards/"} +{"d:Title": "Wikipedia: Jonathan Edwards", "d:Description": "Life and accomplishments.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan", "url": "http://en.wikipedia.org/wiki/Jonathan_Edwards_(theologian)"} +{"d:Title": "The Jonathan Edwards Center at Yale University", "d:Description": "Supporting research and study of Edwards primarily by publishing his works online.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan", "url": "http://edwards.yale.edu/"} +{"d:Title": "Jonathan Edwards", "d:Description": "1889 biography by Alexander Allen.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan", "url": "http://www.archive.org/details/jonedwards00alleuoft/"} +{"d:Title": "A Princeton Companion: Edwards, Jonathan", "d:Description": "A short biography.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan", "url": "http://etcweb.princeton.edu/CampusWWW/Companion/edwards_jonathan.html"} +{"d:Title": "Edwards, Jonathan (1703-1758)", "d:Description": "Two brief biographical essays, and the complete texts of several of his works.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan", "url": "http://www.ccel.org/ccel/edwards"} +{"d:Title": "A History of the Work of Redemption", "d:Description": "Series of sermons preached in 1739 and published posthumously by his son of the same name.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://quod.lib.umich.edu/cgi/b/bib/bibperm?q1=AJH1695"} +{"d:Title": "Selected Sermons of Jonathan Edwards", "d:Description": "E-Text with seven sermons. 1904 edition with introduction and notes by H. Norman Gardiner.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://www.archive.org/details/selectedsermons00edwauoft/"} +{"d:Title": "The Works of President Edwards, Volume 1 of 4", "d:Description": "1851 reprint of the Worcester edition.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://www.archive.org/details/theworksofedward01edwauoft/"} +{"d:Title": "The Works of President Edwards, Volume 2 of 4", "d:Description": "1851 reprint of the Worcester edition.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://www.archive.org/details/theworksofedward02edwauoft/"} +{"d:Title": "The Works of President Edwards, Volume 3 of 4", "d:Description": "1851 reprint of the Worcester edition.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://www.archive.org/details/theworksofedward03edwauoft/"} +{"d:Title": "The Works of President Edwards, Volume 4 of 4", "d:Description": "1851 reprint of the Worcester edition.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://www.archive.org/details/theworksofedward04edwauoft/"} +{"d:Title": "The Jonathan Edwards Collection", "d:Description": "Collection of sermons, with short epitomes.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://www.biblebb.com/edwards.htm"} +{"d:Title": "Sinners in the Hands of an Angry God", "d:Description": "Sermon preached at Enfield, Connecticut on July 8, 1741. Evans Early American Imprint Collection.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Edwards,_Jonathan/Works", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=evansdemo;cc=evansdemo;view=toc;idno=R11644.0001.001"} +{"d:Title": "Olaudah Equiano's Life", "d:Description": "Background information on the early African-American writer.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Equiano,_Olaudah", "url": "http://www.atomicage.com/equiano/life.html"} +{"d:Title": "Olaudah Equiano (1745-1797)", "d:Description": "Information and links from Paul Reuben's PAL website.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Equiano,_Olaudah", "url": "http://www.csustan.edu/english/reuben/pal/chap2/equiano.html"} +{"d:Title": "Olaudah Equiano, or, Gustavus Vassa, the African", "d:Description": "Profile of the author and his Interesting Narrative, an autobiography opposing slavery.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Equiano,_Olaudah", "url": "http://www.brycchancarey.com/equiano/"} +{"d:Title": "History: Olaudah Equiano", "d:Description": "A short biography.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Equiano,_Olaudah", "url": "http://www.bbc.co.uk/history/historic_figures/equiano_olaudah.shtml"} +{"d:Title": "Ben Franklin's Ghost Speaks to the 21st Century", "d:Description": "A poem by Sara Teasdale. With links.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin", "url": "http://www.motherbird.com/Ben'Ghost.htm"} +{"d:Title": "Benjamin Franklin: A Man of Many Talents", "d:Description": "Houghton-Mifflin Social Studies mini-course.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin", "url": "http://eduplace.com/ss/hmss/5/unit/act4.2.html"} +{"d:Title": "Advice to a Young Tradesman", "d:Description": "Short essay.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://www.angelfire.com/biz3/eserve/ayt.html"} +{"d:Title": "Poetry Archive: Benjamin Franklin", "d:Description": "Several poems by Benjamin Franklin.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://www.poetry-archive.com/f/franklin_benjamin.html"} +{"d:Title": "Benjain Franklin Urges a Young Friend to Take an Older Mistress", "d:Description": "His famous letter of 1745 remarking that all cats are gray in the dark.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://www.rjgeib.com/thoughts/franklin/franklin.html"} +{"d:Title": "The Autobiography of Benjamin Franklin", "d:Description": "Franklin's life as a printer, inventor, scientist, and revolutionary, with an introduction, a timeline and related links.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://books.eserver.org/nonfiction/franklin/"} +{"d:Title": "How I Became a Printer in Philadelphia", "d:Description": "HTML etext from the USA Project.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://www.let.rug.nl/usa/biographies/benjamin-franklin/how-i-became-a-printer-in-philadelphia.php"} +{"d:Title": "The Autobiography of Benjamin Franklin", "d:Description": "Text divided by chapter, with other related writings.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://www.let.rug.nl/usa/biographies/benjamin-franklin/"} +{"d:Title": "A Modest Enquiry into the Nature and Necessity of Paper Currency (1729)", "d:Description": "Etext from the USA Project.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://www.let.rug.nl/usa/documents/1701-1750/benjamin-franklin-a-modest-enquiry-into-the-nature-and-necessity-of-paper-currency.php"} +{"d:Title": "Journal of a Voyage", "d:Description": "Etext from the USA Project.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Franklin,_Benjamin/Works", "url": "http://www.let.rug.nl/usa/documents/1701-1750/benjamin-franklin-journal-of-a-voyage-from-england-to-philadelphia-1726.php"} +{"d:Title": "Free Online Library - Hamilton, Alexander", "d:Description": "Biography of Alexander Hamilton, one of the writers behind \"The Federalist Papers.\" Online text available.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Hamilton,_Alexander", "url": "http://hamilton.thefreelibrary.com/"} +{"d:Title": "Alexander Hamilton Speaks", "d:Description": "Quotations from Hamilton's speeches, writings, and letters, arranged by topic, and associated citations by contemporary writers on American politics.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Hamilton,_Alexander", "url": "http://alexanderhamiltonspeaks.blogspot.com/"} +{"d:Title": "USA: Alexander Hamilton", "d:Description": "Extensive biography, divided by period.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Hamilton,_Alexander", "url": "http://www.let.rug.nl/usa/biographies/alexander-hamilton/"} +{"d:Title": "FoundersArchives.gov: Alexander Hamilton", "d:Description": "Complete transcripts of papers written by founding father Alexander Hamilton, from the official U.S. Government Archives.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Hamilton,_Alexander", "url": "http://founders.archives.gov/?q=+Author:%22Hamilton,+Alexander%22&s=1111211111"} +{"d:Title": "Hal Bidlack as Alexander Hamilton -- A Chautauqua Performance", "d:Description": "Responding to audience questions and providing Hamilton's take on the past, present and future.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Hamilton,_Alexander/Impersonators", "url": "http://www.hamiltonlives.com/"} +{"d:Title": "Free Online Library - Federalist Papers", "d:Description": "A series of articles written to gain support for the Constitution. Authored by Hamilton, Jay, and Madison.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Hamilton,_Alexander/Works", "url": "http://hamilton.thefreelibrary.com/Federalist-Papers-Authored-by-Alexander-Hamilton"} +{"d:Title": "Thomas Paine", "d:Description": "A biography of the famous revolutionary, detailing his battles with the various political powers of different countries and his never-ending pursuit of justice and truth.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas", "url": "http://www.punkerslut.com/articles/thomaspaine.html"} +{"d:Title": "Friends of Thomas Paine", "d:Description": "Pictures of Thomas Paine statues, information and links to other Paine resources.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas", "url": "http://www.mindspring.com/~phila1/"} +{"d:Title": "USA: Thomas Paine's The Crisis", "d:Description": "Overview of the commentary and its context.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas", "url": "http://www.let.rug.nl/usa/essays/before-1800/thomas-paines-the-crisis-number-one-1776-richard-destefano.php"} +{"d:Title": "Wired: The Age of Paine", "d:Description": "Arguing that Paine should be resurrected as the moral father of the Internet, by Jon Katz.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas", "url": "http://www.wired.com/1995/05/paine/"} +{"d:Title": "The History Place - Thomas Paine's Common Sense", "d:Description": "Etext of Paine's pamphlet.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.historyplace.com/unitedstates/revolution/common.htm"} +{"d:Title": "The American Crisis", "d:Description": "A series of tracts concerning the revolution in America.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://libertyonline.hypermall.com/Paine/Crisis/Crisis-TOC.html"} +{"d:Title": "The Age of Reason", "d:Description": "Liberty Online text, divided into Parts I and II.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://libertyonline.hypermall.com/Paine/AOR-Frame.html"} +{"d:Title": "Answer to the Bishop of Llandaff", "d:Description": "Background information and fragments of Paine's answer to Bishop Watson's reply to The Age of Reason.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.infidels.org/library/historical/thomas_paine/ans_bishop_llandaff.html"} +{"d:Title": "An Essay on Dream", "d:Description": "E-text of the author's preface and first chapter. This was the last work that Paine ever gave to the press. Compares Biblical prophecies to dreams.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.infidels.org/library/historical/thomas_paine/essay_on_dream.html"} +{"d:Title": "Common Sense", "d:Description": "Online publication of Thomas Paine's classic at Bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.bartleby.com/133/"} +{"d:Title": "Thomas Paine Archive", "d:Description": "Text of Common Sense, with an abstract of The Age of Reason.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.marxists.org/reference/archive/paine/index.htm"} +{"d:Title": "Agrarian Justice", "d:Description": "Arguing the benefits of agrarian reform.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://geolib.pair.com/essays/paine.tom/agjst.html"} +{"d:Title": "Origin of Freemasonry", "d:Description": "With commentary by Moncure Daniels Conway.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://freemasonry.bcy.ca/history/paine_t.html"} +{"d:Title": "Common Sense (HTML)", "d:Description": "The complete EText provided by Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.pagebypagebooks.com/Thomas_Paine/Common_Sense/"} +{"d:Title": "Common Sense", "d:Description": "HTML text from Mondo Politico.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.mondopolitico.com/library/commonsense/intro.htm"} +{"d:Title": "Squashed Paine", "d:Description": "Condensed edition of Thomas Paine's 'The Rights of Man'.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://sqapo.com/paine.htm"} +{"d:Title": "Common Sense I", "d:Description": "Online text in seven parts.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.let.rug.nl/usa/documents/1776-1785/thomas-paine-common-sense/introduction.php"} +{"d:Title": "American Crisis", "d:Description": "Online text, divided by chapter.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Paine,_Thomas/Works", "url": "http://www.let.rug.nl/usa/documents/1776-1785/thomas-paine-american-crisis/"} +{"d:Title": "The Narrative of the Captivity and Restoration of Mrs. Mary Rowlandson", "d:Description": "Project Gutenberg Edition.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Rowlandson,_Mary", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=851"} +{"d:Title": "The Chosen People of God", "d:Description": "Background information on Mary Rowlandson's Captivity Narrative, by Caroline Gleason.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Rowlandson,_Mary", "url": "http://history.hanover.edu/hhr/hhr4-2.html"} +{"d:Title": "Captain John Smith", "d:Description": "Biography and background information by Dennis Montgomery (Colonial Williamsburg Journal).", "topic": "Top/Arts/Literature/World_Literature/American/Early/Smith,_Captain_John", "url": "http://www.history.org/foundation/journal/smith.cfm"} +{"d:Title": "John Smith", "d:Description": "Features articles, timelines, images and maps pertaining to Captain John Smith.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Smith,_Captain_John", "url": "http://www.wsu.edu/~campbelld/amlit/smith.htm"} +{"d:Title": "Captain John Smith", "d:Description": "From the Association for the Preservation of Virginia Antiquities Jamestown Rediscovery Site.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Smith,_Captain_John", "url": "http://historicjamestowne.org/history/pocahontas/john-smith/"} +{"d:Title": "The Poems of Edward Taylor", "d:Description": "Brief background information on Taylor, and hyperlinks to poem texts.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Taylor,_Edward", "url": "http://www.puritansermons.com/poetry/taylor.htm"} +{"d:Title": "Phillis Wheatley: Precursor of American Abolitionism", "d:Description": "Short biography.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Wheatley,_Phillis", "url": "http://www.forerunner.com/forerunner/X0214_Phillis_Wheatley.html"} +{"d:Title": "A Voice of Her Own", "d:Description": "Brief biography with images of her works.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Wheatley,_Phillis", "url": "http://www.loc.gov/exhibits/treasures/tri013.html"} +{"d:Title": "Women's History: Slave Poet of Colonial America", "d:Description": "Includes a biography, assessments of her literary contribution, and links.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Wheatley,_Phillis", "url": "http://womenshistory.about.com/library/bio/blbio_phillis_wheatley.htm"} +{"d:Title": "Memoir and Poems of Phillis Wheatley", "d:Description": "A book by Margaretta Matilda Odell, published in 1834. Full text is available online in HTML or TEI.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Wheatley,_Phillis", "url": "http://docsouth.unc.edu/neh/wheatley/menu.html"} +{"d:Title": "Wikipedia: Phillis Wheatley", "d:Description": "Article on the 18th century African-American poet. List if her known works, and links collection.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Wheatley,_Phillis", "url": "http://en.wikipedia.org/wiki/Phillis_Wheatley"} +{"d:Title": "Wheatley, Phillis", "d:Description": "From the Digital Schomberg: African American Writers of the 19th Century online text.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Wheatley,_Phillis/Works", "url": "http://digilib.nypl.org/dynaweb/digs/wwm9728/"} +{"d:Title": "John Winthrop and American Multiculturism", "d:Description": "Article by Dr. David R. Williams of George Mason University.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Winthrop,_John", "url": "http://mason.gmu.edu/~drwillia/winthrop.html"} +{"d:Title": "John Winthrop: First Governor of Massachusetts", "d:Description": "Short biography.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Winthrop,_John", "url": "http://www.forerunner.com/forerunner/X0526_Bios-_John_Winthrop.html"} +{"d:Title": "Wikipedia: John Winthrop", "d:Description": "Biography and accomplishments.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Winthrop,_John", "url": "http://en.wikipedia.org/wiki/John_Winthrop"} +{"d:Title": "John Winthrop: A Modell of Christian Charity (1630)", "d:Description": "Etext on the Hanover Historical Project's site.", "topic": "Top/Arts/Literature/World_Literature/American/Early/Winthrop,_John/Works", "url": "http://history.hanover.edu/texts/winthmod.html"} +{"d:Title": "Book Expo America", "d:Description": "An event for books and authors that gives the book industry access to new titles, authors, networking, and education.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.bookexpoamerica.com/"} +{"d:Title": "National Book Festival", "d:Description": "Held annually on the National Mall in Washington, DC. Program information and author profiles.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.loc.gov/bookfest/"} +{"d:Title": "Virginia Festival of the Book", "d:Description": "Held annually in Charlottesville, VA. Photographs, statistics and archives, with online press kit and details of how to get involved.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.vabook.org/"} +{"d:Title": "Fall for the Book", "d:Description": "Held annually in Fairfax, VA. Festival overview with event schedule, participant details and visitor information.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.fallforthebook.org/"} +{"d:Title": "Tennessee Williams/ New Orleans Literary Festival", "d:Description": "Annual festival in March.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.tennesseewilliams.net/"} +{"d:Title": "Litquake", "d:Description": "Official site for this San Francisco festival for local authors. Includes event and sponsorship information.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.litquake.org/"} +{"d:Title": "Key West Literary Seminar", "d:Description": "Festival and workshops celebrating writers and writing, held annually in Key West.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.kwls.org/"} +{"d:Title": "Printers Row Lit Fest", "d:Description": "Annual book festival in Chicago, held in early June. Provides author, performer, presenter and sponsor information. Sponsored by the Chicago Tribune.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://printersrowlitfest.org/"} +{"d:Title": "The New Orleans Book Festival", "d:Description": "New Orleans City Park in November. US based.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://nolabookfest.org/"} +{"d:Title": "Queens Book Festival", "d:Description": "An annual book fair held in New York City, USA. Geared to book distribution.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.queensbookfestival.nyc/"} +{"d:Title": "LA Zine Fest", "d:Description": "Annual zine and comic fest held in Los Angeles. Directions, registration, and listing of events.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "https://lazinefest.com/"} +{"d:Title": "Richmond Zine Fest", "d:Description": "Based in Richmond, VA. Admission and registration are free.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "https://richmondzinefest.org/"} +{"d:Title": "Brooklyn Zine Fest", "d:Description": "Information and updates, including details for attendees and exhibitors. Plus blog posts about zine creators and zine-related news.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.brooklynzinefest.com/"} +{"d:Title": "Murder Goes South", "d:Description": "A festival of Southern mystery writers and readers.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.murdergoessouth.com/"} +{"d:Title": "Nebraska Book Festival", "d:Description": "Celebrating Nebraska\u2019s literary heritage and contemporary authors. Authors reading from their works, writers' workshops, roundtable discussions, book discussions, awards ceremony, book signings, vendors.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://bookfestival.nebraska.gov/default.htm"} +{"d:Title": "Concord Festival of Authors", "d:Description": "Annual literary event in the Boston area. Programs are held in several venues in, Concord and Lowell Massachusetts during October and November.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.concordfestivalofauthors.com/"} +{"d:Title": "NEA Big Read", "d:Description": "A program of the National Endowment for the Arts in partnership with Arts Midwest. Minneapolis, MN.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.neabigread.org/"} +{"d:Title": "Portland Zine Symposium", "d:Description": "Annual Zine publishers event in Portland, Oregon.", "topic": "Top/Arts/Literature/World_Literature/American/Festivals", "url": "http://www.portlandzinesymposium.org/"} +{"d:Title": "Southern Renaissance: Instructor Overview", "d:Description": "Essay on the literature of the American South during the early twentieth century, with a timeline, bibliography, and teachers' notes.", "topic": "Top/Arts/Literature/World_Literature/American/Southern", "url": "http://www.learner.org/amerpass/unit13/instructor.html"} +{"d:Title": "Susan Ketchin", "d:Description": "Author, Musician, Teacher, Editor, and an observer of Southern Culture and the Southern Way of Life.", "topic": "Top/Arts/Literature/World_Literature/American/Southern", "url": "http://www.susanketchin.com/"} +{"d:Title": "Documenting the American South: A Digitized Library of Southern Literature, Beginnings to 1920", "d:Description": "Documents the riches and diversity of Southern experience as presented in one hundred of its literary works. Documents are in HTML and XML formats.", "topic": "Top/Arts/Literature/World_Literature/American/Southern", "url": "http://docsouth.unc.edu/southlit/"} +{"d:Title": "Mississippi Writers and Musicians", "d:Description": "Provides information about Mississippi writers. Authors include William Faulkner, Willie Morris, Eudora Welty, Richard Wright, John Grisham, and Thomas Harris.", "topic": "Top/Arts/Literature/World_Literature/American/Southern", "url": "http://www.mswritersandmusicians.com/"} +{"d:Title": "Arabic Literature Seminar at the ACLA", "d:Description": "Invites papers for a Seminar on Arabic literature at the annual convention of the American Comparative Literature Association to be held in April 2001.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.dartmouth.edu/~kadhim/als.html"} +{"d:Title": "The Legend of Layla and Madjnun", "d:Description": "The story and origin of one of the most popular legends of the Middle East.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.artarena.force9.co.uk/majnun.htm"} +{"d:Title": "The Arabic Christian Literature", "d:Description": "An article by Dr. George Khoury about the contribution of the Melkites, the Jacobites, the Nestorians, the Copts and the Maronites to the Arab Christian heritage.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.al-bushra.org/arbhrtg/arbxtn01.htm"} +{"d:Title": "Untraditional Woman", "d:Description": "Poetry site of The Egyptian author \"Azza El Wakeel,\" in both the Arabic and English languages.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://azzaelwakeel.com/"} +{"d:Title": "Ameen F. Rihani", "d:Description": "A page dedicated to the Lebanese-American author and thinker. Site contains a biography, works in both English and Arabic, translations, tributes, and information on the Ameen Rihani Museum.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.ameenrihani.org/"} +{"d:Title": "The Role of Women in Arabic Literature", "d:Description": "An article by Mona Mikhailis.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.library.cornell.edu/colldev/mideast/awomnlit.htm"} +{"d:Title": "Arabic Literature", "d:Description": "An overview, from the Cornell University Library.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.library.cornell.edu/colldev/mideast/arablit.htm"} +{"d:Title": "Ode of Tarafah", "d:Description": "An English translation of one of the seven mu'allaqat, translated by A. J. Arberry.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.library.cornell.edu/colldev/mideast/taraf.htm"} +{"d:Title": "Medieval Sourcebook: Selections from the Poets of Arabia", "d:Description": "A selection of Classic poems.", "topic": "Top/Arts/Literature/World_Literature/Arabic", "url": "http://www.fordham.edu/halsall/source/arabianpoets1.asp"} +{"d:Title": "Encyclopaedia of the Orient: Mahmoud Darwish", "d:Description": "Features a biography of the poet and a poetry recital in Real Audio format.", "topic": "Top/Arts/Literature/World_Literature/Arabic/Darwish,_Mahmoud", "url": "http://i-cias.com/e.o/darwish_m.htm"} +{"d:Title": "Introducing Miss Mai", "d:Description": "A short biography.", "topic": "Top/Arts/Literature/World_Literature/Arabic/Ziadeh,_May", "url": "http://weekly.ahram.org.eg/1999/451/bk11_451.htm#deeb"} +{"d:Title": "Australian Society of Authors", "d:Description": "The Australian Society of Authors promotes and protects the professional interests of Australian literary creators.", "topic": "Top/Arts/Literature/World_Literature/Australian", "url": "http://www.asauthors.org/"} +{"d:Title": "The Oxford Book of Australasian Verse", "d:Description": "Etexts of 205 poems by 80 authors.", "topic": "Top/Arts/Literature/World_Literature/Australian", "url": "http://www.bartleby.com/249/"} +{"d:Title": "Larrikin's Lair - Literature", "d:Description": "Information about Australian authors, their works, and extracts.", "topic": "Top/Arts/Literature/World_Literature/Australian", "url": "http://www.middlemiss.org/lit/lit.html"} +{"d:Title": "Australian Literature Gateway (AusLit)", "d:Description": "Guide created through a collaboration between universities and the National Library. Contains records ranging from author biographies, simple work citations to full text retrieval of both creative and critical writing by and about Australians.", "topic": "Top/Arts/Literature/World_Literature/Australian", "url": "http://www.austlit.edu.au/"} +{"d:Title": "SETIS", "d:Description": "Sydney University collection of literary and historical texts from the 18th, 19th and early 20th centuries.", "topic": "Top/Arts/Literature/World_Literature/Australian", "url": "http://setis.library.usyd.edu.au/oztexts/index.html"} +{"d:Title": "Project Gutenberg of Australia", "d:Description": "Archive of free etexts of works that are in the public domain.", "topic": "Top/Arts/Literature/World_Literature/Australian", "url": "http://gutenberg.net.au/"} +{"d:Title": "The Book Show", "d:Description": "Radio National's daily program, with audio downloads and transcripts.", "topic": "Top/Arts/Literature/World_Literature/Australian", "url": "http://www.abc.net.au/radionational/programs/bookshow/"} +{"d:Title": "Australian Script Centre", "d:Description": "Collects, catalogues, promotes and distributes unpublished Australian plays and now holds hundreds of scripts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors", "url": "http://australianplays.org/"} +{"d:Title": "Robert Adamson Dot Com", "d:Description": "Tells about his writing, includes reviews of his books and a photo gallery.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Adamson,_Robert", "url": "http://www.robertadamson.com/"} +{"d:Title": "Arthur, Keri", "d:Description": "Romance novelist; with news, a journal, and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Arthur,_Keri", "url": "http://www.keriarthur.com/"} +{"d:Title": "Bird, Carmel", "d:Description": "Writings, including short stories, a regular column, and novel excerpts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Bird,_Carmel", "url": "http://www.carmelbird.com/"} +{"d:Title": "Bouchet, Bruno", "d:Description": "Adult and children's novelist, and copywriter; with details of courses and readings.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Bouchet,_Bruno", "url": "http://www.brunobouchet.com/"} +{"d:Title": "Byrski, Liz", "d:Description": "Novelist and non-fiction author; with a biography and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Byrski,_Liz", "url": "http://lizbyrski.com/"} +{"d:Title": "Dann, Jack", "d:Description": "Fantasy and science-fiction author; with a biography, bibliography and news archive.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Dann,_Jack", "url": "http://www.jackdann.com.au/"} +{"d:Title": "Gemmell, Nikki", "d:Description": "Novelist; with news, a biography and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Gemmell,_Nikki", "url": "http://www.nikkigemmell.com/"} +{"d:Title": "Gracie, Anne", "d:Description": "Romance novelist; with a biography, journal, and tips.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Gracie,_Anne", "url": "http://www.annegracie.com/"} +{"d:Title": "Guess, Jeff", "d:Description": "Poet; with extracts, news and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Guess,_Jeff", "url": "http://users.cobweb.com.au/~jeffpoet/"} +{"d:Title": "Hannay, Barbara", "d:Description": "Romance novelist; with news, articles, interviews and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Hannay,_Barbara", "url": "http://www.barbarahannay.com/"} +{"d:Title": "Higgins, Simon", "d:Description": "Crime, science-fiction and children's novelist; with a biography and reviews.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Higgins,_Simon", "url": "http://simonhiggins.net/"} +{"d:Title": "Jacobs, Anna", "d:Description": "[Also writes as Sherry-Anne Jacobs and Shannah Jay] Romance and historical novelist; with excerpts and articles.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Jacobs,_Anna", "url": "http://www.annajacobs.com/"} +{"d:Title": "James, Clive", "d:Description": "Novelist, poet and essayist; with text, audio and video lectures.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/James,_Clive", "url": "http://www.clivejames.com/"} +{"d:Title": "Kroll, Jeri", "d:Description": "Novelist and poet; with a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Kroll,_Jeri", "url": "http://www.jerikroll.com/"} +{"d:Title": "Maloney, Shane", "d:Description": "Official site of the author of the Murray Whelan series; with excerpts, reviews and speaking schedule.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Maloney,_Shane", "url": "http://www.shanemaloney.com/"} +{"d:Title": "Mansell, Chris", "d:Description": "Poet; with synopses, a biography, and workshop details.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Mansell,_Chris", "url": "http://www.chrismansell.com/"} +{"d:Title": "Marillier, Juliet", "d:Description": "Historical fantasist; with news and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Marillier,_Juliet", "url": "http://www.julietmarillier.com/"} +{"d:Title": "Marsh, Bill (Swampy)", "d:Description": "Novelist; with a biography, and workshop details.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Marsh,_Bill_Swampy", "url": "http://www.billswampymarsh.com/"} +{"d:Title": "McIntosh, Fiona", "d:Description": "Fantasy novelist; with a biography, bibliography, and book club.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/McIntosh,_Fiona", "url": "http://www.fionamcintosh.com/"} +{"d:Title": "Newton, Nerida", "d:Description": "Novelist; with extracts, reviews and appearance details.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Newton,_Nerida", "url": "http://www.neridanewton.com/"} +{"d:Title": "Park, Tony", "d:Description": "Author of Zambezi, Far Horizon and African Sky; with a biography, weblog, news and extracts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Park,_Tony", "url": "http://www.tonypark.net/"} +{"d:Title": "Parv, Valerie", "d:Description": "Romance novelist; with writing tips and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Parv,_Valerie", "url": "http://www.valerieparv.com/"} +{"d:Title": "Pennicott, Josephine", "d:Description": "Horror novelist; with a biography, awards list, news and photographs.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Pennicott,_Josephine", "url": "http://www.josephinepennicott.com/"} +{"d:Title": "Gillian Polack", "d:Description": "Canberra-based writer, medieval historian and educator. Includes a bibliography and biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Polack,_Gillian", "url": "http://www.trivium.net/gillianpolack/"} +{"d:Title": "Quan, Andy", "d:Description": "Novelist and poet; with biography, book details and photo album.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Quan,_Andy", "url": "http://www.andyquan.com/"} +{"d:Title": "Reilly, Matthew", "d:Description": "Espionage and young adult novelist; with a biography, appearance dates, and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Reilly,_Matthew", "url": "http://www.matthewreilly.com/"} +{"d:Title": "Roberts, Tansy Raynor", "d:Description": "Fantasy novelist; with news and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Roberts,_Tansy_Raynor", "url": "http://www.tansyrr.com/"} +{"d:Title": "Rushby, Allison", "d:Description": "Romance/comedy writer; with a biography, synopses and diary.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Rushby,_Allison", "url": "http://www.allisonrushby.com/"} +{"d:Title": "Smith, Hazel", "d:Description": "Poet; with a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Smith,_Hazel", "url": "http://www.australysis.com/hsmith.htm"} +{"d:Title": "Spencer, Beth", "d:Description": "Novelist, poet and essayist; with a biography and extracts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Spencer,_Beth", "url": "http://www.bethspencer.com/"} +{"d:Title": "Stevenson, Keith", "d:Description": "Speculative fiction writer; with news, a biography, and samples.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Stevenson,_Keith", "url": "http://www.keithstevenson.com/"} +{"d:Title": "Lucy Sussex", "d:Description": "Novelist; with a biography, bibliography and awards list.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Sussex,_Lucy", "url": "http://www.sussex.id.au/"} +{"d:Title": "Wilkins, Kim", "d:Description": "Horror and fantasy novelist; with a biography, reviews, photographs and news.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Wilkins,_Kim", "url": "http://fantasticthoughts.wordpress.com/"} +{"d:Title": "Williams, Sean", "d:Description": "Fantasy novelist; with news, reviews, a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Williams,_Sean", "url": "http://www.seanwilliams.com/"} +{"d:Title": "Williams, Sue", "d:Description": "Journalist and non-fiction writer; with a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Authors/Williams,_Sue", "url": "http://www.suewilliams.com.au/"} +{"d:Title": "Koala Awards", "d:Description": "New South Wales children's choice awards, with prizes.", "topic": "Top/Arts/Literature/World_Literature/Australian/Awards", "url": "http://www.koalansw.org.au/"} +{"d:Title": "The Australian / Vogel Award", "d:Description": "Annual award for unpublished manuscripts by authors younger than 35 years old; with entry forms.", "topic": "Top/Arts/Literature/World_Literature/Australian/Awards", "url": "http://www.allenandunwin.com/default.aspx?page=442"} +{"d:Title": "The Ned Kelly Awards", "d:Description": "Crime writing awards; with photographs and previous winner lists. Australian Crime Writers Association.", "topic": "Top/Arts/Literature/World_Literature/Australian/Awards", "url": "http://www.austcrimewriters.com/"} +{"d:Title": "Children's Book Council of Australia", "d:Description": "Offers support to authors and illustrators, annual awards, and events. Includes calendar of events, tips on getting published, and a quarterly journal.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's", "url": "http://www.cbca.org.au/"} +{"d:Title": "Lu Rees Archives", "d:Description": "Children's literature; with a depository of original manuscripts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's", "url": "http://www.canberra.edu.au/lurees/"} +{"d:Title": "Abela, Deborah", "d:Description": "Author of the Max Remy series; with a biography, news, character details and teacher notes.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Abela,_Deborah", "url": "http://www.maxremy.com.au/"} +{"d:Title": "Alexander, Goldie", "d:Description": "Novelist; with teacher notes, synopses, news and reviews.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Alexander,_Goldie", "url": "http://www.goldiealexander.com/"} +{"d:Title": "Duncan Ball", "d:Description": "Contains a biography, bibliography, news and games.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Ball,_Duncan", "url": "http://www.duncanball.com.au/"} +{"d:Title": "Barbalet, Margaret", "d:Description": "Children's Book Council Award finalist; with a biography, bibliography, and awards list.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Barbalet,_Margaret", "url": "http://www.margaretbarbalet.com/"} +{"d:Title": "Bastian, Greg", "d:Description": "Young adult novelist; with reviews, awards list, and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Bastian,_Greg", "url": "http://www.gregbastian.com.au/"} +{"d:Title": "Bell, Anita", "d:Description": "Young adult novelist, and finance writer; with a newsletter, biography, and guestbook.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Bell,_Anita", "url": "http://www.anitabell.com/"} +{"d:Title": "Bell, Krista", "d:Description": "Writer for the 'Takeaway' series; with a biography, bibliography, news and reviews.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Bell,_Krista", "url": "http://www.kristabell.com/"} +{"d:Title": "Blake, Bronwyn", "d:Description": "Young adult novelist; with questions, news, a biography, and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Blake,_Bronwyn", "url": "http://bronwynblake.com.au/"} +{"d:Title": "Brian, Janeen", "d:Description": "Picture book and short story author; with photographs, questions, a biography and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Brian,_Janeen", "url": "http://www.janeenbrian.com/"} +{"d:Title": "Cheng, Chris", "d:Description": "Non-fiction author; with a biography, news, drawings, and questions.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Cheng,_Chris", "url": "http://www.chrischeng.com/"} +{"d:Title": "Clark, Margaret", "d:Description": "Author of the 'Mango Street' series; with a biography, questions and booklist.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Clark,_Margaret", "url": "http://www.margaretclark.com/Default.htm"} +{"d:Title": "Clark, Sherryl", "d:Description": "Winner of the NSW Premier's Literature Award; with activities, poetry, and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Clark,_Sherryl", "url": "http://members.optusnet.com.au/sherrylc1/"} +{"d:Title": "Cummings, Phil", "d:Description": "Writer for young readers; with news, a biography, and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Cummings,_Phil", "url": "http://www.philcummings.com/"} +{"d:Title": "Dubosarsky, Ursula", "d:Description": "Children's Book Council Awards shortlisted author; with an interview, awards list, questions and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Dubosarsky,_Ursula", "url": "http://www.ursuladubosarsky.com/"} +{"d:Title": "Edwards, Hazel", "d:Description": "Picture book and young adult author; with a biography, bibliography, and news.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Edwards,_Hazel", "url": "http://www.hazeledwards.com/"} +{"d:Title": "Farrer, Vashti", "d:Description": "Children's Book Council Awards shortlisted author; with news, synopses, and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Farrer,_Vashti", "url": "http://www.vashtifarrer.com/"} +{"d:Title": "Flynn, Warren", "d:Description": "Young adult novelist; with synopses, a biography, questions and tips.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Flynn,_Warren", "url": "http://www.members.iinet.net.au/~wgmn/"} +{"d:Title": "French, Jackie", "d:Description": "Children's Book Council Award shortlisted author; with a list of awards, biography, recipes and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/French,_Jackie", "url": "http://www.jackiefrench.com/"} +{"d:Title": "Gardner, Scott", "d:Description": "Young adult novelist; with synopses, teacher notes, and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Gardner,_Scott", "url": "http://www.scotgardner.com/"} +{"d:Title": "Gervay, Susanne", "d:Description": "Young adult author; with a biography, reading notes and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Gervay,_Susanne", "url": "http://www.sgervay.com/"} +{"d:Title": "Gleeson, Libby", "d:Description": "Picture book writer; with a biography, awards list, and teacher information.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Gleeson,_Libby", "url": "http://www.libbygleeson.com.au/"} +{"d:Title": "Gleitzman, Morris", "d:Description": "Humor author; with a biography, synopses, and photographs.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Gleitzman,_Morris", "url": "http://www.morrisgleitzman.com/"} +{"d:Title": "Griffiths, Andy", "d:Description": "'Just...' series author, with a biography, book contents and reviews, stories and excerpts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Griffiths,_Andy", "url": "http://www.andygriffiths.com.au/"} +{"d:Title": "Gwyther, Sheryl", "d:Description": "Non-fiction writer; with photographs, artwork, and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Gwyther,_Sheryl", "url": "http://members.optusnet.com.au/sgwyther/"} +{"d:Title": "Harcombe, Dale", "d:Description": "Poet and children's author; with a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Harcombe,_Dale", "url": "http://www.daleharcombe.com/"} +{"d:Title": "Hawke, Rosanne", "d:Description": "Children's Book Council Awards shortlisted author; with news, a biography, and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Hawke,_Rosanne", "url": "http://www.rosannehawke.com/"} +{"d:Title": "Haydon, Julie", "d:Description": "Fiction and non-fiction author; with reviews, questions, and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Haydon,_Julie", "url": "http://www.juliehaydon.com.au/"} +{"d:Title": "Heffernan, John", "d:Description": "Children's Book Council Awards shortlisted author; with a biography and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Heffernan,_John", "url": "http://www.spudplus.com/"} +{"d:Title": "Nette Hilton", "d:Description": "Contains a biography, bibliography and writing tips.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Hilton,_Nette", "url": "http://www.nettehilton.com.au/"} +{"d:Title": "Luke C Jackson", "d:Description": "Young Adult novelist; with news, a biography, appearance details and a discussion board.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Jackson,_Luke", "url": "http://www.lukecjackson.com/"} +{"d:Title": "Jennings, Paul", "d:Description": "'Un...' series author; with a biography and prizes.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Jennings,_Paul", "url": "http://www.pauljennings.com.au/"} +{"d:Title": "Bernadette Kelly", "d:Description": "\"Riding High\" author; with a biography, news and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Kelly,_Bernadette", "url": "http://www.bernadettekelly.com.au/"} +{"d:Title": "Kuchling, Guundie", "d:Description": "Children's author and illustrator; with a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Kuchling,_Guundie", "url": "http://www.guundie.com/"} +{"d:Title": "Lardner, Kym", "d:Description": "Picture book author; with a biography, bibliography, and unpublished work.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Lardner,_Kym", "url": "http://www.kymlardner.com/"} +{"d:Title": "Lawson, Sue", "d:Description": "Children's Book Council Awards shortlisted author; with reviews, workshop details, and extracts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Lawson,_Sue", "url": "http://www.suelawson.com.au/"} +{"d:Title": "MacDibble, Bren", "d:Description": "Science fiction and children's writer; with news, a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/MacDibble,_Bren", "url": "http://members.optushome.com.au/brenmacd/"} +{"d:Title": "Marwood, Lorraine", "d:Description": "Children's author and poet; with extracts, bibliography and biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Marwood,_Lorraine", "url": "http://www.lorrainemarwood.com/index_html"} +{"d:Title": "Mawter, Jeni (J A)", "d:Description": "'So...' series author; with interviews, tips, a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Mawter,_Jeni", "url": "http://www.jenimawter.com/"} +{"d:Title": "Murray, Kirsty", "d:Description": "Young adult novelist; with news, tips, questions, and teacher notes.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Murray,_Kirsty", "url": "http://www.kirstymurray.com/"} +{"d:Title": "Opie, Robyn", "d:Description": "Picture book writer; with extracts, visit details, and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Opie,_Robyn", "url": "http://www.robynopie.com/"} +{"d:Title": "Ottley, Matt", "d:Description": "Picture book author; with a biography, study notes, and workshop details.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Ottley,_Matt", "url": "http://www.mattottley.com/"} +{"d:Title": "Pike, Louise", "d:Description": "'Harriet Huxtable' series author; with a guestbook and questions.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Pike,_Louise", "url": "http://www.louisepike.com.au/"} +{"d:Title": "Pryor, Michael", "d:Description": "Fantasy novelist; with a biography, questions, news, and reading list.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Pryor,_Michael", "url": "http://www.michaelpryor.com.au/"} +{"d:Title": "Quay, Emma", "d:Description": "Children's book illustrator and author; with biography, book information, portfolio samples, and activities.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Quay,_Emma", "url": "http://www.emmaquay.com/"} +{"d:Title": "Rodda, Emily", "d:Description": "Fantasy and fairy novelist; with a biography, news, questions and tips.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Rodda,_Emily", "url": "http://www.emilyrodda.com/"} +{"d:Title": "Roy, James", "d:Description": "Children's Book Council Awards shortlisted author; with news, thoughts, and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Roy,_James", "url": "http://jamesroywriter.myfreesites.net/"} +{"d:Title": "Rubinstein, Gillian", "d:Description": "Children's author; with an interview, biography and extracts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Rubinstein,_Gillian", "url": "http://www.gillianrubinstein.com/"} +{"d:Title": "Saxby, Claire", "d:Description": "Picture book writer; with workshops, synopses and a biography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Saxby,_Claire", "url": "http://members.optusnet.com.au/merck/"} +{"d:Title": "Starke, Ruth", "d:Description": "Children's and young adult novelist; with news and synopses.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Starke,_Ruth", "url": "http://www.ruthstarke.itgo.com/"} +{"d:Title": "Thompson, Colin", "d:Description": "Picture book author and illustrator; with a biography, previews and extracts.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Thompson,_Colin", "url": "http://www.colinthompson.com/"} +{"d:Title": "Tolbert, Steve", "d:Description": "Young adult novelist; with a biography and teacher notes.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Tolbert,_Steve", "url": "http://www.southcom.com.au/~stolbert/"} +{"d:Title": "Gillian M Wadds", "d:Description": "Novelist and playwright; with reviews and teachers' notes.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Wadds,_Gillian", "url": "http://www.gillianmwadds.com.au/"} +{"d:Title": "Scott Westerfeld", "d:Description": "Young Adult and science-fiction novelist; with a biography, appearance details and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Westerfield,_Scott", "url": "http://www.scottwesterfeld.com/"} +{"d:Title": "Whiting, Sue", "d:Description": "Contains a biography, synopses and teachers notes.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Whiting,_Sue", "url": "http://www.suewhiting.com/"} +{"d:Title": "Wolfer, Dianne", "d:Description": "Young adult novelist, also offering talks and workshops. Includes news, information on books, teacher notes, a biography, and image galleries.", "topic": "Top/Arts/Literature/World_Literature/Australian/Children's/Authors/Wolfer,_Dianne", "url": "http://www.diannewolfer.com/"} +{"d:Title": "Melbourne Writers' Festival", "d:Description": "Annual event; including complete program, and details about the featured writers.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "http://www.mwf.com.au/"} +{"d:Title": "Sydney Writers' Festival", "d:Description": "Annual event; with news, a program, and competitions.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "http://www.swf.org.au/"} +{"d:Title": "Emerging Writers' Festival", "d:Description": "Contains a program, speaker details and history.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "http://www.emergingwritersfestival.org.au/"} +{"d:Title": "Young Writers Festival", "d:Description": "Newcastle-based event; with a program and media briefings.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "http://www.youngwritersfestival.org/"} +{"d:Title": "Bellingen Readers and Writers Festival", "d:Description": "Annual literary event: four days of workshops, forums and readings with featured Australian writers.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "http://bellingenwritersfestival.com.au/"} +{"d:Title": "Brisbane Writers Festival - Up Lit", "d:Description": "Annual event; with a program, news, participants and a book club. Queensland.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "https://uplit.com.au/festival/brisbane-writers-festival"} +{"d:Title": "Byron Writers Festival", "d:Description": "Annual event with a schools program, archives and workshops. Byron Bay, NSW.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "http://byronwritersfestival.com/"} +{"d:Title": "Readers and Writers Down Under", "d:Description": "Annual event. Gold Coast in Queensland, Australia.", "topic": "Top/Arts/Literature/World_Literature/Australian/Festivals", "url": "https://www.facebook.com/ReadersandWritersDownUnder/"} +{"d:Title": "Magpies Magazine Pty Ltd", "d:Description": "Children's literature publications (one monthly, the other quarterly); with indexes, and submission details.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.magpies.net.au/"} +{"d:Title": "Australian Humanities Review", "d:Description": "Contains essays, reviews, and an archive.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.australianhumanitiesreview.org/"} +{"d:Title": "Island Magazine", "d:Description": "A Tasmanian-based quarterly featuring short stories, poetry, extracts from forthcoming novels.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.islandmag.com/"} +{"d:Title": "Quadrant Magazine", "d:Description": "Monthly print magazine; with book reviews, poetry, and essays.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.quadrant.org.au/"} +{"d:Title": "Heat magazine", "d:Description": "Print publication; with tables of contents and subscription details.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://giramondopublishing.com/heat/"} +{"d:Title": "Good Reading", "d:Description": "Monthly print publication; with reviews, articles, and reading group profiles.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.goodreadingmagazine.com.au/"} +{"d:Title": "Going Down Swinging", "d:Description": "Annual journal publishing short stories, comics, poetry, and spoken word recordings.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.goingdownswinging.org.au/"} +{"d:Title": "New Literatures Review", "d:Description": "Bi-annual publication from the University of Tasmania; with submission and subscription information.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.utas.edu.au/ejel/NLR/"} +{"d:Title": "Westerly", "d:Description": "Annual literary journal from the Centre for Studies in Australian Literature.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.westerlycentre.uwa.edu.au/"} +{"d:Title": "Meanjin", "d:Description": "Contains general information as well as current issue contents of the literary journal.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://meanjin.com.au/"} +{"d:Title": "Books+Publishing", "d:Description": "Industry journal; with news, event listings, jobs and bestseller lists.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.booksandpublishing.com.au/"} +{"d:Title": "Gangway", "d:Description": "Zine focusing on Australian and Austrian literature.", "topic": "Top/Arts/Literature/World_Literature/Australian/Magazines_and_E-zines", "url": "http://www.gangan.com/gangway/"} +{"d:Title": "Anirban", "d:Description": "Online showcase of Bangla poetry and prose.", "topic": "Top/Arts/Literature/World_Literature/Bengali", "url": "http://www.anirban.com/"} +{"d:Title": "Translations from Sarat Mukhopadhyay", "d:Description": "Three poems by Mukhopadhyay translated by the author and poet Robert McNamara.", "topic": "Top/Arts/Literature/World_Literature/Bengali", "url": "http://faculty.washington.edu/rmcnamar/trans.html"} +{"d:Title": "Times Literary Supplement", "d:Description": "Weekly print book review. Access to online archives for subscribers.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.the-tls.co.uk/"} +{"d:Title": "Incompetech's British Author Series", "d:Description": "A witty and scholarly take on various venerated British authors.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.incompetech.com/authors/"} +{"d:Title": "Early Manuscripts at Oxford University", "d:Description": "Digital facsimiles of complete manuscripts, scanned directly from the originals: Ancient papyri (from Herculaneum), Celtic and other medieval manuscripts.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.image.ox.ac.uk/"} +{"d:Title": "Luminarium", "d:Description": "Texts, biographies and criticism of authors from the Medieval, Renaissance and 17th Century periods.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.luminarium.org/"} +{"d:Title": "ACHUKA", "d:Description": "Children's books UK. Independent site with reviews, interviews, news and articles.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.achuka.co.uk/"} +{"d:Title": "Britannia Panorama Archives", "d:Description": "Various resources on various types of literature and authors including a columist section.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.britannia.com/arts/literature/"} +{"d:Title": "The Literary Encyclopedia", "d:Description": "A system of databases that provides up-to-date 2500-word profiles of literary authors, works and topics. Requires paid membership.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.litencyc.com/"} +{"d:Title": "English Literature Essays", "d:Description": "Critical essays on a variety of works of English literature.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.english-literature-essays.com/"} +{"d:Title": "Wikipedia: English Poetry", "d:Description": "A survey from Caedmon to contemporary work, organised by period and genre.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://en.wikipedia.org/wiki/English_poetry"} +{"d:Title": "Presscom: Small Press Republication and Other Historical Miscellany", "d:Description": "Pre-20th century texts published by individuals or small presses.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.presscom.co.uk/"} +{"d:Title": "The Review of English Studies", "d:Description": "Oxford University Press. Emphasis is on historical scholarship rather than interpretive criticism.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://res.oxfordjournals.org/"} +{"d:Title": "English Literature Essays", "d:Description": "Essays on various aspects of English literature.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.literature-study-online.com/essays/"} +{"d:Title": "Literary Resources", "d:Description": "Various resources, criticism and research including an annotated timeline of English literature and the historical events shaping it.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://www.editorskylar.com/litweb.html"} +{"d:Title": "British Literature (Articles)", "d:Description": "Articles published by academics - both Turkish and non-Turkish - working in Turkey.", "topic": "Top/Arts/Literature/World_Literature/British", "url": "http://warlight.tripod.com/BLIT.html"} +{"d:Title": "Sixteenth Century Renaissance English Literature (1485-1603)", "d:Description": "Guide to English literature of the Renaissance with over 100 original pages and biographies, with links.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century", "url": "http://www.luminarium.org/renlit/"} +{"d:Title": "The Works of Elizabeth I", "d:Description": "Devoted to Elizabeth I; including her written works, speeches, and a gallery of portraits.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century", "url": "http://www.luminarium.org/renlit/elizabib.htm"} +{"d:Title": "Renaissance Forum", "d:Description": "A refereed journal in Early Modern English literary and historical scholarship, with all articles from March 1996 to 2004.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century", "url": "http://www.hull.ac.uk/renforum/v1no1/index.html"} +{"d:Title": "Early Modern Colloquium", "d:Description": "Early Modern and Renaissance literature and culture.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century", "url": "http://www.umich.edu/~earlymod/"} +{"d:Title": "Analysies of Chaucer and Shakespeare", "d:Description": "Essays and English literature analysis of The Battle of Maldon and The Wife of Bath (The Canterbury Tales, Chaucer) and Sonnet 20 (Shakespeare).", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century", "url": "http://www.angelfire.com/nd/EssaysOnEnglishLit/EssaysOnEnglishLiterature.htm"} +{"d:Title": "The Elizabethan Sonnet", "d:Description": "1908 article by Prosser Hall Frye.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century", "url": "http://www.sonnets.org/frye.htm"} +{"d:Title": "The Boar's Head Tavern", "d:Description": "Discussion board devoted to Renaissance authors including Shakespeare, Marlowe, Jonson, Kydd, Middleton, Ford, Webster and Greene.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century", "url": "http://boarshead.proboards.com/"} +{"d:Title": "Sir Francis Bacon Meets The New Advancement of Learning", "d:Description": "Bacon's life and work. Includes an interactive map of London during the 16th century.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis", "url": "http://www.sirbacon.org/toc.html"} +{"d:Title": "Francis Bacon Research Trust General Information", "d:Description": "\"The Trust is concerned with education in the life and works of the secret master, Sir Francis Bacon, the Rosicrucians and other key exponents of the Ancient (and Ageless) Wisdom associated with him or having a bearing on his work.\"", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis", "url": "http://www.fbrt.org.uk/"} +{"d:Title": "American Baconiana", "d:Description": "Published by the Bacon Society of America in 1923.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis", "url": "http://www.sirbacon.org/links/abaconi1.htm"} +{"d:Title": "Empiricism Study Guide: Bacon, Francis", "d:Description": "University of Portland professor's resource on British Renaissance literature includes this breakdown of Bacon's philosophical writings.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis", "url": "http://faculty.up.edu/asarnow/eliz4.htm"} +{"d:Title": "Luminarium: Sir Francis Bacon", "d:Description": "An annotated list of resources and links, covering the life and works of Bacon.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis", "url": "http://www.luminarium.org/sevenlit/bacon/"} +{"d:Title": "Squashed Bacon", "d:Description": "The condensed edition of Francis Bacon's 'The Advancement of Learning'", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis", "url": "http://sqapo.com/bacon.htm"} +{"d:Title": "About: Bacon, Francis", "d:Description": "Biography of Francis Bacon explains his method of thinking and his contribution to the development of empiricism in Western philosophy.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://atheism.about.com/library/glossary/general/bldef_baconfrancis.htm"} +{"d:Title": "Biographies: Francis Bacon", "d:Description": "A short discussion of his life and work.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://www.blupete.com/Literature/Biographies/Philosophy/Bacon.htm"} +{"d:Title": "Our Civilisation: Sir Francis Bacon", "d:Description": "A short biography and literary appraisal of his works, by Max Patrick.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://www.ourcivilisation.com/smartboard/shop/patrickm/bacon/index.htm"} +{"d:Title": "Free Online Library - Bacon, Sir Francis", "d:Description": "Explore the fascinating and sometimes strange life of Francis Bacon and read his \"Essays.\"", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://bacon.thefreelibrary.com/"} +{"d:Title": "Galileo Project: Bacon, Francis", "d:Description": "Biography of the philosopher and author concentrates on his role in the history of science and medicine.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://galileo.rice.edu/Catalog/NewFiles/bacon.html"} +{"d:Title": "Wikipedia: Francis Bacon", "d:Description": "Biography of the English statesman, essayist, and philosopher. Includes links.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://en.wikipedia.org/wiki/Francis_Bacon"} +{"d:Title": "Internet Encyclopedia of Philosophy: Francis Bacon", "d:Description": "Detailed introduction to Bacon's life and work includes a look at his role in the scientific community of Elizabethan England.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://www.iep.utm.edu/bacon/"} +{"d:Title": "Logos: Bacon, Francis", "d:Description": "Offers a Biography about the English statesman, essayist, and philosopher Sir Francis Bacon.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Biographies", "url": "http://russellmcneil.blogspot.com/2007/07/francis-bacon-1561-1626.html"} +{"d:Title": "The Works of Sir Francis Bacon", "d:Description": "Collection of online works (includes another link to the essays).", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://www.luminarium.org/sevenlit/bacon/baconbib.htm"} +{"d:Title": "Great Books Index - Francis Bacon", "d:Description": "Index of hypertext links.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://books.mirror.org/gb.bacon-francis.html#essays"} +{"d:Title": "BaconLibrary", "d:Description": "Index of print and hypertext material.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://www.sirbacon.org/biblio.html"} +{"d:Title": "Quotations", "d:Description": "Online publication of quotes of Francis Bacon from Bartlett's Quotations.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://www.bartleby.com/100/139.html"} +{"d:Title": "Catholic Encyclopedia: Baconian System of Philosophy", "d:Description": "Essay takes a look at the Baconian system of philosophy and its relation to theology and the beliefs of the Catholic church.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://www.newadvent.org/cathen/02192a.htm"} +{"d:Title": "Art Bin: The New Atlantis - Bacon, Francis", "d:Description": "Browse through this long utopian fantasy written by Bacon and set in the South Sea west of Peru.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://art-bin.com/art/oatlant.html"} +{"d:Title": "Free Online Library - The Essays by Sir Francis Bacon", "d:Description": "Read the essay that takes a look at the philosophy and its relation to the beliefs of religion.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://bacon.thefreelibrary.com/The-Essays"} +{"d:Title": "The New Atlantis", "d:Description": "The Internet Wiretap edition containing plain text of Bacon's 1626 work.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works", "url": "http://fiction.eserver.org/novels/new_atlantis.html"} +{"d:Title": "Classic Bookshelf: Francis Bacon", "d:Description": "Java reader, indexed by essay title [requires cookies].", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Bacon,_Francis/Works/Essays", "url": "http://www.classicbookshelf.com/library/Bacon/"} +{"d:Title": "Elizabethan Authors - Text, Resources, and Authorship Studies", "d:Description": "Transcripts of obscure Elizabethan texts as well as articles on the history of writing and publishing in those times.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Elizabethan", "url": "http://www.elizabethanauthors.org/"} +{"d:Title": "Imagi-nation: Thomas Kyd", "d:Description": "Biography plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Kyd,_Thomas", "url": "http://www.imagi-nation.com/moonstruck/clsc25.html"} +{"d:Title": "Bartleby.com: Kyd References", "d:Description": "T.S. Eliot's essay on Hamlet from \"The Sacred Wood\" touches upon Kyd and \"The Spanish Tragedy.\"", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Kyd,_Thomas", "url": "http://www.bartleby.com/200/sw9.html"} +{"d:Title": "Legends: Shakespeare's Stories: Hamlet", "d:Description": "Briefly discusses The Spanish Tragedy as a source for Hamlet.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Kyd,_Thomas", "url": "http://bestoflegends.org/shakespeare/hamlet.html"} +{"d:Title": "Renaissance Tragedy", "d:Description": "Comparative essay of Shakespeare's Hamlet and Thomas Kyd's The Spanish Tragedy.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Kyd,_Thomas", "url": "http://www.english-literature.org/essays/renaissance_tragedy_investigators.php"} +{"d:Title": "Renaissance", "d:Description": "Extensive sections on Elizabethan social, religious, economic background and heraldry.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Life_and_Times", "url": "http://elizabethan.org/"} +{"d:Title": "Paradoxes of Defence, by George Silver (1599)", "d:Description": "A treatise on fencing, published in 1599.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Life_and_Times", "url": "http://www.pbm.com/~lindahl/paradoxes.html"} +{"d:Title": "Imagi-nation: Christopher Marlowe", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher", "url": "http://www.imagi-nation.com/moonstruck/clsc24.html"} +{"d:Title": "Incompetech: Christopher Marlowe", "d:Description": "Satirical biography of Marlowe, with humorous footnotes.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher", "url": "http://www.incompetech.com/authors/kitmarlowe/"} +{"d:Title": "TheatreHistory.com: Christopher Marlowe", "d:Description": "Brief biographical article.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher", "url": "http://www.theatrehistory.com/british/marlowe001.html"} +{"d:Title": "Luminarium: Christopher Marlowe", "d:Description": "Biography, works, essays, and resources at luminarium.org.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher", "url": "http://www.luminarium.org/renlit/marlowe.htm"} +{"d:Title": "Theatre Studies: A Brief Life of Christopher Marlowe", "d:Description": "Short introductory biography, including a brief overview of his body of work.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher", "url": "http://theatrestudies.tripod.com/Playwrights/Christopher_Marlowe/Marlowe.html"} +{"d:Title": "And Shall I Die, and This Unconquered?", "d:Description": "Essay examines how Marlowe's plays portray an inverted sense of colonialism.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher", "url": "http://extra.shu.ac.uk/emls/02-2/hopkmarl.html"} +{"d:Title": "The Complete Works of Marlowe", "d:Description": "Electronic edition.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher/Works", "url": "http://www.perseus.tufts.edu/Texts/Marlowe.html"} +{"d:Title": "Bartleby.com: Christopher Marlowe", "d:Description": "Brief biography and texts of the plays.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher/Works", "url": "http://www.bartleby.com/people/Marlowe.html"} +{"d:Title": "Monologue Archive: Christopher Marlowe", "d:Description": "Index and texts of monologues from the plays.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher/Works", "url": "http://www.monologuearchive.com/m/marlowe_christopher.html"} +{"d:Title": "Edward II", "d:Description": "Etext at the Perseus Project.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.03.0007"} +{"d:Title": "Christopher Marlowe's Doctor Faustus", "d:Description": "Background information.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marlowe,_Christopher/Works/Doctor_Faustus", "url": "http://www.dartmouth.edu/~matc/MathCulture/4-14.html"} +{"d:Title": "John Marston - Wikipedia", "d:Description": "Includes biography and works.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Marston,_John", "url": "http://en.wikipedia.org/wiki/John_Marston"} +{"d:Title": "The Plays of Thomas Middleton", "d:Description": "With images, links, and complete texts of Any Thing for a Quiet Life, The Changeling, A Chaste Maid in Cheapside, Complete on-line works, A Fair Quarrel, The Family of Love, Hengist King of Kent, No Wit, No Help Like a Woman's, The Old Law, The Phoenix, The Puritan, The Roaring Girl, A Trick to Catch the Old One, The Witch, Your Five Gallants.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Middleton,_Thomas", "url": "http://www.tech.org/~cleary/middhome.html"} +{"d:Title": "Imagi-nation: Thomas Middleton", "d:Description": "Biographical article.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Middleton,_Thomas", "url": "http://www.imagi-nation.com/moonstruck/clsc86.html"} +{"d:Title": "Luminarium: Thomas Middleton", "d:Description": "Includes a biography and annotated links to online resources.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Middleton,_Thomas", "url": "http://www.luminarium.org/sevenlit/middleton/"} +{"d:Title": "The Cambridge History of English and American Literature: Middleton and Rowley", "d:Description": "Biography and background information.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Middleton,_Thomas", "url": "http://www.bartleby.com/216/0301.html"} +{"d:Title": "Gender and Genre in the Sonnet Sequences of Philip Sidney and Mary Wroth", "d:Description": "A scholarly essay from \"Deep South\".", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Sidney,_Sir_Philip", "url": "http://www.otago.ac.nz/DeepSouth/vol2no1/laws.html"} +{"d:Title": "Luminarium: Sir Philip Sidney", "d:Description": "Quotes, life, works, and resources at luminarium.org.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Sidney,_Sir_Philip", "url": "http://www.luminarium.org/renlit/sidney.htm"} +{"d:Title": "Astrophil and Stella - Structure, Theme and Convention", "d:Description": "An essay on techniques in Sir Philip Sidney's sonnet sequence.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Sidney,_Sir_Philip", "url": "http://www.literature-study-online.com/essays/astrophil_and_stella.html"} +{"d:Title": "Elizabethan Sonneteers (Sidney)", "d:Description": "1885 essay on the Elizabethan sonnet.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Sidney,_Sir_Philip", "url": "http://www.sonnets.org/minto1.htm"} +{"d:Title": "Sidney Journal", "d:Description": "Access to articles published by the Sidney Society.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Sidney,_Sir_Philip", "url": "http://www.sidneysociety.org/?page_id=30"} +{"d:Title": "Luminarium: Edmund Spenser", "d:Description": "Includes links to online texts, biographical and bibliographical information, and links to related resources on the internet.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Spenser,_Edmund", "url": "http://www.luminarium.org/renlit/spenser.htm"} +{"d:Title": "The Edmund Spenser Home Page", "d:Description": "Biography, bibliography, links to online texts, discussion list and related links, from the University of Cambridge.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Spenser,_Edmund", "url": "http://www.english.cam.ac.uk/spenser/"} +{"d:Title": "Spenser Quotations", "d:Description": "Selected quotations of Edmund Spenser from Bartlett's Quotations.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Spenser,_Edmund", "url": "http://www.bartleby.com/100/125.html"} +{"d:Title": "The Bower of Bliss and The Garden of Adonis", "d:Description": "Essay contrasting the two sections of The Faerie Queene. By Susan Pritchard.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Spenser,_Edmund", "url": "http://www.literature-study-online.com/essays/spenser.html"} +{"d:Title": "Imagi-nation.com: John Webster", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Webster,_John", "url": "http://www.imagi-nation.com/moonstruck/clsc27.html"} +{"d:Title": "Netpoets.com: John Webster", "d:Description": "Includes a brief biographical note, and the poem \"A Land Dirge.\"", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Webster,_John", "url": "http://netpoets.com/classic/069000.htm"} +{"d:Title": "Anything for a Quiet Life", "d:Description": "Text of this work cowritten with John Webster.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Webster,_John/Works", "url": "http://www.tech.org/~cleary/aql.html"} +{"d:Title": "John Webster's The Duchess of Malfi", "d:Description": "The complete text, with thorough annotations, commentary, and photos of a recent production.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Webster,_John/Works/Duchess_of_Malfi,_The", "url": "http://larryavisbrown.homestead.com/files/Malfi/malfi_home.htm"} +{"d:Title": "The Duchess of Malfi: The Principal Characters", "d:Description": "A study of John Webster's revenge tragedy, The Duchess of Malfi, focusing on the characters and their roles.", "topic": "Top/Arts/Literature/World_Literature/British/16th_Century/Webster,_John/Works/Duchess_of_Malfi,_The", "url": "http://www.literature-study-online.com/essays/webster.html"} +{"d:Title": "English Literature: Early Seventeenth Century (1603-1660)", "d:Description": "Biographies, works, essays, and collected web resources for Donne, Bacon, Jonson, Herbert, Herrick, Milton, Wroth, Carew, Lovelace, Suckling, Vaughan, Crashaw, and Waller.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://www.luminarium.org/sevenlit/"} +{"d:Title": "Celebration of Women Writers: 1601-1700", "d:Description": "A collection of links to pages on a wide variety of seventeenth-century women writers.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://digital.library.upenn.edu/women/_generate/1601-1700.html"} +{"d:Title": "Essays on Early Seventeenth-Century English Literature", "d:Description": "Links to full-text essays. Distinguishes between student essays and professional pieces.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://www.luminarium.org/sevenlit/sevenessay.htm"} +{"d:Title": "Seventeenth-Century Women Poets: Bibliography", "d:Description": "A bibliography of Internet resources and literature on seventeenth-century women poets and writers.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://www.uni-koeln.de/phil-fak/englisch/kurse/17c/bibliogr.htm"} +{"d:Title": "Literary Resources: Renaissance", "d:Description": "Jack Lynch's index of resources for the study of Renaissance and seventeenth-century English literature.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://andromeda.rutgers.edu/~jlynch/Lit/ren.html"} +{"d:Title": "English Literature: Renaissance and Seventeenth Century", "d:Description": "Part of Alan Liu's Voice of the Shuttle project. Links to information on writers, criticism, journals, newsgroups, listservs, and conferences in early modern literary studies.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://vos.ucsb.edu/browse.asp?id=2749"} +{"d:Title": "Poets' Graves", "d:Description": "Poetry resource site listing the burial sites of major poets. Also includes: glossary of poetic terms, poets on poetry and a poetry forum.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://www.poetsgraves.co.uk/"} +{"d:Title": "Timeline of English Poetry, 1603-1667", "d:Description": "Links to an English poetry history timeline. Part of Representative Poetry On-line at the University of Toronto.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://rpo.library.utoronto.ca/timeline/#heading5"} +{"d:Title": "Jacobean Drama: Summaries", "d:Description": "Synopses of major plays. From Professor An Sonjae of Sogang University, Seoul.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://hompi.sogang.ac.kr/anthony/17cdrama.htm"} +{"d:Title": "Otia Sacra", "d:Description": "Complete text of Mildmay Fane's 1648 book, with original illustrations.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://www.presscom.co.uk/sixsev/otiasacra0.html"} +{"d:Title": "Sources for Seventeenth-Century English Literature", "d:Description": "History and politics, women in seventeenth-century England, Renaissance music, Renaissance theatre, art and architecture, and the Black Death.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://www.luminarium.org/sevenlit/sevenadd.htm"} +{"d:Title": "Gratiae Ludentes - A Renaissance Jestbook", "d:Description": "Prepared by students from the Department of English, Memorial University of Newfoundland.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century", "url": "http://www.mun.ca/alciato/jests/jest1.html"} +{"d:Title": "Sir Thomas Browne", "d:Description": "Texts of several of Browne's works. Maintained by James Eason of the University of Chicago.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://penelope.uchicago.edu/"} +{"d:Title": "Sir Thomas Browne", "d:Description": "Discussion of Browne from The Cambridge History of English and American Literature: An Encyclopedia in Eighteen Volumes (1907-21).", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://www.bartelby.com/217/1001.html"} +{"d:Title": "Picture Library Search: Sir Thomas Browne", "d:Description": "Includes two images of Browne. Specifies attribution and physical characteristics of the original portraits.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://www.npg.org.uk/live/search/person.asp?search=ss&sText=Thomas+Browne&LinkID=mp00600"} +{"d:Title": "Essay on The Garden of Cyrus", "d:Description": "\"The Problem of Memoria and Virtuoso Sensibility in Sir Thomas Browne's The Garden of Cyrus,\" by Arno L\u00f6ffler (Erlangen).", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://webdoc.gwdg.de/edoc/ia/eese/artic97/loeffler/1_97.html"} +{"d:Title": "Sir Thomas Browne (1605-1682)", "d:Description": "Biography, works, and online resources.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://www.luminarium.org/sevenlit/browne/"} +{"d:Title": "Sir Thomas Browne Page", "d:Description": "Hydriotaphia. Urn-Burial; Letter to a Friend. From the University of Toronto.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://www.library.utoronto.ca/utel/authors/brownet.html"} +{"d:Title": "Religio Medici", "d:Description": "Images of artwork and text from a 1642 edition of Religio Medici. From Glasgow University Library Special Collections.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://special.lib.gla.ac.uk/exhibns/month/july2002.html"} +{"d:Title": "VoS - Voice of the Shuttle", "d:Description": "Thomas Browne page with several links to his major works.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://vos.ucsb.edu/browse.asp?id=2373"} +{"d:Title": "Gailileo Project: Thomas Browne", "d:Description": "Biographical information on Browne. Compiled by Richard S. Westfall of Indiana University.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Browne,_Thomas", "url": "http://galileo.rice.edu/Catalog/NewFiles/browne.html"} +{"d:Title": "Review of The Tragedy of Mariam", "d:Description": "Critical evaluation of Stephanie J. Wright's edition of Cary's play. Written by Carrie Hintz; published in Early Modern Literary Studies 3:2 (September 1997).", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cary,_Elizabeth", "url": "http://extra.shu.ac.uk/emls/03-2/rev_hin2.html"} +{"d:Title": "Book Review", "d:Description": "Marie-Louise Coolahan reviews Elizabeth Cary Lady Falkland: Life and Letters, by Heather Wolfe.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cary,_Elizabeth", "url": "http://extra.shu.ac.uk/emls/09-1/coolarev.html"} +{"d:Title": "Elizabeth Cary's Mariam and the Critique of Pure Reason", "d:Description": "William M. Hamlin argues that \"her play interrogates the facile distinction between reason and feeling that several of its characters, and especially the Chorus, routinely assume, and which contributes significantly to the protagonist's death.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cary,_Elizabeth", "url": "http://extra.shu.ac.uk/emls/09-1/hamlcary.html"} +{"d:Title": "Renaissance Drama: The Tragedy of Mariam", "d:Description": "Compares Cary's play to its source, Josephus's Antiquities of the Jews.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cary,_Elizabeth", "url": "http://www.preteristarchive.com/Books/1613_carey_miriam.html"} +{"d:Title": "The Tragedy of Miriam", "d:Description": "Downloadable full text.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cary,_Elizabeth", "url": "http://www.wwnorton.com/college/english/nael/noa/pdf/27636_17th_U03_Cary-1-51.pdf"} +{"d:Title": "Margaret Cavendish Bibliography", "d:Description": "Compiled by James Fitzmaurice of Northern Arizona University.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://jan.ucc.nau.edu/~jbf/CavBiblio.html"} +{"d:Title": "Luminarium: Margaret (Lucas) Cavendish", "d:Description": "Quotes, biography, works, and links.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://www.luminarium.org/sevenlit/cavendish/"} +{"d:Title": "Margaret Cavendish Bibliography", "d:Description": "Compiled by Ron Cooley of the University of Saskatchewan.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://www.usask.ca/english/phoenix/cavendishbib.htm"} +{"d:Title": "Poems from Poems and Fancies", "d:Description": "Selected works from her 1653 edition.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://www.usask.ca/english/phoenix/cavendishlist.htm"} +{"d:Title": "Book Review", "d:Description": "Carrie Hintz reviews Margaret Cavendish and the Exiles of the Mind, by Anna Battigelli.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://extra.shu.ac.uk/emls/06-3/hintzrev.htm"} +{"d:Title": "Book Reviews", "d:Description": "Bernadette Andrea reviews Sociable Letters and The Convent of Pleasure, Ed. James Fitzmaurice; The Convent of Pleasure and Other Plays, Ed. Anne Shaver.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://extra.shu.ac.uk/emls/06-2/andrearev.htm"} +{"d:Title": "Romancing Multiplicity: Female Subjectivity and the Body Divisible in Margaret Cavendish's Blazing World", "d:Description": "Geraldine Wagner argues that Cavendish \"considered textuality a means to subjectivity: one in which there is . . . no sovereign head, but many multi-bodied, competing loci of potential agency.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://extra.shu.ac.uk/emls/09-1/wagnblaz.htm"} +{"d:Title": "Atomic Poems of Margaret (Lucas) Cavendish, The", "d:Description": "From the Emory Women Writers Resource Project. Selection of poems edited and introduced by Leigh Tillman Partington.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cavendish,_Margaret", "url": "http://bohr.library.emory.edu/ewwrp/toc.php?id=atomic"} +{"d:Title": "The Abraham Cowley Text and Image Archive", "d:Description": "Images of Cowley, texts, editions, and early illustrations. From the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cowley,_Abraham", "url": "http://etext.lib.virginia.edu/kinney/"} +{"d:Title": "Abraham Cowley (1618-1667)", "d:Description": "Links to Cowley's essays, \"Of Myself,\" and \"Of Education.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cowley,_Abraham", "url": "http://www.ourcivilisation.com/smartboard/shop/cowleya/about.htm"} +{"d:Title": "Abraham Cowley (1618-1667)", "d:Description": "Quotes, life, and works.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cowley,_Abraham", "url": "http://www.luminarium.org/sevenlit/cowley/"} +{"d:Title": "Cowley\u2019s \"Essays\". XVI. The Essay and the Beginning of Modern English Prose", "d:Description": "Background on Cowley's effect on the essay as literary form.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Cowley,_Abraham", "url": "http://www.bartleby.com/218/1613.html"} +{"d:Title": "John Un- Donne", "d:Description": "The Incompetech website's somewhat satirical take on Donne.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John", "url": "http://www.incompetech.com/authors/donne/"} +{"d:Title": "John Donne, Priest, Poet, and Preacher", "d:Description": "Biographical sketch by James Kiefer.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John", "url": "http://justus.anglican.org/resources/bio/35.html"} +{"d:Title": "Political and Social Criticism in \"The Calme\"", "d:Description": "Student essay by John DeStefano.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://www.luminarium.org/sevenlit/donne/destefan.htm"} +{"d:Title": "Paraphrase Used in a Review", "d:Description": "Excerpt from the Eric Griffiths review of William Empson's posthumous Essays on Renaissance Literature.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://homepages.wmich.edu/~cooneys/poems/empson.donne.html"} +{"d:Title": "Cambridge History of English and American Literature", "d:Description": "Covers the period from Sir Thomas North to Michael Drayton, which includes \"Donne's Relation to Petrarch,\" \"His Life,\" \"Songs and Sonets,\" \"Letters and Funerall Elegies,\" and \"His Position and Influence.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://www.bartleby.com/214/"} +{"d:Title": "Love Poetry of John Donne", "d:Description": "An essay by Ian Mackean on the role of love in Donne's Songs and Sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://www.literature-study-online.com/essays/donne.html"} +{"d:Title": "Book Review", "d:Description": "Elizabeth Hodgson reviews The Variorum Edition of the Poetry of John Donne, Volume 8: The Epigrams, Epithalamions, Epitaphs, Inscriptions, and Miscellaneous Poems. Gary A. Stringer, et al.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/rev_hod2.html"} +{"d:Title": "Book Reviews", "d:Description": "Elizabeth Hodgson reviews two books: John Donne. Pseudo-Martyr. Ed. Anthony Raspa; John Donne and the Ancient Catholic Nobility, by Dennis Flynn.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/02-1/rev_hod1.html"} +{"d:Title": "Book Review", "d:Description": "Nathan P. Tinker reviews Barbara Estrin's Laura: Uncovering Gender and Genre in Wyatt, Donne, and Marvell.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/02-2/rev_tin1.html"} +{"d:Title": "Book Review", "d:Description": "Claude J. Summers reviews The Variorum Edition of the Poetry of John Donne (vol. 6): The Anniversaries and The Epicedes and Obsequies. Gen. Ed. Gary A. Stringer.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/01-3/rev_sum1.html"} +{"d:Title": "Colon and Semi-Colon in Donne's Prose Letters: Practice and Principle", "d:Description": "Suggests that \"Donne's colon and semicolon usage reveals several Donnean principles of punctuation.\" By Emma L. Roth-Schwartz.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/03-1/rothdonn.html"} +{"d:Title": "John Donne's Use of Space", "d:Description": "\"Donne's spatial imagination: its cosmographic assumptions, and its many contradictions,\" by Lisa Gorton.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/04-2/gortjohn.htm"} +{"d:Title": "Book Review", "d:Description": "Gary Kuchar reviews Ronald Corthell's Ideology and Desire in Renaissance Poetry: The Subject of Donne.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/06-1/kuchrev.htm"} +{"d:Title": "The Metaphysical Sonnets of John Donne and Mikolaj Sep Szarzynski: A Comparison", "d:Description": "Magdalena Kay suggests that \"Both poets work out their ideas through paradox and syntactic play.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/09-2/kaysep.html"} +{"d:Title": "\"I haue often such a sickly inclination\": Biography and the Critical Interpretation of Donne's Suicide Tract, Biathanatos", "d:Description": "R. G. Siemens suggests that the tract should be read \"as a detached . . . examination of the moral implications of an action,\" rather than a reflection of Donne's state of mind.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/siemens.htm"} +{"d:Title": "John Donne's \"Lamentations\" and Christopher Fetherstone's Lamentations . . . in prose and meeter (1587)", "d:Description": "Ted-Larry Pebworth argues that Donne engaged the 1587 edition of Fetherstone's \"Lamentations\" to translate the text into English.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/pebworth.htm"} +{"d:Title": "W[illiam] S[hakespeare]'s \"A Funeral Elegy\" and the Donnean Moment", "d:Description": "Claude J. Summers argues that \"A Funeral Elegy\" shares an affinity with Donne's mourning poems, but \"rejects those very qualities of expansive symbolism and abstraction that the later plays share with the Anniversaries.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/summers.htm"} +{"d:Title": "\"Witness this Booke, (thy Emblem)\": Donne's Holy Sonnets and Biography", "d:Description": "Diana Trevi\u00f1o Benet argues that the sonnets have been widely studied in terms of the poet's theology, but \"their recourse to biography\" deserves critical attention.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/benet.htm"} +{"d:Title": "Britten and Donne: Holy Sonnets Set to Music", "d:Description": "Bryan N. S. Gooch argues that the ordering of the Sonnets in Britten's Opus 35 reflects the composer's personal experience of visiting German concentration camps.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/gooch.htm"} +{"d:Title": "Trumpet Vibrations: Theological Reflections on Donne's Doomsday Sonnet", "d:Description": "G. Richmond Bridge relates the octave of Holy Sonnet VII to \"the substance of much millenarian thought and preaching.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/bridge.htm"} +{"d:Title": "Donne, Herbert, and the Worm of Controversy", "d:Description": "By Louis Martz. Ecclesiastical dispute in the British Church as reflected in the works of Donne and Herbert.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/martz.htm"} +{"d:Title": "\"The strangest pageant, fashion'd like a court\": John Donne and Ben Jonson to 1600 -- Parallel Lives", "d:Description": "William F. Blissett suggests that a Jonson reference to a \"Dr. Done . . . encourages a consideration of the parallel literary lives of Jonson and Donne.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/blissett.htm"} +{"d:Title": "John Donne the Divine and Mundane", "d:Description": "Analyzes Donne's poetry in terms of his change in lifestyles throughout his career. By Yoshiko Fujito. [.PDF]", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://www.kwansei.ac.jp/s_sociology/kiyou/87/87-ch11.pdf"} +{"d:Title": "New Pleasures Prove: Evidence of Dialectical Disputatio in Early Modern Manuscript Culture", "d:Description": "Margaret Downs-Gamble examines Donne's poems in terms of the manuscript culture of the times.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Reviews", "url": "http://extra.shu.ac.uk/emls/02-2/downdonn.html"} +{"d:Title": "Works of John Donne", "d:Description": "E-texts of Donne's Songs and Sonnets, Elegies, Epigrams, Satires, Metempsychosis, Marriage Songs, The Anniversaries, The Holy Sonnets, Latin Poems and Translations, Devotions, and other works.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.luminarium.org/sevenlit/donne/donnebib.htm"} +{"d:Title": "Five Satyres", "d:Description": "Full texts. From The Satires, Epigrams and Verse Letters of John Donne edited by W. Milgate, Oxford, 1967.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.thing.net/~grist/golpub/jdonne/jdonne_f.htm"} +{"d:Title": "Literature Network: John Donne", "d:Description": "Includes selected works, a biography, and a search feature.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.online-literature.com/donne/"} +{"d:Title": "John Donne Online", "d:Description": "Elegies, epigrams, and Latin poems and translations.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.global-language.com/donneframe.html"} +{"d:Title": "John Donne", "d:Description": "Links to his sermons, Meditations, and Holy Sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.users.csbsju.edu/~eknuth/jd/"} +{"d:Title": "John Donne, Meditation XVII: No Man is an Island", "d:Description": "Poems, meditations, image gallery, and links.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://isu.indstate.edu/ilnprof/ENG451/ISLAND/"} +{"d:Title": "Holy Sonnets", "d:Description": "Nineteen sonnets on religious themes.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.sonnets.org/donne.htm"} +{"d:Title": "Poets' Corner", "d:Description": "Selected poems and Holy Sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.theotherpages.org/poems/poem-cd.html"} +{"d:Title": "John Donne: Three Poems", "d:Description": "Holy Sonnets XIV and X; Hymn to God, My God, in my Sickness. Analysis of their poetic form.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.satucket.com/lectionary/John_Donne.htm"} +{"d:Title": "John Donne", "d:Description": "Short biography and poems: Daybreak, That Time and Absence proves Rather helps than hurts to loves, Death, Song, The Ecstasy, The Dream, The Funeral, A Hymn to God the Father.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.englishverse.com/poets/donne_john"} +{"d:Title": "WzDD's HSC Info Page", "d:Description": "Donne's The Apparition, The Flea, A Valediction Forbidding Mourning and other poems. Analysis of the poems.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://lardcave.net/hsc/index.html"} +{"d:Title": "The Anglican Library - John Donne", "d:Description": "Devotions upon Emergent Occasions, Death's Duel, and Sermons.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.anglicanlibrary.org/donne/"} +{"d:Title": "Island of Freedom - John Donne", "d:Description": "Biographical sketch and links to several poems.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.roberthsarkissian.com/iof/DONNE.HTM"} +{"d:Title": "Donne, John (1572-1631)", "d:Description": "Sermons, poems, and Devotions. Downloads in PDF, HTML, or plain text.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Donne,_John/Works", "url": "http://www.ccel.org/ccel/donne"} +{"d:Title": "Sir George Etherege and his Place in the History of Restoration Drama", "d:Description": "Biographical information on Etherege.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Etherege,_George", "url": "http://www.bartleby.com/218/0519.html"} +{"d:Title": "Bibliomania: The Man of Mode, or Sir Fopling Flutter", "d:Description": "Online text of Etherege's play.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Etherege,_George", "url": "http://www.bibliomania.com/0/6/frameset.html"} +{"d:Title": "Luminarium: Ben Jonson", "d:Description": "Biography, collection of works, and web resources.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.luminarium.org/sevenlit/jonson/"} +{"d:Title": "Ben 'Origin Unknown' Jonson", "d:Description": "Incompetech's satirical biography of Jonson.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.incompetech.com/authors/jonson/"} +{"d:Title": "Imagi-nation: Ben Jonson", "d:Description": "Biography of the playwright, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.imagi-nation.com/moonstruck/clsc11.htm"} +{"d:Title": "Ben Jonson", "d:Description": "Essay by T.S. Eliot from The Sacred Wood.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.bartleby.com/200/sw10.html"} +{"d:Title": "Theatre History: Ben Jonson", "d:Description": "A biography and overview of the writer's major works.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.theatrehistory.com/british/jonson001.html"} +{"d:Title": "Theatre Database: Ben Jonson", "d:Description": "Includes a biography and resources on theater history.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.theatredatabase.com/17th_century/ben_jonson_001.html"} +{"d:Title": "Ben Jonson Page from Shakespeare and The Globe", "d:Description": "Historical culture, biography, short bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://search.eb.com/shakespeare/micro/306/38.html"} +{"d:Title": "HOASM: Ben Jonson", "d:Description": "A biography, originally published in Elizabethan and Stuart Plays. Ed. Charles Read Baskerville. New York: Henry Holt and Company, 1934. pp. 827-830.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.hoasm.org/IVM/Jonson.html"} +{"d:Title": "Ben Jonson's Grave", "d:Description": "Image of the poet's burial stone at Westminster Abbey.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben", "url": "http://www.poetsgraves.co.uk/jonson.htm"} +{"d:Title": "\"The strangest pageant, fashion'd like a court\": John Donne and Ben Jonson to 1600 -- Parallel Lives", "d:Description": "William F. Blissett suggests that a Jonson reference to a \"Dr. Done . . . encourages a consideration of the parallel literary lives of Jonson and Donne.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/si-07/blissett.htm"} +{"d:Title": "Ben Jonson and Cervantes", "d:Description": "Yumiko Yamada suggests that while many studies of Cervantes make connections to Shakespeare, the connection to Jonson deserves more critical attention.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://www.uv.es/~fores/YamadaYumiko.uk.html"} +{"d:Title": "The Swinburne Project", "d:Description": "A study of Ben Jonson: comedies, tragedies, masques, miscellaneous works, and discoveries.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://www.letrs.indiana.edu/cgi-bin/acs-idx.pl?type=fullText&rgn=work&byte=2541030"} +{"d:Title": "Ben Jonson Unmasked", "d:Description": "An essay by Kathleen A. Prendergrast on Jonson's changing attitudes towards his fellow playwrights, the theater as a medium, and his own role as a dramatist.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://www.literature-study-online.com/essays/jonson.html"} +{"d:Title": "Book Review", "d:Description": "Matthew Steggle reviews Ben Jonson and Theatre: Performance, Practice and Theory, by Richard Cave, et al.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/05-1/stegrev.htm"} +{"d:Title": "Book Review", "d:Description": "Robert C. Evans reviews Ben Jonson: Poetry and Architecture, by A.W. Johnson.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/01-2/rev_rce1.html"} +{"d:Title": "Marking his Place: Ben Jonson's Punctuation", "d:Description": "Sara van den Berg suggests that \"[t]o investigate his punctuation is to investigate not only his specific practices but, even more importantly, his theory of the text.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/01-3/bergjons.html"} +{"d:Title": "Jonson's Stoic Politics: Lipsius, the Greeks, and the \"Speach According to Horace\"", "d:Description": "Robert C. Evans suggests comparisons between Lipsius and Jonson, for \"[b]oth men seem to have equated good politics with moral goodness: the just ruler, the worthy citizen, and the ideal commonwealth should all be rooted in virtue.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/04-1/evanjons.html"} +{"d:Title": "\"On the Famous Voyage\": Ben Jonson and Civic Space", "d:Description": "Essay by Andrew McRae from Early Modern Literary Studies (September 1998).", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/04-2/mcraonth.htm"} +{"d:Title": "(Self)-Fashioning of Ezekiel Edgworth in Jonson's Bartholomew Fair, The", "d:Description": "Essay by Jean MacIntyre from Early Modern Literary Studies 4:3 (January 1999).", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/04-3/macijons.html"} +{"d:Title": "Book Review", "d:Description": "Matthew Steggle reviews Ben Jonson's Antimasques: A history of growth and decline, by Lesley Mickel.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/06-1/stegrev.htm"} +{"d:Title": "Book Reviews", "d:Description": "Matthew Steggle reviews Ben Jonson, Every Man in His Humour and Every Man Out of His Humour, Ed. Helen Ostovich.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/07-3/steg2rev.htm"} +{"d:Title": "Theater Review", "d:Description": "David Nicol reviews Eastward Ho!", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/08-2/eastrev.html"} +{"d:Title": "Jonson's Romish Foxe", "d:Description": "Alizon Brunning argues that Volpone \"can also be read as an overtly Anti-Catholic discourse.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/brunvol.htm"} +{"d:Title": "\"But Worth pretends\": Discovering Jonsonian Masque in Lady Mary Wroth's Pamphilia to Amphilanthus", "d:Description": "Anita M. Hagerman analyzes \"Wroth's connections to Ben Jonson and the possibilities the connections offer regarding both the form and content of Wroth's sonnet sequence Pamphilia to Amphilanthus.\"", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://extra.shu.ac.uk/emls/06-3/hagewrot.htm"} +{"d:Title": "Ben Jonson, from The Sad Shepherd: or, A Tale of Robin-Hood (1641)", "d:Description": "Short background on Jonson's last play, excerpt, and notes.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Reviews", "url": "http://www.southampton.ac.uk/~sdb2/jonson.htm"} +{"d:Title": "Ben Jonson: The Alchemist", "d:Description": "This Alchemy site offers an online text of one of Jonson's greatest comedies. The site is primarily a source of extensive information, bibliography and links related to alchemy.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.levity.com/alchemy/jn-alch0.html"} +{"d:Title": "The Holloway Pages: Ben Jonson Page", "d:Description": "Many of his plays and poems based on the 1692 edition.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.hollowaypages.com/Jonson.htm"} +{"d:Title": "Ben Jonson", "d:Description": "Biographical sketch and links to poems: A Farewell to the World, Hymn to Diana, To Celia, Simplex Munditiis, The Shadow, The Triumph, An Elegy, The Noble Balm, Epitaph (i) On Elizabeth L.H., Epitaph (ii) On Salathiel Pavy .", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.englishverse.com/poets/jonson_ben"} +{"d:Title": "Ben Jonson's \"Every Man Out of His Humour\" (extracts)", "d:Description": "17th century spelling variants are not modernized.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://fly.hiwaay.net/~paul/jonson/outhumour.html"} +{"d:Title": "Excerpt from Oberon, the Fairy Prince", "d:Description": "From the Luminarium.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.luminarium.org/sevenlit/jonson/oberon.htm"} +{"d:Title": "Ben Jonson's \"Poetaster\" (extracts)", "d:Description": "17th century spelling variants are unmodernized.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://fly.hiwaay.net/~paul/jonson/poetaster.html"} +{"d:Title": "Cues&All: The Library: Ben Jonson", "d:Description": "Links to full text and excerpts of Jonson's plays and masques.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.cuesandall.com/library/ben.html"} +{"d:Title": "Poets' Corner", "d:Description": "Selected poems of Ben Jonson.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.theotherpages.org/poems/poem-ij.html"} +{"d:Title": "DayPoems: Ben Jonson Index", "d:Description": "Selected poems: A Farewell to the World, An Elegy, A Part of an Ode, Hymn to Diana, On Elizabeth L. H., On Salathiel Pavy, Simplex Munditiis, The Noble Balm, The Shadow, The Triumph, To Celia.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.daypoems.net/poets/56.html"} +{"d:Title": "Ben Jonson: Volpone", "d:Description": "Brief plot synopsis of each act.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://hompi.sogang.ac.kr/anthony/Volpone.htm"} +{"d:Title": "The Masque of Blacknesse", "d:Description": "E-text of Jonson's Masque, with margin notes.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.luminarium.org/editions/maskblack.htm"} +{"d:Title": "Atlantic Unbound Poetry Pages: \"My Picture Left in Scotland\"", "d:Description": "An introduction to Jonson's \"My Picture Left in Scotland\" by Robert Pinsky. Includes Real Audio clips of readings of the poem by Pinsky, David Ferry, and Gail Mazur.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Jonson,_Ben/Works", "url": "http://www.theatlantic.com/past/docs/unbound/poetry/soundings/jonson.htm"} +{"d:Title": "From \"The Dream\"", "d:Description": "An excerpt from Mortalities Memorandum, with a Dreame Prefixed (1621).", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Speght,_Rachel", "url": "http://www.uni-koeln.de/phil-fak/englisch/kurse/17c/speght.htm"} +{"d:Title": "Renascence Editions: A Mouzell for Melastomus", "d:Description": "Etext from the 1617 edition of the work.", "topic": "Top/Arts/Literature/World_Literature/British/17th_Century/Speght,_Rachel", "url": "http://www.luminarium.org/renascence-editions/rachel.html"} +{"d:Title": "Nichol Smith Collection", "d:Description": "The National Library of Australia's collection of 18th century English literature.", "topic": "Top/Arts/Literature/World_Literature/British/18th_Century", "url": "http://www.nla.gov.au/collect/nsmith.html"} +{"d:Title": "A Preface to Eighteenth Century Poetry", "d:Description": "An explanation of the background and nature of eighteenth century poetry and thought, by James Sutherland.", "topic": "Top/Arts/Literature/World_Literature/British/18th_Century", "url": "http://www.ourcivilisation.com/smartboard/shop/poet18/"} +{"d:Title": "C18-L", "d:Description": "International scholarly mailing list discussing all aspects of 18th-century studies, including literature; includes links and bibliographies.", "topic": "Top/Arts/Literature/World_Literature/British/18th_Century", "url": "http://www.personal.psu.edu/special/C18/c18-l.htm"} +{"d:Title": "The Bluestocking Archive", "d:Description": "Texts either by or related to late 18th century British writers of the Bluestocking Circle.", "topic": "Top/Arts/Literature/World_Literature/British/18th_Century", "url": "http://www.faculty.umb.edu/elizabeth_fay/archive2.html"} +{"d:Title": "Internet Library of Early Journals", "d:Description": "Free, searchable access to three 18th c. journals and three 19th c. journals. Maintained by the libraries of Birmingham, Leeds, Manchester and Oxford Universities.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century", "url": "http://www.bodley.ox.ac.uk/ilej/"} +{"d:Title": "19th Century British and Irish Authors", "d:Description": "Chronological list of authors with hyperlinks to sites about them and their works.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/19th-authors.html"} +{"d:Title": "Of the Pathetic Fallacy", "d:Description": "Essay from 1856 \"Modern Painters\" volume iii, part 4 by John Ruskin.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century", "url": "http://www.ourcivilisation.com/smartboard/shop/ruskinj/index.htm"} +{"d:Title": "British Literature of the Romantic Era", "d:Description": "Information about British women writers of the Romantic era, courses at the University of South Carolina, and links to external sites on the Romantics.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century", "url": "http://paulafeldman.com/"} +{"d:Title": "Graduate Course: Nineteenth-Century Medievalism", "d:Description": "Syllabus for a course on 19th Century Medievalism by Professor D.F. Felluga of Purdue, with topics for discussion or research.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century", "url": "http://web.ics.purdue.edu/~felluga/medievalism/medievalism.html"} +{"d:Title": "Grace Aguilar", "d:Description": "Author of sentimental domestic novels and essays on contemporary Judaism.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Aguilar,_Grace", "url": "http://www.jewishvirtuallibrary.org/jsource/biography/Aguilar.html"} +{"d:Title": "Max Beerbohm Caricatures", "d:Description": "Caricatures of author Frank Harris.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Beerbohm,_Max", "url": "http://www.oddbooks.co.uk/harris/person.php3?name=beerbohm_max"} +{"d:Title": "The Victorian Web: Max Beerbohm", "d:Description": "Biography, works, and essays on the themes in his books.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Beerbohm,_Max", "url": "http://www.victorianweb.org/authors/mb"} +{"d:Title": "A Memory of the Nineteen-Nineties", "d:Description": "Teller (of Penn and Teller) writes about the return of Soames.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Beerbohm,_Max/Works/Enoch_Soames", "url": "http://www.theatlantic.com/past/docs/issues/97nov/teller.htm"} +{"d:Title": "George Borrow's Strange Experiences in Man", "d:Description": "Account of Borrow's visit to the Isle of Man and a transcript of his manuscript.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Borrow,_George", "url": "http://www.isle-of-man.com/manxnotebook/jmmuseum/d218.htm"} +{"d:Title": "Internet Archive: George Borrow, The Man and His Work", "d:Description": "Etext of 1908 book by R. A. J. Walling.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Borrow,_George", "url": "http://www.archive.org/details/georgeborrowmana00walluoft/"} +{"d:Title": "The Bible in Spain", "d:Description": "Scanned excerpts from the book.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Borrow,_George/Works", "url": "http://cdl.library.cornell.edu/cgi-bin/moa/moa-cgi?notisid=ABQ0722-0001-35"} +{"d:Title": "Sentiment of the Sword", "d:Description": "Text of the book by Sir Richard Burton.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Burton,_Sir_Richard_Francis", "url": "http://www.ejmas.com/jnc/jncart_burtonsentimentswordcontents_0300.htm"} +{"d:Title": "Project Gutenberg - Sir Richard Francis Burton", "d:Description": "List of free ebooks available online.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Burton,_Sir_Richard_Francis", "url": "http://www.gutenberg.org/browse/authors/b#a898"} +{"d:Title": "Burtoniana: Sir Richard Francis Burton (1821-1890)", "d:Description": "Extensive collection includes information on his life, major and minor works, manuscripts, ephemera, images and commentary.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Burton,_Sir_Richard_Francis", "url": "http://burtoniana.org/"} +{"d:Title": "Wikipedia - Richard Francis Burton", "d:Description": "Crowd-sourced encyclopedia article about the English explorer and translator.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Burton,_Sir_Richard_Francis", "url": "http://en.wikipedia.org/wiki/Richard_Francis_Burton"} +{"d:Title": "Sir Richard Francis Burton", "d:Description": "Guide to the life, travels and writings of the explorer, linguist, writer, poet and translator, best known for his Arabian work. Includes images and web resources.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Burton,_Sir_Richard_Francis", "url": "http://www.sirrichardfrancisburton.org/"} +{"d:Title": "BBC History - Sir Richard Burton", "d:Description": "Discover the facts behind the life of the Victorian explorer and writer who craved adventure.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Burton,_Sir_Richard_Francis", "url": "http://www.bbc.co.uk/history/historic_figures/burton_sir_richard.shtml"} +{"d:Title": "John Clare - Wikipedia", "d:Description": "Overview of life and works.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Clare,_John", "url": "http://en.wikipedia.org/wiki/John_Clare"} +{"d:Title": "Wilkie Collins", "d:Description": "Encyclopedia-style introduction to the British writer, with links to related topics.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Collins,_Wilkie", "url": "http://en.wikipedia.org/wiki/Wilkie_Collins"} +{"d:Title": "The Wilkie Collins Pages", "d:Description": "Engravings from works, biography, links, and miscellaneous items such as Collins' will and what he thought of Dickens.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Collins,_Wilkie", "url": "http://www.wilkiecollins.com/"} +{"d:Title": "Wilkie Collins Information Pages", "d:Description": "By Andrew Gasson. Includes biographical information, images, introduction to Collins's books and plays, along with quotations and bibliographies.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Collins,_Wilkie", "url": "http://www.wilkie-collins.info/"} +{"d:Title": "Read Print: Wilkie Collins", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Collins,_Wilkie", "url": "http://www.readprint.com/author-134/Wilkie-Collins-books"} +{"d:Title": "Brother Morgan's Story of the Dream Woman", "d:Description": "HTML version.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Collins,_Wilkie/Works", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/Collins-Woman.html"} +{"d:Title": "The Serial Publication in Britain of the Novels of Wilkie Collins", "d:Description": "Scholarly paper by Graham Law.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Collins,_Wilkie/Works", "url": "http://www.f.waseda.jp/glaw/arts/wcsp.pdf"} +{"d:Title": "Wilkie Collins", "d:Description": "Introduction and texts of Woman in White and The Moonstone. From Bibliomania.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Collins,_Wilkie/Works", "url": "http://www.bibliomania.com/0/0/frameset.html"} +{"d:Title": "Not That Dull", "d:Description": "Brief review of \"The Real Life of Mary Ann Evans: George Eliot, Her Letters and Fiction\".", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George", "url": "http://www.bc.edu/bc_org/rvp/pubaf/chronicle/v4/O19/BODENHEIMER.html"} +{"d:Title": "George Eliot List", "d:Description": "Dedicated to the discussion of all things Eliot, including novels, essays, poetry and biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George", "url": "http://groups.yahoo.com/group/George_Eliot_List/"} +{"d:Title": "The Writing of Marian Evans (George Eliot)", "d:Description": "Comment with links to Romola, Daniel Deronda, Middlemarch, Adam Bede, Mill on the Floss, Silas Marner, Felix Holt and other George Eliot sites.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George", "url": "http://www.justd.com/evans.htm"} +{"d:Title": "George Eliot: An Overview", "d:Description": "Essays on Eliot's technique and Victorian background. From the Victorian Web.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George", "url": "http://www.victorianweb.org/authors/eliot/index.html"} +{"d:Title": "Classic Bookshelf: George Eliot", "d:Description": "Java-based online reader for \"Middlemarch\" and \"Silas Marner.\"", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George/Works", "url": "http://www.classicbookshelf.com/library/Eliot/"} +{"d:Title": "Silas Marner", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous and next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George/Works", "url": "http://www.pagebypagebooks.com/George_Eliot/Silas_Marner/"} +{"d:Title": "Bartleby.com: George Eliot", "d:Description": "Online publication of The Mill on the Floss.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George/Works", "url": "http://www.bartleby.com/309/"} +{"d:Title": "The Lifted Veil", "d:Description": "HTML. Each chapter has several pages. Links to previous and next page, previous or next chapter, table of contents. At Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George/Works", "url": "http://www.pagebypagebooks.com/George_Eliot/The_Lifted_Veil/"} +{"d:Title": "Bibliomania: George Eliot", "d:Description": "Middlemarch, The Mill on the Floss, and Silas Marner. With an introduction to the author.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George/Works", "url": "http://www.bibliomania.com/0/0/21/"} +{"d:Title": "Classic Review: Middlemarch", "d:Description": "A review of the text from Atlantic Unbound.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Eliot,_George/Works/Middlemarch", "url": "http://www.theatlantic.com/past/docs/unbound/classrev/middlema.htm"} +{"d:Title": "Gentlemen and Players", "d:Description": "Text of the short story.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Hornung,_E._W.", "url": "http://www.eastoftheweb.com/short-stories/UBooks/GentPlay.shtml"} +{"d:Title": "Dead Men Tell No Tales", "d:Description": "HTML text to be read online, page by page.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Hornung,_E._W.", "url": "http://www.pagebypagebooks.com/E_W_Hornung/Dead_Men_Tell_No_Tales/"} +{"d:Title": "Tom Brown's Schooldays - A Look at the Old Game", "d:Description": "Descriptions of the game of rugby from the pages of the book about life at Rugby School in the 1830s.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Hughes,_Thomas", "url": "http://www.wesclark.com/rrr/tombrown.html"} +{"d:Title": "Tom Brown's Schooldays", "d:Description": "Online text of Thomas Hughes' novel.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Hughes,_Thomas", "url": "http://www.literature.org/authors/hughes-thomas/tom-browns-schooldays/"} +{"d:Title": "Thomas Hughes", "d:Description": "Short biography and online text of \"Tom Brown's Schooldays.\" From the Free Library site.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Hughes,_Thomas", "url": "http://hughes.thefreelibrary.com/"} +{"d:Title": "Thomas Hughes", "d:Description": "Short biography and photo of the novelist, reformist and jurist (1822-1896), from Spartacus Educational Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Hughes,_Thomas", "url": "http://spartacus-educational.com/REhughes.htm"} +{"d:Title": "Alexandria and Her Schools", "d:Description": "Four lectures by Charles Kingsley.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Kingsley,_Charles", "url": "http://www.pagebypagebooks.com/Charles_Kingsley/Alexandria_And_Her_Schools/"} +{"d:Title": "Heroes by Charles Kingsley", "d:Description": "The stories of Perseus, Theseus, and the Argonauts.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Kingsley,_Charles", "url": "http://www.pagebypagebooks.com/Charles_Kingsley/Heroes/"} +{"d:Title": "Water-Babies, The", "d:Description": "HTML text to be read online, page by page.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Kingsley,_Charles", "url": "http://www.pagebypagebooks.com/Charles_Kingsley/The_Water_Babies/"} +{"d:Title": "Oxford Book of English Verse: Charles Lamb", "d:Description": "Etexts of three poems: \"The Old Familiar,\" \"On an Infant Dying As Soon As Born,\" and \"Hester.\"", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://users.compaqnet.be/cn127848/obev/obev166.html"} +{"d:Title": "On an Infant Dying As Soon As Born", "d:Description": "Poem text.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://www.bartleby.com/101/579.html"} +{"d:Title": "Farewell to Tobacco", "d:Description": "Etext of the poem.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://www.kellscraft.com/farewelltotobacco.html"} +{"d:Title": "Charles Lamb: A Memoir", "d:Description": "Project Gutenberg etext of Barry Cornwall's book.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://digital.library.upenn.edu/webbin/gutbook/lookup?num=6166"} +{"d:Title": "Essays of Elia", "d:Description": "Hyperlinks to texts, including \"The Old Actors.\"", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://www.angelfire.com/nv/mf/lamb/index.html"} +{"d:Title": "George Herbert Mead: Charles Lamb", "d:Description": "Essay on Lamb from the Oberlin Review, 1882-1883.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://www.brocku.ca/MeadProject/Mead/pubs/Mead_1882a.html"} +{"d:Title": "Charles Lamb, Elia", "d:Description": "Essays, bibliography, links.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://www.ucs.louisiana.edu/~jer6616/"} +{"d:Title": "The Charles Lamb Society", "d:Description": "News and contact information.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles", "url": "http://www.facebook.com/group.php?gid=17064350641"} +{"d:Title": "Literature Network: Tales from Shakespeare", "d:Description": "Indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles/Works/Tales_from_Shakespeare", "url": "http://www.online-literature.com/lamb/tales_shakespeare/"} +{"d:Title": "Tales From Shakespeare", "d:Description": "Etext at Bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Lamb,_Charles/Works/Tales_from_Shakespeare", "url": "http://www.bartleby.com/1012"} +{"d:Title": "Rossetti Archive", "d:Description": "Works, pictures, essays, reviews, and related resources.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Rossetti,_Dante_Gabriel", "url": "http://www.rossettiarchive.org/"} +{"d:Title": "Bless\u00e8d Damozel", "d:Description": "Etext from The Oxford Book of English Verse at Project Bartleby.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Rossetti,_Dante_Gabriel", "url": "http://www.bartleby.com/101/771.html"} +{"d:Title": "Dante Gabriel Rossetti Photograph", "d:Description": "A photograph of the author.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Rossetti,_Dante_Gabriel", "url": "http://www.people.virginia.edu/~bhs2u/carroll/rossetti.html"} +{"d:Title": "The Victorian Web: Dante Gabriel Rossetti", "d:Description": "Resources for placing Rossetti's poetry into literary, biographical, social, and political contexts.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Rossetti,_Dante_Gabriel", "url": "http://www.victorianweb.org/authors/dgr"} +{"d:Title": "Bartleby.com: Sir Walter Scott", "d:Description": "Etexts of Scott's prose and poetry, together with a biography and encyclopedia article.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter", "url": "http://www.bartleby.com/people/Scott-SirW.html"} +{"d:Title": "The Walter Scott Digital Archive", "d:Description": "Biography, works, image database, and information on collections at the Edinburgh University Library.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter", "url": "http://www.walterscott.lib.ed.ac.uk/"} +{"d:Title": "Legends - Shake Loose the Border", "d:Description": "Includes links to texts of The Antiquary, The Black Dwarf, The Bride of Lammermoor, Chronicles of the Canongate, The Heart of Mid-Lothian, Ivanhoe, The Keepsake Stories, The Lay of the Last Minstrel, A Legend of Montrose, Rob Roy, The Talisman and Waverley.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter", "url": "http://bestoflegends.org/ballads/borders.html"} +{"d:Title": "The Edinburgh Sir Walter Scott Club", "d:Description": "In existence for over 120 years, the object of the Club is to foster the name of Sir Walter Scott through meetings, lectures, publications and excursions.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter", "url": "http://www.walterscottclub.com/"} +{"d:Title": "Literature Network: Ivanhoe", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter/Works", "url": "http://www.online-literature.com/walter_scott/ivanhoe/"} +{"d:Title": "Literature Network: The Talisman", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter/Works", "url": "http://www.online-literature.com/walter_scott/talisman/"} +{"d:Title": "Bartleby.com - Sir Walter Scott", "d:Description": "Online publication of Guy Mannering, or the Astrologer.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter/Works", "url": "http://www.bartleby.com/304/"} +{"d:Title": "My Aunt Margaret's Mirror", "d:Description": "Read it online, page by page, in HTML format.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter/Works", "url": "http://www.pagebypagebooks.com/Sir_Walter_Scott/My_Aunt_Margarets_Mirror/"} +{"d:Title": "Classic Bookshelf: Sir Walter Scott", "d:Description": "Java-based online reader for \"Ivanhoe\" and \"The Talisman.\"", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter/Works", "url": "http://www.classicbookshelf.com/library/Scott/"} +{"d:Title": "Scott, Walter, Sir", "d:Description": "Several of his works, including \"Ivanhoe,\" \"The Lady of the Lake,\" \"The Heart of Mid-Lothian,\" and \"Rob Roy.\" At Project Gutenberg.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Scott,_Sir_Walter/Works", "url": "http://www.gutenberg.org/browse/authors/s#a59"} +{"d:Title": "AllReaders.com Robert Louis Stevenson", "d:Description": "Categorical checklist summary of Dr. Jekyll and Mr. Hyde, Kidnapped, and Treasure Island.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis", "url": "http://www.allreaders.com/Topics/Topic_911.asp"} +{"d:Title": "Tusitala Philatelica: Robert Louis Stevenson", "d:Description": "Stevenson's works commemorated on postage stamps and other memorabilia.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis", "url": "http://www.trussel.com/rls/rls.htm"} +{"d:Title": "The Wife of Robert Louis Stevenson", "d:Description": "Article on the author's relationship with his wife, Fanny Van de Grift Osborne, in the context of Aesthetic Realism.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis", "url": "http://www.barbaraallen.org/Criticism-Is-Love-Mrs-Stevenson.html"} +{"d:Title": "Stevenson, Robert Lewis Balfour", "d:Description": "Biographical article on the British essayist, novelist, and poet, from the Encyclop\u00e6dia Britannica.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis", "url": "http://encyclopedia.jrank.org/STE_SUS/STEVENSON_ROBERT_LEWIS_BALFOUR_.html"} +{"d:Title": "Robert Louis Stevenson Holdings", "d:Description": "Details on the Stevenson collection at the University of South Carolina library.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis", "url": "http://library.sc.edu/scotlit/rls.html"} +{"d:Title": "Robert Louis Stevenson, 1850-1894", "d:Description": "Brief reviews of works and photos by the University of South Carolina.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis", "url": "http://library.sc.edu/spcoll/britlit/rls/rls.html"} +{"d:Title": "Literature Network: The Black Arrow", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works", "url": "http://www.online-literature.com/stevenson/blackarrow/"} +{"d:Title": "The Art of Writing", "d:Description": "Complete text from Literature Project.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works", "url": "http://www.literatureproject.com/art-of-writing/index.htm"} +{"d:Title": "Classic Bookshelf", "d:Description": "Texts of The New Arabian Nights, The Strange Case Of Dr. Jekyll And Mr. Hyde and Treasure Island, with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works", "url": "http://www.classicbookshelf.com/library/Stevenson/"} +{"d:Title": "Bartleby.com: Robert Louis Stevenson", "d:Description": "Online publication A Child's Garden of Verses and Underwoods, The Strange Case of Dr. Jekyll and Mr. Hyde and The Master of Ballantrae: A Winter's Tale.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works", "url": "http://www.bartleby.com/people/StvnsnR.html"} +{"d:Title": "Bibliomania", "d:Description": "Includes Dr Jekyll and Mr Hyde, The Dynamiter, Treasure Island and Prince Otto.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works", "url": "http://www.bibliomania.com/0/0/46/frameset.html"} +{"d:Title": "A Footnote to History: Eight Years of Trouble in Samoa", "d:Description": "Robert Louis Stevenson's account of local and international rivalry in Samoa in the 1880s and early 1890s that left the country a divided protectorate.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works", "url": "http://ebooks.adelaide.edu.au/s/stevenson/robert_louis/s848fh/"} +{"d:Title": "A Complete Collection of Poems by Robert Louis Stevenson", "d:Description": "Extensive collection of poems by Stevenson and a brief biography by Dmitry Karshtedt.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works", "url": "http://www.poetryloverspage.com/poets/stevenson/stevenson_ind.html"} +{"d:Title": "Father Damien", "d:Description": "In HTML, at World Wide School. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works/Father_Damien", "url": "http://www.worldwideschool.org/library/books/hst/biography/FatherDamien/Chap1.html"} +{"d:Title": "Literature Network: Dr. Jekyll and Mr. Hyde", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works/Strange_Case_of_Dr._Jekyll_and_Mr._Hyde,_The", "url": "http://www.online-literature.com/stevenson/jekyllhyde/"} +{"d:Title": "Dr. Jekyll and Mr. Hyde", "d:Description": "Online text of the book.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works/Strange_Case_of_Dr._Jekyll_and_Mr._Hyde,_The", "url": "http://www.learnlibrary.com/jekyll-hyde/index.htm"} +{"d:Title": "Literature Network: Treasure Island", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works/Treasure_Island", "url": "http://www.online-literature.com/stevenson/treasureisland/"} +{"d:Title": "Treasure Island", "d:Description": "Complete hypertext of the book, along with downloadable e-text, and a discussion group.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works/Treasure_Island", "url": "http://www.learnlibrary.com/treasure-island/index.htm"} +{"d:Title": "Treasure Island by Robert Louis Stevenson", "d:Description": "Complete chapter-indexed e-text from the Literature Project.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Stevenson,_Robert_Louis/Works/Treasure_Island", "url": "http://literatureproject.com/treasure-island/index.htm"} +{"d:Title": "Incompetech: William Makepeace Thackeray", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace", "url": "http://www.incompetech.com/authors/thackeray/"} +{"d:Title": "Bartleby.com: William Makepeace Thackeray", "d:Description": "A selection of Thackeray's writings, along with a biography and encyclopedia entry.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace", "url": "http://www.bartleby.com/people/Thackera.html"} +{"d:Title": "Read Print: William Makepeace Thackeray", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace", "url": "http://www.readprint.com/author-246/William-Makepeace-Thackeray-books"} +{"d:Title": "The Victorian Web: William Makepeace Thackeray", "d:Description": "Essays on techniques and themes in Thackeray's writing, as well as a biography and information on his Victorian context.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace", "url": "http://www.victorianweb.org/authors/wmt/"} +{"d:Title": "The Chronicle of the Drum", "d:Description": "Text from the University of Indiana.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace/Works", "url": "http://www.indiana.edu/~librcsd/etext/drum/"} +{"d:Title": "The Bedford-Row Conspiracy", "d:Description": "E-text, from Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace/Works", "url": "http://www.pagebypagebooks.com/William_Makepeace_Thackeray/The_Bedford_Row_Conspiracy/"} +{"d:Title": "Some Roundabout Papers", "d:Description": "E-text.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace/Works", "url": "http://www.pagebypagebooks.com/William_Makepeace_Thackeray/Some_Roundabout_Papers/"} +{"d:Title": "The Tremendous Adventures of Major Gahagan", "d:Description": "E-text, from Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace/Works", "url": "http://www.pagebypagebooks.com/William_Makepeace_Thackeray/The_Tremendous_Adventures_of_Major_Gahagan/"} +{"d:Title": "Learn Library: Vanity Fair", "d:Description": "Online text of the book, along with downloadable text and a discussion group.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace/Works/Vanity_Fair", "url": "http://www.learnlibrary.com/book/thackeray/vanity-fair/index.htm"} +{"d:Title": "Bartleby.com: Vanity Fair", "d:Description": "Etext at Bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace/Works/Vanity_Fair", "url": "http://www.bartleby.com/305/"} +{"d:Title": "Classic Review: Vanity Fair", "d:Description": "1865 Atlantic Monthly review of Vanity Fair.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thackeray,_William_Makepeace/Works/Vanity_Fair", "url": "http://www.theatlantic.com/past/docs/unbound/classrev/vanityfa.htm"} +{"d:Title": "The James Thomson Poetry Works", "d:Description": "Biography and works of the Victorian poet.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Thomson,_James", "url": "http://vasthead.com/Thomson/index.html"} +{"d:Title": "The Charlotte Mary Yonge Fellowship", "d:Description": "Offers a biography, works, online texts, criticism and bibliographies.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Yonge,_Charlotte_Mary", "url": "http://community.dur.ac.uk/c.e.schultze/"} +{"d:Title": "Yonge, Charlotte Mary", "d:Description": "Approximately four dozen titles available online at Project Gutenberg.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Yonge,_Charlotte_Mary/Works", "url": "http://www.gutenberg.org/browse/authors/y#a945"} +{"d:Title": "Project Canterbury: Charlotte Yonge", "d:Description": "HTML versions of several books and a portrait.", "topic": "Top/Arts/Literature/World_Literature/British/19th_Century/Yonge,_Charlotte_Mary/Works", "url": "http://anglicanhistory.org/fiction/yonge/"} +{"d:Title": "de Botton, Alain", "d:Description": "Official site of the author and television presenter, with information on his works, details of readings and photographs.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century", "url": "http://www.alaindebotton.com/"} +{"d:Title": "Crowned Anarchist", "d:Description": "Poetry texts and information on members of the Writers' Guild of Great Britain. Also deals with relativity and science fiction.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century", "url": "http://www.crownedanarchist.com/"} +{"d:Title": "Padel, Ruth", "d:Description": "Includes a short biography of the poet, as well as her publications.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century", "url": "http://famouspoetsandpoems.com/poets/ruth_padel/biography"} +{"d:Title": "Ford Madox Ford Society", "d:Description": "The organization's activities, publications and membership information.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century", "url": "http://www.fordmadoxfordsociety.org/"} +{"d:Title": "Viertel, Peter", "d:Description": "Biography and bibliography of the writer.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century", "url": "http://www.peterviertel.com/"} +{"d:Title": "Morgan, Stanley", "d:Description": "Devoted to the actor and author of a number of series of farcical, ladies-man novels. Information on each book and pictures of covers.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century", "url": "http://www.stanleymorgan.co.uk/"} +{"d:Title": "Nobel Laureate William Golding", "d:Description": "Contains biography, Nobel lecture, and list of works.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Golding,_William", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1983/index.html"} +{"d:Title": "Lord of the Flies Vocabulary", "d:Description": "Includes definitions and containing sentence for less familiar words used in the text.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Golding,_William/Works/Lord_of_the_Flies,_The", "url": "http://www.ianneubert.com/english"} +{"d:Title": "Wikipedia: Nick Hornby", "d:Description": "Biography with links to related articles.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick", "url": "http://en.wikipedia.org/wiki/Nick_Hornby"} +{"d:Title": "Nick's Books", "d:Description": "Official site from Riverhead Books, Hornby's U.S. publisher, features information on the author and his books, reading guides and discussion forums.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick", "url": "http://www.nickhornbyofficial.com/"} +{"d:Title": "Guardian Unlimited Books: Nick Hornby", "d:Description": "Profile and links to articles and reviews.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick", "url": "http://www.theguardian.com/books/2008/jun/13/nick.hornby"} +{"d:Title": "Salon.com: \"About a Writer\"", "d:Description": "Hornby talks about soccer, writing and film adaptations of his books.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick", "url": "http://www.salon.com/2000/03/31/hornby/"} +{"d:Title": "Between Pop and Literature: Nick Hornby", "d:Description": "Sven Grzebeta's analysis of Hornby's books as \"pop literature.\"", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works", "url": "http://www.grzebeta.de/hornby.htm"} +{"d:Title": "The New York Times: About a Boy", "d:Description": "Excerpt from the book's first chapter, as well as the Times' review. [Free registration required.]", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/About_a_Boy", "url": "http://www.nytimes.com/books/first/h/hornby-boy.html"} +{"d:Title": "The New Yorker: \"It's a Mann's World\"", "d:Description": "Review of the Aimee Mann album \"Bachelor No. 2.\"", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Articles", "url": "http://www.aimeemanninprint.com/2000/ny061200.htm"} +{"d:Title": "The Believer: Nick Hornby", "d:Description": "Excerpts from Hornby's monthly column, \"Stuff I've Been Reading.\"", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Articles", "url": "http://www.believermag.com/contributors/?read=hornby,+nick"} +{"d:Title": "Telegraph: \"How to Read\"", "d:Description": "Essay on the importance of reading for enjoyment rather than duty.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Articles", "url": "http://www.telegraph.co.uk/culture/3654739/How-to-read.html"} +{"d:Title": "Salon.com: Belle and Sebastian", "d:Description": "Review of the band's \"Lazy Line Painter Jane\" EP. (Nov. 14, 1997)", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Articles", "url": "http://www.salon.com/1997/11/14/sharps_69/"} +{"d:Title": "McSweeney's: \"Oh My Sweet Carolina\" by Ryan Adams", "d:Description": "Essay on the song from Adams' album \"Heartbreaker.\"", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Articles", "url": "http://www.mcsweeneys.net/articles/oh-my-sweet-carolina-by-ryan-adams"} +{"d:Title": "Observer Music Monthly: \"A Fan's-Eye View\"", "d:Description": "Hornby interviews musical idol Bruce Springsteen.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Articles", "url": "http://www.theguardian.com/music/2005/jul/17/popandrock.springsteen"} +{"d:Title": "Observer Sport: Nick Hornby meets Tony Adams", "d:Description": "Interview with the Arsenal football team's centre-back.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Articles", "url": "http://www.theguardian.com/football/2000/sep/03/newsstory.sport18"} +{"d:Title": "Village Voice: \"Boys Will Be Men\"", "d:Description": "Robert Christgau's review of the book, along with a few of his own \"Top Five\" lists.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/High_Fidelity", "url": "http://www.robertchristgau.com/xg/rock/hornby-95.php"} +{"d:Title": "Metroactive Books: 'Good' Words", "d:Description": "Gina Arnold's analysis of the book as it relates to the rock critic.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/How_to_Be_Good", "url": "http://www.metroactive.com/papers/sonoma/09.27.01/hornby-0139.html"} +{"d:Title": "WashingtonPost.com: Live Online", "d:Description": "Transcript of an online chat with Hornby about the book.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/How_to_Be_Good", "url": "http://www.washingtonpost.com/wp-srv/liveonline/01/style/author_hornby071001.htm"} +{"d:Title": "The Observer: 31 Songs That Changed My Life", "d:Description": "\"31 Songs\"-inspired list of 31 figures' favorite songs, as well as a list of the songs featured in the book.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Hornby,_Nick/Works/Songbook", "url": "http://www.theguardian.com/theobserver/2003/jan/19/featuresreview.review"} +{"d:Title": "Anne Stevenson - Poet and Critic", "d:Description": "Personal website of the internationally acclaimed poet, critic and author.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Stevenson,_Anne", "url": "http://www.anne-stevenson.co.uk/"} +{"d:Title": "Ragged Trousered Philanthopists", "d:Description": "Archive details and brief history of the manuscript of the novel. [London Metropolitan University]", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Tressell,_Robert", "url": "http://www.aim25.ac.uk/cgi-bin/search2?coll_id=5890&inst_id=49"} +{"d:Title": "The Ragged Trousered Philanthropists", "d:Description": "An introduction to the novel, with a biography of the author, a history of the manuscript, and an online digital version.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Tressell,_Robert", "url": "http://www.unionhistory.info/ragged/ragged.php"} +{"d:Title": "Review :: The Ragged Trousered Philanthropists", "d:Description": "A review of the novel, with an account of a rally of homage to its author in Liverpool, 1977. [On Socialistworld.net]", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Tressell,_Robert", "url": "http://www.socialistworld.net/eng/2003/09/16tressell.html"} +{"d:Title": "The Robert Tressell Society (formerly the Robert Tressell Centre)", "d:Description": "Dedicated to preserving \"The Ragged Trousered Philanthropists\", written in Hastings and St.Leonards c. 1906-08; includes photos, information about events, and contact details.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Tressell,_Robert", "url": "http://www.1066.net/tressell/"} +{"d:Title": "Tressell, Robert", "d:Description": "Hyperlinked biography of the author, from Wikipedia.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Tressell,_Robert", "url": "http://en.wikipedia.org/wiki/Robert_Tressell"} +{"d:Title": "Winterson, Jeanette", "d:Description": "The official site of the author includes a monthly column, excerpts from all her books, and links to interviews.", "topic": "Top/Arts/Literature/World_Literature/British/20th_Century/Winterson,_Jeanette", "url": "http://www.jeanettewinterson.com/"} +{"d:Title": "Cooper, Charlotte", "d:Description": "Official site of writer Charlotte Cooper.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century", "url": "http://www.charlottecooper.net/"} +{"d:Title": "Ball, Sarah", "d:Description": "Information on the writer's novels, plus reviews and biography.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century", "url": "http://www.sarahball.co.uk/"} +{"d:Title": "McCarthy, Pete", "d:Description": "Official site for travel writer with tour dates, biography, press release, books, extracts and enquiries.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century", "url": "http://www.uktouring.org.uk/petemccarthy/"} +{"d:Title": "Leroy, Margaret", "d:Description": "Author of Trust, Miscarriage and other works. FAQs, biography, selected reviews and purchasing links.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century", "url": "http://www.margaretleroy.com/"} +{"d:Title": "Vickers, Salley", "d:Description": "Biography and comments by the author on her works.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century", "url": "http://www.salleyvickers.com/"} +{"d:Title": "Wolff, Isabel", "d:Description": "Official website of the author Isabel Wolff. Includes book extracts, short stories, a guide for aspiring authors and recommended reads.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century", "url": "http://www.isabelwolff.com/"} +{"d:Title": "The Observer: Ghostwritten", "d:Description": "Review by Adam Lively.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century/Mitchell,_David", "url": "http://www.theguardian.com/books/1999/aug/08/guardianfirstbookaward1999.guardianfirstbookaward"} +{"d:Title": "The Observer: When Blade Runner meets Jack Kerouac", "d:Description": "Review of number9dream, by Robert MacFarlane.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century/Mitchell,_David", "url": "http://www.theguardian.com/books/2001/mar/11/fiction.davidmitchell"} +{"d:Title": "Guardian Unlimited: Spirit that Speaks", "d:Description": "Review of Ghostwritten, by Nicholas Blincoe.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century/Mitchell,_David", "url": "http://www.theguardian.com/books/1999/aug/21/guardianfirstbookaward1999.guardianfirstbookaward"} +{"d:Title": "Guardian Unlimited: I think I'm Turning Japanese", "d:Description": "Review of number9dream, by Steven Poole.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century/Mitchell,_David", "url": "http://www.theguardian.com/books/2001/mar/10/fiction.davidmitchell"} +{"d:Title": "Salon.com; Ghostwritten", "d:Description": "Review by Laura Miller.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century/Mitchell,_David", "url": "http://www.salon.com/2000/10/10/mitchell_3/"} +{"d:Title": "Mitchell, David", "d:Description": "Profile of the author and book list.", "topic": "Top/Arts/Literature/World_Literature/British/21st_Century/Mitchell,_David", "url": "http://www.penguinrandomhouse.com/authors/20870/david-mitchell"} +{"d:Title": "Bodleian Library: Broadside Ballads Project", "d:Description": "Some images of ballads from the early 1700s.", "topic": "Top/Arts/Literature/World_Literature/British/Ballads_and_Broadsides", "url": "http://www.bodley.ox.ac.uk/ballads/"} +{"d:Title": "The Oxford Book of Ballads", "d:Description": "176 selections by the anthologist Arthur Quiller-Couch. Indexed by title and first line.", "topic": "Top/Arts/Literature/World_Literature/British/Ballads_and_Broadsides", "url": "http://www.bartleby.com/243/"} +{"d:Title": "Legends - Shake Loose the Border", "d:Description": "Brief overview, with information on online and offline material.", "topic": "Top/Arts/Literature/World_Literature/British/Ballads_and_Broadsides", "url": "http://bestoflegends.org/ballads/borders.html"} +{"d:Title": "The Traditional Ballad Index", "d:Description": "Annotated bibliography of folk songs.", "topic": "Top/Arts/Literature/World_Literature/British/Ballads_and_Broadsides", "url": "http://www.fresnostate.edu/folklore/BalladSearch.html"} +{"d:Title": "Sublime Anxiety", "d:Description": "Online exhibit on The Gothic Family and the Outsider.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic", "url": "http://www.lib.virginia.edu/exhibits/gothic/open.html"} +{"d:Title": "The Gothic: Materials for Study", "d:Description": "College course materials from the University of Virginia covering key Gothic themes.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic", "url": "http://mural.uv.es/maseja/The%20Gothic%20Materials%20for%20Study.htm"} +{"d:Title": "Gothic", "d:Description": "Postmodern criticism on the Gothic.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic", "url": "http://pmc.iath.virginia.edu/text-only/issue.592/potter.592"} +{"d:Title": "What is the Beckford Project?", "d:Description": "Describes a project to catalog Beckford's nearly 16,000 books.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Beckford,_William", "url": "http://www.personal.psu.edu/special/C18/beckpro.htm"} +{"d:Title": "The William Beckford Website", "d:Description": "Includes guide to scholarly research on the author.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Beckford,_William", "url": "http://beckford.c18.net/"} +{"d:Title": "William Alexander Beckford / Ellen Rodgers", "d:Description": "Genealogical information.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Beckford,_William", "url": "http://www.danhebert.com/hebertline/fam/fam00507.html"} +{"d:Title": "William Beckford: The Fool of Fonthill", "d:Description": "Biographical article, discussing his homosexuality.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Beckford,_William", "url": "http://rictornorton.co.uk/beckfor1.htm"} +{"d:Title": "Beckford's Tower", "d:Description": "Information for visitors to the tower (now a museum) and tomb.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Beckford,_William", "url": "http://www.bath-preservation-trust.org.uk/event/beckford-and-wyatt/"} +{"d:Title": "The Monk Files", "d:Description": "Extracts from contemporary letters and reviews looking at the impact of Matthew Lewis' novel.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Lewis,_Matthew", "url": "http://www.tabula-rasa.info/DarkAges/MonkFiles.html"} +{"d:Title": "Mathew Lewis", "d:Description": "Literary biography of the author of \"The Monk.\"", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Lewis,_Matthew", "url": "http://www.heureka.clara.net/art/lewis.htm"} +{"d:Title": "Matthew Gregory Lewis: \"The Monk\"", "d:Description": "An evaluation of this popular novel.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Lewis,_Matthew", "url": "http://www.bartleby.com/221/1318.html"} +{"d:Title": "Simon Brett on Monk Lewis", "d:Description": "The popular success of The Monk.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Lewis,_Matthew", "url": "http://www.twbooks.co.uk/cwa/brettonlewis.html"} +{"d:Title": "Norton Topics Online: Matthew Gregory Lewis", "d:Description": "Overview of the lurid novel \"The Monk,\" and two extracts.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Lewis,_Matthew", "url": "http://www.wwnorton.com/college/english/nael/romantic/topic_2/monk.htm"} +{"d:Title": "The Castle Spectre", "d:Description": "Perhaps Matthew Lewis's most famous drama. Complete text. Site also offers a bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Lewis,_Matthew/Works", "url": "http://www.unipr.it/~dsaglia/Lewis/CastleProsp.html"} +{"d:Title": "The Monk: A Romance", "d:Description": "In plain text, at Project Gutenberg. Also available as a zip file.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Lewis,_Matthew/Works", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=601"} +{"d:Title": "Examples of Word-painting from Radliffe's Mysteries of Udolpho", "d:Description": "Radcliffe's writing style and technique.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Radcliffe,_Ann", "url": "http://www.victorianweb.org/technique/radcliffe.html"} +{"d:Title": "The Life of Ann Radcliffe", "d:Description": "Detailed biography of Radcliffe, by Rictor Norton.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Radcliffe,_Ann", "url": "http://rictornorton.co.uk/gothic/radcliff.htm"} +{"d:Title": "Horace Walpole 1717 - 1797", "d:Description": "Information on the author provided by a UK Local Studies Collection.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Walpole,_Horace", "url": "http://www.richmond.gov.uk/home/leisure_and_culture/local_history_and_heritage/local_studies_collection/local_history_notes/horace_walpole_and_strawberry_hill.htm"} +{"d:Title": "Strawberry Hill", "d:Description": "Guide to Walpole's Strawberry Hill, plus visitor information.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Walpole,_Horace", "url": "http://www.strawberryhillhouse.org.uk/"} +{"d:Title": "Wikipedia: The Castle of Otranto", "d:Description": "Information on Walpole's gothic novel.", "topic": "Top/Arts/Literature/World_Literature/British/Gothic/Walpole,_Horace", "url": "http://en.wikipedia.org/wiki/The_Castle_of_Otranto"} +{"d:Title": "Online Medieval and Classical Library", "d:Description": "Includes original texts from the Middle English period.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://omacl.org/"} +{"d:Title": "Anthology of Middle English Literature (1350-1485)", "d:Description": "Includes Chaucer, Gower, the Pearl Poet, Langland, Julian of Norwich, Kempe, Malory, Lydgate, Hoccleve, and the Paston family. The page for each author includes links to online texts, biographies, essays and articles, online resources, and other materials. The anthology also includes Everyman and other Middle English plays as well as Middle English lyrics. At the Luminarium site.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.luminarium.org/medlit/"} +{"d:Title": "NetSERF: Medieval Literature", "d:Description": "Provides a variety of resources for the study of medieval European literature, including that produced by Middle English authors. Includes links to texts and a search engine.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.netserf.org/Literature/"} +{"d:Title": "Middle English Plays", "d:Description": "Includes an introduction to Middle English drama, online texts of a large number of plays, essays, articles, and additional web resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.luminarium.org/medlit/plays.htm"} +{"d:Title": "Corpus of Middle English Prose and Verse", "d:Description": "A large collection of Middle English texts at the University of Michigan. The \"Browse the Corpus\" link gives access to all the texts in the corpus. A search engine enables full-text searches across the corpus.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://quod.lib.umich.edu/c/cme/"} +{"d:Title": "Cambridge History of English and American Literature: Middle English Period", "d:Description": "Volume 1, Chapters 8-20 and Volume 2, Chapters 1-18. Covers every aspect of Middle English literature and discusses the major authors and literary works of the period.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.bartleby.com/211/0801.html"} +{"d:Title": "Hannah Scot Manuscripts", "d:Description": "Two volumes of Middle English tales, legends, and Breton lais in modern English translations.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.eleusinianm.co.uk/ScotMS/"} +{"d:Title": "Camelot Project", "d:Description": "Arthurian legends and stories from the Middle English period and other periods.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://d.lib.rochester.edu/camelot-project"} +{"d:Title": "TEAMS Middle English Texts", "d:Description": "A large collection of Middle English texts, collectively known as the Middle English Text Series (METS). The \"METS Texts\" link gives access to all the texts in the series. The apparatus for each text includes an introduction, the text, marginal glosses, and notes. A search engine is also included. From TEAMS (The Consortium for the Teaching of the Middle Ages).", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://d.lib.rochester.edu/teams"} +{"d:Title": "Labyrinth: Middle English", "d:Description": "Provides resources for Middle English studies, including original texts of literary works.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "https://blogs.commons.georgetown.edu/labyrinth/categories/english-middle/"} +{"d:Title": "Voice of the Shuttle", "d:Description": "Provides extensive resources for the study of Middle English and Old English literature.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://vos.ucsb.edu/browse.asp?id=2740"} +{"d:Title": "Wessex Parallel WebTexts", "d:Description": "Provides self-contained editions of short Middle English works, with special emphasis on the Harley lyrics. In most cases, each edition includes an introduction, the Middle English text, parallel modern English translation, notes, and glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.southampton.ac.uk/~wpwt/"} +{"d:Title": "Internet Medieval Sourcebook", "d:Description": "Includes items from the Middle English period.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://legacy.fordham.edu/halsall/sbook.asp"} +{"d:Title": "Middle English Lyrics", "d:Description": "Provides online texts of a large number of Middle English lyrics. Also includes web resources, essays, and articles.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.luminarium.org/medlit/lyrics.htm"} +{"d:Title": "Bibliotheca Augustana", "d:Description": "Selection of Middle English and Old English texts.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.hs-augsburg.de/~harsch/anglica/Chronology/e_chrono.html"} +{"d:Title": "In Parentheses Middle English Series", "d:Description": "Modern English translations of Middle English texts.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.yorku.ca/inpar/Middle_English.html"} +{"d:Title": "In Parentheses Arthurian Series", "d:Description": "Modern English translations of Middle English texts concerning King Arthur and his knights. Also includes some non-English texts.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.yorku.ca/inpar/Arthurian.html"} +{"d:Title": "Readings in Middle English", "d:Description": "Sound recordings of selected prose and poetic texts. Each recording is accompanied by the Middle English text and in several cases by a parallel Modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English", "url": "http://www.arts.gla.ac.uk/STELLA/apps/web/readings/middle-english/"} +{"d:Title": "Everyman", "d:Description": "Provides links to online texts. Also includes an introduction, essays, articles, and links to additional web resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.luminarium.org/medlit/everyman.htm"} +{"d:Title": "Owl and the Nightingale", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.hs-augsburg.de/~harsch/anglica/Chronology/13thC/Owl/owl_intr.html"} +{"d:Title": "Ancrene Wisse", "d:Description": "Middle English text. Includes an introduction, marginal glosses, notes, glossary, and bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://d.lib.rochester.edu/teams/publication/hasenfratz-ancrene-wisse"} +{"d:Title": "Castle of Perseverance", "d:Description": "Middle English text. Includes an introduction, marginal glosses, notes, and bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://d.lib.rochester.edu/teams/publication/klausner-the-castle-of-perseverance"} +{"d:Title": "Ancrene Wisse", "d:Description": "Modern English translation. Includes an introduction.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.bsswebsite.me.uk/History/AncreneRiwle/AncreneRiwle.html"} +{"d:Title": "Castle of Perseverance", "d:Description": "Modern English translation by Alexandra Johnston. Includes an introduction.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://homes.chass.utoronto.ca/~ajohnsto/cascomp.html"} +{"d:Title": "Everyman", "d:Description": "Modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.astorialand.com/dramageeks/scripts/everyman.pdf"} +{"d:Title": "Thrush and the Nightingale", "d:Description": "Middle English text and parallel modern English translation. Includes an introduction, notes, and brief bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.southampton.ac.uk/~wpwt/digby86/thrushint.htm"} +{"d:Title": "Land of Cockaygne", "d:Description": "Modern English translation. Includes introduction and notes.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.southampton.ac.uk/~wpwt/trans/cockaygn/cockaygn.htm"} +{"d:Title": "Winner and Waster", "d:Description": "Modern English translation. Includes introduction and notes.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.southampton.ac.uk/~wpwt/trans/winner/winner.htm"} +{"d:Title": "Four Romances", "d:Description": "Middle English texts of King Horn, Havelok the Dane, Bevis of Hampton, and Athelston. The apparatus for each work consists of an introduction, the text, marginal glosses, notes, and a bibliography. There is also a general introduction to the genre of romance.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://d.lib.rochester.edu/teams/publication/salisbury-four-romances-of-england"} +{"d:Title": "Land of Cockaygne", "d:Description": "Middle English text with two parallel modern English translations.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.thegoldendream.com/landofcokaygne.htm"} +{"d:Title": "Winner and Waster", "d:Description": "Middle English text with introduction, marginal glosses, and notes. An edition of the Parliament of the Three Ages is also included.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://d.lib.rochester.edu/teams/publication/ginsberg-wynnere-and-wastoure-and-the-parlement-of-the-thre-ages"} +{"d:Title": "Owl and the Nightingale", "d:Description": "Modern English translation. Also includes an introduction, notes, and bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Anonymous_Works", "url": "http://www.southampton.ac.uk/~wpwt/trans/owl/owlintro.htm"} +{"d:Title": "Confessio Amantis", "d:Description": "Middle English text from the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Gower,_John", "url": "http://omacl.org/Confess/"} +{"d:Title": "John Gower", "d:Description": "Examines John Gower's life and literary works.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Gower,_John", "url": "http://www.bartleby.com/212/0601.html"} +{"d:Title": "John Gower (c.1330-1408)", "d:Description": "Provides links to online texts of Gower's works. Also includes a biography, chronology, essays and articles, and links to additional web resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Gower,_John", "url": "http://www.luminarium.org/medlit/gower.htm"} +{"d:Title": "John Gower", "d:Description": "Gives a brief overview of Gower's literary works. Also provides selections from the Confessio Amantis.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Gower,_John", "url": "http://sites.fas.harvard.edu/~chaucer/special/authors/gower/"} +{"d:Title": "Confessio Amantis", "d:Description": "Modern English translation. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Gower,_John", "url": "http://www.gutenberg.org/files/266/266-h/266-h.htm"} +{"d:Title": "Three Stages of English", "d:Description": "This Merriam-Webster Online article describes the characteristics of Old English, Middle English, and Modern English. Includes illustrative passages from Old English and Middle English.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.merriam-webster.com/help/faq/history.htm"} +{"d:Title": "Medieval Writing: Literate English", "d:Description": "Covers the history of written English during the Old English and Middle English periods.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://medievalwriting.50megs.com/whyread/english.htm"} +{"d:Title": "Comparison of Old, Middle, and Modern English", "d:Description": "Displays Old English, Middle English, and Modern English versions of each verse.in Luke 2:1-19.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.bible-researcher.com/engchange.html"} +{"d:Title": "Prosody of Old and Middle English.", "d:Description": "Explains the poetic principles and techniques employed in Old English and Middle English poetry. Covers the distinctive features of Old English verse, the transition from Old English to Middle English poetic forms, foreign influences on Middle English poetry, and the alliterative revival of the 14th century.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.bartleby.com/211/1801.html"} +{"d:Title": "Changes in the Language to the Days of Chaucer", "d:Description": "Explains the historical development of Old English and Middle English. Covers grammar, pronunciation, spelling, vocabulary, and dialects.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.bartleby.com/211/1901.html"} +{"d:Title": "Parable of the Prodigal Son in Different Stages of English", "d:Description": "Displays Old English, Middle English, Early Modern English, and Modern English versions of Luke 15:11-32 and enables side-by-side comparisons of different versions. Also provides audio of verses 11-21 in each version.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://pages.towson.edu/duncan/LukeXVOE.htm"} +{"d:Title": "Middle English Dictionary", "d:Description": "The authoritative dictionary of Middle English words.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://quod.lib.umich.edu/m/med/"} +{"d:Title": "Concise Dictionary of Middle English", "d:Description": "Gives modern English definitions of the most essential Middle English words. By A.L. Mayhew and W.W Skeat.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.pbm.com/~lindahl/concise/concise.html"} +{"d:Title": "English Dialects From the Eighth Century to the Present Day", "d:Description": "A history of English dialects in Old English, Middle English, and Modern English. By W. W. Skeat.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.gutenberg.org/ebooks/15755"} +{"d:Title": "Middle English: an Overview", "d:Description": "An introduction to the history and key features of Middle English. By Philip Durkin of the Oxford English Dictionary.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://public.oed.com/aspects-of-english/english-in-time/middle-english-an-overview/"} +{"d:Title": "Learn Middle English Online", "d:Description": "Teaches the basics of Middle English grammar: pronouns, verbs, nouns, adjectives, adverbs, and sentence structure. Also covers pronunciation and illustrates it with sound files of lines 1-26 of Chaucer's General Prologue to the Canterbury Tales.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.nativlang.com/middle-english/index.php"} +{"d:Title": "Status of English", "d:Description": "Describes the changing status of English vis-a-vis French and Latin during the Middle English period.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://sites.fas.harvard.edu/~chaucer/language.htm"} +{"d:Title": "History of Middle English", "d:Description": "Describes the development of the English language during the Middle English period.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.thehistoryofenglish.com/history_middle.html"} +{"d:Title": "Teach Yourself to Read Chaucer's Middle English", "d:Description": "Online course. The first five lessons cover pronunciation, grammar, and vocabulary. Each of the next four lessons provides an interlinear translation from one of the Canterbury Tales, together with quizzes, to help users learn to read Chaucer's Middle English. For further reading, the last lesson gives links to interlinear translations of the General Prologue and all 25 tales, as well as quizzes for each tale.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://sites.fas.harvard.edu/~chaucer/teachslf/less-0.htm"} +{"d:Title": "Notes on Translating Middle English", "d:Description": "Gives tips to students on how to translate Middle English. By Bella Millett.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Language", "url": "http://www.southampton.ac.uk/~wpwt/notes/metrans.htm"} +{"d:Title": "Henryson, Robert. Testament of Cresseid", "d:Description": "Modern English translation. Includes an introduction.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://internetshakespeare.uvic.ca/Annex/Texts/docs/Henryson/Cresseid/default/;jsessionid=D25D442CA0A57D6A79C6753F8AA4B5DA"} +{"d:Title": "Hoccleve, Thomas", "d:Description": "Includes links to online texts, biography, essays, articles, and other web resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.luminarium.org/medlit/hoccleve.htm"} +{"d:Title": "Paston Family. The Paston Letters", "d:Description": "Includes introduction, links to online texts, essays, articles, and other web resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.luminarium.org/medlit/paston.htm"} +{"d:Title": "Dunbar, William. Done is a Battle", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.poetryfoundation.org/poem/180331"} +{"d:Title": "Douglas, Gavin. Palis of Honoure", "d:Description": "Middle English text with marginal glosses. Includes an introduction.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://d.lib.rochester.edu/teams/publication/parkinson-douglas-the-palis-of-honoure"} +{"d:Title": "Julian of Norwich", "d:Description": "Includes links to online texts, biographies, essays, articles, and other web resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.luminarium.org/medlit/julianbib.htm"} +{"d:Title": "Kempe, Margery", "d:Description": "Provides links to the complete Middle English text of the Book of Margery Kempe and to modern English translations of several excerpts. Also includes biographies, essays, articles, bibliographies, and other web resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.luminarium.org/medlit/margery.htm"} +{"d:Title": "Rolle, Richard. Selected Prose Works", "d:Description": "Includes Middle English texts of the Form of Living, the Commandment, Meditations on the Passion, and other writings.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=cme;cc=cme;view=toc;idno=rollewks"} +{"d:Title": "Layamon. Brut", "d:Description": "Modern English translation by Eugene Mason. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.gutenberg.org/ebooks/14305"} +{"d:Title": "Layamon. Brut", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=cme;cc=cme;view=toc;idno=LayOtho"} +{"d:Title": "Rolle, Richard. The Form of Living and Other Prose Treatises", "d:Description": "Modern English translations by Geraldine Hodgson.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.gutenberg.org/ebooks/25856"} +{"d:Title": "Henryson, Robert. Poems", "d:Description": "Middle English texts of the Testament of Cresseid and other poems. Each poem is accompanied by marginal glosses and notes. Introductions and bibliographies are also included.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://d.lib.rochester.edu/teams/publication/kindrick-the-poems-of-robert-henryson"} +{"d:Title": "Julian of Norwich. Revelations of Divine Love", "d:Description": "Modern English translation by Grace Warrack. Available in PDF and plain text formats.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "http://www.ccel.org/ccel/julian/revelations"} +{"d:Title": "Paston Family. Selected Letters", "d:Description": "Modern English translation of several letters.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Other_Authors", "url": "https://www.knomi.net/fileServer/textbook/English/britishLit/data/u1_paston_letters_se.pdf"} +{"d:Title": "Sir Gawain and The Green Knight", "d:Description": "Provides online texts, modern English translations, essays, articles, and links to online resources.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://www.luminarium.org/medlit/gawain.htm"} +{"d:Title": "Pearl", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=cme;idno=Pearl"} +{"d:Title": "Purity (Cleanness)", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://rpo.library.utoronto.ca/poems/cleanness"} +{"d:Title": "Patience", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://rpo.library.utoronto.ca/poems/patience"} +{"d:Title": "Sir Gawain and the Green Knight", "d:Description": "Middle English text and modern English translation, Each block of text is followed by a translation..", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://rpo.library.utoronto.ca/poems/sir-gawain-and-green-knight"} +{"d:Title": "Pearl", "d:Description": "Modern English translation by Bill Stanton together with Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://www.billstanton.co.uk/pearl/pearl_new.htm"} +{"d:Title": "Pearl", "d:Description": "Modern English translation by J.R.R Tolkien", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://allpoetry.com/poem/8499963-Pearl-by-J-R-R-Tolkien"} +{"d:Title": "Patience", "d:Description": "Modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://www.eleusinianm.co.uk/blueWellow/bof23patience.html"} +{"d:Title": "Sir Gawain and the Green Knight", "d:Description": "Modern English translation by Jesse Weston.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://d.lib.rochester.edu/camelot/text/weston-sir-gawain-and-the-green-knight"} +{"d:Title": "Sir Gawain and the Green Knight", "d:Description": "Middle English text.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://quod.lib.umich.edu/cgi/t/text/text-idx?c=cme;idno=Gawain"} +{"d:Title": "Pearl, Cleanness, Patience and Sir Gawayne", "d:Description": "Exhaustive study of the four poems composed by the Pearl Poet.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://www.bartleby.com/211/1501.html"} +{"d:Title": "Purity (Cleanness)", "d:Description": "Modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Middle_English/Pearl_Poet", "url": "http://www.eleusinianm.co.uk/blueWellow/bof24cleanness.html"} +{"d:Title": "Old English Resources", "d:Description": "Carl Berkhout's site at the University of Arizona provides images of Anglo-Saxon manuscripts, a record of Anglo-Saxon scholars and scholarship past and present, and links to other Old English sites.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.u.arizona.edu/~ctb/"} +{"d:Title": "Complete Corpus of Anglo-Saxon Poetry", "d:Description": "Contains the complete texts of all Anglo-Saxon poems. At the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.sacred-texts.com/neu/ascp/"} +{"d:Title": "In Parentheses Old English Series", "d:Description": "Includes modern English translations of several Old English poems and selected prose works.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.yorku.ca/inpar/Old_English.html"} +{"d:Title": "Fontes Anglo-Saxonici", "d:Description": "Aims to identify all written sources which were incorporated, quoted, translated or adapted anywhere in English or Latin texts written by Anglo-Saxon authors. Database includes search engine.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://fontes.english.ox.ac.uk/"} +{"d:Title": "Supplemental Texts at heorot.dk", "d:Description": "Benjamin Slade's Beowulf site provides texts and translations of several Old English poems and prose works.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.heorot.dk/beo-suppl.html"} +{"d:Title": "Glossed Texts at University of Calgary", "d:Description": "Includes a number of Old English texts, each with a glossary. Text and glossary appear in different frames. Each word of text is hot-linked to a corresponding glossary entry. Site also provides links to electronic editions of other poems and prose.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.ucalgary.ca/UofC/eduweb/engl401/texts/index.htm"} +{"d:Title": "Anglo-Saxon Civilization", "d:Description": "Anglo-Saxon section of the NetSERF medieval site. Includes subsections on Anglo-Saxon civlization, art, archaeology, laws, and chronicles.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.netserf.org/Civilizations/Anglo_Saxon/"} +{"d:Title": "Engliscan Gesi\u00feas", "d:Description": "Historical society's site covers various aspects of Anglo-Saxon studies. The online community area provides discussion forums, news, announcements, articles, and book reviews. Other pages include sound recordings of Old English poetry, a bibliography, an excerpt from an Old English course, and studies of the Anglo-Saxon calendar, ornithology, and runes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.tha-engliscan-gesithas.org.uk/"} +{"d:Title": "Uncovering Old English Texts", "d:Description": "Online translations by undergraduates at Baldwin-Wallace University. Each translation is accompanied by a preface that gives background information about the poem or prose work being translated.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://homepages.bw.edu/~uncover/"} +{"d:Title": "Anglo-Saxon Church", "d:Description": "Catholic Encyclopedia article on the role of the Church in Anglo-Saxon life. Covers the Anglo-Saxon occupation of Britain, the conversion of the Anglo-Saxons, papal authority, ecclesiastical organization and observances, missions, and the religious basis of Anglo-Saxon art and literature.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.newadvent.org/cathen/01505a.htm"} +{"d:Title": "Middangeard", "d:Description": "Anthology of Old English poems in modern English translations.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.rado.sk/old_english/"} +{"d:Title": "OEN", "d:Description": "The Old English Newsletter. Includes news, notices of publications, reports, and essays from the current issue and back issues. Also provides links to Internet sites for Anglo-Saxon studies.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.oenewsletter.org/OEN/index.php"} +{"d:Title": "Prosopography of Anglo-Saxon England (PASE)", "d:Description": "A searchable database of all recorded people who lived during the Anglo-Saxon period. The database supplies personal information about each historical person. In addition to searching by person, one can search by several other indexes such as sources, events, and relationships.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.pase.ac.uk/"} +{"d:Title": "Timeline of Anglo Saxon England", "d:Description": "Summarizes historical events in the Anglo-Saxon period in chronological order.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://britannia.com/history/saxontime.html"} +{"d:Title": "TOEBI", "d:Description": "Home page of Teachers of Old English in Britain and Ireland. Contains notices of events, book reviews, a newsletter, and other items.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.toebi.org.uk/"} +{"d:Title": "Sound Recordings of Old English Texts", "d:Description": "Sound recordings of passages from Old English prose and poetry. Each recording is accompanied by the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://faculty.virginia.edu/OldEnglish/Guide.Readings/Guide.Readings.html"} +{"d:Title": "Online Corpus of Old English Poetry", "d:Description": "Includes texts of all Old English poems. When the project is complete, each text will include a word-for-word glossary and textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://homepages.ucalgary.ca/~mmcgilli/OEPoetry/"} +{"d:Title": "Heroic Age: Anglo-Saxon Links", "d:Description": "Index to Anglo-Saxon studies. Provides extensive links to Anglo-Saxon biblical materials, Anglo-Saxon Christianity, archaeology, art, bibliographies, journals, Old English language, literary texts, translations, and manuscripts. Site also provides links to other early medieval categories and displays current and back issues of \"The Heroic Age\" online journal.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.heroicage.org/as.php"} +{"d:Title": "Brief History of Anglo-Saxon England", "d:Description": "A short survey of Anglo-Saxon history by Ben Levick and Andrew Nicholson. Includes illustrations.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.regia.org/history/history.htm"} +{"d:Title": "Readings of Old English Texts", "d:Description": "Sound recordings of selected texts. Includes videos, Old English texts, and modern English translations.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.tha-engliscan-gesithas.org.uk/old-english-readings"} +{"d:Title": "Old English Graphotactics", "d:Description": "A site dedicated to editions of Old English texts that include the graphotactics of original manuscripts and to studies of these texts. Graphotactics concerns the incidence and measure of spacings between strings of written symbols of a text. In such texts both the graphic symbols and the spacings carry linguistic information. Work in progress.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://faculty.washington.edu/stevickr/graphotactics/index.html"} +{"d:Title": "Lightspill Poetry: from the Old English", "d:Description": "Jonathan Glenn's modern English translations of selected Old English poems.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.lightspill.com/poetry/oe/"} +{"d:Title": "Glossed Texts in the Old English Reader", "d:Description": "Includes several Old English texts. Each word in each text is hot-linked to a corresponding Modern English definition.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/"} +{"d:Title": "Sources of Anglo-Saxon Literary Culture (SASLC)", "d:Description": "Contains entries for all authors known to the Anglo-Saxons. Each entry describes the author's life, works, and influence on Anglo-Saxon writers.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://saslc.nd.edu/"} +{"d:Title": "Woruldhord", "d:Description": "Collection of freely reusable resources for learning and teaching Anglo-Saxon literature, language, history, archaeology, and other facets of the Anglo-Saxon period. Includes photographs, films, texts, presentations, databases, and course material. At Oxford University.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://projects.oucs.ox.ac.uk/woruldhord/"} +{"d:Title": "Anglo-Saxon Britain", "d:Description": "Anglo-Saxon section of the Internet Medieval Sourcebook. Includes modern English translations of Anglo-Saxon and Anglo-Latin texts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.fordham.edu/halsall/sbook1n.asp#Anglo-Saxon Britain"} +{"d:Title": "Cambridge History of English and American Literature: Old English Period", "d:Description": "Volume 1, Chapters 1-7. Covers every aspect of Old English literature, including discussions of important poems and prose works and accounts of individual authors. Includes search engine.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.bartleby.com/211/0101.html"} +{"d:Title": "Anglo-Saxon Narrative Poetry Project", "d:Description": "Provides modern English translations of Old English poems. Work in progress. Ultimate goal is to translate all Old English poems. Site already contains more translated poems than any other Old English site.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://anglosaxonpoetry.camden.rutgers.edu/"} +{"d:Title": "Glossed texts in Old English Aerobics.", "d:Description": "An anthology of Old English poems and prose. Each word in each Old English text is linked to a Modern English definition. A free registration step is required. You must enter a user name and password to access most of the glossed texts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.oldenglishaerobics.net/anthology.html"} +{"d:Title": "Anglo-Saxon Literature", "d:Description": "A history and study of Old English literature by John Earle, Rawlinson Professor of Anglo-Saxon at Oxford University. Project Gutenberg eBook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.gutenberg.org/ebooks/17101"} +{"d:Title": "Anglo-Saxon Aloud", "d:Description": "Contains sound recordings of most Old English poems and selected prose works. By Michael Drout.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://acadblogs.wheatoncollege.edu/mdrout/"} +{"d:Title": "Anglo-Saxon Literature", "d:Description": "Article on Old English literature from the Columbia Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.infoplease.com/encyclopedia/entertainment/anglo-saxon-literature.html"} +{"d:Title": "Anglo-Saxon Britain", "d:Description": "A history of Anglo-Saxon England by Grant Allen. Project Gutenberg eBook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.gutenberg.org/ebooks/16790"} +{"d:Title": "Anglo-Latin Database", "d:Description": "Enables you to search for Latin authors extant in Anglo-Saxon manuscripts. You can search by author, by title, or by author and title.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://people.umass.edu/~bede/aldb.html"} +{"d:Title": "Labyrinth: Old English", "d:Description": "An annotated list of links to electronic texts and to online resources for Anglo-Saxon studies.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "https://blogs.commons.georgetown.edu/labyrinth/categories/english-old/"} +{"d:Title": "Old Engli.sh", "d:Description": "Offers news related to Old English, a dictionary, and an annotated list of links to Old English texts, translations, and online resources.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://old-engli.sh/index.php"} +{"d:Title": "Alphabetical Index to Old English Poetry", "d:Description": "An alphabetized list of links to the complete texts of all Anglo-Saxon poems.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://catterall.net/OE/index.html"} +{"d:Title": "Selected Old English Poems", "d:Description": "James Garnett's modern English translations of selected poems.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.gutenberg.org/ebooks/15879"} +{"d:Title": "Voice of the Shuttle", "d:Description": "Provides extensive resources for the study of Old English and Middle English literature.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://vos.ucsb.edu/browse.asp?id=2740"} +{"d:Title": "Old English Poems Translated Into The Original Meter Together With Short Selections From Old English Prose", "d:Description": "Modern English renditions by Cosette Faust Newton and Stith Thompson: e-text in various formats at Project Gutenberg.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.gutenberg.org/ebooks/31172"} +{"d:Title": "Old English Films", "d:Description": "Filmed versions of Old English texts and Anglo-Saxon topics.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "https://www.youtube.com/playlist?list=PL54ADEA77FE096DD5"} +{"d:Title": "International Society of Anglo-Saxonists", "d:Description": "Home page of ISAS, the organization of record for the field of Anglo-Saxon Studies.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.isasweb.net/"} +{"d:Title": "Readings of Old English Texts", "d:Description": "Sound recordings of selected prose and poetry. Includes Old English texts and modern English translations.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.arts.gla.ac.uk/STELLA/apps/web/readings/old-english/"} +{"d:Title": "Old English Poems from Brindin Press", "d:Description": "Collection of Old English poems at the Brindin Press site. The text of each poem or excerpt is accompanied by a parallel modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.brindinpress.com/pwpoth.htm#anglos"} +{"d:Title": "Anglo-Saxon England: a Guide to Online Resources", "d:Description": "Anglo-Saxon section of ORB: the Online Reference Book for Medieval Studies.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://the-orb.arlima.net/encyclop/early/pre1000/asindex.html"} +{"d:Title": "Apocalyptic Ideas in Old English Literature", "d:Description": "Provides Old English texts and modern English translations of poems and prose works with apocalyptic themes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English", "url": "http://www.apocalyptic-theories.com/literature/lmainframe.htm"} +{"d:Title": "Earliest Life of St Gregory the Great", "d:Description": "Written by a monk or nun at Whitby. Excerpts from the Latin text together with modern English translations of the excerpts. Includes link to manuscript images.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Anglo-Latin_Writings", "url": "http://www.umilta.net/gregory.html"} +{"d:Title": "Latin Writings in England to the Time of Alfred", "d:Description": "Describes the Latin works produced by English authors up to King Alfred's reign. Covers Gildas, Nennius, Aldhelm, Bede, Alcuin, and other authors.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Anglo-Latin_Writings", "url": "http://www.bartleby.com/211/0501.html"} +{"d:Title": "Huneberc of Heidenheim. Hodoeporicon of St. Willibald", "d:Description": "Modern English translation of Huneberc's Latin narrative of St. Willibald's travels.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Anglo-Latin_Writings", "url": "http://www.fordham.edu/halsall/basis/willibald.asp"} +{"d:Title": "Chronicle of Ethelwerd", "d:Description": "This Project Gutenberg e-book contains J.A. Giles's Modern English translations of Ethelwerd's chronicle, Asser's life of Alfred, and works by Gildas, Nennius, and other historians.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Anglo-Latin_Writings", "url": "http://www.gutenberg.org/ebooks/37848"} +{"d:Title": "Readings from Beowulf", "d:Description": "Contains sound files for selected passages of the poem. Each sound file is accompanied by the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf", "url": "http://faculty.virginia.edu/OldEnglish/Beowulf.Readings/Beowulf.Readings.html"} +{"d:Title": "Sound Recording from Beowulf", "d:Description": "Sound recording of lines 64-125 (life in Hrothgar's hall). The recording is accompanied by the Old English text and textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf", "url": "http://www.arts.gla.ac.uk/stella/readings/OE/BEOWULF.HTM"} +{"d:Title": "Beowulf Manuscript", "d:Description": "Provides background information on the poem and its manuscript. From the British Library.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf", "url": "http://www.bl.uk/collection-items/beowulf"} +{"d:Title": "Eight Videos about Beowulf", "d:Description": "A selection of videos in which the speakers discuss the Beowulf poem.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf", "url": "http://www.medievalists.net/2014/06/02/ten-videos-beowulf/"} +{"d:Title": "Beowulf: a Summary in English Prose", "d:Description": "A synopsis of the poem by D.L. Ashliman.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf", "url": "http://www.pitt.edu/~dash/beowulf.html"} +{"d:Title": "Beowulf Images", "d:Description": "Displays images of pages from the Beowulf manuscript and illustrations from books about Beowulf.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf", "url": "https://www.google.com/search?q=beowulf+manuscript+pdf&biw=1280&bih=834&tbm=isch&tbo=u&source=univ&sa=X&ved=0ahUKEwir14mLzPPJAhUG9WMKHZpGDqMQsAQINA&dpr=1"} +{"d:Title": "Beowulf Aloud", "d:Description": "Sound recordings of selected excerpts from the poem. By Michael Drout.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf", "url": "http://acadblogs.wheatoncollege.edu/mdrout/category/beowulf/"} +{"d:Title": "Beowulf on Steorarume (Beowulf in Cyberspace)", "d:Description": "Benjamin Slade's critical edition of Beowulf, together with a facing-page translation, explanatory notes, glossary, supplemental texts and translations, background material, and links.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://www.heorot.dk/"} +{"d:Title": "Beowulf: the Grendel Episode", "d:Description": "Old English text of lines 702-897, with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/beoframe.html"} +{"d:Title": "Beowulf in Hypertext", "d:Description": "Contains both the original Old English text and a modern translation, with the ability to jump to any section of the original or the translation. Also provides considerable background material.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://www.humanities.mcmaster.ca/~beowulf"} +{"d:Title": "Beowulf: Klaeber edition", "d:Description": "Complete text of Beowulf as it appears in the Friedrich Klaeber edition. From the Internet Medieval Sourcebook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://www.fordham.edu/halsall/basis/beowulf-oe.asp"} +{"d:Title": "Beowulf: Harrison-Sharp Edition", "d:Description": "An edition of Beowulf and the Battle of Finnsburh by James Harrison and Robert Sharp. Published 1883. Includes complete Old English text, extensive textual notes, list of names occurring in the poem, and glossary. Project Gutenberg ebook. Available in various formats. Download, print, or read online.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://www.gutenberg.org/ebooks/9700"} +{"d:Title": "Beowulf: Glossed Text", "d:Description": "Each word of the Old English text is linked to a corresponding glossary definition.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://homepages.ucalgary.ca/~mmcgilli/ASPR/Beowfram.htm"} +{"d:Title": "Beowulf: ASPR Edition", "d:Description": "Complete Old English text as it appears in the Anglo-Saxon Poetic Records.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://catterall.net/OE/texts/a4.1.html"} +{"d:Title": "Electronic Beowulf", "d:Description": "An edition by Kevin Kiernan. An image of each folio and an edited version of the lines contained in the folio appear in parallel frames.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Editions", "url": "http://ebeowulf.uky.edu/ebeo4.0/start.html"} +{"d:Title": "Indogermanic Shruti and Christian Smriti in Beowulf", "d:Description": "Argues that Beowulf is not in essence a Christian poem, but rather a reflection of the values of a Germanic heroic society. By Benjamin Slade", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.heorot.dk/beowulf-indogermanic.html"} +{"d:Title": "Beowulf: A National Poem", "d:Description": "Provides a literary history of the poem. Covers Scandinavian traditions, the personality of the hero, the origin and antiquity of the poem, and the religious element. Also provides a section-by-section summary of the poem.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.bartleby.com/211/0303.html"} +{"d:Title": "Warriors, Wyrms, and Wyrd: The Paradoxical Fate of the Germanic Hero/King in Beowulf", "d:Description": "Interprets the Beowulf poem in mythological terms. By Kevin J. Wanner.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.illinoismedieval.org/ems/VOL16/wanner.html"} +{"d:Title": "Seamus Heaney on Beowulf", "d:Description": "An introduction to and interpretation of the Beowulf poem by Seamus Heaney, together with a description of the principles underlying his famous translation of the poem.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.wwnorton.com/college/english/nael/beowulf/introbeowulf.htm"} +{"d:Title": "Christianity in Beowulf", "d:Description": "Explains the Christian element in the poem and shows how the Beowulf poet interprets pagan concepts in Christian terms. By Rich Lawson.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.shadowedrealm.com/medieval-articles/exclusive/christianity_in_beowulf"} +{"d:Title": "Anthropological and Cultural Approaches to Beowulf", "d:Description": "Collection of articles from the online journal \"The Heroic Age.\" These articles treat the poem from the standpoint of anthropology and culture studies.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.heroicage.org/issues/5/toc.html"} +{"d:Title": "Thinking About Beowulf: Ten Years Later", "d:Description": "Offers a psychological interpretation of the poem. Expands on the ideas in James W. Earl's book \"Thinking about Beowulf.\" By Eileen A. Joy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.heroicage.org/issues/8/forum.html"} +{"d:Title": "Beowulf: An Introduction to the Study of the Poem", "d:Description": "A study of Beowulf and the Battle of Finnsburh by R.W. Chambers. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.gutenberg.org/ebooks/34117"} +{"d:Title": "Linguistic and Literary Contexts of Beowulf", "d:Description": "Studies Beowulf in the context of ancient Germanic literature. Includes images, study questions, and excerpts from related Germanic texts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Literary_Studies", "url": "http://www.wwnorton.com/college/english/nael/middleages/topic_4/welcome.htm"} +{"d:Title": "Beowulf: Some Resources for Study", "d:Description": "Includes questions for discussion, a bibliography, and links to Beowulf sites and other online resources,. By Roy M. Liuzza, a Beowulf translator.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Resources", "url": "http://web.utk.edu/~rliuzza/Beowulf/index.htm"} +{"d:Title": "Wikipedia Article on Beowulf", "d:Description": "Introductory article on Beowulf from the Wikipedia online encyclopedia. Covers background and origins of the poem, presents storyline and themes, explains influence of Beowulf on later writers, provides an excerpt from the poem with a facing modern English translation, and gives external links to complete Beowulf translations and other resources.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Resources", "url": "http://en.wikipedia.org/wiki/Beowulf"} +{"d:Title": "Beowulf: a Concise Bibliography", "d:Description": "This bibliography of literary criticism of Beowulf includes anthologies, books, articles, and sources and analogues. At the University of Virginia.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Resources", "url": "http://faculty.virginia.edu/OldEnglish/courses/Beo.Criticism.html"} +{"d:Title": "Beowulf Bibliography, 1990-2012", "d:Description": "Lists important books and articles on Beowulf published during the period 1990-2012. Compiled by Kevin Kiernan.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Resources", "url": "http://www.uky.edu/~kiernan/Bib10/"} +{"d:Title": "Beowulf Resources", "d:Description": "Research guide. Provides links, articles, background material, and other resources.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Resources", "url": "http://beowulfresources.com/"} +{"d:Title": "Beowulf: a Translation in Free Verse", "d:Description": "A modern adaptation by David Breeden.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://www.lnstar.com/beowulf/index.html"} +{"d:Title": "Beowulf: Child Translation", "d:Description": "Translation by Clarence Griffin Child.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://www.yorku.ca/inpar/Beowulf_Child.pdf"} +{"d:Title": "Beowulf: Comparison of Translations", "d:Description": "Presents the Old English text of lines 710-736 (the coming of Grendel), together with twelve different Modern English translations of these lines, dating from 1921 to 2000.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://www.readwritethink.org/lesson_images/lesson814/beowulf_trans.pdf"} +{"d:Title": "Beowulf: a Searchable Verson", "d:Description": "Searchable online version of the Gummere translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://www.online-literature.com/anonymous/beowulf/"} +{"d:Title": "Beowulf: a New Translation for Oral Delivery", "d:Description": "Modern English translation by Dick Ringler, intended to be read out loud. Includes sound files for each section of the poem, a search engine, and considerable background material.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://digicoll-dev.library.wisc.edu/cgi-bin/Literature/Literature-idx?id=Literature.RinglBeowulf"} +{"d:Title": "Beowulf: an Interlinear Translation", "d:Description": "Each line of the Old English text is interleaved with a corresponding line from Francis B Gummere's modern English translation", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://rpo.library.utoronto.ca/poems/beowulf"} +{"d:Title": "Beowulf: Gummere Translation", "d:Description": "Translation by Francis B. Gummere. From the Internet Medieval Sourcebook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://www.fordham.edu/halsall/basis/beowulf.asp"} +{"d:Title": "BeowulfTranslations.net", "d:Description": "Shows how each of five excerpts from the poem has been translated in over 100 translations.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://www.paddletrips.net/beowulf/"} +{"d:Title": "Beowulf: Hall Translation", "d:Description": "Modern English translation by J. Lesslie Hall. Project Gutenberg eBook", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Beowulf/Translations", "url": "http://www.gutenberg.org/ebooks/16328"} +{"d:Title": "Gospel of Luke", "d:Description": "Old English version of Luke from the \"Polyglot Bible\" site. Allows comparison with translations of Luke in several languages and in several different stages of the English language. Includes full-text search capability.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://davies-linguistics.byu.edu/polyglot/"} +{"d:Title": "Lindisfarne Gospels", "d:Description": "An introduction to the manuscript and an explanation of its significance. From the British Library.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://www.bl.uk/onlinegallery/sacredtexts/lindisfarne.html"} +{"d:Title": "Anglo-Saxon Versions of Scripture", "d:Description": "A collection of articles on the Old English versions of the Bible. Covers the history of Biblical translations in Anglo-Saxon England and includes bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://www.bible-researcher.com/anglo-saxon.html"} +{"d:Title": "Lindisfarne, Rushworth, and West Saxon Glosses", "d:Description": "Describes the continuous Old English glosses of Latin words in the Lindisfarne and Rushworth Gospels. Also covers the complete Old English translation known as the West-Saxon Gospels.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://www.bartleby.com/211/0707.html"} +{"d:Title": "Lord's Prayer", "d:Description": "Old English version from the West-Saxon Gospels, together with a modern English translation of the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://www.lords-prayer-words.com/lord_old_english_medieval.html"} +{"d:Title": "Old English Bible Translations", "d:Description": "Wikipedia article on Old English Bible translations and translators.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://en.wikipedia.org/wiki/Old_English_Bible_translations"} +{"d:Title": "Gospel of John", "d:Description": "Old English version of John. Google e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://books.google.com/books?id=ia0NAAAAYAAJ&printsec=frontcover&source=gbs_ge_summary_r&cad=0#v=onepage&q&f=false"} +{"d:Title": "Rushworth Gospels: Manuscript Image", "d:Description": "Section of one page showing the Latin text of Luke 23:27-34 and the Old English gloss of this text. Following this image are a transcription of both the Latin text and the Old English gloss and a Modern English translation of the Latin text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://www.bible-researcher.com/northumbrian-gloss.html"} +{"d:Title": "Gospel of Nicodemus: the Harrowing of Hell", "d:Description": "Excerpt from the Old English version of the apocryphal gospel.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/The_Harrowing_of_Hell"} +{"d:Title": "Gospel of Matthew", "d:Description": "Old English version of Matthew. Google e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "https://books.google.com/books?id=BRVAAAAAYAAJ&printsec=frontcover&dq=intitle:gospel+intitle:of+intitle:saint+intitle:matthew&hl=en&sa=X&ei=08qNVc6cLMT3oATY6qLAAg&ved=0CCIQ6AEwATgK#v=onepage&q&f=false"} +{"d:Title": "West-Saxon Gospels", "d:Description": "Complete Old English texts of Matthew, Mark, Luke, and John. Edited by Benjamin Thorpe. Google e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "https://books.google.com/books?id=up1TAAAAcAAJ&printsec=frontcover&dq=intitle:halgan+intitle:godspel&hl=en&sa=X&ei=FcyNVaD2EoOtogTivpX4BQ&ved=0CB4Q6AEwAA#v=onepage&q&f=false"} +{"d:Title": "Gospel of Mark", "d:Description": "W.W. Skeat's edition of two Old English versions of Mark. The texts are displayed in parallel columns.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://quod.lib.umich.edu/m/moa/afu6141.0001.001?view=toc"} +{"d:Title": "Bible Research: Internet Resources on the Anglo-Saxon Versions", "d:Description": "Provides links to editions and translations of the West-Saxon Gospels, the Paris Psalter, and related texts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations", "url": "http://bible-researcher.blogspot.com/2011/10/internet-resources-on-anglo-saxon.html"} +{"d:Title": "Eadwine Psalter: Manuscript Image", "d:Description": "Picture of besieged psalmist from the original in Trinity College, Cambridge MS R.17.1, fol. 66.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "http://www2.hawaii.edu/~kjolly/"} +{"d:Title": "Paris Psalter", "d:Description": "The \"Paris Psalter\" section of this list of poems contains links to all the psalms in the verse portion of the Paris Psalter (Psalms 51-150).", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "http://www.sacred-texts.com/neu/ascp/"} +{"d:Title": "Fragments of Psalms", "d:Description": "The \"F\" section of this list of poems contains links to all the psalm fragments in the Benedictine office.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "http://catterall.net/OE/index.html"} +{"d:Title": "Psalm 50", "d:Description": "Old English poem in which each Latin verse of the psalm is followed by an Old English paraphrase.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "http://catterall.net/OE/texts/a26.html"} +{"d:Title": "Paris Psalter", "d:Description": "Patrick O'Neill's edition of the prose portion of the Paris Psalter (Psalms 1-50).", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "http://www.medievalacademy.org/resource/resmgr/maa_books_online/oneill_0104.htm"} +{"d:Title": "Psalm 1", "d:Description": "Old English text with extensive grammatical notes by Peter Baker.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "http://www.oldenglishaerobics.net/handouts/Psalm_1_extreme_letter.pdf"} +{"d:Title": "Psalm 23", "d:Description": "Sound recording of the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "https://www.youtube.com/watch?v=wyigu8uOTGQ"} +{"d:Title": "Psalm 90", "d:Description": "Sound recording of the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bible_Translations/Psalms", "url": "https://www.youtube.com/watch?v=r3D56xzDxng"} +{"d:Title": "Anglo-Saxonists: a Bibliography", "d:Description": "A record of publications on Anglo-Saxon scholarship and individual Anglo-Saxonists from the 16th through the 20th century. Compiled by Carl T. Berkhout.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bibliographies", "url": "http://www.u.arizona.edu/~ctb/saxon.html"} +{"d:Title": "OEN Bibliography Database", "d:Description": "Comprehensive record of books, articles, and reviews on Anglo-Saxon literature, language, history, art, archaeology, and other topics. A login step is required before you can search the database. You can log in as user name \"guest\" and password \"guest,\" or you can register and then log in with your own user name and password.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bibliographies", "url": "http://www.oenewsletter.org/OENDB/index.php"} +{"d:Title": "Old English Language and Literature: a Select Bibliography", "d:Description": "Lists important printed works in several categories including bibliographies, grammars, dictionaries, manuscripts, texts, translations, and literary criticism.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Bibliographies", "url": "http://faculty.virginia.edu/OldEnglish/courses/OE.Bibliography.html"} +{"d:Title": "Old English at the University of Calgary", "d:Description": "Online course in Old English by Murray McGillivray. At the University of Calgary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://www.ucalgary.ca/UofC/eduweb/engl401/"} +{"d:Title": "Old English Online", "d:Description": "Online course in Old English by Jonathan Slocum and Winfred P. Lehmann.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://www.utexas.edu/cola/centers/lrc/eieol/engol-0-X.html"} +{"d:Title": "Bright's Anglo-Saxon Reader", "d:Description": "The pages of J.W. Bright's textbook on Old English are available in TIFF, PNG, and HTML formats. Contents include an outline of grammar, readings, notes, glossary, and an appendix on versification. From the Germanic Lexicon Project.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://lexicon.ff.cuni.cz/texts/oe_bright_about.html"} +{"d:Title": "Learning Old English", "d:Description": "An online course in Old English by Tony Jebson. Work in progress.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://www.jebbo.co.uk/learn-oe/contents.htm"} +{"d:Title": "King Alfred's Grammar Book", "d:Description": "An online course in Old English by Michael Drout. .", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://people.umass.edu/sharris/in/gram/GrammarBook/KAGrammar.html"} +{"d:Title": "Anglo-Saxon Grammar and Exercise Book", "d:Description": "A textbook on Old English by C. Alphonso Smith. Includes a grammar, exercises, readings, glossaries and an explanation of Old English versification. Project Gutenberg ebook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://www.gutenberg.org/ebooks/31277"} +{"d:Title": "Sweet's Anglo-Saxon Primer", "d:Description": "A textbook on Old English by Henry Sweet. Includes a grammar, readings, notes, and glossary. Project Gutenberg ebook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://www.gutenberg.org/ebooks/34316"} +{"d:Title": "Bright's Anglo-Saxon Reader", "d:Description": "A textbook on Old English by J.W. Bright. Includes numerous readings of prose and poetic texts and a glossary. Some sections (outline of grammar, notes, and appendix on versification) are incomplete. Work in progress. From Wikisource.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Courses", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader"} +{"d:Title": "Dictionary of Old English Project at the University of Toronto", "d:Description": "Explains the purpose of the dictionary and the methods used to build it. Includes a list of DOE publications, DOE research tools, yearly progress reports, and links to other dictionaries, projects, and resources. Also provides limited free access to DOE entries and the DOE Corpus after a registration step.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://www.doe.utoronto.ca/"} +{"d:Title": "Old English Flash Cards", "d:Description": "1500 Old English words with their modern English equivalents.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://www.yorku.ca/inpar/language/OldEnglishFlashCards1.pdf"} +{"d:Title": "Old English Introductory Glossary", "d:Description": "Contains over one hundred basic Old English words with their modern English equivalents.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://people.wcsu.edu/jambeckk/glossary/gloss-oe.html"} +{"d:Title": "Online Etymology Dictionary", "d:Description": "Enables lookup of etymologies of more than 30,000 modern English words. Useful for determining whether a modern English word is descended from Old English.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://www.etymonline.com/"} +{"d:Title": "Electronic Application of an Anglo-Saxon Dictionary", "d:Description": "An electronic version of the Bosworth-Toller Dictionary with extensive search capabilities. Application must be downloaded before it can be used.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://lexicon.ff.cuni.cz/app/index.htm"} +{"d:Title": "Concise Anglo-Saxon Dictionary", "d:Description": "The pages of J.R. Clark Hall's dictionary are available in TIFF, PNG, and HTML formats. A publication of the Germanic Lexicon Project.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://lexicon.ff.cuni.cz/texts/oe_clarkhall_about.html"} +{"d:Title": "Bosworth-Toller Dictionary", "d:Description": "\"An Anglo-Saxon Dictionary\" by Joseph Bosworth, edited and enlarged by T. N. Toller. Each page of the main volume and supplement volume is available in HTML, TIFF, and PNG formats.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://lexicon.ff.cuni.cz/texts/oe_bosworthtoller_about.html"} +{"d:Title": "Bosworth-Toller Dictionary", "d:Description": "A fully searchable version of the dictionary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://bosworth.ff.cuni.cz/"} +{"d:Title": "EOW Old English Translator", "d:Description": "Online tool that translates single Old English words to their modern English equivalents, and vice versa. The tool is named Englisc Onstigende Wordb\u014dc or EOW.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://hord.ca/projects/eow/"} +{"d:Title": "Dictionary of Old English Plant Names", "d:Description": "Online dictionary of Old English plant names and botanical terms. Work in progress.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://oldenglish-plantnames.org/"} +{"d:Title": "Learning with the Online Thesaurus of Old English", "d:Description": "Shows how to use the Thesaurus of Old English. Contains 14 learning units: four introductory essays and ten interactive sessions.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://oldenglishteaching.arts.gla.ac.uk/oeteach.html"} +{"d:Title": "Online Thesaurus of Old English", "d:Description": "This database allows users to go directly to an entry without the need to refer to an index or grasp the overall structure of the thesaurus. Types of searches include Old English word searches, modern English word searches, browse searches, phrase searches, and searches on flags indicating restricted occurrence.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://oldenglishthesaurus.arts.gla.ac.uk/"} +{"d:Title": "Old-Engli.sh Dictionary", "d:Description": "Gives modern English equivalents of Old English words.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://old-engli.sh/dictionary.php"} +{"d:Title": "Old English in the OED", "d:Description": "Explains the treatment of Old English words and quotations in the Oxford English Dictionary. By Anthony Esposito of the OED.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://public.oed.com/aspects-of-english/english-in-time/old-english-in-the-oed/"} +{"d:Title": "Old English Translator", "d:Description": "Online tool that translates words from Old English to Modern English and vice versa.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://www.oldenglishtranslator.co.uk/"} +{"d:Title": "Concise Anglo-Saxon Dictionary", "d:Description": "A dictionary by J.R. Clark Hall. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://www.gutenberg.org/ebooks/31543"} +{"d:Title": "Historical Thesaurus of English", "d:Description": "Database contains almost every word in English, from the Old English period to the present. Search engine enables users to enter a word and see the whole compass of its meanings throughout its history. Users can also see synonyms of the word and its relationship to words with broader or narrower meaning.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://historicalthesaurus.arts.gla.ac.uk/"} +{"d:Title": "Old English Core Vocabulary", "d:Description": "A list of some 500 Old English words which can be regarded as a literary core vocabulary. Each word is followed by its part of speech and its modern English definition.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://www.st-andrews.ac.uk/~cr30/vocabulary/"} +{"d:Title": "Old English Language Dictionary", "d:Description": "Contains both an Old English to modern English lexicon and a modern English to Old English lexicon.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Dictionaries", "url": "http://thevore.com/old-english/"} +{"d:Title": "Old English Paradigms", "d:Description": "Concise tables of noun, pronoun, and verb forms.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://has55.www9.50megs.com/OEAS/OEParadigms.html"} +{"d:Title": "Old English Inflections", "d:Description": "Multi-color chart summarizing noun, verb, adjective, and pronoun endings. Also known as the Magic Sheet.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://faculty.virginia.edu/OldEnglish/courses/handouts/magic.pdf"} +{"d:Title": "Verb Movement in Old and Middle English: Dialect Variation and Language Contact", "d:Description": "Studies the position of the verb in Old English word order and shows the influence of this \u201cV2\u201d (verb-second) syntax on the word order of Middle English dialects.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://www.ling.upenn.edu/~kroch/omev2-html/omev2-html.html"} +{"d:Title": "Old English Syntax: Some Literary Illustrations", "d:Description": "Shows how selected literary texts exemplify characteristic features of Old English syntax.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://www.chass.utoronto.ca/~cpercy/courses/OESyntaxPoeticOverhead.htm"} +{"d:Title": "Case in Old English", "d:Description": "Robert Stevick's study of case and other grammatical elements of Old English.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://faculty.washington.edu/stevickr/graphotactics/case_OE.html"} +{"d:Title": "Old English Verb Conjugator", "d:Description": "Online tool that accepts the infinitive form of an Old English verb as input and displays the complete conjugation of that verb as output. Also summarizes Old English verb groups and classes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://www.verbix.com/languages/oldenglish.shtml"} +{"d:Title": "Conceptual Semantics and Grammatical Relations in Old English", "d:Description": "A study of Old English from the viewpoint of historical linguistics. Covers word order, word formation, and other topics. By Ruta Nagucka.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://www.thefreelibrary.com/Conceptual+semantics+and+grammatical+relations+in+Old+English....-a095680209"} +{"d:Title": "Grammatical Tricks for Translating Old English", "d:Description": "Gives tips for making the translation of Old English easier. These tricks involve an understanding of certain grammatical constructions that are very common in Old English: modal plus infinitive, partitive genitive, locative dative, and the \"ge\" prefix of verbs. By Michael Drout.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://people.umass.edu/sharris/in/gram/GrammarBook/Tricks.html"} +{"d:Title": "Historical Grammar of the Old English Language", "d:Description": "An online Old English grammar by Cyril Babaev. Covers nouns, pronouns, adjectives, verbs, adverbs, auxiliary words, phonetics, dialects, and other grammatical topics.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://babaev.tripod.com/archive/grammar41.html"} +{"d:Title": "Introduction to Traditional Grammar", "d:Description": "Explains the basics of modern English grammar and Old English grammar, using the traditional grammatical terms found in dictionaries, glossaries, and textbooks.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Grammars", "url": "http://www.southampton.ac.uk/~wpwt/notes/grammar.htm"} +{"d:Title": "Guthlac", "d:Description": "Charles W. Kennedy's modern English translation of the Guthlac A and Guthlac B poems.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.yorku.ca/inpar/Guthlac_Kennedy.pdf"} +{"d:Title": "Phoenix", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.yorku.ca/inpar/Phoenix_Kennedy.pdf"} +{"d:Title": "Andreas", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.yorku.ca/inpar/Andreas_Kennedy.pdf"} +{"d:Title": "Judgment Day I", "d:Description": "Old English text and modern English translation by T.A. Shippey.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.apocalyptic-theories.com/literature/judgementday1/judgementday1.html"} +{"d:Title": "Judgment Day II", "d:Description": "Old English text and modern English translation by J.R. Lumby.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.apocalyptic-theories.com/literature/judgementday2/judgementday2.html"} +{"d:Title": "Soul and Body I", "d:Description": "Old English text and modern English translation by T.A. Shippey.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.apocalyptic-theories.com/literature/soul1/soulbody1.html"} +{"d:Title": "Waldere", "d:Description": "Old English text and facing-page translation by Benjamin Slade. Includes introduction and explanatory notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.heorot.dk/waldere-i.html"} +{"d:Title": "Franks Casket", "d:Description": "Includes the texts of the Old English verse inscriptions on the casket and translations into modern English.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.franks-casket.de/"} +{"d:Title": "Deor", "d:Description": "Old English text and facing modern English translation by Benjamin Slade. Includes introduction and explanatory notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.heorot.dk/deor-i.html"} +{"d:Title": "Wife's Lament", "d:Description": "Old English text with words hot-linked to glossary. At University of Calgary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/wife.htm"} +{"d:Title": "Battle of Finnsburh", "d:Description": "Old English text and facing modern English translation by Benjamin Slade. Includes introduction and explanatory notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.heorot.dk/finnsburh-i.html"} +{"d:Title": "Christ and Satan", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.worldwideschool.org/library/books/relg/historygeography/CodexJunius11/chap56.html"} +{"d:Title": "Phoenix", "d:Description": "Old English text and modern English translation by S.A.J. Bradley.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.apocalyptic-theories.com/literature/phoenix/phoenix.html"} +{"d:Title": "Ruthwell Cross", "d:Description": "Modern English translation of the Old English poem inscribed in runic characters on the Ruthwell Cross. Includes a video on the Ruthwell Cross.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.bbc.co.uk/history/scottishhistory/media_museum/darkages_popup3.html"} +{"d:Title": "Deor", "d:Description": "Old English text with facing modern English translation and textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.anglo-saxons.net/hwaet/?do=get&type=text&id=Deor"} +{"d:Title": "Waldere", "d:Description": "Old English text together with the related Latin poem Waltharius.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.hs-augsburg.de/~harsch/anglica/Chronology/08thC/Waldere/wal_intr.html"} +{"d:Title": "Widsith", "d:Description": "Modern English translation by S.A.J. Bradley.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.wwnorton.com/college/english/nael/middleages/topic_4/widsith.htm"} +{"d:Title": "Battle of Brunanburh", "d:Description": "Includes background information, Old English text, and modern English translations. Clicking on any line of the Old English text gives a literal translation of the line.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://loki.stockton.edu/~kinsellt/litresources/brun/brun1.html"} +{"d:Title": "Rune Poem", "d:Description": "Modern English translation by Bruce Dickins, with pictures of each rune. Site also contains modern English translations of rune poems in other ancient Germanic languages.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.sunnyway.com/runes/rune_poems.html"} +{"d:Title": "Wife's Lament", "d:Description": "Modern English translation by Roy Liuzza.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://web.utk.edu/~rliuzza/514/pdf/The%20Wife's%20Lament.pdf"} +{"d:Title": "Wulf and Eadwacer", "d:Description": "Modern English translation by Jonathan Glenn,", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.lightspill.com/poetry/oe/wulf_eadwacer.html"} +{"d:Title": "Battle of Finnsburh", "d:Description": "Modern English translation of this poem and the Finn episode in Beowulf. Includes background information.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://bernardcornwellbookgroup.blogspot.com/2009/08/battle-of-finnsburh-fragment-and.html"} +{"d:Title": "Husband's Message", "d:Description": "Modern English translation. From Early English Poems Selected and Edited by Henry S. Pancoast and John Duncan Spaeth.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.elfinspell.com/EarlyEnglishHusband.html"} +{"d:Title": "Rune Poem", "d:Description": "Old English text with modern English translation. Includes pictures of the runes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.odins-gift.com/pclass/asrunepoem.htm"} +{"d:Title": "Battle of Brunanburh Resource Site", "d:Description": "Includes Old English text, modern English translation, and resources for study of the poem and battle. Provides modern English translations of relevant texts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.brunanburh.org.uk/"} +{"d:Title": "Ruin", "d:Description": "Modern English translation by Michael Alexander.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://thelmawilcox.blogspot.com/2008/07/ruin-translated-by-michael-alexander.html"} +{"d:Title": "Widsith", "d:Description": "Modern English translation by Bella Millet.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.southampton.ac.uk/~enm/widsith.htm"} +{"d:Title": "Wulf and Eadwacer", "d:Description": "Old English text with modern English definition of each word.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.oldenglishaerobics.net/wulf.html"} +{"d:Title": "Panther", "d:Description": "Albert S. Cook's edition of the three poems that make up the Old English bestiary: Panther, Whale, and Partridge. Includes introduction, parallel modern English verse translations by James H. Pittman, prose translations by Cook, and brief footnotes. Project Gutenberg eBook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.gutenberg.org/ebooks/14529"} +{"d:Title": "Fortunes of Men", "d:Description": "Each word of the Old English text is hot-linked to a corresponding glossary entry.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Fortfram.html"} +{"d:Title": "Whale", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Whalefram.htm"} +{"d:Title": "Deor", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://homepages.ucalgary.ca/~mmcgilli/OEPoetry/Deorfram.html"} +{"d:Title": "Husband's Message", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Husbfram.htm"} +{"d:Title": "Wulf and Eadwacer", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Wulffram.htm"} +{"d:Title": "Meters of Boethius", "d:Description": "Modern English translation by Walter Sedgefield.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.uky.edu/~kiernan/ENG720/SdgTrans/SedgefieldMetersTrans.htm"} +{"d:Title": "Husband's Message", "d:Description": "Modern English translation. From the Anglo-Saxon Foundation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.englisc-gateway.com/bbs/topic/11183-the-husbands-message/"} +{"d:Title": "Riming Poem", "d:Description": "Modern English translation. Includes analysis and interpretation of the poem.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://elstudento.org/articles.php?article_id=2479"} +{"d:Title": "Ruin", "d:Description": "Old English text with facing modern English translation by Sian Echard,", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://faculty.arts.ubc.ca/sechard/oeruin.htm"} +{"d:Title": "Andreas", "d:Description": "Modern English translation by Robert K. Root. Includes introduction and notes. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.gutenberg.org/ebooks/15225"} +{"d:Title": "Brussels Cross", "d:Description": "Old English text with modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://public.gettysburg.edu/~cfee/MedievalNorthAtlantic/brussels/index.html"} +{"d:Title": "Battle of Brunanburh", "d:Description": "Modern English translation by John Osborne.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://catterall.net/OE/texts/brun_me.html"} +{"d:Title": "Deor", "d:Description": "Modern English translation by Steve Pollington. Includes a sound recording of the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.odins-gift.com/pclass/deor.htm"} +{"d:Title": "Meters of Boethius", "d:Description": "Modern English translation by Aaron Hostetter.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://anglosaxonpoetry.camden.rutgers.edu/meters-of-boethius/"} +{"d:Title": "Durham", "d:Description": "Old English text with parallel modern English translation by Louis Rodrigues.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.brindinpress.com/poanodur.htm"} +{"d:Title": "Panther", "d:Description": "Old English text with parallel modern English translation by Louis Rodrigues.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.brindinpress.com/porodal2.htm"} +{"d:Title": "Ruin", "d:Description": "Old English text with facing modern English translation by Richard Hamer.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.brindinpress.com/pohamrui.htm"} +{"d:Title": "Wife's Lament", "d:Description": "Old English text with parallel modern English translation by Richard Hamer.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.brindinpress.com/pohamwif.htm"} +{"d:Title": "Wulf and Eadwacer", "d:Description": "Old English text with parallel modern English translation by Richard Hamer.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.brindinpress.com/pohamwul.htm"} +{"d:Title": "Durham", "d:Description": "Old English text with parallel modern English translation by David Lisle Crane.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.brindinpress.com/poanodun.htm"} +{"d:Title": "Fortunes of Men", "d:Description": "Modern English translation by Stith Thompson and Cosette Faust.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.gutenberg.org/files/31172/31172-h/31172-h.htm#c21"} +{"d:Title": "Waldere", "d:Description": "Modern English translation by Stith Thomson and Cosette Faust.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "http://www.gutenberg.org/files/31172/31172-h/31172-h.htm#c03"} +{"d:Title": "Menologium", "d:Description": "Modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems", "url": "https://www.poemhunter.com/best-poems/anonymous-olde-english/the-menologium-preface-to-the-anglo-saxon-chronicles/"} +{"d:Title": "Battle of Maldon", "d:Description": "Resource concerning the historical Viking attack and battle of 991AD and its commemoration in an Anglo-Saxon poem. Includes modern English translation, images of the site, map, and links to other relevant information.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Battle_of_Maldon", "url": "http://www.battleofmaldon.org.uk/"} +{"d:Title": "Battle of Maldon - UK Battlefields Resource Centre", "d:Description": "Gives a detailed account of the historical battle.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Battle_of_Maldon", "url": "http://www.battlefieldstrust.com/resource-centre/viking/battleview.asp?BattleFieldId=23"} +{"d:Title": "Battle of Maldon", "d:Description": "Modern English translation. From Early English Poems Selected and Edited by Henry S. Pancoast and John Duncan Spaeth.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Battle_of_Maldon", "url": "http://www.elfinspell.com/EarlyEnglishMaldon.html"} +{"d:Title": "Battle of Maldon", "d:Description": "Modern English translation by E.T. Donaldson. Includes literary analysis and textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Battle_of_Maldon", "url": "http://www.wwnorton.com/college/english/nael/noa/pdf/01Maldon_1_6.pdf"} +{"d:Title": "Battle of Maldon", "d:Description": "Modern English translation by Jonathan A. Glenn. Includes textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Battle_of_Maldon", "url": "http://www.lightspill.com/poetry/oe/maldon.html"} +{"d:Title": "Battle of Maldon", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Battle_of_Maldon", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Maldfram.htm"} +{"d:Title": "Genesis", "d:Description": "Modern English translation of the Genesis A and B poems by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.worldwideschool.org/library/books/relg/historygeography/CodexJunius11/chap1.html"} +{"d:Title": "Exodus", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.worldwideschool.org/library/books/relg/historygeography/CodexJunius11/chap42.html"} +{"d:Title": "Daniel", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.worldwideschool.org/library/books/relg/historygeography/CodexJunius11/chap50.html"} +{"d:Title": "Judith", "d:Description": "Modern English translation by Albert S. Cook. Includes introduction and manuscript image.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.elfinspell.com/JudithTitle.html"} +{"d:Title": "Raising Cain in Genesis and Beowulf: Challenges to Generic Boundaries in Anglo-Saxon Biblical Literature", "d:Description": "Argues that the distinction between heroic poems like Beowulf and Biblical poems like Genesis is artificial. Heroic poems incorporate Biblical elements, and vice versa. By Heide Estes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.heroicage.org/issues/13/estes.php"} +{"d:Title": "Genesis: the Story of Isaac", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Isaacfram.html"} +{"d:Title": "Judith", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Judfram.htm"} +{"d:Title": "Azarias", "d:Description": "Old English text, based on the Book of Daniel.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://catterall.net/OE/texts/a3.3.html"} +{"d:Title": "Genesis", "d:Description": "Modern English translation of the Genesis A poem by Lawrence Mason. Project Gutenberg e-book.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.gutenberg.org/ebooks/15612"} +{"d:Title": "Genesis: the Fall of Adam and Eve", "d:Description": "Old English text. Each word is linked to a definition of the word.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.oldenglishaerobics.net/fall.html"} +{"d:Title": "Judith", "d:Description": "Modern English translation by Stith Thompson and Cosette Faust.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Biblical_Poems", "url": "http://www.gutenberg.org/files/31172/31172-h/31172-h.htm#c39"} +{"d:Title": "Cynewulf. Christ", "d:Description": "Charles W. Kennedy's modern English translation of Christ I (Advent Lyrics), Christ II (Ascension) and Christ III (Doomsday).", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.yorku.ca/inpar/Christ_Kennedy.pdf"} +{"d:Title": "Cynewulf. Christ III", "d:Description": "Old English text with modern English translation by S.A.J. Bradley", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.apocalyptic-theories.com/literature/christiii/christiii.html"} +{"d:Title": "Cynewulf: Encyclopedia Article", "d:Description": "An article on Cynewulf from the Catholic Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.newadvent.org/cathen/04581d.htm"} +{"d:Title": "Cynewulf. Elene.", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.yorku.ca/inpar/Elene_Kennedy.pdf"} +{"d:Title": "Cynewulf. Fates of the Apostles", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.yorku.ca/inpar/Fates_Apostles_Kennedy.pdf"} +{"d:Title": "Cynewulf: His Personality", "d:Description": "A discussion of Cynewulf''s place in Anglo-Saxon literary history.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.bartleby.com/211/0406.html"} +{"d:Title": "Cynewulf. Juliana", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.yorku.ca/inpar/Juliana_Kennedy.pdf"} +{"d:Title": "Schools of Caedmon and Cynewulf", "d:Description": "Views Caedmon and Cynewulf as representative of different stages of Old English Christian poetry and shows how the poetry of Cynewulf's time marks an advance over the poetry of Caedmon's time.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.bartleby.com/211/0413.html"} +{"d:Title": "Riddle of the Runes", "d:Description": "Article by Raymond Gleason on the runic passage in Cynewulf's Fates of the Apostles.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.illinoismedieval.org/ems/VOL9/gleason.html"} +{"d:Title": "Cynewulf. Christ I: Advent Lyric 5", "d:Description": "Old English text of the fifth Advent lyric. Each word of the text is linked to a modern English definition.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.oldenglishaerobics.net/advent.html"} +{"d:Title": "Cynewulf. Elene", "d:Description": "Modern English translation by Lucius H. Holt. Project Gutenberg eBook.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.gutenberg.org/ebooks/14781"} +{"d:Title": "Cynewulf. Christ", "d:Description": "Charles H. Whitman's modern English translation of Christ I, II, and III.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.humanitiesweb.org/spa/lcc/ID/778"} +{"d:Title": "Cynewulf. Fates of the Apostles: Runic Signature", "d:Description": "A modern English translation by Robert Hasenfratz and Penelope Pelizzon of the passage in which Cynewulf spells out his name in runes. See the Anglo-Saxon Runes article in Wikipedia for pictures of the runes and their Old English names and equivalent Roman letters.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "https://www.poetryfoundation.org/poetrymagazine/poems/detail/54752"} +{"d:Title": "Cynewulf. Juliana", "d:Description": "Modern English translation by Rosetta M. Berger. Includes detailed introduction, extensive notes, the Old English text, and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "https://digitalrepository.wheatoncollege.edu/bitstream/handle/11040/24314/Rosetta%20M.%20Berger%20Senior%20Honors%20Thesis%20copy.pdf?sequence=1&isAllowed=y"} +{"d:Title": "Christ, Juliana, The Fates of the Apostles, Elene", "d:Description": "A brief discussion of Cynewulf's four poems.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Cynewulf", "url": "http://www.bartleby.com/211/0407.html"} +{"d:Title": "Dream of the Rood", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "http://www.yorku.ca/inpar/Dream_Rood_Kennedy.pdf"} +{"d:Title": "Dream of the Rood", "d:Description": "Modern English translation by Karl Young. Includes introduction to the poem.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "http://www.thing.net/~grist/ld/young/ky-drc.htm"} +{"d:Title": "Dream of the Rood and the Image of Christ in the Early Middle Ages", "d:Description": "Article by Jeannette C. Brock shows how the image of Christ in the poem reflects the heroic ideals of the period rather than the original biblical accounts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "http://history.hanover.edu/hhr/98/hhr98_2.html"} +{"d:Title": "Dream of the Rood", "d:Description": "Old English text with facing modern English translation by Mark Leech.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "http://www.stephen-spender.org/SSMTrust/times_ss_prize_04/ssmt_evPrizeOver18_01.htm"} +{"d:Title": "Dream of the Rood", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "http://homepages.ucalgary.ca/~mmcgilli/OEPoetry/Dreamfram.html"} +{"d:Title": "Dream of the Rood", "d:Description": "Jonathan Glenn's modern English translation with textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "http://www.lightspill.com/poetry/oe/rood.html"} +{"d:Title": "Dream of the Rood", "d:Description": "Old English text and modern English translation by Elaine Treharne.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "http://www.apocalyptic-theories.com/literature/dor/dreamofrood.html"} +{"d:Title": "Dream of the Rood", "d:Description": "Modern English translation by Roy Liuzza", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Dream_of_the_Rood", "url": "https://web.utk.edu/~rliuzza/514/pdf/Dream%20of%20the%20Rood.pdf"} +{"d:Title": "Riddle 2", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/twoframe.html"} +{"d:Title": "Riddle 2", "d:Description": "Modern English translation by Charles W. Kennedy.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.rado.sk/old_english/texts/Riddle.html"} +{"d:Title": "Riddle 25", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/25frame.html"} +{"d:Title": "Riddle 44", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/44frame.html"} +{"d:Title": "Riddle 45", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/45frame.html"} +{"d:Title": "Riddle 46", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/46frame.html"} +{"d:Title": "Riddle 47", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/47frame.html"} +{"d:Title": "Riddle 80", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/80frame.html"} +{"d:Title": "Anglo-Saxon Book Riddles", "d:Description": "Karl Young's modern English translation of Riddles 93, 51, 26, 60, and 47, with notes and solutions. Includes a discussion of the riddles as a literary genre and interprets their significance in Anglo-Saxon life.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.thing.net/~grist/ld/young/ky-bkrid.htm"} +{"d:Title": "Exeter Book Riddles", "d:Description": "Modern English translations of all the riddles together with answers. At the Technozen site.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.technozen.com/exeter/"} +{"d:Title": "Five Old English Riddles", "d:Description": "Jonathan Glenn's modern English translation of Riddles 14, 16, 26, 47, and 60. Includes solutions.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.lightspill.com/poetry/oe/oeriddles.html"} +{"d:Title": "Old English Riddles", "d:Description": "Sound recordings and Old English texts of Riddles 46, 47, 85, 27, and 74.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://faculty.virginia.edu/OldEnglish/Guide.Readings/Riddles.html"} +{"d:Title": "Riddles of the Exeter Book", "d:Description": "The Old English texts of all the riddles with facing modern English translations by Craig Williamson.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www2.kenyon.edu/AngloSaxonRiddles/texts.htm"} +{"d:Title": "Introduction to the Exeter Book Riddles", "d:Description": "Craig Williamson's introduction provides extensive background information organized into eight topical divisions.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www2.kenyon.edu/AngloSaxonRiddles/feast.htm"} +{"d:Title": "Riddles and Gnomic Verse", "d:Description": "Modern English translations of Riddles 47, 57, 5, 10, 27, 16, and 21, with solutions. Also includes translations of Maxims II, Maxims I (excerpts), and the Fortunes of Men. Explanatory notes accompany the poems.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.elfinspell.com/RiddlesandGnomicVerse.html"} +{"d:Title": "Old English Riddles", "d:Description": "Old English texts of Riddles 45, 76, 25, 23, and 27. Includes Modern English translations by Craig Williamson, textual notes, and commentaries.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.swarthmore.edu/Humanities/english/oldenglish/"} +{"d:Title": "Selection of Riddles", "d:Description": "Old English texts of Riddles 5, 8, 29, 30a, 35, and 46. Each word in each text is linked to a modern English definition.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.oldenglishaerobics.net/riddles.html"} +{"d:Title": "Riddle 9", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Rid9fram.html"} +{"d:Title": "Riddle 10", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Rid10fram.html"} +{"d:Title": "Riddle 12", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Rid12fram.html"} +{"d:Title": "Riddle 31", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Rid31fram.html"} +{"d:Title": "Riddle 26", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://homepages.ucalgary.ca/~mmcgilli/OEPoetry/Rid26fram.html"} +{"d:Title": "Riddle Ages", "d:Description": "Contains texts, translations, and commentaries on the riddles of the Exeter book. Work in progress.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://theriddleages.wordpress.com/riddles-by-number/"} +{"d:Title": "Anglo-Saxon Riddles of the Exeter Book", "d:Description": "Old English texts and facing modern English translations of all the riddles by Paull F. Baum.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://en.wikisource.org/wiki/Anglo-Saxon_Riddles_of_the_Exeter_Book"} +{"d:Title": "Exeter Book Riddles", "d:Description": "Modern English translations of all the riddles by Aaron Hostetter.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://anglosaxonpoetry.camden.rutgers.edu/exeter-book-riddles/"} +{"d:Title": "Riddle 14", "d:Description": "Old English text with parallel modern English translation by Louis Rodrigues.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.brindinpress.com/porodri1.htm"} +{"d:Title": "Riddle 26", "d:Description": "Old English text with parallel modern English translation by Louis Rodrigues.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.brindinpress.com/porodri2.htm"} +{"d:Title": "Riddle 55", "d:Description": "Old English text with modern English translation by Louis Rodrigues.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.brindinpress.com/porodri3.htm"} +{"d:Title": "Riddles from the Exeter Book", "d:Description": "Modern English translations by Stith Thompson and Cosette Faust of Riddles 1-3, 5, 7-8, 14-15, 23, 26, 45, 47, and 60.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Exeter_Book_Riddles", "url": "http://www.gutenberg.org/files/31172/31172-h/31172-h.htm#c07"} +{"d:Title": "Metrical Charm 4: For a Sudden Stitch", "d:Description": "Old English text and facing modern English translation by Benjamin Slade. Includes introduction and explanatory notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www.heorot.dk/stitch-i.html"} +{"d:Title": "Metrical Charm 2: The Nine Herbs Charm", "d:Description": "Old English text and facing modern English translation by Benjamin Slade. Includes introduction and explanatory notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www.heorot.dk/woden-9herbs-i.html"} +{"d:Title": "Anglo-Saxon Charms", "d:Description": "Karen Jolly's modern English translations of Metrical Charms 1, 2, and 4. Also includes her translations of several non-metrical charms.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www2.hawaii.edu/~kjolly/unc.htm"} +{"d:Title": "Metrical Charm 8: For a Swarm of Bees", "d:Description": "Modern English translation by R.K. Gordon.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www.rado.sk/old_english/texts/Charm1.html"} +{"d:Title": "Anglo-Saxon Charms", "d:Description": "Karl Young's modern English translations of Metrical Charms 3, 8, 9, 11, and 12, with commentary on each charm. Also includes his translation of one non-metrical charm.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www.thing.net/~grist/ld/young/ky-chrm.htm"} +{"d:Title": "Anglo-Saxon Metrical Charms", "d:Description": "Old English texts of all the metrical charms together with Gavin Chappell's modern English translations.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www.northvegr.org/misc%20primary%20sources/anglo-saxon%20metrical%20charms/"} +{"d:Title": "Metrical Charm 6: For Delayed Birth", "d:Description": "Each word of the Old English text is hot-linked to a corresponding glossary entry.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/MCharm6fram.htm"} +{"d:Title": "Metrical Charm 1: For Unfruitful Land", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/MCharm1fram.htm"} +{"d:Title": "Metrical Charm 3: Against a Dwarf", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/MCharm3fram.htm"} +{"d:Title": "Metrical Charm 4: For a Sudden Stitch", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/MCharm4fram.htm"} +{"d:Title": "Metrical Charm 3: Against a Dwarf", "d:Description": "Old English text with parallel modern English translation by Louis Rodrigues.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www.brindinpress.com/porodch3.htm"} +{"d:Title": "Metrical Charm 1: For Unfruitful Land", "d:Description": "Modern English translation by Stith Thompson and Cosette Faust.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Metrical_Charms", "url": "http://www.gutenberg.org/files/31172/31172-h/31172-h.htm#c05"} +{"d:Title": "Seafarer", "d:Description": "Old English text and modern English translation by S.A.J. Bradley.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://www.apocalyptic-theories.com/literature/seafarer/seafarer.html"} +{"d:Title": "Seafarer", "d:Description": "Old English text with facing modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://www.anglo-saxons.net/hwaet/?do=get&type=text&id=Sfr&numbering=5"} +{"d:Title": "Seafarer", "d:Description": "Includes introduction, Old English text with modern English glosses of individual words, textual notes, and bibliography. Site also includes the Durham Proverbs. From the Cambridge Old English Reader.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://assets.cambridge.org/052145/4263/sample/0521454263ws.pdf#search=\"cambridge old english reader\""} +{"d:Title": "Seafarer", "d:Description": "Modern English translation by Gavin Bantock.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://www.rado.sk/old_english/texts/Seafarer.html"} +{"d:Title": "Seafarer", "d:Description": "Ezra Pound's famous modern English translation of the first 99 lines of the poem.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://rpo.library.utoronto.ca/poems/seafarer"} +{"d:Title": "Seafarer", "d:Description": "Interlinear translation at Representative Poetry Online. Includes textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://rpo.library.utoronto.ca/poems/seafarer-0"} +{"d:Title": "Seafarer", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://homepages.ucalgary.ca/~mmcgilli/OEPoetry/Seafram.html"} +{"d:Title": "Seafarer", "d:Description": "Jonathan Glenn's modern English translation with textual notes and commentary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://www.lightspill.com/poetry/oe/seafarer.html"} +{"d:Title": "Seafarer", "d:Description": "Old English text with facing Modern English translation by Sian Echard.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://faculty.arts.ubc.ca/sechard/oeseaf.htm"} +{"d:Title": "Seafarer: a Revised Hypertext Edition", "d:Description": "Includes introduction, manuscript images, annotated bibliography, and Old English text with commentary. Each word of the text is linked to a modern English definition. Edited by Corey Owen, Jon Bath, and Kyle Dase.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Seafarer", "url": "http://drc.usask.ca/projects/seafarer/index.php"} +{"d:Title": "Wanderer", "d:Description": "Old English text with facing modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://www.anglo-saxons.net/hwaet?do=get&type=text&id=Wdr"} +{"d:Title": "Wanderer", "d:Description": "Old English text with words hot-linked to glossary. At University of Calgary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://www.ucalgary.ca/UofC/eduweb/engl403/wanderer.htm"} +{"d:Title": "Wanderer: E-Edition", "d:Description": "Electronic edition by Tim Romano. Includes images of the folios, transcription of the Old English text, glossary, introduction, modern English translation, and commentary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://www.aimsdata.com/tim/anhaga/edition.htm"} +{"d:Title": "Wanderer", "d:Description": "Modern English translation by Roy Liuzza. Site also includes his translation of The Ruin. Introduction explains the genre of the Old English elegies.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://web.utk.edu/~rliuzza/401/Elegies.pdf"} +{"d:Title": "Wanderer", "d:Description": "Modern English translation by Gavin Bantock.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://www.rado.sk/old_english/texts/Wanderer.html"} +{"d:Title": "Wanderer Project", "d:Description": "Provides manuscript images, glossed Old English text, modern English translations, and glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://research.uvu.edu/mcdonald/wanderweb/"} +{"d:Title": "Wanderer", "d:Description": "Modern English translation by Jonathan Glenn, with textual notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://www.lightspill.com/poetry/oe/wanderer.html"} +{"d:Title": "Wanderer", "d:Description": "Old English text with facing Modern English translation by Sian Echard.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://faculty.arts.ubc.ca/sechard/oewand.htm"} +{"d:Title": "Wanderer: a Hypertext Edition", "d:Description": "Includes introduction, manuscript images, Old English text with notes, and bibliography. Edited by Kyle Dase.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://drc.usask.ca/projects/wanderer/index.php"} +{"d:Title": "Wanderer", "d:Description": "Modern English translation by Daniel Moysaenko. Includes introduction.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Poems/Wanderer", "url": "http://www.oenewsletter.org/OEN/archive/44_3/moysaenko.php"} +{"d:Title": "Blickling Homily X - The End of this World is Near", "d:Description": "Old English text and modern English translation by R. Morris.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.apocalyptic-theories.com/literature/blicklingx/blicklingx.html"} +{"d:Title": "Blickling Homilies", "d:Description": "Modern English translation by R. Morris.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.yorku.ca/inpar/Blickling_Morris.pdf"} +{"d:Title": "Anglo-Saxon Law: Extracts From Early Laws of the English.", "d:Description": "Provides modern English translations of excerpts from important legal documents.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://avalon.law.yale.edu/medieval/saxlaw.asp"} +{"d:Title": "Apollonius of Tyre", "d:Description": "Excerpt from Old English text, with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/apolloniusfram.html"} +{"d:Title": "Wonders of the East", "d:Description": "Excerpt from the Old English text. Each word is hot-linked to a glossary entry.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Wondersfram.html"} +{"d:Title": "Anglo-Saxon Penitentials: A Cultural Database", "d:Description": "Contains texts and translations of the Anglo-Saxon handbooks of penance. A cultural index lists categories like animals, emotions, and food and quotes passages from the handbooks that refer to each category.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.anglo-saxon.net/penance/"} +{"d:Title": "Electronic Sawyer", "d:Description": "A searchable, browsable catalog of extant Anglo-Saxon charters, based on the printed work by Peter Sawyer. Each entry summarizes the contents of the charter, lists the manuscripts that contain the charter, and cites any printed editions, translations, and commentaries. Some entries include the text of the charter and a modern English translation of the text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.esawyer.org.uk/about/index.html"} +{"d:Title": "Life of St. Christopher", "d:Description": "Modern English translation by Aaron Hostetter.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://anglosaxonpoetry.camden.rutgers.edu/saint-christopher/"} +{"d:Title": "Kemble: the Anglo-Saxon Charters Website", "d:Description": "Contains online resources for the study of Anglo-Saxon charters. Work in progress.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.kemble.asnc.cam.ac.uk/"} +{"d:Title": "Letter of Alexander to Aristotle", "d:Description": "Modern English translation by Andy Orchard.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://members.shaw.ca/sylviavolk/Beowulf2.htm"} +{"d:Title": "Letter of Alexander to Aristotle.", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://faculty.washington.edu/stevickr/graphotactics/a_letter.pdf"} +{"d:Title": "Apollonius of Tyre", "d:Description": "Old English text and modern English translation by Benjamin Thorpe.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.westga.edu/~mcrafton/white/formhel.htm"} +{"d:Title": "St. Mary of Egypt", "d:Description": "Linda Cantara's textual study of an Old English prose saint's life. Compares and contrasts two versions of the life and describes variant readings in three major categories: spelling, vocabulary, and syntax. Also touches on religious, cultural, and literary aspects of the life.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://uknowledge.uky.edu/gradschool_theses/276/"} +{"d:Title": "Legend of St. Andrew", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/The_Legend_of_St._Andrew"} +{"d:Title": "Laws of \u00c6thelberht: a Student Edition", "d:Description": "An edition of the Old English text by Lisi Oliver. Includes detailed introduction, notes, and glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.oenewsletter.org/OEN/print.php/essays/oliver38_1/Array"} +{"d:Title": "Anglo-Saxon Women Before the Law", "d:Description": "Andrew Rabin's student edition of five Old English lawsuits concerning women. Includes detailed introduction, notes, and glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://www.oenewsletter.org/OEN/print.php/essays/rabin41_3/Array"} +{"d:Title": "Anglo-Saxon Dooms, 560-975", "d:Description": "Modern English translation of the laws of the Anglo-Saxon kings through Edgar (959-975). The Mercian and North People's laws are also translated. Includes glossaries.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works", "url": "http://sourcebooks.fordham.edu/Halsall/source/560-975dooms.asp"} +{"d:Title": "Aelfric, Abbot of Eynsham", "d:Description": "An article on Aelfric from the Catholic Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric", "url": "http://www.newadvent.org/cathen/01171b.htm"} +{"d:Title": "Aelfric. Colloquy", "d:Description": "The text of the Old English version, with glossary, sound files, and illustrations.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric", "url": "http://www.ucalgary.ca/UofC/eduweb/engl401/texts/frame.html"} +{"d:Title": "Aelfric: His Works", "d:Description": "Surveys Aelfric's varied writings and describes his literary purposes and themes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric", "url": "http://www.bartleby.com/211/0704.html"} +{"d:Title": "Aelfric. On the Seasons of the Year", "d:Description": "Modern English translation by P. Baker.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric", "url": "http://faculty.virginia.edu/OldEnglish/aelfric/detemp.html"} +{"d:Title": "Aelfric. Colloquy", "d:Description": "Modern English translation of the Latin version. By Ann E. Watkins.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric", "url": "http://www.kentarchaeology.ac/authors/016.pdf"} +{"d:Title": "Aelfric. Letter to Brother Edward: A Student Edition", "d:Description": "An edition of the Old English text by Mary Clayton. Includes a detailed introduction and notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric", "url": "http://www.oenewsletter.org/OEN/print.php/essays/clayton40_3/Array"} +{"d:Title": "Aelfric. Preface to Genesis", "d:Description": "Old English text of Aelfric's Preface to his translation of the Book of Genesis.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Biblical_Translations", "url": "http://web.utk.edu/~rliuzza/OE/pdf/aelfricpreface.pdf"} +{"d:Title": "Aelfric. Translation of the Book of Genesis: Abraham and Isaac", "d:Description": "An excerpt from the Old English text (Genesis 22:1-19). Each word is hot-linked to a corresponding glossary entry. Includes sound recording.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Biblical_Translations", "url": "http://www.ucalgary.ca/UofC/eduweb/engl401/texts/abrfram.htm"} +{"d:Title": "Aelfric. Translation of the Heptateuch", "d:Description": "Aelfric's Old English translation of the first seven books of the Old Testament. S.J. Crawford's edition also includes Aelfric's Preface to Genesis and his treatise \"On the Old and New Testament.\"", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Biblical_Translations", "url": "http://babel.hathitrust.org/cgi/pt?id=mdp.39015004743160;view=1up;seq=11"} +{"d:Title": "Aelfric. Preface to Genesis", "d:Description": "Modern English translation by Brandon W. Hawk.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Biblical_Translations", "url": "http://brandonwhawk.net/2014/07/30/aelfrics-preface-to-genesis-a-translation/"} +{"d:Title": "Aelfric. On the Old and New Testament.", "d:Description": "Modern English translation by Jonathan Hall. Work in progress.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Biblical_Translations", "url": "https://sites.google.com/site/miscelleneatheologica/home/aelfrictest"} +{"d:Title": "Aelfric. On the Old and New Testament", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Biblical_Translations", "url": "https://sites.google.com/site/miscelleneatheologica/home/aelfrictestoe"} +{"d:Title": "Aelfric. Translation of the Book of Genesis: the Fall of Adam and Eve", "d:Description": "An excerpt from the Old English text (Genesis 3:1-19). Each word is linked to a modern English definition.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Biblical_Translations", "url": "http://www.oldenglishaerobics.net/fall.html"} +{"d:Title": "Aelfric. Alia Visio", "d:Description": "Old English text and modern English translation by Benjamin Thorpe.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "http://www.apocalyptic-theories.com/literature/aelfvisio/aliavisio.html"} +{"d:Title": "Aelfric. Homilies on Judith, Esther, and the Maccabees", "d:Description": "S.D. Lee's electronic edition of \u00c6lfric's homilies on these three Old Testament books.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "http://users.ox.ac.uk/~stuart/kings/"} +{"d:Title": "Aelfric. Preface to the First Series of Catholic Homilies.", "d:Description": "Old English text and modern English translation by Benjamin Thorpe.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "http://www.apocalyptic-theories.com/literature/aelfpref/preface.html"} +{"d:Title": "Aelfric. On the False Gods", "d:Description": "Modern English translation by Peter Baker.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "http://faculty.virginia.edu/OldEnglish/aelfric/defalsis.html"} +{"d:Title": "Aelfric. Catholic Homilies", "d:Description": "Volume 1 of Benjamin Thorpe's edition and facing-page translation of the two series of Aelfric's homilies. This volume contains the homilies in the first series.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "http://en.wikisource.org/wiki/The_Homilies_of_the_Anglo-Saxon_Church"} +{"d:Title": "Aelfric. Homily on St. Gregory the Great", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/%C3%86lfric's_Homily_on_St._Gregory_the_Great"} +{"d:Title": "Aelfric. Homily on the Book of Job.", "d:Description": "Old English text with modern English definition of each word.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "http://www.oldenglishaerobics.net/aelfric_job.html"} +{"d:Title": "Aelfric. Homily on the Assumption of St. John the Apostle", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Homilies", "url": "https://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/%C3%86lfric's_Homily_on_the_Assumption_of_St._John_the_Apostle"} +{"d:Title": "Aelfric. Life of St. Agnes", "d:Description": "Modern English translation by W.W. Skeat.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "http://faculty.virginia.edu/OldEnglish/aelfric/agnes.html"} +{"d:Title": "Aelfric. Life of St. Edmund", "d:Description": "Modern English translation of Aelfric's life of Edmund, the Anglo-Saxon king and saint, by W.W. Skeat.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "http://faculty.virginia.edu/OldEnglish/aelfric/edmund.html"} +{"d:Title": "Aelfric. Life of St. Lucy", "d:Description": "Modern English translation by W.W. Skeat.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "http://faculty.virginia.edu/OldEnglish/aelfric/lucy.html"} +{"d:Title": "Aelfric. Life of St. Eugenia", "d:Description": "Old English text with words hot-linked to glossary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Eugeniafram.html"} +{"d:Title": "Aelfric. Life of St. Oswald", "d:Description": "Excerpt from the Old English text. Each word is hot-linked to a corresponding glossary entry.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Oswaldfram.html"} +{"d:Title": "Aelfric. Lives of the Saints", "d:Description": "W.W. Skeats' edition of the Old English texts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "http://en.wikisource.org/wiki/%C3%86lfric's_Lives_of_Saints"} +{"d:Title": "Aelfric. Life of St. Aethelthryth", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "https://udrive.oit.umass.edu/sharris/public/AelfricAethelthryth.pdf"} +{"d:Title": "Aelfric. Life of St. Oswald", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Aelfric/Saints_Lives", "url": "https://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/%C3%86lfric's_Life_of_King_Oswald"} +{"d:Title": "Asser. Life of King Alfred", "d:Description": "J. A. Giles's modern English translation of Asser's Latin text, which is the main source of knowledge about Alfred's life. Asser was a contemporary of Alfred.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://omacl.org/KingAlfred/"} +{"d:Title": "Alfred. Anglo-Saxon Version of Boethius's Consolation of Philosophy", "d:Description": "Modern English translation by Samuel Fox, with introduction and notes.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.yorku.ca/inpar/Boethius_Fox.pdf"} +{"d:Title": "Alfred the Great", "d:Description": "Article on Alfred's life and historical significance from the Catholic Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.newadvent.org/cathen/01309d.htm"} +{"d:Title": "Alfred. Preface to His Translation of Gregory's Pastoral Care", "d:Description": "Includes an edition of the Old English text, a modern English translation, and links to the Old English and Latin manuscripts.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.departments.bucknell.edu/english/courses/engl440/pastoral.shtml"} +{"d:Title": "Alfred. Preface to His Translation of Gregory's Pastoral Care", "d:Description": "Old English text with words hot-linked to glossary. At the University of Calgary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.ucalgary.ca/UofC/eduweb/engl401/texts/alfdfram.htm"} +{"d:Title": "Alfred. Translation of Orosius's Compendious History: the Voyage of Ohthere", "d:Description": "Excerpt from Alfred's Old English translation of the Latin text. Each word is hot-linked to a glossary entry. Includes a facing modern English translation of part of the text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.ucalgary.ca/UofC/eduweb/engl401/texts/ohthfram.htm"} +{"d:Title": "Alfred. Translation of Orosius's Compendious History: the Voyage of Wulfstan .", "d:Description": "Excerpt from Alfred's Old English translation of the Latin text. Each word is hot-linked to a corresponding glossary entry.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Wulfstanfram.html"} +{"d:Title": "Alfred. Preface to His Translation of Gregory's Pastoral Care", "d:Description": "Old English text with facing Modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.bible-researcher.com/alfred.html"} +{"d:Title": "Alfred. Translation of Orosius's Compendious History: the Voyages of Ohthere and Wulfstan", "d:Description": "Modern English translation by Stith Thompson and Cosette Faust.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.gutenberg.org/files/31172/31172-h/31172-h.htm#c47"} +{"d:Title": "Alfred. Anglo-Saxon Version of Boethius's Consolation of Philosophy:", "d:Description": "Modern English translation by Walter Sedgefield", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.uky.edu/~kiernan/ENG720/SdgTrans/SedgefieldTranslation.htm"} +{"d:Title": "Alfred. Translation of Orosius's Compendious History: the Amazons", "d:Description": "Excerpt from Alfred's Old English translation of the Latin text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.public.asu.edu/~gelderen/hel/orosius.html"} +{"d:Title": "Alfred. Old English version of St. Augustine's Soliloquies.", "d:Description": "Modern English translation by Henry Lee Hargrove.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.gutenberg.org/ebooks/40341"} +{"d:Title": "Life of Alfred the Great", "d:Description": "Lengthy biography produced by the magazine Orthodox England. Gives a detailed account of Alfred's life and achievements.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://orthodoxengland.org.uk/athlifea.htm"} +{"d:Title": "Alfred and the Old English Prose of His Reign", "d:Description": "Provides historical and literary analysis of Alfred's works and sums up his literary achievement.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.bartleby.com/211/0601.html"} +{"d:Title": "Alfred. Anglo-Saxon Version of Boethius's Consolation of Philosophy: Orpheus and Eurydice", "d:Description": "Excerpt from the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/Orpheus_and_Eurydice"} +{"d:Title": "Alfred. Anglo-Saxon Version of Boethius's Consolation of Philosophy: It Is Better to Suffer an Injury than to Inflict One", "d:Description": "Excerpt from the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/It_is_better_to_suffer_an_Injury_than_to_inflict_one"} +{"d:Title": "Alfred. Anglo-Saxon Version of Boethius's Consolation of Philosophy: Providence and Fate", "d:Description": "Excerpt from the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/Providence_and_Fate"} +{"d:Title": "Alfred. Anglo-Saxon Version of Boethius's Consolation of Philosophy: The Nature of God", "d:Description": "Excerpt from the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/The_Nature_of_God"} +{"d:Title": "Alfred. Translation of Gregory's Pastoral Care", "d:Description": "Excerpts from the Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/From_the_Pastoral_Care"} +{"d:Title": "Alfred", "d:Description": "Article on Alfred's life and achievements from the Columbia Encyclopedia", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "http://www.infoplease.com/encyclopedia/people/alfred.html"} +{"d:Title": "Alfred. Prologue to the Laws of King Alfred", "d:Description": "Modern English translation by Jonathan Hall.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "https://sites.google.com/site/miscelleneatheologica/home/aelfredlaw"} +{"d:Title": "Alfred. Prologue to the Laws of King Alfred", "d:Description": "Old English text.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Alfredian_Prose", "url": "https://sites.google.com/site/miscelleneatheologica/home/aelfredlawoe"} +{"d:Title": "Anglo-Saxon Chronicle: Cynewulf and Cyneheard", "d:Description": "Excerpt from the Old English text (years 754-755). Each word is hot-linked to a corresponding glossary entry.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://www.ucalgary.ca/UofC/eduweb/engl401/texts/cynewulf.htm"} +{"d:Title": "Anglo-Saxon Chronicle", "d:Description": "Modern English translation by James Ingram, published by the Avalon Project at Yale Law School. Includes an introduction and search engine.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://avalon.law.yale.edu/subject_menus/angsax.asp"} +{"d:Title": "Anglo-Saxon Chronicle: the Great Army", "d:Description": "Excerpt from the Old English text (years 866-878). Each word is hot-linked to a corresponding glossary entry.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/GreatArmyfram.html"} +{"d:Title": "Anglo-Saxon Chronicle: William the Conqueror", "d:Description": "Excerpt from the entry for year 1087. Each word of the Old English text is linked to a modern English definition.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://www.oldenglishaerobics.net/william.html"} +{"d:Title": "Anglo-Saxon Chronicle", "d:Description": "Old English text of the Parker manuscript.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://en.wikisource.org/wiki/Anglo_Saxon_Chronicle_(A-Prime)"} +{"d:Title": "Anglo-Saxon Chronicle", "d:Description": "An extract from the Old English text of the Peterborough manuscript (years 1-477).", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://en.wikisource.org/wiki/Anglo_Saxon_Chronicle_(E)"} +{"d:Title": "Anglo-Saxon Chronicle: Wars of Alfred the Great", "d:Description": "Excerpt from the Old English text (years 871, 893-897, and 901)", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://en.wikisource.org/wiki/Bright's_Anglo-Saxon_Reader/Wars_of_Alfred_the_Great"} +{"d:Title": "Anglo-Saxon Chronicle", "d:Description": "Modern English translation by James Ingram with excerpts from the J.A. Giles translation. From Britannia.com.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://www.britannia.com/history/docs/asintro2.html"} +{"d:Title": "Index to the Anglo-Saxon Chronicle", "d:Description": "A list of names and topics in the Chronicle. The entry for each item includes a link to the year or years in which the item appears in the James Ingram translation. From the Online Medieval and Classical Library.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://omacl.org/Anglo/angloindex.html"} +{"d:Title": "Anglo-Saxon Chronicle", "d:Description": "Explains the genesis of the Chronicle and its significance in English literature.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://www.bartleby.com/211/0608.html"} +{"d:Title": "Anglo-Saxon Chronicle", "d:Description": "Describes the mood and tone of the Chronicle and discusses the different manuscript versions of the annals.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://www.bartleby.com/211/0701.html"} +{"d:Title": "Anglo-Saxon Chronicle", "d:Description": "Modern English translation by J.A. Giles. Includes an introduction and index.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://www.ebooksread.com/authors-eng/j-a-john-allen-giles/the-anglo-saxon-chronicle-eli/1-the-anglo-saxon-chronicle-eli.shtml"} +{"d:Title": "Anglo-Saxon Chronicle on Alfred the Great", "d:Description": "Describes the actions of Alfred and his army against the Vikings in the years 878-886. Modern English translation.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Anglo-Saxon_Chronicle", "url": "http://sourcebooks.fordham.edu/halsall/source/angsax-chron1.asp"} +{"d:Title": "Wulfstan, Archbishop of York", "d:Description": "Discussion of Wulfstan's literary works and his place in Anglo-Saxon literary history.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Wulfstan", "url": "http://www.bartleby.com/211/0705.html"} +{"d:Title": "Wulfstan. Eschatological Homilies", "d:Description": "Contains online editions of Wulfstan's five homilies on the last days. Gives for each homily the Old English text, Latin text, glossed versions of the texts, and modern English translation by Joyce Tally Lionarons. Also provides sources and analogues, text comparisons, information on the manuscripts, and other scholarly apparatus.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Wulfstan", "url": "http://webpages.ursinus.edu/jlionarons/wulfstan/"} +{"d:Title": "Wulfstan. Sermo Lupi ad Anglos", "d:Description": "Three versions of the Old English text. From the Bibliotheca Augustana.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Wulfstan", "url": "http://www.hs-augsburg.de/~harsch/anglica/Chronology/11thC/Wulfstan/wul_intr.html"} +{"d:Title": "Wulfstan. Sermo Lupi ad anglos", "d:Description": "Old English text with words hot-linked to glossary. At the University of Calgary.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Wulfstan", "url": "http://people.ucalgary.ca/~mmcgilli/OEReader/Sermofram.html"} +{"d:Title": "Wulfstan. Sermo Lupi ad Anglos", "d:Description": "Old English text and modern English translation. Includes running commentary and background information.", "topic": "Top/Arts/Literature/World_Literature/British/Old_English/Individual_Prose_Works/Wulfstan", "url": "https://thewildpeak.wordpress.com/2014/02/17/the-sermon-of-the-wolf-to-the-english/"} +{"d:Title": "The Orlando Project", "d:Description": "An integrated history of women's writing in the British Isles.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events", "url": "http://www.artsrn.ualberta.ca/orlando/"} +{"d:Title": "The Commonwealth Writers Prize", "d:Description": "Annual award sponsored by the Commonwealth Foundation, to new writers from the British Commonwealth, for prose fiction (novel or short stories). Presents winners, events, judges, and entry details.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.commonwealthwriters.com/"} +{"d:Title": "Booktrust", "d:Description": "Designed to encourage UK readers and writers. Administers several book prizes - national and international. Database of other literary prizes. Information on grants and funding for writers. Recommended books, author profiles, literary projects, events, issues, and related links.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.booktrust.org.uk/"} +{"d:Title": "Boardman Tasker Charitable Trust", "d:Description": "Provides an annual prize to the author of a work which has made an outstanding contribution to mountain themed literature. Rules and list of previous winners.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.boardmantasker.com/"} +{"d:Title": "The CILIP Children's Book Awards", "d:Description": "The Carnegie Medal and the Kate Greenaway Medal are awarded for outstanding writing and illustration, respectively, in books for children and young people. Presented by CILIP for books first published in the UK. Background, winners, archives, and eligibility criteria.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.carnegiegreenaway.org.uk/"} +{"d:Title": "Royal Society of Literature", "d:Description": "Administers three literary awards, for nonfiction, regional novel and short story. Winners and entry requirements.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://rsliterature.org/"} +{"d:Title": "International Rubery Book Award", "d:Description": "A prestigious international book prize that seeks the best books from independent publishers, self publishers and indie authors. The Book of the Year and category winners are awarded annually.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.ruberybookaward.com/"} +{"d:Title": "International IMPAC Dublin Literary Award", "d:Description": "International literary award for new works of high literary merit in the English language. Managed by representatives of Dublin City, its Public Library System and IMPAC. Information on the award and its sponsors.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.dublinliteraryaward.ie/"} +{"d:Title": "Baileys Women's Prize for Fiction", "d:Description": "An annual award for women's fiction. It must be written in English.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.womensprizeforfiction.co.uk/"} +{"d:Title": "National Book Awards", "d:Description": "A book award owned by Cactus TV. It showcases books that are a commercial success and critically acclaimed. It is for British books only.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.nationalbookawards.co.uk/"} +{"d:Title": "The Ballie Gifford Prize", "d:Description": "UK non-fiction award.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://thebailliegiffordprize.co.uk/"} +{"d:Title": "The Costa (formerly Whitbread) Book Awards", "d:Description": "Annual awards for the best new books, by British residents, published in the UK. Poetry, novels, biographies, and children's books.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.costa.co.uk/costa-book-awards/welcome/"} +{"d:Title": "Edward Stanford Travel Writing Awards", "d:Description": "Travel writing award.", "topic": "Top/Arts/Literature/World_Literature/British/Organisations_and_Events/Awards", "url": "http://www.edwardstanfordawards.com/"} +{"d:Title": "Renaissance Forum", "d:Description": "Electronic Journal of Early-Modern Literary and Historical Studies.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance", "url": "http://www.hull.ac.uk/renforum/index.html"} +{"d:Title": "Iter: Gateway to the Middle Ages and Renaissance", "d:Description": "Iter, meaning a journey or a path in Latin, is dedicated to the advancement of learning in the study and teaching of the Middle Ages and Renaissance (400-1700) through the development and distribution of online resources.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.itergateway.org/"} +{"d:Title": "Open Source Shakespeare", "d:Description": "Perform detailed searches on Shakespeare's complete works, look up words in the concordance, and display all of a character's speeches, among other useful things.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.opensourceshakespeare.org/"} +{"d:Title": "Shakespeare Authorship", "d:Description": "A website dedicated to the proposition that William Shakespeare wrote \"Shakespeare's\" works", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://shakespeareauthorship.com/"} +{"d:Title": "Records of Early English Drama Project", "d:Description": "Web resources for theatre history, with annotated links arranged by subject.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.reed.utoronto.ca/"} +{"d:Title": "Biographical Index of English Drama Before 1660", "d:Description": "This index is a complete annotated list of all playwrights, actors, patrons, musicians, and miscellaneous other people active in English drama before 1660. Each entry contains basic information about the person's dates and dramatic activities, along with a list of books and articles containing biographical information. This index was a precursor to the Biographical Dictionary of English Drama Before 1660, which contains fuller information and narrative biographies for each person.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://shakespeareauthorship.com/bd/"} +{"d:Title": "Internet Shakespeare Editions", "d:Description": "Edited editions of Shakespeare's plays specifically made for the web.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://internetshakespeare.uvic.ca/"} +{"d:Title": "The Shakespeare Quartos Archive", "d:Description": "The Shakespeare Quartos Archive is a digital collection of pre-1642 editions of William Shakespeare's plays including a Hamlet prototype consisting of thirty-two copies of the first five editions of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.quartos.org/"} +{"d:Title": "Early Modern London Theatres (EMLoT)", "d:Description": "EMLoT features a database of pre-1642 records pertaining to eight London theatres north of the Thames. No play texts are included. Version 2 aims to include theatres south of the Thames.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://emlot.kcl.ac.uk/"} +{"d:Title": "DEEP : Database of Early English Playbooks", "d:Description": "DEEP is a database that provides information about Early Modern playbooks,including paratextual, bibliographic, and theatrical details about the original sources.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://deep.sas.upenn.edu/"} +{"d:Title": "English Broadside Ballad Archive (UCSB)", "d:Description": "A database of seventeenth-century English broadside ballads containing transcriptions and facsimile copies of the originals which are fully searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://ebba.english.ucsb.edu/"} +{"d:Title": "Henslowe-Alleyn: Home", "d:Description": "The Archive of Dulwich College in London, England, holds thousands of pages of manuscripts left to the College by its founder, the eminent actor Edward Alleyn (1566-1626). This archive includes his personal and professional papers and those he inherited from his father-in-law Philip Henslowe (d. 1616). As a group, these manuscripts comprise the largest and most important single extant archive of material on the professional theatre and dramatic performance in early modern England, the age of Shakespeare, Marlowe, Jonson, Middleton, Heywood, Dekker, Chettle, and so many of their contemporaries and colleagues.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.henslowe-alleyn.org.uk/index.html"} +{"d:Title": "Map of Early Modern London (MoEML)", "d:Description": "MoEML maps the streets, sites, and significant boundaries of late sixteenth-century and early seventeenth-century London, provides a library of markup texts rich in London references, and includes databases of sources and people.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://mapoflondon.uvic.ca/index.htm"} +{"d:Title": "The Stationers' Register", "d:Description": "The complete dramatic works of Christopher Marlowe, with casting grids and graphs for scene selection and analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.thestationersregister.com/"} +{"d:Title": "Locating London's Past", "d:Description": "Search a wide body of digital resources relating to early modern and eighteenth-century London, and to map the results on to a fully GIS compliant version of John Rocque's 1746 map. Good for locating Renaissance dramatic playhouses.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.locatinglondon.org/"} +{"d:Title": "LEME: Lexicons of Early Modern English", "d:Description": "Database of early modern lexicons and useful for reading Renaissance drama which does not have extensive glosses", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://leme.library.utoronto.ca/"} +{"d:Title": "DICER: Known Variants List", "d:Description": "A database of known variants in early modern printed materials such as Renaissance playbooks.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://corpora.lancs.ac.uk/dicer/"} +{"d:Title": "Folger Digital Texts", "d:Description": "A source for reliable, expertly edited and encoded Shakespeare texts based on the Folger Shakespeare editions in print.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://www.folgerdigitaltexts.org/"} +{"d:Title": "All Is True", "d:Description": "A research blog pertaining to the digital study of Shakespeare's works and early modern drama in general.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://mikestumpf.com/allistrue/"} +{"d:Title": "Poculi Ludique Societas", "d:Description": "Sponsors of productions of early English drama from the Medieval period to the 17th century.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://groups.chass.utoronto.ca/plspls/"} +{"d:Title": "CRRS | Web Resources", "d:Description": "Centre for Reformation and Renaissance Studies, Victoria University in the University of Toronto Look for resources for British Renaissance Drama in both the \"Renaissance\" and \"Resources\" sections.", "topic": "Top/Arts/Literature/World_Literature/British/Renaissance/Drama", "url": "http://crrs.ca/library/resources/web-resources/"} +{"d:Title": "Romantic Circles", "d:Description": "Open-ended meta-resource maintaining and encouraging links to other sites.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism", "url": "http://www.rc.umd.edu/"} +{"d:Title": "Lynch Literary Resources: Romantic", "d:Description": "Index of resources focusing on those of interest to scholars. Searchable and organized by period and topic.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism", "url": "http://andromeda.rutgers.edu/~jlynch/Lit/romantic.html"} +{"d:Title": "Cardiff Corvey: Reading the Romantic Text", "d:Description": "Cardiff Corvey is an online journal devoted to the study of Romantic-era literature.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism", "url": "http://www.cardiff.ac.uk/encap/journals/corvey/"} +{"d:Title": "International Conference on Romanticism", "d:Description": "The home page of the International Conference on Romanticism, an association of scholars.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism", "url": "http://icr.byu.edu/"} +{"d:Title": "Romanticism and Victorianism on the Net", "d:Description": "Electronic journal focused on romantic literature.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism", "url": "http://ravonjournal.org/"} +{"d:Title": "Romantic Natural History", "d:Description": "Surveying the relationships between literary works and natural history from Aristotle to Charles Darwin.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism", "url": "http://blogs.dickinson.edu/romnat/"} +{"d:Title": "Romantic Canons", "d:Description": "Annotated list of critical and theoretical works about the activity of canonizing as it arose during the Romantic era, and the concept of literary period which arose with it.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism", "url": "http://www.orgs.miamioh.edu/anthologies/canon.htm"} +{"d:Title": "Mary Wollstonecraft Shelley Chronology and Resource Site", "d:Description": "Chronology, reviews, and bibliography.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary", "url": "http://www.rc.umd.edu/reference/chronologies/mschronology/smchron1.html"} +{"d:Title": "Read Print: Mary Wollstonecraft Shelley", "d:Description": "Complete works, biography, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary", "url": "http://www.readprint.com/author-71/Mary-Wollstonecraft-Shelley-books"} +{"d:Title": "Frankenstein: A New Reality", "d:Description": "A study of the Shelley book, include biography, and critique including modern moral issues.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein", "url": "http://web.quipo.it/frankenstein"} +{"d:Title": "A Frankenstein Study", "d:Description": "Essays on the classic and its themes.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein", "url": "http://www.watershedonline.ca/literature/frankenstein/frankenstein.html"} +{"d:Title": "Frankenstein: The Modern Prometheus", "d:Description": "Synopsis of chapters with illustrations and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein", "url": "http://www.nlm.nih.gov/frankenstein/preface.html"} +{"d:Title": "My Hideous Progeny: Mary Shelley's Frankenstein", "d:Description": "Information on Shelley, Frankenstein and the Gothic period.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein", "url": "http://www.maryshelley.nl/"} +{"d:Title": "Frankenstein", "d:Description": "HTML version, complete.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein/Full_and_Part_Texts", "url": "http://www.pagebypagebooks.com/Mary_Wollstonecraft_Shelley/Frankenstein/"} +{"d:Title": "Literature Network: Frankenstein", "d:Description": "HTML version, complete.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein/Full_and_Part_Texts", "url": "http://www.online-literature.com/shelley_mary/frankenstein/"} +{"d:Title": "Frankenstein", "d:Description": "Complete work in text format.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein/Full_and_Part_Texts", "url": "http://www.umich.edu/~umfandsf/other/ebooks/frank10.txt"} +{"d:Title": "Mary Shelley - Frankenstein", "d:Description": "The Online Literature Library's etext divided into chapters.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Frankenstein/Full_and_Part_Texts", "url": "http://www.literature.org/authors/shelley-mary/frankenstein/"} +{"d:Title": "The Last Man - Mary Shelley", "d:Description": "A hypertext version by Steven E. Jones.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Last_Man,_The", "url": "http://www.rc.umd.edu/editions/mws/lastman/"} +{"d:Title": "This Mortal Immortal", "d:Description": "Complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Romanticism/Shelley,_Mary/Works/Mortal_Immortal,_The", "url": "http://www.sff.net/people/DoyleMacdonald/l_mortal.htm"} +{"d:Title": "Shakespeare Illustrated", "d:Description": "Nineteenth-century paintings, criticism, theatrical productions, and influences of the plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.english.emory.edu/classes/Shakespeare_Illustrated/Shakespeare.html"} +{"d:Title": "The Shakespeare Resource Center", "d:Description": "Synopses of plays, authorship debates, and a Shakespeare store.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.bardweb.net/"} +{"d:Title": "Shakespeare Online", "d:Description": "Links to other Shakespeare sites.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://shakespeareonline.freeservers.com/"} +{"d:Title": "Shakespeare Index", "d:Description": "An index of articles.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.theatrehistory.com/british/shakespeare.html"} +{"d:Title": "Touchstone", "d:Description": "The British Library's research tool for Shakespeare in the United Kingdom. Information on productions, resources, meetings, groups, and questions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.touchstone.bham.ac.uk/"} +{"d:Title": "REED Presents: Shakespeare", "d:Description": "Full texts, life and times, theatre associations, links to other Shakespeare sites. From the University of Victoria.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.chass.utoronto.ca/~reed/stage.html#shakesp"} +{"d:Title": "Find A Grave", "d:Description": "Images of Shakespeare's grave.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1450"} +{"d:Title": "The Cleveland Press Shakespeare Photographs", "d:Description": "Hundreds of images of Shakespeare productions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.ulib.csuohio.edu/shakespeare/index.html"} +{"d:Title": "Materials for the Construction of Shakespeare's Morals", "d:Description": "The selected materials reflect the texts that Shakespeare responds to in Renaissance discourse. From Ben R. Schneider, Jr., Professor Emeritus of English at Lawrence University.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.stoics.com/"} +{"d:Title": "Shakespeare and the French Poet: An Interview with Yves Bonnefoy", "d:Description": "Yves Bonnefoy, a translator of Shakespeare into French, discusses the art of translating Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.press.uchicago.edu/Misc/Chicago/064433.html"} +{"d:Title": "USC LibGuides: William Shakespeare", "d:Description": "Extensive collection of resources of Shakespeare and his works, compiled by Anthony Anderson, a librarian at the University of Southern California.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://libguides.usc.edu/content.php?pid=101819&sid=765604"} +{"d:Title": "Drafts of Early Texts", "d:Description": "Transcriptions of the plays as they appeared when originally published; study guides.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://internetshakespeare.uvic.ca/Annex/DraftTxt/"} +{"d:Title": "Internet Shakespeare Editions", "d:Description": "Resources, including fully annotated texts of plays and poems, multimedia materials and records of plays in performance, and searchable pages devoted to the history, arts, politics, society, and stage of Shakespeare's world, as well as biographical details of his life.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://internetshakespeare.uvic.ca/"} +{"d:Title": "World of Shakespeare", "d:Description": "Shakespeare and the English Renaissance (1564 \u2013 1616)", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.worldofshakespeare.com/"} +{"d:Title": "Internet Resources", "d:Description": "Websites that address Shakespeare specifically.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://personal.colby.edu/personal/l/leosborn/411.html"} +{"d:Title": "Illinois Shakespeare Festival", "d:Description": "Information on performances and season schedule.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://illinoisshakes.com/"} +{"d:Title": "Shakespeare Society of America", "d:Description": "To provide programs which inspire education and personal development through the Works of William Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare", "url": "http://www.shakespearesocietyofamerica.org/"} +{"d:Title": "Ron Heisler - Shakespeare and the Ethos of the Rosicrucians", "d:Description": "Two Worlds that Converged: Shakespeare and the Ethos of the Rosicrucians. More interesting connections between early English Rosicrucianism, Shakespeare, and various Elizabethans in London.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://levity.com/alchemy/h_shake.html"} +{"d:Title": "Shakespeare Resource Center: The Great Debate", "d:Description": "Thumbnail sketches of various alternative candidates for the authorship role.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://www.bardweb.net/debates.html"} +{"d:Title": "Shakespeare's Unorthodox Biography", "d:Description": "Author's web site. Describes Diana Price's book \"Shakespeare's Unorthodox Biography - New evidence of an Authorship Problem\" and her lecture series.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://www.shakespeare-authorship.com/"} +{"d:Title": "Shakespeare Authorship Roundtable", "d:Description": "Aiming to offer an opportunity for the independent scholar to be heard by a critical but receptive audience.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://shakespeareauthorship.org/"} +{"d:Title": "Poets of the Queen", "d:Description": "Review of the Steven W. May book, The Elizabethan Courtier Poets: Their Poems and Their Contexts.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://stromata.tripod.com/id85.htm"} +{"d:Title": "Querulous Notes", "d:Description": "Thoughts, comments and speculations on Shakespearean authorship.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://stromata.tripod.com/id19.htm"} +{"d:Title": "Querulous Notes (2004)", "d:Description": "Discussion of The University of Tennessee College of Law, which makes it possible for lawyers to fulfill almost their full continuing legal education obligation by listening to talks about the authorship \"debate\".", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://stromata.tripod.com/id459.htm"} +{"d:Title": "Querulous Notes: New Shakespeare Records", "d:Description": "From 2002-2003, background on new Shakespearean discoveries.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://stromata.tripod.com/id317.htm"} +{"d:Title": "Is Shakespeare Dead?", "d:Description": "Mark Twain's article explaining that while he isn't sure who Shakespeare was, he is quite sure who he wasn't.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://www.pagebypagebooks.com/Mark_Twain/Is_Shakespeare_Dead/"} +{"d:Title": "Shakespeare Authorship Research Centre", "d:Description": "Primary goal isdetermining who the Shakespeare writer was and exploring why he wrote anonymously and pseudonymously.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://www.authorshipstudies.org/conference/index.cfm"} +{"d:Title": "Looking for Shakespeare", "d:Description": "Articles from two authors on opposing sides of the debate about the true identity of the author of the works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship", "url": "http://www.theatlantic.com/past/docs/unbound/flashbks/shakes/webintr.htm"} +{"d:Title": "Thomas of Woodstock", "d:Description": "An article by Mark K. Anderson.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Apocryphal_Plays", "url": "http://www.shakespeare-oxford.com/?p=41"} +{"d:Title": "Palamon and Arcite", "d:Description": "An article by Katherine Chiljan.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Apocryphal_Plays", "url": "http://www.shakespeare-oxford.com/?p=40"} +{"d:Title": "Shakespeare Society of America, 1986, Edward III", "d:Description": "The Joseph H. Stodder review, \"Three Apocryphal Plays in Los Angeles.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Apocryphal_Plays", "url": "http://internetshakespeare.uvic.ca/Library/Texts/Edw/"} +{"d:Title": "Authorship Analysis (Contents)", "d:Description": "Francis Bacon as Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://fly.hiwaay.net/~paul/"} +{"d:Title": "Sir Francis Bacon's New Advancement of Learning", "d:Description": "Seeks to prove how and why Sir Francis Bacon wrote the works. With links.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.sirbacon.org/"} +{"d:Title": "Chronology Related to Francis Bacon's Life", "d:Description": "Chronology of Bacon's life, mingling known facts with suppositions that he was a son of Queen Elizabeth and Robert Dudley, Earl of Essex.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.sirbacon.org/links/chronos.html"} +{"d:Title": "Baconian Evidence for Shakespeare Authorship", "d:Description": "Summarizes the evidence that argues Sir Francis Bacon wrote Shakespeare's works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.sirbacon.org/links/evidence.htm"} +{"d:Title": "The Bacon-Shakespeare Essays of Mather Walker", "d:Description": "Ancient wisdom themes are discussed, with additional essays on Shakespeare authorship.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.sirbacon.org/Matherpage.htm"} +{"d:Title": "The Shakespeare-Bacon Theory", "d:Description": "Analysis of the theory that the plays of William Shakespeare were actually written under pseudonym by Lord Chancellor Francis Bacon.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.theatrehistory.com/british/shakespeare030.html"} +{"d:Title": "Shake-n-Bacon: An Authorship Analysis", "d:Description": "Francis Bacon as Shake-speare. A scholarly pastiche.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://fly.hiwaay.net/~paul/outline.html"} +{"d:Title": "Sir George and his Bacon-Shakespeare Interests", "d:Description": "An account of Sir George Trevelyan by Peter Dawkins.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.sirgeorgetrevelyan.org.uk/mem-dawkins2.html"} +{"d:Title": "The Infinite Book Navigation", "d:Description": "A survey of candidates, mostly dealing with Bacon.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.shakespearemag.com/bookstore/authorship.asp"} +{"d:Title": "Bacon is Shakespeare", "d:Description": "A weblog devoted to the Baconian position.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://baconisshakespeare.blogspot.com/"} +{"d:Title": "Francis Bacon, Last of the Tudors", "d:Description": "A 1921 work by Amelie Deventer Von Kunow that analyzes the plays attributed to Shakespeare, and argues that Bacon was the true author.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.sirbacon.org/vonkunow.html"} +{"d:Title": "The Philosophy of Wonder", "d:Description": "Excerpt from the book by Robert Theobald, which locates the Baconian philosophy in Shakespeare's works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.sirbacon.org/wonder.htm"} +{"d:Title": "Shakespeare, Bacon and the Great Unknown by Lang", "d:Description": "Ebook downloadable in several formats.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://manybooks.net/titles/langetext04sbun10.html"} +{"d:Title": "The Code that Failed: Testing a Bacon-Shakespeare Cipher", "d:Description": "An introduction to a cipher system found in the works of William Shakespeare by Terry Ross.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://shakespeareauthorship.com/bacpenl.html#1"} +{"d:Title": "Bacon: Manes Translation", "d:Description": "The translation is intended to offer proof of Bacon's poetic skills.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.baconscipher.com/ManesTrans.html"} +{"d:Title": "Cryptographic Shakespeare", "d:Description": "Argues that Bacon wrote Shakespeare's works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.baconscipher.com/index.html"} +{"d:Title": "Looking For Shakespeare - 91.10", "d:Description": "The controversy briefly covered in \"The Atlantic Monthly\".", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Baconians", "url": "http://www.theatlantic.com/magazine/archive/1991/10/looking-for-shakespeare/306481/"} +{"d:Title": "William Shakespeare's Identity: The Authorship Problem", "d:Description": "The mysteries surrounding the authorship debate, and the various theories.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Conspiracy_Theories", "url": "http://www.william-shakespeare.info/william-shakespeare-identity-problem.htm"} +{"d:Title": "Who Wrote the Works?", "d:Description": "Selection of articles on the authorship question.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Conspiracy_Theories", "url": "http://www.baconscipher.com/WWTW.html"} +{"d:Title": "Shakespeare's Unorthodox Biography: New Evidence of an Authorship Problem", "d:Description": "A review of the Diana Price book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Conspiracy_Theories", "url": "http://stromata.tripod.com/id115.htm"} +{"d:Title": "The URL of Derby", "d:Description": "Promotes the candidacy of William Stanley, the sixth Earl of Derby.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Derbyites", "url": "http://www.rahul.net/raithel/Derby/"} +{"d:Title": "William Stanley as Poet and Playwright: The URL of Derby", "d:Description": "William Stanley's environment is used to build a case for authorship of Shakespeare's works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Derbyites", "url": "http://www.rahul.net/raithel/Derby/williamstanley.html"} +{"d:Title": "Bartleby: Marlowe and Shakespeare", "d:Description": "Eliot's essay on Marlowe from his classic volume \"The Sacred Wood.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Marlovians", "url": "http://www.bartleby.com/200/sw8.html"} +{"d:Title": "Marlowe Society", "d:Description": "Argues that Marlowe was the true author of the plays which bear Shakespeare's name.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Marlovians", "url": "http://www.marlowe-society.org/"} +{"d:Title": "The Marlowe Lives Association", "d:Description": "Organization offering newsletter subscriptions. Includes articles, links, and events.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Marlovians", "url": "http://www.marlovian.com/"} +{"d:Title": "PBS Frontline: Much Ado About Something", "d:Description": "Includes discussion, teacher's guide, web resources and a related report.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Marlovians", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/muchado/"} +{"d:Title": "Sixteenth Century Renaissance English Literature (1485-1603)", "d:Description": "A guide to British literature of the Renaissance with over 100 original pages, biographies, and works never before published on the web. Also includes several hundred links to additional resources.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.luminarium.org/renlit/"} +{"d:Title": "Edward de Vere, Earl of Oxford (1550-1604)", "d:Description": "Edward De Vere, Earl of Oxford, Renaissance English poet and courtier. Life, works, resources. At Luminarium.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.luminarium.org/renlit/devere.htm"} +{"d:Title": "The Dark Side of Shakespeare", "d:Description": "Authorship of Shakespeare's works, including information on the 17th Earl of Oxford's travels, spying, and epic heraldry adventures.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://home.earthlink.net/~beornshall/index.html"} +{"d:Title": "The Shakespeare Mystery", "d:Description": "WGBH's TV program exploring the Shakespeare authorship question. Tapes and transcripts available.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.pbs.org/wgbh/pages/frontline/shakespeare/"} +{"d:Title": "Shakespeare: Who Was He? The Oxford Challenge to the Bard of Avon", "d:Description": "Paul Franssen's review of the Richard F. Whalen book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://shakespeare.let.uu.nl/oxford.htm"} +{"d:Title": "Was Oxford Shakespeare?", "d:Description": "A computer-aided analysis of the commonalities of style in de Vere and Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.shakespeareauthorship.com/elval.html"} +{"d:Title": "Shakespeare and the Globe: Then and Now", "d:Description": "The Encyclopedia Britannica synopsis of the Shakespeare-Oxford debate.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://search.eb.com/shakespeare/micro/445/69.html"} +{"d:Title": "Oxfordian Theory", "d:Description": "Background on the theory.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.fact-index.com/o/ox/oxfordian_theory.html"} +{"d:Title": "Edward de Vere: The Man Who Wrote Shakespeare", "d:Description": "A poem on the authorship debate, by Michael J. Farrand.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.empirecontact.com/narrative/devere.html"} +{"d:Title": "Novel Oxfords: Two Fictive Biographies Presenting Edward de Vere as \"Shakespeare\"", "d:Description": "Peter Morton analyzes Andrew Field's The Lost Chronicle of Edward de Vere (1990), and Absent Thee from Felicity (1975), by Rhoda Henry Messner.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://extra.shu.ac.uk/emls/05-2/mortoxf.htm"} +{"d:Title": "The De Vere Society", "d:Description": "Promoting the view that Oxford was the true author of Shakespeare's works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.deveresociety.co.uk/"} +{"d:Title": "Alias Shakespeare", "d:Description": "A review of the Joseph Sobran book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://stromata.tripod.com/id116.htm"} +{"d:Title": "The Gray Lady Flirts With the Earl of Oxford", "d:Description": "Dissects the errors in an analysis of the authorship debate by the New York Times.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://stromata.tripod.com/id284.htm"} +{"d:Title": "From Mapplethorpe to Oxenford", "d:Description": "Examines the possible misinformation about Shakespearean authorship presented as fact by the National Endowment for the Arts.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://stromata.tripod.com/id468.htm"} +{"d:Title": "Querulous Notes: The Marginalia of Edward de Vere\u2019s Geneva Bible", "d:Description": "An analysis of Roger Stritmatter's dissertation.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://stromata.tripod.com/id459_february_3_2004.htm"} +{"d:Title": "Querulous Notes: The Marginalia of Edward de Vere\u2019s Geneva Bible Part II", "d:Description": "Further analysis of the dissertation.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://stromata.tripod.com/id459_january_20_2004.htm"} +{"d:Title": "Shakespeare's Bad Law", "d:Description": "Mark Alexander looks at the history and scholarship on the issue of Shakespeare's knowledge of the law.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.shakespeare-oxford.com/?p=22"} +{"d:Title": "Who Was Shakespeare?", "d:Description": "James Hammond's argues in favor of Oxford as the author of Shakespeare's works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.ljhammond.com/essays/shak1.htm"} +{"d:Title": "Authorship Page", "d:Description": "Professor Alan Nelson's site includes all 76 of Oxford's letters and a great deal of other information, together with his ideas on why Oxford could not have been Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://socrates.berkeley.edu/~ahnelson/authorsh.html"} +{"d:Title": "Oxford's Spelling", "d:Description": "The distinctive orthography of Edward de Vere 17th earl of Oxford.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://socrates.berkeley.edu/~ahnelson/oxspell.html"} +{"d:Title": "The Shakespeare Fellowship", "d:Description": "News, resources and discussion boards on the Shakespeare Authorship question with special emphasis on Edward de Vere, 17th Earl of Oxford.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.shakespeareoxfordfellowship.org/"} +{"d:Title": "Brame&Popova Attributing Shakespeare Works to de Vere", "d:Description": "Short article about using linguistics to argue for de Vere's authorship.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians", "url": "http://www.washington.edu/news/2003/01/23/fingerprints-shed-light-on-shakespeare-works/"} +{"d:Title": "Elizabethan Heraldry", "d:Description": "Home page of a site on Elizabethan Heraldry and Coats of Arms.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Oxfordians/De_Vere,_Edward", "url": "http://elizabethan.org/"} +{"d:Title": "Folger Shakespeare Library", "d:Description": "Covering the library, visitor information, exhibitions, museum shop, teaching Shakespeare theatre productions, poetry, the Folger Consort, PEN/Faulkner readings and lectures.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://www.folger.edu/"} +{"d:Title": "Shakespeare Authorship", "d:Description": "This page is dedicated to the proposition that Shakespeare wrote Shakespeare. Anti-Oxfordian arguments from a traditional point of view.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://shakespeareauthorship.com/"} +{"d:Title": "Authorship of Shakespeare's Plays", "d:Description": "Evidence that suggests Shakespeare did write the plays attributed to him.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://web.cn.edu/kwheeler/shake_did_write_plays.html"} +{"d:Title": "Who Wrote Shakespeare's Plays?", "d:Description": "Presents common arguments for and against Shakespeare's authorship, concluding that Shakespeare wrote the plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://www.uwgb.edu/dutchs/pseudosc/hidncode.htm"} +{"d:Title": "Debunketh Shakespeare?", "d:Description": "Brief essay favoring Stratford.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://members.shaw.ca/chazzpeppin/shakespeare.html"} +{"d:Title": "Shakespearean Authorship", "d:Description": "A pro-Stratfordian position.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://www.fact-index.com/s/sh/shakespearean_authorship.html"} +{"d:Title": "History Of William Shakespeare", "d:Description": "Two short sketches: background on authorship; a biography.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://www.angelfire.com/journal/WillShakespeare/WilliamShakespeare10.html"} +{"d:Title": "Much Ado About Nothing?", "d:Description": "Examining the Shakespeare authorship debate.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://www.ericchrist.com/non-fiction/shakespeare.htm"} +{"d:Title": "Shakespeare of Stratford, Un Bourgeios Gentilhomme?", "d:Description": "Analyzes the anti-Stratfordian position that William Shakespeare was too prosperous, contented and bourgeois a figure ever to have written poems like Shakespeare\u2019s Sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://stromata.tripod.com/id288_march_11_2002.htm"} +{"d:Title": "Querulous Notes: New Shakespeare Records 2003", "d:Description": "An update circa August 2003.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://stromata.tripod.com/id317_january_5_2003.htm"} +{"d:Title": "Querulous Notes: Shakespeare and Roman Catholicism", "d:Description": "Anti-Stratfordian Peter W. Dickson obtains a forum in The Weekly Standard for his thesis that Shakespeare of Stratford's (alleged) Roman Catholicism disproves his authorship of the works that bear his name.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://stromata.tripod.com/id459_february_15_2004.htm"} +{"d:Title": "Querulous Notes: Defense of Stratfordianism", "d:Description": "Refutes evidence presented in Joseph Sobran's annual column.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://stromata.tripod.com/id317_april_27_2002.htm"} +{"d:Title": "Querulous Notes (March 2002)", "d:Description": "The first in an occasional series analyzing a new anti-Stratfordian tract.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://stromata.tripod.com/id288_march_16_2002.htm"} +{"d:Title": "Querulous Notes: The Pro-Stratfordian Position", "d:Description": "Refutation of some recent anti-Stratfordian works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://stromata.tripod.com/id317_october_10_2002.htm"} +{"d:Title": "Authorship Page", "d:Description": "Evidence in favor of Shakespeare; background on Edward de Vere and others who are part of the authorship debate.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://socrates.berkeley.edu/~ahnelson/authorsh.html"} +{"d:Title": "Eight Witnesses to Shakespeare", "d:Description": "Private notes, book-lists, and title-page inscriptions that evince Shakespeare's reputation as the author of his works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://socrates.berkeley.edu/~ahnelson/witness.html"} +{"d:Title": "George Buc: The Man Who Knew Shakespeare", "d:Description": "Evidence in favor of Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://socrates.berkeley.edu/~ahnelson/BUC/discuss.html"} +{"d:Title": "Monstrous Adversary: The Life of Edward De Vere, 17th Earl of Oxford", "d:Description": "Thomas A. Pendleton's review of the Alan H. Nelson book, which argues in favor of Shakespeare's authorship.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://socrates.berkeley.edu/~ahnelson/snl.html"} +{"d:Title": "PBS and Rubbo on Marlowe as Shakespeare: A Reply", "d:Description": "Commentary on the Diana Price appearance in the program.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://socrates.berkeley.edu/~ahnelson/pbs.html"} +{"d:Title": "Shakespeare and Literacy", "d:Description": "Commentary on literacy amongst actors during Shakespeare's time, and whether it might be used as a determining factor in the authorship debate.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://socrates.berkeley.edu/~ahnelson/literacy.html"} +{"d:Title": "Who actually wrote Shakespeare?", "d:Description": "A pro-Stratfordian essay.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://shakespeareauthorship.com/michell.html"} +{"d:Title": "Why I Reject the Shakespearean Heresies", "d:Description": "by Robert Englert.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://www.theuniversityconcourse.com/article/1847.html"} +{"d:Title": "The Case for Shakespeare - 91.10", "d:Description": "Stratfordian article by Irvin Matus, from Atlantic Monthly, Oct. 1991.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://www.theatlantic.com/past/docs/unbound/flashbks/shakes/matus.htm"} +{"d:Title": "Shakespeare Scholars Discuss Whether the Bard was a Beard", "d:Description": "Stanford Report, January 9, 2002.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Authorship/Stratfordians", "url": "http://news.stanford.edu/news/2002/january9/shakespeare-a.html"} +{"d:Title": "The Life of William Shakespeare", "d:Description": "Links and a biographical sketch of his life.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://www.angelfire.com/fl4/Shakespeare/index.html"} +{"d:Title": "Well Furlong - William Shakespeare", "d:Description": "A short account of his early life in Stratford, arrival in London, and death. Includes a discussion on authorship and a list of recommended biographies.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://wellfurlong.co.uk/theatre/shakespe.htm"} +{"d:Title": "William Shakespeare Life Facts and Timeline", "d:Description": "Shakespeare and his family in public records such as Registrar and Court records, wills, baptism, marriage and Death certificates.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://www.william-shakespeare.info/william-shakespeare-facts.htm"} +{"d:Title": "The Seven Ages of Shakespeare's Life", "d:Description": "Biography; links to other biographical sites on Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://ise.uvic.ca/Library/SLTnoframes/life/lifesubj.html"} +{"d:Title": "Would You Believe: Sergeant Shakespeare?", "d:Description": "Joe Conlon's hypothesis of Shakespeare's possible military activity.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/files/SERGEANT%20SHAKSPER.txt"} +{"d:Title": "Books at Stratford", "d:Description": "Very brief sketch and bibliographical citation that suggests Shakespeare's birthplace was a town with books.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://socrates.berkeley.edu/~ahnelson/books.html"} +{"d:Title": "Stratford-on-Avon: William Shakespeare", "d:Description": "Biography of the playwright, and information on Stratford.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://www.stratford-upon-avon.co.uk/soawshst.htm"} +{"d:Title": "Educating Shakespeare", "d:Description": "Information about his schooldays and life in Tudor England.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Biographical", "url": "http://www.likesnail.org.uk/welcome-es.htm"} +{"d:Title": "Shaksper-L Archive", "d:Description": "Discussion list archive.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Chats_and_Forums", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/shak-L.html"} +{"d:Title": "Shakespeare's Monologues", "d:Description": "Categorized lists of the monologues with play, act, scene, and line numbers.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://www.shakespeare-monologues.org/"} +{"d:Title": "Shakespeare Institute Library", "d:Description": "From the University of Birmingham.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://www.shakespeare.bham.ac.uk/"} +{"d:Title": "Shakespeare Stack Project", "d:Description": "Macintosh hyperCard editions of the works and annotations downloadable in binhex format.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://members.tripod.com/~Mark_Zimmermann/Shakespeare/ShakespeareStacks.html"} +{"d:Title": "Shakespeare High", "d:Description": "Messageboard, tutorials, and quizzes for students. Lesson plans for teachers.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://www.shakespearehigh.com/"} +{"d:Title": "No Sweat Shakespare", "d:Description": "Modernized adaptations written as teenage novels.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://www.nosweatshakespeare.com/"} +{"d:Title": "Shakespeare's Life and Times", "d:Description": "Plot summaries, life, background on Elizabethan stage, and the social, historical, and artistic context of the time.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://internetshakespeare.uvic.ca/Library/SLT"} +{"d:Title": "Folger Library Teaching Shakespeare", "d:Description": "Lesson plans, workshops and festivals.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://www.folger.edu/template.cfm?cid=618"} +{"d:Title": "ESL Desk: William Shakespeare", "d:Description": "Turns the text of books into an online reader.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://www.esldesk.com/reading/shakespeare"} +{"d:Title": "Triangulating Shakespeare", "d:Description": "Teaching materials - online syllabi, lecture notes, and critical essays - as well as student work, papers, pictures, and performances on RealPlayer video clips.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education", "url": "http://cola.calpoly.edu/~smarx/Shakespeare/triang/index.html"} +{"d:Title": "ShakespeareNet", "d:Description": "Character lists and plot summaries.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education/Study_Guides", "url": "http://www.shakespearenet.net/"} +{"d:Title": "Shakespeare Online", "d:Description": "Character analysis, plot synopsis, sonnets, sources used by Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education/Study_Guides", "url": "http://www.shakespeare-online.com/"} +{"d:Title": "The Shakespeare Revue", "d:Description": "Full text to the plays; short synopsis of each play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education/Study_Guides", "url": "http://www.shakespeare-revue.com/"} +{"d:Title": "Surfing with the Bard", "d:Description": "Public discussion, student guides to selected plays, and links to other resources.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education/Study_Guides", "url": "http://www.shakespearehigh.com/library/surfbard/"} +{"d:Title": "About: Shakespeare", "d:Description": "Articles, FAQs, quotations, student resources.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education/Study_Guides", "url": "http://shakespeare.about.com/"} +{"d:Title": "Shakespeare Navigators", "d:Description": "Study guides. Includes summaries, character reviews, and theme analyses.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education/Study_Guides", "url": "http://shakespeare-navigators.com/"} +{"d:Title": "Shake Sphere", "d:Description": "Study Guides for the Complete Works of Shakespeare. Features, plot summaries, themes, analysis, glossaries, and study questions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Education/Study_Guides", "url": "http://www.shakespearestudyguide.com/"} +{"d:Title": "Shakespeare Parodies", "d:Description": "Hamlet, Macbeth, and King Lear.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Humor", "url": "http://www.shakespeare-parodies.com/"} +{"d:Title": "A Midsummer's Nightmare, with apologies to William Shakespeare", "d:Description": "A parody by Linda R. Barlow.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Humor", "url": "http://www.monash.com/buffy_parody.html"} +{"d:Title": "Book-A-Minute Classics", "d:Description": "Humorous lampooning of Shakespeare via ultra-condensation.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Humor", "url": "http://www.rinkworks.com/bookaminute/classics.shtml"} +{"d:Title": "Klingon Shakespeare Restoration Project", "d:Description": "Shakespeare's plays translated into Klingon.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Humor", "url": "http://www.kli.org/stuff/projects.html#KSRP"} +{"d:Title": "Shakespearean Insulter", "d:Description": "Randomly generated insults.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Interactive", "url": "http://www.pangloss.com/seidel/Shaker/"} +{"d:Title": "Shakespearean Insults", "d:Description": "Randomly generated insults.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Interactive", "url": "http://ggl1.tripod.com/insultgenerator.htm"} +{"d:Title": "Atomic Shakespeare", "d:Description": "Users search the full text of Shakespeare's plays, then use keywords to connect passages and build a new text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Interactive", "url": "http://atomicshakespeare.com/"} +{"d:Title": "Are You Shakespearienced?", "d:Description": "A Shakespeare quiz.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Interactive", "url": "http://courses.missouristate.edu/titabaumlin/shpag3.html"} +{"d:Title": "Cascoly Shakespeare Trivia", "d:Description": "Interactive trivia quiz.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Interactive", "url": "http://www.cascoly.com/trivshak.asp"} +{"d:Title": "Shakespearean Insults Generator", "d:Description": "An automatic generator of Elizabethan insults that uses the words of William Shakespeare", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Interactive", "url": "http://www.literarygenius.info/a1-shakespearean-insults-generator.htm"} +{"d:Title": "The Shakespeare Society of Japan", "d:Description": "An academic organization promoting the study of the works of William Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.s-sj.org/"} +{"d:Title": "RSC - Royal Shakespeare Company", "d:Description": "Current and future productions, ticket sales, merchandising, and educational resources.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.rsc.org.uk/"} +{"d:Title": "The Folger Shakespeare Library", "d:Description": "Links to Renaissance Circle Memberships, library membership, news on library projects and exhibitions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.folger.edu/"} +{"d:Title": "The Shakespeare Birthplace Trust", "d:Description": "Educational charity that promotes the study of Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.shakespeare.org.uk/"} +{"d:Title": "Shakespeare Society", "d:Description": "Information on the society and its events, with forum, quotes and links.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.shakespearesociety.org/"} +{"d:Title": "British Shakespeare Association", "d:Description": "Visitors may join a free mailing list.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.britishshakespeare.ws/"} +{"d:Title": "Shakespeare Society of the Low Countries", "d:Description": "Information on the society.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://shakespeare.let.uu.nl/sgnveng.htm"} +{"d:Title": "The Shakespeare Institute", "d:Description": "University of Birmingham.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.shakespeare.bham.ac.uk/"} +{"d:Title": "Shaksper", "d:Description": "Directory of Shakespearean organizations, institutes, projects and journals. Last revised February 14, 1998.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/files/DIRECTRY%20INSTITUT.txt"} +{"d:Title": "The German Shakespeare Society", "d:Description": "Information about the society and its conferences and publications. [German/English]", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.shakespeare-gesellschaft.de/"} +{"d:Title": "ANZSA", "d:Description": "Australian and New Zealand Shakespeare Association.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.anzsa.org/"} +{"d:Title": "Shakespeare Association of America", "d:Description": "Organization devoted to the study of William Shakespeare and his plays and poems.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.shakespeareassociation.org/"} +{"d:Title": "The Arden Shakespeare on the Internet", "d:Description": "Newsletter, links to Shakespeare sites, and excerpts from their Shakespeare editions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Organizations", "url": "http://www.bloomsbury.com/uk/academic/academic-subjects/drama-and-performance-studies/the-arden-shakespeare/"} +{"d:Title": "Shakespeare Insults", "d:Description": "Acidic quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Quotations", "url": "http://www.insults.net/html/shakespeare/index.html"} +{"d:Title": "William Shakespeare Quotes and Quotations", "d:Description": "Quotations indexed by play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Quotations", "url": "http://www.william-shakespeare.info/william-shakespeare-quotes.htm"} +{"d:Title": "William Shakespeare Quotes - The Quotations Page", "d:Description": "About 26 pages of quotations.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Quotations", "url": "http://www.quotationspage.com/quotes/William_Shakespeare/"} +{"d:Title": "William Shakespeare Quotes", "d:Description": "Quotes from plays, sonnets and poems. Special insults quotes section.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Quotations", "url": "http://www.allgreatquotes.com/shakespeare_quotes.shtml"} +{"d:Title": "Hamlet: The Undiscovered Country by Steve Roth", "d:Description": "Book discussing unresolved issues in the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://princehamlet.com/"} +{"d:Title": "The Public-Domain Shakespeare", "d:Description": "A paper by Ian Lancashire of the Department of English University of Toronto.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.library.utoronto.ca/utel/ret/mla1292.html"} +{"d:Title": "Leavis on Shelley and Shakespeare", "d:Description": "A brief comparison of some lines from \"The Cenci\" with lines from \"Measure for Measure\".", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://unix.cc.wmich.edu/~cooneys/tchg/440/cenci.html"} +{"d:Title": "Was Shakespeare an Atheist?", "d:Description": "We will never know. You can find hints that he may have been, or at least that he wasn't totally fond of religion and its orthodox practitioners, from his plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.2think.org/shakespeare-atheist.shtml"} +{"d:Title": "Shakespeare in Connotations", "d:Description": "Complete list of contributions to the first seven volumes. Highlighted articles and responses available online.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.uni-saarland.de/fak4/fr43/connotations/"} +{"d:Title": "Alternative Shakespeares Volume 2", "d:Description": "Mark Dooley reviews the Terence Hawkes book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v3no2/dooley.htm"} +{"d:Title": "Poetry and the Realm of Politics: Shakespeare to Dryden", "d:Description": "Robert C. Evans reviews the Howard Erskine-Hill book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v3no1/evans.htm"} +{"d:Title": "Shakespeare the Historian", "d:Description": "David Hale reviews the Paola Pugliatti book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v1no2/hale.htm"} +{"d:Title": "Shakespeare, Spenser, and the Crisis in Ireland", "d:Description": "Tracey Hill reviews two books: Shakespeare, Spenser, and the Crisis in Ireland, by Christopher Highley; Spenser's Irish Experience: Wilde Fruite and Salvage Soyl, Andrew Hadfield.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v3no2/hill.htm"} +{"d:Title": "English Drama 1586-1642: The Age of Shakespeare", "d:Description": "Glen Mynott reviews the G.K. Hunter book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v4no2/mynott.htm"} +{"d:Title": "The Shakespearean Marriage: Merry Wives and Heavy Husbands", "d:Description": "Anita Pacheco reviews the Lisa Hopkins book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v3no2/pacheco.htm"} +{"d:Title": "The Biblical Presence in Shakespeare, Milton and Blake: A Comparative Study", "d:Description": "Thomas Rist reviews the Harold Fisch book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v4no2/rist.htm"} +{"d:Title": "Representing Shakespeare: England, History and the RSC", "d:Description": "Michael Scott reviews the Robert Shaughnessy book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v1no1/scott.htm"} +{"d:Title": "Materialist Shakespeare: A History", "d:Description": "David Siar reviews the Ivo Kamps book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.hull.ac.uk/renforum/v2no1/siar.htm"} +{"d:Title": "Shaksper: Global Shakespeare Conference", "d:Description": "Provides reference materials, new papers, a listserv, and scholarly criticism on new works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.shaksper.net/"} +{"d:Title": "The Secret of Shakespeare's Irish Sympathies", "d:Description": "An essay on Shakespeare's personal life, by Charles Wisner Barrell.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.sourcetext.com/sourcebook/library/barrell/03Irish.htm"} +{"d:Title": "Shakespear Navigators: Shakespearean Tragedy by A. C. Bradley", "d:Description": "Complete text of the classic of criticism. Includes author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.shakespeare-navigators.com/bradley"} +{"d:Title": "Shakespeare the Player: A Life in the Theatre", "d:Description": "A review of the John Southworth book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://stromata.tripod.com/id300.htm"} +{"d:Title": "Allreaders William Shakespeare Spotlight", "d:Description": "Short reviews of selected plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://allreaders.com/books/william-shakespeare-589"} +{"d:Title": "An Internet Shakespeare", "d:Description": "A paper written by Michael Best of the University of Victoria.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://internetshakespeare.uvic.ca/Annex/Articles/ISA1996.html"} +{"d:Title": "SAA Hyperessay on Electronic Shakespearean Criticism", "d:Description": "by Laurie Osborne.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://personal.colby.edu/personal/l/leosborn/saalong.html"} +{"d:Title": "Shakespeare and Freudian Theory", "d:Description": "An investigation of Freud's theory and family relationships in Shakespeare, especially in Hamlet and Titus Andronicus.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://classicsnetwork.com/essays/shakespeare-and-freudian-theory-hamlet/216"} +{"d:Title": "William Shakespeare the Upstart Crow", "d:Description": "Provides details of the attack on the Bard by Robert Greene in his pamphlet the Groatsworth of Wit, which was published in 1592.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Reviews", "url": "http://www.literarygenius.info/william-shakespeare-upstart-crow.htm"} +{"d:Title": "English 413 Weblog", "d:Description": "Student discussions from a Shakespeare class.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Weblogs", "url": "http://drcampbell6676.motime.com/1075600800"} +{"d:Title": "News on the Rialto", "d:Description": "Shakespeare news, events, and discussions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Weblogs", "url": "http://shakespearemag.blogspot.com/"} +{"d:Title": "The Hamlet Weblog", "d:Description": "Posts about Shakespeare and his plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Weblogs", "url": "http://thehamletweblog.blogspot.com/"} +{"d:Title": "Bibliomania: William Shakespeare", "d:Description": "Full text plays in HTML format. Links to annotated guides and articles.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.bibliomania.com/0/6/3/"} +{"d:Title": "Bartleby: Shakespeare", "d:Description": "Scene-indexed HTML of the complete works. Searchable database.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.bartleby.com/70"} +{"d:Title": "RhymeZone: Shakespeare", "d:Description": "Scene-indexed HTML of the complete works. Searchable database.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.rhymezone.com/shakespeare/"} +{"d:Title": "Literature Network: Shakespeare", "d:Description": "Scene-indexed HTML of the complete works. Search feature and biographical information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.online-literature.com/shakespeare/"} +{"d:Title": "Monologue Archive: Shakespeare", "d:Description": "Monologues extracted from the plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.monologuearchive.com/s/shakespeare_william.html"} +{"d:Title": "William Shakespeare Online", "d:Description": "Plays, plot summaries, essays, life and times, and downloads of public domain books on Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://shakespeare-1.com/"} +{"d:Title": "William Shakespeare - the Complete Works", "d:Description": "Biography, plays, sonnets, poems and quotes, quiz and forum.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.william-shakespeare.info/"} +{"d:Title": "William Shakespeare", "d:Description": "The plays in English and German, attributed plays, and selected sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://william-shakespeare.classic-literature.co.uk/"} +{"d:Title": "Open Source Shakespeare", "d:Description": "The complete works of Shakespeare, a powerful search mechanism, a concordance, and statistical analysis of the texts.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.opensourceshakespeare.org/"} +{"d:Title": "Shakespeare, the Character", "d:Description": "A bibliography of works in which Shakespeare is a character.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/files/CHARACTR%20BIBLIO.txt"} +{"d:Title": "Shakespeare Spinoffs", "d:Description": "A bibliography arranged by source play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/files/SPINOFF%20BIBLIO.txt"} +{"d:Title": "MIT: Complete Shakespeare", "d:Description": "Scene-indexed HTML of the plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://shakespeare.mit.edu/"} +{"d:Title": "Read Print: William Shakespeare", "d:Description": "Complete works of Shakespeare in searchable format.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.readprint.com/author-69/William-Shakespeare-books"} +{"d:Title": "Internet Public Library: Shakespeare Bookshelf", "d:Description": "Links to the plays and sonnets at Bartleby.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.ipl.org/div/shakespeare/"} +{"d:Title": "Renascence Editions: Shakespeare", "d:Description": "HTML of the complete works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://internetshakespeare.uvic.ca/Annex/Articles/tapor/edwardIV.html"} +{"d:Title": "A Shakespearean Grammar", "d:Description": "Differences between Elizabethan and modern day grammar; images of pages from the first folio, by E. A. Abbott.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.03.0080&redirect=true"} +{"d:Title": "A Shakespeare Glossary", "d:Description": "By C. T. Onions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.03.0068&redirect=true"} +{"d:Title": "A General Glossary to Shakespeare's Works", "d:Description": "By Alexander Dyce.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.03.0067&redirect=true"} +{"d:Title": "Utah Education Network Shakespeare Resources", "d:Description": "Resources include lesson plans and study guides.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.uen.org/core/languagearts/shakespeare/index.shtml"} +{"d:Title": "Shakespeare Defined", "d:Description": "Complete works in HTML with 400,000+ in-context definitions (mouse-over).", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.shakespearedefined.com/"} +{"d:Title": "The Complete Works of William Shakespeare", "d:Description": "Features comedy, history, tragedy and poetry.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://shakespeare.mit.edu/works.html"} +{"d:Title": "Shakespeare Lexicon and Quotation Dictionary", "d:Description": "By Alexander Schmidt.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.03.0079"} +{"d:Title": "William Shakespeare's Plays", "d:Description": "Complete plays, plot summaries, key dates, characters, and history.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://www.william-shakespeare.info/william-shakespeare-plays.htm"} +{"d:Title": "TheFreeLibrary: Shakespeare, William", "d:Description": "Complete works, searchable concordance enables users to locate particular words/phrases in the plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://shakespeare.thefreelibrary.com/"} +{"d:Title": "Shakespeare List", "d:Description": "Listing of Shakespeare plays published between 1594 and 1623.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://www.st-andrews.ac.uk/~adm6/en3025/listtl.html"} +{"d:Title": "Titles from Shakespeare", "d:Description": "A listing of book and play titles derived from the words of William Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://www.barbarapaul.com/shake.html"} +{"d:Title": "How to Cite to Shakespeare's Plays", "d:Description": "Examples for quoting Richard II and others.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://www.colby.edu/personal/l/leosborn/quotes.html"} +{"d:Title": "MaximumEdge: Shakespeare", "d:Description": "The complete texts of all of Shakespeare's plays, indexed by scene and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://www.maximumedge.com/shakespeare/"} +{"d:Title": "Interactive Shakespeare Project", "d:Description": "Geared toward teachers. A pedagogical approach to teaching the works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://college.holycross.edu/projects/isp/"} +{"d:Title": "Hamlet", "d:Description": "Dgital collection of pre-1642 editions of William Shakespeare's plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://www.quartos.org/"} +{"d:Title": "University of Oxford Text Archive", "d:Description": "The plays, downloadable in various formats.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays", "url": "http://ota.ox.ac.uk/"} +{"d:Title": "The Literature Network: All's Well That Ends Well", "d:Description": "Scene-indexed HTML of the complete text, summary, author information, and a search feature.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/All's_Well_That_Ends_Well", "url": "http://www.online-literature.com/shakespeare/allswell/"} +{"d:Title": "William Shakespeare: All's Well That Ends Well", "d:Description": "Theme, plot summary, and first performances.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/All's_Well_That_Ends_Well", "url": "http://www.william-shakespeare.info/shakespeare-play-alls-well-that-ends-well.htm"} +{"d:Title": "Titles From All's Well That Ends Well", "d:Description": "A listing of book and play titles taken from All's Well That Ends Well.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/All's_Well_That_Ends_Well", "url": "http://www.barbarapaul.com/shake/allswell.html"} +{"d:Title": "MaximumEdge Shakespeare: All's Well That Ends Well", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/All's_Well_That_Ends_Well", "url": "http://www.maximumedge.com/shakespeare/allwell.htm"} +{"d:Title": "MIT: All's Well That Ends Well", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/All's_Well_That_Ends_Well", "url": "http://shakespeare.mit.edu/Comedy/allswellthatendswell/allswellthatendswell.html"} +{"d:Title": "All's Well That Ends Well", "d:Description": "Shakespeare's play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/All's_Well_That_Ends_Well", "url": "http://shakespeare.mit.edu/allswell/full.html"} +{"d:Title": "Renascence Editions: All's Well That Ends Well", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/All's_Well_That_Ends_Well", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/790"} +{"d:Title": "Literature Network: As You Like It", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "http://www.online-literature.com/shakespeare/youlike/"} +{"d:Title": "William Shakespeare info: As You Like It", "d:Description": "Full text,plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "http://www.william-shakespeare.info/shakespeare-play-as-you-like-it.htm"} +{"d:Title": "Titles From As You Like It", "d:Description": "A listing of book and play titles taken from As You Like It.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "http://www.barbarapaul.com/shake/ayli.html"} +{"d:Title": "MaximumEdge Shakespeare: As You Like It", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "http://www.maximumedge.com/shakespeare/asyoulikeit.htm"} +{"d:Title": "Orlando and the Golden World: The Old World and the New in As You Like It", "d:Description": "Lisa Hopkins argues that disturbing ironies and instabilities accrue to the word \"gold.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "http://extra.shu.ac.uk/emls/08-2/hopkgold.htm"} +{"d:Title": "Renascence Editions: As You Like It", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/796"} +{"d:Title": "As You Like It", "d:Description": "A searchable and downloadable, with special viewing features.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "http://shakespeare.mit.edu/asyoulikeit/full.html"} +{"d:Title": "MIT: As You Like It", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/As_You_Like_It", "url": "http://shakespeare.mit.edu/asyoulikeit/"} +{"d:Title": "Literature Network: The Comedy of Errors", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Comedy_of_Errors,_The", "url": "http://www.online-literature.com/shakespeare/errors/"} +{"d:Title": "William Shakespeare info: The Comedy of Errors", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Comedy_of_Errors,_The", "url": "http://www.william-shakespeare.info/shakespeare-play-comedy-of-errors.htm"} +{"d:Title": "Titles From The Comedy Of Errors", "d:Description": "A listing of play and book titles taken from The Comedy Of Errors.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Comedy_of_Errors,_The", "url": "http://www.barbarapaul.com/shake/errors.html"} +{"d:Title": "MaximumEdge Shakespeare: The Comedy of Errors", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Comedy_of_Errors,_The", "url": "http://www.maximumedge.com/shakespeare/comedyerrors.htm"} +{"d:Title": "MIT: The Comedy of Errors", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Comedy_of_Errors,_The", "url": "http://shakespeare.mit.edu/comedy_errors/"} +{"d:Title": "Renascence Editions: The Comedy of Errors", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Comedy_of_Errors,_The", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/800"} +{"d:Title": "Literature Network: Cymbeline", "d:Description": "Scene-indexed HTML of the complete text. Search feature, author information, and summary.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://www.online-literature.com/shakespeare/cymbeline/"} +{"d:Title": "William Shakespeare info: Cymbeline", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://www.william-shakespeare.info/shakespeare-play-cymbeline.htm"} +{"d:Title": "Some Uses for Romance: Shakespeare's Cymbeline and Jonson's The New Inn", "d:Description": "Andrew Stewart focuses on Cymbeline to argue that The New Inn The New Inn is a positive, yet equivocal, response to the political climate extant in 1632.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://www.hull.ac.uk/renforum/v3no1/stewart.htm"} +{"d:Title": "Titles from Cymbeline", "d:Description": "List of book titles taken from Cymbeline.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://www.barbarapaul.com/shake/cymb.html"} +{"d:Title": "MaximumEdge Shakespeare: Cymbeline", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://www.maximumedge.com/shakespeare/cymbeline.htm"} +{"d:Title": "Civilizing Wales: Cymbeline, Roads and the Landscapes of Early Modern Britain", "d:Description": "Garrett A. Sullivan, Jr. suggests that gestures toward the disjunct, if not always conflicting, imperatives of different conceptual, historical and national landscapes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://extra.shu.ac.uk/emls/04-2/sullshak.htm"} +{"d:Title": "Playing with Wench-like Words: Copia and Surplus in the Internet Shakespeare Edition of Cymbeline", "d:Description": "Jennifer Forsyth investigates ways of converting surplus into copia.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://extra.shu.ac.uk/emls/09-3/forsplay.html"} +{"d:Title": "MIT: Cymbeline", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "http://shakespeare.mit.edu/cymbeline/"} +{"d:Title": "Renascence Editions: Cymbeline", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Cymbeline", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/814"} +{"d:Title": "Literature Network: Love's Labours Lost", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Love's_Labours_Lost", "url": "http://www.online-literature.com/shakespeare/lovelost/"} +{"d:Title": "William Shakespeare info: Love's Labour's Lost", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Love's_Labours_Lost", "url": "http://www.william-shakespeare.info/shakespeare-play-loves-labours-lost.htm"} +{"d:Title": "Titles from Love's Labours Lost", "d:Description": "A listing of book and play titles from Love's Labours Lost.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Love's_Labours_Lost", "url": "http://www.barbarapaul.com/shake/labours.html"} +{"d:Title": "MIT: Love's Labours Lost", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Love's_Labours_Lost", "url": "http://shakespeare.mit.edu/lll/"} +{"d:Title": "Renascence Editions: Love's Labours Lost", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Love's_Labours_Lost", "url": "http://www.cummingsstudyguides.net/xLoveLab.html"} +{"d:Title": "Literature Network: Measure For Measure", "d:Description": "Scene-indexed HTML of the complete text. Summary, author information, and search feature.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "http://www.online-literature.com/shakespeare/measure/"} +{"d:Title": "William Shakespeare: Measure For Measure", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "http://www.william-shakespeare.info/shakespeare-play-measure-for-measure.htm"} +{"d:Title": "Titles from Measure For Measure", "d:Description": "A listing of book and play titles from Measure For Measure.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "http://www.barbarapaul.com/shake/m4m.html"} +{"d:Title": "The Politics of Persuasion: Measure For Measure and Cinthio's Hecatommithi", "d:Description": "Caroline Roberts examines the nature of persuasion in Measure for Measure and in one of its probable sources, the story of Epitia in Cinthio's Hecatommithi.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "http://extra.shu.ac.uk/emls/07-3/robemeas.htm"} +{"d:Title": "Interactive Shakespeare Project: Measure For Measure", "d:Description": "A teaching guide: essays, exercises, active-link notes, and a scene-indexed version of the full text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "http://college.holycross.edu/projects/isp/measure/mainmenu.html"} +{"d:Title": "Measure For Measure", "d:Description": "A resource for teachers.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "http://college.holycross.edu/projects/isp/measure/"} +{"d:Title": "Renascence Editions: Measure For Measure", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/867"} +{"d:Title": "Measure For Measure", "d:Description": "Entire play in html.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Measure_for_Measure", "url": "http://shakespeare.mit.edu/measure/full.html"} +{"d:Title": "Literature Network: The Merchant of Venice", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merchant_of_Venice,_The", "url": "http://www.online-literature.com/shakespeare/merchant/"} +{"d:Title": "Masterpiece Theatre Online: The Merchant of Venice", "d:Description": "Background information on the PBS presentation of a revised version, essays, teachers guide.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merchant_of_Venice,_The", "url": "http://www.pbs.org/wgbh/masterpiece/merchant/index.html"} +{"d:Title": "William Shakespeare info: The Merchant of Venice", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merchant_of_Venice,_The", "url": "http://www.william-shakespeare.info/shakespeare-play-merchant-of-venice.htm"} +{"d:Title": "Titles From The Merchant of Venice", "d:Description": "A listing of book and play titles taken from The Merchant of Venice.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merchant_of_Venice,_The", "url": "http://www.barbarapaul.com/shake/merchant.html"} +{"d:Title": "A Second Daniel: The Jew and the \"True Jew\" in The Merchant of Venice", "d:Description": "Thomas H. Luxon attempts to answer the question of whether or not The Merchant of Venice is an anti-Jewish play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merchant_of_Venice,_The", "url": "http://extra.shu.ac.uk/emls/04-3/luxoshak.html"} +{"d:Title": "MIT: The Merchant of Venice", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merchant_of_Venice,_The", "url": "http://shakespeare.mit.edu/merchant/"} +{"d:Title": "Antisemitism in English Literature The Shakespeare Case", "d:Description": "by Jack Opie.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merchant_of_Venice,_The", "url": "http://www.jcrelations.net/en/?id=772"} +{"d:Title": "Literature Network: The Merry Wives of Windsor", "d:Description": "Scene-indexed HTML of the complete text. Search feature, summary, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merry_Wives_of_Windsor,_The", "url": "http://www.online-literature.com/shakespeare/windsor/"} +{"d:Title": "William Shakespeare info: Merry Wives of Windsor", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merry_Wives_of_Windsor,_The", "url": "http://www.william-shakespeare.info/shakespeare-play-the-merry-wives-of-windsor.htm"} +{"d:Title": "Titles From The Merry Wives of Windsor", "d:Description": "A listing of book and play titles from The Merry Wives of Windsor.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merry_Wives_of_Windsor,_The", "url": "http://www.barbarapaul.com/shake/wives.html"} +{"d:Title": "MIT: The Merry Wives of Windsor", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merry_Wives_of_Windsor,_The", "url": "http://shakespeare.mit.edu/merry_wives/"} +{"d:Title": "Renascence Editions: The Merry Wives of Windsor", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Merry_Wives_of_Windsor,_The", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/870"} +{"d:Title": "Literature Network: A Midsummer Night's Dream", "d:Description": "Scene indexed HTML of the complete text. Includes a summary, author information, and a search feature.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://www.online-literature.com/shakespeare/midsummer/"} +{"d:Title": "Enjoying A Midsummer Night's Dream", "d:Description": "Resources, pictures, and background information by Ed Friedlander M.D.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://www.pathguy.com/mnd.htm"} +{"d:Title": "TheatreHistory.com: A Midsummer Night's Dream", "d:Description": "Play analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://www.theatrehistory.com/british/midsummer001.html"} +{"d:Title": "Puck - That Shrewd and Knavish Sprite Called Robin Goodfellow", "d:Description": "The history of Puck, (Robin Goodfellow) in fairy folklore, old ballads, plays and modern books and films.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://www.boldoutlaw.com/puckrobin/puck.html"} +{"d:Title": "William Shakespeare info: A Midsummer Night's Dream", "d:Description": "Full text, plot summary, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://www.william-shakespeare.info/shakespeare-play-a-midsummer-nights-dream.htm"} +{"d:Title": "Titles From A Midsummer Night's Dream", "d:Description": "A listing of book and play titles taken from A Midsummer Night's Dream.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://www.barbarapaul.com/shake/mnd.html"} +{"d:Title": "A Midsummer Night's Web and MOO", "d:Description": "Full text and commentary.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://cmc.uib.no/dream/frames/main.html"} +{"d:Title": "Southern Nazarene University: A Midsummer Night's Dream", "d:Description": "Reference material.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://home.snu.edu/~ghackler/ENGL4113/mnd.htm"} +{"d:Title": "A Midsummer Night's Dream Guide", "d:Description": "Includes the script, performance photos, and a PC study guide.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://www.shakespearehigh.com/library/surfbard/plays/mnd/mnd_guide.html"} +{"d:Title": "MIT: A Midsummer Night's Dream", "d:Description": "Scene indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://shakespeare.mit.edu/midsummer/"} +{"d:Title": "Renascence Editions: A Midsummer Night's Dream", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/871"} +{"d:Title": "A Midsummer Night's Dream", "d:Description": "Online version of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://shakespeare.mit.edu/midsummer/full.html"} +{"d:Title": "Internet Shakespeare Editions", "d:Description": "Draft texts of the Folio and Quarto texts of A Midsummer Night's Dream.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Midsummer_Night's_Dream,_A", "url": "http://internetshakespeare.uvic.ca/Library/Texts/MND/"} +{"d:Title": "Literature Network: Much Ado About Nothing", "d:Description": "Scene-indexed HTML of the complete text. Summary, author information, and a search feature.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://www.online-literature.com/shakespeare/muchado/"} +{"d:Title": "TheatreHistory.com: Much Ado About Nothing", "d:Description": "Brief analysis of the play focusing on the character of Beatrice.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://www.theatrehistory.com/british/muchado001.html"} +{"d:Title": "William Shakespeare info: Much Ado About Nothing", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://www.william-shakespeare.info/shakespeare-play-much-ado-about-nothing.htm"} +{"d:Title": "Bringing Deformed Forth: Engendering Meaning in Much Ado About Nothing", "d:Description": "David Lucking argues that nothing that lies at the core of the play, a non-event or aporia that paradoxically becomes the centre of significance in the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://www.hull.ac.uk/renforum/v2no1/lucking.htm"} +{"d:Title": "Titles From Much Ado About Nothing", "d:Description": "A listing of book and play titles taken from Much Ado About Nothing.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://www.barbarapaul.com/shake/muchado.html"} +{"d:Title": "Performance, Subjectivity and Slander in Hamlet and Much Ado About Nothing", "d:Description": "Adam Piette suggests that Goffman's interpretative framework and key terms are useful when interpreting performances of Shakespeare's plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://extra.shu.ac.uk/emls/07-2/pietslan.htm"} +{"d:Title": "MIT: Much Ado About Nothing", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://shakespeare.mit.edu/much_ado/"} +{"d:Title": "Much Ado About Nothing", "d:Description": "Entire play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Much_Ado_About_Nothing", "url": "http://shakespeare.mit.edu/much_ado/full.html"} +{"d:Title": "Literature Network: Pericles, Prince of Tyre", "d:Description": "Scene-indexed HTML of the complete text. Author information, summary, and a search feature.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Pericles,_Prince_of_Tyre", "url": "http://www.online-literature.com/shakespeare/pericles/"} +{"d:Title": "William Shakespeare info: Pericles, Prince of Tyre", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Pericles,_Prince_of_Tyre", "url": "http://www.william-shakespeare.info/shakespeare-play-pericles-prince-of-tyre.htm"} +{"d:Title": "Pericles, Prince of Tyre", "d:Description": "Emma Smith reviews two books: Pericles, Prince of Tyre, by Doreen DelVecchio and Antony Hammond; King Edward III, by Giorgio Melchiori.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Pericles,_Prince_of_Tyre", "url": "http://www.hull.ac.uk/renforum/v4no1/smith.htm"} +{"d:Title": "Titles From Pericles", "d:Description": "A listing of book and play titles taken from Pericles.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Pericles,_Prince_of_Tyre", "url": "http://www.barbarapaul.com/shake/pericles.html"} +{"d:Title": "MIT: Pericles, Prince of Tyre", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Pericles,_Prince_of_Tyre", "url": "http://shakespeare.mit.edu/pericles/"} +{"d:Title": "Pericles, Prince of Tyre A Study Guide", "d:Description": "HTML of the complete text and guide.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Pericles,_Prince_of_Tyre", "url": "http://www.cummingsstudyguides.net/xPericles.html"} +{"d:Title": "Literature Network: Taming of the Shrew", "d:Description": "Scene-indexed HTML of the complete text. Search feature, summary, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://www.online-literature.com/shakespeare/shrew/"} +{"d:Title": "William Shakespeare info: The Taming of the Shrew", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://www.william-shakespeare.info/shakespeare-play-the-taming-of-the-shrew.htm"} +{"d:Title": "Titles From The Taming of the Shrew", "d:Description": "A listing of book and play titles taken from The Taming of the Shrew.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://www.barbarapaul.com/shake/shrew.html"} +{"d:Title": "Personations: The Taming of the Shrew and the Limits of Theoretical Criticism", "d:Description": "Paul Yachnin offers a New Historicist reading to account for both the cultural determinations of literary meaning and literature's capacity to reflect back on the culture which determines it.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://extra.shu.ac.uk/emls/02-1/yachshak.html"} +{"d:Title": "Petruchio's Horse: Equine and Household Mismanagement in The Taming of the Shrew", "d:Description": "Peter F. Heany argues that the text invites us to make analogous connections between some of Petruchio's unfortunate horse's characteristics and several of Petruchio's own.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://extra.shu.ac.uk/emls/04-1/heanshak.html"} +{"d:Title": "Transvestitism in The Taming of the Shrew and Shakespeare", "d:Description": "An essay by Julian Darius on gender and transvestitism.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://www.literaryescorts.com/?act=non-fiction&item=560"} +{"d:Title": "MIT: Taming of the Shrew", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://shakespeare.mit.edu/taming_shrew/"} +{"d:Title": "Renascence Editions: The Taming of the Shrew", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://extra.shu.ac.uk/emls/iemls/resour/mirrors/rbear/shake/ts.html"} +{"d:Title": "Presenting The Taming of the Shrew to a Modern Day Audience", "d:Description": "An essay that evaluates the techniques used in the play, and how they might be employed in a modern stage production.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Taming_of_the_Shrew,_The", "url": "http://classicsnetwork.com/essays/presenting-taming-of-the-shrew-to-a/733"} +{"d:Title": "Literature Network: The Tempest", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://www.online-literature.com/shakespeare/tempest/"} +{"d:Title": "Bartleby: The Tempest", "d:Description": "Scene-indexed and searchable HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://www.bartleby.com/46/5/"} +{"d:Title": "The Chronicles of the New World", "d:Description": "Scene-by-scene commentary. From Yale University.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://www.yale.edu/ynhti/curriculum/units/1986/2/86.02.06.x.html"} +{"d:Title": "TheatreHistory.com: The Tempest", "d:Description": "A critical analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://www.theatrehistory.com/british/tempest001.html"} +{"d:Title": "William Shakespeare info: The Tempest", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://www.william-shakespeare.info/shakespeare-play-the-tempest.htm"} +{"d:Title": "Progeny: Prospero's Books, Genesis and The Tempest", "d:Description": "Steven Marx reviews the film Prospero's Books, and also engages Genesis and The Tempest.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://www.hull.ac.uk/renforum/v1no2/marx.htm"} +{"d:Title": "Titles from The Tempest", "d:Description": "A listing of book and play titles taken from The Tempest.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://www.barbarapaul.com/shake/tempest.html"} +{"d:Title": "Ambroise Par\u00e9's Des Monstres as a Possible Source for Caliban", "d:Description": "Jeffrey Kahan argues that Shakespeare did not engage Conrad Gesner's Icones Animalium as a basis for the character Caliban.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://extra.shu.ac.uk/emls/03-1/kahatemp.html"} +{"d:Title": "National and Colonial Education in Shakespeare's The Tempest", "d:Description": "Allen Carey-Webb investigates the connection between Elizabethan schooling and national citizenship.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://extra.shu.ac.uk/emls/05-1/cwebtemp.html"} +{"d:Title": "The Tempest", "d:Description": "Full text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://shakespeare.mit.edu/tempest/full.html"} +{"d:Title": "MIT: The Tempest", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Tempest,_The", "url": "http://shakespeare.mit.edu/tempest/"} +{"d:Title": "Literature Network: Troilus and Cressida", "d:Description": "Scene-indexed HTML of the complete text. Search feature, summary, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Troilus_and_Cressida", "url": "http://www.online-literature.com/shakespeare/cressida/"} +{"d:Title": "William Shakespeare info: Troilus and Cressida", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Troilus_and_Cressida", "url": "http://www.william-shakespeare.info/shakespeare-play-troilus-and-cressida.htm"} +{"d:Title": "Titles from Trolius and Cressida", "d:Description": "A listing of book and play titles taken from Trolius and Cressida.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Troilus_and_Cressida", "url": "http://www.barbarapaul.com/shake/troilus.html"} +{"d:Title": "The Texts of Troilus and Cressida", "d:Description": "W.L. Godshalk offers several hypotheses that concern the copy for the Folio text, the differences between the Quarto and the Folio, and the stage directions in the two texts.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Troilus_and_Cressida", "url": "http://extra.shu.ac.uk/emls/01-2/godsshak.html"} +{"d:Title": "Renaissance Dictionaries and Shakespeare's Language: A Study of Word-meaning in Troilus and Cressida", "d:Description": "Mark Catt suggests that it is worthwhile to note instances where information gleaned from the EMEDD (Early Modern English Dictionaries Corpus) can supplement that of the OED (Oxford English Dictionary).", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Troilus_and_Cressida", "url": "http://extra.shu.ac.uk/emls/si-01/si-01catt.html"} +{"d:Title": "MIT: Troilus and Cressida", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Troilus_and_Cressida", "url": "http://shakespeare.mit.edu/troilus_cressida/"} +{"d:Title": "Literature Network: Twelfth Night", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://www.online-literature.com/shakespeare/12night/"} +{"d:Title": "William Shakespeare info: Twelfth Night", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://www.william-shakespeare.info/shakespeare-play-twelfth-night.htm"} +{"d:Title": "Titles from Twelfth Night", "d:Description": "A listing of play and book titles taken from Twelfth Night.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://www.barbarapaul.com/shake/12n.html"} +{"d:Title": "Shakespeare's Twelfth Night, Form, Structure and Language", "d:Description": "An essay by Jenia Geraghty, showing how Shakespeare's choice of form, structure and language shape meaning", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://www.literature-study-online.com/essays/twelfth-night.html"} +{"d:Title": "Marlowe, Edward II, and the Cult of Elizabeth", "d:Description": "Dennis Kay uses Shakespeare's works as a springboard to analyze Marlovian works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://extra.shu.ac.uk/emls/03-2/kaymarl.html"} +{"d:Title": "Trevor Nunn's Twelfth Night: Contemporary Film and Classic British Theatre", "d:Description": "Nicholas R. Jones analyzes how the film production negotiates the literary text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://extra.shu.ac.uk/emls/08-1/jonetwel.htm"} +{"d:Title": "MIT: Twelfth Night", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://shakespeare.mit.edu/twelfth_night/"} +{"d:Title": "Annotated Twelfth Night", "d:Description": "Scene-indexed, searchable, and annotated.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://shakespeare-navigators.com/"} +{"d:Title": "Twelfth Night", "d:Description": "Entire play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night", "url": "http://shakespeare.mit.edu/twelfth_night/full.html"} +{"d:Title": "Enjoying Twelfth Night by William Shakespeare", "d:Description": "Study notes that focus on themes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Twelfth_Night/Study_Guides", "url": "http://www.pathguy.com/12n.htm"} +{"d:Title": "Literature Network: The Two Gentlemen of Verona", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Two_Gentlemen_of_Verona,_The", "url": "http://www.online-literature.com/shakespeare/verona/"} +{"d:Title": "William Shakespeare info: The Two Gentlemen of Verona", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Two_Gentlemen_of_Verona,_The", "url": "http://www.william-shakespeare.info/shakespeare-play-the-two-gentlemen-of-verona.htm"} +{"d:Title": "Titles From The Two Gentlemen of Verona", "d:Description": "A listing of book and play titles taken from The Two Gentlemen of Verona.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Two_Gentlemen_of_Verona,_The", "url": "http://www.barbarapaul.com/shake/2gents.html"} +{"d:Title": "MIT: Two Gentlemen of Verona", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Two_Gentlemen_of_Verona,_The", "url": "http://shakespeare.mit.edu/two_gentlemen/"} +{"d:Title": "Literature Network: The Winter's Tale", "d:Description": "Scene indexed HTML of the complete text. Includes a search feature, a summary, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Winter's_Tale,_The", "url": "http://www.online-literature.com/shakespeare/winter/"} +{"d:Title": "William Shakespeare info: The Winters Tale", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Winter's_Tale,_The", "url": "http://www.william-shakespeare.info/shakespeare-play-the-winters-tale.htm"} +{"d:Title": "Titles From The Winter's Tale", "d:Description": "A listing of book and play titles taken from The Winter's Tale.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Winter's_Tale,_The", "url": "http://www.barbarapaul.com/shake/winter.html"} +{"d:Title": "Shakespeare: The mixture of styles in Shakespeare's last plays: The Winter's Tale and The Tempest", "d:Description": "An essay: Liz Lewis argues that the plays defy the usual progression found in the comic genre: from disorder to harmony.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Winter's_Tale,_The", "url": "http://www.literature-study-online.com/essays/shakespeare_last_plays.html"} +{"d:Title": "MIT: The Winter's Tale", "d:Description": "Scene indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Winter's_Tale,_The", "url": "http://shakespeare.mit.edu/winters_tale/"} +{"d:Title": "The Winter's Tale", "d:Description": "HTML version of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Comedies/Winter's_Tale,_The", "url": "http://shakespeare.mit.edu/winters_tale/full.html"} +{"d:Title": "King Edward III", "d:Description": "Emma Smith reviews two books: King Edward III, by Giorgio Melchiori; Pericles, Prince of Tyre, by Doreen DelVecchio and Antony Hammond.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Edward_III", "url": "http://www.hull.ac.uk/renforum/v4no1/smith.htm"} +{"d:Title": "Redefining the Role of the Editor for the Electronic Medium: A New Internet Shakespeare Edition of Edward III", "d:Description": "Sonia Massai argues that \"the discontinuities between my electronic edition of Edward III and earlier paper editions are more significant than the continuities.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Edward_III", "url": "http://extra.shu.ac.uk/emls/09-3/massrede.htm"} +{"d:Title": "Literature Network: King Henry V", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_V", "url": "http://www.online-literature.com/shakespeare/henryV/"} +{"d:Title": "English History Background", "d:Description": "Historical summary of the hundred years' war, and notes on the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_V", "url": "http://www-personal.umich.edu/~jlawler/wow/henryv.html"} +{"d:Title": "William Shakespeare info: Henry V", "d:Description": "Full text, plot summary, first performances, and quotations.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_V", "url": "http://www.william-shakespeare.info/shakespeare-play-king-henry-v.htm"} +{"d:Title": "Titles From Henry V", "d:Description": "A listing of book and play titles taken from Henry V.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_V", "url": "http://www.barbarapaul.com/shake/henryv.html"} +{"d:Title": "The Mistaken and Apologetic Chorus", "d:Description": "An essay by Julian Darius on the Chorus in Henry V.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_V", "url": "http://www.literaryescorts.com/?act=non-fiction&item=559"} +{"d:Title": "Renascence Editions: King Henry V", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_V", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/824"} +{"d:Title": "Literature Network: King Henry VI, Part 1", "d:Description": "Scene-indexed HTML of the complete text. Search feature, summary, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_1", "url": "http://www.online-literature.com/shakespeare/henryVI1/"} +{"d:Title": "William Shakespeare info: Henry VI (Part 1)", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_1", "url": "http://www.william-shakespeare.info/shakespeare-play-king-henry-vi-part-1.htm"} +{"d:Title": "Titles From Henry VI, Part 1", "d:Description": "List of book and play titles derived from Henry VI, Part 1.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_1", "url": "http://www.barbarapaul.com/shake/1henryvi.html"} +{"d:Title": "MIT: King Henry VI, Part 1", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_1", "url": "http://shakespeare.mit.edu/History/1kinghenryvi/1kinghenryvi.html"} +{"d:Title": "Renascence Editions: King Henry VI, Part 1", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_1", "url": "http://www.luminarium.org/renascence-editions/shake/hvi1.html"} +{"d:Title": "Literature Network: King Henry VI Part 2", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_2", "url": "http://www.online-literature.com/shakespeare/henryVI2/"} +{"d:Title": "Renascence Editions: King Henry VI, Part Two", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_2", "url": "http://www.william-shakespeare.info/shakespeare-play-king-henry-vi-part-2.htm"} +{"d:Title": "Titles From Henry VI, Part 2", "d:Description": "A listing of book and play titles taken from Henry VI, Part 2.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_2", "url": "http://www.barbarapaul.com/shake/2henryvi.html"} +{"d:Title": "MIT: King Henry VI, Part 2", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_2", "url": "http://shakespeare.mit.edu/History/2kinghenryvi/2kinghenryvi.html"} +{"d:Title": "Literature Network: King Henry VI Part 3", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_3", "url": "http://www.online-literature.com/shakespeare/henryVI3/"} +{"d:Title": "William Shakespeare info: Henry VI (Part 3)", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_3", "url": "http://www.william-shakespeare.info/shakespeare-play-king-henry-vi-part-3.htm"} +{"d:Title": "Titles From Henry VI, Part 3", "d:Description": "A listing of book and play titles taken from Henry VI, Part 3.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_3", "url": "http://www.barbarapaul.com/shake/3henryvi.html"} +{"d:Title": "Alarums and Defeats: Henry VI on Tour", "d:Description": "Stuart Hampton-Reeves offers an analysis that is based on a production of the play by the English Shakespeare Company.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_3", "url": "http://extra.shu.ac.uk/emls/05-2/hampalar.htm"} +{"d:Title": "MIT: King Henry VI, Part 3", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_3", "url": "http://shakespeare.mit.edu/3henryvi/"} +{"d:Title": "Renascence Editions: King Henry VI, Part 3", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VI,_Part_3", "url": "http://shakespeare.mit.edu/3henryvi/full.html"} +{"d:Title": "Literature Network: King Henry VIII", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VIII", "url": "http://www.online-literature.com/shakespeare/henryVIII/"} +{"d:Title": "William Shakespeare info: Henry VIII", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Henry_VIII", "url": "http://www.william-shakespeare.info/shakespeare-play-king-henry-viii.htm"} +{"d:Title": "Literature Network: King Henry IV, Part 1", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_1", "url": "http://www.online-literature.com/shakespeare/henryIV1/"} +{"d:Title": "William Shakespeare info: Henry IV (Part 1)", "d:Description": "Full text, plot summary, first performances, printing dates, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_1", "url": "http://www.william-shakespeare.info/shakespeare-play-king-henry-iv-part-1.htm"} +{"d:Title": "Titles from Henry IV, Part 1", "d:Description": "A listing of book and play titles taken from Henry IV, Part 1.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_1", "url": "http://www.barbarapaul.com/shake/1henryiv.html"} +{"d:Title": "Renascence Editions: King Henry IV, Part 1", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_1", "url": "http://shakespeare.mit.edu/1henryiv/full.html"} +{"d:Title": "Literature Network: King Henry IV, Part 2", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_2", "url": "http://www.online-literature.com/shakespeare/henryIV2/"} +{"d:Title": "William Shakespeare info: Henry IV (Part 2)", "d:Description": "Full text, plot summary, first performances, publishing dates, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_2", "url": "http://www.william-shakespeare.info/shakespeare-play-king-henry-iv-part-2.htm"} +{"d:Title": "Titles From Henry IV, Part 2", "d:Description": "A listing of book and play titles taken from Henry IV, Part 2.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_2", "url": "http://www.barbarapaul.com/shake/2henryiv.html"} +{"d:Title": "MaximumEdge Shakespeare: King Henry IV Part 2", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_Henry_IV,_Part_2", "url": "http://www.maximumedge.com/shakespeare/henryiv2.htm"} +{"d:Title": "Literature Network: King John", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_John", "url": "http://www.online-literature.com/shakespeare/kingjohn/"} +{"d:Title": "William Shakespeare info: King John", "d:Description": "Full text, plot summary, first performances, printing dates, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_John", "url": "http://www.william-shakespeare.info/shakespeare-play-king-john.htm"} +{"d:Title": "Titles From King John", "d:Description": "A listing of book and play titles taken from The Life and Death of King John.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_John", "url": "http://www.barbarapaul.com/shake/john.html"} +{"d:Title": "MIT: King John", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_John", "url": "http://shakespeare.mit.edu/john/"} +{"d:Title": "Renascence Editions: King John", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/King_John", "url": "http://www.luminarium.org/renascence-editions/shake/kj.html"} +{"d:Title": "Literature Network: Richard II", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://www.online-literature.com/shakespeare/richardII/"} +{"d:Title": "Britannia", "d:Description": "A short guide to the history of Richard II.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://www.britannia.com/history/monarchs/mon33.html"} +{"d:Title": "William Shakespeare info: Richard II", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://www.william-shakespeare.info/shakespeare-play-king-richard-ii.htm"} +{"d:Title": "Titles from Richard II", "d:Description": "A listing of book and play titles taken from Richard II.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://www.barbarapaul.com/shake/richii.html"} +{"d:Title": "Shakespeare 101: Richard II", "d:Description": "Surfing with the Bard: summary.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://www.shakespearehigh.com/library/surfbard/plays/r2/"} +{"d:Title": "\"Subjected Thus\": Plague and Panopticism in Richard II", "d:Description": "Nick Cox argues that the work of Michel Foucault provides the means for understanding the Elizabethan governments strategies of discipline and surveillance.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://extra.shu.ac.uk/emls/06-2/coxrich.htm"} +{"d:Title": "Stage and State: The Censorship of Richard II", "d:Description": "By Ruth Underhill, from Shakespeare by Individual Studies.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://web.uvic.ca/~mbest1/ISShakespeare/Resources/Essex/default.html"} +{"d:Title": "A Short Course on Richard II", "d:Description": "By Michael Best, University of Victoria.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://web.uvic.ca/~mbest1/ISShakespeare/R2Course/R2toc.html"} +{"d:Title": "Compare Two Images of Act I, Scene I of Richard II", "d:Description": "Nineteenth-century engravings give two possible ways of staging the gage-throwing scene before Richard in Act I.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_II", "url": "http://personal.colby.edu/personal/l/leosborn/r2scene1.html"} +{"d:Title": "Literature Network: Richard III", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_III", "url": "http://www.online-literature.com/shakespeare/richardIII/"} +{"d:Title": "William Shakespeare info: Richard III", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_III", "url": "http://www.william-shakespeare.info/shakespeare-play-king-richard-iii.htm"} +{"d:Title": "Titles from Richard III", "d:Description": "A listing of book and play titles taken from Richard III.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_III", "url": "http://www.barbarapaul.com/shake/richiii.html"} +{"d:Title": "MIT: Richard III", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_III", "url": "http://shakespeare.mit.edu/richardiii/"} +{"d:Title": "Renascence Editions: Richard III", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_III", "url": "http://www.luminarium.org/renascence-editions/r3.html"} +{"d:Title": "Internet Shakespeare Editions: Richard III", "d:Description": "Two original versions of the play: the Quarto text of 1600, and the Folio of 1623.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Histories/Richard_III", "url": "http://internetshakespeare.uvic.ca/Library/Texts/R3/"} +{"d:Title": "Shakespeare's Treatment of Women in Tragedies", "d:Description": "An essay analyzing Shakespeare's treatment of women in the tragedies Hamlet, Othello and Antony and Cleopatra.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies", "url": "http://www.literature-study-online.com/essays/shakespeare_women.html"} +{"d:Title": "Literature Network: Antony and Cleopatra", "d:Description": "Scene-indexed HTML of the complete text. Search feature, summary, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://www.online-literature.com/shakespeare/antony/"} +{"d:Title": "TheatreHistory.com: Antony and Cleopatra", "d:Description": "A critical analysis of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://www.theatrehistory.com/british/antony001.html"} +{"d:Title": "William Shakespeare info: Antony and Cleopatra", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://www.william-shakespeare.info/shakespeare-play-antony-and-cleopatra.htm"} +{"d:Title": "Titles From Antony and Cleopatra", "d:Description": "A listing of book and play titles taken from Antony and Cleopatra.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://www.barbarapaul.com/shake/antony.html"} +{"d:Title": "MaximumEdge Shakespeare: Antony and Cleopatra", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://www.maximumedge.com/shakespeare/antonycleopatra.htm"} +{"d:Title": "The tragic in Antony and Cleopatra", "d:Description": "A study drawing on views of tragedy put forward by Aristotle, and by French dramatists such as Corneille and Racine.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://www.literature-study-online.com/essays/antony-cleopatra.html"} +{"d:Title": "MIT: Antony and Cleopatra", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://shakespeare.mit.edu/cleopatra/"} +{"d:Title": "The Story of Antony and Cleopatra", "d:Description": "Compares Antony and Cleopatra to Plutarch's The Life of Marcus Antonius by juxtaposing passages from both works.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://web.uvic.ca/~mbest1/ISShakespeare/Resources/Plutarch/Cleopatra.html"} +{"d:Title": "Renascence Editions: Antony and Cleopatra", "d:Description": "Unindexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://www.luminarium.org/renascence-editions/shake/ac.html"} +{"d:Title": "Power and Being in Antony and Cleopatra", "d:Description": "An essay that examines the complexities and dualities of the main characters' attitudes and behaviours.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Antony_and_Cleopatra", "url": "http://classicsnetwork.com/essays/power-and-being-in-shakespeares-antony/814"} +{"d:Title": "Literature Network: Coriolanus", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://www.online-literature.com/shakespeare/coriolanus/"} +{"d:Title": "William Shakespeare: Coriolanus", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://www.william-shakespeare.info/shakespeare-play-coriolanus.htm"} +{"d:Title": "The End of Absolutism: Shakespeare's Coriolanus and the Consensual Nature of the Early Modern State", "d:Description": "Paul Cefalu argues that what has been traditionally described as ideological class or status polarization in Coriolanus should be more accurately described as non-ideological conflict.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://www.hull.ac.uk/renforum/v4no2/cefalu.htm"} +{"d:Title": "Titles from Coriolanus", "d:Description": "A listing of book and play titles taken from Coriolanus.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://www.barbarapaul.com/shake/corio.html"} +{"d:Title": "MaximumEdge Shakespeare: Coriolanus", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://www.maximumedge.com/shakespeare/coriolanus.htm"} +{"d:Title": "Literature Study Online. Who is to blame for Coriolanus's banishment?", "d:Description": "Essay exploring the central theme of the tragedy.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://www.literature-study-online.com/essays/coriolanus.html"} +{"d:Title": "\"The price of one fair word\": Negotiating Names in Coriolanus", "d:Description": "David Lucking locates a \"linguistic matrix that corresponds in some way to the complex of cultural codes through which that individual defines himself.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://extra.shu.ac.uk/emls/02-1/luckshak.html"} +{"d:Title": "MIT: Coriolanus", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://shakespeare.mit.edu/coriolanus/"} +{"d:Title": "Renascence Editions: Coriolanus", "d:Description": "HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Coriolanus", "url": "http://www.luminarium.org/renascence-editions/shake/coriolanus.html"} +{"d:Title": "All Sorts of Hamlets", "d:Description": "A study of some of the most famous actors to tackle the role.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet", "url": "http://www.imagi-nation.com/moonstruck/spectop004.html"} +{"d:Title": "Amleth, Prince of Denmark", "d:Description": "Written circa 1185, but based on an older oral tradition. It describes the same players and events as does the Shakepearean play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet", "url": "http://www.pitt.edu/~dash/amleth.html"} +{"d:Title": "TheatreHistory.com: Hamlet", "d:Description": "A critical analysis of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet", "url": "http://www.theatrehistory.com/british/hamlet001.html"} +{"d:Title": "Hamlet Online", "d:Description": "Annotated index of online Hamlet resources.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet", "url": "http://www.tk421.net/hamlet/"} +{"d:Title": "Titles from Hamlet", "d:Description": "Links to lists of book and play titles derived from Hamlet.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet", "url": "http://www.barbarapaul.com/shake/hamlet.html"} +{"d:Title": "Hamlet on the Ramparts", "d:Description": "Texts, images, lesson plans, and film relevant to Hamlet's first encounter with the Ghost (Act 1, Scenes 4 and 5).", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet", "url": "http://shea.mit.edu/ramparts/"} +{"d:Title": "Monsterpiece Theater Spinoff", "d:Description": "A Sesame Street \"Monsterpiece Theatre\" version of Hamlet with Mel Gibson.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/files/MONSTERP%20SPINOFF.txt"} +{"d:Title": "Performance, Subjectivity and Slander in Hamlet and Much Ado About Nothing", "d:Description": "Adam Piette suggests that Goffman's interpretative framework and key terms are useful when interpreting performances of Shakespeare's plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/07-2/pietslan.htm"} +{"d:Title": "Renaissance Tragedy and Investigator Heroes", "d:Description": "Article focusing on Hamlet and Thomas Kyd's The Spanish Tragedy.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://www.literature-study-online.com/essays/renaissance_tragedy_investigators.html"} +{"d:Title": "A Romance of Electronic Scholarship, with the True and Lamentable Tragedies of Hamlet, Prince of Denmark", "d:Description": "Donald Foster focuses on the Q1 Hamlet.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/fostshak.html"} +{"d:Title": "Certain Speculations on Hamlet, the Calendar, and Martin Luther", "d:Description": "Steve Sohmer argues that Shakespeare linked the principal events in Hamlet to particular holy days, and that the play's first audiences could identify these holy days from cues in the text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/02-1/sohmshak.html"} +{"d:Title": "Shakespeare's Hamlet and the Controversies of Self", "d:Description": "Roger Starling reviews the John Lee book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/07-2/starlrev.htm"} +{"d:Title": "A Note on Hamlet's Illegitimacy: Identifying a Source of the \"dram of eale\" Speech (Q2 1.4.17-38)", "d:Description": "Steve Sohmer identifies a previously unrecognized source for Hamlet's speech: De Laudibus Legum Angliae, written by Sir John Fortescue (1394? - 1476?), Chief Justice of the King's Bench under Henry VI.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/06-3/sohmnote.htm"} +{"d:Title": "A Synoptic Hamlet: A Critical-Synoptic Edition of the Second Quarto and First Folio Texts of Hamlet", "d:Description": "Steve Roth reviews the Jes\u00fas Tronch-P\u00e9rez book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/08-3/rothrev.htm"} +{"d:Title": "Hamlet as the Christmas Prince: Certain Speculations on Hamlet, the Calendar, Revels, and Misrule", "d:Description": "Steve Roth analyzes a two-month trope in the Hamlet quartos.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/07-3/2RothHam.htm"} +{"d:Title": "Making Mother MatterRepression, Revision, and the Stakes of Reading Psychoanalysis Into Kenneth Branagh's Hamlet", "d:Description": "Courtney Lehmann and Lisa S. Starks argue that \"Branagh's Hamlet reproduces the Oedipal triangle in its most conspicuous, paternalistic form.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/06-1/lehmhaml.htm"} +{"d:Title": "Loose Ends and Inconsistencies in the First Quarto of Shakespeare's", "d:Description": "Y. S. Bains rebuts G. R. Hibbard's general conclusions about the quality of the text of Q1.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Reviews", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/files/LOOSE%20ENDS.txt"} +{"d:Title": "Enjoying Hamlet", "d:Description": "Ed Friedlander, M.D provides scene summaries and tips for reading Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Study_Guides", "url": "http://www.pathguy.com/hamlet.htm"} +{"d:Title": "Hamlet Navigator", "d:Description": "Annotated text, search engine, scene summaries, character reviews, and explanations of themes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Study_Guides", "url": "http://www.shakespeare-navigators.com/hamlet"} +{"d:Title": "Literature Network: Hamlet", "d:Description": "Scene indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Texts", "url": "http://www.online-literature.com/shakespeare/hamlet/"} +{"d:Title": "Ebook Cube: Hamlet", "d:Description": "Scene-indexed HTML of the complete text. Includes a biography.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Texts", "url": "http://www.ebooks3.com/ebooks/hamlet.html"} +{"d:Title": "William Shakespeare: Hamlet", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Texts", "url": "http://www.william-shakespeare.info/shakespeare-play-hamlet.htm"} +{"d:Title": "MaximumEdge Shakespeare: Hamlet", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Texts", "url": "http://www.maximumedge.com/shakespeare/hamlet.htm"} +{"d:Title": "MIT: Hamlet", "d:Description": "Scene-indexed HTML version.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Texts", "url": "http://shakespeare.mit.edu/hamlet/"} +{"d:Title": "Renascence Editions: Hamlet", "d:Description": "PDF of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Hamlet/Texts", "url": "https://scholarsbank.uoregon.edu/xmlui/handle/1794/820"} +{"d:Title": "Titles from Julius Caesar", "d:Description": "A listing of book and play titles taken from Julius Caesar.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar", "url": "http://www.barbarapaul.com/shake/julius.html"} +{"d:Title": "Time for the Plebs in Julius Caesar", "d:Description": "Christopher Holmes argues that the play can be read and performed in ways that bring out a plebeian account of temporal order.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar", "url": "http://extra.shu.ac.uk/emls/07-2/holmjuli.htm"} +{"d:Title": "The Perseus Project: Julius Caesar", "d:Description": "Texts, historical sources and analogues, and student projects.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar", "url": "http://www.perseus.tufts.edu/hopper/text?doc=Perseus:text:1999.03.0027:act%3Dcast"} +{"d:Title": "Paraphrase of Julius Caesar", "d:Description": "A paraphrase of Shakespeare's play, intended as a supplement to the original work.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar", "url": "http://lklivingston.tripod.com/caesar/"} +{"d:Title": "Julius Caesar", "d:Description": "HTML version of the play, with various other features.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar/Texts", "url": "http://www.online-literature.com/shakespeare/julius_caesar/"} +{"d:Title": "William Shakespeare: Julius Caesar", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar/Texts", "url": "http://www.william-shakespeare.info/shakespeare-play-julius-caesar.htm"} +{"d:Title": "MaximumEdge Shakespeare: Julius Caesar", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar/Texts", "url": "http://www.maximumedge.com/shakespeare/juliuscaesar.htm"} +{"d:Title": "MIT: Julius Caesar", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Julius_Caesar/Texts", "url": "http://shakespeare.mit.edu/julius_caesar/"} +{"d:Title": "Enjoying King Lear", "d:Description": "Lear-related information, compiled by Ed Friedlander M.D.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://www.pathguy.com/kinglear.htm"} +{"d:Title": "TheatreHistory.com: King Lear", "d:Description": "History and analysis of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://www.theatrehistory.com/british/kinglear001.html"} +{"d:Title": "Titles from King Lear", "d:Description": "A list of book and play titles derived from King Lear.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://www.barbarapaul.com/shake/lear.html"} +{"d:Title": "King Lear Text: presented by Dr. Larry A. Brown", "d:Description": "Full text, with annotations and explanation of the two major texts traditions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://larryavisbrown.homestead.com/files/Lear/lear_home.htm"} +{"d:Title": "King Lear - Wikipedia, the free encyclopedia", "d:Description": "Plot Summary, Character Lists, Sources, History, Film Adaptations, and further links.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://en.wikipedia.org/wiki/King_Lear"} +{"d:Title": "King Lear in Its Own Time: The Difference that Death Makes", "d:Description": "Ben Ross Schneider, Jr. suggests that \"one way of bridging the gap between us and Shakespeare might be to imagine what it was like to live under the material conditions determining human existence in Shakespeare's time and deducing what the moral consequences of these conditions might be.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://extra.shu.ac.uk/emls/01-1/schnlear.html"} +{"d:Title": "King Lear and the Naked Truth: Rethinking the Language of Religion and Resistance", "d:Description": "Ken Jackson reviews the Judy Kronenfeld book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://extra.shu.ac.uk/emls/06-2/jackrev.htm"} +{"d:Title": "Lear: From Study to Stage", "d:Description": "Bryan N. S. Gooch reviews the James Ogden and Arthur H. Scouten book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://extra.shu.ac.uk/emls/06-2/goochrev.htm"} +{"d:Title": "The Lunar Calendar of Shakespeare's King Lear", "d:Description": "Steve Sohmer argues that \"textual evidence in the Q1 Lear suggests that the play was purpose-written (or substantially revised) for performance before King James I on 26 December 1606.\"", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://extra.shu.ac.uk/emls/05-2/sohmlear.htm"} +{"d:Title": "\"Unaccommodated man\" and His Discontents in King Lear: Edmund the Bastard and Interrogative Puns", "d:Description": "Anthony Gilbert engages structuralist theory, suggesting that the connection between signifier and signified is loosened and exposed as arbitrary, allowing for alternative interpretations of the sense.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://extra.shu.ac.uk/emls/06-2/gilbedm.htm"} +{"d:Title": "The Character of Kent in King Lear", "d:Description": "By Donald LaGreca. First published in the Spring 1986 Shakespeare Oxford Society Newsletter.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://www.shakespeare-oxford.com/?p=67"} +{"d:Title": "King Lear Beyond Reason: Love and Justice in the Family", "d:Description": "Essay regarding the conflict within the parent/child relationship as exemplified in King Lear.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear", "url": "http://www.firstthings.com/article/1993/10/003-king-lear-beyond-reason-love-and-justice-in-the-family"} +{"d:Title": "WXS.ca: King Lear", "d:Description": "A diagram of the character relationships in King Lear, as well as short descriptions of some of the connections and characters.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Study_Guides", "url": "http://www.wxs.ca/lear/"} +{"d:Title": "Literature Network: King Lear", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://www.online-literature.com/shakespeare/kinglear/"} +{"d:Title": "King Lear", "d:Description": "Complete text, with Quarto and Folio variations indicated, plus full annotation and notes on production interpretations.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://larryavisbrown.homestead.com/files/Lear/lear_home.htm"} +{"d:Title": "Bartleby: King Lear", "d:Description": "Scene-indexed HTML of the complete text; search feature.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://www.bartleby.com/46/3/"} +{"d:Title": "William Shakespeare: The Tragedy of King Lear", "d:Description": "Full text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://www.literaturepage.com/read/shakespeare_kinglear.html"} +{"d:Title": "William Shakespeare info: King Lear", "d:Description": "Plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://www.william-shakespeare.info/shakespeare-play-king-lear.htm"} +{"d:Title": "MaximumEdge: King Lear", "d:Description": "Scene-indexed and searchable.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://www.maximumedge.com/shakespeare/kinglear.htm"} +{"d:Title": "MIT: King Lear", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://shakespeare.mit.edu/lear/"} +{"d:Title": "King Lear", "d:Description": "Full text from the University of Victoria, Canada.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://web.uvic.ca/~mbest1/engl366b/lrtoc.html"} +{"d:Title": "King Lear", "d:Description": "HTML version of Shakespeare's play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://shakespeare.mit.edu/lear/full.html"} +{"d:Title": "King Lear Q2", "d:Description": "The \"1608\" [i.e., 1619] quarto edition of King Lear.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://internetshakespeare.uvic.ca/Annex/Texts/Lr/Q1/scene/"} +{"d:Title": "Tate's Lear", "d:Description": "Nahum Tate's The History of King Lear, 1681.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/King_Lear/Texts", "url": "http://king-lear.org/nahum_tate"} +{"d:Title": "Enjoying Macbeth", "d:Description": "Resources, pictures, essays and discussions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://www.pathguy.com/macbeth.htm"} +{"d:Title": "TheatreHistory.com: Macbeth", "d:Description": "A critical analysis of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://www.theatrehistory.com/british/macbeth001.html"} +{"d:Title": "Macbeth - An Original Presentation", "d:Description": "Letters and vignettes written by Tiffany Ng, outlining the tragedy of Macbeth.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://www.angelfire.com/ma/macbethproject/"} +{"d:Title": "Titles From Macbeth", "d:Description": "A listing of book and play titles taken from Macbeth.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://www.barbarapaul.com/shake/macbeth.html"} +{"d:Title": "The Custom of the Castle: From Malory to Macbeth", "d:Description": "J.B. Lethbridge reviews the Charles Ross book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://extra.shu.ac.uk/emls/03-2/rev_let1.html"} +{"d:Title": "Witches and Jesuits: Shakespeare's Macbeth.", "d:Description": "Michael T. Siconolfi reviews the Garry Wills book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://extra.shu.ac.uk/emls/02-3/rev_sic1.html"} +{"d:Title": "The Very Large Textual Object: A Prosthetic Reading of Shakespeare", "d:Description": "Jonathan Hope and Michael Witmore locate repeated references to the word \"hands\" in Macbeth, and then link these repetitions to what the play is about: guilt and action.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://extra.shu.ac.uk/emls/09-3/hopewhit.htm"} +{"d:Title": "Legends - Shakespeare's Stories - Macbeth", "d:Description": "Background information and sources.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://bestoflegends.org/shakespeare/macbeth.html"} +{"d:Title": "Macbeth with Kelsey Grammer", "d:Description": "Review of a performance of Shakespeare's Macbeth, played in Boston.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth", "url": "http://www.johnpierce.net/macb.html"} +{"d:Title": "Macbeth Navigator", "d:Description": "Annotated text, search engine, scene summaries, index of themes and motifs, bibliography of literary criticism.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth/Study_Guides", "url": "http://www.shakespeare-navigators.com/macbeth"} +{"d:Title": "Flarez Realm: Macbeth", "d:Description": "Notes on the play pertaining to film and stage: dramatis personae, theme, imagery and irony, setting and background.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth/Study_Guides", "url": "http://webspace.webring.com/people/ef/flarewuzhere/macbeth.html"} +{"d:Title": "JiffyNotes: Macbeth", "d:Description": "Plot summary and analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth/Study_Guides", "url": "http://www.jiffynotes.com/Macbeth/HistoricalContext.html"} +{"d:Title": "Literature Network: Macbeth", "d:Description": "Scene-indexed and searchable HTML of the complete text. Includes a summary and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth/Texts", "url": "http://www.online-literature.com/shakespeare/macbeth/"} +{"d:Title": "Bartleby: Macbeth", "d:Description": "Scene-indexed and searchable HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth/Texts", "url": "http://www.bartleby.com/46/4/"} +{"d:Title": "William Shakespeare: Macbeth", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth/Texts", "url": "http://www.william-shakespeare.info/shakespeare-play-macbeth.htm"} +{"d:Title": "MIT: Macbeth", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Macbeth/Texts", "url": "http://shakespeare.mit.edu/macbeth/"} +{"d:Title": "Theatredance: Othello", "d:Description": "Red-Letter text of the play with full summary, analysis, links and notes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello", "url": "http://www.theatredance.com/othello/"} +{"d:Title": "Titles From Othello", "d:Description": "A listing of book and play titles taken from Othello.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello", "url": "http://www.barbarapaul.com/shake/othello.html"} +{"d:Title": "Othello, the Baroque, and Religious Mentalities", "d:Description": "Anthony Gilbert suggests that baroque influence extends beyond the visual aesthetics of production to subject matter and topics in the actual text itself.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello", "url": "http://extra.shu.ac.uk/emls/07-2/gilboth.htm"} +{"d:Title": "Othello Navigator", "d:Description": "Study Guide. Includes annotated text, search engine, summaries, character information, and theme discussion.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello", "url": "http://www.shakespeare-navigators.com/othello"} +{"d:Title": "JiffyNotes: Othello", "d:Description": "Plot summary and analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello", "url": "http://www.jiffynotes.com/Othello/HistoricalContext.html"} +{"d:Title": "Literature Network: Othello", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello/Texts", "url": "http://www.online-literature.com/shakespeare/othello/"} +{"d:Title": "William Shakespeare: Othello", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello/Texts", "url": "http://www.william-shakespeare.info/shakespeare-play-othello.htm"} +{"d:Title": "Othello", "d:Description": "HTML text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello/Texts", "url": "http://shakespeare.mit.edu/othello/"} +{"d:Title": "Othello", "d:Description": "HTML version of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello/Texts", "url": "http://shakespeare.mit.edu/othello/full.html"} +{"d:Title": "Renascence Editions: Othello", "d:Description": "Complete text in PDF.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Othello/Texts", "url": "https://scholarsbank.uoregon.edu/xmlui/bitstream/handle/1794/851/othello.pdf?sequence=1"} +{"d:Title": "TheatreHistory: Romeo and Juliet", "d:Description": "A critical analysis of the play.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet", "url": "http://www.theatrehistory.com/british/romeoandjuliet001.html"} +{"d:Title": "Titles From Romeo and Juliet", "d:Description": "A listing of book and play titles taken from Romeo and Juliet.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet", "url": "http://www.barbarapaul.com/shake/romeo.html"} +{"d:Title": "Romeo and Juliet", "d:Description": "Links, a list of performances, and relevant pages.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet", "url": "http://internetshakespeare.uvic.ca/Library/Texts/Rom/"} +{"d:Title": "Shakespeare Navigators: Romeo and Juliet", "d:Description": "Annotated text, search engine, character analysis, index of themes, chronology, and background information on the sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet/Study_Guides", "url": "http://www.shakespeare-navigators.com/romeo"} +{"d:Title": "JiffyNotes: Romeo and Juliet", "d:Description": "Plot summary and analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet/Study_Guides", "url": "http://www.jiffynotes.com/RomeoandJuliet/HistoricalContext.html"} +{"d:Title": "Literature Network: Romeo and Juliet", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet/Texts", "url": "http://www.online-literature.com/shakespeare/romeo_and_juliet/"} +{"d:Title": "William Shakespeare info: Romeo and Juliet", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet/Texts", "url": "http://www.william-shakespeare.info/shakespeare-play-romeo-and-juliet.htm"} +{"d:Title": "Romeo&Juliet Script", "d:Description": "Downloadable Word documents of Shakespeare's complete original script with annotations, suitable for study or performance, plus an adapted version.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet/Texts", "url": "http://www.hundsness.com/plays/"} +{"d:Title": "MIT: Romeo and Juliet", "d:Description": "Scene-indexed HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet/Texts", "url": "http://shakespeare.mit.edu/romeo_juliet/"} +{"d:Title": "Renascence Editions: Romeo and Juliet", "d:Description": "HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Romeo_and_Juliet/Texts", "url": "http://extra.shu.ac.uk/emls/iemls/resour/mirrors/rbear/shake/rj.html"} +{"d:Title": "Literature Network: Timon of Athens", "d:Description": "Scene-indexed HTML of the complete text. Summary, search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Timon_of_Athens", "url": "http://www.online-literature.com/shakespeare/timonofathens/"} +{"d:Title": "William Shakespeare: Timon of Athens", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Timon_of_Athens", "url": "http://www.william-shakespeare.info/shakespeare-play-timon-of-athens.htm"} +{"d:Title": "Titles From Timon of Athens", "d:Description": "A listing of book and play titles taken from Timon of Athens.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Timon_of_Athens", "url": "http://www.barbarapaul.com/shake/timon.html"} +{"d:Title": "Renascence Editions: Timon of Athens", "d:Description": "HTML of the complete text.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Timon_of_Athens", "url": "http://extra.shu.ac.uk/emls/iemls/resour/mirrors/rbear/shake/ta.html"} +{"d:Title": "Literature Network: Titus Andronicus", "d:Description": "Scene-indexed HTML of the complete text. Summary, a search feature, and author information.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Titus_Andronicus", "url": "http://www.online-literature.com/shakespeare/titusandronicus/"} +{"d:Title": "William Shakespeare: Titus Andronicus", "d:Description": "Full text, plot summary, first performances, and quotes.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Titus_Andronicus", "url": "http://www.william-shakespeare.info/shakespeare-play-titus-and-andronicus.htm"} +{"d:Title": "Titles from Titus Andronicus", "d:Description": "A listing of book and play titles taken from Titus Andronicus.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Titus_Andronicus", "url": "http://www.barbarapaul.com/shake/titus.html"} +{"d:Title": "Understanding Shakespeare's Titus Andronicus and the EMEDD", "d:Description": "Ian Lancashire demonstrates the usefulness of the EMEDD applied to electronic texts.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Plays/Tragedies/Titus_Andronicus", "url": "http://extra.shu.ac.uk/emls/si-01/si-01lancashire.html"} +{"d:Title": "William Shakespeare Poems", "d:Description": "The Phoenix and the Turtle, Rape of Lucrece, A Lover's Complaint and Venus and Adonis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry", "url": "http://www.william-shakespeare.info/william-shakespeare-poems.htm"} +{"d:Title": "Narrative and the Forms of Desire in Shakespeare's Venus and Adonis", "d:Description": "Gary Kuchar does not believe that adequate attention has been paid to the rhetorical and intertextual elements that work to effect a reader's frustration.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry", "url": "http://extra.shu.ac.uk/emls/05-2/kuchvenu.htm"} +{"d:Title": "Shakespeare Online: The Sonnets", "d:Description": "Notes and an annotated paraphrasing in modern English.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.shakespeare-online.com/sonnets/"} +{"d:Title": "Shake-Speare's Sonnets (1609)", "d:Description": "Renaissance-era edition of Shakespeare's sonnets. From the University of Toronto.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.library.utoronto.ca/utel/ret/shakespeare/1609inti.html"} +{"d:Title": "HarperAudio: Shakespeare's Sonnets", "d:Description": "Sir John Gielgud reads the sonnets, accompanied by music performed by the Elizabethan Consort of Viols and the Taylor Consort of Recorders, Leslie Pearson, Conductor.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://town.hall.org/Archives/radio/IMS/HarperAudio/020994_harp_ITH.html"} +{"d:Title": "Shakespeare's Sonnets", "d:Description": "The complete sonnets with line-by-line notes and analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.shakespeares-sonnets.com/"} +{"d:Title": "Shakespeare's Dark Lady", "d:Description": "Ebook by Ian Wilson analyzes the identity of the Dark Lady of the sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.shakespearesdarklady.com/"} +{"d:Title": "William Shakespeare Sonnets", "d:Description": "Complete works with definitions of the poetic style.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.william-shakespeare.info/william-shakespeare-sonnets.htm"} +{"d:Title": "I Love Shakespeare", "d:Description": "In modern English indexed by theme and topic.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.iloveshakespeare.com/"} +{"d:Title": "The Oxford Shakespeare", "d:Description": "Full text of the Oxford Shakespeare from Bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.bartleby.com/70/index1.html"} +{"d:Title": "Poets' Corner", "d:Description": "The complete sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.theotherpages.org/poems/sonnet01.html"} +{"d:Title": "Sonnets of William Shakespeare", "d:Description": "Indexed by first line.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.everypoet.com/archive/poetry/William_Shakespeare/william_shakespeare_contents.htm"} +{"d:Title": "Titles From The Sonnets", "d:Description": "A listing of book and play titles taken from Shakespeare's sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.barbarapaul.com/shake/sonnets.html"} +{"d:Title": "Shakepeare's Sonnets", "d:Description": "The sonnets with analysis.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://matteohead.tripod.com/sonnet.htm"} +{"d:Title": "Viola Fair", "d:Description": "All 154 of Shakespeare's sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets", "url": "http://www.violafair.com/poetry/shake/shakespeare.htm"} +{"d:Title": "The Eternal Self in Shakespeare's Sonnets", "d:Description": "Essay by Madeline Clarke.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets/Reviews", "url": "http://www.theosophy-nw.org/theosnw/arts/ar-mclk3.htm"} +{"d:Title": "Cheerful Girls and Willing Boys: Old and Young Bodies in Shakespeare's Sonnets", "d:Description": "Ian MacInnes suggests that 17th century medical experimentation in the transfusing of animal blood provides a basis for analyzing a literary work like Shakespeare's Sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/macisonn.htm"} +{"d:Title": "Surpassing Glass: Shakespeare's Mirrors", "d:Description": "Philippa Kelly examines the trope of mirrors in a variety of authors and works, including Shakespeare's sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets/Reviews", "url": "http://extra.shu.ac.uk/emls/08-1/kellglas.htm"} +{"d:Title": "Shake-speare's Sonnets are Stratfordians' Achilles' Heel", "d:Description": "by Joseph Sobran, first published in the Spring 1998 Shakespeare Oxford Newsletter.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets/Reviews", "url": "http://www.shakespeare-oxford.com/?p=53"} +{"d:Title": "Master F.W.D., R.I.P.", "d:Description": "Essay by John M. Rollett, from the Fall 1997 Shakespeare Oxford Newsletter, addressing questions surrounding the Dedication in the Sonnets.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Poetry/Sonnets/Reviews", "url": "http://www.shakespeare-oxford.com/?p=78"} +{"d:Title": "Shakespeare and the Geography of Difference", "d:Description": "Patricia Badir reviews the John Gillies book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/01-1/rev_bad1.html"} +{"d:Title": "Women Reading Shakespeare 1660-1900: An Anthology of Criticism", "d:Description": "Christine Mack Gordon reviews the Ann Thompson and Sasha Roberts book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-1/rev_gor1.html"} +{"d:Title": "Performing Nostalgia: Shifting Shakespeare and the Contemporary Past", "d:Description": "Robert Grant Williams reviews the Susan Bennett book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-3/rev_wil1.html"} +{"d:Title": "Shakespeare\u2019s Universe: Renaissance Ideas and Conventions (Essays in Honour of W.R. Elton)", "d:Description": "Steve Cirrone reviews the John M. Mucciolo book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-1/rev_cirr.html"} +{"d:Title": "The Purpose of Playing: Shakespeare and the Cultural Politics of the Elizabethan Theatre", "d:Description": "Paul Budra reviews the Louis Montrose book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-2/rev_bud1.html"} +{"d:Title": "Shakespeare the Historian", "d:Description": "Michael T. Siconolfi reviews the Paola Pugliatti book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/rev_sic2.html"} +{"d:Title": "Shakespeare's Festive Tragedy: The Rituals Foundations of Genre", "d:Description": "Jeffrey Kahan reviews the Naomi Conn Liebler book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-3/rev_kah1.html"} +{"d:Title": "Shakespeare's Globe Rebuilt", "d:Description": "Bryan N.S. Gooch reviews the J.R. Mulryne and Margaret Shewring book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/rev_goo5.html"} +{"d:Title": "Shakespeare, the Movie: Popularizing the Plays on Film, TV, and Video", "d:Description": "Mark Thornton Burnett reviews the Lynda E. Boose and Richard Burt book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/rev_bur.html"} +{"d:Title": "The Real Shakespeare: Retrieving the Early Years", "d:Description": "Bryan N.S. Gooch reviews the Eric Sams book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-2/rev_goo1.html"} +{"d:Title": "Foreword: Critical Shakespeare", "d:Description": "Joanne Woolway on the all Shakespeare issue of Early Modern Literary Studies 2.1 (1996).", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-1/foreword.html"} +{"d:Title": "Shakespeare and National Culture", "d:Description": "Swen Voekel reviews the John J. Joughin book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/rev_voe.html"} +{"d:Title": "A Dictionary of Sexual Language and Imagery in Shakespearean and Stuart Literature", "d:Description": "Douglas Bruster reviews the Gordon Williams book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-3/rev_bru1.html"} +{"d:Title": "The Image of America in Montaigne, Spenser, and Shakespeare: Renaissance Ethnography and Literary Reflection", "d:Description": "Donna C. Woodford reviews the William M. Hamlin book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-1/rev_woo1.html"} +{"d:Title": "Textual Intercourse: Collaboration, Authorship, and Sexualities in Renaissance Drama", "d:Description": "Mary Bly reviews the Jeffrey Masten book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-1/rev_bly3.html"} +{"d:Title": "Shakespeare and the Authority of Performance", "d:Description": "Amina Alyal reviews the W.B. Worthen book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-3/alyarev.html"} +{"d:Title": "Esteem Enlivened by Desire: The Couple from Homer to Shakespeare.", "d:Description": "Paul G. Stanwood reviews the Jean H. Hagstrum book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/01-3/rev_sta1.html"} +{"d:Title": "Scholars and Gentlemen: Shakespearean Textual Criticism and Representations of Scholarly Labour, 1725-1765", "d:Description": "Bryan N.S. Gooch reviews the Simon Jarvis book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-3/rev_goo2.html"} +{"d:Title": "Recovering Shakespeare's Theatrical Vocabulary", "d:Description": "W.L. Godshalk reviews the Alan C. Dessen book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/01-3/rev_god1.html"} +{"d:Title": "The Merchant of Venice: Choice, Hazard and Consequence", "d:Description": "Bryan N.S. Gooch reviews the Joan Ozark Holmer book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-2/rev_goo4.html"} +{"d:Title": "Reading Shakespeare Historically", "d:Description": "Anne McLaren reviews the Lisa Jardine book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-2/rev_mcla.html"} +{"d:Title": "Shakespeare from the Margins: Language, Culture, Context", "d:Description": "Mary Bly reviews the Patricia Parker book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-1/rev_bly2.html"} +{"d:Title": "Impersonations: The Performance of Gender in Shakespeare's England", "d:Description": "Anthony Dawson reviews the Stephen Orgel book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-2/rev_daw2.html"} +{"d:Title": "Shakespeare, Spenser, and the Crisis in Ireland", "d:Description": "Christopher Ivic reviews the Christopher Highley book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-3/ivicrev.html"} +{"d:Title": "The Shapes of Revenge: Victimization, Vengeance, and Vindictiveness in Shakespeare", "d:Description": "Bryan N.S. Gooch reviews the Harry Keyishian book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-1/rev_goo6.html"} +{"d:Title": "Shakespeare, Aphra Behn and the Canon", "d:Description": "Nancy Bunker reviews the W. R. Owens and Lizbeth Goodman book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/rev_bun.html"} +{"d:Title": "Fat King, Lean Beggar: Representations of Poverty in the Age of Shakespeare", "d:Description": "Michael Long reviews the William S. Carroll book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-1/rev_long.html"} +{"d:Title": "Inscribing the Time: Shakespeare and the End of Elizabethan England", "d:Description": "Tony Dawson revies the Eric S. Mallin book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-1/rev_daw1.html"} +{"d:Title": "Shakespeare's Troy: Drama, Politics and the Translation of Empire", "d:Description": "Huw Griffiths reviews the Heather James book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-3/griffrev.html"} +{"d:Title": "The Arden Shakespeare CD-ROM: Texts and Sources for Shakespeare Study", "d:Description": "R.G. Siemens reviews the collection, the editors of which are Jonathan Bate and Thomas Nelson.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-2/rev_siem.html"} +{"d:Title": "The Common Reader's Shakespeare", "d:Description": "Ian Lancashire examines the role that Internet Shakespeare Editions must play in the future.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/lancshak.html"} +{"d:Title": "Disparate Structures, Electronic and Otherwise", "d:Description": "R.G. Siemens examines the inherent problems and potentials of electronic editions.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-3/siemshak.html"} +{"d:Title": "\"That Liberty and Common Conversation\": A Review of the SHAKSPER Listserv Discussion Group", "d:Description": "By Sean Lawrence.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/02-1/rev_law1.html"} +{"d:Title": "A Bibliography of Secondary Texts Relating to Early Modern Literature and Geography", "d:Description": "By Joanne Woolway Grenfell; published in 1998.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-2/woolbibl.htm"} +{"d:Title": "12 June 1599: Opening Day at Shakespeare's Globe", "d:Description": "Steve Sohmer narrows the window of dates for the Globe's premiere to the interval 3 June-5 September 1599.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/03-1/sohmjuli.html"} +{"d:Title": "Shakespeare and the Politics of Community", "d:Description": "Ian Ward investigates the idea of narrative community, and suggests its import for contemporary legal and political theory.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/04-3/wardshak.html"} +{"d:Title": "The First Quarto of Hamlet and Edward III", "d:Description": "Christine Mack Gordon reviews two books: The First Quarto of Hamlet, Ed. Kathleen O. Irace; King Edward III. Ed. Giorgio Melchiori.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/gordonrev.htm"} +{"d:Title": "Shame in Shakespeare", "d:Description": "Jerry Brotton reviews the Ewan Fernie book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/08-1/brotrev.htm"} +{"d:Title": "English Shakespeares and Much Ado About Nothing", "d:Description": "Christine Mack Gordon reviews two books: English Shakespeares: Shakespeare on the English Stage in the 1990s, by Peter Holland; English Shakespeares and Much Ado About Nothing, by John Cox.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/05-3/gordrev.htm"} +{"d:Title": "Unspeakable Shaxxxspeares: Kiddie Culture, Queer Theory, and Loser Criticism", "d:Description": "Indira Ghose reviews the Richard Burt book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/ghosrev.htm"} +{"d:Title": "Shakespeare After Theory", "d:Description": "Edward Gieskes reviews the David Scott Kastan book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-1/gieskrev.htm"} +{"d:Title": "Shakespeare, Sex, and the Print Revolution", "d:Description": "Amina Alyal reviews the Gordon Williams book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-3/alyarev.htm"} +{"d:Title": "Shakespeare's Promises", "d:Description": "Danielle Clarke reviews the William Kerrigan book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/09-3/revclar.htm"} +{"d:Title": "Plays Upon the Word: Shakespeare's Drama of Language", "d:Description": "John Pendergast reviews the David Lucking book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-1/pendrev.htm"} +{"d:Title": "The Vanishing: Shakespeare, the Subject, and Early Modern Culture", "d:Description": "Thomas Page Anderson reviews the Christopher Pye book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/08-2/anderev.html"} +{"d:Title": "Particular Saints: Shakespeare's Four Antonios, Their Contexts, and Their Plays", "d:Description": "Elizabeth Burow-Flak reviews the Cynthia Lewis book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/05-2/burorev.htm"} +{"d:Title": "Religion in the Drama of Shakespeare and his Contemporaries", "d:Description": "Corinne S. Abate reviews the Gerald M. Pinciss book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-3/abaterev.htm"} +{"d:Title": "Shakespeare Editions, A Shakespeare Suite CD-Rom", "d:Description": "Matthew Steggle reviews the collection.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/09-2/stegbest.html"} +{"d:Title": "Shakespeare: A Life", "d:Description": "Stuart Hampton-Reeves reviews the Park Honan book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/hamprev.htm"} +{"d:Title": "Between Nations: Shakespeare, Spenser, Marvell, and the Question of Britain", "d:Description": "Andrew Murphy reviews the David J. Baker book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/murphrev.htm"} +{"d:Title": "Shakespeare and the Good Life: Ethics and Politics in Dramatic Form", "d:Description": "Jeffrey Tessier reviews the David Lowenthal book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/05-3/tessrev.htm"} +{"d:Title": "The Rhetoric of the Body: From Ovid To Shakespeare", "d:Description": "Gary Kuchar reviews the Lynn Enterline book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/07-3/kuchrev.htm"} +{"d:Title": "Shakespeare&the Poets' War", "d:Description": "Matthew Steggle reviews the James Bednarz book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/07-3/steg1rev.htm"} +{"d:Title": "In Arden: Editing Shakespeare: Essays in Honour of Richard Proudfoot", "d:Description": "Margaret Jane Kidnie reviews the Ann Thompson and Gordon McMullan book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/09-2/kidthom.html"} +{"d:Title": "Shakespeare's Speech-Headings", "d:Description": "Andrew Murphy reviews the George Walton Williams book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/waltrev.htm"} +{"d:Title": "Shakespeare Among the Moderns and Philosophical Shakespeares", "d:Description": "Roger Starling reviews the Richard Halpern book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-2/starlrev.htm"} +{"d:Title": "The Cambridge King Lear CD-ROM: Text and Performance Archive", "d:Description": "Michael Best reviews the Christie Carson and Jacky Bratton book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/09-1/bestrev.html"} +{"d:Title": "Shakespeare's Mystery Play: The Opening of the Globe, 1599", "d:Description": "Gabriel Egan reviews the Steve Sohmer book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/05-3/eganrev.htm"} +{"d:Title": "Dizzying the Arithmetic of Memory: Shakespearean Documents as Text, Image, and Code", "d:Description": "Alan Galey explores the consequences of the affinity between Shakespearean content and electronic media.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/09-3/galedizz.htm"} +{"d:Title": "Looking with Ears, Hearing with Eyes: Shakepeare and the Ear of the Early Modern", "d:Description": "Mark Robson examines the problems posed by orality in various Shakespearean plays.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/07-1/robsears.htm"} +{"d:Title": "Shakespeare on Television: A Bibliography of Criticism", "d:Description": "Its coverage is exhaustive up to 1999, by Jos\u00e9 Ram\u00f3n D\u00edaz-Fern\u00e1ndez.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/06-1/diazbibl.htm"} +{"d:Title": "Marxist Shakespeares", "d:Description": "Gabriel Egan reviews the Jean E. Howard and Scott Cutler Shershow book.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/07-2/eganrev.htm"} +{"d:Title": "Public Privates", "d:Description": "Al Cacicedo's preliminary notes for a longish essay on gender identity in Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/iemls/shaksper/files/PRIVATE%20PARTS.txt"} +{"d:Title": "Did Shakespeare Consciously Use Archaic English?", "d:Description": "Mary Catherine Davidson suggests that sources such as Chaucerian glossaries can provide a starting point for examining if and how Shakespeare used archaic words.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/si-01/si-01davidson.html"} +{"d:Title": "Reconstructing Shakespeare's Second Globe Using Computer Aided Design (CAD) Tools", "d:Description": "Tim Fitzpatrick describes a research project which used computer-aided design in an attempt to understand the structural features implicit in Wenzel Hollar's 1630s sketch of the second Globe playhouse in London.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/si-13/fitzpatrick/index.htm"} +{"d:Title": "A Double Spirit of Teaching: What Shakespeare's Teachers Teach Us", "d:Description": "Patricia Winson suggests that the actual teachers in Shakespeare's plays show, in a very real way, his rebuttal against Elizabethan pedagogical debates.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://extra.shu.ac.uk/emls/si-01/si-01winson.html"} +{"d:Title": "Early Modern Culture: An Electronic Seminar", "d:Description": "An e-journal with current and back issues containing articles on Shakespeare.", "topic": "Top/Arts/Literature/World_Literature/British/Shakespeare/Works/Reviews", "url": "http://emc.eserver.org/"} +{"d:Title": "Aspects of the Victorian Book", "d:Description": "British Library site providing illustrated information on the production and publication of books in England, 1800-1900.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.bl.uk/collections/early/victorian/intro.html"} +{"d:Title": "The Victorian Web", "d:Description": "Scholarly resources on a wide range of Victorian topics: political and social history, philosophy, literature, individual authors, visual arts, science, technology, religion, entertainment, and economy. Developed by George P. Landow at Brown University.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.victorianweb.org/"} +{"d:Title": "Literary Resources - Victorian British (Lynch)", "d:Description": "Index of literary resources, focusing on those of interest to scholars. Searchable and organized by period and topic.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://andromeda.rutgers.edu/~jlynch/Lit/victoria.html"} +{"d:Title": "Victorian Web Sites", "d:Description": "Index of resources related to Victorian literature. Includes separate sections for Elizabeth Gaskell, the Bronte sisters, Thomas Hardy, and Charles Dickens.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.lang.nagoya-u.ac.jp/~matsuoka/Victorian.html"} +{"d:Title": "Victorian Prose Archive: E-Texts for Victorianists", "d:Description": "British Victorian fiction and non-fiction prose texts in PDF format. Authors include Arnold, Carlyle, Froude, Newman, Pater, and Wilde.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.ajdrake.com/etexts/"} +{"d:Title": "James Orchard Halliwell-Phillipps", "d:Description": "Victorian scholar and author. Texts of small monographs on Shakespeare and British history.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.presscom.co.uk/halli_1.html"} +{"d:Title": "The Victorian Dictionary", "d:Description": "A guide to the social history of Victorian London, comprised of excerpts from primary sources arranged alphabetically.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.victorianlondon.org/"} +{"d:Title": "Robert Buchanan", "d:Description": "Victorian poet, novelist and dramatist (1841-1901). Biographical information, bibliography, selected poems.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.robertbuchanan.co.uk/"} +{"d:Title": "Victorian Women Writers Project Library", "d:Description": "Texts by a range of authors in HTML and TEI formats, or for download.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://webapp1.dlib.indiana.edu/vwwp/welcome.do"} +{"d:Title": "Victorian Literature", "d:Description": "Collection of links to sites including bibliographies, literary background and electronic texts.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian", "url": "http://www.d.umn.edu/~csigler/Victorian.html"} +{"d:Title": "Victorian Database Online", "d:Description": "Over 100,000 records listing books, articles, and dissertation abstracts published from 1945 to 2002.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian/Papers_and_Articles", "url": "http://www.victoriandatabase.com/default.cfm"} +{"d:Title": "Victorian Page", "d:Description": "Intended for students of Victorian literature and culture, the site reflects the eclectic format of Victorian periodicals, with some emphasis on Victorian women journalists and their magazines.", "topic": "Top/Arts/Literature/World_Literature/British/Victorian/Papers_and_Articles", "url": "http://victorianpage.com/"} +{"d:Title": "Art.bg on the history of Bulgarian literature", "d:Description": "Significant figures and events.", "topic": "Top/Arts/Literature/World_Literature/Bulgarian", "url": "http://www.art.bg/lit.htm"} +{"d:Title": "Guide to Canadian Literature Materials", "d:Description": "Includes bibliographies, critical works, and handbooks.", "topic": "Top/Arts/Literature/World_Literature/Canadian", "url": "http://library.vicu.utoronto.ca/guides/canlit.htm"} +{"d:Title": "Freedom to Read", "d:Description": "Encourages Canadians to think about and reaffirm their commitment to intellectual freedom. Celebrating freedom of expression.", "topic": "Top/Arts/Literature/World_Literature/Canadian", "url": "http://www.freedomtoread.ca/"} +{"d:Title": "Literary Manuscripts", "d:Description": "A guide to the literary fonds at the National Library of Canada, introducing unpublished resources in the field of Canadian literature.", "topic": "Top/Arts/Literature/World_Literature/Canadian", "url": "http://www.collectionscanada.gc.ca/literaryarchives/027011-200-e.html"} +{"d:Title": "Canadian eAuthors", "d:Description": "Organization created to promote Canadian authors who have published electronically. Eligibility requirements, profiles, ereader reviews, and pictures from across the country.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors", "url": "http://ceauthors.com/"} +{"d:Title": "The Quintessential Writers Group of the Sunshine Coast", "d:Description": "Profiles and book information on Betty Keller, Gwendolyn Southin, Maureen Foss, Rosella Leslie, and Eileen Williston.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors", "url": "http://www.quintessentialwriters.com/"} +{"d:Title": "The Writer's Caf\u00e9", "d:Description": "Weblogs and audio interviews with Canadian writers and links to Canadian publishers.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors", "url": "http://www.writerscafe.ca/"} +{"d:Title": "Bibliofiles", "d:Description": "Bibliographical information on the editions, Impressions, and variants of selected Canadian authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors", "url": "http://www.bibliofiles.ca/biblio_index.cfm"} +{"d:Title": "Canadian Writers", "d:Description": "Articles and author profiles from Athabasca University.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors", "url": "http://canadian-writers.athabascau.ca/"} +{"d:Title": "The Writers' Union of Canada Membership Pages", "d:Description": "An alphabetical list of members of The Writers' Union of Canada.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors", "url": "http://www.writersunion.ca/member-profiles"} +{"d:Title": "Douglas Arthur Brown", "d:Description": "Canadian novelist, children's writer, short story author. Book excerpts and author biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/B", "url": "https://douglasarthurbrown.com/"} +{"d:Title": "Sharon Berg", "d:Description": "A Canadian writer of poetry, prose, reviews and educational materials about First Nations education.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/B", "url": "http://www.sharonberg.com/"} +{"d:Title": "Michael Robert Dyet", "d:Description": "Biographical details for the author and novels. Hagersville, Ontario.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/D", "url": "http://www.mdyetmetaphor.com/"} +{"d:Title": "C.M. Fick", "d:Description": "Canadian author of science fiction, horror and fantasy.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/F", "url": "http://www.cmfick.com/"} +{"d:Title": "Introduction to Novelist Darryl Gopaul", "d:Description": "Canadian author and novelist Darryl Gopaul. Excerpts of recently published novels.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/G", "url": "http://www.darrylgopaul.com/"} +{"d:Title": "Susan Glickman", "d:Description": "This is a comprehensive site with biographical and bibliographical information, reviews, and extracts from Susan Glickman's poetry, fiction, literary criticism and essays.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/G", "url": "http://www.susanglickman.com/"} +{"d:Title": "Zeigler, Earle F.", "d:Description": "University professor and author of \"Who Knows What's Right Anymore?\". Official site includes profile, awards, and publications.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction", "url": "http://www.earlezeigler.com/"} +{"d:Title": "Finnan, Mark", "d:Description": "Author of several books based in Nova Scotia. Includes a brief outline of his series on mysteries of early Canadian history and folk dramas.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction", "url": "http://www.markfinnan.com/"} +{"d:Title": "Gairdner, William D.", "d:Description": "Profile of the political philosopher, book information, and essay collection.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction", "url": "http://www.williamgairdner.com/"} +{"d:Title": "Anderson, Doris H.", "d:Description": "Obituary of the author, editor of Chatelaine, and columnist for the Toronto Star.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction", "url": "http://www.thestar.com/News/article/187861"} +{"d:Title": "January Interview: Patricia Anderson", "d:Description": "The author talks about her book, 'Passion Lost'. From January Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Anderson,_Patricia", "url": "http://www.januarymagazine.com/profiles/panderson.html"} +{"d:Title": "Patricia Anderson", "d:Description": "Profile of the author, excerpt and reviews of \"Passion Lost\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Anderson,_Patricia", "url": "http://www.helpingyougetpublished.com/author.html"} +{"d:Title": "Pierre Berton Meets the Idea Factory", "d:Description": "Article from the Klondike Sun.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Berton,_Pierre", "url": "http://yukonweb.com/community/dawson/klondike_sun/oct30-98.htmld/#pierre"} +{"d:Title": "Berton House Comes Back to Life", "d:Description": "Article from the Klondike Sun.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Berton,_Pierre", "url": "http://yukonweb.com/community/dawson/klondike_sun/aug23.htmld/#berton"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Berton,_Pierre", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/berton.html"} +{"d:Title": "Interview with Author Denise Chong", "d:Description": "From China City Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Chong,_Denise", "url": "http://www.asian.ca/media/chinacity/jsoo.htm"} +{"d:Title": "Award-winning Chinese Canadian writer visits York", "d:Description": "Denise Chong answers the question, 'What are the borders between fiction and non-fiction writing?'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Chong,_Denise", "url": "http://www.yorku.ca/ycom/gazette/past/archive/031396.htm#2"} +{"d:Title": "Northrop Frye and Simulation", "d:Description": "Lecture based on \"The Educated Imagination\". A set of theories that can help us understand the age of advanced technology and simulation we are now entering.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Frye,_Northrop", "url": "http://www.transparencynow.com/introfry2.htm"} +{"d:Title": "Northrop Frye - Anatomy of Criticism", "d:Description": "Excerpts from the introduction.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Frye,_Northrop", "url": "http://www.noteaccess.com/Texts/Frye/Intro.htm"} +{"d:Title": "Northrop Frye", "d:Description": "Biography, bibliography, and Victoria College collection.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Frye,_Northrop", "url": "http://library.vicu.utoronto.ca/special/fryeintro.htm"} +{"d:Title": "Review: Friends, Moments, Countryside", "d:Description": "Review of the book from January Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Gzowski,_Peter", "url": "http://www.januarymagazine.com/nonfiction/gzowski.html"} +{"d:Title": "The New Morningside Papers", "d:Description": "Review from CM Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Gzowski,_Peter", "url": "http://www.umanitoba.ca/cm/cmarchive/vol16no2/newmoringside.html"} +{"d:Title": "Gzowski Dies and Millions Grieve", "d:Description": "Article from the National Post.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Gzowski,_Peter", "url": "http://www.nationalpost.com/home/story.html?f=/stories/20020125/1233946.html"} +{"d:Title": "Irony, Nostalgia, and the Postmodern", "d:Description": "Essay by Hutcheon.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Hutcheon,_Linda", "url": "http://www.library.utoronto.ca/utel/criticism/hutchinp.html"} +{"d:Title": "Theorizing Feminism and Postmodernity: A Conversation with Linda Hutcheon", "d:Description": "By Kathleen O'Grady of Trinity College. Originally published in Rampike.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Hutcheon,_Linda", "url": "http://bailiwick.lib.uiowa.edu/wstudies/hutcheon.html"} +{"d:Title": "Inside the Copper Mountain", "d:Description": "The life and works of Ukrainian dissident poet Vasyl Stus, as written by Myrna Kostash.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Kostash,_Myrna", "url": "http://www.ualberta.ca/~ulec/stus/kostash-01.html"} +{"d:Title": "PeaceHeroes.com: Kalle Lasn", "d:Description": "Quotes from the author and editor.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Lasn,_Kalle", "url": "http://www.peaceheroes.com/quotes/kallelasn.htm"} +{"d:Title": "Guardian Unlimited Books: Culture Jamming", "d:Description": "Extracts from Kalle Lasn's book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Lasn,_Kalle", "url": "http://www.theguardian.com/books/2000/apr/10/extract"} +{"d:Title": "The McLuhan Probes", "d:Description": "An imagistic interpretation of McLuhan's ideas and intuitions. It is an electronic magazine co-produced by The Herbert Marshall McLuhan Foundation and the Nova Scotia College of Art and Design, Michael LeBlanc, editor.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/McLuhan,_Marshall", "url": "http://www.dreamwv.com/probes/"} +{"d:Title": "Reading McLuhan", "d:Description": "Article by Melanie McBride about McLuhan's literary traditions. From Mindjack magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/McLuhan,_Marshall", "url": "http://www.mindjack.com/feature/mcluhan.html"} +{"d:Title": "McLuhan Studies", "d:Description": "Online journal of articles, some by major thinkers, that build on McLuhan's ideas.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/McLuhan,_Marshall", "url": "http://projects.chass.utoronto.ca/mcluhan-studies/"} +{"d:Title": "Stan Persky", "d:Description": "Includes columns and pictures.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Persky,_Stan", "url": "http://www.dooneyscafe.com/archives/author/persky"} +{"d:Title": "John Ralston Saul - Democracy and Globalisation", "d:Description": "Audio files and transcript of a lecture delivered by Saul in Sydney, Australia.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Saul,_John_Ralston", "url": "http://www.abc.net.au/specials/saul/default.htm"} +{"d:Title": "Voltaire's Bastards: The Dictatorship of Reason in the West", "d:Description": "Scott London's review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Saul,_John_Ralston", "url": "http://www.scottlondon.com/reviews/saul.html"} +{"d:Title": "John Ralston Saul on Corporatism: Lack of Democracy and Legitimization of Corruption", "d:Description": "Article by Mario deSantis.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Saul,_John_Ralston", "url": "http://www.ftlcomm.com/ensign/desantisArticles/2001_500/desantis514/JRSaul.html"} +{"d:Title": "John Ralston Saul", "d:Description": "The author's official site, provides a literary biography, photos, lists of his writings, causes, news and events.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Saul,_John_Ralston", "url": "http://www.johnralstonsaul.com/"} +{"d:Title": "The End of Rationalism", "d:Description": "Interview with John Ralston Saul from the Insight and Outlook radio series.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Saul,_John_Ralston", "url": "http://www.scottlondon.com/interviews/saul.html"} +{"d:Title": "David Suzuki Foundation", "d:Description": "An environmental non-profit organization working to design a vision of Earth in which humans live within the planet's productive capacity, and finding and communicating practical steps to bring that vision to reality. Includes news and articles.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Suzuki,_David", "url": "http://www.davidsuzuki.org/"} +{"d:Title": "David Suzuki Talks About AIDS", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Suzuki,_David", "url": "http://www.umanitoba.ca/cm/cmarchive/vol16no1/suzukiaids.html"} +{"d:Title": "A Conversation with David Suzuki", "d:Description": "Two part interview from Natural Life Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Suzuki,_David", "url": "http://www.life.ca/naturallife/9512/suzuki.htm"} +{"d:Title": "The Tyranny of the Clock", "d:Description": "Article by Woodcock, first published in 'War Commentary - For Anarchism'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Woodcock,_George", "url": "http://www.spunk.org/texts/writers/woodcock/sp001734.html"} +{"d:Title": "To The X-Files Set, A Star is Borne", "d:Description": "Wyman talks about what it was like to play a character in the episode, Patient X.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Wyman,_Max", "url": "http://www.mjq.net/xfiles/maxwyman.htm"} +{"d:Title": "CM Magazine: The Gallant Cause - Canadians in the Spanish Civil War", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Non-fiction/Zuehlke,_Mark", "url": "http://www.umanitoba.ca/cm/vol3/no10/gallant.html"} +{"d:Title": "Harlow, Robert", "d:Description": "Based in British Columbia. Profile and information about his novels.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.robertharlow.com/"} +{"d:Title": "Aalborg, Gordon", "d:Description": "Canadian reporter, columnist, and photo-journalist. Also known as Mills and Boon writer Victoria Gordon. Profile and list of books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://gordonaalborg.com/"} +{"d:Title": "Eke, James", "d:Description": "Author and poet from Burlington, Ontario. Profile, reviews, and samples.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://jameseke.tripod.com/"} +{"d:Title": "Niedzviecki, Hal", "d:Description": "Biography, events, articles, and information on his books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.brokenpencil.com/smellit/"} +{"d:Title": "Gunn, Genni", "d:Description": "Based in British Columbia. Biography, publications, news, upcoming readings, and excerpts from her books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.gennigunn.com/"} +{"d:Title": "Moritsugu, Kim", "d:Description": "News and appearances, biography, essays, and information on the novels of the Toronto-based author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.kimmoritsugu.com/"} +{"d:Title": "Joseph Simons", "d:Description": "Very short synopses from his novels.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://josephsimons.ca/"} +{"d:Title": "Cupchik, Will", "d:Description": "Author of \"The Avro Arrow Manipulation\". Provides background information for the book, excerpt, author's resume, and biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.drwillcupchik.com/"} +{"d:Title": "Faucher, Kane X.", "d:Description": "Based in Ottawa, Ontario. Archive of polemical fiction and poststructuralist essays.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://kanexfaucher.weebly.com/"} +{"d:Title": "Scarsbrook, Richard", "d:Description": "Toronto-based fiction writer and poet. Includes biography, events, reviews, awards, and list of publications.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.richardscarsbrook.com/"} +{"d:Title": "Majzels, Robert", "d:Description": "Publisher's site offers a biography of this Canadian novelist, playwright and translator.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.robertmajzels.com/author.htm"} +{"d:Title": "Bailey, D.F.", "d:Description": "Provides introductions to his three novels, Fire eyes, Healing the Dead and The Good Lie, full text of one of his short stories, \"Suitcase,\" reviews of his novels and his theory of the art of fiction.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.dfbailey.com/"} +{"d:Title": "Sunga, Paul S.", "d:Description": "Author of Red Dust, Red Sky and The Lions. Includes details of his published novels, his current fiction writing projects, as well as his international development profile.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://www.paulsunga.org/"} +{"d:Title": "Rabindranath Maharaj", "d:Description": "Born in Trinidad and now living in Canada, is the author of five novels and short story collections.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "https://rabindranathmaharaj.com/"} +{"d:Title": "Robert Hough", "d:Description": "Official website for Canadian novelist Robert Hough, author of The Final Confession of Mabel Stark, The Stowaway and The Culprits.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists", "url": "http://roberthough.ca/"} +{"d:Title": "Charlotte Vale Allen", "d:Description": "The author's personal site includes biography, articles, reviews, book descriptions, and store. Also includes information on her pseudonym, Katharine Marlowe.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Allen,_Charlotte_Vale", "url": "http://www.charlottevaleallen.com/"} +{"d:Title": "Anderson-Dargatz nominated for Giller Prize", "d:Description": "Article from The Ring, the University of Victoria newspaper.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Anderson-Dargatz,_Gail", "url": "http://ring.uvic.ca/98oct16/giller.html"} +{"d:Title": "The Guardian: Margaret Atwood", "d:Description": "Profile, articles, reviews and links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret", "url": "http://www.theguardian.com/books/2008/jun/09/margaretatwood"} +{"d:Title": "Interview with Margaret Atwood", "d:Description": "By Raymond H. Thompson in the series 'Thompson's Interviews with Authors of Modern Arthurian Literature'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-margaret-atwood"} +{"d:Title": "Margaret Atwood Society", "d:Description": "Includes an overview of the Society and an extensive bibliography, as well as links to other resources.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret", "url": "http://atwoodsociety.org/"} +{"d:Title": "Canadian Poetry: Margaret Atwood", "d:Description": "Biography, poems, writing philosophy, and published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret", "url": "http://canpoetry.library.utoronto.ca/atwood/"} +{"d:Title": "Penguin Random House: Margaret Atwood", "d:Description": "Features a short biography and book list.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret", "url": "http://www.penguinrandomhouse.com/authors/1013/margaret-atwood"} +{"d:Title": "The Canadian Encyclopedia: Margaret Eleanor Atwood", "d:Description": "Biography, picture, and audio file.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret", "url": "http://www.thecanadianencyclopedia.com/en/article/margaret-atwood/"} +{"d:Title": "GradeSaver - Margaret Atwood", "d:Description": "Biography, list of works, study guides and essays.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret", "url": "http://www.gradesaver.com/author/margaret-atwood/"} +{"d:Title": "Rewriting Canonical Portrayals of Women: Margaret Atwood's \"Gertrude Talks Back\"", "d:Description": "Essay by Pilar Cuder Dom\u00ednguez, Universidad de Huelva.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret/Works", "url": "http://www.literature-study-online.com/essays/atwood-gertrude.html"} +{"d:Title": "Salon.com Book Review", "d:Description": "Review of 'The Blind Assassin'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret/Works/Blind_Assassin,_The", "url": "http://www.salon.com/2000/09/12/atwood/"} +{"d:Title": "CM Archive", "d:Description": "Review of 'Cat's Eye'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret/Works/Cat's_Eye", "url": "http://www.umanitoba.ca/cm/cmarchive/vol17no1/catseye.html"} +{"d:Title": "Nabou.com", "d:Description": "Review of 'Cat's Eye'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret/Works/Cat's_Eye", "url": "http://bookreviews.nabou.com/reviews/catseyes.html"} +{"d:Title": "CM Archive: The Handmaid's Tale", "d:Description": "Review of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret/Works/Handmaid's_Tale,_The", "url": "http://www.umanitoba.ca/cm/cmarchive/vol14no1/handmaidstale.html"} +{"d:Title": "Medical Humanities: The Handmaid's Tale", "d:Description": "Commentary on women's health issues in Atwood's novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Atwood,_Margaret/Works/Handmaid's_Tale,_The", "url": "http://medhum.med.nyu.edu/view/157"} +{"d:Title": "Where Sorrow Walks", "d:Description": "Review of \"The Hero's Walk\" and profile of Badami. From January Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://www.januarymagazine.com/fiction/heroswalk.html"} +{"d:Title": "Mostly Fiction: Tamarind Woman", "d:Description": "Profile of the author and book review by Karma Sawka.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://mostlyfiction.com/world/badami.htm"} +{"d:Title": "Eclectica Magazine: The Hero's Walk", "d:Description": "Review by Ann Skea.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://www.eclectica.org/v5n4/skea_badami.html"} +{"d:Title": "Curled Up With a Good Book: Anita Rau Badami", "d:Description": "Sonia Chopra's interview with the author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://www.curledup.com/trwinter.htm"} +{"d:Title": "A Conversation with Anita Rau Badami", "d:Description": "Interview by Eliza McCarthy.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://www.bookbrowse.com/author_interviews/full/index.cfm?author_number=779"} +{"d:Title": "Anita Rau Badami", "d:Description": "Biography and analysis of \"Tamarind Mem\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://postcolonialstudies.emory.edu/anita-rau-badami/"} +{"d:Title": "ReadingGroupGuides.com: The Hero's Walk", "d:Description": "Description of the book and discussion questions.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://www.readinggroupguides.com/reviews/the-heros-walk"} +{"d:Title": "On A Lyrical Traverse", "d:Description": "Review of \"The Hero's Walk\" from OutLook India.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://www.outlookindia.com/article.aspx?213548"} +{"d:Title": "Salon.com: \"The Hero's Walk\" by Anita Rau Badami", "d:Description": "Review by Suzy Hansen.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Badami,_Anita_Rau", "url": "http://www.salon.com/2001/04/19/badami/"} +{"d:Title": "Joan Barfoot", "d:Description": "Biography, publishing history, FAQ, awards, and review excerpts.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Barfoot,_Joan", "url": "http://www3.sympatico.ca/jbarfoot/"} +{"d:Title": "An Excerpt from Critical Injuries", "d:Description": "From January Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Barfoot,_Joan", "url": "http://www.januarymagazine.com/features/critinjexc.html"} +{"d:Title": "Culture Wars", "d:Description": "Review of \"Critical Injuries\" by Luke Robins-Grace.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Barfoot,_Joan", "url": "http://www.culturewars.org.uk/booker2002/criticalinjuries.htm"} +{"d:Title": "Literary Montreal: Neil Bissoondath", "d:Description": "Brief profile and photograph.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Bissoondath,_Neil", "url": "http://www.vehiculepress.com/montreal/gallery/bissoondath.html"} +{"d:Title": "Q&A with George Bowering", "d:Description": "Interview with writer, who now teaches at Simon Fraser University.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Bowering,_George", "url": "http://www.canadiancontent.ca/issues/0399bowering.html"} +{"d:Title": "The Charlotte Austin Review", "d:Description": "Zaheera Jiwaji's review of 'A Good House'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Burnard,_Bonnie", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/reviews/canadianauthors/generalfiction/agoodhouse.htm"} +{"d:Title": "Strange Fugitive: In Honour of Morley Callaghan", "d:Description": "Images of the covers or dust jackets for many of the author's works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Callaghan,_Morley", "url": "http://strangefugitive.johnwmacdonald.com/"} +{"d:Title": "Morley Callaghan", "d:Description": "Biography and bibliography related to the Lost Generation author and radio personality.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Callaghan,_Morley", "url": "http://en.wikipedia.org/wiki/Morley_Callaghan"} +{"d:Title": "Morley Callaghan (1903-1990)", "d:Description": "Biography and reviews of the Canadian author, with detailed analysis of \"Strange Fugitive\" and \"Such Is My Beloved.\"", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Callaghan,_Morley", "url": "http://www.editoreric.com/greatlit/authors/Callaghan.html"} +{"d:Title": "CM Archive", "d:Description": "Review of 'The Boxing Champion'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Carrier,_Roch", "url": "http://www.umanitoba.ca/cm/cmarchive/vol19no4/boxinchampion.html"} +{"d:Title": "Canadian Theatre Encyclopedia", "d:Description": "Profile of Carrier.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Carrier,_Roch", "url": "http://www.canadiantheatre.com/dict.pl?term=Carrier,+Roch"} +{"d:Title": "Interview with Roch Carrier", "d:Description": "From the Marginal Librarian.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Carrier,_Roch", "url": "http://sis-webspace.mcgill.ca/marginal/mar7-2/interview.htm"} +{"d:Title": "Author Becomes National Librarian", "d:Description": "From the Marginal Librarian.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Carrier,_Roch", "url": "http://sis-webspace.mcgill.ca/marginal/mar7-2/Roch1.htm"} +{"d:Title": "Allreaders: Robertson Davies", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Davies,_Robertson", "url": "http://www.allreaders.com/Topics/Topic_379.asp"} +{"d:Title": "Robertson Davies", "d:Description": "Biographical and bibliographical information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Davies,_Robertson", "url": "http://particle.physics.ucdavis.edu/bios/Davies.html"} +{"d:Title": "Interview with Robertson Davies", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Davies,_Robertson", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-robertson-davies"} +{"d:Title": "Pilgrim", "d:Description": "Review from Curled Up With a Good Book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Findley,_Timothy/Works", "url": "http://www.curledup.com/pilgrim.htm"} +{"d:Title": "Camilla Gibb", "d:Description": "The Canadian novelist's homepage with bio, news, readings, reviews and excerpts.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Gibb,_Camilla", "url": "http://www.camillagibb.ca/"} +{"d:Title": "Hiromi Goto", "d:Description": "Contains biography and links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Goto,_Hiromi", "url": "http://faculty.washington.edu/kendo/goto.html"} +{"d:Title": "Watershed Book Cafe", "d:Description": "Review of 'The White Bone' by Linda Tiessen Wiebe.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Gowdy,_Barbara", "url": "http://www.watershedonline.ca/community/bookcafe/bcwhitebone.shtml"} +{"d:Title": "Aritha van Herk", "d:Description": "The author's own site, includes a profile and list of her works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Herk,_Aritha_van", "url": "http://arithavanherk.com/"} +{"d:Title": "CM Archive", "d:Description": "Review of 'A Passion for Narrative: A Guide for Writing Fiction'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Hodgins,_Jack", "url": "http://www.umanitoba.ca/cm/cmarchive/vol22no1/revpassionnarrative.html"} +{"d:Title": "CM Archive", "d:Description": "Review of the children's book, 'Left Behind in Squabble Bay'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Hodgins,_Jack", "url": "http://www.umanitoba.ca/cm/cmarchive/vol16no6/leftbehindinsquabble.html"} +{"d:Title": "Growing appetite for CanLit in Europe", "d:Description": "Article from The Ring, the University of Victoria's newspaper.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Hodgins,_Jack", "url": "http://ring.uvic.ca/97nov14/Hodgins.html"} +{"d:Title": "Greg Hollingshead: Spin Dry", "d:Description": "Review of the novel from a medical perspective.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Hollingshead,_Greg", "url": "http://medhum.med.nyu.edu/view/1437"} +{"d:Title": "Guide to Baseball Fiction: W.P. Kinsella", "d:Description": "List of Kinsella's baseball related works with brief descriptions.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Kinsella,_W._P.", "url": "http://www.uta.edu/english/tim/baseball/kinsella.html"} +{"d:Title": "CM Magazine: The Secret of the Northern Light", "d:Description": "Excerpt and review of the short story collection.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Kinsella,_W._P.", "url": "http://www.umanitoba.ca/cm/vol5/no3/secretofthenorthernlight.html"} +{"d:Title": "William Patrick Kinsella", "d:Description": "Brief profile.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Kinsella,_W._P.", "url": "http://www.classzone.com/novelguides/authors/kinsella.cfm"} +{"d:Title": "Voices From the Gaps: Joy Kogawa", "d:Description": "Biography and selected bibliography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Kogawa,_Joy", "url": "http://voices.cla.umn.edu/artistpages/kogawaJoy.php"} +{"d:Title": "January Magazine", "d:Description": "Interview with the author, focusing on 'The Man from the Creeks', Robert Service, and his research into the Klondike gold rush.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Kroetsch,_Robert", "url": "http://www.januarymagazine.com/profiles/kroetsch.html"} +{"d:Title": "Literascape: The Man from the Creeks by Robert Kroetsch", "d:Description": "Review of the book by Joy Gugeler.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Kroetsch,_Robert", "url": "http://epe.lac-bac.gc.ca/100/202/300/newreader/newreader.b03/Readers/Reader/1998Summer/kroetsch.html"} +{"d:Title": "Margaret Laurence (1926-1987)", "d:Description": "Biographical and bibliographical information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Laurence,_Margaret", "url": "http://particle.physics.ucdavis.edu/bios/Laurence.html"} +{"d:Title": "The Stone Angel: A Grade 12 Advanced Independent Study", "d:Description": "Includes biography of Laurence, themes, allusions, and biblical archetypes.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Laurence,_Margaret/Works", "url": "http://www.theenglishtutor.com/laurence.html"} +{"d:Title": "Roger Lemelin, A Witness to His Time", "d:Description": "Biography of the author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Lemelin,_Roger", "url": "http://www.collectionscanada.gc.ca/literaryarchives/027011-200.079-e.html"} +{"d:Title": "The Hugh MacLennan Papers Digital Project", "d:Description": "Includes a bibliography, chronology, and searchable database of papers and correspondence.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/MacLennan,_Hugh", "url": "http://digital.library.mcgill.ca/maclennan/"} +{"d:Title": "Hugh MacLennan: The Watch that Ends the Night", "d:Description": "Review of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/MacLennan,_Hugh", "url": "http://medhum.med.nyu.edu/view/776"} +{"d:Title": "MetroActive Books: Book Picks", "d:Description": "Review of 'The Tracey Fragments'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Medved,_Maureen", "url": "http://www.metroactive.com/papers/sfmetro/09.27.99/books-9937.html"} +{"d:Title": "Canadian Poetry: Anne Michaels", "d:Description": "Biography, poems, list of published works, and reviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Michaels,_Anne", "url": "http://www.library.utoronto.ca/canpoetry/michaels/"} +{"d:Title": "Robert Fulford's Column about Anne Michaels in Italy", "d:Description": "Discusses the success of 'Fugitive Pieces' in Italy.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Michaels,_Anne", "url": "http://www.robertfulford.com/michaels.html"} +{"d:Title": "Borzoi Reader: Anne Michaels", "d:Description": "Includes the poem, 'Another Year'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Michaels,_Anne", "url": "http://www.randomhouse.com/knopf/authors/michaels/poem.html"} +{"d:Title": "Guide to Studying", "d:Description": "A study guide to Anne Michaels' novel Fugitive Pieces. From Vintage Books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Michaels,_Anne", "url": "http://knopfdoubleday.com/2010/01/09/fugitive-pieces-reading-group-guide/"} +{"d:Title": "Rohinton Mistry: An Overview", "d:Description": "Collection of contextual materials as well as articles on Mistry's theme and technique.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mistry,_Rohinton", "url": "http://www.postcolonialweb.org/canada/literature/mistry/mistryov.html"} +{"d:Title": "Reading Group Guide: A Fine Balance", "d:Description": "Summary and discussion questions.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mistry,_Rohinton/Works", "url": "http://www.readinggroupguides.com/reviews/a-fine-balance"} +{"d:Title": "W.O. Mitchell Ltd.", "d:Description": "Company which owns all of Mitchell's literary rights and income. Provides his biography, books and audio books for sale and brief synopses of his works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mitchell,_W._O.", "url": "http://www.womitchell.ca/"} +{"d:Title": "Who Has Seen the Wind", "d:Description": "Short recommendation for a Kurelek-illustrated version of this Canadian classic.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mitchell,_W._O./Works", "url": "http://www.umanitoba.ca/cm/cmarchive/vol20no3/rev168whohasseen.html"} +{"d:Title": "The Charlotte Austin Review: How I Spent My Summer Holidays", "d:Description": "Zaheera Jiwaji's review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mitchell,_W._O./Works", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/reviews/canadianauthors/generalfiction/howsummerholidays.htm"} +{"d:Title": "The Charlotte Austin Review: Roses Are Difficult Here", "d:Description": "Review by Zaheera Jiwaji.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mitchell,_W._O./Works", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/reviews/canadianauthors/generalfiction/rosesdifficult.htm"} +{"d:Title": "The Charlotte Austin Review: Who Has Seen the Wind", "d:Description": "Zaheera Jiwaji's review of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mitchell,_W._O./Works", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/reviews/canadianauthors/generalfiction/whothewind.htm"} +{"d:Title": "Roughing It in the Bush", "d:Description": "Complete text of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Moodie,_Susanna", "url": "http://www.digital.library.upenn.edu/women/moodie/roughing/roughing.html"} +{"d:Title": "Life in the Clearings Versus the Bush", "d:Description": "Review of 'Life in the Clearings' from CM Archive.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Moodie,_Susanna", "url": "http://www.umanitoba.ca/cm/cmarchive/vol17no6/lifeinclearings.html"} +{"d:Title": "Susanna Moodie and Catharine Parr Traill", "d:Description": "Information on three historical collections held by Library and Archives Canada, as well as biographical and historical information about each woman.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Moodie,_Susanna", "url": "http://www.lac-bac.gc.ca/moodie-traill/index-e.html"} +{"d:Title": "Brian Moore, Cool Prose Craftsman", "d:Description": "A look at the life and works of the author from Socialism Today.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Moore,_Brian", "url": "http://www.socialismtoday.org/36/moore36.html"} +{"d:Title": "Brian Moore: The Statement", "d:Description": "Interview with the author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Moore,_Brian", "url": "http://members.optusnet.com.au/~waldrenm/moore.html"} +{"d:Title": "Shani Mootoo", "d:Description": "Biography and reviews of Out on Main Street and Cereus Blooms at Night.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mootoo,_Shani", "url": "http://postcolonialstudies.emory.edu/shani-mootoo/"} +{"d:Title": "The New Founde Land", "d:Description": "Brief discussion by a North York high school student of Mowat's Newfoundland roots.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mowat,_Farley", "url": "http://www.umanitoba.ca/cm/cmarchive/vol18no1/newfoundeland.html"} +{"d:Title": "Canadian Review of Materials", "d:Description": "Review of Writing the Squib.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mowat,_Farley", "url": "http://www.umanitoba.ca/cm/cmarchive/vol22no4/squib.html"} +{"d:Title": "Euripedes of the Arctic", "d:Description": "Review of Walking on the Land", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mowat,_Farley", "url": "http://www.marianbotsfordfraser.ca/articles/euripedes.htm"} +{"d:Title": "Farley Mowat", "d:Description": "Biographical sketch from Eco Books of environmentalist and author Farley Mowat. Includes quotes from People of the Deer and The Desperate People.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mowat,_Farley", "url": "http://www.ecobooks.com/authors/mowat.htm"} +{"d:Title": "Farley Mowat: On Writing Fiction, Non-Fiction, and Autobiography", "d:Description": "Lively lunchtime interview with Mowat by Joe Shepstone (1992). Photo of author and discussion of some of his works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mowat,_Farley", "url": "http://epe.lac-bac.gc.ca/100/200/300/cmarchive/vol20no6/farleymowat.html"} +{"d:Title": "Northern Exposure", "d:Description": "An article about Farley Mowat", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Mowat,_Farley", "url": "http://www.salon.com/1999/05/11/mowat/"} +{"d:Title": "Michael Ondaatje", "d:Description": "Features information about the author, his work and links to related sites.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ondaatje,_Michael", "url": "http://www.barclayagency.com/ondaatje.html"} +{"d:Title": "Michael Ondaatje Information", "d:Description": "Includes a bibliography and list of related links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ondaatje,_Michael", "url": "http://faculty.tru.ca/tfriedman/ondaatje.htm"} +{"d:Title": "Penguin Random House - Michael Ondaatje", "d:Description": "Brief biography and books list.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ondaatje,_Michael", "url": "http://www.penguinrandomhouse.com/authors/22801/michael-ondaatje"} +{"d:Title": "Penguin Random House - Michael Ondaatje", "d:Description": "Contains biography and works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ondaatje,_Michael/Works", "url": "http://www.penguinrandomhouse.com/search/michael-ondaatje?q=Michael+Ondaatje"} +{"d:Title": "The Charlotte Austin Review: Anil's Ghost", "d:Description": "Reviews by Zaheera Jiwaji and Devorah Stone.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ondaatje,_Michael/Works/Anil's_Ghost", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/reviews/canadianauthors/generalfiction/anilsghostzaheera.htm"} +{"d:Title": "The English Patient", "d:Description": "Discussion questions, suggestions for further reading and the author's bibliography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ondaatje,_Michael/Works/English_Patient,_The", "url": "http://knopfdoubleday.com/2010/01/08/the-english-patient-guide/"} +{"d:Title": "Emory University: Postcolonial Studies", "d:Description": "Biography, bibliography, and themes in Ondaatje's 'The English Patient'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ondaatje,_Michael/Works/English_Patient,_The", "url": "http://postcolonialstudies.emory.edu/michael-ondaatje/"} +{"d:Title": "The Sins of the Old World: From Toronto's Nino Ricci, a Haunting Tale of Primal Ties and Guilt", "d:Description": "Review of 'Where She Has Gone' from Time magazine. Requires subscription.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Ricci,_Nino", "url": "http://www.time.com/time/magazine/article/0,9171,1101980810-139734,00.html"} +{"d:Title": "David Adams Richards Fonds", "d:Description": "Biography and descriptions of items in the collection. From the UNB Archives and Special Collections.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richards,_David_Adams", "url": "http://www.lib.unb.ca/archives/finding/richards/intro.html"} +{"d:Title": "Fighting Words", "d:Description": "Richler's article on Quebec's language laws.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai", "url": "http://www.nytimes.com/books/97/06/01/reviews/970601.01richlet.html"} +{"d:Title": "Hemingway Set His Own Hours", "d:Description": "Richler's article about the advantages of being an author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai", "url": "http://www.nytimes.com/books/97/12/21/home/richler-hemingway.html"} +{"d:Title": "CM Magazine: Jacob Two-Two's First Spy Case", "d:Description": "Excerpt and review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works", "url": "http://www.umanitoba.ca/cm/vol3/no13/jacob22.html"} +{"d:Title": "CM Archive: Son of a Smaller Hero", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works", "url": "http://www.umanitoba.ca/cm/cmarchive/vol18no2/sonsmallhero.html"} +{"d:Title": "An Expatriate's Search for Truth", "d:Description": "Review of 'The Acrobats'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works", "url": "http://www.nytimes.com/books/97/12/21/home/richler-acrobats.html"} +{"d:Title": "Books of The Times", "d:Description": "Review of 'Stick Your Neck Out'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works", "url": "http://www.nytimes.com/books/97/12/21/home/richler-neck.html"} +{"d:Title": "Wasp From Caribou", "d:Description": "Review of 'Cocksure'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works", "url": "http://www.nytimes.com/books/97/12/21/home/richler-cocksure.html"} +{"d:Title": "Books of The Times", "d:Description": "Review of 'St. Urbain's Horseman'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works", "url": "http://www.nytimes.com/books/97/12/21/home/richler-horseman.html"} +{"d:Title": "New York Times: Jacob Two-Two Meets The Hooded Fang", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works", "url": "http://www.nytimes.com/books/97/12/21/home/richler-jacob2.html"} +{"d:Title": "Montreal Meteor", "d:Description": "Review of 'The Apprenticeship of Duddy Kravitz' from the New York Times.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works/Apprenticeship_of_Duddy_Kravitz,_The", "url": "http://www.nytimes.com/books/97/12/21/home/richler-duddy.html"} +{"d:Title": "The Apprenticeship of Duddy Kravitz", "d:Description": "Review by Timothy Houghton.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works/Apprenticeship_of_Duddy_Kravitz,_The", "url": "http://www.angelfire.com/music/duddykravitz/duddykravitz.html"} +{"d:Title": "The Way He Was -- or Was He?", "d:Description": "Review and first chapter of 'Barney's Version'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works/Barney's_Version", "url": "http://www.nytimes.com/books/97/12/21/reviews/971221.21shapirt.html"} +{"d:Title": "CM Archive: Jacob Two-Two and the Dinosaur", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works/Jacob_Two-Two_and_the_Dinosaur", "url": "http://www.umanitoba.ca/cm/cmarchive/vol15no5/jacobtwotwodinosaur.html"} +{"d:Title": "The New York Times: Jacob Two-Two and the Dinosaur", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Richler,_Mordecai/Works/Jacob_Two-Two_and_the_Dinosaur", "url": "http://www.nytimes.com/books/97/12/21/home/richler-jacob.html"} +{"d:Title": "CM Magazine", "d:Description": "Review of the biography, 'Gabrielle Roy: Creation and Memory'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Roy,_Gabrielle", "url": "http://www.umanitoba.ca/cm/vol3/no16/gabrielleroy.html"} +{"d:Title": "Gabrielle Roy: Enchantment and Sorrow", "d:Description": "Biography, selected bibliography, and an excerpt from the English translation of 'Bonheur d'occasion'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Roy,_Gabrielle", "url": "http://www.vehiculepress.com/montreal/writers/roy.html"} +{"d:Title": "Gabrielle Roy", "d:Description": "Biography and list of works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Roy,_Gabrielle", "url": "http://particle.physics.ucdavis.edu/bios/Roy.html"} +{"d:Title": "Gabrielle Roy", "d:Description": "Biography, bibliography, articles, awards, and finding aid. From the Library and Archives of Canada.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Roy,_Gabrielle", "url": "http://epe.lac-bac.gc.ca/100/200/301/lac-bac/gabrielle_roy-ef/www.lac-bac.gc.ca/2/7/index-e.html"} +{"d:Title": "Roy, Gabrielle", "d:Description": "The Dictionary of Canadian Biography Online offers an in-depth look at the life of this French-Canadian writer, and suggests further sources of information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Roy,_Gabrielle", "url": "http://www.biographi.ca/en/bio.php?BioId=42126"} +{"d:Title": "The Jane Rule Fonds", "d:Description": "List of published and unpublished works, by or about author Jane Rule, in the University of B.C. Special Collections Archives.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Rule,_Jane", "url": "http://www.library.ubc.ca/archives/u_arch/janerule.html#scope"} +{"d:Title": "National Library of Canada: Laura Goodman Salverson Fonds", "d:Description": "Biographical sketch and description of the collection.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Salverson,_Laura_Goodman", "url": "http://www.collectionscanada.gc.ca/literaryarchives/027011-200.119-e.html"} +{"d:Title": "1995 Pulitzer Prizes: Fiction", "d:Description": "Photograph of Shields accepting the prize, with a brief biography and synopsis of \"The Stone Diaries\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Shields,_Carol", "url": "http://www.pulitzer.org/citation/1995-Fiction"} +{"d:Title": "Quill&Quire - Cordelia Strube", "d:Description": "Profile of the author and brief descriptions of her books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Strube,_Cordelia", "url": "http://www.quillandquire.com/authors/profile.cfm?article_id=7682"} +{"d:Title": "Canadian Poetry: Jane Urquhart", "d:Description": "Includes biography, poems, published works, and awards.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Urquhart,_Jane", "url": "http://www.library.utoronto.ca/canpoetry/urquhart/"} +{"d:Title": "Jane Urquhart Diary Donated to the University of Waterloo Library", "d:Description": "Article from the library's newsletter.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Urquhart,_Jane", "url": "http://www.lib.uwaterloo.ca/newsletters/ln29no3.html"} +{"d:Title": "The Underpainter by Jane Urquhart", "d:Description": "Review by Ann Skea, from Eclectica Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Urquhart,_Jane", "url": "http://www.eclectica.org/v3n1/skea_urquhart.html"} +{"d:Title": "Away", "d:Description": "Commentary on the novel from the Medical Humanities website.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Urquhart,_Jane", "url": "http://medhum.med.nyu.edu/view/1353"} +{"d:Title": "Virtual Saskatchewan: Guy Vanderhaeghe", "d:Description": "An article about the author and his works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Vanderhaeghe,_Guy", "url": "http://www.virtualsk.com/current_issue/guy_vanderhaeghe.html"} +{"d:Title": "M G Vassanji", "d:Description": "The author's own site, with his bibliography, biography, personal notes and contact information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Vassanji,_M._G.", "url": "http://www.mgvassanji.com/"} +{"d:Title": "M. G. Vassanji", "d:Description": "Biography, themes, bibliography, and links. From the Postcolonial Studies site at Emory University.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Vassanji,_M._G.", "url": "http://postcolonialstudies.emory.edu/m-g-vassanji/"} +{"d:Title": "CM Archive", "d:Description": "Review of 'Deep Hollow Creek'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Watson,_Sheila", "url": "http://www.umanitoba.ca/cm/cmarchive/vol20no5/rev277deephollowcreek.html"} +{"d:Title": "Ethel Wilson Fiction Prize", "d:Description": "Profile of the novelist from the West Coast Book Prize Society.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Wilson,_Ethel", "url": "http://www.bcbookprizes.ca/about/details/ethel-wilson-fiction-prize/"} +{"d:Title": "York University: Adele Wiseman", "d:Description": "Biography of the author from the university which holds her papers, including manuscripts (1948-1991), typescripts and printed copies of Wiseman's novels, short stories, poetry, drama, non-fiction, and book reviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Novelists/Wiseman,_Adele", "url": "http://www.library.yorku.ca/ccm/ArchivesSpecialCollections/FindingAids/CanadianLiterary/wiseman-adele.htm"} +{"d:Title": "Cutler, Keir", "d:Description": "Playwright and performer of \"Teaching Shakespeare: A Parody\" and \"Teaching Detroit.\" Synopsis of each show, schedules, reviews, awards, pictures, and r\u00e9sum\u00e9.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights", "url": "http://www.keircutler.com/"} +{"d:Title": "Peera, Al-Noor", "d:Description": "Plays, poetry, short essays, comedy sketches and short films written, directed or produced by the Toronto based author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights", "url": "http://www.peera.com/"} +{"d:Title": "Norm Foster", "d:Description": "Canadian playwright with over two dozen plays in production, including comedies, dramas and musicals. Provides biography, synopses of plays, selected scenes, posters, theatre links and interviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights", "url": "http://www.normfoster.com/"} +{"d:Title": "A. Colin Wright", "d:Description": "Professor Emeritus at Queen's University, Kingston, Ontario. Author and playwright.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights", "url": "http://www.acolinwright.ca/"} +{"d:Title": "Who is Sky Gilbert?", "d:Description": "Biography, published works, excerpts, filmography, and a list of plays he has written, directed, and/or acted in. Also includes information on his other career as the drag queen, Jane.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Gilbert,_Sky", "url": "http://home.istar.ca/~anita/index2.htm"} +{"d:Title": "Canadian Theatre Encyclopedia", "d:Description": "Profile and credits.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Gilbert,_Sky", "url": "http://www.canadiantheatre.com/dict.pl?term=Gilbert,+Sky"} +{"d:Title": "Canadian Poets", "d:Description": "Biography, poems, writing philosophy, and published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Gilbert,_Sky", "url": "http://canpoetry.library.utoronto.ca/gilbert/"} +{"d:Title": "Ann-Marie MacDonald, Fall on Your Knees", "d:Description": "Review of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/MacDonald,_Ann-Marie", "url": "http://www.otago.ac.nz/DeepSouth/0498/0498macdonald.htm"} +{"d:Title": "Literascape: The New Reader", "d:Description": "Review of 'Fall on Your Knees'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/MacDonald,_Ann-Marie", "url": "http://epe.lac-bac.gc.ca/100/202/300/newreader/newreader.b01/Readers/Reader/1996Summer/macdonald.html"} +{"d:Title": "The Ecstasy of Resistance: A Biography of George Ryga", "d:Description": "CM Magazine's review of the book, written by James Hoffman.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Ryga,_George", "url": "http://www.umanitoba.ca/cm/vol2/no19/ryga.html"} +{"d:Title": "Encyclopedia of Canadian Theatre: George Ryga", "d:Description": "Biography of the playwright.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Ryga,_George", "url": "http://www.canadiantheatre.com/dict.pl?term=Ryga,+George"} +{"d:Title": "Canadian Literary and Art Archives: George Ryga Fonds", "d:Description": "Description of the collection, held at the University of Calgary.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Ryga,_George", "url": "https://searcharchives.ucalgary.ca/george-ryga-fonds"} +{"d:Title": "Literary Montreal", "d:Description": "Biography and selected bibliography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Tremblay,_Michel", "url": "http://www.vehiculepress.com/montreal/writers/tremblay.html"} +{"d:Title": "Canadian Theatre Encyclopedia", "d:Description": "Biography, works, and a discussion of Tremblay's importance in Canadian theatre.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Tremblay,_Michel", "url": "http://www.canadiantheatre.com/dict.pl?term=Tremblay,+Michel"} +{"d:Title": "Michel Tremblay", "d:Description": "Wikipedia entry regarding the Quebec playwright.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Playwrights/Tremblay,_Michel", "url": "http://en.wikipedia.org/wiki/Michel_Tremblay"} +{"d:Title": "Martens, Oscar", "d:Description": "Biography, poems, and three stories from his collection, \"The Girl with the Full Figure Is Your Daughter\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story", "url": "http://www.oscarmartens.com/"} +{"d:Title": "Baum, Anna", "d:Description": "Biography and selected stories from some of her published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story", "url": "http://www.annabaum.com/"} +{"d:Title": "Shternshain, Alex", "d:Description": "Collection of stories by the Ottawa-based writer.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story", "url": "http://www.bewilderingstories.com/bios/"} +{"d:Title": "D.W. Stewart's Tall Tales&Short Stories", "d:Description": "The official website of author Daniel W. Stewart. With the latest news on new novels by the author and a collection of short stories.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story", "url": "http://www.dwstewart.ca/"} +{"d:Title": "The Missing Child", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Birdsell,_Sandra", "url": "http://www.umanitoba.ca/cm/cmarchive/vol18no1/missingchild.html"} +{"d:Title": "Sandra Birdsell", "d:Description": "Official site includes biography, interviews, book reviews, and excerpts.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Birdsell,_Sandra", "url": "http://www.sandrabirdsell.com/"} +{"d:Title": "The Heart Knows", "d:Description": "Review of \"Making a Stone of the Heart\". From January Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Flood,_Cynthia", "url": "http://www.januarymagazine.com/fiction/stoneheart.html"} +{"d:Title": "Linda Holeman", "d:Description": "Official site includes a biography, book excerpts, and reviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Holeman,_Linda", "url": "http://www.lindaholeman.com/"} +{"d:Title": "CM Magazine", "d:Description": "Review of 'Promise Song'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Holeman,_Linda", "url": "http://www.umanitoba.ca/cm/vol4/no12/promisesong.html"} +{"d:Title": "The Charlotte Austin Review: Alistair MacLeod", "d:Description": "Reviews of 'Island' and 'No Great Mischief'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/MacLeod,_Alistair", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/interviews/authors/alistairmacleod.htm"} +{"d:Title": "Featured Author: Alice Munro", "d:Description": "Collection of reviews and interviews from The New York Times archives.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Munro,_Alice", "url": "http://www.nytimes.com/books/98/11/01/specials/munro.html"} +{"d:Title": "Alice Munro: The Short Answer", "d:Description": "Alex Keegan explains how Munro's short stories are actually novels.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Munro,_Alice", "url": "http://www.eclectica.org/v2n5/keegan_munro.html"} +{"d:Title": "Wikipedia: Alice Munro", "d:Description": "Biography, awards, bibliography, reference and writing style reviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Munro,_Alice", "url": "http://en.wikipedia.org/wiki/Alice_Munro"} +{"d:Title": "Alice Munro - 'Boys and Girls'", "d:Description": "Text of the story.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Munro,_Alice", "url": "http://womeninlit.tripod.com/alicemunro.htm"} +{"d:Title": "Munro, Alice", "d:Description": "Biography from the Canadian Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Munro,_Alice", "url": "http://thecanadianencyclopedia.com/en/article/alice-munro/"} +{"d:Title": "Knopf Doubleday - Alice Munro", "d:Description": "Biography and books list.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Munro,_Alice", "url": "http://knopfdoubleday.com/author/21567/alice-munro/"} +{"d:Title": "Uma Parameswaran", "d:Description": "The author's personal site includes publications and academic information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Parameswaran,_Uma", "url": "http://www.uwinnipeg.ca/~parmswrn/"} +{"d:Title": "The Charlotte Austin Review: What Was Always Hers", "d:Description": "Review of Uma Parameswaran's collection of short stories.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Short_Story/Parameswaran,_Uma", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/reviews/canadianauthors/generalfiction/whatwashers.htm"} +{"d:Title": "Matheson, Shirlee Smith", "d:Description": "Profile and books of the adventure writer.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult", "url": "http://www.ssmatheson.ca/"} +{"d:Title": "Willett, Edward", "d:Description": "Official site includes profile, columns, books, and photographs.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult", "url": "http://www.edwardwillett.com/"} +{"d:Title": "Heneghan, James", "d:Description": "Official site includes book list with reviews, biography, upcoming readings, and awards.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult", "url": "http://www.peircescott.com/jamesheneghan/"} +{"d:Title": "Christy Deveaux", "d:Description": "This site offers information about Christy Deveaux, author of Wicka: The Chronicles of Elizabeth Blake. A free first chapter is included.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult", "url": "http://christydeveaux.com/"} +{"d:Title": "Rhiannon Paille", "d:Description": "Young adult fantasy author of The Ferryman and the Flame series.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult", "url": "http://www.ripfantasyauthor.com/"} +{"d:Title": "CM Magazine: A Time to Choose", "d:Description": "Excerpt and review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Attema,_Martha", "url": "http://www.umanitoba.ca/cm/vol2/no17/choose.html"} +{"d:Title": "CM Magazine: A Light in the Dunes", "d:Description": "Brief excerpt and review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Attema,_Martha", "url": "http://www.umanitoba.ca/cm/vol4/no12/lightinthedunes.html"} +{"d:Title": "Margaret Buffie Wins for The Dark Garden", "d:Description": "Announcement of the winner of the McNally Robinson Book for Young People Award.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Buffie,_Margaret", "url": "http://www.umanitoba.ca/cm/vol2/no30/news30.html"} +{"d:Title": "CM Archive", "d:Description": "Reviews of 'The Guardian Circle', 'My Mother's Ghost', and 'Who is Frances Rain?'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Buffie,_Margaret", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/buffie.html"} +{"d:Title": "Collaborative Book Review Project", "d:Description": "Reviews of 'Why Just Me?' written by students.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Godfrey,_Martyn", "url": "http://www.umanitoba.ca/cm/cbrp/whyjustme.html"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Godfrey,_Martyn", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/godfrey.html"} +{"d:Title": "CM Magazine", "d:Description": "Review of 'What If...? Amazing Stories Selected by Monica Hughes'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Hughes,_Monica", "url": "http://www.umanitoba.ca/cm/vol5/no21/whatif.html"} +{"d:Title": "Literature Units for High School Students", "d:Description": "Covers 'The Guardian of Isis'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Hughes,_Monica", "url": "http://www.lowensteyn.com/litunits/guardian/g0.html"} +{"d:Title": "CM Archive: Monica Hughes", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Hughes,_Monica", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/hughes.html"} +{"d:Title": "CM Archive: Welwyn Katz", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Katz,_Welwyn_Wilton", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/katz.html"} +{"d:Title": "Welwyn Wilton Katz", "d:Description": "Biography, pictures, FAQs, awards, reviews, teachers' guides, interviews, articles, and information on her books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Katz,_Welwyn_Wilton", "url": "http://www.booksbywelwyn.ca/"} +{"d:Title": "Native American Books: False Face", "d:Description": "Negative review of Katz's book. Reviewer, Paula Giese.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Katz,_Welwyn_Wilton", "url": "http://www.kstrom.net/isk/books/middle/mi223.html"} +{"d:Title": "Interview with Welwyn Wilton Katz", "d:Description": "From Raymond H. Thompson's \"Interviews with Authors of Modern Arthurian Literature\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Katz,_Welwyn_Wilton", "url": "http://d.lib.rochester.edu/camelot/text/interview-with-welwyn-wilton-katz"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Kropp,_Paul", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/kropp.html"} +{"d:Title": "Paul Kropp - HIP Books", "d:Description": "An obituary for the author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Kropp,_Paul", "url": "https://hip-books.com/paul-kropp-3/"} +{"d:Title": "Lucy Maud Montgomery", "d:Description": "Short biography and links to several of her works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M.", "url": "http://www.uxbridge.com/people/maud.html"} +{"d:Title": "L.M. Montgomery on P.E.I.", "d:Description": "Photos of locations related to the author and her stories.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M.", "url": "http://auntyjanet.freeservers.com/lmmy.html"} +{"d:Title": "Picturing a Canadian Life - L.M. Montgomery's Personal Scrapbooks and Book Covers", "d:Description": "Digital exhibition of rarely-seen materials that belonged to the author. Includes backgrounds on the items, chronology, tips for teachers, and research information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M.", "url": "http://lmm.confederationcentre.com/"} +{"d:Title": "L.M. Montgomery Institute", "d:Description": "Tribute to Montgomery's achievements and a centre for information about her works, career, and Prince Edward Island home.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M.", "url": "http://www.lmmontgomery.ca/"} +{"d:Title": "Prince Edward Island: Lucy Maud Montgomery", "d:Description": "Includes a list of works and related works as well as modern interpretations of her novels and related clubs and organizations.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M.", "url": "http://www.tourismpei.com/lucy-maud-montgomery"} +{"d:Title": "Rilla of Ingleside", "d:Description": "Etext from A Celebration of Women Authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works", "url": "http://digital.library.upenn.edu/women/montgomery/rilla/rilla.html"} +{"d:Title": "The Story Girl", "d:Description": "Etext from A Celebration of Women Authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works", "url": "http://digital.library.upenn.edu/women/montgomery/story/story.html"} +{"d:Title": "The Golden Road", "d:Description": "Online version of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works", "url": "http://www.pagebypagebooks.com/Lucy_Maud_Montgomery/The_Golden_Road/"} +{"d:Title": "CM Archive: L.M. Montgomery", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/montgomery.html"} +{"d:Title": "Literature Network: Rilla of Ingleside", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works", "url": "http://www.online-literature.com/lucy_montgomery/rilla_ingleside/"} +{"d:Title": "Literature Network: The Golden Road", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works", "url": "http://www.online-literature.com/lucy_montgomery/golden_road/"} +{"d:Title": "The Free Library: Lucy Maud Montgomery", "d:Description": "Chapter indexed versions of several books and a brief biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works", "url": "http://montgomery.thefreelibrary.com/"} +{"d:Title": "Lucy Maud Montgomery Books Online", "d:Description": "The Anne books with commentaries and links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series", "url": "http://www.selfknowledge.com/311au.htm"} +{"d:Title": "Page by Page Books: Lucy Maud Montgomery", "d:Description": "HTML versions of the Anne series of books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series", "url": "http://www.pagebypagebooks.com/Lucy_Maud_Montgomery/"} +{"d:Title": "Classic Bookshelf: Lucy Maud Montgomery", "d:Description": "The Anne series of books with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series", "url": "http://www.classicbookshelf.com/library/Montgomery/"} +{"d:Title": "Online Literature Library: Lucy Maud Montgomery", "d:Description": "Etexts of several of the Anne stories plus The Golden Road.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series", "url": "http://www.literature.org/authors/montgomery-lucy-maud/"} +{"d:Title": "Literature Network: Anne's House of Dreams", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne's_House_of_Dreams", "url": "http://www.online-literature.com/lucy_montgomery/anne_house_dreams/"} +{"d:Title": "Anne's House of Dreams", "d:Description": "HTML version of the Project Gutenberg etext.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne's_House_of_Dreams", "url": "http://www.cs.cmu.edu/~rgs/annhd-table.html"} +{"d:Title": "Project Gutenberg: Anne's House of Dreams", "d:Description": "Plain text version of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne's_House_of_Dreams", "url": "http://www.gutenberg.org/ebooks/544"} +{"d:Title": "Literature Network: Anne of Avonlea", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_Avonlea", "url": "http://www.online-literature.com/lucy_montgomery/anne_avonlea/"} +{"d:Title": "Anne of Avonlea", "d:Description": "HTML version of the Project Gutenberg edition.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_Avonlea", "url": "http://www.cs.cmu.edu/~rgs/avon-table.html"} +{"d:Title": "Project Gutenberg: Anne of Avonlea", "d:Description": "Etext of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_Avonlea", "url": "http://www.gutenberg.org/ebooks/47"} +{"d:Title": "Literature Network: Anne of Green Gables", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_Green_Gables", "url": "http://www.online-literature.com/lucy_montgomery/anne_green_gables/"} +{"d:Title": "Anne of Green Gables", "d:Description": "HTML version of the Project Gutenberg etext.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_Green_Gables", "url": "http://www.cs.cmu.edu/~rgs/anne-table.html"} +{"d:Title": "Anne of Green Gables", "d:Description": "Complete text (online and PDF) with audio of classic novel by L.M. Montgomery.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_Green_Gables", "url": "http://publicliterature.org/books/anne_of_green_gables/xaa.php"} +{"d:Title": "Project Gutenberg: Anne of Green Gables", "d:Description": "Etext of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_Green_Gables", "url": "http://www.gutenberg.org/ebooks/45"} +{"d:Title": "Literature Network: Anne of the Island", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_the_Island", "url": "http://www.online-literature.com/lucy_montgomery/anne_island/"} +{"d:Title": "Anne of the Island", "d:Description": "HTML version of the Project Gutenberg etext.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_the_Island", "url": "http://www.cs.cmu.edu/~rgs/ann-table.html"} +{"d:Title": "Project Gutenberg: Anne of the Island", "d:Description": "Plain text version of the novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Authors/Young_Adult/Montgomery,_L._M./Works/Anne_Series/Anne_of_the_Island", "url": "http://www.gutenberg.org/ebooks/51"} +{"d:Title": "Griffin Poetry Prize", "d:Description": "Annual international and Canadian award for poetry written or translated into English. Rules, entry form, and profiles of the trustees and judges.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.griffinpoetryprize.com/"} +{"d:Title": "The Scotiabank Giller Prize", "d:Description": "The largest prize for fiction in the country, the Scotiabank Giller Prize awards $50,000 to the author of the best Canadian novel or short story collection in English and $5,000 to each finalist.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.scotiabankgillerprize.ca/"} +{"d:Title": "Canadian Children's Book Awards", "d:Description": "List of awards with past winners.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.acs.ucalgary.ca/~dkbrown/canawards.html"} +{"d:Title": "Hackmatack Children's Choice Award", "d:Description": "Atlantic Canadian book award chosen by children. Details and former winners.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.hackmatack.ca/"} +{"d:Title": "The Rea Award", "d:Description": "Awarded by the Dungannon Foundation for excellence in the short story form. Includes current and previous winners.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.reaaward.org/"} +{"d:Title": "Quebec Writers' Federation Awards", "d:Description": "Current and past competitions, submission details, and winners.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.qwf.org/awards/"} +{"d:Title": "Stephen Leacock Medal For Humour", "d:Description": "Annual awards given to the author of the best book of humour written by a Canadian in the current year. Provides an overview of the award, rules, and past winners.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.leacock.ca/"} +{"d:Title": "B.C. Book Prizes", "d:Description": "For writers and publishers from British Columbia and the Yukon consisting of 7 category awards of $2000 each plus the Lieutenant Governor\u2019s Award for Literary Excellence of $5000.00. Includes criteria and past winners.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.bcbookprizes.ca/"} +{"d:Title": "The Writers' Trust of Canada: Literary Awards", "d:Description": "A variety of awards for Canadian authors and published works. Entry requirements, past winners, related links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.writerstrust.com/Awards.aspx"} +{"d:Title": "The Willow Awards", "d:Description": "Saskatchewan annual childrens' book awards chosen by children. Created by SYRCA to promote reading. Previous winners, details, and submission guidelines.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.willowawards.ca/"} +{"d:Title": "Dave Greber Freelance Writers Awards", "d:Description": "Supports Western Canadian freelance writers with costs prior to publication. Two awards of $2000 for books, magazine articles. Work associated with social justice is strongly encouraged.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards", "url": "http://www.greberwritingaward.com/"} +{"d:Title": "The Scotiabank Giller Prize", "d:Description": "Official site includes news, past winners and finalists, history, and submission information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards/Giller_Prize,_The", "url": "http://www.scotiabankgillerprize.ca/"} +{"d:Title": "CM Magazine: Governor General's Literary Award Winners", "d:Description": "List of the 1995 winners in the Children's categories, along with the jury's citation.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Awards/Governor_General's_Literary_Awards", "url": "http://www.umanitoba.ca/cm/vol2/no5/gov.html"} +{"d:Title": "Canadian Book Clubs", "d:Description": "Provides information on starting or joining a book club, sample discussion questions, tips, and a discussion forum. Register your book club for free or read about other clubs in Canada.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Chats_and_Forums", "url": "http://www.canadianbookclubs.com/"} +{"d:Title": "Book Buzz", "d:Description": "The online book club of Toronto Public Library, open to members all over the world. Discusses monthly picks, hosts online author chats, and holds contests.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Chats_and_Forums", "url": "http://www.torontopubliclibrary.ca/bookbuzz/"} +{"d:Title": "The Canadian Children's Book Centre", "d:Description": "Profiles of authors and illustrators, directories of publishers and organizations, and information on various children's book awards.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's", "url": "http://www.bookcentre.ca/"} +{"d:Title": "CM Magazine", "d:Description": "A reviewing journal of Canadian children's books, videos and media materials. Features book reviews, stories, interviews, and articles.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's", "url": "http://www.umanitoba.ca/cm/"} +{"d:Title": "Canadian Children's Books 1799-1939", "d:Description": "A bibliographical catalogue of holdings in the Special Collections and University Archives Division of the U.B.C. Library, compiled by Sheila A. Egoff. Site also has many illustrations from the collection.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's", "url": "http://www.library.ubc.ca/edlib/egoffbib/"} +{"d:Title": "How Novel", "d:Description": "Author, title, and subject search for young adult authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's", "url": "http://spldatabase.saskatoonlibrary.ca/internet/HowNovelQuery.htm"} +{"d:Title": "Read Up On It", "d:Description": "Program to promote Canadian childrens literature and reading. Highlights notable books on a variety of themes and features award-winning books in all categories.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's", "url": "http://www.collectionscanada.gc.ca/read-up-on-it/index-e.html"} +{"d:Title": "The Censorship of Canadian Children's Literature", "d:Description": "Article from the Canadian Content e-zine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's", "url": "http://www.canadiancontent.ca/issues/0699censor.html"} +{"d:Title": "Bouchard, David", "d:Description": "Official site includes biography, books, and information on speaking engagements.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.davidbouchard.com/"} +{"d:Title": "Aska, Warabe", "d:Description": "Review of 'Who Hides in the Park?'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.umanitoba.ca/cm/cmarchive/vol15no1/whohidesinpark.html"} +{"d:Title": "Leavey, Peggy Dymond", "d:Description": "Biography, bibliography, excerpts, reviews, and FAQ.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www3.sympatico.ca/cmcarthur/peggydymondleavey/"} +{"d:Title": "Phillips, Rosemary", "d:Description": "Author of \"One Seed\". News, published works, articles and interviews, and biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.quillsquotesandnotes.com/"} +{"d:Title": "Kositsky, Lynne", "d:Description": "Poet and author of several books for children and young adults. Includes profile, speaking engagements, brief outlines of her books, and activities for kids.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.lynnekositsky.com/"} +{"d:Title": "Rogers, Will", "d:Description": "Based in Saskatoon, Saskatchewan. Biography, events, and information on \"The Stonking Steps\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.thestonkingsteps.com/"} +{"d:Title": "Butcher, Kristin", "d:Description": "Includes reviews, excerpts, teaching materials, and FAQ, as well as letters from readers and upcoming events.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.kristinbutcher.com/"} +{"d:Title": "Hodge, Deborah", "d:Description": "Author of more than 20 books for children. Provides information about Deborah's books, her biography, news and answers to FAQs.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.deborahhodge.com/"} +{"d:Title": "Anderson, R.J.", "d:Description": "Author of fantasy/SF books for children and young adults, based in Stratford, Ontario.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.rj-anderson.com/"} +{"d:Title": "Staunton, Ted", "d:Description": "Author of picture books and childrens books since 1983. Outlines his works, his school visits and readings. Resides in Port Hope, ON.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.tedstauntonbooks.com/"} +{"d:Title": "Goertzen, Glenda", "d:Description": "Discusses her writing career and her novels, \"The Prairie Dogs\" and \"City Dogs\". Includes resources for new writers.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.glendagoertzen.ca/"} +{"d:Title": "Sand-Eveland, Cyndi", "d:Description": "Author of childrens book \"Dear Toni\", includes a synopsis of the story and a profile of the author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.sand-eveland.ca/"} +{"d:Title": "Fodi, Lee Edward", "d:Description": "Author, illustrator, and designer. Profile, artwork, and book information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors", "url": "http://www.leefodi.com/"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Alderson,_Sue_Ann", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/alderson.html"} +{"d:Title": "CM Magazine: Ten Mondays for Lots of Boxes", "d:Description": "Excerpt and review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Alderson,_Sue_Ann", "url": "http://www.umanitoba.ca/cm/vol2/no25/mondays.html"} +{"d:Title": "CM Magazine: Pond Seasons", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Alderson,_Sue_Ann", "url": "http://www.umanitoba.ca/cm/vol3/no18/pond.html"} +{"d:Title": "CM Magazine: Keri", "d:Description": "Review and excerpts from the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Andrews,_Jan", "url": "http://www.umanitoba.ca/cm/vol3/no6/keri.html"} +{"d:Title": "CM Archive: Very Last First Time", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Andrews,_Jan", "url": "http://www.umanitoba.ca/cm/cmarchive/vol14no3/verylastfirst.html"} +{"d:Title": "CM Magazine: The Auction", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Andrews,_Jan", "url": "http://www.umanitoba.ca/cm/cmarchive/vol19no2/auction.html"} +{"d:Title": "CM Archive: Susan Atkinson-Keen", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Atkinson-Keen,_Susan", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/atkinsonkeen.html"} +{"d:Title": "Linda Bailey", "d:Description": "Author of several sets of books for children. Provides biographical information, FAQs and information about her books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bailey,_Linda", "url": "http://www.lindabaileybooks.com/"} +{"d:Title": "Kids Can Press: Linda Bailey", "d:Description": "Questions and answers, brief profile, awards and list of works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bailey,_Linda", "url": "http://www.kidscanpress.com/Canada/CreatorDetails.aspx?CID=5"} +{"d:Title": "CM Archive", "d:Description": "Review of \"How Can I Be a Detective If I Have to Baby-Sit?\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bailey,_Linda", "url": "http://epe.lac-bac.gc.ca/003/008/099/003008-disclaimer.html?orig=/100/201/300/cm/html/1995-2000/cm.b09/vol3/no1/detective.html"} +{"d:Title": "CM Archive", "d:Description": "Review of \"How Can a Frozen Detective Stay Hot on the Trail?\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bailey,_Linda", "url": "http://epe.lac-bac.gc.ca/003/008/099/003008-disclaimer.html?orig=/100/201/300/cm/html/1995-2000/cm.b09/vol3/no2/detective.html"} +{"d:Title": "CM Archive: Joyce Barkhouse", "d:Description": "Feature story on the author, focusing on her book, \"Pit Pony\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Barkhouse,_Joyce", "url": "http://www.umanitoba.ca/outreach/cm/cmarchive/vol19no1/joycebarkhouse.html"} +{"d:Title": "CM Archive", "d:Description": "Review of \"The Witch of Port LaJoye\".", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Barkhouse,_Joyce", "url": "http://www.umanitoba.ca/outreach/cm/cmarchive/vol12no3/witchportlajoye.html"} +{"d:Title": "Dodoland: Bob Barton", "d:Description": "Questions and answers, profile, and publications.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Barton,_Bob", "url": "http://www.dodoland.com/ioe_w8.php"} +{"d:Title": "CM Magazine: The Divide", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bedard,_Michael", "url": "http://www.umanitoba.ca/cm/vol4/no5/thedivide.html"} +{"d:Title": "CM Archive", "d:Description": "Review of 'The Nightingale'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bedard,_Michael", "url": "http://www.umanitoba.ca/outreach/cm/cmarchive/vol19no5/nightingale.html"} +{"d:Title": "CM Archive", "d:Description": "Review of 'The Tinder Box'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bedard,_Michael", "url": "http://www.umanitoba.ca/outreach/cm/cmarchive/vol18no5/tinderbox.html"} +{"d:Title": "William Bell: River my Friend", "d:Description": "Reviewed by Elaine Seepish in CM, Volume III, Number 6, November 15, 1996.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bell,_William", "url": "http://www.umanitoba.ca/cm/vol3/no6/river.html"} +{"d:Title": "William Bell", "d:Description": "Canadian author William Bell's home page. Biography, books, FAQ.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bell,_William", "url": "http://www.orillia.org/williambell/"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bell,_William", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/bell.html"} +{"d:Title": "John Bianchi", "d:Description": "Official site includes profile, bibliography, illustrations, and information on school visits.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bianchi,_John", "url": "http://www.johnbianchi.com/"} +{"d:Title": "CM Archive: John Bianchi", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bianchi,_John", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/bianchi.html"} +{"d:Title": "CM Magazine: A Gathering of Unicorns", "d:Description": "Review of the fifth book in the series, with an excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Blum,_Vicki", "url": "http://www.umanitoba.ca/outreach/cm/vol10/no12/agatheringofunicorns.html"} +{"d:Title": "CM Magazine: Land Without Unicorns", "d:Description": "Review of the third book in the series, with an excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Blum,_Vicki", "url": "http://www.umanitoba.ca/outreach/cm/vol8/no6/landunicorns.html"} +{"d:Title": "CM Magazine: The Mermaid Secret", "d:Description": "Review of the book, with an excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Blum,_Vicki", "url": "http://www.umanitoba.ca/outreach/cm/vol11/no9/themermaidsecret.html"} +{"d:Title": "CM Magazine: Wish Upon a Unicorn", "d:Description": "Review of the first book in the series, with an excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Blum,_Vicki", "url": "http://www.umanitoba.ca/outreach/cm/vol6/no12/wish.html"} +{"d:Title": "Mormon Literature Database: Blum, Vicki", "d:Description": "Biographical details and a booklist.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Blum,_Vicki", "url": "http://mormonlit.lib.byu.edu/lit_author.php?a_id=1971"} +{"d:Title": "CM Archive", "d:Description": "Reviews of 'Daniel's Dog', 'Sarah Saw A Blue Macaw', and 'Mama's Bed'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bogart,_Jo_Ellen", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/bogart.html"} +{"d:Title": "CM Magazine: Gifts", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bogart,_Jo_Ellen", "url": "http://www.umanitoba.ca/cm/vol3/no7/gifts.html"} +{"d:Title": "CM Magazine", "d:Description": "Review of 'Franklin's Halloween'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bourgeois,_Paulette", "url": "http://www.umanitoba.ca/cm/vol3/no1/franklin.html"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bourgeois,_Paulette", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/bourgeois.html"} +{"d:Title": "CM Archive: Karleen Bradford", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bradford,_Karleen", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/bradford.html"} +{"d:Title": "Dodoland: Karleen Bradford", "d:Description": "Biography and published works, some with summaries.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bradford,_Karleen", "url": "http://www.dodoland.com/ioe_w2.php"} +{"d:Title": "A Study Guide to \"There Will Be Wolves\"", "d:Description": "Summary, commentary and reviews, questions about the book, and related activities.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bradford,_Karleen", "url": "http://www.angelfire.com/journal2/englishresource/wolves.html"} +{"d:Title": "Benjamin Bright", "d:Description": "St. Marys, Ontario author, writer, and storyteller offers his services at events. Includes an overview, history, and portfolio of works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Bright,_Benjamin", "url": "http://www.benjaminbright.com/"} +{"d:Title": "CM Archive", "d:Description": "Review of 'A Canadian ABC'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Cook,_Lyn", "url": "http://www.umanitoba.ca/cm/cmarchive/vol19no4/canadianabc.html"} +{"d:Title": "CM Magazine", "d:Description": "Review of 'The Hiding-place'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Cook,_Lyn", "url": "http://www.umanitoba.ca/cm/cmarchive/vol19no2/hidingplace.html"} +{"d:Title": "CM Archive: Mary Downie", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Downie,_Mary_Alice", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/downie.html"} +{"d:Title": "Writing in Canada: Mary Alice Downie", "d:Description": "List of publications and awards.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Downie,_Mary_Alice", "url": "http://www.track0.com/ogwc/authors/downie_m.html"} +{"d:Title": "CM Archive: Peter Eyvindson", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Eyvindson,_Peter", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/eyvindson.html"} +{"d:Title": "CM Archive: Dennis Foon", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Foon,_Dennis", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/foon.html"} +{"d:Title": "Canadian Encyclopedia of Theatre: Dennis Foon", "d:Description": "Biography and information on his plays.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Foon,_Dennis", "url": "http://www.canadiantheatre.com/dict.pl?term=Dennis+Foon"} +{"d:Title": "CM Magazine: War", "d:Description": "Review of the book with an excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Foon,_Dennis", "url": "http://www.umanitoba.ca/cm/vol2/no4/war.html"} +{"d:Title": "CM Magazine: Double or Nothing", "d:Description": "Excerpt and review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Foon,_Dennis", "url": "http://www.umanitoba.ca/cm/vol6/no20/double.html"} +{"d:Title": "Annick Press: Dennis Foon", "d:Description": "Biography of the author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Foon,_Dennis", "url": "http://www.annickpress.com/author/Dennis_Foon"} +{"d:Title": "CM Magazine", "d:Description": "Review of 'Merlin's Castle'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/G\u00e1l,_L\u00e1szl\u00f3", "url": "http://www.umanitoba.ca/cm/vol3/no14/merlin.html"} +{"d:Title": "CM Magazine", "d:Description": "Review of 'The Parrot'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/G\u00e1l,_L\u00e1szl\u00f3", "url": "http://www.umanitoba.ca/cm/vol4/no6/parrot.html"} +{"d:Title": "CM Archive", "d:Description": "Reviews of 'Fat Charlie's Circus', 'The Garden', and 'Rainy Day Magic'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Gay,_Marie-Louise", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/gay.html"} +{"d:Title": "Spaghetti Book Club", "d:Description": "Review of 'Stella - Star of the Sea' by kindergarten and grade one students.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Gay,_Marie-Louise", "url": "http://www.spaghettibookclub.org/review.php?review_id=130"} +{"d:Title": "Phoebe Gilman's Place", "d:Description": "Official site includes a biography, the background for each of her books, events, activities for kids, and suggestions for teachers. Also includes information on performing the play, 'The Chicken Princess'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Gilman,_Phoebe", "url": "http://www.phoebegilman.com/"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Gilman,_Phoebe", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/gilman.html"} +{"d:Title": "CM Archive", "d:Description": "Review of 'The Blue Raven'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Harrison,_Ted", "url": "http://www.umanitoba.ca/cm/cmarchive/vol17no4/blueraven.html"} +{"d:Title": "CM Archive", "d:Description": "Review of 'O Canada'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Harrison,_Ted", "url": "http://www.umanitoba.ca/cm/cmarchive/vol20no5/rev258ocanada.html"} +{"d:Title": "Ted Harrison", "d:Description": "Official site includes a biography and samples of his work.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Harrison,_Ted", "url": "http://tedharrison.ca/"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Hunter,_Bernice_Thurman", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/hunter.html"} +{"d:Title": "Joan Irvine", "d:Description": "Official site includes biography, list of books, and instructions for making a pop-up.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Irvine,_Joan", "url": "http://joanirvine.com/"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Khalsa,_Dayal_Kaur", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/khalsa.html"} +{"d:Title": "Tundra Books", "d:Description": "Biography and publications.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Khalsa,_Dayal_Kaur", "url": "http://www.tundrabooks.com/authors/Khalsa_d.html"} +{"d:Title": "Spaghetti Book Club", "d:Description": "Review of 'I Want a Dog', written by two eight year olds.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Khalsa,_Dayal_Kaur", "url": "http://www.spaghettibookclub.org/review.php?review_id=62"} +{"d:Title": "Dayal Kaur Khalsa at the National Library of Canada", "d:Description": "Biography, bibliography, chronology and sample artwork.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Khalsa,_Dayal_Kaur", "url": "http://www.collectionscanada.gc.ca/literaryarchives/027011-200.072-e.html"} +{"d:Title": "Spaghetti Book Club - How Pizza Came to Queens", "d:Description": "A review by two children members of the club.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Khalsa,_Dayal_Kaur", "url": "http://www.spaghettibookclub.org/review.php?review_id=2016"} +{"d:Title": "Rukhsana Khan", "d:Description": "Official site includes profile, articles, published works, and upcoming events.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Khan,_Rukhsana", "url": "http://www.rukhsanakhan.com/"} +{"d:Title": "CM Magazine Profile: Rukhsana Khan", "d:Description": "The author talks about her painful childhood and where she gets her ideas for her books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Khan,_Rukhsana", "url": "http://www.umanitoba.ca/cm/profiles/khan.html"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Korman,_Gordon", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/korman.html"} +{"d:Title": "Plains Reading Council", "d:Description": "Pictures of Korman at the Young Writer's Conference.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Korman,_Gordon", "url": "http://www.auburn.edu/~murraba/korman.html"} +{"d:Title": "Gordon Korman", "d:Description": "Official site includes news, reviews of his works, and a welcome note from the author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Korman,_Gordon", "url": "http://gordonkorman.com/"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Kovalski,_Maryann", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/kovalski.html"} +{"d:Title": "National Library of Canada", "d:Description": "Biography and selected bibliography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Kovalski,_Maryann", "url": "http://www.collectionscanada.gc.ca/read-up-on-it/015020-6046-e.html"} +{"d:Title": "Imagination's Legacy: Michael Arvaarluk Kusugak", "d:Description": "Profile and selected bibliography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Kusugak,_Michael_Arvaarluk", "url": "http://www.ucalgary.ca/~dkbrown/k6/kusugak.html"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Kusugak,_Michael_Arvaarluk", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/kusugak.html"} +{"d:Title": "Canadian Poetry: Dennis Lee", "d:Description": "Biography, poems, writing philosophy, published works, and awards.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lee,_Dennis", "url": "http://www.library.utoronto.ca/canpoetry/lee/"} +{"d:Title": "Dennis Lee - Alligator Pie", "d:Description": "News and appearances, biography, works, and links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lee,_Dennis", "url": "http://members.shaw.ca/alligatorpie/"} +{"d:Title": "The Academy of American Poets: Dennis Lee", "d:Description": "Profile and selected poems.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lee,_Dennis", "url": "http://www.poets.org/poet.php/prmPID/34"} +{"d:Title": "CM Archive", "d:Description": "Review of 'What's That Noise?'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lemieux,_Mich\u00e8le", "url": "http://www.umanitoba.ca/cm/cmarchive/vol18no2/whatnoise.html"} +{"d:Title": "A Storm of Questions", "d:Description": "Review of 'Stormy Night' from January Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lemieux,_Mich\u00e8le", "url": "http://www.januarymagazine.com/kidsbooks/storm.html"} +{"d:Title": "National Library of Canada: Mich\u00e8le Lemieux", "d:Description": "Biography and selected bibliography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lemieux,_Mich\u00e8le", "url": "http://www.collectionscanada.gc.ca/read-up-on-it/015020-2017-e.html"} +{"d:Title": "About.com: Spotlight on Jean Little", "d:Description": "A brief look at the author's life and comments on some of her books, particularly the reviewers 2 favorites, \"Hey World, Here I Am!\" and \"From Anna.\"", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean", "url": "http://childrensbooks.about.com/cs/authorsillustrato/a/jeanlittle.htm"} +{"d:Title": "Jean Little", "d:Description": "A summary of her life story and some book reviews, with a blog and slide show.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean", "url": "http://www.jeanlittle.ca/"} +{"d:Title": "Hey World, Here I Am!", "d:Description": "Book review highly recommending this 64 page book in which fictional 13 year old Kate Bloomfield shares her thoughts in short prose pieces and poetry.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://www.umanitoba.ca/outreach/cm/cmarchive/vol15no2/heyworld.html"} +{"d:Title": "Little by Little: A Writer's Education", "d:Description": "Book review. This book is Jean Little's first autobiography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://www.umanitoba.ca/outreach/cm/cmarchive/vol16no4/littlebylittle.html"} +{"d:Title": "Canadian Review of Materials: Pippin the Christmas Pig", "d:Description": "Review of this book for three to ten year olds for the Manitoba Library Association by a teacher-librarian in West Vancouver.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://www.umanitoba.ca/outreach/cm/vol10/no8/pippinthechristmaspig.html"} +{"d:Title": "CM Magazine: I Gave My Mom a Castle.", "d:Description": "Book review by an Instructor of Children's Literature and Information Literacy at the Faculty of Education, University of Manitoba in Winnipeg.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://www.umanitoba.ca/outreach/cm/vol10/no1/igavemymomacastle.html"} +{"d:Title": "CM Magazine: Emma's Strange Pet.", "d:Description": "Book review by an MA student in Children's Literature at The University of British Columbia in Vancouver, BC about this book for young children. Includes a very short excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://www.umanitoba.ca/outreach/cm/vol10/no3/emmasstrangepet.html"} +{"d:Title": "CM Magazine: Birdie for Now. (An Orca Young Reader).", "d:Description": "Review of this book about a boy with ADHD.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://www.umanitoba.ca/outreach/cm/vol8/no19/birdiefornow.html"} +{"d:Title": "CM Magazine: Mine for Keeps", "d:Description": "Book review of Jean Little's first novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://www.umanitoba.ca/outreach/cm/vol1no8/mine.html"} +{"d:Title": "Revenge of the Small Small", "d:Description": "A brief book review about the frustrations of a child who is the baby in the family. Reviewed by a retired teacher/librarian in Dartmouth, Nova Scotia.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://epe.lac-bac.gc.ca/100/201/300/cm/html/1995-2000/cm.b09/vol1no9/small.html"} +{"d:Title": "About.com: Recommended Children's Bookabout Adoption", "d:Description": "Review of the book, \"Emma's Yucky Brother.\" Elizabeth Kennedy summarizes the book and tells why she likes it.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Little,_Jean/Works", "url": "http://childrensbooks.about.com/od/adoption/fr/emmas.htm"} +{"d:Title": "Janet Lunn - Canadian History from Cows to Catalogues", "d:Description": "The author discusses history and writing with Brenda Reed of Canadian Materials.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lunn,_Janet", "url": "http://www.umanitoba.ca/cm/cmarchive/vol20no6/janetlunn.html"} +{"d:Title": "CM Archive: Shadow in Hawthorn Bay", "d:Description": "Book review by Joan McGrath.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lunn,_Janet", "url": "http://www.umanitoba.ca/cm/cmarchive/vol15no1/shadowinhawthornbay.html"} +{"d:Title": "CM Archive: Duck Cakes For Sale", "d:Description": "Book review by Frances Nokes.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lunn,_Janet", "url": "http://www.umanitoba.ca/cm/cmarchive/vol18no2/duckcakes.html"} +{"d:Title": "CM Magazine: The Umbrella Party", "d:Description": "Book review by Valerie Nielsen.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lunn,_Janet", "url": "http://www.umanitoba.ca/cm/vol5/no3/umbrellaparty.html"} +{"d:Title": "Online Guide to Writing in Canada: Janet Lunn", "d:Description": "Bibliography, awards, and resources.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lunn,_Janet", "url": "http://www.track0.com/ogwc/authors/lunn_j.html"} +{"d:Title": "Janet Lunn - Twin Spell", "d:Description": "Book review by Laurie Thayer of Rambles, a cultural arts magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lunn,_Janet", "url": "http://www.rambles.net/lunn_twinspell.html"} +{"d:Title": "Carol Hurst's Children's Literature Site: The Root Cellar", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Lunn,_Janet", "url": "http://www.carolhurst.com/titles/rootcellar.html"} +{"d:Title": "CM Magazine Profile: Janet McNaughton", "d:Description": "A profile of the author based upon an interview with her. Tells how she came to be a writer and the story behind several of her books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/McNaughton,_Janet", "url": "http://www.umanitoba.ca/cm/profiles/mcnaughton.html"} +{"d:Title": "Janet McNaughton's Home Page", "d:Description": "The author talks about her books and achievements. She goes into a lot of detail about the settings of her books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/McNaughton,_Janet", "url": "http://www.janetmcnaughton.ca/"} +{"d:Title": "Robert Munsch", "d:Description": "Official site includes biography, news, poems, the background for each of his books, and artwork by kids.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Munsch,_Robert", "url": "http://www.robertmunsch.com/"} +{"d:Title": "Canadian Content", "d:Description": "Questions and answers with Robert Munsch.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Munsch,_Robert", "url": "http://www.canadiancontent.ca/issues/0499munsch.html"} +{"d:Title": "CM Archive: Sean O'Huigin", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/O'Huigin,_Sean", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/ohuigin.html"} +{"d:Title": "CM Archive: Anna's Red Sled", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Quinlan,_Patricia", "url": "http://www.umanitoba.ca/cm/cmarchive/vol17no6/annasredsled.html"} +{"d:Title": "CM Archive: Tiger Flowers", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Quinlan,_Patricia", "url": "http://www.collectionscanada.gc.ca/eppp-archive/100/200/300/cmarchive/vol22no5/rev185tigerflowers.html"} +{"d:Title": "CM Magazine: \"Ghost Voyages\" and \"Ghost Voyages II: The Matthew\"", "d:Description": "Reviews of the books with an excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Taylor,_Cora", "url": "http://www.umanitoba.ca/outreach/cm/vol9/no1/ghostvoyages.html"} +{"d:Title": "CM Magazine: \"On the Wings of a Dragon\"", "d:Description": "Review of the book with a brief excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Taylor,_Cora", "url": "http://www.umanitoba.ca/outreach/cm/vol8/no2/onthewingsofadragon.html"} +{"d:Title": "CM Magazine: \"Vanishing Act\"", "d:Description": "Review of the book with an excerpt.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Taylor,_Cora", "url": "http://www.umanitoba.ca/outreach/cm/vol4/no14/vanishingact.html"} +{"d:Title": "CM Magazine: Garbage Creek and Other Stories", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Valgardson,_W._D.", "url": "http://www.umanitoba.ca/cm/vol4/no10/garbagecreek.html"} +{"d:Title": "Eric Wilson", "d:Description": "Official site includes a biography, the first chapter from each of his books, news, quiz, and resources for teachers.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wilson,_Eric", "url": "http://www.ericwilson.com/"} +{"d:Title": "CM Archive", "d:Description": "Reviews of several books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wilson,_Eric", "url": "http://www.umanitoba.ca/cm/cmarchive/authors/wilsoneric.html"} +{"d:Title": "CM Archive: Tim Wynne-Jones", "d:Description": "Profile from the magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wynne-Jones,_Tim", "url": "http://www.umanitoba.ca/cm/cmarchive/vol22no1/timwynnejones.html"} +{"d:Title": "CM Archive: I'll Make You Small", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wynne-Jones,_Tim", "url": "http://www.umanitoba.ca/cm/cmarchive/vol15no3/illmakesmall.html"} +{"d:Title": "CM Archive: Zoom Away", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wynne-Jones,_Tim", "url": "http://www.umanitoba.ca/cm/cmarchive/vol14no3/zoomaway.html"} +{"d:Title": "CM Archive: Zoom At Sea", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wynne-Jones,_Tim", "url": "http://www.umanitoba.ca/cm/cmarchive/vol12no2/zoomsea.html"} +{"d:Title": "CM Magazine: The Book of Changes", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wynne-Jones,_Tim", "url": "http://www.umanitoba.ca/cm/vol1no1/nielson.html"} +{"d:Title": "CM Magazine: Stephen Fair", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Wynne-Jones,_Tim", "url": "http://www.umanitoba.ca/cm/vol5/no3/stephenfair.html"} +{"d:Title": "CM Archive: Breakaway", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Yee,_Paul", "url": "http://www.umanitoba.ca/cm/cmarchive/vol22no4/breakaway.html"} +{"d:Title": "CM Archive: The Curses of Third Uncle", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Yee,_Paul", "url": "http://www.umanitoba.ca/cm/cmarchive/vol15no1/cursesofthirduncle.html"} +{"d:Title": "CM Archive: Teach Me to Fly, Skyfighter! and Other Stories", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Yee,_Paul", "url": "http://www.umanitoba.ca/cm/cmarchive/vol12no2/flyskyfighter.html"} +{"d:Title": "CM Archive: Tales from Gold Mountain", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Yee,_Paul", "url": "http://www.umanitoba.ca/cm/cmarchive/vol18no2/goldmntn.html"} +{"d:Title": "CM Magazine: The First Red Maple Leaf", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Zeman,_Ludmila", "url": "http://www.umanitoba.ca/cm/vol4/no13/firstred.html"} +{"d:Title": "CM Magazine: The Last Quest of Gilgamesh", "d:Description": "Review of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Zeman,_Ludmila", "url": "http://www.umanitoba.ca/cm/vol2/no12/gilgamesh.html"} +{"d:Title": "Tundra Books: Ludmila Zeman", "d:Description": "Interview with the author and filmmaker.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Zeman,_Ludmila", "url": "http://www.tundrabooks.com/authors/Zeman_l.html"} +{"d:Title": "Werner Zimmermann", "d:Description": "Official site includes a description of how \"Snow Day\" was created and answers to questions from kids.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Zimmermann,_Werner", "url": "http://www.wernerzimmermann.ca/"} +{"d:Title": "Canadian Materials Archive", "d:Description": "Review of 'The Colour of Spring'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Children's/Authors/Zimmermann,_Werner", "url": "http://www.umanitoba.ca/cm/cmarchive/vol18no3/colorspring.html"} +{"d:Title": "Surrey Writers' Conference Literary Contest", "d:Description": "Guidelines and categories.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Contests", "url": "http://www.siwc.ca/contest/index.php"} +{"d:Title": "Canadian Writer's Journal Annual Short Fiction Contest", "d:Description": "Honours original, unpublished stories, any genre, maximum length 1,200 words. Lists rules and past winners.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Contests", "url": "http://www.cwj.ca/04-00fiction.htm"} +{"d:Title": "Association of Italian Canadian Writers", "d:Description": "Profile of the association, as well as book reviews and media watch.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Cultural", "url": "http://verdicchio.tripod.com/ItalianCanadian.html"} +{"d:Title": "Asian Canadian Literature", "d:Description": "Publications by various authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Cultural", "url": "http://www.asian.ca/books/"} +{"d:Title": "African Canadian Writers", "d:Description": "Hosted by York University's Atkinson College. History of African Canadian literature, list of writers, children's literature, black press in Canada, anthologies and publications.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Cultural", "url": "http://www.yorku.ca/aconline/literature.html"} +{"d:Title": "Asian Canadian Arts and Culture Community: Book List", "d:Description": "Recommended books for building a personal library.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Cultural", "url": "http://www.asiancanadian.net/literature.html"} +{"d:Title": "The Toronto Circle", "d:Description": "Jamie James explains how South Asian writers, now exiled in Canada, are re-creating their former worlds. Authors discussed include Rohinton Mistry, M.G. Vassanji, and Shyam Selvadurai.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Cultural", "url": "http://www.theatlantic.com/past/docs/issues/2000/04/james.htm"} +{"d:Title": "CanLinks", "d:Description": "Directory of web resources related to Canadian studies. Includes organizations, authors, criticism, online texts, history, and libraries.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Directories", "url": "http://www.lucking.net/canlinks/cl_canliterary.htm"} +{"d:Title": "Individual Writers and Their Works", "d:Description": "Collection of links for many authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Directories", "url": "http://www.lucking.net/canlinks/cl_writers_a.htm"} +{"d:Title": "Voice of the Shuttle: Canada", "d:Description": "Collection of links for specific authors, as well as general resources.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Directories", "url": "http://vos.ucsb.edu/browse.asp?id=2748#id408"} +{"d:Title": "Saskatchewan Writers", "d:Description": "Resource guide which includes biographies and bibliographies of Saskatchewan authors. Also indicates the authors' availability for speaking engagements.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Directories", "url": "http://www.skwriter.com/?s=findskwriters&p=search"} +{"d:Title": "Canadiana", "d:Description": "Collection of over 3,000 early works from Canada's history. Includes both fiction and nonfiction.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Electronic_Text_Archives", "url": "http://www.canadiana.ca/"} +{"d:Title": "National Library of Canada Electronic Collection", "d:Description": "Searchable database of online texts in English and French.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Electronic_Text_Archives", "url": "http://epe.lac-bac.gc.ca/e-coll-e/index-e.htm"} +{"d:Title": "Reading Toronto", "d:Description": "What's happening in Toronto with culture, arts, design, architecture, music, photography, performance, film.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://readingt.readingcities.com/"} +{"d:Title": "Wordfest", "d:Description": "News and history of the not-for-profit organization that holds a literary festival in October.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://www.wordfest.com/"} +{"d:Title": "Write The Nation Tour", "d:Description": "On October 1st, 2004, Mingus Tourette and a band of poets and writers embarked on a three-week, cross-country Canadian literary tour.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://www.writethenation.com/"} +{"d:Title": "Vancouver Writers Fest", "d:Description": "Festival ignites a passion for reading and writing by producing a number of special events and an annual festival that features writers from around the world. Granville Island.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://writersfest.bc.ca/"} +{"d:Title": "The Word on the Street", "d:Description": "Book and magazine fair celebrating literacy and the printed word. Locations include Saskatoon, Lethbridge, Toronto, Halifax, and Kitchener.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://www.thewordonthestreet.ca/"} +{"d:Title": "Surrey International Writers' Conference", "d:Description": "Overview, schedules, and speakers. Held every October.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://www.siwc.ca/"} +{"d:Title": "LitFest", "d:Description": "Edmonton International Literary Festival. Established in 2002 as the successor of the Alberta Book Fair, which was an annual trade fair for almost 20 years.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "https://litfestalberta.org/"} +{"d:Title": "Ottawa International Writers Festival", "d:Description": "Ten day writers festival every September, in Ottawa, Ontario. The OIWF serves emerging Canadian talent by placing them on stage at the National Arts Centre with some of the world's greatest writers.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://www.writersfestival.org/"} +{"d:Title": "Banff Mountain Film and Book Festival", "d:Description": "Speakers, seminars, and general information.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://www.banffcentre.ca/mountainfestival/"} +{"d:Title": "Cabot Trail Writers Festival", "d:Description": "Details of the festival, including authors, activities, sponsors. Archives of previous festivals.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://cabottrailwritersfestival.com/"} +{"d:Title": "Eden Mills Writers' Festival", "d:Description": "An annual one day event coordinated with The Guelph Jazz Festival, features authors readings and discussions of their works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "https://emwritersfestival.wordpress.com/"} +{"d:Title": "Words Aloud", "d:Description": "A spoken word and storytelling festival, presenting the best in spoken word from across Canada and beyond. Durham, Ontario.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "https://wordsaloud.ca/"} +{"d:Title": "Real Vancouver Writers' Series", "d:Description": "Curates, markets, and produces public events featuring established and emerging writers a minimum of four times a year at locations within the city of Vancouver.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Events", "url": "http://realvancouver.org/"} +{"d:Title": "Oliver, Rachel", "d:Description": "Author of \"Really I'm Fine,\" an illustrated book with a humorous look at recovery from brain injuries. Profile, sample pages, and reviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors", "url": "http://www.racheloliver.com/"} +{"d:Title": "Not Illegal - Neither is It Right", "d:Description": "Excerpt from Arthur Black's keynote speech at the National Forest Millennial Conference.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Black,_Arthur", "url": "http://www.savesaltspring.com/ablack.html"} +{"d:Title": "Stephen Leacock Museum", "d:Description": "Biography, list of books, and description of the author's summer home. Also includes information on the Stephen Leacock Memorial Award for Humour.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Leacock,_Stephen", "url": "http://www.leacockmuseum.com/"} +{"d:Title": "Stephen Leacock Building", "d:Description": "Description and pictures of the building, located on the campus of McGill University.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Leacock,_Stephen", "url": "http://cac.mcgill.ca/campus/Buildings/Stephen_Leacock.html"} +{"d:Title": "Find a Grave: Stephen Leacock", "d:Description": "Pictures of the writer, his grave site, and a memorial sign with brief biography, cemetery information, and interactive visitor comments.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Leacock,_Stephen", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2146"} +{"d:Title": "Stephen Leacock: Humorist and Educator", "d:Description": "Biography, chronology, quotations, and an overview of his work with samples. Also includes detailed looks at \"Sunshine Sketches of a Little Town\" and \"Arcadian Adventures with the Idle Rich.\" From the National Library of Canada.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Leacock,_Stephen", "url": "http://epe.lac-bac.gc.ca/100/200/301/nlc-bnc/stephen_leacock-ef/2001/index-e.html"} +{"d:Title": "Hocus-Pocus", "d:Description": "Review of 'The Spirit Cabinet' from the NY Times. Includes the first chapter of the book.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Quarrington,_Paul", "url": "http://partners.nytimes.com/books/00/04/30/reviews/000430.30schuest.html"} +{"d:Title": "Magic in the Box", "d:Description": "Review of 'The Spirit Cabinet' from January Magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Quarrington,_Paul", "url": "http://www.januarymagazine.com/fiction/spiritcab.html"} +{"d:Title": "January Magazine", "d:Description": "Interview with Richardson.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Richardson,_Bill", "url": "http://www.januarymagazine.com/profiles/brichardson.html"} +{"d:Title": "Rambles", "d:Description": "Review of 'Bachelor Brothers' Bed and Breakfast'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Richardson,_Bill", "url": "http://rambles.net/richardson_bachelor.html"} +{"d:Title": "Canadian Content", "d:Description": "Review of 'Scorned and Beloved: Dead of Winter Meetings with Canadian Eccentrics'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Humor/Authors/Richardson,_Bill", "url": "http://www.canadiancontent.ca/issues/1198books.html"} +{"d:Title": "Crime Writers of Canada (CWC)", "d:Description": "National association for professional practitioners of the crime writing genre. Includes newsletter, information on authors, awards, and links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery", "url": "http://www.crimewriterscanada.com/"} +{"d:Title": "Dudley, Karen", "d:Description": "Author of the Robyn Devara series. Features biography, book covers and synopses, list of upcoming events, and information about awards and nominations.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors", "url": "http://www.karendudley.com/"} +{"d:Title": "Penny, Louise", "d:Description": "Author of the Armand Gamache series. Profile, reviews, and information about getting published.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors", "url": "http://www.louisepenny.com/"} +{"d:Title": "Price, Steven C.", "d:Description": "Biography and excerpts from the latest works of the Toronto writer.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors", "url": "http://www.kgsambrano.com/crimefiction/stevencprice.htm"} +{"d:Title": "Tardif, Cheryl Kaye", "d:Description": "Biography, events, reviews, and works of the British Columbia-based author.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors", "url": "http://www.cherylktardif.com/"} +{"d:Title": "Delany, Vicki", "d:Description": "Writer of suspense and mystery novels set in the Canadian North.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors", "url": "http://www.vickidelany.com/"} +{"d:Title": "Lindquist, N.J.", "d:Description": "Author's homepage, which includes information about the books, schedule of events, and biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors", "url": "http://www.njlindquist.com/"} +{"d:Title": "Richardson, Tracey", "d:Description": "Author's personal site featuring a biography, short stories and information about her novels.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors", "url": "http://www.traceyrichardson.net/"} +{"d:Title": "Gail Bowen", "d:Description": "Official site featuring a biography and information about her Joanne Kilboun series.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Bowen,_Gail", "url": "http://www.gailbowen.com/"} +{"d:Title": "William Deverell", "d:Description": "Author's personal site. Includes a biography and information about his books.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Deverell,_William", "url": "http://www.deverell.com/"} +{"d:Title": "Furlong, Nicola", "d:Description": "Includes author and book information, appearance schedule and writing tips.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Furlong,_Nicola", "url": "http://www.nicolafurlong.com/"} +{"d:Title": "Nicola Furlong -The Charlotte Austin Review", "d:Description": "Interview about the author's work, research, and use of the Internet.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Furlong,_Nicola", "url": "http://epe.lac-bac.gc.ca/100/202/300/charlotte/2000/07-31/pages/interviews/authors/nicolafurlong.htm"} +{"d:Title": "Funny Money", "d:Description": "Globe and Mail book review.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Gough,_Laurence", "url": "http://www.walnet.org/csis/news/toronto_2000/gandm-001104.html"} +{"d:Title": "Rick Mofina", "d:Description": "Official site featuring a biography and novel synopses.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Mofina,_Rick", "url": "http://www.rickmofina.com/"} +{"d:Title": "Thrilling Detective: Tom Reed", "d:Description": "Profile of Mofina's character.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Mofina,_Rick", "url": "http://www.thrillingdetective.com/eyes/reed.html"} +{"d:Title": "Peter Robinson", "d:Description": "Author of the Alan Banks series and other literature. Includes a biography, bibliography, itinerary, cover art gallery and a photo gallery. (Author's personal site)", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Robinson,_Peter", "url": "http://www.inspectorbanks.com/"} +{"d:Title": "January Magazine: Interview", "d:Description": "Interview with the author, focusing mainly on the In A Dry Season novel.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Robinson,_Peter", "url": "http://www.januarymagazine.com/profiles/probinson.html"} +{"d:Title": "Peter Robinson", "d:Description": "Bibliography, brief biography and information about the novels.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Robinson,_Peter", "url": "http://www.twbooks.co.uk/authors/probinsn.html"} +{"d:Title": "Bonnie Toews", "d:Description": "Reviews, order form, interview, portfolio, excerpts from non-fiction articles, and chapter one of a novel in progress.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Toews,_Bonnie", "url": "http://authorbonnietoews.wordpress.com/"} +{"d:Title": "January Interview: L.R. Wright", "d:Description": "The author talks about retiring Karl Alberg, writing crime fiction, and being called Bunny.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Mystery/Authors/Wright,_L._R.", "url": "http://www.januarymagazine.com/profiles/lrwright.html"} +{"d:Title": "Bowen, Judith", "d:Description": "Book list, preview of latest work, and personal journal.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Romance/Authors", "url": "http://www.judithbowen.com/"} +{"d:Title": "Alice Valdal", "d:Description": "A short biography and an excerpt from her novel, The Man for Her.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Romance/Authors", "url": "http://www.alicevaldal.com/"} +{"d:Title": "Jillian Dagg", "d:Description": "Official site includes news, biography, list of books, excerpts, reviews, and photos.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Romance/Authors/Dagg,_Jillian", "url": "http://www.jilliandagg.com/"} +{"d:Title": "Margaret Moore", "d:Description": "Official website of the historical romance author includes news, book information, and tips for aspiring authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Romance/Authors/Moore,_Margaret", "url": "http://www.margaretmoore.com/"} +{"d:Title": "Prix Aurora Awards", "d:Description": "List of past winners. Presented by the Canadian Science Fiction and Fantasy Association.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction", "url": "http://www.prixaurorawards.ca/"} +{"d:Title": "Out of This World: Canadian Science Fiction and Fantasy", "d:Description": "Reading suggestions from the National Library of Canada.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction", "url": "http://epe.lac-bac.gc.ca/100/200/301/nlc-bnc/out_this_world_cdn_scienc-ef/esci-fi.htm"} +{"d:Title": "Speculating Canada", "d:Description": "Reviewing and discussing Canadian horror, science fiction, and fantasy.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction", "url": "https://speculatingcanada.ca/"} +{"d:Title": "Baen Books", "d:Description": "The first three chapters of 'Project: Maldon'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction/Authors/Atack,_Chris", "url": "http://www.baen.com/chapters/project_1.htm"} +{"d:Title": "SF Site", "d:Description": "Review of 'Project: Maldon'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction/Authors/Atack,_Chris", "url": "http://www.sfsite.com/11a/proj44.htm"} +{"d:Title": "SF Site", "d:Description": "Review of 'Flesh and Gold'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction/Authors/Gotlieb,_Phyllis", "url": "http://www.sfsite.com/03a/fle28.htm"} +{"d:Title": "Alfvaen's Reviews", "d:Description": "Review of 'A Judgement of Dragons'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction/Authors/Gotlieb,_Phyllis", "url": "http://www.telusplanet.net/public/alfvaen/reviews/a_judgement_of_dragons.html"} +{"d:Title": "RIP, Phyllis Gotleib, the mother of Canadian science fiction", "d:Description": "Obituary by Cory Doctorow.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Genres/Science_Fiction/Authors/Gotlieb,_Phyllis", "url": "http://boingboing.net/2009/07/15/rip-phyllis-gotleib.html"} +{"d:Title": "broken pencil", "d:Description": "The guide to alternative culture in Canada features reviews of hundreds of zines, e-zines, journals, chap-books and newsletters from across the country, as well as excerpts and feature stories.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.brokenpencil.com/"} +{"d:Title": "CM Magazine", "d:Description": "An electronic reviewing journal. Review books, video and audio tapes, and CD-ROMS produced for young people. Also publishes news, feature articles, interviews, and web reviews intended for kids, parents, librarians, teachers, and professionals working with kids.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.umanitoba.ca/cm/"} +{"d:Title": "Mosaic", "d:Description": "A quarterly journal for the interdisciplinary study of literature. Publishes scholarly essays that explore the interaction between literature and other disciplines.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.umanitoba.ca/publications/mosaic/"} +{"d:Title": "The Malahat Review", "d:Description": "A quarterly Canadian literary journal that is international in scope and publishes poetry, fiction, reviews, interviews, and essays. Published an important special issue dedicated to P. K. Page.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://web.uvic.ca/~malahat/"} +{"d:Title": "GEIST", "d:Description": "Literary magazine of ideas and culture. Canadian culture with a sense of humour.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.geist.com/"} +{"d:Title": "Queen's Quarterly", "d:Description": "Offers both the academic and general reader a collection of analysis and reflection, in fields as diverse as international relations, science policy, literary criticism, travel writing, economics, religion, short fiction, and poetry. Publishes four times a year.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.queensu.ca/quarterly/"} +{"d:Title": "Electronic Graffito - The Poetry Poster", "d:Description": "Archive of the Ottawa-based zine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://collection.nlc-bnc.ca/100/202/300/e-graffito/graffito/default.htm"} +{"d:Title": "Canadian Writer's Journal", "d:Description": "National magazine for independent writers in Canada. Includes an email list.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.cwj.ca/"} +{"d:Title": "Room of One's Own: A Canadian Feminist Literary Journal", "d:Description": "A collection of short stories, poems, reviews, and commentary by, for, and about women.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.roommagazine.com/"} +{"d:Title": "Montreal Serai e-Zine", "d:Description": "An alternative, progressive arts and culture e-zine \"bringing the margins to the center\". Poetry, literature, theatre, short stories, reviews, cultural essays, and political pieces.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.montrealserai.com/"} +{"d:Title": "Canadian Book Review Annual", "d:Description": "A collection of authoritative reviews of English-language trade, scholarly, and reference books published in Canada each year.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.cbraonline.com/"} +{"d:Title": "Prairie Fire Magazine", "d:Description": "Canadian magazine of new writing, published quarterly. The site features book reviews and other web-only content.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.prairiefire.ca/"} +{"d:Title": "ECW Press", "d:Description": "Covers the field of literature with lively, controversial and scholarly articles on writing from English Canada and Qu\u00e9bec.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.ecwpress.com/"} +{"d:Title": "Studies in Canadian Literature", "d:Description": "A bi-annual journal devoted to the scholarly and critical study of Canadian literature in English and French.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://journals.hil.unb.ca/index.php/SCL"} +{"d:Title": "The Danforth Review", "d:Description": "A quarterly international online magazine of fiction, poetry, reviews, book lists, and opinion.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://thedanforthreview.blogspot.com/"} +{"d:Title": "On Spec", "d:Description": "Canadian speculative fiction magazine. Ordering, guidelines, sample stories, and book reviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "https://onspecmag.wordpress.com/"} +{"d:Title": "Canadian Author", "d:Description": "Published quarterly, Canadian Author is an ezine. Each issue includes articles of particular interest to developing and established Canadian writers.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://canadianauthors.org/national/"} +{"d:Title": "Journal of Canadian Poetry", "d:Description": "An annual review including articles on Canadian poetry and reviews of the year's poetry and criticism.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://www.borealispress.com/BookCategory/cid/09/Journal%20of%20%20Canadian%20Poetry"} +{"d:Title": "Canadian Children's Literature", "d:Description": "Founded in 1975, CCL is a bilingual journal of criticism and review covering Canadian books and other media for children and young adults.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Magazines_and_E-zines", "url": "http://ccl-lcj.ca/index.php/ccl-lcj"} +{"d:Title": "ACCUTE - Association of Canadian College and University Teachers of English)", "d:Description": "ACCUTE is the principal scholarly and professional association for Canadian universities and colleges.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Organizations", "url": "http://www.accute.ca/"} +{"d:Title": "League of Canadian Poets", "d:Description": "\"Canada's national organization serving professionally published and practising poets.\" Includes link to \"Poetry Spoken Here,\" a listing of poetry readings across Canada, and reviews of recent books of poetry.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry", "url": "http://www.poets.ca/"} +{"d:Title": "Canadian Poetry (University of Toronto)", "d:Description": "Offers poetry and poetry-related information from many sources. Includes biographies on numerous poets, links to journals, presses, events, awards, grants, and contests.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry", "url": "http://www.library.utoronto.ca/canpoetry/"} +{"d:Title": "The Lines of the Poet", "d:Description": "Background on the book of portraits by Morton Rosengarten.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry", "url": "http://epe.lac-bac.gc.ca/100/200/300/michael_werner_images/lines_of_the_poet/index.html"} +{"d:Title": "Raise the Flag and Other Patriotic Canadian Songs and Poems", "d:Description": "Browseable scanned images of handwritten originals. From Early Canadiana Online.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry", "url": "http://eco.canadiana.ca/view/oocihm.11633/5?r=0&s=1"} +{"d:Title": "Canadian Poets", "d:Description": "Collection of poets with biographies, list of published works, and sample poems.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets", "url": "http://www.library.utoronto.ca/canpoetry/index_poet.htm"} +{"d:Title": "Tourette, Mingus", "d:Description": "Author of \"Nunt\". Includes biography, sample chapters, FAQ, and essays.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets", "url": "http://www.nunt.com/"} +{"d:Title": "Schertzer, Mike", "d:Description": "Excerpts, bibliography, projects, and biography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets", "url": "http://poetryisdisaster.com/writing.html"} +{"d:Title": "Tylman, Richard", "d:Description": "Biography, poems, paintings, and photographs.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets", "url": "http://richardtylman.atspace.com/"} +{"d:Title": "Gandhi, Ajit", "d:Description": "A collection of poetry and writings.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets", "url": "http://www.ajitgandhi.com/"} +{"d:Title": "Canadian Poets: Milton Acorn", "d:Description": "Biography,poems, writing philosophy, and published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Acorn,_Milton", "url": "http://canpoetry.library.utoronto.ca/acorn/"} +{"d:Title": "Canadian Poets: Margaret Avison", "d:Description": "Biography, poems, writing philosophy, and published works.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Avison,_Margaret", "url": "http://www.library.utoronto.ca/canpoetry/avison/"} +{"d:Title": "University of Manitoba Libraries", "d:Description": "Profile of Margaret Avison.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Avison,_Margaret", "url": "http://umanitoba.ca/libraries/units/archives/collections/complete_holdings/rad/mss/avison.shtml"} +{"d:Title": "Canadian Poets: Earle Birney", "d:Description": "Biography, poems, and published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Birney,_Earle", "url": "http://canpoetry.library.utoronto.ca/birney/"} +{"d:Title": "bill bissett: sites and sounds", "d:Description": "Audio files of the poet reading his own poems.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Bissett,_Bill", "url": "http://www.lib.unb.ca/Texts/QWERTY/Qweb/qwerte/bissett/"} +{"d:Title": "WashingtonPost.com", "d:Description": "Profile and two poems, 'Autumn' and '2 A.M.'", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Borson,_Roo", "url": "http://www.washingtonpost.com/wp-srv/style/books/features/19981108.htm"} +{"d:Title": "Canadian Poets: Roo Borson", "d:Description": "Biography, poems, published works, awards, and criticism.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Borson,_Roo", "url": "http://canpoetry.library.utoronto.ca/borson/"} +{"d:Title": "Voices From the Gaps: Dionne Brand", "d:Description": "Biography, criticism, and selected bibliography.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Brand,_Dionne", "url": "http://voices.cla.umn.edu/artistpages/brand.php"} +{"d:Title": "Poets' Corner: Bliss Carman", "d:Description": "The poem, 'Low Tide on Grand Pr\u00e9'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Carman,_Bliss", "url": "http://www.theotherpages.org/poems/carman01.html"} +{"d:Title": "Victoria University Library: Bliss Carman Collection", "d:Description": "Biography, selected publications, and description of items in the collection.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Carman,_Bliss", "url": "http://library.vicu.utoronto.ca/special/F05carmanfonds.htm"} +{"d:Title": "NYU: Literature, Arts, and Medicine Database", "d:Description": "Reviews of 'Past Wildflowers' and 'Petrushkin!'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Charach,_Ron", "url": "http://medhum.med.nyu.edu/person/2716"} +{"d:Title": "Canadian Poets", "d:Description": "Biography, poems, writing philosophy, published works, an essay on poetry and psychiatry, reviews, and links.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Charach,_Ron", "url": "http://canpoetry.library.utoronto.ca/charach/"} +{"d:Title": "An Unimpoverished Style: The Poetry of George Elliott Clarke", "d:Description": "Essay by M. Travis Lane.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Clarke,_George_Elliott", "url": "http://www.uwo.ca/english/canadianpoetry/cpjrn/vol16/lane.htm"} +{"d:Title": "Crawford and Gounod: Ambiguity and Irony in Malcolm's Katie", "d:Description": "Essay by Robert Alan Burns on the poet and her relation to composer Charles Gounod. Includes reference endnotes.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Crawford,_Isabella_Valancy", "url": "http://www.uwo.ca/english/canadianpoetry/cpjrn/vol15/burns.htm"} +{"d:Title": "A Celebration of Women Writers", "d:Description": "Biography and several poems.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Crawford,_Isabella_Valancy", "url": "http://www.digital.library.upenn.edu/women/garvin/poets/crawford.html"} +{"d:Title": "Canadian Poets: Lorna Crozier", "d:Description": "Biography, poems, writing philosophy, published works, awards, and reviews.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Crozier,_Lorna", "url": "http://canpoetry.library.utoronto.ca/crozier/"} +{"d:Title": "Legends of Vancouver", "d:Description": "Text of the book with illustrations.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Johnson,_E._Pauline", "url": "http://www.digital.library.upenn.edu/women/johnson/vancouver/vancouver.html"} +{"d:Title": "The Shagganappi", "d:Description": "Text of the book with illustrations.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Johnson,_E._Pauline", "url": "http://www.digital.library.upenn.edu/women/johnson/shag/shag.html"} +{"d:Title": "E. Pauline Johnson", "d:Description": "Pictures of her grave site, birth and death information, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Johnson,_E._Pauline", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6396"} +{"d:Title": "Voices From the Gaps: Emily Pauline Johnson", "d:Description": "Biography, criticism, selected bibliography, and the poem, 'The Song My Paddle Sings'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Johnson,_E._Pauline", "url": "http://voices.cla.umn.edu/artistpages/johnsonEmily.php"} +{"d:Title": "CanLinks: Criticism", "d:Description": "Several links to critical discussions of Lampman.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Lampman,_Archibald/Works", "url": "http://www.lucking.net/canlinks/cl_criticism_l.htm"} +{"d:Title": "Origo: The Art Bin", "d:Description": "Text of the poem, 'The City of the End of Things'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Lampman,_Archibald/Works", "url": "http://art-bin.com/art/olamp.html"} +{"d:Title": "CM Magazine", "d:Description": "Excerpt and review of 'Irving Layton: God's Recording Angel'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Layton,_Irving", "url": "http://www.umanitoba.ca/cm/vol2/no26/layton.html"} +{"d:Title": "UM Libraries: Dorothy Livesay", "d:Description": "Profile and catalog of textual records.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Livesay,_Dorothy", "url": "http://umanitoba.ca/libraries/units/archives/collections/complete_holdings/rad/mss/livesay_d.shtml"} +{"d:Title": "Living on Rorschach", "d:Description": "Review of 'Furry Creek' by Keith Harrison, a non-fiction novel examining the death of Pat Lowther.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Lowther,_Pat", "url": "http://www.januarymagazine.com/fiction/furrycreek.html"} +{"d:Title": "Canadian Poets: Pat Lowther", "d:Description": "Biography, poems, published works, awards, and the preface to 'Time Capsule'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Lowther,_Pat", "url": "http://canpoetry.library.utoronto.ca/lowther/"} +{"d:Title": "UM Libraries: Eli Mandel", "d:Description": "Biography and list of items in the archive.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Mandel,_Eli", "url": "http://umanitoba.ca/libraries/units/archives/collections/complete_holdings/ead/html/mandel.shtml"} +{"d:Title": "John McCrae", "d:Description": "Concise biography of the author of the famous war poem \"In Flanders Fields,\" with extensive hyperlinks to related topics.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/McCrae,_John", "url": "http://en.wikipedia.org/wiki/John_McCrae"} +{"d:Title": "In Flanders Fields", "d:Description": "Text of the memorable poem, with information on the circumstances in which it was written.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/McCrae,_John", "url": "http://www.arlingtoncemetery.net/flanders.htm"} +{"d:Title": "In Flanders Fields and Other Poems", "d:Description": "In searchable HTML, at World Wide School. Each poem in its own file, linked to previous, next, or any other poem. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/McCrae,_John", "url": "http://www.worldwideschool.org/library/books/lit/poetry/InFlandersFields/toc.html"} +{"d:Title": "Qwerty, qu'est-ce que c'est?", "d:Description": "Don McKay explains the purpose of the magazine.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/McKay,_Don", "url": "http://www.lib.unb.ca/Texts/QWERTY/Qweb/QWERTY/don.htm"} +{"d:Title": "Song for the Song of the White-throated Sparrow", "d:Description": "Text of the poem from Qwerty.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/McKay,_Don", "url": "http://www.lib.unb.ca/Texts/QWERTY/Qweb/QWERTY/qspring/don1.htm"} +{"d:Title": "Canadian Poets: Susan Musgrave", "d:Description": "Biography, poems, writing philosophy, and published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Musgrave,_Susan", "url": "http://www.library.utoronto.ca/canpoetry/musgrave/"} +{"d:Title": "UM Libraries: John Newlove Archives", "d:Description": "Biography and an overview of items in the collection.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Newlove,_John", "url": "http://umanitoba.ca/libraries/units/archives/collections/complete_holdings/rad/mss/newlove.shtml"} +{"d:Title": "Canadian Poets: John Newlove", "d:Description": "Biography, poems, published works, and criticism.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Newlove,_John", "url": "http://canpoetry.library.utoronto.ca/newlove/"} +{"d:Title": "The Alden Nowlan Interviews", "d:Description": "RealAudio tracks of Nowlan speaking on various topics.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Nowlan,_Alden", "url": "http://www.lib.unb.ca/Texts/QWERTY/Qweb/qwerte/nowlan/"} +{"d:Title": "Passage Through August: P.K. Page", "d:Description": "Text of the poem, 'The Stenographers'.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Page,_P._K.", "url": "http://www.augustpoetry.org/poets/Page.htm"} +{"d:Title": "Canadian Poets: P.K. Page", "d:Description": "Biography, poems, awards, and published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Page,_P._K.", "url": "http://canpoetry.library.utoronto.ca/page/"} +{"d:Title": "The Complete Poems and Letters of E.J. Pratt: A Hypertext Edition", "d:Description": "Annotated texts with images and readings by the author in .wav format.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Pratt,_E._J.", "url": "http://www.trentu.ca/faculty/pratt/"} +{"d:Title": "Canadian Poets: E.J. Pratt", "d:Description": "Biography, poems, and published works.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Pratt,_E._J.", "url": "http://canpoetry.library.utoronto.ca/pratt/"} +{"d:Title": "Canadian Poets: Tom Wayman", "d:Description": "Biography, poems, writing philosophy, published works, and critical material.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Wayman,_Tom", "url": "http://canpoetry.library.utoronto.ca/wayman/"} +{"d:Title": "Art is Essential for Our Cultural Well-being", "d:Description": "Excerpt from Zwicky's Governor General's Award acceptance speech.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Zwicky,_Jan", "url": "http://ring.uvic.ca/99dec10/view2.html"} +{"d:Title": "Zwicky Wins Governor General's Award", "d:Description": "Article from The Ring, the University of Victoria's community newspaper.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Zwicky,_Jan", "url": "http://ring.uvic.ca/99nov26/award.html"} +{"d:Title": "National Library of Canada: Jan Zwicky", "d:Description": "Biographical sketch and scope of the fonds held by the library.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Poetry/Poets/Zwicky,_Jan", "url": "http://www.collectionscanada.gc.ca/literaryarchives/027011-200.149-e.html"} +{"d:Title": "CanLit Criticism", "d:Description": "Critical discussions about many Canadian authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Reviews", "url": "http://www.lucking.net/canlinks/cl_criticism_a.htm"} +{"d:Title": "Canadian Literature: A Quarterly of Criticism&Review", "d:Description": "A quarterly journal that includes an extensive list of reviews on Canadian books of all genres.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Reviews", "url": "http://www.canlit.ca/"} +{"d:Title": "LuckingNet: Canadian Literature", "d:Description": "Includes several essays on various authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Reviews", "url": "http://davidlucking.com/canlit.html"} +{"d:Title": "MJ Book Reviews", "d:Description": "Five time Canadian author Martha Jette offers book reviews for authors.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Reviews", "url": "http://www.mjbookreviews.blogspot.com/"} +{"d:Title": "Goodbye Beaver Lake", "d:Description": "The saga of a society torn asunder by French-Canadian nationalists hellbent on Quebec's secession from Canada.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Web-Published_Fiction", "url": "http://goodbyebeaverlake.com/"} +{"d:Title": "E. John Love", "d:Description": "\"Owe Nothing\" is an adventure-drama based on real people and places in dodgy Vancouver Motels. (Free sample chapters, or online purchase.) Includes other free short stories in PDF format.", "topic": "Top/Arts/Literature/World_Literature/Canadian/Web-Published_Fiction", "url": "http://ejohnlovebooks.com/"} +{"d:Title": "West Indian Literature", "d:Description": "This is a reference list of literature by West Indian authors. The emphasis is on prose written in English, however some poetry has been included, as have some works that have been translated to English.", "topic": "Top/Arts/Literature/World_Literature/Caribbean", "url": "http://www.westindiesbooks.com/"} +{"d:Title": "Writers of the Caribbean", "d:Description": "Offers biographies, bibliographies, and links to information about some of the most prolific writers of the Caribbean. Maintained by a professor from East Carolina University.", "topic": "Top/Arts/Literature/World_Literature/Caribbean", "url": "http://core.ecu.edu/engl/deenas/caribbean/carbwtrs.htm"} +{"d:Title": "Caribbean Literary Studies--U of Miami", "d:Description": "Information about University of Miami's Caribbean Literary Studies program. Faculty profiles, classes offered, and information about conferences.", "topic": "Top/Arts/Literature/World_Literature/Caribbean", "url": "http://www.as.miami.edu/cls/"} +{"d:Title": "Writers of the Caribbean: Kamau Brathwaite", "d:Description": "Thorough bibliography of Brathwaite's work.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Brathwaite,_Kamau", "url": "http://core.ecu.edu/engl/deenas/caribbean/brathwaite.htm"} +{"d:Title": "NYU Faculty: Kamau Brathwaite", "d:Description": "Kamau Brathwaite's institutional affiliation is currently with NYU.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Brathwaite,_Kamau", "url": "http://www.nyu.edu/fas/dept/complit/faculty/#Kamau_Brathwaite"} +{"d:Title": "Review of Solibo Magnificent", "d:Description": "Reviewed by Ann Skea.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Chamoiseau,_Patrick", "url": "http://www.eclectica.org/v3n3/skea_solibo.html"} +{"d:Title": "Review of _Strange Words_", "d:Description": "Review by Ann Skea for Eclectica magazine.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Chamoiseau,_Patrick", "url": "http://www.eclectica.org/v2n5/skea_strange_words.html"} +{"d:Title": "Emory Postcolonial Studies: Michelle Cliff", "d:Description": "Biography, links, bibliography of primary and secondary resources.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Cliff,_Michelle", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/10/cliff-michelle/"} +{"d:Title": "Howard University Libraries: Maryse Cond\u00e9", "d:Description": "An extensive biographical and bibliographical resource.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Conde,_Maryse", "url": "http://www.founders.howard.edu/conde.htm"} +{"d:Title": "Review of Pays mele: nouvelles", "d:Description": "Review by Nadezda Obradoviae.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Conde,_Maryse", "url": "http://www.h-net.org/reviews/showrev.php?id=1746"} +{"d:Title": "Caribbean 2000/Caribe 2000", "d:Description": "Annual symposium held at the University of Puerto Rico.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Conferences", "url": "http://home.coqui.net/lowell/"} +{"d:Title": "Cross Culturalism and the Caribbean Canon", "d:Description": "A cultural studies conference held at the Saint Augustine campus of the University of the West Indies, January 2004.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Conferences", "url": "http://sta.uwi.edu/fhe/culturalstudiesconf/"} +{"d:Title": "Interview with Edwidge Danticat by Alexander Laurence", "d:Description": "An interview with Edwidge Danticat by a Brooklyn arts publication, Free Williamsburg.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Danticat,_Edwidge", "url": "http://www.freewilliamsburg.com/still_fresh/edwidge.html"} +{"d:Title": "Reader's Guide to The Farming of Bones", "d:Description": "Includes an interview with Edwidge Danticat, synopsis and discussion questions.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Danticat,_Edwidge", "url": "http://www.readinggroupguides.com/reviews/the-farming-of-bones"} +{"d:Title": "Emory Postcolonial Web: Edwidge Danticat", "d:Description": "A research site with biographic and bibliographic information, historical background, secondary resources about Danticat's fiction, and other links.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Danticat,_Edwidge", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/10/danticat-edwidge/"} +{"d:Title": "Oprah's Book Club: Edwidge Danticat", "d:Description": "Edwidge Danticat's novel _Breath, Eyes, Memory_ was selected for Oprah's Book Club in June 2000.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Danticat,_Edwidge", "url": "http://www.penguinrandomhouse.com/books/36738/breath-eyes-memory-by-edwidge-danticat/"} +{"d:Title": "Mostly Fiction: Edwidge Danticat", "d:Description": "With synopsis and reviews of Danticat's fiction.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Danticat,_Edwidge/Reviews", "url": "http://mostlyfiction.com/latin/danticat.htm"} +{"d:Title": "Wilson Harris Bibliography", "d:Description": "Extensive bibliography of Harris' fiction and non-fiction.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Harris,_Wilson", "url": "http://www.l3.ulg.ac.be/harris/whprim.html"} +{"d:Title": "Small Axe", "d:Description": "The Small Axe Project seeks to participate both in the renewal of practices of intellectual criticism in the Caribbean, and in the expansion/revision of the horizons of such criticism.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Journals", "url": "http://www.smallaxe.net/"} +{"d:Title": "Priest and Teacher, Pastoral Conflict in The Schoolmaster", "d:Description": "Essay from the journal Sincronia about Lovelace's first novel.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Lovelace,_Earl", "url": "http://fuentes.csh.udg.mx/CUCSH/Sincronia/clemente2.htm"} +{"d:Title": "Wikipedia: V. S. Naipaul", "d:Description": "Biography and bibliography of the novelist and travel writer.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Naipaul,_V._S.", "url": "http://en.wikipedia.org/wiki/V._S._Naipaul"} +{"d:Title": "The Guardian: Trapped in the Ruins", "d:Description": "Essay by William Dalrymple, criticising Naipaul's Hindu nationalist view of history as over-simplistic.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Naipaul,_V._S.", "url": "http://www.theguardian.com/books/2004/mar/20/india.fiction"} +{"d:Title": "Postcolonial Web: V. S. Naipaul", "d:Description": "Contains essays and bibliographies on V.S. Naipaul.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Naipaul,_V._S.", "url": "http://www.postcolonialweb.org/caribbean/naipaul/naipaulov.html"} +{"d:Title": "V.S. Naipaul: Nobel Prize in Literature 2001", "d:Description": "Press release and Naipaul's Nobel lecture, \"Two Worlds.\"", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Naipaul,_V._S.", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/2001/index.html"} +{"d:Title": "Emory Postcolonial Studies: V.S. Naipaul", "d:Description": "A site maintained by the Emory University English department. Contains valuable research information about Naipaul.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Naipaul,_V._S.", "url": "http://postcolonialstudies.emory.edu/v-s-naipaul/"} +{"d:Title": "New York Times Featured Author: V. S. Naipaul", "d:Description": "Collects reviews and articles from the New York Times about Naipaul.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Naipaul,_V._S./Reviews", "url": "http://www.nytimes.com/books/98/06/07/specials/naipaul.html"} +{"d:Title": "Marlene Nourbese Philip", "d:Description": "The author's official home page. Pictures, bibliography, and biography, as well as reviews, and essays by and about the author.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Nourbese_Philip,_Marlene", "url": "http://www.nourbese.com/"} +{"d:Title": "Jean Rhys", "d:Description": "A personal site dedicated to Jean Rhys. Contains links to other sites, and reviews of those sites.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Rhys,_Jean", "url": "http://www.angelfire.com/hi/JeanRhys/"} +{"d:Title": "Jean Rhys and Wide Sargasso Sea", "d:Description": "Background information about the author and novel. Themes, settings, historical background, characters, study questions.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Rhys,_Jean", "url": "http://www.eng.fju.edu.tw/worldlit/caribbean/rhys.htm"} +{"d:Title": "Jean Rhys and Charlotte Bronte. Doubles", "d:Description": "The representation of the doubleness of selfhood in Charlotte Bronte's Jane Eyre and Jean Rhys's Wide Sargasso Sea.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Rhys,_Jean", "url": "http://www.literature-study-online.com/essays/bronte_rhys.html"} +{"d:Title": "Symbolism in Charlotte Bronte and Jean Rhys", "d:Description": "An essay on symbolism in the presentation of characters and plots in Jane Eyre and Wide Sargasso Sea.", "topic": "Top/Arts/Literature/World_Literature/Caribbean/Rhys,_Jean", "url": "http://www.literature-study-online.com/essays/bronte_rhys_symbolism.html"} +{"d:Title": "Manuel de Pedrolo: Tocats pel foc", "d:Description": "A brief description of \"Touched by Fire: a Bilingual Edition of Manuel de Pedrolo's Tocats pel foc,\" with an excerpt of the Catalan text accompanied by Peter Griffin's English translation.", "topic": "Top/Arts/Literature/World_Literature/Catalan", "url": "http://www.peterkgriffin.com/Pedrolo.htm"} +{"d:Title": "Chinese Characters and Culture", "d:Description": "Chinese language and culture site with literature from Lao Zi to the present day. Individual Chinese characters linked to English translation.", "topic": "Top/Arts/Literature/World_Literature/Chinese", "url": "http://zhongwen.com/"} +{"d:Title": "Chinese Fable Stories", "d:Description": "Includes many Chinese stories, legends, proverbs, and idioms.", "topic": "Top/Arts/Literature/World_Literature/Chinese", "url": "http://chineseculture.about.com/library/extra/story/blyrh.htm"} +{"d:Title": "Michelle Yeoh Web Theatre", "d:Description": "Information and outline on Wang Du Lu's novels.", "topic": "Top/Arts/Literature/World_Literature/Chinese", "url": "http://michelleyeoh.info/Movie/Ch/novels.html"} +{"d:Title": "Cuong Huynh", "d:Description": "Vietnamese, Chinese, and Asian wisdom", "topic": "Top/Arts/Literature/World_Literature/Chinese", "url": "http://www.cuong.com/"} +{"d:Title": "Three Kingdoms World", "d:Description": "Dedicated to the \"Romance of Three Kingdoms,\" with character profiles, synopsis, stories, quotes, and links.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://3kingdoms.tripod.com/"} +{"d:Title": "Daoist Scriptures", "d:Description": "A large collection of Chinese Taoist literature. Tao Te Ching and Chuang Tzu.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://www.edepot.com/taotext.html"} +{"d:Title": "The Monkey King", "d:Description": "Introduction and illustrated version of part of the novel of the \"Journey to the West.\"", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://www.china-on-site.com/literatu/classic/west/"} +{"d:Title": "Shijing - The Book of Songs", "d:Description": "Brief introduction to \"The Book of Songs\", the earliest collection of Chinese poems, with excerpts.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://www.chinavista.com/experience/shijing/shijing.html"} +{"d:Title": "Chinese Legend of White Snake", "d:Description": "Chinese love story of man and white snake for Chinese Year of White Snake 2001.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://www.chinesefortunecalendar.com/WhiteSnake.htm"} +{"d:Title": "Warring States Project", "d:Description": "Project is based on the research of Bruce and Taeko Brooks into the dating and authenticity of the classical Chinese texts.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://www.umass.edu/wsp/"} +{"d:Title": "Dream of the Red Chamber", "d:Description": "A look at the different kinds of love in the book.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://www3.sympatico.ca/ccsr/truelove.html"} +{"d:Title": "Tract of the Quiet Way", "d:Description": "A Taoist treatise. Extracts and comments rooted in a 1906 translation of Yin Chih Wen by Teitaro Suzuki and Paul Carus.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://oaks.nvg.org/ys1ra9.html"} +{"d:Title": "Romance of the Three Kingdoms", "d:Description": "Kongming's Archives is dedicated to the classic Chinese novel, Romance of the Three Kingdoms by Luo Guanzhong, along with historical documents like San Guo Zhi.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://kongming.net/"} +{"d:Title": "Cha Jing", "d:Description": "A short description and summary on the 10 chapters of Cha Jing, The Classic of tea.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://a2tea.com/luyu/luyu12.php"} +{"d:Title": "Chinese Literature", "d:Description": "Introduction to the literature, with comic book versions of Monkey and The Water Margin.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Classic", "url": "http://www.china-on-site.com/literatu/"} +{"d:Title": "Bei Dao", "d:Description": "An extensive source of information about the poet.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Modern", "url": "http://prelectur.stanford.edu/lecturers/dao/index.html"} +{"d:Title": "Can Xue", "d:Description": "Extracts from some of the stories.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Modern", "url": "http://webdelsol.com/LITARTS/Can_Xue/"} +{"d:Title": "Wikipedia: Sun Tzu", "d:Description": "His life, historicity, and legacy.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu", "url": "http://en.wikipedia.org/wiki/Sun_Tzu"} +{"d:Title": "Bob Sutton", "d:Description": "Discussion on Lionel Giles' Art of War translation.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu/The_Art_of_War", "url": "http://www.armory.com/~peterr/suntzu/szpreface.html"} +{"d:Title": "Mailsbroadcast", "d:Description": "Outline of the Art of War for each chapter.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu/The_Art_of_War", "url": "http://www.mailsbroadcast.com/the.artofwar.htm"} +{"d:Title": "LibriVox", "d:Description": "Audio recording in MP3 format.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu/The_Art_of_War", "url": "http://librivox.org/the-art-of-war-by-sun-tzu/"} +{"d:Title": "Sun Tzu's Art of War", "d:Description": "Side-by-side translation and commentary, cross references, and PDF and text downloads of the full book.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu/The_Art_of_War", "url": "http://suntzusaid.com/"} +{"d:Title": "West Meet East", "d:Description": "Educational site about Sun Tzu and brief commentaries.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu/The_Art_of_War", "url": "http://www.west-meet-east.com/edusuntsu.htm"} +{"d:Title": "Sonshi", "d:Description": "Presents a translation of \"Art of War\", as well as articles, FAQ, news, and a message board.", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu/The_Art_of_War", "url": "http://www.sonshi.com/"} +{"d:Title": "Bowdoin College", "d:Description": "Offers a commented version of \"The Art of War\".", "topic": "Top/Arts/Literature/World_Literature/Chinese/Sun_Tzu/The_Art_of_War", "url": "http://learn.bowdoin.edu/suntzu/"} +{"d:Title": "Bohumil Hrabal - The Close Watcher of Trains", "d:Description": "Essay by Mats Larsson with sample foreign covers and abstracts.", "topic": "Top/Arts/Literature/World_Literature/Czech", "url": "http://art-bin.com/art/ahrabaleng.html"} +{"d:Title": "Czech Literature in Translations", "d:Description": "List of translations of famous Czech authors.", "topic": "Top/Arts/Literature/World_Literature/Czech", "url": "http://www.catbirdpress.com/topics/celit.htm"} +{"d:Title": "Ivan Margolius and Heda Margolius Kovaly", "d:Description": "Bibliography of their books and interviews with the authors.", "topic": "Top/Arts/Literature/World_Literature/Czech", "url": "http://www.margolius.co.uk/"} +{"d:Title": "Czech and Slovak Literature Resources", "d:Description": "List of links related to Czech literature from its beginnings to present.", "topic": "Top/Arts/Literature/World_Literature/Czech", "url": "http://users.ox.ac.uk/~tayl0010/czech.html"} +{"d:Title": "Project Runeberg", "d:Description": "Project to build the biggest center for nordic literature.", "topic": "Top/Arts/Literature/World_Literature/Danish", "url": "http://runeberg.org/"} +{"d:Title": "Laurens Jansz. Coster", "d:Description": "A collection of Dutch literary masterpieces.", "topic": "Top/Arts/Literature/World_Literature/Dutch", "url": "http://cf.hum.uva.nl/dsp/ljc/english.html"} +{"d:Title": "Poetry International Rotterdam", "d:Description": "A government-sponsored foundation which aims at promoting interest in and fostering love for the art of poetry, and encouraging contacts between poets, poetry translators, poetry lovers and publishers from all countries.", "topic": "Top/Arts/Literature/World_Literature/Dutch", "url": "http://www.poetryinternationalweb.net/"} +{"d:Title": "Foundation for the Production and Translation of Dutch Literature", "d:Description": "Exists to promote interest in Dutch-language literature abroad. It maintains contacts with a large number of international publishers, and has a stand at major international book fairs.", "topic": "Top/Arts/Literature/World_Literature/Dutch", "url": "http://www.letterenfonds.nl/en/"} +{"d:Title": "Diana Ozon", "d:Description": "Popular writer, punk performing poet, presents some poems in English translation.", "topic": "Top/Arts/Literature/World_Literature/Dutch/Authors", "url": "http://diana-ozon.nl/"} +{"d:Title": "Multatuli", "d:Description": "On the life and work of the Dutch writer and free thinker Eduard Douwes Dekker.", "topic": "Top/Arts/Literature/World_Literature/Dutch/Authors", "url": "http://members.tele2.nl/multatuli-museum/"} +{"d:Title": "Hussein, Tah\u00e2", "d:Description": "Excerpt from \"The Future of Culture in Egypt\".", "topic": "Top/Arts/Literature/World_Literature/Egyptian", "url": "http://www.fordham.edu/halsall/mod/1954taha.asp"} +{"d:Title": "El Saadawi, Nawal - a Creative and Dissident Life", "d:Description": "Article which explores some of Nawal El Saadawi's thinking on dissidence, inequality and political change.", "topic": "Top/Arts/Literature/World_Literature/Egyptian/El_Saadawi,_Nawal", "url": "http://www.infed.org/thinkers/et-saadawi.htm"} +{"d:Title": "Multiplying Mahfouz", "d:Description": "A review of Rasheed El-Enany's book Naguib Mahfouz: The Pursuit of Meaning.", "topic": "Top/Arts/Literature/World_Literature/Egyptian/Mahfouz,_Naguib", "url": "http://www.stanford.edu/group/SHR/5-1/text/colla.html"} +{"d:Title": "Naguib Mahfouz:", "d:Description": "A Nobel for the Arab nation.", "topic": "Top/Arts/Literature/World_Literature/Egyptian/Mahfouz,_Naguib", "url": "http://almashriq.hiof.no/egypt/900/920/naguib_mahfouz/nobel_price/"} +{"d:Title": "Naguib Mahfouz at the Aly Baba Cafe", "d:Description": "Picture of the writer.", "topic": "Top/Arts/Literature/World_Literature/Egyptian/Mahfouz,_Naguib", "url": "http://www.musicman.com/jg/eg03.html"} +{"d:Title": "The Nobel Prize in Literature 1988", "d:Description": "A biography of the author, with a bibliography, articles, press releases, and links.", "topic": "Top/Arts/Literature/World_Literature/Egyptian/Mahfouz,_Naguib", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1988/"} +{"d:Title": "Noli Me Tangere Chapter Summaries", "d:Description": "Study notes for the novel.", "topic": "Top/Arts/Literature/World_Literature/Filipino", "url": "http://www.webmanila.com/nolimetangere"} +{"d:Title": "N.V.M. Gonzalez: An Affair With Letters", "d:Description": "Includes a biography, bibliography, links to articles on the writer and pictures.", "topic": "Top/Arts/Literature/World_Literature/Filipino", "url": "http://www.nvmgonzalez.org/"} +{"d:Title": "Sangandaan: The Life and Legacy of Agapito M. Joaquin", "d:Description": "Named after the Sangandaan of Kalookan City, this site is created to house Agapito Joaquin's literary works, the history of Kalookan (up to 1970), and to serve as a sangandaan for the Joaquin family and friends' websites.", "topic": "Top/Arts/Literature/World_Literature/Filipino", "url": "http://sangandaan.net/"} +{"d:Title": "Isagani R. Cruz", "d:Description": "Philippine critic-at-large's columns on books, education, literature, and film.", "topic": "Top/Arts/Literature/World_Literature/Filipino", "url": "http://criticplaywright.blogspot.com/"} +{"d:Title": "Our Buhi Language", "d:Description": "An essay on this language in Bicol by Judge Benjamin A. Claveria (ret.).", "topic": "Top/Arts/Literature/World_Literature/Filipino/Essays", "url": "http://buhiclaveria.tripod.com/language.htm"} +{"d:Title": "Folktales from the Philippines", "d:Description": "Site maintained by D.L. Ashliman, Dept of Germanic Languages and Literatures, Univ of Pittsburgh. Contains five tales from Mabel Cook Cole's \"Philippine Folk Tales (1916). Site was last updated in 1997.", "topic": "Top/Arts/Literature/World_Literature/Filipino/Folklore", "url": "http://www.pitt.edu/~dash/philippines.html"} +{"d:Title": "Philippine Folktales To Retell To Children", "d:Description": "A list of simple summaries of folk tales. Add your creativity and re-tell these to your kids, in your own words.", "topic": "Top/Arts/Literature/World_Literature/Filipino/Folklore", "url": "http://folktales.webmanila.com/"} +{"d:Title": "Finnish Literature Information Centre (FILI)", "d:Description": "Author biographies, translation database and grants for translators.", "topic": "Top/Arts/Literature/World_Literature/Finnish", "url": "http://www.finlit.fi/fili/"} +{"d:Title": "Project Runeberg", "d:Description": "An expanding collection of Nordic literature on the Web.", "topic": "Top/Arts/Literature/World_Literature/Finnish", "url": "http://runeberg.org/"} +{"d:Title": "NFFF - New Fiction and Facts from Finland", "d:Description": "A selective list of books published in Finland. For public libraries with collections of books in Finnish.", "topic": "Top/Arts/Literature/World_Literature/Finnish", "url": "http://pandora.lib.hel.fi/yleis/nfff/"} +{"d:Title": "Electric Verses", "d:Description": "Finnish and Finland-Swedish poetry.", "topic": "Top/Arts/Literature/World_Literature/Finnish", "url": "http://www.electricverses.net/sakeet.php"} +{"d:Title": "Heikkil\u00e4, Jukka M.", "d:Description": "Brief biography of the author, introductions to his historical novels set in the ancient world and newspaper reviews.", "topic": "Top/Arts/Literature/World_Literature/Finnish/Authors", "url": "http://www.kolumbus.fi/j.m.heikkila/index.html"} +{"d:Title": "Kalevalaisen Runokielen Seura (KaRuSe)", "d:Description": "A society that aims to revive the Kalevala meter. Rules of the trochaic tetrameter in English.", "topic": "Top/Arts/Literature/World_Literature/Finnish/Kalevala", "url": "http://www.karuse.info/"} +{"d:Title": "Juminkeko", "d:Description": "An information center for the Kalevala and Karelian culture.", "topic": "Top/Arts/Literature/World_Literature/Finnish/Kalevala", "url": "http://www.juminkeko.fi/en/"} +{"d:Title": "The Kalevala", "d:Description": "A complete English translation from 1888, cross-linked to the Finnish text.", "topic": "Top/Arts/Literature/World_Literature/Finnish/Kalevala", "url": "http://www.sacred-texts.com/neu/kveng/index.htm"} +{"d:Title": "International College of Literary Translators", "d:Description": "Conferences on translation and working stays for foreign translators in Arles in Provence, France.", "topic": "Top/Arts/Literature/World_Literature/French", "url": "http://www.atlas-citl.org/"} +{"d:Title": "Jacques Maritain Center: The Frontiers of Poetry", "d:Description": "An essay by Jacques Maritain.", "topic": "Top/Arts/Literature/World_Literature/French", "url": "http://www.nd.edu/Departments/Maritain/etext/frontier.htm"} +{"d:Title": "Bartleby.com: The Oxford Book of French Verse", "d:Description": "An anthology of French poetry, compiled by St. John Lucas. Chronological, author, title and first line indexes.", "topic": "Top/Arts/Literature/World_Literature/French", "url": "http://www.bartleby.com/244/"} +{"d:Title": "J K Huysmans", "d:Description": "Provides information about the life and work of the French author.", "topic": "Top/Arts/Literature/World_Literature/French", "url": "http://www.huysmans.org/"} +{"d:Title": "Alphonse Daudet at Bartleby.com", "d:Description": "1840-97, French writer. Daudet made his mark with gentle naturalistic stories and novels portraying French life.", "topic": "Top/Arts/Literature/World_Literature/French/19th_Century/Daudet,_Alphonse", "url": "http://www.bartleby.com/people/Daudet-A.html"} +{"d:Title": "The Siege of Berlin", "d:Description": "Daudet's short story in HTML format.", "topic": "Top/Arts/Literature/World_Literature/French/19th_Century/Daudet,_Alphonse/Works", "url": "http://www.bartleby.com/313/4/1.html"} +{"d:Title": "Notes to The Siege of Berlin", "d:Description": "From Brander Matthews's 1907 study, The Short-Story.", "topic": "Top/Arts/Literature/World_Literature/French/19th_Century/Daudet,_Alphonse/Works", "url": "http://bartleby.com/195/1016.html"} +{"d:Title": "Bartleby.com: Alphonse Daudet", "d:Description": "Text of Five Short Stories.", "topic": "Top/Arts/Literature/World_Literature/French/19th_Century/Daudet,_Alphonse/Works", "url": "http://www.bartleby.com/313/4/"} +{"d:Title": "About Marcel Aym\u00e9", "d:Description": "French novelist, playwright and screenwriter. The site features a biography, bibliography of works, and links.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century", "url": "http://www.members.tripod.com/marcel_ayme/EnglishSite/index.htm"} +{"d:Title": "Eugene Ionesco (1909-1994)", "d:Description": "Biography of absurdist playwright Eugene Ionesco, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Ionesco,_Eugene", "url": "http://www.imagi-nation.com/moonstruck/clsc19.html"} +{"d:Title": "Fascism, Fury, Fear and Farce", "d:Description": "Article on the theme of the transmutation of a century of dictatorship and evil into profound nonsense and guffaws.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Ionesco,_Eugene", "url": "http://www.time.com/time/magazine/article/0,9171,1101940411-164149,00.html"} +{"d:Title": "Essays on Literature and Art", "d:Description": "Essays on the theory of literature and on the first three novels of Andr\u00e9 Malraux. By Derek Allan.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Malraux,_Andr\u00e9", "url": "http://www.home.netspeed.com.au/derek.allan/"} +{"d:Title": "Georges Perec", "d:Description": "Wikipedia article on his life and works.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Perec,_Georges", "url": "http://en.wikipedia.org/wiki/Georges_Perec"} +{"d:Title": "Tomatotopic organization in the Soprano", "d:Description": "Spoof scientific paper first published in English by Perec in 1974 and detailing experiments on the \"yelling reaction\" provoked in sopranos by pelting them with rotten tomatoes. All the references in the paper are multi-lingual puns and jokes.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Perec,_Georges", "url": "http://pauillac.inria.fr/~xleroy/stuff/tomato/tomato.html"} +{"d:Title": "The Erasers by Alain Robbe-Grillet", "d:Description": "Bibliography, background links, and information on the French New Novel.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Robbe-Grillet,_Alain", "url": "http://www.paraethos.com/library/eraser.html"} +{"d:Title": "Alain Robbe-Grillet Bibliography", "d:Description": "In-depth information on the author's works.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Robbe-Grillet,_Alain/Works", "url": "http://www.halfaya.org/robbegrillet/"} +{"d:Title": "V\u00e9ronique Simon's Robbe-Grillet Bibliography", "d:Description": "Extensive bibliography.", "topic": "Top/Arts/Literature/World_Literature/French/20th_Century/Robbe-Grillet,_Alain/Works", "url": "http://www.halfaya.org/robbegrillet/rgd/SimonBiblio.html"} +{"d:Title": "Middle High German Conceptual DataBase", "d:Description": "An electronic text archive of medieval German literature.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://mhdbdb.sbg.ac.at:8000/"} +{"d:Title": "The Nibelungenlied", "d:Description": "The quests of the hero Siegfried, originally written in Middle High German around 1200, e-text at the Online Medieval and Classical Library. Daniel B. Shumway translation.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://omacl.org/Nibelungenlied/"} +{"d:Title": "German Internet Project", "d:Description": "German studies portal.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://www.uncg.edu/~lixlpurc/GIP/"} +{"d:Title": "New Books In German", "d:Description": "Regular reviews of new German titles recommended for translation into English.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://www.new-books-in-german.com/"} +{"d:Title": "German Literature: The Twentieth Century", "d:Description": "Based on German literary critic Reich-Ranicki's recent appeal to a \"canon of German literature\", this webpage puts together the must-reads of all of 20th-century German literature.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://www.gelfert.net/Books/MRR_EN/mrr_en.html"} +{"d:Title": "The Nibelungenlied", "d:Description": "The quests of the hero Siegfried, as translated by Margaret Armour.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://www.yorku.ca/inpar/nibelung_armour.pdf"} +{"d:Title": "Essays on German Literature and Other Topics", "d:Description": "A collection of essays and other scholarly articles on various topics in German literature, language, and culture.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://www.nthuleen.com/write.html"} +{"d:Title": "Anthology of Medieval German Literature", "d:Description": "With translations into modern German. Introductions and notes by Albert K. Wimmer and W.T.H. Jackson.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://www.nd.edu/~gantho/"} +{"d:Title": "European Studies Research Guide: German", "d:Description": "Annotated directory. Homepages, electronic journals, online text collections, and special catalogs.", "topic": "Top/Arts/Literature/World_Literature/German", "url": "http://ucsd.libguides.com/european?p=583956"} +{"d:Title": "Tanbou / Tambour: Journal of Haitian politics and literature", "d:Description": "Tanbou / Tambour is a journal of Haitian political and literary studies, published in English, French, and Hatian Creole.", "topic": "Top/Arts/Literature/World_Literature/Haitian", "url": "http://www.tanbou.com/"} +{"d:Title": "Hungarian Electronic Library", "d:Description": "Searchable, growing collection - Magyar Elektronikus K\u00f6nyvt\u00e1r", "topic": "Top/Arts/Literature/World_Literature/Hungarian", "url": "http://www.mek.iif.hu/"} +{"d:Title": "Hungarian Literature Online", "d:Description": "Contemporary Hungarian literature in English. Translations, reviews, essays, news, events, publishers, listings, forums, and weekly top-sellers list.", "topic": "Top/Arts/Literature/World_Literature/Hungarian", "url": "http://www.hlo.hu/"} +{"d:Title": "J\u00f3nas Hallgr\u00edmsson: Selected Poetry and Prose", "d:Description": "50 works by the Icelandic poet and natural scientist J\u00f3nas Hallgr\u00edmsson (1807-1845), with Icelandic text, audio recordings, English translations, extensive commentaries, and a biography.", "topic": "Top/Arts/Literature/World_Literature/Icelandic", "url": "http://www.library.wisc.edu/etext/Jonas"} +{"d:Title": "Art and Literature from Iceland.", "d:Description": "Information about novels, books and works of Icelandic authors and painters. Featured artists are the writers El\u00edas Sn\u00e6land J\u00f3nsson, \u00dalfar Harri El\u00edasson and Anna Krist\u00edn Brynj\u00falfsd\u00f3ttir and the painter Brynj\u00falfur J\u00f3nsson.", "topic": "Top/Arts/Literature/World_Literature/Icelandic", "url": "http://www.icestory.com/"} +{"d:Title": "Birds in Death Throes", "d:Description": "Play by the writer El\u00edas Sn\u00e6land J\u00f3nsson from Reykjavik, Iceland. Information on actors with interviews and pictures from a performance in a theater in Dresden, Germany.", "topic": "Top/Arts/Literature/World_Literature/Icelandic", "url": "http://www.icestory.com/todeskampf.htm"} +{"d:Title": "J\u00f6rmungrund", "d:Description": "Old Icelandic texts, primarily Eddaic and Skaldic poetry, with English translations, concordances, and commentaries.", "topic": "Top/Arts/Literature/World_Literature/Icelandic", "url": "http://www.hi.is/~eybjorn/"} +{"d:Title": "The Chronicles of the Kings of Norway", "d:Description": "An online English translation of The Chronicle of the Kings of Norway (or Heimskringla) by Snorri Sturluson.", "topic": "Top/Arts/Literature/World_Literature/Icelandic", "url": "http://omacl.org/Heimskringla/"} +{"d:Title": "Passion Hymns of Iceland", "d:Description": "Translations and background by C. V. Pilcher: etext at Christian Classics Ethereal Library.", "topic": "Top/Arts/Literature/World_Literature/Icelandic", "url": "http://www.ccel.org/ccel/pilcher/passionhymns"} +{"d:Title": "Halld\u00f3r Kiljan Laxness Nobel Laureate", "d:Description": "Biography and acceptance speech.", "topic": "Top/Arts/Literature/World_Literature/Icelandic", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1955/"} +{"d:Title": "The Story of the Volsungs", "d:Description": "Public domain e-text based on William Morris and Eirikr Magnussons translation of Volsunga Saga.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://omacl.org/Volsunga/"} +{"d:Title": "The Laxdaela Saga", "d:Description": "E-text of the translation by Muriel Press in 1899. Originally written in Old Norse sometime around the year 1245 A.D.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://omacl.org/Laxdaela/"} +{"d:Title": "The Life and Death of Cormac the Skald", "d:Description": "Public domain e-text based on W.G. Collingwood and J. Stefansson\u00b4s translation of Kormak's Saga.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://omacl.org/Cormac/"} +{"d:Title": "The Saga of Grettir the Strong", "d:Description": "Public domain e-text of G.H Hights translation of Grettir\u00b4s Saga.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://omacl.org/Grettir/"} +{"d:Title": "The Story of Burnt Njal", "d:Description": "Public domain e-text based on the translation of Njal's Saga by Sir George W. DaSent.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://omacl.org/Njal/"} +{"d:Title": "The Story of the Ere-Dwellers", "d:Description": "Public domain e-text based on William Morris and Eirikr Magnussons translation of Eyrbyggja Saga.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://omacl.org/EreDwellers/"} +{"d:Title": "Egil Skallagrimsson's Saga", "d:Description": "As translated by W.C. Green. E-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://www.sacred-texts.com/neu/egil/index.htm"} +{"d:Title": "Gunnlaug Worm-Tongue and Raven the Skald", "d:Description": "In Translation by Eirikr Magnusson and William Morris.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://www.yorku.ca/inpar/gunnlaug_eirikr.pdf"} +{"d:Title": "The Story of the Heath-Slayings", "d:Description": "Public domain e-text based on William Morris and Eirikr Magnussons translation of Heitharviga Saga.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://omacl.org/Heitharviga/"} +{"d:Title": "The Story of Viga-Glum", "d:Description": "Public domain e-text based on Sir Edmund Head\u00b4s translation of Viga-Glum\u00b4s Saga.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://www.sacred-texts.com/neu/vig/index.htm"} +{"d:Title": "The Story of Viglund the Fair", "d:Description": "E-text translation by Eirikr Magnusson and William Morris.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://www.yorku.ca/inpar/viglund_eirikr.pdf"} +{"d:Title": "The Tale of Hogni and Hedinn", "d:Description": "Translated by Eirikr Magnusson and William Morris.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://www.yorku.ca/inpar/hogni_eirikr.pdf"} +{"d:Title": "The Tale of Roi the Fool", "d:Description": "As translated by Eirikr Magnusson and William Morris.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://www.yorku.ca/inpar/roi_eirikr.pdf"} +{"d:Title": "The Complete Sagas of Icelanders", "d:Description": "Leifur Eiriksson Publishing has completed the first English translation of the entire corpus of the Sagas of Icelanders together with the forty-nine Tales connected with them.", "topic": "Top/Arts/Literature/World_Literature/Icelandic/Sagas", "url": "http://sagas.is/"} +{"d:Title": "Paritosh Uttam: Indian Writing in English", "d:Description": "Contains information on Indian writers in English, with individual pages for each author having short biographies and bibliography. Also contains samples of personal writing and reading lists.", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://www.paritoshuttam.com/"} +{"d:Title": "Nikhil Parekh - Indian Poet", "d:Description": "An official website of award winner Indian Poet and his collection of poems.", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://www.nikhilparekh.com/"} +{"d:Title": "Margaret Mascarenhas", "d:Description": "Margaret Mascarenhas is a columnist, editor, creative writing teacher, and the author of the novel, Skin (Penguin 2001).", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://mmascgoa.tripod.com/"} +{"d:Title": "Novels by Nirmala Moorthy", "d:Description": "Lists fiction, both contemporary and historical, highlighting women and their ever-changing role in Indian society.", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://nirmalamoorthy.com/"} +{"d:Title": "Indian Women\u2019s Writing", "d:Description": "A world of words, lost and found: a brief overview of women\u2019s literature in India from the 6th century BC onwards.", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://www.literature-study-online.com/essays/indian-women-writers.html"} +{"d:Title": "Caferati", "d:Description": "Collaborative weblog showcasing Indians writing in English", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://caferati.blogspot.com/"} +{"d:Title": "Jnanpith and Sahitya Akademi Awardees", "d:Description": "A complete list of the winners of the highest literary awards of India.", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://indiapicks.com/Literature/index.htm"} +{"d:Title": "Shobhan Bantwal", "d:Description": "Indian American author of romance,literary novels and short stories set in India and the US.", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://www.shobhanbantwal.com/"} +{"d:Title": "Sulekha.com: Blogs", "d:Description": "This section of the site has a large contribution of stories, articles, book reviews, mainly from India.", "topic": "Top/Arts/Literature/World_Literature/Indian", "url": "http://blogs.sulekha.com/"} +{"d:Title": "Gujarati portal", "d:Description": "Gujarati literature, poem, Sher, Shyari and lots of gujarati articles.", "topic": "Top/Arts/Literature/World_Literature/Indian/Gujarati", "url": "http://www.readgujarati.com/"} +{"d:Title": "Free online Gujarati pustakalay.", "d:Description": "Read Gujarati novel, short stories, poems and jokes. You don't have to download any Gujarati font to read. Updated often.", "topic": "Top/Arts/Literature/World_Literature/Indian/Gujarati", "url": "http://pustakalay.com/"} +{"d:Title": "Hindi Poems: A Compilation", "d:Description": "Contains collection of famous Hindi poems using unicode Hindi font.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.prayogshala.com/poems/"} +{"d:Title": "Gateway to Hindi Poets", "d:Description": "A jumpstart page for most of the famous Poet's sites and web resources.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.cs.colostate.edu/~malaiya/hindipoets.html"} +{"d:Title": "Hindi Dohas of Amir Khusro", "d:Description": "Some dohas (couplets) by Amir Khusro (1253-1325) in Hindi and English.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.angelfire.com/sd/urdumedia/doha.html"} +{"d:Title": "The Indian Poetry Society", "d:Description": "A list of famous Indian poets and includes poems and biographical information of the most famous Indian poets.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.indianpoetry.org/"} +{"d:Title": "Poems on India", "d:Description": "Brief collection of translated poems on India by renowned Indian poets.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://knowindia.gov.in/myindia/myindia_frame.php?id=8"} +{"d:Title": "Kaavyaalaya", "d:Description": "Kaavyaalaya offers rare collection of Hindi poems.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://kaavyaalaya.org/"} +{"d:Title": "Hindi Literature History", "d:Description": "An article explains the details and history of Hindi literature.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.culturopedia.com/Literature/hindi_literature.html"} +{"d:Title": "Doctor Naresh Agarwal", "d:Description": "Website provides free e-books of Hindi poetry, stories and thoughts by Doctor Naresh Agarwal also videos and photos of the writer", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.nareshagarwala.com/"} +{"d:Title": "Hindi Shayari and Kavita", "d:Description": "Hindi Shayari and Kavitayein by Shayari Network.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.shayari.net/hindi/"} +{"d:Title": "Doctor Kumar Vishwas", "d:Description": "Official Website of Indian poet and politician Doctor Kumar Vishwas provide his latest Hindi poetry.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.kumarvishwas.com/"} +{"d:Title": "Indian Central Hindi Directorate", "d:Description": "Promotes Hindi language through programs such as awarding grants to institutes, recognizing authors and teachers, publications, and training.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.hindinideshalaya.nic.in/"} +{"d:Title": "Hind India", "d:Description": "A Hindi portal of inspiring and motivational Hindi stories, quotes, articles and biographies.", "topic": "Top/Arts/Literature/World_Literature/Indian/Hindi", "url": "http://www.hindindia.com/"} +{"d:Title": "The Kama Sutra", "d:Description": "Full text of the work by Vatsyayana translated into English, by Richard Burton.", "topic": "Top/Arts/Literature/World_Literature/Indian/Kama_Sutra", "url": "http://www.bibliomania.com/2/1/76/123/"} +{"d:Title": "Sampada", "d:Description": "An initiative to help grow Kannada Literature and promote writing skills in Kannada language.", "topic": "Top/Arts/Literature/World_Literature/Indian/Kannada", "url": "http://sampada.net/"} +{"d:Title": "Ram's Home: Kannada Literature in English Translation", "d:Description": "Homepage of S R Ramakrishna with his translations from Kannada literature, mostly from contemporary writers.", "topic": "Top/Arts/Literature/World_Literature/Indian/Kannada", "url": "http://sites.google.com/site/srramakrishna/"} +{"d:Title": "Kashmiri Poets", "d:Description": "Young and old poets and scholars.", "topic": "Top/Arts/Literature/World_Literature/Indian/Kashmiri", "url": "http://koausa.org/Poets/"} +{"d:Title": "A Wonder of Ancient India: The Mahabharata", "d:Description": "A brief summary of the purpose and meaning of the work by Nhilde Davidson.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.theosophy-nw.org/theosnw/world/asia/as-nhild.htm"} +{"d:Title": "The Mahabharat Chronology", "d:Description": "The site describes the Vedic calendar and then gives the dates for the important events of the Mahabharata based on that calendar, thus giving a time frame to the epic and the ages of the characters at the various events.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.hindunet.org/hindu_history/ancient/mahabharat/mahab_patnaik.html"} +{"d:Title": "Sacred-Texts: Hinduism", "d:Description": "The complete text of the translation by Kisan Mohan Ganguli.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.sacred-texts.com/hin/maha/index.htm"} +{"d:Title": "The Mahabharata and the Sindhu-Sarasvati Tradition", "d:Description": "Discusses the evidence and the possibilities for placing the basic story in the third to fifth millenia before the Christian era. By Subhash Kak.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.ece.lsu.edu/kak/MahabharataII.pdf"} +{"d:Title": "Mahabharata Tatparyam Nirnaya", "d:Description": "Download text, and information about one of the oldest commentaries on the Mahabharata.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.dvaita.org/sources/mbtn/"} +{"d:Title": "Mahabharata: the Great Epic of India", "d:Description": "Includes an introduction and a synopsis, a list of English translations of the epic. Also has discussions of what dharma is, and of the complex dilemmas faced by the heroes and villains of the story.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://larryavisbrown.homestead.com/files/xeno.mahabsynop.htm"} +{"d:Title": "Mahabharata", "d:Description": "The complete text of Chakravarti Rajagopalachari's retelling of the story, as a single online PDF file.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.gita-society.com/section3/mahabharata.pdf"} +{"d:Title": "Epics of India", "d:Description": "Contains an abridged but complete version of Mahabharata. Also includes a summary of the epic.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.epics.in/"} +{"d:Title": "The Mahabharata", "d:Description": "Complete electronic copy of the work. Based on John Smith's revision of Prof. Muneo Tokunaga's version of the text, checked at the Bhandarkar Institute, Pune. Requires registration and login.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://bombay.indology.info/mahabharata/statement.html"} +{"d:Title": "Mahabharata Resources", "d:Description": "Provides resources on the epic, including Sanskrit text, translations, studies, commentaries, and derivative works.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.mahabharata-resources.org/"} +{"d:Title": "The Great Epic of India", "d:Description": "Scholarly resources. Includes a list of the principal mordern sources with commentaries on each, as well as a brief synopsis.", "topic": "Top/Arts/Literature/World_Literature/Indian/Mahabharata", "url": "http://www.brown.edu/Departments/Sanskrit_in_Classics_at_Brown/Mahabharata/MBh2Biblio.html"} +{"d:Title": "Jayan KC", "d:Description": "Works of this poet from Kerala in Malayalam, and some English translations, with biography and links to order his books.", "topic": "Top/Arts/Literature/World_Literature/Indian/Malayalam", "url": "http://jayankc.com/"} +{"d:Title": "dcbookstore.com", "d:Description": "Online bookstore for Malayalam books. Books in Malayalam and books on Kerala can be ordered here.", "topic": "Top/Arts/Literature/World_Literature/Indian/Malayalam", "url": "http://www.puzha.com/e-arcade/dcb"} +{"d:Title": "Raj Nair", "d:Description": "A collage of modern Malayalam literature - a documentary, film, novel, poems and stories.", "topic": "Top/Arts/Literature/World_Literature/Indian/Malayalam", "url": "http://rajnair.com/"} +{"d:Title": "Bishnupriya Manipuri Literature", "d:Description": "Ancient, modern and contemporary Bishnupriya Manipuri literature including rain invoking songs, poems, drama, short stories, and padavali.", "topic": "Top/Arts/Literature/World_Literature/Indian/Manipuri", "url": "http://manipuri.htmlplanet.com/"} +{"d:Title": "G. A. Kulkarni", "d:Description": "Contains information about G.A.Kulkarni's work as well as snippets from some of his short stories.", "topic": "Top/Arts/Literature/World_Literature/Indian/Marathi", "url": "http://www.gakulkarni.info/"} +{"d:Title": "Official Website of Chhatra Prabodhan", "d:Description": "A Creative magazine for youngsters. More than 50 publications for the enrichment of the students, teachers and parents.", "topic": "Top/Arts/Literature/World_Literature/Indian/Marathi", "url": "http://www.chhatraprabodhan.org/"} +{"d:Title": "Chowkhamba Sanskrit series", "d:Description": "Chowkhamba Sanskrit Series Office was established in 1892 by Late Haridasji Gupta for the protection and nutrition, development, extent and publicity of the rare books of Sanskrit, Indology and Ayurveda.", "topic": "Top/Arts/Literature/World_Literature/Indian/Sanskrit", "url": "http://www.chowkhambasanskritseries.com/"} +{"d:Title": "Clay Sanskrit Library", "d:Description": "The Clay Sanskrit Library publishes classical Indian literature, including the Maha\u00b7bh\u00e1rata and Ram\u00e1yana, in pocket-size books with facing-page text and translation.", "topic": "Top/Arts/Literature/World_Literature/Indian/Sanskrit", "url": "http://www.claysanskritlibrary.org/"} +{"d:Title": "Canadian Tamil Literature", "d:Description": "Presents translations of Tamil literature.", "topic": "Top/Arts/Literature/World_Literature/Indian/Tamil", "url": "http://www.geotamil.com/ctamils/writer.htm"} +{"d:Title": "Akilan: Tamil author's photo page", "d:Description": "Rare photos of writer Akilan available, with book links.", "topic": "Top/Arts/Literature/World_Literature/Indian/Tamil", "url": "http://akilan6.tripod.com/"} +{"d:Title": "Thamizh Literature Through The Ages", "d:Description": "A monograph by Dr. C.R. Krishnamurti. History of Tamil literature over the ages and samples of Tamil literature.", "topic": "Top/Arts/Literature/World_Literature/Indian/Tamil", "url": "http://ckrishnamurti.tripod.com/book.html"} +{"d:Title": "Pandita Kotha Satyanarayana Chowdary", "d:Description": "20th century Telugu writer from Andhra Pradesh.", "topic": "Top/Arts/Literature/World_Literature/Indian/Telugu", "url": "http://angelfire.com/ks2/kotha"} +{"d:Title": "Panyala Jagannath Das", "d:Description": "\"It's Like That\" and other poems translated from Telugu. With a biographical note on the author.", "topic": "Top/Arts/Literature/World_Literature/Indian/Telugu", "url": "http://www.jbeilharz.de/poetas/pjd/"} +{"d:Title": "Telugu language and literature", "d:Description": "Provides a few samples of Telugu Literature. Also provides brief introductions to some literary works and writers.", "topic": "Top/Arts/Literature/World_Literature/Indian/Telugu", "url": "http://www.engr.mun.ca/~adluri/telugu/"} +{"d:Title": "Vijayagopal's Home Page", "d:Description": "Vijayagopal also known as Dr.K.B.Gopalam is a well known writer-broadcaster in Telugu. He has done a lot of translations also. This site is a window into some of his work.", "topic": "Top/Arts/Literature/World_Literature/Indian/Telugu", "url": "http://vijagopalk.tripod.com/"} +{"d:Title": "'Kaviraju' Tripuraneni Ramaswamy", "d:Description": "A brief biography of a Telugu poet, freedom fighter, and social reformer from Andhra Pradesh.", "topic": "Top/Arts/Literature/World_Literature/Indian/Telugu", "url": "http://kaviraju.blogspot.com/"} +{"d:Title": "Awaz Sayeed", "d:Description": "Urdu literary works, Urdu short stories, Nazms and Khake written by renowned Urdu Litterateur from Hyderabad late Awaz Sayeed.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.awazsayeed.com/"} +{"d:Title": "Urdu Poetry Archive", "d:Description": "Ghazals, nazms and other forms of Urdu poetry in roman script indexed by poet name and alphabetically.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.urdupoetry.com/"} +{"d:Title": "Kitaab Ghar", "d:Description": "Online collection of free Urdu novels, stories and books.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://kitaabghar.com/"} +{"d:Title": "Riffat Murtaza", "d:Description": "The author's own short stories in Urdu and English, with texts and links to other works in Urdu and other languages.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.riffatmurtaza.com/"} +{"d:Title": "Qawallis and Folk Songs of Amir Khusro", "d:Description": "Urdu/Hindi qawaalis by Amir Khusro, a 13th century sufi poet from Delhi. The lyrics are provided in Urdu font (GIFs), as well as in transliterated and translated forms.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.angelfire.com/sd/urdumedia/lyrics.html"} +{"d:Title": "Yoindia Shayariadab", "d:Description": "Indian community forums for poets and author to share their Shayari.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.yoindia.com/"} +{"d:Title": "Shakeelur Rehman", "d:Description": "Providing information about the Indian Urdu writer including education, books, and awards.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://shakeelurrehman.com/"} +{"d:Title": "Shairy Forum", "d:Description": "It mainly concerns Urdu poetry and culture and includes a discussion forum.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.shairy.com/forum/"} +{"d:Title": "Allama Iqbal Cyber Library", "d:Description": "Read Urdu books online on Iqbaliyat Urdu Literature.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.iqbalcyberlibrary.net/"} +{"d:Title": "Intekhab-o-Lugha", "d:Description": "Urdu dictionary with collection of Urdu poetry, Ghazal, Shayaris, and meanings as a medium for learning Urdu.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.learningurdu.com/"} +{"d:Title": "Bashir Badr", "d:Description": "Website provides information about Urdu writer Bashir Badr, including poetry and stories.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.bashirbadr.com/"} +{"d:Title": "Shayari Network", "d:Description": "Urdu poetry written on subjects like relations, emotions, love and society by various great poets.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://shayari.net/"} +{"d:Title": "Sher-o-Sukhan", "d:Description": "Urdu shayaris, ghazals, mushaira videos, biographical information of Urdu poets.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.urdushayari.in/"} +{"d:Title": "Shayarism", "d:Description": "Provides a good collection of Urdu Shayari in Roman font.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.shayarism.com/"} +{"d:Title": "Urdu Stories Point", "d:Description": "Urdu stories, novels, Kahani, poetry, and Kisse.", "topic": "Top/Arts/Literature/World_Literature/Indian/Urdu", "url": "http://www.storiespk.com/"} +{"d:Title": "The Lontar Foundation", "d:Description": "A non-profit organization aiming to promote Indonesian literature. Includes a message board.", "topic": "Top/Arts/Literature/World_Literature/Indonesian", "url": "http://www.lontar.org/"} +{"d:Title": "Vazh\u00e9 - Association of Iranian Authors and Artists in Toronto", "d:Description": "Features Persian poems translated into English.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.vazhe.com/"} +{"d:Title": "Hafiz On Love", "d:Description": "Poetry of Hafiz in the original Persian and in English translations, biography, art gallery and links.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.hafizonlove.com/"} +{"d:Title": "The Epic of Kings by Ferdowsi", "d:Description": "From the Internet Classics Archive. Translated by Helen Zimmern.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://classics.mit.edu/Ferdowsi/kings.html"} +{"d:Title": "The Art of Sadeq Hedayat", "d:Description": "Artwork by a great Iranian writer.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.iranian.com/Arts/April98/Hedayat/s_hedayat.html"} +{"d:Title": "Art Arena: Writer's Corner", "d:Description": "Provides English translations of modern Persian poetry and prose. Features works by Mahmud Kianush and Pari Mansouri.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.art-arena.com/literature.htm"} +{"d:Title": "The Iranian: Poetry Page", "d:Description": "Poems by Iranians.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.iranian.com/Arts/poetry.html"} +{"d:Title": "Persian Poets and Poetry", "d:Description": "Life and poetry of famous Persian poets, Rumi, Hafez, Khayam, and Saadi.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.bestirantravel.com/culture/poetry/poetry.html"} +{"d:Title": "Forugh Farrokhzad", "d:Description": "Forum for all those who have been touched by Forugh's poetry, life and liberation.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.forughfarrokhzad.org/"} +{"d:Title": "Persian Poetry: Verses from Rumi and Khayyam", "d:Description": "English translations of and information about Mevlana Jalaluddin Rumi and Omar Khayyam, including side-by-side comparisons of various translations.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://blissbat.net/rambles/persian.html"} +{"d:Title": "Shahnama - The Epic of Kings", "d:Description": "As translated by Helen Zimmern (1883), e-text from the Internet Sacred Text Archive.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.sacred-texts.com/neu/shahnama.txt"} +{"d:Title": "Ahmad Shamlu", "d:Description": "The official site of Ahmad Shamlu, Iran's great contemporary poet.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.shamlu.com/"} +{"d:Title": "A Tribute to Sadeq Hedayat", "d:Description": "Hedayat's biography, photographs, genealogy and artwork. English translations and reviews of his work.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://www.blindowl.org/"} +{"d:Title": "Alireza Behnam", "d:Description": "Poetry, news, and bibliography of this Iranian journalist and language poet.", "topic": "Top/Arts/Literature/World_Literature/Iranian", "url": "http://alirezabehnam.tripod.com/"} +{"d:Title": "Irish Literature, Mythology, Folklore, and Drama", "d:Description": "Literature resource created by Anniina Jokinen (web editor of The Luminarium).", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.luminarium.org/mythology/ireland/"} +{"d:Title": "Irish Literature E-texts", "d:Description": "Irish e-texts including Dracula, Waiting for Godot, and The Playboy of the Western World. Short stories to read online including The Confirmation Suit, The First Confession, Janey Mary.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.ireland-information.com/irishliterature.htm"} +{"d:Title": "Irish Noble Prize Winners for Literature", "d:Description": "Brief details and links for William Butler Yeats, George Bernard Shaw, and Samuel Beckett.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.angelfire.com/journal/irishpens/"} +{"d:Title": "A Dictionary of Irish Writers", "d:Description": "A bio-bibliographical database of over 400 Irish writers, and related resources.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.irishwriters-online.com/"} +{"d:Title": "International Association for the Study of Irish Literatures", "d:Description": "International news and resources for scholars and enthusiasts of Irish Literature.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.iasil.org/"} +{"d:Title": "Quotations", "d:Description": "Quotations by Irish Writers.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.memorablequotations.com/Irish.htm"} +{"d:Title": "Irish Theatre", "d:Description": "Chronological survey of Irish drama from the 17th century to modern times.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://en.wikipedia.org/wiki/Irish_theatre"} +{"d:Title": "The Irish Storyteller", "d:Description": "Illustrated stories about Ireland and its legends, compiled from many sources, in an effort to entertain the young at heart, of all ages.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.irishstoryteller.com/"} +{"d:Title": "Irish Manuscripts Commission", "d:Description": "Primary source materials regarding the history, heritage and culture of Ireland.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.irishmanuscripts.ie/"} +{"d:Title": "Library Ireland", "d:Description": "A free collection of out-of-print Irish books, articles and other material. Irish historical and cultural literary resource useful to reader and researcher alike.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.libraryireland.com/"} +{"d:Title": "Documents of Ireland", "d:Description": "An online contemporary and historical database of Irish literary and historical works.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.ucc.ie/celt"} +{"d:Title": "Literature Ireland", "d:Description": "Dedicated to the promotion of contemporary Irish literature in translation.", "topic": "Top/Arts/Literature/World_Literature/Irish", "url": "http://www.literatureireland.com/"} +{"d:Title": "Gabrielle Alioth", "d:Description": "Includes biography, reviews, news and events.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Alioth,_Gabrielle", "url": "http://www.gabriellealioth.com/"} +{"d:Title": "The Runts of the Litter", "d:Description": "Features reviews and information on the novel plus sample chapters.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Baker,_Garret", "url": "http://indigo.ie/~pbb/runts.html"} +{"d:Title": "John Banville", "d:Description": "Booker Prize-shortlisted author; with a biography, bibliography and awards list.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Banville,_John", "url": "http://literature.britishcouncil.org/john-banville"} +{"d:Title": "Philip Casey", "d:Description": "Official site. Includes a biography and excerpts from review of his works.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Casey,_Philip", "url": "http://www.philipcasey.com/"} +{"d:Title": "Catherine Daly", "d:Description": "Includes interviews, biography, and information about the writer and her work.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Daly,_Catherine", "url": "http://www.catherinedaly.com/"} +{"d:Title": "Martina Devlin", "d:Description": "Author and journalist born in Omagh. Information on her first novel, Three Wise Men, and samples of her column in the Irish Independent Weekend Magazine.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Devlin,_Martina", "url": "http://www.martinadevlin.com/"} +{"d:Title": "The Edgeworth Website: Maria Edgeworth", "d:Description": "Brief biography and list of selected works.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Edgeworth,_Maria", "url": "http://homepage.tinet.ie/~jmac/MEdgeworth.htm"} +{"d:Title": "Augustus Hare on Edgeworth", "d:Description": "Full text of the Preface and part of Vol. 1 of Hare's out-of-print study.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Edgeworth,_Maria", "url": "http://augustus-hare.tripod.com/mecontents.html"} +{"d:Title": "National Portrait Gallery: Edgeworth Family", "d:Description": "Portraits of Maria, Richard Lovell, and Honora Sneyd Edgeworth in the museum's collections.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Edgeworth,_Maria", "url": "http://www.npg.org.uk/live/search/peopList.asp?search=ss&sText=edgeworth"} +{"d:Title": "University of Oxford, Bodleian Library Collection", "d:Description": "Catalogue of Papers of Maria Edgeworth and Edgeworth Family", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Edgeworth,_Maria", "url": "http://www.bodley.ox.ac.uk/dept/scwmss/wmss/online/1500-1900/edgeworth/edgeworth.html"} +{"d:Title": "Castle Rackrent", "d:Description": "Complete book at A Celebration of Women Writers.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Edgeworth,_Maria/Works", "url": "http://digital.library.upenn.edu/women/edgeworth/castle/castle.html"} +{"d:Title": "Belinda", "d:Description": "Complete Book at A Celebration of Women Writers", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Edgeworth,_Maria/Works", "url": "http://digital.library.upenn.edu/women/edgeworth/belinda/belinda.html"} +{"d:Title": "Letters for Literary Ladies", "d:Description": "Second Edition, 1798, at A Celebration of Women Writers", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Edgeworth,_Maria/Works", "url": "http://digital.library.upenn.edu/women/edgeworth/ladies/ladies.html"} +{"d:Title": "Jack Harte", "d:Description": "Information on writer Jack Harte.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Harte,_Jack", "url": "http://www.jackharte.com/"} +{"d:Title": "Rita Ann Higgins", "d:Description": "Brief introduction to the poet and playwright from Galway.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Higgins,_Rita_Ann", "url": "http://www.ritaannhiggins.com/"} +{"d:Title": "James Joyce Ramble", "d:Description": "An annual footrace during which people read out loud from Joyce's books.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.ramble.org/"} +{"d:Title": "Featured Author: James Joyce", "d:Description": "Includes all reviews and articles on Joyce which have appeared in the New York Times from 1919 to the present.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.nytimes.com/books/00/01/09/specials/joyce.html"} +{"d:Title": "The James Joyce Centre", "d:Description": "Run by the Joyce family, this elegant Georgian building in North Great George's Street is the centre of activity on Bloomsday.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.jamesjoyce.ie/"} +{"d:Title": "Zurich James Joyce Foundation", "d:Description": "Includes articles from newsletter and information on events, workshops, and scholarships.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.joycefoundation.ch/"} +{"d:Title": "The James Joyce Collection at SUNY-Buffalo", "d:Description": "An online exhibit of one of the most distinguished and archival collections of Joyce editions and ephemera in any library.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://ublib.buffalo.edu/libraries/units/pl/exhibits/joyce-archival/"} +{"d:Title": "The James Joyce Society", "d:Description": "Founded in 1947 in New York City, the Society meets several times a year and is devoted to the appreciation of the life, works, and significance of the Irish author.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.joycesociety.org/"} +{"d:Title": "James Joyce Music", "d:Description": "Explores the musical dimension of Ulysses, Dubliners, A Portrait, Joyce's poems, and Finnegans Wake, with essays on the literary context of the music and sound clips of songs.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.james-joyce-music.com/"} +{"d:Title": "Wikipedia: James Joyce", "d:Description": "Biography with information on the writing of Ulysses and Finnegans Wake, plus consideration of his legacy and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://en.wikipedia.org/wiki/James_Joyce"} +{"d:Title": "In the Brothel of Modernism: Picasso and Joyce", "d:Description": "Essay by Robert Scholes, arguing that the brothel was a prime theatrical space for male modernists.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.brown.edu/Departments/MCM/people/scholes/Pic_Joy/Part_1_340.html"} +{"d:Title": "From Dublin to Ithaca: Cornell's James Joyce Collection", "d:Description": "Online exhibit showing highlights of Cornell University Library's collection of letters, manuscripts, and books documenting the life and work of the author.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://rmc.library.cornell.edu/joyce/"} +{"d:Title": "James Joyce Resource Center", "d:Description": "A reference source on Joyce. Features biographical information, bibliographies, articles, reviews, and links.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://english.osu.edu/"} +{"d:Title": "The New Yorker: The Injustice Collector", "d:Description": "Long essay explores the question, \"Is James Joyce\u2019s grandson, Stephen Joyce, suppressing scholarship?\"", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.newyorker.com/archive/2006/06/19/060619fa_fact"} +{"d:Title": "A James Joyce Bibliography", "d:Description": "Extensive bibliography listing over 1,000 scholarly books, articles, and websites on Joyce's major works, including Dubliners, Portrait of the Artist, Ulysses, and Finnegans Wake.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.james-joyce.de/index.shtml"} +{"d:Title": "James Joyce at The University of Tulsa", "d:Description": "An image-only slideshow of some of the University of Tulsa's James Joyce collections.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.lib.utulsa.edu/Speccoll/collections/joycejames/Web_Gallery/index.htm"} +{"d:Title": "James Joyce Annual Summer School", "d:Description": "Run by University College Dublin. One of the foremost gatherings in the Joycean calendar.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.joycesummerschool.ie/"} +{"d:Title": "James Joyce Ulysses Images", "d:Description": "Aida Yared's collection of historical photos, illustrations, maps, postcards, stereoscope viewcards, and other contemporary images related to Ulysses, organized by chapter.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.joyceimages.com/"} +{"d:Title": "Trieste Joyce School", "d:Description": "A description of activities from 1997 to the present, including lecturers, scholarships and application.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www2.units.it/~triestejoyce/"} +{"d:Title": "The James Joyce Page", "d:Description": "Introduction to James Joyce, with essays on Portrait of the Artist, recommended links, and Joyce bookshop", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.literature-study-online.com/resources/james-joyce.html"} +{"d:Title": "Read Print: James Joyce", "d:Description": "Complete works, biography, quotes.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.readprint.com/author-52/James-Joyce-books"} +{"d:Title": "James Joyce Resources on the Internet", "d:Description": "Listing of key online Joycean resources.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.facstaff.bucknell.edu/rickard/joyce.html"} +{"d:Title": "Raising the Wind", "d:Description": "A University of Tulsa blog providing news and information about Joyce studies and events across the globe.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://jjqblog.wordpress.com/"} +{"d:Title": "The James Joyce Scholars' Collection at the University of Wisconsin", "d:Description": "A fully searchable online compilation of selected out-of-print critical scholarship on the works of James Joyce.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://uwdc.library.wisc.edu/collections/joycecoll"} +{"d:Title": "International James Joyce Foundation", "d:Description": "Encourages scholarship, criticism, and study of the life, work, and career of the writer, and enables scholars, critics, teachers, students, and general readers to achieve a greater appreciation and understanding of his work.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://joycefoundation.osu.edu/"} +{"d:Title": "The Guardian: James Joyce", "d:Description": "A profile of the writer, newspaper articles on him and his works, links to online texts.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.theguardian.com/books/2008/jun/13/james.joyce"} +{"d:Title": "Dubliners: The Photographs of JJ Clarke", "d:Description": "A glimpse of James Joyce's Dublin through the photographs of JJ Clarke taken 1897-1904.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "https://www.google.com/culturalinstitute/exhibit/dubliners-the-photographs-of-jj-clarke/gRa4m70L?position=1,0"} +{"d:Title": "James Joyce News", "d:Description": "Commentary, resources, and archival information about James Joyce from The New York Times.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://www.nytimes.com/topic/person/james-joyce"} +{"d:Title": "James Joyce and Avant-Garde Music", "d:Description": "An article by Scott W. Klein on Joyce's influence on 20th century music.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "https://www.cmc.ie/features/james-joyce-and-avant-garde-music"} +{"d:Title": "The James Joyce Checklist", "d:Description": "A searchable database of citations and links to publications relevant to Joyce in any language and in any medium, from the early twentieth century to the present, hosted by the Harry Ransom Center at the University of Texas at Austin.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James", "url": "http://norman.hrc.utexas.edu/JamesJoyceChecklist/"} +{"d:Title": "A Portrait of the Artist in Trieste", "d:Description": "Article by Kit Snedaker about James Joyce's life in the city of Trieste.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Biographies", "url": "http://www.literarytraveler.com/articles/james-joyce-a-portrait-of-the-artist-in-trieste/"} +{"d:Title": "James Joyce Yahoo Group", "d:Description": "Forum for discussion of the author's life and works.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jamesjoyce/"} +{"d:Title": "James Joyce Yahoo Group 2", "d:Description": "Another forum for the discussion of Joyce's life and work.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jamesjoyce2/"} +{"d:Title": "Joyce in Trieste, Paris, and Zurich", "d:Description": "Travel article by Megan O'Beirne, with photos, on cities where the author lived and worked.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Journals", "url": "http://www.meganobeirne.com/joyce-2004.htm"} +{"d:Title": "James Joyce Quarterly", "d:Description": "Academic journal contains tables of contents for back issues, subscription information, and submission guidelines.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Journals", "url": "http://www.utulsa.edu/jjq/"} +{"d:Title": "Hypermedia Joyce Studies", "d:Description": "An electronic (refereed) journal of James Joyce scholarship, founded in 1994 and edited by Louis Armand. HJS appears 2 times a year, in July and December.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Journals", "url": "http://hjs.ff.cuni.cz/"} +{"d:Title": "Joyce Omnicordia", "d:Description": "Search the texts of James Joyce's books with this online concordance.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works", "url": "http://www.tetonpeaks.org/cgi/jjoyce/omnisearch.cgi"} +{"d:Title": "Dubliners", "d:Description": "Full text searchable HTML at Bibliomania.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Dubliners", "url": "http://www.bibliomania.com/0/0/29/63/frameset.html"} +{"d:Title": "World Wide Dubliners Homepage", "d:Description": "Study resources from Brown University including annotated full text of \"Araby\" and \"The Dead.\"", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Dubliners", "url": "http://www.mendele.com/WWD/"} +{"d:Title": "Finnegans Web", "d:Description": "The complete text of Finnegans Wake, along with a search engine.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.trentu.ca/faculty/jjoyce/fw.htm"} +{"d:Title": "The Finnegans Wake Society of New York", "d:Description": "Home of the Wake Watchers reading group featuring notes on text, suggested companion works, schedule of events, and links to more FW resources.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.finneganswake.org/"} +{"d:Title": "The Antwerp James Joyce Centre", "d:Description": "Presents articles by Joyce Centre members on Finnegans Wake.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.antwerpjamesjoycecenter.com/"} +{"d:Title": "Finnegans Wake Extensible Elucidation Treasury", "d:Description": "A collection of over 70,000 notes related to Finnegans Wake, with a search engine allowing users to search the entire collection.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.fweet.org/"} +{"d:Title": "Third Census of Finnegans Wake", "d:Description": "Adaline Glasheen's alphabetical listing of the personal names and identities of characters and their roles in the Wake.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://digicoll.library.wisc.edu/cgi-bin/JoyceColl/JoyceColl-idx?id=JoyceColl.GlasheenFinnegans"} +{"d:Title": "Index to Finnegans Wake", "d:Description": "Complete index of all words in Finnegans Wake, each linking to the specific pages of the book where instances of those words occur.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.caitlain.com/fw/"} +{"d:Title": "Glosses of Finnegans Wake", "d:Description": "Glosses, tips, and explanations of words in Finnegans Wake", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://finwake.com/"} +{"d:Title": "A Word In Your Ear", "d:Description": "Useful tools to aid the reading of Finnegans Wake", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.rosenlake.net/fw/"} +{"d:Title": "Passages from James Joyce's 'Finnegans Wake' by Mary Ellen Bute", "d:Description": "Cinematic interpretation of Finnegans Wake directed in 1965-67 by abstract American filmmaker Mary Ellen Bute (89 minutes).", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.ubu.com/film/joyce_wake.html"} +{"d:Title": "The Revised Finnegans Wake from Houyhnhnm Press", "d:Description": "Information on the new, critically emended edition of Finnegans Wake published by Houyhnhnm Press.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.houyhnhnmpress.com/"} +{"d:Title": "Concordance of Finnegans Wake", "d:Description": "Browsable list of words and symbols, with search forms to find their occurrence in the text.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://www.rosenlake.net/fw/FWconcordance/"} +{"d:Title": "The Mookse and The Gripes", "d:Description": "Illustrations by cartoonist Ralf Zeigermann for the Mookse and the Gripes episode of Finnegans Wake.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Finnegans_Wake", "url": "http://zeigermann.com/cartoonist/2011/01/29/my-finnegans-wake-illustrations/"} +{"d:Title": "I Hear an Army", "d:Description": "Etext of the poem from Chamber Music along with bibliography and related links from Professor Eiichi Hishikawa of Kobe University.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Poetry", "url": "http://www.lit.kobe-u.ac.jp/~hishika/joyce.htm"} +{"d:Title": "Joyce's Portrait", "d:Description": "An examination of Einsteinian influences on Joyce's development of Stephen Dedalus, by R. David Heekin.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Portrait_of_the_Artist_as_a_Young_Man,_A", "url": "http://www.angelfire.com/fl4/dedalus/"} +{"d:Title": "Literature Network: A Portrait of the Artist as a Young Man", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Portrait_of_the_Artist_as_a_Young_Man,_A", "url": "http://www.online-literature.com/james_joyce/portrait_artist_young_man/"} +{"d:Title": "James Joyce by H.G. Wells", "d:Description": "Literary review of Joyce's Portrait of an Artist, written by H.G. Wells and published in The New Republic in 1917.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Portrait_of_the_Artist_as_a_Young_Man,_A", "url": "http://www.james-joyce-music.com/wells031017.html"} +{"d:Title": "Portrait of the Artist - Rebellion and release", "d:Description": "Analysis of James Joyce's A Portrait of the Artist as a Young Man, focusing on Chapters 1, 3, and 5.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Portrait_of_the_Artist_as_a_Young_Man,_A", "url": "http://www.literature-study-online.com/essays/james-joyce.html"} +{"d:Title": "Stephen Dedalus: Rebel Without a Cause?", "d:Description": "Essay by Ben Foley examining Joyce's portrayal of his protagonist as an isolated outsider.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Portrait_of_the_Artist_as_a_Young_Man,_A", "url": "http://www.literature-study-online.com/essays/joyce-portrait.html"} +{"d:Title": "A Portrait of the Artist as a Young Man", "d:Description": "Bibliomania site.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Portrait_of_the_Artist_as_a_Young_Man,_A", "url": "http://www.bibliomania.com/0/0/29/62/frameset.html"} +{"d:Title": "James Joyce. A Portrait of the Artist as a Young Man", "d:Description": "An essay on personal definition in A Portrait of the Artist as a Young Man.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Portrait_of_the_Artist_as_a_Young_Man,_A", "url": "http://www.literature-study-online.com/essays/joyce.html"} +{"d:Title": "Literature Network: Ulysses", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and an author biography.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Ulysses", "url": "http://www.online-literature.com/james_joyce/ulysses/"} +{"d:Title": "Bloom - The Movie", "d:Description": "Odyssey Pictures film site of Irish director Sean Walsh's 2004 screen adaptation of James Joyce's Ulysses.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Ulysses", "url": "http://www.ulysses.ie/"} +{"d:Title": "Reading Ulysses", "d:Description": "A 19-part series broadcast on RT\u00c9 Radio 1 in 2004 marking the centenary of Bloomsday.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Ulysses", "url": "http://www.rte.ie/readingulysses/"} +{"d:Title": "Bibliomania: Ulysses", "d:Description": "Searchable HTML version.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Ulysses", "url": "http://www.bibliomania.com/0/0/29/61/frameset.html"} +{"d:Title": "Ulysses \"Seen\"", "d:Description": "Robert Berry's adaptation of the Telemachus chapter as a graphic novel.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Ulysses", "url": "http://ulyssesseen.com/"} +{"d:Title": "Modernist Versions Project Year of Ulysses (YoU)", "d:Description": "The YoU Initiative presents online a digitized \"Ulysses\" (1922, 1st edition) along with lectures, Twitter chats, an art competition, and various other events to bring Joyce's novel of the everyday back into everyday life.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Ulysses", "url": "http://mvp.uvic.ca/"} +{"d:Title": "Michael Groden's Notes on Ulysses", "d:Description": "Comprehensive study notes on Ulysses by distinguished professor and Joyce scholar Michael Groden.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Joyce,_James/Works/Ulysses", "url": "http://www.michaelgroden.com/notes/index.html"} +{"d:Title": "Patrick Kavanagh Country", "d:Description": "Information on the poet, his home in Inniskeen and the Patrick Kavanagh Visitor Centre.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Kavanah,_Patrick", "url": "http://www.patrickkavanaghcountry.com/"} +{"d:Title": "Rambles.net", "d:Description": "A review of Ancient Ireland: The User's Guide by Conan Kennedy.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Kennedy,_Conan", "url": "http://www.rambles.net/kennedy_irelandusers.html"} +{"d:Title": "Sometimes in Winter", "d:Description": "A selection of poems by Conan Kennedy plus information on PoemCast which offers a weekly poem in email from Irish authors.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Kennedy,_Conan", "url": "http://deaddrunkdublin.com/poems/conan_kennedy/index.html"} +{"d:Title": "McIntyre, Hazel", "d:Description": "Author from Culdaff in Donegal presents her profile along with details of her books, poetry and short stories, notes on places, links and contact data.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/McIntyre,_Hazel", "url": "http://www.esatclear.ie/~hmcintyre"} +{"d:Title": "Wikipedia: George Moore", "d:Description": "Biography and bibliography, with general consideration of the writer's works and influence.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Moore,_George", "url": "http://en.wikipedia.org/wiki/George_Moore_(novelist)"} +{"d:Title": "Project Gutenberg: George Moore", "d:Description": "Catalogue of texts of Moore's works available online.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Moore,_George", "url": "http://www.gutenberg.org/browse/authors/m#a1366"} +{"d:Title": "Sinead Moriarty", "d:Description": "New, biography and information on Sinead's books, including the first chapters online.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Moriarty,_Sinead", "url": "http://www.sineadmoriarty.com/"} +{"d:Title": "A Biographical Introduction", "d:Description": "Eric Mader-Lin gives an overview of O'Brien's life and books.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/O'Brien,_Flann", "url": "http://www.necessaryprose.com/obrien.html"} +{"d:Title": "The No-Bicycle Page", "d:Description": "Extracts from novels and musings, and links.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/O'Brien,_Flann", "url": "http://www.hellshaw.com/flann/"} +{"d:Title": "Jamie O'Neill", "d:Description": "Publication and contact information for the novel At Swim Two Boys.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/O'Neill,_Jamie", "url": "http://www.iol.ie/~atswim"} +{"d:Title": "Desmond Traynor", "d:Description": "Extracts and reviews from previously published short stories.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Traynor,_Desmond", "url": "http://www.desmondtraynor.com/"} +{"d:Title": "Sarah Webb", "d:Description": "Official web site. Information on books, tips for writers, news on upcoming books, a biography and interview section plus a newsletter to subscribe too.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Webb,_Sarah", "url": "http://www.sarahwebb.info/"} +{"d:Title": "Niall Williams", "d:Description": "Includes biography, information on novels, plays and non-fiction by County Clare based writer.", "topic": "Top/Arts/Literature/World_Literature/Irish/Authors/Williams,_Niall", "url": "http://www.niallwilliams.com/"} +{"d:Title": "The Destruction of D\u00e1 Derga's Hostel", "d:Description": "A specimen of the remarkable beauty and power of Irish epic tales.", "topic": "Top/Arts/Literature/World_Literature/Irish/Poetry", "url": "http://www.bartleby.com/49/3/"} +{"d:Title": "Basil Payne, Poet", "d:Description": "Information on published and unpublished work, news and history.", "topic": "Top/Arts/Literature/World_Literature/Irish/Poetry", "url": "http://www.basilpayne.net/"} +{"d:Title": "Anthology of Irish Verse", "d:Description": "A collection poems arranged along national themes. Edited by professor and critic Padraic Colum.", "topic": "Top/Arts/Literature/World_Literature/Irish/Poetry", "url": "http://www.bartleby.com/250/"} +{"d:Title": "Sonnets from Ireland", "d:Description": "Works of 19th century poets with some audio files.", "topic": "Top/Arts/Literature/World_Literature/Irish/Poetry", "url": "http://www.sonnets.org/ireland.htm"} +{"d:Title": "Irish Poetry Chronology", "d:Description": "A chronological survey of both the Irish and English language traditions, with sections on the major writers.", "topic": "Top/Arts/Literature/World_Literature/Irish/Poetry", "url": "http://en.wikipedia.org/wiki/Irish_poetry"} +{"d:Title": "Dario Fo (1926 - )", "d:Description": "Biography of Italian playwright Dario Fo, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/World_Literature/Italian/Fo,_Dario", "url": "http://www.imagi-nation.com/moonstruck/clsc32.html"} +{"d:Title": "Dario Fo - Nobel Prize Internet Archive", "d:Description": "1997 Nobel Laureate in Literature. Background and related links.", "topic": "Top/Arts/Literature/World_Literature/Italian/Fo,_Dario", "url": "http://almaz.com/nobel/literature/1997a.html"} +{"d:Title": "Dario Fo - Nobel Prize in Literature 1997", "d:Description": "Includes biography, listing of works in Italian and in translation, speech, and photos.", "topic": "Top/Arts/Literature/World_Literature/Italian/Fo,_Dario", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1997/index.html"} +{"d:Title": "Luigi Lunari", "d:Description": "Biography, contact information, and several of the writer's plays in PDF format.", "topic": "Top/Arts/Literature/World_Literature/Italian/Lunari,_Luigi", "url": "http://www.luigilunari.com/"} +{"d:Title": "The Woman of Rome", "d:Description": "A review of Moravia's novel.", "topic": "Top/Arts/Literature/World_Literature/Italian/Moravia,_Alberto", "url": "http://www.ralphmag.org/moraviaZA.html"} +{"d:Title": "Luigi Pirandello", "d:Description": "Winner of the 1934 Nobel Prize in Literature.", "topic": "Top/Arts/Literature/World_Literature/Italian/Pirandello,_Luigi", "url": "http://www.almaz.com/nobel/literature/1934a.html"} +{"d:Title": "Luigi Pirandello (1867 - 1936)", "d:Description": "Features a biography of the Italian playwright and links to his works.", "topic": "Top/Arts/Literature/World_Literature/Italian/Pirandello,_Luigi", "url": "http://www.imagi-nation.com/moonstruck/clsc30.html"} +{"d:Title": "Biography of Luigi Pirandello", "d:Description": "Biography by the Nobel Foundation.", "topic": "Top/Arts/Literature/World_Literature/Italian/Pirandello,_Luigi", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1934/pirandello-bio.html"} +{"d:Title": "Introduction to History of Japan's Literature", "d:Description": "A short history of literature from the eighth century to the present day.", "topic": "Top/Arts/Literature/World_Literature/Japanese", "url": "http://www.kanzaki.com/jinfo/jliterature.html"} +{"d:Title": "Japanese Text Initiative", "d:Description": "Contains numerous searchable online texts in Japanese and translation from the Man'yoshu to the modern era. Also contains links to online dictionaries.", "topic": "Top/Arts/Literature/World_Literature/Japanese", "url": "http://etext.virginia.edu/japanese/"} +{"d:Title": "Horagai", "d:Description": "Literary magazine featuring recent and new Japanese writers. Content in English and Japanese.", "topic": "Top/Arts/Literature/World_Literature/Japanese", "url": "http://www.horagai.com/"} +{"d:Title": "Japanese Literature Home Page", "d:Description": "Overview of Japanese literary history and important listing of Japanese Authors.", "topic": "Top/Arts/Literature/World_Literature/Japanese", "url": "http://www.jlit.net/"} +{"d:Title": "Abe Kobo", "d:Description": "Covers Abe and modern Japanese literature in general. Interviews, updates and a wide variety of information.", "topic": "Top/Arts/Literature/World_Literature/Japanese/Authors", "url": "http://www.horagai.com/www/abe/english.html"} +{"d:Title": "The World of Kenji Miyazawa", "d:Description": "Biographical data, bibliography, downloadable Miyazawa translations, image gallery and essay collection.", "topic": "Top/Arts/Literature/World_Literature/Japanese/Authors", "url": "http://www.kenji-world.net/english/"} +{"d:Title": "100 Poems by 100 Poets - Ogura Hyakunin Isshu", "d:Description": "The complete work.(Eng/Jpn)", "topic": "Top/Arts/Literature/World_Literature/Japanese/Poetry", "url": "http://etext.lib.virginia.edu/japanese/hyakunin/noJIS/hyaku1.html"} +{"d:Title": "Sekidera Komashi", "d:Description": "Poems of Sekidera Komashi", "topic": "Top/Arts/Literature/World_Literature/Japanese/Poetry", "url": "http://www.angelfire.com/ego/sekiderakomashi/"} +{"d:Title": "Natural Sounds in Early Japanese Women's Poetry", "d:Description": "Haiku, waka, by early Japanese women poets focusing on sounds in the natural environment", "topic": "Top/Arts/Literature/World_Literature/Japanese/Poetry", "url": "http://www.earlywomenmasters.net/soundings/index.html"} +{"d:Title": "Ogura Hyakunin Isshu: 100 Poems by 100 Poets", "d:Description": "Complete text of the classic Japanese anthology of waka (tanka), with the originals in Japanese, romanji, and English translations, reworked from old ones by Clay MacCauley (1917).", "topic": "Top/Arts/Literature/World_Literature/Japanese/Poetry/Tanka", "url": "http://etext.lib.virginia.edu/japanese/hyakunin/"} +{"d:Title": "Kokin Wakashu (Kokinshu)", "d:Description": "Introduction by Lewis Cook to the online anthology. The poems themselves are only in Japanese.", "topic": "Top/Arts/Literature/World_Literature/Japanese/Poetry/Tanka", "url": "http://etext.virginia.edu/japanese/kokinshu/intro.html"} +{"d:Title": "The Popular Arabic Literature of the Jews", "d:Description": "Translations of twelve Judeo-Arabic literary works.", "topic": "Top/Arts/Literature/World_Literature/Jewish", "url": "http://www.uwm.edu/~corre/judeo-arabic.html"} +{"d:Title": "Jewish-American Literature", "d:Description": "Overview of 19th and 20th century Jewish writing in Yiddish and English, and criticism of recent Holocaust literature.", "topic": "Top/Arts/Literature/World_Literature/Jewish", "url": "http://www.jbuff.com/c021501.htm"} +{"d:Title": "Literature of the Holocaust", "d:Description": "Collection of articles, links and commentary on Shoah-driven literature and related topics.", "topic": "Top/Arts/Literature/World_Literature/Jewish", "url": "http://www.writing.upenn.edu/~afilreis/Holocaust/"} +{"d:Title": "Jewish Book Favorites", "d:Description": "Offers sample chapters of favorite Jewish children's and teens' books.", "topic": "Top/Arts/Literature/World_Literature/Jewish", "url": "http://www.jewishbktown.com/"} +{"d:Title": "Dreifus, Erika", "d:Description": "Author of Quiet Americans, a short-story collection, provides biography, writer's resources with an emphasis on Jewish writing, and news.", "topic": "Top/Arts/Literature/World_Literature/Jewish", "url": "http://www.erikadreifus.com/"} +{"d:Title": "SEAsite Laos", "d:Description": "Introduction to both classical and contemporary Lao literature.", "topic": "Top/Arts/Literature/World_Literature/Lao", "url": "http://www.seasite.niu.edu/lao/LaoLiterature/lao_literature_fp.htm"} +{"d:Title": "Ayvu Membyre", "d:Description": "\"Offspring of the Distant World\", poetry in Guarani, Spanish and English by Susy Delgado.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.thing.net/~grist/ld/ssn/delgado.htm"} +{"d:Title": "Latin American Collection at Yale University", "d:Description": "Guide to Latin American bibliography, literature, linguistics, journals, and general studies, organized by country.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.library.yale.edu/latinamerica/reference_lit.html"} +{"d:Title": "SAmericaWriters group", "d:Description": "A virtual place for readers and writers interested in talking and trading information on South American writers.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://groups.yahoo.com/group/SAmericaWriters/"} +{"d:Title": "Sincronia", "d:Description": "A semiotics of film and literary fiction: classic, modern, and postmodern. By Lauro Zavala, Universidad Aut\u00f3noma de M\u00e9xico, UAM Xochimilco, Mexico City.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://fuentes.csh.udg.mx/CUCSH/Sincronia/zav.html"} +{"d:Title": "Women in Latin American Literature", "d:Description": "A selected list of narrative, poetry, drama and criticism by Latin American women.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www-sul.stanford.edu/depts/hasrg/latinam/mujer.html"} +{"d:Title": "Cervantes and the Modern Latin American Narrative", "d:Description": "An essay by Roberto Gonz\u00e1lez Echevarr\u00eda examines how the Quijote has been re-written in Latin America and Cervantes as a figure of the author is more important than Don Quijote the character, in contrast to Spain.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.lehman.cuny.edu/ciberletras/v1n1/crit_07.htm"} +{"d:Title": "Tiras c\u00f3micas latinoamericanas", "d:Description": "A sampling of Latin American comics, both Spanish and Portuguese, edited by Mark Rosenfelder.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.zompist.com/tiras.html"} +{"d:Title": "The Sor Juana In\u00e9s de la Cruz Project", "d:Description": "An electronic edition of her complete works based on the text of Adolfo M\u00e9ndez Plancarte and Alberto G. Salceda.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.dartmouth.edu/~sorjuana/"} +{"d:Title": "Zeroland", "d:Description": "South American literature directory. Alphabetical listing of online literary resources organized by country and author name.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.zeroland.co.nz/latin.html"} +{"d:Title": "Juan Rulfo, The Sound of Death", "d:Description": "Death as a fundamental element in Rulfian stories, an essay by Angie Galicia.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.inside-mexico.com/rulfo.htm"} +{"d:Title": "First Light", "d:Description": "An Anthology of Paraguayan Women Writers translated to English by Susan Smith Nash.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.thing.net/~grist/ld/paraguaywomen/index1.html"} +{"d:Title": "Anthology of Spanish Poetry", "d:Description": "Several poems and interesting information about Spanish grammar and phonetics.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://users.ipfw.edu/jehle/poetry.htm"} +{"d:Title": "Famous Hispanic writers", "d:Description": "A short list of some famous Hispanic writers with their biographies and links to related pages.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://coloquio.com/famosos/writers.html"} +{"d:Title": "Complete Review of Latin and South American Literature", "d:Description": "Index of Latin and South American Literature under review, organized by author, title, genre and national origin.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www.complete-review.com/maindex/latam.htm"} +{"d:Title": "An archaeology of the Boom", "d:Description": "A resource page with related articles about the works of Jorge Luis Borges, Alejo Carpentier, Juan Rulfo, Carlos Fuentes, Julio Cort\u00e1zar, Gabriel Garc\u00eda M\u00e1rquez and Manuel Puig.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://www2.ups.edu/faculty/jlago/fl380/resource.htm"} +{"d:Title": "El Sur del Sur", "d:Description": "Argentinean literature history, from 1810 to 1990.", "topic": "Top/Arts/Literature/World_Literature/Latin_American", "url": "http://surdelsur.com/en/sections/cultural-identity/literature/"} +{"d:Title": "Young Mexican writers bid farewell to magical realism", "d:Description": "Article on the influence of magic realism and the trend away from the style in Mexico.", "topic": "Top/Arts/Literature/World_Literature/Latin_American/Magical_Realism", "url": "http://www.theage.com.au/articles/2002/07/15/1026185155152.html"} +{"d:Title": "Books From Lithuania", "d:Description": "Information on Lithuanian literature, translations, and this non-profit organization promoting them. [English, Lithuanian]", "topic": "Top/Arts/Literature/World_Literature/Lithuanian", "url": "http://lithuanianculture.lt/"} +{"d:Title": "Manx Literature", "d:Description": "Review of printed literature in the Manx language as well as a discussion of the island's oral literature.", "topic": "Top/Arts/Literature/World_Literature/Manx", "url": "http://www.isle-of-man.com/manxnotebook/iomnhas/lm1p110.htm"} +{"d:Title": "New Zealand Guild of Storytelling", "d:Description": "Contains information about the guild, storytellers and events.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://storytelling.org.nz/"} +{"d:Title": "Shadows of Light", "d:Description": "New Zealand Poetry and Writing by Damien McGregor.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://homepages.ihug.co.nz/~huddle/shadowsoflight/index.html"} +{"d:Title": "Deep South", "d:Description": "Literary journal published by the English Department of the University of Otago. Contains editorials, poems, and essays.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://www.otago.ac.nz/DeepSouth/"} +{"d:Title": "New Zealand Electronic Poetry Centre", "d:Description": "Gateway to poetry resources in Aotearoa/New Zealand and the Pacific region.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://www.nzepc.auckland.ac.nz/"} +{"d:Title": "Scribble Poetry on the Net", "d:Description": "Quarterly Review of Poets' submissions, Wordlovers conference, anthology and writers' resources. New Zealand portal to international poems.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://www.nzscribble.net/"} +{"d:Title": "New Zealand Book Council", "d:Description": "Information and news on writers, authors, and publishers. Also contains events, organization listings, tourism and courses.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://www.bookcouncil.org.nz/"} +{"d:Title": "Children's Literature Foundation of New Zealand", "d:Description": "Promotes children's literature and supports writers and illustrators. Calendar of events and membership information.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://www.storylines.org.nz/"} +{"d:Title": "Playmarket", "d:Description": "New Zealand playwrights agency and script advisory service.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://www.playmarket.org.nz/"} +{"d:Title": "New Zealand Writers Guild", "d:Description": "A professional, non-profit association for writers in film, television, theatre, radio, video and multimedia. Promoting the interests of its members and providing a forum for these authors.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand", "url": "http://www.nzwg.org.nz/"} +{"d:Title": "Hayward, Joel", "d:Description": "Opinions and articles about the author and events in his life.", "topic": "Top/Arts/Literature/World_Literature/New_Zealand/Authors", "url": "http://www.joelhayward.com/"} +{"d:Title": "Eriksen, Thomas Hylland", "d:Description": "Oslo-based researcher and familiar social commentator in Norway and abroad offers descriptions of his research in social theory, politics, anthropology and cultural criticism. Includes the full text of several books and articles and works in progress.", "topic": "Top/Arts/Literature/World_Literature/Norwegian", "url": "http://folk.uio.no/geirthe/"} +{"d:Title": "Northern Waters", "d:Description": "A selection of Norwegian literature, with historical, biographical and cultural references.", "topic": "Top/Arts/Literature/World_Literature/Norwegian", "url": "http://oaks.nvg.org/pega18.html"} +{"d:Title": "Jens Bj\u00f8rneboe", "d:Description": "Wikipedia article featuring a short biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Bj\u00f8rneboe,_Jens", "url": "http://en.wikipedia.org/wiki/Jens_Bj%C3%B8rneboe"} +{"d:Title": "Knut Hamsun Homepage", "d:Description": "Quotes, galleries, and biography. In English and Danish.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Hamsun,_Knut", "url": "http://www.hamsun.dk/"} +{"d:Title": "Wikipedia: Knut Hamsun", "d:Description": "Short biography of the author.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Hamsun,_Knut", "url": "http://en.wikipedia.org/wiki/Knut_Hamsun"} +{"d:Title": "The Nobel Prize in Literature", "d:Description": "Hamsun's acceptance speech and a brief biography.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Hamsun,_Knut", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1920/"} +{"d:Title": "Knut Hamsun and Nazism", "d:Description": "Article from the University in Bergen Magazine.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Hamsun,_Knut", "url": "http://org.uib.no/uibmag/grafikk/eng-96/hamsun.htm"} +{"d:Title": "Moonstruck Drama Bookstore: Henrik Ibsen (1828-1906)", "d:Description": "Biography, links.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Ibsen,_Henrik", "url": "http://www.imagi-nation.com/moonstruck/clsc5.htm"} +{"d:Title": "An Enemy of the People", "d:Description": "Chapter-indexed text and biography of the author.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Ibsen,_Henrik", "url": "http://www.ebooks3.com/ebooks/an_enemy_of_the_people.html"} +{"d:Title": "Poems by Henrik Ibsen", "d:Description": "Several poems in English translation.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Ibsen,_Henrik", "url": "http://www.poetry-archive.com/i/ibsen_henrik.html"} +{"d:Title": "The Doll House", "d:Description": "Devoted to the study and discussion of the author of A Doll's House, Hedda Gabler and Peer Gynt.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Ibsen,_Henrik", "url": "http://www.jkpd.net/ibsen/"} +{"d:Title": "Naturalist Drama and Environmental Influences", "d:Description": "Essay discussing the influence of the environment in works by Ibsen, Galsworthy and Lawrence. By Catherine Cooper.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Ibsen,_Henrik", "url": "http://www.literature-study-online.com/essays/ibsen.html"} +{"d:Title": "Ibsen, Henrik (1828-1906)", "d:Description": "Biography from the 1911 Encyclop\u00e6dia Britannica.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Ibsen,_Henrik", "url": "http://encyclopedia.jrank.org/I27_INV/IBSEN_HENRIK_1828_1906_.html"} +{"d:Title": "Wikipedia: Henrik Ibsen", "d:Description": "Encyclopedia-style essay on the influential dramatist.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Ibsen,_Henrik", "url": "http://en.wikipedia.org/wiki/Henrik_Ibsen"} +{"d:Title": "Christmas and Epiphany", "d:Description": "Article written by Sigrid Undset. Hosted by the Catholic Information Network.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Undset,_Sigrid", "url": "http://www.cin.org/liter/undsxmas.html"} +{"d:Title": "Danny Yee's Book Reviews: Kristin Lavransdatter", "d:Description": "A brief review of the thousand-page historical novel set in 14th-century Norway", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Undset,_Sigrid", "url": "http://dannyreviews.com/h/Kristin_Lavransdatter.html"} +{"d:Title": "The Nobel Prize in Literature 1928", "d:Description": "Includes an autobiography and Undset's acceptance speech, as well as the 1998 Nobel stamps featuring the author.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Undset,_Sigrid", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1928/"} +{"d:Title": "Wikipedia: Henrik Wergeland", "d:Description": "Encyclopedia entry on the author with a biography and list of works.", "topic": "Top/Arts/Literature/World_Literature/Norwegian/Wergeland,_Henrik", "url": "http://en.wikipedia.org/wiki/Henrik_Wergeland"} +{"d:Title": "Alamgir Hashmi", "d:Description": "Website about the English-language poet and scholar Alamgir Hashmi, with information on his books, criticism, recent lectures, and contacts.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "http://www.alamgirhashmi.com/"} +{"d:Title": "Mohsin Hamid", "d:Description": "Official site of the author. Features a biography, information on his novels, selected critical reviews, and published articles.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "http://www.mohsinhamid.com/"} +{"d:Title": "Bapsi Sidhwa", "d:Description": "Homepage of the novelist Bapsi Sidhwa, with information about herself and her novels, plus literary criticism on her work.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "http://www.bapsisidhwa.com/"} +{"d:Title": "Zulfikar Ghose", "d:Description": "Short introductory page about the novelist and short story writer that also provides his address.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "http://www.utexas.edu/cola/depts/english/faculty/ghoseza"} +{"d:Title": "Kamila Shamsie", "d:Description": "On the novelist Kamila Shamsie, with biography, bibliography, awards, and contact information.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "http://literature.britishcouncil.org/kamila-shamsie"} +{"d:Title": "Tariq Ali", "d:Description": "A page introducing an activist writer with works in diverse genres.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "http://literature.britishcouncil.org/tariq-ali"} +{"d:Title": "Nadeem Aslam", "d:Description": "Page on novelist Nadeem Aslam with biography, bibliography, awards, and contact information.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "http://literature.britishcouncil.org/nadeem-aslam"} +{"d:Title": "Moniza Alvi", "d:Description": "Brief introduction to the poet and her work.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "https://literature.britishcouncil.org/writer/moniza-alvi"} +{"d:Title": "Suhayl Saadi", "d:Description": "Web page on the novelist and playwright.", "topic": "Top/Arts/Literature/World_Literature/Pakistani", "url": "https://literature.britishcouncil.org/writer/suhayl-saadi"} +{"d:Title": "Shaila Abdullah", "d:Description": "The site presents biographical and bibliographical information about Shaila Abdullah, writer and graphic designer.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.shailaabdullah.com/"} +{"d:Title": "WritersNet: Alamgir Hashmi", "d:Description": "Reflection on literary biography and a list of titles by the author, together with criticism about each title, which has reference value.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.writers.net/writers/11034"} +{"d:Title": "Poet, Scholar Hashmi in Iowa", "d:Description": "This is a useful and relevant introduction to the work of the major English poet and scholar Professor Alamgir Hashmi, who suggested important principles of cultural coexistene a quarter century ago.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.news-releases.uiowa.edu/2004/october/101904hashmi.html"} +{"d:Title": "What Is a Ghazal?", "d:Description": "The ghazal verse-form is described, as in Urdu and some other languages.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://smriti.com/urdu/ghazal.def.html"} +{"d:Title": "Postcolonial and Postimperial Authors", "d:Description": "A list of well-known authors from Pakistan and the Indian Subcontinent. The main site has many useful links.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.postcolonialweb.org/misc/authors.html"} +{"d:Title": "Twilight in Delhi: Indian Resentment of British Rule", "d:Description": "A socio-political take on a classic, Ahmed Ali's Twilight in Delhi (1940).", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.postcolonialweb.org/india/politics/indresent.html"} +{"d:Title": "Adam Zameenzad", "d:Description": "Novelist Zameenzad's life and books described briefly.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.thesusijnagency.com/AdamZameenzad.htm"} +{"d:Title": "Ilona Yusuf", "d:Description": "A short introduction to a young poet and artist.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.wisemuslimwomen.org/talentbazaar/bio/ilona_yusuf/"} +{"d:Title": "Khurram Khiraam's Hayat-i-Nau", "d:Description": "A brief note about a young bilingual poet and his book.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.desiwriterslounge.net/forums/index.php?topic=1669.0"} +{"d:Title": "Zulfikar Ghose Reading in Islamabad", "d:Description": "Account of novelist and poet Zulfikar Ghose's recent reading at The Literature Podium, Islamabad (theliteraturepodium@gmail.com), it has fresh critical and biographical information.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://tribune.com.pk/story/118059/if-poetry-and-literature-are-happening-the-human-spirit-is-alive/"} +{"d:Title": "Noon Meem Rashed - The Unorthodox Poet", "d:Description": "Record of the birth centenary celebration at The Literature Podium, Ialamabad (theliteraturepodium@gmail.com) of this major Urdu poet -with recitals, papers, and discussion on how to read his poetry in the new century.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://tribune.com.pk/story/145266/centenary-recital-noon-meem-rashed-the-unorthodox-poet/"} +{"d:Title": "Moth Smoke", "d:Description": "Mohsin Hamid's first novel is reviewed here.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Biographical_and_Critical_Notes", "url": "http://www.salon.com/2000/01/06/hamid/"} +{"d:Title": "Urdu Afsane by Muniruddin Ahmed", "d:Description": "Short stories of Muniruddin Ahmed, in the Urdu script.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Fiction", "url": "http://afsane.pbworks.com/"} +{"d:Title": "World of Urdu Poetry", "d:Description": "A wide selection of Urdu poetry, with script download facility.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.shairy.com/"} +{"d:Title": "Faiz Ahmed Faiz", "d:Description": "Official site of the Faiz Foundation with information about the Urdu poet and his work, and also about the Foundation.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.faiz.com/"} +{"d:Title": "Bilal Sarwar`s Poems", "d:Description": "Young poet's work using animation and real audio.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.bilalsarwar.8m.com/Poetry.htm"} +{"d:Title": "Amir Khusro", "d:Description": "(or Khusrau). Thirteenth century sufi poet who wrote and sang in several languages.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.angelfire.com/sd/urdumedia/"} +{"d:Title": "Mermaid by Moniza Alvi", "d:Description": "A recent poem by a poet of Pakistani origin.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.versedaily.org/2008/mermaid.shtml"} +{"d:Title": "Arrival 1946", "d:Description": "A poem by Moniza Alvi.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://markmeynell.wordpress.com/2007/06/02/arrival-1946-moniza-alvi/"} +{"d:Title": "Habib Jalib: Ten Poems", "d:Description": "From Urdu and Punjabi, these are poems of late 1960s and early 1970s by this \"People's Poet\". Original text is given alongside in roman script.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.revolutionarydemocracy.org/rdv9n1/jalibpoems.htm"} +{"d:Title": "Parveen Shakir: Five Poems", "d:Description": "First English translations of these poems from Cipher Journal.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.cipherjournal.com/html/hashmi.html"} +{"d:Title": "Parveen Shakir: Where Am I?", "d:Description": "English and German translations of two Urdu poems by Parveen Shakir.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.beilharz.com/poetas/shakir/"} +{"d:Title": "Zeeshan Sahil", "d:Description": "Sahil's \"Bookworms\" has been translated from his Urdu text.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.urdustudies.com/pdf/08/17sahil.pdf"} +{"d:Title": "Four Poems by Munir Niazi", "d:Description": "These poems have been translated from Punjabi into English by Sain Sucha. (Click on VKF Web, next on English/Engelska under Poetry/Poesi, and then on Niazi's \"Four Poems\".)", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.vudya.com/vkf/index.htm"} +{"d:Title": "Poems of Nasir Kazmi", "d:Description": "English translations of the Urdu ghazals of Nasir Kazmi.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://ghazalsofnasir.blogspot.com/"} +{"d:Title": "Sahar Ansari and Parveen Shakir", "d:Description": "Poetry by Sahar Ansari and Parveen Shakir translated into English by Alamgir Hashmi, in Wilderness House Literary Review (USA), 4:1.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://www.whlreview.com/no-4.1/poetry/AlamgirHashmi.pdf"} +{"d:Title": "Urdu Poetry", "d:Description": "The blog have classical, funny and modern poetry from different language from Pakistan.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://urdu-fun.blogspot.com/"} +{"d:Title": "Muhammad Iqbal", "d:Description": "Presentation of the poet's verses with text available in Urdu, Persian, English and Arabic.", "topic": "Top/Arts/Literature/World_Literature/Pakistani/Poetry", "url": "http://islamica.tripod.com/iqbal.htm"} +{"d:Title": "Juliusz Slowacki", "d:Description": "An English biography of the Polish poet Juliusz Slowacki (S\u0142owacki).", "topic": "Top/Arts/Literature/World_Literature/Polish/Poetry", "url": "http://michal.kosmulski.org/slowacki/"} +{"d:Title": "Classic Literature: Portuguese", "d:Description": "Links and resources.", "topic": "Top/Arts/Literature/World_Literature/Portuguese", "url": "http://classiclit.about.com/od/portugueselit/"} +{"d:Title": "Sovlit.com", "d:Description": "Summaries of works of Soviet literature, including novels, short stories and children's books. With author biographies.", "topic": "Top/Arts/Literature/World_Literature/Russian", "url": "http://www.sovlit.com/"} +{"d:Title": "Russian Pen Club", "d:Description": "Association of Russian writers.", "topic": "Top/Arts/Literature/World_Literature/Russian", "url": "http://www.penrussia.org/english.htm"} +{"d:Title": "Konstantin Vaginov: \"Satyr Chorus\"", "d:Description": "Annotated English translation of Konstantin Vaginov's 1920's avant-garde novel, \"Kozlinaya Pesn'\" by Chris Lovett, with afterword.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors", "url": "http://www.nnnonline.org/vaginov/index.htm"} +{"d:Title": "Jewish Mankurts?", "d:Description": "Boris Shusteff's review of \"The Day Lasts More Than a Hundred Years\" by Kyrgyz writer Chingiz Aitmatov.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Aitmatov,_Chingiz", "url": "http://www.freeman.org/m_online/may99/shusteff1.htm"} +{"d:Title": "The Art of Chingiz Aitmatov's Stories", "d:Description": "Article by Iraj Bashiri on Kyrgyz writer Chingiz Aitmatov's life and art, focussing on his novel Jamila.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Aitmatov,_Chingiz", "url": "http://www.angelfire.com/rnb/bashiri/Aitmatov/Jamila.html"} +{"d:Title": "Anton Chekhov (1860-1904)", "d:Description": "A biography of Russia's most important dramatist; includes a list of related links.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Chekhov,_Anton_Pavlovich", "url": "http://www.theatredatabase.com/19th_century/anton_chekhov_001.html"} +{"d:Title": "The Boor", "d:Description": "Complete text of the play by Anton Chekhov.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Chekhov,_Anton_Pavlovich/Works", "url": "http://www.theatrehistory.com/plays/boor.html"} +{"d:Title": "201 Stories by Anton Chekhov", "d:Description": "English versions of author's stories, with annotations.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Chekhov,_Anton_Pavlovich/Works", "url": "http://chekhov2.tripod.com/"} +{"d:Title": "Annotated Works", "d:Description": "Summaries and commentaries of major works at New York University.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Chekhov,_Anton_Pavlovich/Works", "url": "http://medhum.med.nyu.edu/person/2068"} +{"d:Title": "Classic Bookshelf: Anton Chekhov", "d:Description": "Etexts of ~20 short stories.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Chekhov,_Anton_Pavlovich/Works/Short_Stories", "url": "http://www.classicbookshelf.com/library/Tchekhov/"} +{"d:Title": "Dostoevsky's Life and Career", "d:Description": "Special emphasis on Notes from the Underground.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor", "url": "http://www1.umn.edu/lol-russ/hpgary/Russ3421/lesson8.htm"} +{"d:Title": "Dostoevsky in Space", "d:Description": "A quirky Dostoevsky port. Searchable Dostoevsky character database, plus quotes and a chronology.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor", "url": "http://www.vex.net/~x/dostport/"} +{"d:Title": "Christiaan Stange's Dostoevsky Research Station", "d:Description": "\"An icy sled skidding down a narrow St. Petersburg street.\" A Dostoevsky resource, including texts, literary criticism, philosophy, biography, and discussion.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor", "url": "http://www.kiosek.com/dostoevsky/"} +{"d:Title": "Fyodor Dostoevsky - High Spirit, Low Spirit", "d:Description": "The life and work of Fyodor Dostoevsky.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor", "url": "http://home.swipnet.se/~w-15266/cultur/fyodor/index.htm"} +{"d:Title": "Feodor Dostoevsky and Petrashevsky's Case", "d:Description": "Story about the famous author's imprisonment after the scandalous Petrashevsky's case.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor", "url": "http://www.abcgallery.com/list/2001august01.html"} +{"d:Title": "FyodorDostoevsky.com", "d:Description": "Fan site with forum, student essays, etexts and biography.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor", "url": "http://www.fyodordostoevsky.com/"} +{"d:Title": "Allreaders: Fyodor Dostoyevski Spotlight", "d:Description": "A detailed analysis of the plot, setting, characters, theme, and structure of his greatest novels, and lists of other books with similar writing styles.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor", "url": "http://allreaders.com/books/fyodor-dostoyevsky-353"} +{"d:Title": "Literature Network: Poor Folk", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works", "url": "http://www.online-literature.com/dostoevsky/poor_folk/"} +{"d:Title": "Classic Bookshelf: Fyodor Dostoevsky", "d:Description": "Etexts of The Brothers Karamazov, Crime and Punishment, The Gambler and Notes From The Underground, with adjustable sized text and automatic bookmarking.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works", "url": "http://www.classicbookshelf.com/library/Dostoevsky/"} +{"d:Title": "The Brothers Karamazov", "d:Description": "Plain-text.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Brothers_Karamazov,_The", "url": "http://www.ccel.org/d/dostoevsky/karamozov/karamozov.txt"} +{"d:Title": "Literature Network: Crime and Punishment", "d:Description": "Searchable and chapter indexed HTML text.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Crime_and_Punishment", "url": "http://www.online-literature.com/dostoevsky/crimeandpunishment/"} +{"d:Title": "Bartleby.com - Fyodor Dostoevsky", "d:Description": "Online publication of Fyodor Dostoevsky's classic \"Crime and Punishment.\"", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Crime_and_Punishment", "url": "http://www.bartleby.com/318/"} +{"d:Title": "Crime and Punishment", "d:Description": "Plain-text.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Crime_and_Punishment", "url": "http://ccel.org/d/dostoevsky/crime/crime.txt"} +{"d:Title": "Ebooks: Crime and Punishment", "d:Description": "Chapter indexed text in HTML format.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Crime_and_Punishment", "url": "http://www.ebooks3.com/ebooks/crime_and_punishment.html"} +{"d:Title": "Crime and Punishment", "d:Description": "Project Gutenberg. Offers plain text, HTML, and e-book formats.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Crime_and_Punishment", "url": "http://www.gutenberg.org/ebooks/2554"} +{"d:Title": "Crime and Punishment", "d:Description": "English and Russian texts presented side-by-side.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Crime_and_Punishment", "url": "http://www.russianlessons.net/ebooks/show/1/1/1/both"} +{"d:Title": "Crime and Punishment", "d:Description": "Complete chapter-indexed hypertext of Fyodor Dostoyevsky's novel.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Crime_and_Punishment", "url": "http://literatureproject.com/crime-punish/"} +{"d:Title": "Literature Network: The Gambler", "d:Description": "Chapter indexed HTML of the complete text. Includes a search feature and author biography.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Gambler,_The", "url": "http://www.online-literature.com/dostoevsky/the_gambler/"} +{"d:Title": "The Gambler", "d:Description": "HTML EText.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Gambler,_The", "url": "http://www.pagebypagebooks.com/Fyodor_Dostoyevsky/The_Gambler/"} +{"d:Title": "Notes from the Underground", "d:Description": "Plain-text [CCEL].", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Notes_from_the_Underground", "url": "http://ccel.org/d/dostoevsky/underground/underground11.txt"} +{"d:Title": "Page by Page Books: Notes from the Underground", "d:Description": "HTML EText.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Dostoevsky,_Fyodor/Works/Notes_from_the_Underground", "url": "http://www.pagebypagebooks.com/Fyodor_Dostoevsky/Notes_from_the_Underground/"} +{"d:Title": "The Rise of Prose: Gogol", "d:Description": "Details the change in Russian literature after the introduction of Gogol's works", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Gogol,_Nikolai", "url": "http://www1.umn.edu/lol-russ/hpgary/Russ3421/lesson6.htm"} +{"d:Title": "Theatre Database: Nikolai Gogol", "d:Description": "Biography.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Gogol,_Nikolai", "url": "http://www.theatredatabase.com/19th_century/nikolai_gogol_001.html"} +{"d:Title": "The Calash", "d:Description": "Text of the story, on one page.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Gogol,_Nikolai", "url": "http://www.classicreader.com/book/991/1/"} +{"d:Title": "CNN Books: Alexander Solzhenitsyn: A Century in his Life", "d:Description": "Review of D.M. Thomas' biography, with background information.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Solzhenitsyn,_Alexander", "url": "http://www.cnn.com/books/beginnings/9803/02/index.html"} +{"d:Title": "Alexander Solzhenitsyn's Triumphant Return", "d:Description": "By Jay Rogers.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Solzhenitsyn,_Alexander", "url": "http://www.forerunner.com/forerunner/X0698_Solzhenitsyns_Triump.html"} +{"d:Title": "Danny Yee's Book Reviews: August 1914", "d:Description": "Yee reviews Solzhenitsyn's novel telling the story of Tannenberg from the Russian perspective.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Solzhenitsyn,_Alexander", "url": "http://dannyreviews.com/h/August_1914.html"} +{"d:Title": "Autobiography of Alexander Solzhenitsyn", "d:Description": "Brief biography in his own words.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Solzhenitsyn,_Alexander", "url": "http://www.nobelprize.org/nobel_prizes/literature/laureates/1970/solzhenitsyn-bio.html"} +{"d:Title": "The Last Days of Leo Tolstoy", "d:Description": "A fascinating, intimate and little-known account by Vladimir Chertkov, of Leo Tolstoy's brief flight from Yasnaya Polyana and his final departure from temporal existence.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo", "url": "http://www.linguadex.com/tolstoy/"} +{"d:Title": "Introduction to Tolstoy's Writings", "d:Description": "Analysis of the author's works in twelve chapters. By Ernest J. Simmons.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo", "url": "http://www.ourcivilisation.com/smartboard/shop/smmnsej/tolstoy/index.htm"} +{"d:Title": "Anarchist Archives: Leo Tolstoy", "d:Description": "Bibliography, portraits, some of his nonfiction works, commentary on his politics, links.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo", "url": "http://dwardmac.pitzer.edu/Anarchist_Archives/bright/tolstoy/tolstoy.html"} +{"d:Title": "Tolstoy, Leo", "d:Description": "Lengthy biographical article on the Russian novelist, from the 1911 Encyclop\u00e6dia Britannica. Some scanner errors.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo", "url": "http://encyclopedia.jrank.org/THE_TOO/TOLSTOY_LEO_1828_1910_.html"} +{"d:Title": "Wikipedia: Leo Tolstoy", "d:Description": "Illustrated biography, with links to related topics.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo", "url": "http://en.wikipedia.org/wiki/Leo_Tolstoy"} +{"d:Title": "Internet Archive: Tolstoy and His Problems", "d:Description": "1902 collection of essays by Aylmer Maude.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo", "url": "http://www.archive.org/details/tolstoyandhispr00maudgoog"} +{"d:Title": "Yasnaya Poliana", "d:Description": "A picture tour through Tolstoy's home.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo", "url": "http://www.ibiblio.org/sergei/Exs/YasnayaPoliana/yp1.html"} +{"d:Title": "War and Peace", "d:Description": "Electronic book, in HTML. Each chapter in its own file.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works", "url": "http://www.friends-partners.org/oldfriends/literature/war_and_peace/war-peace_intro.html"} +{"d:Title": "How Much Land Does a Man Need?", "d:Description": "From 'The Kreutzer Sonata and Other Short Stories'.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works", "url": "http://www.katinkahesselink.net/other/tolstoy.html"} +{"d:Title": "Bartleby.com: Leo Tolstoy", "d:Description": "\"Anna Karenin,\" \"Ivan the Fool,\" and quotations.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works", "url": "http://www.bartleby.com/people/Tolstoy-L.html"} +{"d:Title": "Tolstoy, Leo Nikolayevich (1828-1910)", "d:Description": "Selected works of fiction available in multiple formats.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works", "url": "http://www.ccel.org/ccel/tolstoy"} +{"d:Title": "Classic Reader: Leo Tolstoy", "d:Description": "Novels and short stories. In searchable HTML, with each chapter in its own file.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works", "url": "http://www.classicreader.com/author/36/"} +{"d:Title": "Anna Karenin", "d:Description": "In HTML at Bartleby.com. Each chapter in its own file. Numbered paragraphs. Uses some Windows-only characters.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works/Anna_Karenina", "url": "http://www.bartleby.com/316/"} +{"d:Title": "Anna Karenina", "d:Description": "In HTML, at eBooks Cube. Each chapter in its own file, with links to previous, next, first, last, and table of contents.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works/Anna_Karenina", "url": "http://www.ebooks3.com/ebooks/anna_karenina.html"} +{"d:Title": "Anna Karenina", "d:Description": "In searchable HTML, at World Wide School. Each chapter has its own file, linked to previous, next, or any other chapter. In graphical browsers, this is the online equivalent of a \"large print\" edition.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works/Anna_Karenina", "url": "http://www.worldwideschool.org/library/books/lit/romance/AnnaKarenina/Chap1.html"} +{"d:Title": "Anna Karenina", "d:Description": "HTML, at Classic Reader. Searchable. Each chapter in its own file.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Tolstoy,_Leo/Works/Anna_Karenina", "url": "http://www.classicreader.com/book/266/"} +{"d:Title": "Bartleby.com: Ivan Turgenev", "d:Description": "HTML texts of \"Fathers and Children\" and \"House of Gentlefolk.\"", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Turgenev,_Ivan", "url": "http://www.bartleby.com/people/Turgenev.html"} +{"d:Title": "Poetry Archive: Ivan Turgenev", "d:Description": "An index of prose poems by Turgenev.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Turgenev,_Ivan", "url": "http://www.poetry-archive.com/t/turgenev_ivan.html"} +{"d:Title": "Fathers and Sons, Ivan Turgenev, 1861", "d:Description": "Read all 28 chapters online, translated from Russian to English by Richard Hare.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Turgenev,_Ivan", "url": "http://www.eldritchpress.org/ist/fas.htm"} +{"d:Title": "Danny Yee's Book Reviews: Yevgeny Zamyatin", "d:Description": "Yee reviews collections of Zamyatin's short fiction and essays.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Zamyatin,_Yevgeny", "url": "http://dannyreviews.com/a/Yevgeny_Zamyatin.html"} +{"d:Title": "Music under Soviet rule: Goldstein Hoax", "d:Description": "Shostakovich, Zamyatin, Goldstein, and The Bolt.", "topic": "Top/Arts/Literature/World_Literature/Russian/Authors/Zamyatin,_Yevgeny", "url": "http://www.siue.edu/~aho/musov/zamyatin/zamyatin.html"} +{"d:Title": "Russian Science Fiction&Fantasy", "d:Description": "Works, short biographies and bibliographies of well-known Russian SF&F writers. [English, Russian]", "topic": "Top/Arts/Literature/World_Literature/Russian/Genres/Science_Fiction", "url": "http://www.rusf.ru/"} +{"d:Title": "Russian Poets of the 20th Century", "d:Description": "Featuring works from several Russian poets including: Akhmatova, Blok, Pasternak, Mandelshtam Mayakovsky and Tsvetaeva. Biographies in English and poems in Windows Cyrillic 1251 encoding.", "topic": "Top/Arts/Literature/World_Literature/Russian/Poetry", "url": "http://www.richardboffin.com/poets/index.html"} +{"d:Title": "The Golden Age of Russian Poetry", "d:Description": "The period from 1820 to about 1835 is known as the \"Golden Age\" of Russian poetry.", "topic": "Top/Arts/Literature/World_Literature/Russian/Poetry/Pushkin,_Aleksandr_Sergeevich", "url": "http://www1.umn.edu/lol-russ/hpgary/Russ3421/lesson4.htm"} +{"d:Title": "Frontline: Pushkin Genealogy", "d:Description": "Although the vast majority of African Americans are unfamiliar with Pushkin's monumental works, most students of literature are at least aware of his \"Blackamoor of Peter the Great,\" an unfinished romance which relates the biographical data of the poet's great-grandfather, Ibrahim Petrovitch Gannibal his black great-grandfather.", "topic": "Top/Arts/Literature/World_Literature/Russian/Poetry/Pushkin,_Aleksandr_Sergeevich", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/secret/famous/pushkingenealogy.html"} +{"d:Title": "Alexander Pushkin", "d:Description": "A biography of the Russian poet.", "topic": "Top/Arts/Literature/World_Literature/Russian/Poetry/Pushkin,_Aleksandr_Sergeevich", "url": "http://www.theatrehistory.com/russian/pushkin001.html"} +{"d:Title": "The Alexander Pushkin Home Page", "d:Description": "Literary primogenitor or not, his work tackles everything: from the ennui of an aristocratic life to the simple joys of the common people of his beloved Russia.", "topic": "Top/Arts/Literature/World_Literature/Russian/Poetry/Pushkin,_Aleksandr_Sergeevich", "url": "http://halonine.tripod.com/pushkin.htm"} +{"d:Title": "SLAINTE", "d:Description": "Scottish Libraries Across The Internet. Information on people, organisations, libraries, events and resources of Scottish interest.", "topic": "Top/Arts/Literature/World_Literature/Scottish", "url": "http://www.slainte.org.uk/"} +{"d:Title": "Shetland Publishing Company", "d:Description": "Publishers of books, fact and fiction, with links to Shetland Islands, UK. Also includes direct sales page.", "topic": "Top/Arts/Literature/World_Literature/Scottish", "url": "http://www.baysights.com/shetlandbooks"} +{"d:Title": "Association for Scottish Literary Studies", "d:Description": "Organisation promoting the study, teaching and writing of Scottish literature and language. News and information on membership and publications.", "topic": "Top/Arts/Literature/World_Literature/Scottish", "url": "http://www.arts.gla.ac.uk/ScotLit/ASLS/"} +{"d:Title": "StAnza", "d:Description": "Scotland's poetry festival, which takes place in St. Andrews, Fife, in March each year.", "topic": "Top/Arts/Literature/World_Literature/Scottish", "url": "http://www.stanzapoetry.org/"} +{"d:Title": "The Write Stuff", "d:Description": "Profiles and photographs of 30 leading Scottish writers, based on an exhibition held at the National Library of Scotland in 2001.", "topic": "Top/Arts/Literature/World_Literature/Scottish", "url": "http://digital.nls.uk/writestuff/"} +{"d:Title": "Scottish Poetry Library", "d:Description": "A specialist library based in Edinburgh, with collections of contemporary Scottish (in Gaelic, Scots and English) and international poetry; includes details of their services, events, and poet profiles.", "topic": "Top/Arts/Literature/World_Literature/Scottish", "url": "http://www.scottishpoetrylibrary.org.uk/"} +{"d:Title": "Scottish Literature at the University of South Carolina", "d:Description": "Information on publications and materials held by the university.", "topic": "Top/Arts/Literature/World_Literature/Scottish", "url": "http://library.sc.edu/scotlit/scotlit.html"} +{"d:Title": "Scotched", "d:Description": "Interview with James Kelman, Irvine Welsh and Duncan McLean. From the Boston Phoenix.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors", "url": "http://www.bostonphoenix.com/alt1/archive/styles/97/05/15/SCOTCHED.html"} +{"d:Title": "Jackson, A.B.", "d:Description": "Poems, notes and biographical information about the Scottish poet.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors", "url": "http://www.abjackson.co.uk/"} +{"d:Title": "Knight, Alanna", "d:Description": "Information and biographical material about the author of gothic and historical novels and crime fiction.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors", "url": "http://www.alannaknight.com/"} +{"d:Title": "Lorn Macintyre", "d:Description": "Official site of the scriptwriter and novelist from Argyll.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors", "url": "http://www.lornmacintyre.co.uk/"} +{"d:Title": "Watson, Claire-Marie", "d:Description": "Author's personal website includes book review and summary of \"the Curewife\" author's biography, and upcoming publication and appearance dates.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors", "url": "http://www.ancrum.force9.co.uk/"} +{"d:Title": "Jonathan Meres", "d:Description": "Author of books for teenagers and pre-school children.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors", "url": "http://www.jonathanmeres.com/"} +{"d:Title": "Official Site of Christopher Brookmyre", "d:Description": "Site for Scottish crime writer Christopher Brookmyre - read extracts from his novels. Links to crime fiction sites.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Brookmyre,_Christopher", "url": "http://www.brookmyre.co.uk/"} +{"d:Title": "Brown, George MacKay", "d:Description": "Biography of the Orcadian poet, novelist and dramatist, with an assessment of his writing career and a reading list.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Brown,_George_Mackay", "url": "http://www.bbc.co.uk/programmes/profiles/57Vz7BB367BxpBcts30x0CX/george-mackay-brown"} +{"d:Title": "The Thirty-nine Steps", "d:Description": "Online publication from Bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Buchan,_John", "url": "http://www.bartleby.com/149/"} +{"d:Title": "Dorothy Dunnett", "d:Description": "Information about the author, book overviews and links. [English and German]", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy", "url": "http://www.geomaler.de/"} +{"d:Title": "Dorothy Dunnett", "d:Description": "A celebration of the life of the historical fiction writer. Includes descriptions and photos of sites related to her books and questions/answers from Dorothy herself.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy", "url": "http://www.dorothydunnett.co.uk/"} +{"d:Title": "Dorothy Dunnett", "d:Description": "Script to the Dunnett fan operetta \"The Nikado\", fan gathering information and links, compiled by Simon Hedges.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy", "url": "http://www.simonhedges.com/"} +{"d:Title": "Marzipan", "d:Description": "An e-mail group for Dorothy Dunnett fans, since 1998. Has multiple spin-off groups.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy", "url": "http://groups.yahoo.com/group/marzipan/"} +{"d:Title": "KnopfDoubleday: Dorothy Dunnett", "d:Description": "Publisher's official site. Includes information about the Dorothy Dunnett, books and a short biography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy", "url": "http://knopfdoubleday.com/author/7627/dorothy-dunnett/"} +{"d:Title": "Dorothy Dunnett Society", "d:Description": "Charity organized by Dunnett, that also publishes a journal about her. Includes a biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy", "url": "http://dunnettcentral.org/"} +{"d:Title": "King Hereafter", "d:Description": "Review of the book by Danny Yee.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy/Reviews", "url": "http://dannyreviews.com/h/King_Hereafter.html"} +{"d:Title": "Eve's Alexandria", "d:Description": "Review of Ringed Castle, fifth book in Dorothy Dunnett's Lymond Chronicles, and of the rest of the series.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy/Reviews", "url": "http://evesalexandria.typepad.com/eves_alexandria/2006/05/i_lack_intellec.html"} +{"d:Title": "The Game of Kings by Dorothy Dunnett", "d:Description": "Review of the first book in the Lymond Chronicles; with links to reviews of later books in the series.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Dunnett,_Dorothy/Reviews", "url": "http://www.thebookbag.co.uk/reviews/index.php?title=The_Game_of_Kings_by_Dorothy_Dunnett"} +{"d:Title": "Finlay, Ian Hamilton", "d:Description": "Profile of the poet, artist, philosopher, gardener and landscape designer; also includes details of Wild Hawthorn Press, the publishing arm of Little Sparta.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Finlay,_Ian_Hamilton", "url": "http://www.ianhamiltonfinlay.com/"} +{"d:Title": "Finlay, Ian Hamilton", "d:Description": "Brief Wikipedia profile of the poet and creator of his Little Sparta garden.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Finlay,_Ian_Hamilton", "url": "http://en.wikipedia.org/wiki/Ian_Hamilton_Finlay"} +{"d:Title": "Little Sparta", "d:Description": "A photo gallery of the poet's Garden Gallery at Stonypath, Dunsyre, in 1995.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Finlay,_Ian_Hamilton", "url": "http://www.perlesvaus.easynet.co.uk/hippeis/gallery/little_sparta/"} +{"d:Title": "Jacket 15 - December 2001", "d:Description": "Special feature issue of the internet literary magazine; includes an interview with and assessments of Ian Hamilton Finlay.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Finlay,_Ian_Hamilton", "url": "http://jacketmagazine.com/15"} +{"d:Title": "The Little Sparta Trust", "d:Description": "Artist Ian Hamilton Finlay's garden project. Brief overview, biography and information about visiting. [Lanarkshire, Scotland]", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Finlay,_Ian_Hamilton", "url": "http://www.littlesparta.org.uk/"} +{"d:Title": "Janice Galloway: A Web Archive", "d:Description": "A resource for and about the author's work. Includes interviews, articles, essays and an extract from her new work, Pipelines.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Galloway,_Janice", "url": "http://www.galloway.1to1.org/"} +{"d:Title": "Lewis Grassic Gibbon Centre", "d:Description": "Located in Arbuthnott, Laurencekirk, Scotland. Information on the centre, plus details of the author and his works and a bibliography of critical works.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Gibbon,_Lewis_Grassic", "url": "http://www.grassicgibbon.com/"} +{"d:Title": "Dunbeath Heritage Centre and Preservation Trust", "d:Description": "Information on the archaeology and other heritage of the author's birthplace, plus a bibliography of his works.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Gunn,_Neil", "url": "http://www.dunbeath-heritage.org.uk/"} +{"d:Title": "Slainte: Neil M Gunn", "d:Description": "Profile of the author and his works.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Gunn,_Neil", "url": "http://www.slainte.org.uk/cilips/publications/scotauth/gunnndsw.htm"} +{"d:Title": "Neil M. Gunn", "d:Description": "Profile and bibliography. [From Wikipedia]", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Gunn,_Neil", "url": "http://en.wikipedia.org/wiki/Neil_M._Gunn"} +{"d:Title": "George Campbell Hay", "d:Description": "A brief profile of the Scottish Nationalist poet and translator. [From Wikipedia]", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Hay,_George_Campbell", "url": "http://en.wikipedia.org/wiki/George_Campbell_Hay"} +{"d:Title": "John Herdman", "d:Description": "Biography and bibliography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Herdman,_John", "url": "http://www.johnherdman.co.uk/"} +{"d:Title": "A Boy's Song", "d:Description": "From The Oxford Book of English Verse, at bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Hogg,_James", "url": "http://www.bartleby.com/101/513.html"} +{"d:Title": "Bartleby.com: James Hogg", "d:Description": "Introduction to the writer from the Cambridge History of English and American Literature.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Hogg,_James", "url": "http://www.bartleby.com/221/1026.html"} +{"d:Title": "Kilmeny", "d:Description": "From The Oxford Book of English Verse, at bartleby.com.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Hogg,_James", "url": "http://www.bartleby.com/101/514.html"} +{"d:Title": "The Private Memoirs and Confessions of A Justified Sinner", "d:Description": "Online text from Page by Page Books.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Hogg,_James", "url": "http://www.pagebypagebooks.com/James_Hogg/The_Private_Memoirs_and_Confessions_of_A_Justified_Sinner/"} +{"d:Title": "Moffat Town", "d:Description": "Introduction to Hogg and his hometown.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Hogg,_James", "url": "http://www.dalbeattie.com/moffat/people/hogg.html"} +{"d:Title": "Trumpet", "d:Description": "Review.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kay,_Jackie", "url": "http://www.bostonphoenix.com/archive/books/99/04/29/bookmarks/JACKIE_KAY.html"} +{"d:Title": "The Guardian: Don't Tell Me Who I Am", "d:Description": "Interview centred on the question of identity, by Libby Brooks.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kay,_Jackie", "url": "http://www.theguardian.com/books/2002/jan/12/fiction.features"} +{"d:Title": "Kay, Jackie", "d:Description": "Biography, bibliography, listing of awards and prizes, a critical perspective, and links to examples of her writing.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kay,_Jackie", "url": "http://literature.britishcouncil.org/jackie-kay"} +{"d:Title": "Kay, Jackie", "d:Description": "Books by the author at Penguin Random House.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kay,_Jackie", "url": "http://www.penguinrandomhouse.com/authors/15216/jackie-kay"} +{"d:Title": "The Good Times", "d:Description": "Review from Salon Books, by Todd Pruzan.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kelman,_James", "url": "http://www.salon.com/1999/07/06/kelman/"} +{"d:Title": "Kelman, James", "d:Description": "At the Penguin Random House site. Offers brief biography and books details.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kelman,_James", "url": "http://www.penguinrandomhouse.com/authors/15375/james-kelman"} +{"d:Title": "A.L.Kennedy", "d:Description": "Official site: biography, diary, FAQ, contact details and excerpts from reviews, with her comments.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kennedy,_A._L.", "url": "http://www.a-l-kennedy.co.uk/"} +{"d:Title": "Spike: Interview with A.L. Kennedy", "d:Description": "An interview focusing on Original Bliss.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kennedy,_A._L.", "url": "http://www.spikemagazine.com/0397kenn.php"} +{"d:Title": "Salon.com: So I am Glad", "d:Description": "Review of So I am Glad, by Elise Harris.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kennedy,_A._L.", "url": "http://www.salon.com/2000/01/20/kennedy_6/"} +{"d:Title": "Original Bliss", "d:Description": "Excerpt from Salon.com.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kennedy,_A._L.", "url": "http://www.salon.com/1999/12/16/kennedy_3/"} +{"d:Title": "Penguin Random House - Original Bliss", "d:Description": "An introduction to, and excerpt from, the novella.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Kennedy,_A._L.", "url": "http://www.penguinrandomhouse.com/books/91499/original-bliss-by-a-l-kennedy/9780375702785"} +{"d:Title": "Leonard, Tom", "d:Description": "Biography, with an appreciation of his writing and a reading list.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Leonard,_Tom", "url": "http://www.bbc.co.uk/programmes/profiles/R6Kcdx5Xtq4GNGpX6sqPtc/tom-leonard"} +{"d:Title": "Douglas Lipton: Scottish Poet", "d:Description": "Biography, events and selected poems.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Lipton,_Douglas", "url": "http://www.douglaslipton.co.uk/"} +{"d:Title": "Lochhead, Liz", "d:Description": "Offers poems and a biography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Lochhead,_Liz", "url": "http://www.scottishpoetrylibrary.org.uk/poetry/poets/liz-lochhead"} +{"d:Title": "Wikipedia: Norman MacCaig", "d:Description": "Biography and list of publications.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacCaig,_Norman", "url": "http://en.wikipedia.org/wiki/Norman_MacCaig"} +{"d:Title": "MacCaig, Norman", "d:Description": "A biography, with an assessment of his poetry and a reading list.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacCaig,_Norman", "url": "http://www.bbc.co.uk/programmes/profiles/19P11MV2BmSGMbMFpsY9Glk/norman-maccaig"} +{"d:Title": "Hugh MacDiarmid and Scottish Cultural Renaissance", "d:Description": "An article assessing the poet's contribution to the Scottish Literary Renaissance.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacDiarmid,_Hugh", "url": "http://www.bbc.co.uk/history/scottishhistory/modern/features_modern_culturalrenaissance.shtml"} +{"d:Title": "Hugh MacDiarmid: An Exhibition Celebrating the Centenary of His Birth", "d:Description": "A special collection held by the University of Delaware; includes an introduction to and assessment of the poet, and a listing of materials in the collection.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacDiarmid,_Hugh", "url": "http://www.lib.udel.edu/ud/spec/exhibits/diarmid/index.htm"} +{"d:Title": "MacDiarmid, Hugh", "d:Description": "A biography and appreciation of the poet, on The Literary Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacDiarmid,_Hugh", "url": "http://www.litencyc.com/php/speople.php?rec=true&UID=2843"} +{"d:Title": "MacDiarmid, Hugh", "d:Description": "A collection of audio recordings of the poet reading some of his own work.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacDiarmid,_Hugh", "url": "http://writing.upenn.edu/pennsound/x/MacDiarmid.php"} +{"d:Title": "MacDiarmid, Hugh", "d:Description": "Offers a biography, review of his writing career, and reading list.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacDiarmid,_Hugh", "url": "http://www.bbc.co.uk/programmes/profiles/60m5vt5DBNq4q02PQtpFqzq/hugh-macdiarmid"} +{"d:Title": "MacDiarmid, Hugh", "d:Description": "Profile of the poet and his influence, with a recording of him reading \"The Watergaw\".", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacDiarmid,_Hugh", "url": "http://www.poetryarchive.org/poet/hugh-macdiarmid"} +{"d:Title": "MacLean, Sorley", "d:Description": "Wikipedia profile, with links to some of his poetry.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacLean,_Sorley", "url": "http://en.wikipedia.org/wiki/Sorley_MacLean"} +{"d:Title": "Maclean, Sorley", "d:Description": "A short biography and an assessment of his contribution to Gaelic literature; from the BBC Writing Scotland pages.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/MacLean,_Sorley", "url": "http://www.bbc.co.uk/programmes/profiles/5btqSDpT800TGH1KfnmPpnZ/sorley-maclean"} +{"d:Title": "McCall Smith comes to Scotsman.com", "d:Description": "Podcasts of the author reading chapters from his books.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McCall_Smith,_Alexander", "url": "http://living.scotsman.com/alexandermccallsmith/McCall-Smith-comes-to-scotsmancom.2781510.jp"} +{"d:Title": "Scottish Book Trust: The Lives of the Scottish Saints", "d:Description": "Full text of McCall Smith's short story.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McCall_Smith,_Alexander", "url": "http://www.scottishbooktrust.com/stories/the-lives-of-scottish-saints"} +{"d:Title": "Writing Out Loud: Alexander McCall Smith", "d:Description": "Video of an interview with the author.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McCall_Smith,_Alexander", "url": "http://ra.okstate.edu/osu_tulsa/WritingOutLoud/AlexanderMcCallSmithHigh/video.htm"} +{"d:Title": "Wikipedia: Alexander McCall Smith", "d:Description": "Biography, bibliography, and links to articles on many of his books.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McCall_Smith,_Alexander", "url": "http://en.wikipedia.org/wiki/Alexander_McCall_Smith"} +{"d:Title": "Edinburgh Law School: Professor Alexander McCall Smith", "d:Description": "Profile and selected publications.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McCall_Smith,_Alexander", "url": "http://www.law.ed.ac.uk/people/alexandermccallsmith"} +{"d:Title": "British Council Literature: Alexander McCall Smith", "d:Description": "Profile, bibliography, awards, and critical perspective.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McCall_Smith,_Alexander", "url": "http://literature.britishcouncil.org/alexander-mccall-smith"} +{"d:Title": "Alexander McCall Smith", "d:Description": "Official site with a newsletter, biography, bibliography, tour schedule, audio and video, photo albums, reading guides, Botswana trip diary, and forum.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McCall_Smith,_Alexander", "url": "http://www.alexandermccallsmith.com/"} +{"d:Title": "Gazetteer for Scotland: William Angus McIlvanney", "d:Description": "Profile of the novelist.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McIlvanney,_William", "url": "http://www.scottish-places.info/people/famousfirst887.html"} +{"d:Title": "Bunker Man", "d:Description": "Review from The SF Site, by Chris Donner.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McLean,_Duncan", "url": "http://www.sfsite.com/07a/bunk36.htm"} +{"d:Title": "Duncan McLean: Four Quick Ones", "d:Description": "Four brief stories : Navigator, Own Words, Fuck Democracy, Big Cock Fun. From Intangible Publications.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McLean,_Duncan", "url": "http://www.intangible.org/Duncan/DuncanIndex.html"} +{"d:Title": "Bucket of Tongues", "d:Description": "Review from The Boston Phoenix.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McLean,_Duncan", "url": "http://www.bostonphoenix.com/archive/books/99/06/24/bookmarks/DUNCAN_MCLEAN.html"} +{"d:Title": "Bucket of Tongues", "d:Description": "Review from Salon Books, by Steve McQuiddy.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/McLean,_Duncan", "url": "http://www.salon.com/1999/07/06/mclean/"} +{"d:Title": "My Young Son Asks Me", "d:Description": "Poem by Brecht in English translation by H.R. Hays and Edwin Morgan.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://www.artofeurope.com/brecht/bre1.htm"} +{"d:Title": "Dedication to Edwin Morgan", "d:Description": "Biography, texts of selected poems, bibliography, news and gallery.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://www.edwinmorgan.com/"} +{"d:Title": "Culture Court: Edwin Morgan", "d:Description": "Alexander Hutchison writes about his encounters with the Scottish poet and critic.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://www.culturecourt.com/Scales/lit/EMorgan.htm"} +{"d:Title": "Cinquevalli", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://www.juggling.org/fame/cinquevalli/morgan.html"} +{"d:Title": "Morgan Papers", "d:Description": "Information on the author's autograph papers, held by Glasgow University Library.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://special.lib.gla.ac.uk/collection/morgan.html"} +{"d:Title": "Scotia Review: A Demon Lover", "d:Description": "Text of the poem.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://www.caithness.org/community/arts/edwinmorgan.htm"} +{"d:Title": "The Writers :: Edwin Morgan", "d:Description": "BBC Scotland's profile and bibliography of the poet.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://www.bbc.co.uk/scotland/arts/writingscotland/writers/edwin_morgan/"} +{"d:Title": "The Unspoken", "d:Description": "Text of the poem, plus brief biography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://wonderingminstrels.blogspot.com/1999/07/unspoken-edwin-morgan.html"} +{"d:Title": "The Guardian: Strawberries and In Glasgow", "d:Description": "Texts of two poems.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Morgan,_Edwin", "url": "http://www.theguardian.com/books/2000/apr/29/poetry.books"} +{"d:Title": "Pow, Tom", "d:Description": "A biography of the poet, with a bibliography and listing of awards and prizes.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Pow,_Tom", "url": "http://literature.britishcouncil.org/tom-pow"} +{"d:Title": "Twbooks: Ian Rankin", "d:Description": "Includes author profile, introductions to the works, ordering details, articles and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.twbooks.co.uk/authors/irankin.html"} +{"d:Title": "Januarymagazine.com: Ian Rankin", "d:Description": "Gives interview, discussing crime writing and the background to some of the novels. Also has an author profile.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.januarymagazine.com/profiles/ianrankin.html"} +{"d:Title": "Inspector Rebus Group", "d:Description": "Yahoo club for discussion of the books and TV series. Also has bibliography and a photo.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://groups.yahoo.com/group/inspectorrebus/"} +{"d:Title": "Spikemagazine.com: Dead Souls", "d:Description": "Review of the novel by Gary Marshall. Also shows picture of the cover.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.spikemagazine.com/0399deadsouls.php"} +{"d:Title": "Ian Rankin", "d:Description": "Author's official site. Gives biography, list of published books with details of each, recent news, mailing list form, links, and contact information.", "priority": "1", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.ianrankin.net/"} +{"d:Title": "Hackwriters.com: Resurrection Men", "d:Description": "Gives plot and commentary, as well as views on Rebus.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.hackwriters.com/rankin.htm"} +{"d:Title": "Imdb.com: Ian Rankin", "d:Description": "Has list of author's television appearances as well as film adaptations of his novels.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.imdb.com/name/nm0710256/"} +{"d:Title": "BBC: Crime Author Rankin's Stage Role", "d:Description": "Gives details of live musical and storytelling performances by the author and Jackie Leven.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://news.bbc.co.uk/1/hi/scotland/4379963.stm"} +{"d:Title": "iafrica.com:The Magic of Edinburgh", "d:Description": "Gives a description of some of the places and sights that the author used in several of his Rebus novels.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://travel.iafrica.com/destin/europe/461042.htm"} +{"d:Title": "Imdb.com: Rebus: Dead Souls (2001) TV", "d:Description": "Provides details of the cast, a plot summary, and reader comments.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.imdb.com/title/tt0296167/"} +{"d:Title": "Imdb.com: Rebus: Black and Blue (2000) (TV)", "d:Description": "Offers a list of the cast, along with reader comments and feedback.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.imdb.com/title/tt0243153/"} +{"d:Title": "Imdb.com: Rebus: Mortal Causes (2001) (TV)", "d:Description": "A cast list, trivia note, and reader reviews are offered.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.imdb.com/title/tt0297358/"} +{"d:Title": "Imdb.com: Rebus: The Hanging Garden (2000) (TV)", "d:Description": "User comments and reviews are provided, along with a complete list of the cast.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.imdb.com/title/tt0243520/"} +{"d:Title": "Bookslut: An Interview With Ian Rankin", "d:Description": "Author explains the background to several of his novels, crime writing, the Rebus character, his musical interests, and the city of Edinburgh.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.bookslut.com/features/2005_04_005009.php"} +{"d:Title": "Guardian Unlimited: Complete Short Stories", "d:Description": "Reviews stories in the collection, as well as providing a general introduction to short story writing.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.theguardian.com/books/2005/jul/03/crime.ianrankin"} +{"d:Title": "Guardian Unlimited: A Life in Crime", "d:Description": "Author's thoughts on modern crime writing. He also gives a summary of crime fiction in the last 150 years.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.theguardian.com/books/2000/mar/09/crime.artsfeatures"} +{"d:Title": "Guardian Unlimited: Secrets and Lies: The Impossible World of DI John Rebus", "d:Description": "Article on the author's main character. It also includes background on the writing of the novels.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.theguardian.com/books/2000/apr/19/ianrankin"} +{"d:Title": "British Council Literature: Ian Rankin", "d:Description": "Has a biography, bibliography, list of awards, and a critical essay on the novels.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://literature.britishcouncil.org/ian-rankin"} +{"d:Title": "Bookreporter.com: Ian Rankin", "d:Description": "Provides a biography, an interview, a bibliography, and commentary on some of the novels.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.bookreporter.com/authors/ian-rankin"} +{"d:Title": "Scotsman: Rankin Novels Fail to Detect High Bidders", "d:Description": "Describes the auction of several first edition Rebus novels, and the prices fetched for them.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.scotsman.com/news/rankin-novels-fail-to-detect-high-bidders-1-1066255"} +{"d:Title": "Scotsman:Prime Suspect", "d:Description": "A lengthy article by the author about the new housing development named after him, as well as a discussion of the housing of his childhood and that of his character Rebus.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.scotsman.com/news/prime-suspect-1-1403843"} +{"d:Title": "Scotsman: Opening the File On Life Without Rebus", "d:Description": "Discusses the author's plans for the last two Rebus novels, as well as the former's activities in the meantime.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.scotsman.com/lifestyle/books/opening-the-file-on-life-without-rebus-1-1101471"} +{"d:Title": "Scotsman: Rebus Story Ends Happily for City Charity", "d:Description": "Tells of the sale of copies of a signed Rebus short-story to raise money for a Scottish charity.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.scotsman.com/news/rebus-story-ends-happily-for-city-charity-1-964860"} +{"d:Title": "The Scotsman: New Man On the Case to Pound the Rebus Beat", "d:Description": "Profile and background of Ken Stott, who plays Rebus in the latest television series. Also includes parts of an interview with him.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.scotsman.com/news/new-man-on-the-case-to-pound-the-rebus-beat-1-971062"} +{"d:Title": "The Scotsman: Locating the City's Rebus Role", "d:Description": "Tells of the locations where the latest Rebus television series will be shot.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.scotsman.com/news/locating-the-city-s-rebus-role-1-971087"} +{"d:Title": "Guardian Unlimited: Set In Darkness", "d:Description": "Offers a summary of the novel's plot.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Rankin,_Ian", "url": "http://www.theguardian.com/books/2000/mar/03/ianrankin"} +{"d:Title": "The Contribution of Iain Crichton Smith", "d:Description": "An assessment of the poet, novelist and playwright, written by Edwin Morgan [March 2001]", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Smith,_Ian_Crichton", "url": "http://www.arts.gla.ac.uk/ScotLit/ASLS/ICSmith.html"} +{"d:Title": "Smith, Iain Crichton", "d:Description": "A biography, an assessment of his writing career, and reading list.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Smith,_Ian_Crichton", "url": "http://www.bbc.co.uk/programmes/profiles/3cWByPb2tLdvVr7dNW0YpsV/iain-crichton-smith"} +{"d:Title": "Soutar, Willie", "d:Description": "A biography of the Perth-born poet, with a collection of poems, and a bibliography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Soutar,_William", "url": "http://www.williamsoutar.com/"} +{"d:Title": "Soutar, William", "d:Description": "A biography and critical assessment of the poet, from the Literary Encyclopedia.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Soutar,_William", "url": "http://www.litencyc.com/php/speople.php?rec=true&UID=4155"} +{"d:Title": "Soutar, William (The Perth Poet)", "d:Description": "Brief profile, with examples of his writing.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Soutar,_William", "url": "http://www.perthshirediary.com/html/day0428.html"} +{"d:Title": "Soutar, William", "d:Description": "A biography, assessment of his writing career, and reading list.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Soutar,_William", "url": "http://www.bbc.co.uk/programmes/profiles/4XK0WxQsy7KmDC2C2hzBf6z/william-soutar"} +{"d:Title": "Not Bored: Alexander Trocchi", "d:Description": "Texts by and about the writer, including A Revolutionary Proposal: Invisible Insurrection of a Million Minds and sigma: A Tactical Blueprint.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Trocchi,_Alexander", "url": "http://www.notbored.org/trocchi.html"} +{"d:Title": "Glasgow Central", "d:Description": "Feature on the search for the author's ashes.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Trocchi,_Alexander", "url": "http://www.culturecourt.com/e-books/Glasgow/Glasgow1.htm"} +{"d:Title": "Wikipedia: Alexander Trocchi", "d:Description": "Brief biography.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Trocchi,_Alexander", "url": "http://en.wikipedia.org/wiki/Alexander_Trocchi"} +{"d:Title": "These Demented Lands", "d:Description": "Review.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Warner,_Alan", "url": "http://www.rambles.net/warner_lands.html"} +{"d:Title": "The Complete Review", "d:Description": "Reviews with links to other discussions of Morvern Callar and The Sopranos.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Warner,_Alan", "url": "http://www.complete-review.com/reviews/warnera/sopranos.htm"} +{"d:Title": "Homosexuality in Contemporary Scottish Fiction", "d:Description": "Discussion in the context of the works of Alan Warner and Irvine Welsh.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Warner,_Alan", "url": "http://www.spikemagazine.com/0599queerspotting.php"} +{"d:Title": "The Guardian: Girls' Secrets, Men's Myths", "d:Description": "Interview covering the author's career, focusing on his novel The Man Who Walks.", "topic": "Top/Arts/Literature/World_Literature/Scottish/Authors/Warner,_Alan", "url": "http://www.theguardian.com/books/2002/may/25/fiction.features"} +{"d:Title": "Serbian Epic Poetry", "d:Description": "English translations.", "topic": "Top/Arts/Literature/World_Literature/Serbian", "url": "http://home.earthlink.net/~markdlew/SerbEpic/index.htm"} +{"d:Title": "Poets Society Iris", "d:Description": "Modern Serbian poetry.", "topic": "Top/Arts/Literature/World_Literature/Serbian", "url": "http://www.yurope.com/people/perunika/perun1.html"} +{"d:Title": "Old Serbian Tales: Marko and the Turks, c. 1450", "d:Description": "Popular epic song, from the Modern History Sourcebook project.", "topic": "Top/Arts/Literature/World_Literature/Serbian", "url": "http://www.fordham.edu/halsall/mod/1450marko.asp"} +{"d:Title": "Sasialit: Literature Of South Asia And The Indian Diaspora", "d:Description": "Discussions of contemporary literature of south Asia, including works by authors of south Asian origin throughout the world.", "topic": "Top/Arts/Literature/World_Literature/South_Asian", "url": "http://sasialit.org/"} +{"d:Title": "South Slavic Library", "d:Description": "Collection of poems and excerpts, guides on using ISO-8859-2 and Unicode encoding standards with HTML documents, forum, and reference to other web resources.", "topic": "Top/Arts/Literature/World_Literature/South_Slavic", "url": "http://www.borut.com/library/"} +{"d:Title": "Yurope Books", "d:Description": "Electronic texts of works by South Slavic authors, HTML version of Danish archive from Slavisk Institut Aarhus, Denmark, and mirror of ASCII version.", "topic": "Top/Arts/Literature/World_Literature/South_Slavic", "url": "http://www.yurope.com/books/"} +{"d:Title": "Spanish Books", "d:Description": "Many pages about Spanish literature from the on line bookstore Spanish-Books.net.", "topic": "Top/Arts/Literature/World_Literature/Spanish", "url": "http://www.spanish-books.net/"} +{"d:Title": "Spanish Literature", "d:Description": "Spanish texts of literature by thirteen authors.", "topic": "Top/Arts/Literature/World_Literature/Spanish", "url": "http://www.spanishromance.com/spanish-language/literature/"} +{"d:Title": "Jorge Guill\u00e9n", "d:Description": "A biography and links about a Spanish poet of \"the Generation of 1927\" from the Academy of American Poets", "topic": "Top/Arts/Literature/World_Literature/Spanish", "url": "http://www.poets.org/poet.php/prmPID/697"} +{"d:Title": "Valle-Incl\u00e1n's \"Barbaric Comedies\"", "d:Description": "English version of the Spanish trilogy by Frank McGuinness, performed at the Edinburgh festival 2000.", "topic": "Top/Arts/Literature/World_Literature/Spanish", "url": "http://www.theguardian.com/culture/2000/aug/16/artsfeatures.edinburghfestival20002/print"} +{"d:Title": "Francisco de Quevedo y Villegas (1580-1645)", "d:Description": "Biographical page on Quevedo from the on line bookstore Spanish-Books.net.", "topic": "Top/Arts/Literature/World_Literature/Spanish", "url": "http://www.spanisharts.com/books/masters/quevedo.htm"} +{"d:Title": "Scourge of the new Spain", "d:Description": "Biographical essay from Guardian Unlimited describes his beliefs and attitudes and the influences that shaped them.", "topic": "Top/Arts/Literature/World_Literature/Spanish/Goytisolo,_Juan", "url": "http://www.theguardian.com/books/2000/aug/12/internationalwriting.books"} +{"d:Title": "Lope de Vega (1562-1635)", "d:Description": "Biography of the Spanish playwright, plus links to all of his works currently in print.", "topic": "Top/Arts/Literature/World_Literature/Spanish/Vega,_Lope_de", "url": "http://www.imagi-nation.com/moonstruck/clsc33.html"} +{"d:Title": "Calderon and Lope de Vega", "d:Description": "A comparison of Spain's two greatest dramatists; includes a list of related links.", "topic": "Top/Arts/Literature/World_Literature/Spanish/Vega,_Lope_de", "url": "http://www.theatredatabase.com/17th_century/calderon_and_lope_de_vega.html"} +{"d:Title": "Daya Dissanayake", "d:Description": "Novels by author Daya Dissanayake: \"The Saadhu Testament\" (first e-novel by an Asian author), \"The Bastard Goddess\", \"The Kat Bitha\", \"The Healer and the Drug Pusher\", and \"The Thirst\".", "topic": "Top/Arts/Literature/World_Literature/Sri_Lankan", "url": "http://www.saadhu.com/"} +{"d:Title": "Lanka Library", "d:Description": "Books, authors, newspaper articles and reviews from Sri Lanka.", "topic": "Top/Arts/Literature/World_Literature/Sri_Lankan", "url": "http://www.lankalibrary.com/books.html"} +{"d:Title": "Martin Wickramasinghe", "d:Description": "The official website of Martin Wickramasinghe; one of Sri Lanka's greatest authors and intellectuals.", "topic": "Top/Arts/Literature/World_Literature/Sri_Lankan", "url": "http://www.martinwickramasinghe.info/"} +{"d:Title": "Project Runeberg - Sweden", "d:Description": "A database of Nordic literature on the Internet. Features more than 12,000 authors, including detailed biographies.", "topic": "Top/Arts/Literature/World_Literature/Swedish", "url": "http://runeberg.org/"} +{"d:Title": "Swedish Book Review", "d:Description": "Bringing articles and reviews of Swedish literature to the English speaking world.", "topic": "Top/Arts/Literature/World_Literature/Swedish", "url": "http://www.swedishbookreview.com/"} +{"d:Title": "Project Runeberg", "d:Description": "Offers electronic editions of August Strindberg's texts, including a list of early translations of his works.", "topic": "Top/Arts/Literature/World_Literature/Swedish/Strindberg,_August", "url": "http://runeberg.org/authors/strindbg.html"} +{"d:Title": "August Strindberg (1849-1912)", "d:Description": "Biography of the playwright, plus links to purchase several works by and about Strindberg.", "topic": "Top/Arts/Literature/World_Literature/Swedish/Strindberg,_August", "url": "http://www.imagi-nation.com/moonstruck/clsc8.htm"} +{"d:Title": "Strindberg Museum", "d:Description": "Features news of events arranged by the museum, a biography, a bibliography, and a photo archive.", "topic": "Top/Arts/Literature/World_Literature/Swedish/Strindberg,_August", "url": "http://www.strindbergsmuseet.se/index_eng.html"} +{"d:Title": "August Strindberg: Monologues", "d:Description": "Includes 17 dramatic monologues translated into English from Strindberg's plays.", "topic": "Top/Arts/Literature/World_Literature/Swedish/Strindberg,_August", "url": "http://www.monologuearchive.com/s/strindberg_august.html"} +{"d:Title": "August Strindberg's Filmography", "d:Description": "The Internet Movie Database's complete list of films based on Strindberg's works.", "topic": "Top/Arts/Literature/World_Literature/Swedish/Strindberg,_August", "url": "http://www.imdb.com/name/nm0834529/"} +{"d:Title": "Cinema.com on \"Miss Julie\"", "d:Description": "Production notes for the movie \"Miss Julie\", filmed in 1999.", "topic": "Top/Arts/Literature/World_Literature/Swedish/Strindberg,_August", "url": "http://cinema.com/film/3755/miss-julie/"} +{"d:Title": "The Social Significance of the Modern Drama", "d:Description": "Includes a chapter on \"The Father\", \"Countess Julie\", and \"Comrades\".", "topic": "Top/Arts/Literature/World_Literature/Swedish/Strindberg,_August/Journals", "url": "http://dwardmac.pitzer.edu/Anarchist_Archives/goldman/socsig/socsigtoc.html"} +{"d:Title": "Moonstruck Drama Bookstore: Friedrich D\u00fcrrenmatt", "d:Description": "Short biography of the Swiss playwright.", "topic": "Top/Arts/Literature/World_Literature/Swiss/D\u00fcrrenmatt,_Friedrich", "url": "http://www.imagi-nation.com/moonstruck/clsc37.html"} +{"d:Title": "Friedrich D\u00fcrrenmatt: Heir of the Existential Tradition", "d:Description": "1961 assessment of the continental writer.", "topic": "Top/Arts/Literature/World_Literature/Swiss/D\u00fcrrenmatt,_Friedrich", "url": "http://www.poetrymagazines.org.uk/magazine/record.asp?id=9682"} +{"d:Title": "Friedrich D\u00fcrrenmatt", "d:Description": "Includes a short story, an interview and essays on D\u00fcrrenmatt.", "topic": "Top/Arts/Literature/World_Literature/Swiss/D\u00fcrrenmatt,_Friedrich", "url": "http://www.press.uchicago.edu/books/durrenmatt"} +{"d:Title": "IMDb: Friedrich D\u00fcrrenmatt", "d:Description": "Filmography. His books, plays, and short stories that have been turned into movies or television broadcasts.", "topic": "Top/Arts/Literature/World_Literature/Swiss/D\u00fcrrenmatt,_Friedrich", "url": "http://www.imdb.com/name/nm0246959/"} +{"d:Title": "The Portland Mercury: Friedrich D\u00fcrrenmatt (1921-1990)", "d:Description": "An introduction to the Swiss writer, his books, and an English-language movie based on his work.", "topic": "Top/Arts/Literature/World_Literature/Swiss/D\u00fcrrenmatt,_Friedrich", "url": "http://www.portlandmercury.com/portland/second_time_around/Content?oid=30599"} +{"d:Title": "Thai Fiction in Translation", "d:Description": "Samples of Thai novels and short stories in English and in French.", "topic": "Top/Arts/Literature/World_Literature/Thai", "url": "http://www.thaifiction.com/"} +{"d:Title": "Postmodernism in Thai Poetry", "d:Description": "Paper analyzing and interpreting a collection of poetry by Thai contemporary poet Saksiri Meesomsueb, Tukta Roi Sai (Sand Trace Dolls). By Soraj Hongladarom.", "topic": "Top/Arts/Literature/World_Literature/Thai", "url": "http://pioneer.netserv.chula.ac.th/~hsoraj/web/Poetry.html"} +{"d:Title": "Sunthorn Phu", "d:Description": "Biography, essay on his life and works, and text of The Story of Phra Abhai Mani.", "topic": "Top/Arts/Literature/World_Literature/Thai", "url": "http://sakchaip.tripod.com/bookworm/sunthorn/"} +{"d:Title": "Introduction to Dede Korkut", "d:Description": "Article by H. B. Paksoy, followed by M. Dadashzade's discussion on the ethnographic information in the Dede Korkut dastan.", "topic": "Top/Arts/Literature/World_Literature/Turkish", "url": "http://www.angelfire.com/on/paksoy/dedekorkut.html"} +{"d:Title": "Nguyen Duc Batngan", "d:Description": "Selected poems in English and Vietnamese, with author and translator information.", "topic": "Top/Arts/Literature/World_Literature/Vietnamese", "url": "http://members.shaw.ca/ndbn/"} +{"d:Title": "Welsh Books Council", "d:Description": "The council aims to stimulate interest in books in Welsh and books of Welsh interest in English. It also promotes the Welsh publishing industry and offers assistance and support to authors. English/Cymraeg.", "topic": "Top/Arts/Literature/World_Literature/Welsh", "url": "http://www.cllc.org.uk/"} +{"d:Title": "A Welsh Literature", "d:Description": "Introduction to Welsh literature.", "topic": "Top/Arts/Literature/World_Literature/Welsh", "url": "http://www.britannia.com/wales/lit/intro.html"} +{"d:Title": "Wikipedia: Mabinogion", "d:Description": "Introductory article.", "topic": "Top/Arts/Literature/World_Literature/Welsh/Mabinogion", "url": "http://en.wikipedia.org/wiki/Mabinogion"} +{"d:Title": "The Mabinogion", "d:Description": "Stories from the classic text, in the translation of Lady Charlotte Guest.", "topic": "Top/Arts/Literature/World_Literature/Welsh/Mabinogion", "url": "http://www.webmesh.co.uk/Mabinogionhomepage.htm"} +{"d:Title": "The Mabinogion", "d:Description": "Background information and texts, as translated by Lady Charlotte Guest.", "topic": "Top/Arts/Literature/World_Literature/Welsh/Mabinogion", "url": "http://www.missgien.net/arthurian/mabinogion/index.html"} +{"d:Title": "Google Books: From the Llyfr Coch O Hergest, and Other Ancient Welsh Manuscripts, Part 3", "d:Description": "Facsimile of Charlotte Guest's translation.", "topic": "Top/Arts/Literature/World_Literature/Welsh/Mabinogion", "url": "http://books.google.com/books?id=LsJgqSFFqkkC"} +{"d:Title": "Google Books: Folklore Studies", "d:Description": "Facsimile of 1878 book containing a monograph on Mabinogion studies by Alfred Nutt.", "topic": "Top/Arts/Literature/World_Literature/Welsh/Mabinogion", "url": "http://books.google.com/books?id=PEIKAAAAIAAJ"} +{"d:Title": "Mother Tongue: Interviews with Musaemura B. Zimunya and Solomon Mutswairo", "d:Description": "Interview with two Zimbabwean poets.", "topic": "Top/Arts/Literature/World_Literature/Zimbabwean", "url": "http://www.unc.edu/~ottotwo/mothertongue.html"} +{"d:Title": "Tsitsi Dangarembga", "d:Description": "Biography, introduction to Nervous Conditions, bibliography and links.", "topic": "Top/Arts/Literature/World_Literature/Zimbabwean", "url": "https://scholarblogs.emory.edu/postcolonialstudies/2014/06/10/dangarembga-tsitsi/"} +{"d:Title": "ArtsCulture", "d:Description": "Articles on music, photography, sculpture and performance arts.", "topic": "Top/Arts/Magazines_and_E-zines", "url": "http://artsculture.newsandmediarepublic.org/"} +{"d:Title": "nthposition", "d:Description": "An eclectic mix of political analysis and strong opinion, art and music reviews, fiction, poetry, and much high weirdness. According to its editor: \"we're no better or worse than any other site, and we have no particular editorial policy apart from a strong preference for good, interesting writing.\"", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.nthposition.com/"} +{"d:Title": "391", "d:Description": "Dada magazine founded in 1917, now engineering post-dadaist viral mindshare.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.391.org/"} +{"d:Title": "Art Villa", "d:Description": "The rules are simple. This e-zine welcomes submissions about poetry, music, art, cats, and dogs.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.artvilla.com/"} +{"d:Title": "Arts Journal", "d:Description": "Daily arts news from more than 100 newspapers, magazines and e-publications.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.artsjournal.com/"} +{"d:Title": "Arts&Opinion", "d:Description": "Aims to give greater exposure to undervalued and under appreciated deserving men and women in the arts.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.artsandopinion.com/"} +{"d:Title": "Thunder Chunky", "d:Description": "Design competitions, interviews and reviews music, design and film.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.thunderchunky.co.uk/"} +{"d:Title": "Oilzine.com", "d:Description": "Book, film, and music reviews, and interviews.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.oilzine.com/"} +{"d:Title": "OZ Artzine", "d:Description": "An Australian free monthly arts e-mailed magazine of visual arts. Contributions are invited.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://oz-artfocus.com/subscribe.htm"} +{"d:Title": "The Open Road", "d:Description": "A now defunct free e-mail e-zine by Todd Kuipers which provided reviews and summaries of other newsletters. Archive of previous reviews is still available.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.sideroad.com/openroad/"} +{"d:Title": "MungBeing", "d:Description": "Online magazine of literature, visual arts and ideas.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.mungbeing.com/"} +{"d:Title": "The-Vu.com", "d:Description": "Monthly online magazine with sections on art, transportation, health, self, Earth, and travel.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.the-vu.com/"} +{"d:Title": "Resource Library Magazine", "d:Description": "Site has information on sponsorships, exhibits, cameos of art collections, biographies of distinguished artists and columnists.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.tfaoi.com/resourc.htm"} +{"d:Title": "bhag", "d:Description": "A e-zine of visual and conceptual exchange, this site covers philosophy, visual art, literary, and shared life experiences.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.bhag.net/"} +{"d:Title": "BBC Online Arts", "d:Description": "Includes poetry, digital arts, arts news, and audio biographies from top artists.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.bbc.co.uk/arts"} +{"d:Title": "Culturekiosque.com", "d:Description": "European guide to arts and leisure worldwide", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.culturekiosque.com/"} +{"d:Title": "Intrepid Media", "d:Description": "Portal and podium for creative people; writers, musicians, graphic artists, publishers, or producers.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://intrepidmedia.com/"} +{"d:Title": "IOnOne", "d:Description": "Art, architecture, music, painting and photography are all represented here.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.ionone.com/"} +{"d:Title": "Ishmael Reed Publications: Konch and Vines", "d:Description": "Pre-eminent African American literary figure, Ishmael Reed, lends his name to two e-zines features many different authors of science fiction, poems, and articles.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.ishmaelreedpub.com/"} +{"d:Title": "It's Art Baby! Art!", "d:Description": "Online art and artist magazine. \"Art for the new Millennium.\"", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.artbabyart.com/"} +{"d:Title": "TheImproper.com", "d:Description": "A Manhattan-based arts and entertainment publication.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.theimproper.com/"} +{"d:Title": "The Scream Online", "d:Description": "Quarterly e-zine for art, photography, and a diverse range of literature spanning poetry, short stories, essays, humor, and commentaries. Derived its name from Edvard Munch's painting The Scream.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.thescreamonline.com/"} +{"d:Title": "Shakefire", "d:Description": "Movie and music reviews, interviews, news and contests.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.shakefire.com/"} +{"d:Title": "Scribe Weekly", "d:Description": "Film, television and music reviews. Three day UK weather forecast, recipes and travel features.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.scribeweekly.com/"} +{"d:Title": "ducts", "d:Description": "An elegant webzine of art, humor, and criticism featuring essays, memoirs, fiction, humor, relationship advice, photography, and music.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://ducts.org/"} +{"d:Title": "Drunken Boat", "d:Description": "A big selection of poetry, web art, prose, and sound ranging from the quirky to the somber.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.drunkenboat.com/"} +{"d:Title": "Turk's Head Review", "d:Description": "Running weblog, fiction, poetry, art, reviews of books and music, threads and knots of semantic strings.", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://turksheadreview.tumblr.com/"} +{"d:Title": "Think Magazine", "d:Description": "A magazine about music, art, fashion and modern urban culture, with an eye to covering interesting new trends", "topic": "Top/Arts/Magazines_and_E-zines/E-zines", "url": "http://www.think-magazine.com/"} +{"d:Title": "artcult", "d:Description": "Dedicated to art and its international market, over 11,000 pages of news, biographies, analyses, comments and features in English and French", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.artcult.fr/"} +{"d:Title": "Tanbou / Tambour", "d:Description": "A trilingual Haitian journal of political and literary studies. Articles from current and past issues.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.tanbou.com/"} +{"d:Title": "zingmagazine", "d:Description": "Collaborative exchange and interdisciplinary viewpoints about the arts. Current and past articles, multimedia presentations, chat.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.zingmagazine.com/"} +{"d:Title": "International Gallerie", "d:Description": "Semiannual of the visual arts, music, photography, theatre and other performing arts, and travel. Includes abstracts and extracts from current and archived issues.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://gallerie.net/"} +{"d:Title": "New Mexico Santos", "d:Description": "A quarterly focusing on Hispanic art and culture in the American Southwest. Contents of back issues, event calendar, discussion of travelling exhibit.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://nmsantos.com/"} +{"d:Title": "Borbonesa", "d:Description": "Representing a collective of practising artists and makers.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.borbonesa.co.uk/"} +{"d:Title": "Canadian Art", "d:Description": "Quarterly with articles on Canadian and international visual art, a national guide to exhibitions and events in the visual arts, and book reviews. Current issue and exhibition calendars by region.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://canadianart.ca/"} +{"d:Title": "Frieze", "d:Description": "Contemporary art, literature, and architecture. Includes the Frieze 100 - the hundred best shows around the world, artists' projects, a German language area, news and reviews.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.frieze.com/"} +{"d:Title": "The Art Newspaper", "d:Description": "News of events in and affecting the world of visual arts. A network of five print papers with separate editorial offices in London, New York, Turin, Paris, Madrid and Athens. Full articles, forum, and art-related employment advertising.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.theartnewspaper.com/"} +{"d:Title": "Riksha", "d:Description": "Dedicated to promoting artistic and literary works by and about Asian Americans. Articles, gallery, and news.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.riksha.com/"} +{"d:Title": "Clique Magazine", "d:Description": "Intelligent comment, music, fashion, photography, film, and theatre. PDF and information on the print version.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.angelfire.com/journal2/clique/"} +{"d:Title": "Cakewalk Magazine", "d:Description": "Art, music, film, and sometimes the natural sciences. Contents of current and past issues.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.cakewalkmag.com/"} +{"d:Title": "FOUND magazine", "d:Description": "Photographs of found objects. Images and RealAudio samples, and they accept actual found objects by mail.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.foundmagazine.com/"} +{"d:Title": "Artforum", "d:Description": "News and critiques of exhibitions in the visual arts, with a contemporary focus. Includes world news, critics' picks, live symposia, interviews, archives, event calendar, and links to related sites.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.artforum.com/"} +{"d:Title": "Artis Spectrum", "d:Description": "New York-based publication in collaboration with Agora Gallery. Provides listings and reviews of current exhibitions.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.artisspectrum.com/"} +{"d:Title": "Showcased", "d:Description": "A project for musicians, artists, writers, and the rest of the creative community based in Kent, Ohio. Includes online version of current issue, links and contact data for artists mentioned, and publication information.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.showcased.freeservers.com/"} +{"d:Title": "h2so4", "d:Description": "A magazine dedicated to provoking thought on politics and philosophy, art and love. Sample articles from the print version, orders for subscriptions and single issues, and submission information.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.h2so4.net/"} +{"d:Title": "World Newspapers: Art Magazines", "d:Description": "Directory provides links to art magazines with free online content.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.world-newspapers.com/arts.html"} +{"d:Title": "Aspen Magazine", "d:Description": "Archive of the multimedia magazine of the arts published from 1965 to 1971. Includes audio and video exhibits of all of the sound and film material from each issue, as well as the printed materials.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.ubu.com/aspen/index.html"} +{"d:Title": "Nerve", "d:Description": "Articles and reviews of grassroots arts and culture in Liverpool and its surroundings.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.catalystmedia.org.uk/"} +{"d:Title": "Diamondpaper", "d:Description": "Diamondpaper is made with the objective of presenting a variety of artistic ideas in a large format edition brochure.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.diamondpaper.net/"} +{"d:Title": "Echoes", "d:Description": "Filled with stories, poems, and drawings by people in all walks of life. It is enjoyable, and not afraid to be thought-provoking.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://echoesmagazine.com/"} +{"d:Title": "Esopus", "d:Description": "A twice-yearly journal featuring aspects of the contemporary cultural landscape from artists, writers, filmmakers, musicians, and other creative professionals.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.esopusmag.com/"} +{"d:Title": "Yasse", "d:Description": "offering a varied selection of pieces covering art, literature, poetry, and cultural aesthetics.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.yasse.org/"} +{"d:Title": "X-Tra Online", "d:Description": "Quarterly journal published to promote and provoke critical discourse about contemporary visual art in Los Angeles, and beyond. Includes selected articles and information on organization, donations, and advertising.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.x-traonline.org/"} +{"d:Title": "World of Art", "d:Description": "Featured articles include profiles of artists and galleries, updates on art literature and materials, show reviews, gallery news and event schedules, and reporting on the arts, personalities, trends, and events.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.worldofartmagazine.com/"} +{"d:Title": "Maisonneuve", "d:Description": "Bimonthly magazine that's eclectic, slightly eccentric, cross-border, and international in content. Articles from the current issue and the archives, advertiser information, and how to subscribe.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.maisonneuve.org/"} +{"d:Title": "Artreview.com", "d:Description": "Social networking site for the artworld, creating a global forum for discussion, interactivity and debate.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.artreview.com/"} +{"d:Title": "CHURN", "d:Description": "Visual arts magazine designed to serve the art buyer and gallery visitor. Paintings, drawings, sculpture, and photography. Images, comments and articles from current issue.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://churnmag.com/"} +{"d:Title": "FUSE", "d:Description": "Writing that examines the relationship between political issues and contemporary art and culture. Selected articles from current and past issues and index of archives.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.fusemagazine.org/"} +{"d:Title": "The Hill Magazine", "d:Description": "Arts and Literature magazine based in Cambridge University.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.hillmag.com/"} +{"d:Title": "Variant", "d:Description": "Scottish-based arts and culture publication which aims at examining arts in a social context. Includes archived articles from the free printed tabloid format.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://www.variant.org.uk/"} +{"d:Title": "Yishu", "d:Description": "Academic journal of contemporary Chinese art and culture published quarterly. Essays on topical matters, conferences, exhibitions and books.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://yishu-online.com/"} +{"d:Title": "Reasononline: Culture", "d:Description": "A collection of articles from the libertarian magazine examining culture and its relationship to society.", "topic": "Top/Arts/Magazines_and_E-zines/Magazines", "url": "http://reason.com/tags/culture"} +{"d:Title": "European Film Academy", "d:Description": "EFA's most visible activity is the annual presentation of the European Film Awards, formerly known under the name FELIX. History, members, news, events, and past winners.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.europeanfilmacademy.org/"} +{"d:Title": "Hollywood Award Shows", "d:Description": "A guide to the various Hollywood award shows and events, and how the public can participate.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.seeing-stars.com/Awards/"} +{"d:Title": "Chlotrudis Awards", "d:Description": "Honors and supports independent and foreign films; seeking to recognize movies based on their artistic merit, not tickets sales. Awards, movies, and organization information.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.chlotrudis.org/"} +{"d:Title": "Infoplease.com: Movie Awards", "d:Description": "In-depth coverage of all the major awards and critics societies pick.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.infoplease.com/ipa/A0777584.html"} +{"d:Title": "British Independent Film Awards", "d:Description": "Celebrates achievement in independently funded British filmmaking. Includes information about award winners.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.bifa.org.uk/"} +{"d:Title": "Chicago Film Critics Association", "d:Description": "Features general information and CFCA's award winners.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.chicagofilmcritics.org/"} +{"d:Title": "New York Film Critics Circle", "d:Description": "Includes archive of NYFCC's award winners from 1935 to the present.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.nyfcc.com/"} +{"d:Title": "The Golden Raspberry Award Foundation", "d:Description": "Presenters of \"The Razzie Awards,\" saluting the worst that Hollywood has to offer each year.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.razzies.com/"} +{"d:Title": "Manunuri ng Pelikulang Pilipino", "d:Description": "Official website of this society of film critics that awards the annual Gawad Urian for cinematic excellence. Announcement of quarterly citations.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.manunuri.com/"} +{"d:Title": "Cosmique Movie Awards", "d:Description": "Movie fans in San Francisco honor the best and worst films from the preceding year in a variety of categories. Winners, history, voting process, and related information.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.cosmomovieawards.com/"} +{"d:Title": "The Genie Awards", "d:Description": "Annual ceremony celebrating Canada's cinematic achievements. Includes history, past and present nominees, and winners.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.genieawards.ca/"} +{"d:Title": "Virgin.net Movie Awards Galleries", "d:Description": "Image galleries of movie award ceremonies from around the world, including the Oscars and BAFTAs, with commentary.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.virginmedia.com/movies/galleries/"} +{"d:Title": "Environmental Media Awards", "d:Description": "Honors film and TV productions that increase public awareness of environmental problems and inspire action.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.ema-online.org/"} +{"d:Title": "Filmsexposure", "d:Description": "International award for low budget films and their crews. Agenda, sponsors, entry details, prize details, and contact information.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.filmsexposure.com/"} +{"d:Title": "Alex Fung's Film Page", "d:Description": "Winners and related data to many film industry awards and critic lists worldwide, as well as film festivals. Latest information and archives.", "topic": "Top/Arts/Movies/Awards", "url": "http://web.ncf.ca/aw220/"} +{"d:Title": "Online Film Critics Society", "d:Description": "Includes list of OFCS award nominees and winners since 1997.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.ofcs.org/"} +{"d:Title": "World Film Awards", "d:Description": "Features films in languages other than English that have either won or been nominated for prestigious world cinema awards. Includes trailers and movies organized by year, country, language or director.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.world-film-awards.com/"} +{"d:Title": "MTV Movie Awards", "d:Description": "Nominees, online voting, past winners, presenters, press coverage and links.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.mtv.com/ontv/movieawards/archive/"} +{"d:Title": "IMDb: Awards Browser", "d:Description": "Catalog of both recent and historical award ceremonies and film festivals.", "topic": "Top/Arts/Movies/Awards", "url": "http://www.imdb.com/Sections/Awards/"} +{"d:Title": "20/20 Awards", "d:Description": "A film group of industry professionals in Seattle, WA and an awards ceremony recognizing films that stand a 20-year test of time.", "topic": "Top/Arts/Movies/Awards", "url": "http://2020awards.org/"} +{"d:Title": "Academy of Motion Picture Arts and Sciences", "d:Description": "Official site of the Academy with history and general information on the Academy Awards, as well as photographs, events and screenings, and press releases. Also provides the official winners database.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://oscars.org/"} +{"d:Title": "Cuadra Associates Movie-Star Database", "d:Description": "A database of Academy Awards history, 1927 to the present, providing search by year, category, film title, nominee, or winner.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.cuadra.com/moviestar.html"} +{"d:Title": "Moviefone: Academy Awards", "d:Description": "Stay up to date on the awards buzz. Includes show highlights, nominees, and news.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.moviefone.com/oscars-academy-awards"} +{"d:Title": "Sijmen's Oscar Experiment", "d:Description": "Fan predicts the Oscar nominees with his 'scientific' formula, with databases and analysis. Links to news, related sites, and articles.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://oscarsijmen.freehostia.com/"} +{"d:Title": "Wikipedia: Academy Award", "d:Description": "Encyclopedic article on the film award's history, origins, and judging criteria, with links to related articles on award categories.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://en.wikipedia.org/wiki/Academy_Award"} +{"d:Title": "The Guardian Film: Oscars 2009", "d:Description": "Special report with news, commentary, blogs, photos and videos about the Academy Awards and the nominees. Includes archives of past years and related topics.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.theguardian.com/film/oscars2009"} +{"d:Title": "Yahoo! Movies: Coverage of the Annual Academy Awards", "d:Description": "News coverage of the Oscars, including photograph galleries, nominee and winners lists, trivia, video, and links.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://movies.yahoo.com/oscars/"} +{"d:Title": "Oscar Magic", "d:Description": "Unofficial wiki with facts, photographs, trivia, and videos.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://oscarmagic.wikifoundry.com/"} +{"d:Title": "The Envelope: Academy Awards", "d:Description": "Weblog, image galleries, broadcast details, an online pool game, nominee listing and past awards database.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.latimes.com/entertainment/envelope/"} +{"d:Title": "Digital Hit: Academy Awards", "d:Description": "The nerve center of Digital Hit Entertainment's yearly coverage. Stories, events, and discussions.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.digitalhit.com/academy-awards/"} +{"d:Title": "Today: Behind the scenes at the Academy Awards", "d:Description": "News, commentary and photos about the annual awards.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.today.com/id/29336443"} +{"d:Title": "IMDb: Academy Awards", "d:Description": "Information on the event and awards, with results of all Best Pictures, as well as dates, locations, and hosts.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.imdb.com/oscars/"} +{"d:Title": "TV.com: The Academy Awards", "d:Description": "Provides ceremony broadcast recaps, reviews, and trivia.", "topic": "Top/Arts/Movies/Awards/Academy_Awards", "url": "http://www.tv.com/shows/the-academy-awards/"} +{"d:Title": "Wikipedia: Academy Award Winners", "d:Description": "Information provided in organized subcategories.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients", "url": "http://en.wikipedia.org/wiki/Category:Academy_Award_winners"} +{"d:Title": "AggData", "d:Description": "Provides a downloadable comma-separated list of nominees and winners from 1927-2008, excluding special achievement awards.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients", "url": "http://www.aggdata.com/awards/oscar"} +{"d:Title": "Wikipedia: Academy Award for Best Actor", "d:Description": "History, list of winners and nominees organized by decade, nationalities provided, and related links.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Actor", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Actor"} +{"d:Title": "Wikipedia: Academy Award for Best Actress", "d:Description": "History, list of winners and nominees organized by decade, nationalities provided, and related links.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Actress", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Actress"} +{"d:Title": "Wikipedia: Academy Award for Best Animated Feature", "d:Description": "History, criteria, list of winners and nominees organized by decade, and related links.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Animated_Feature", "url": "http://en.wikipedia.org/wiki/Best_Animated_Feature"} +{"d:Title": "Wikipedia: Academy Award for Costume Design", "d:Description": "List of winners and nominees organized by decade and related links.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Costume_Design", "url": "http://en.wikipedia.org/wiki/Best_Costume_Design"} +{"d:Title": "Wikipedia: Academy Award for Best Director", "d:Description": "History, list of winners and nominees organized by decade, and related links.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Director", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Director"} +{"d:Title": "Wikipedia: Academy Award for Best Foreign Language Film", "d:Description": "Detailed history of the award with eligibility and nomination information. Includes criticisms and controversies. Provides related links to a list of winners and nominees, and awards by nations.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Foreign_Language_Film", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Foreign_Language_Film"} +{"d:Title": "Wikipedia: Academy Award for Best Original Score", "d:Description": "A history of the award is featured, including winners and nominees organized by year.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Original_Score", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Original_Score"} +{"d:Title": "Wikipedia: Academy Award for Best Picture", "d:Description": "History, list of winners and nominees organized by decade, milestones, and related links.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Picture", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Picture"} +{"d:Title": "Wikipedia: Academy Award for Best Supporting Actor", "d:Description": "Provides a detailed history of the award and a complete list of winners and nominees.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Supporting_Actor", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Supporting_Actor"} +{"d:Title": "Wikipedia: Academy Award for Best Supporting Actress", "d:Description": "Provides a detailed history of the award and a complete list of winners and nominees.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Best_Supporting_Actress", "url": "http://en.wikipedia.org/wiki/Academy_Award_for_Best_Supporting_Actress"} +{"d:Title": "Academy of Motion Picture Arts and Sciences: Irving G. Thalberg Memorial Award", "d:Description": "Provides a history of the award.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Irving_G._Thalberg_Memorial_Award", "url": "http://www.oscars.org/governors/thalberg"} +{"d:Title": "Wikipedia: Jean Hersholt Humanitarian Award", "d:Description": "A short profile of the award is featured, as well as a complete list of winners.", "topic": "Top/Arts/Movies/Awards/Academy_Awards/Recipients/Jean_Hersholt_Humanitarian_Award", "url": "http://en.wikipedia.org/wiki/Jean_Hersholt_Humanitarian_Award"} +{"d:Title": "Daikaiju Enterprises", "d:Description": "Produces G-FAN Magazine dedicated to coverage of Godzilla, Gamera and other film giant monsters.", "topic": "Top/Arts/Movies/Characters", "url": "http://www.g-fan.com/"} +{"d:Title": "The Case of the Swapped Sleuths", "d:Description": "Covers the various portrayals of film series sleuths like the Lone Wolf, Nick Charles and the Falcon.", "topic": "Top/Arts/Movies/Characters", "url": "http://www.boxofficeprophets.com/trager/sleuths.asp"} +{"d:Title": "Heroes and Monsters", "d:Description": "Guide focusing on horror, fantasy, science fiction, and adventure film characters. Listings include detailed profiles and photographs.", "topic": "Top/Arts/Movies/Characters", "url": "http://heroesandmonsters.blogspot.com/"} +{"d:Title": "Movie Forums", "d:Description": "Venue for fans to discuss their favorite films.", "topic": "Top/Arts/Movies/Chats_and_Forums", "url": "http://www.movieforums.com/"} +{"d:Title": "Mobius", "d:Description": "Moderated discussion forum on art house, cult, science fiction, horror, European cult, and Asian cinema.", "topic": "Top/Arts/Movies/Chats_and_Forums", "url": "http://s8.invisionfree.com/MHVF/"} +{"d:Title": "MatchFlick", "d:Description": "A social networking community where film lovers may post their own movie reviews and get feedback.", "topic": "Top/Arts/Movies/Chats_and_Forums", "url": "http://www.matchflick.com/"} +{"d:Title": "JoBlo's Movie Club", "d:Description": "Film fan discussion, movie forums, cinematic debates and information about movies.", "topic": "Top/Arts/Movies/Chats_and_Forums", "url": "http://www.joblo.com/forums/"} +{"d:Title": "Internet Movie Database - Message Boards", "d:Description": "Discuss a wide variety of film, TV, and celebrity related topics with your fellow IMDb users.", "topic": "Top/Arts/Movies/Chats_and_Forums", "url": "http://www.imdb.com/boards/"} +{"d:Title": "Filmcrave", "d:Description": "Forum to discuss movies, rumors, DVDs, and Oscar(R) contenders. View general discussions, talk about TV series, or add a comment.", "topic": "Top/Arts/Movies/Chats_and_Forums", "url": "http://www.filmcrave.com/movie_talk.php"} +{"d:Title": "Criticker.com Forums", "d:Description": "A film recommendations community and user forum.", "topic": "Top/Arts/Movies/Chats_and_Forums", "url": "https://www.criticker.com/forum/"} +{"d:Title": "The Sounds Of Classic Hollywood Contest", "d:Description": "Listen to sound bites from classic movies and try to win a video and a replica of the \"Maltese Falcon\" statue.", "topic": "Top/Arts/Movies/Contests", "url": "http://www.classicmoviecorner.com/contest/"} +{"d:Title": "Track Sounds: Movie Caption Contest", "d:Description": "Post a caption for a movie scene and you may win from various movie prize packs.", "topic": "Top/Arts/Movies/Contests", "url": "http://www.tracksounds.com/specialfeatures/contests/caption/"} +{"d:Title": "The Movie Insider: Contests&Promotions", "d:Description": "Offers a variety of contests and sweepstakes to win a variety of prizes from posters to other movie memorabilia.", "topic": "Top/Arts/Movies/Contests", "url": "http://www.themovieinsider.com/contests/"} +{"d:Title": "Tribute - Contests", "d:Description": "Enter from several contests featuring box-office hits.", "topic": "Top/Arts/Movies/Contests", "url": "http://www.tribute.ca/contests/"} +{"d:Title": "eFilmCritic DVD Contests", "d:Description": "Features multiple contests including DVD and movie memorabilia giveaways.", "topic": "Top/Arts/Movies/Contests", "url": "http://www.efilmcritic.com/forum/viewforum.php?f=9384"} +{"d:Title": "About.com: World Film", "d:Description": "Online community and movie resource guide for independent film and world cinema. Includes actors and actresses, anime, cinematography, directors, and film festivals.", "topic": "Top/Arts/Movies/Cultures_and_Groups", "url": "http://worldfilm.about.com/"} +{"d:Title": "Bozwell's Foreign Films", "d:Description": "Searchable database of film reviews.", "topic": "Top/Arts/Movies/Cultures_and_Groups", "url": "http://www.fivefirs.com/ForFilms/index.htm"} +{"d:Title": "The Film Study Center at Harvard", "d:Description": "Comprehensive film and photographic studies of whole cultures. History and profile of center, resources, archive, and other miscellaneous information.", "topic": "Top/Arts/Movies/Cultures_and_Groups", "url": "http://fas.harvard.edu/~fsc/"} +{"d:Title": "Foreign Films For You", "d:Description": "Reviews of various titles by Joe Yang, arranged by genre and title. Also includes opinion articles, information about obtaining home video copies, and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups", "url": "http://www.foreign-films-for-you.com/"} +{"d:Title": "IMDb: Language Browser", "d:Description": "Guide to movies that are produced or filmed in a language other than English. Browse or search by nationality, language, or dialect.", "topic": "Top/Arts/Movies/Cultures_and_Groups", "url": "http://www.imdb.com/language/"} +{"d:Title": "Wikipedia - African Cinema", "d:Description": "Hyperlinked encyclopedia article covers the film industry since the colonial period, filmmakers, directors and actors.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African", "url": "http://en.wikipedia.org/wiki/African_cinema"} +{"d:Title": "Michigan State University - African Media Program", "d:Description": "Online, comprehensive database of films, videos and other audio-visual materials concerning Africa as well as education services about African media. Includes information about the program and additional resources.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African", "url": "http://www.africanmedia.msu.edu/"} +{"d:Title": "Africa Film WebMeeting", "d:Description": "Archives (Feb 96 to Feb 99) of the African Cinema Conference mailing list.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African", "url": "http://web.mit.edu/course/21/21f.853/africa-film/"} +{"d:Title": "New African Cinema", "d:Description": "Francoise Pfaff (1996). Originally published in Cineaste 22(4).", "topic": "Top/Arts/Movies/Cultures_and_Groups/African", "url": "http://www.lib.berkeley.edu/MRC/newafricancinema.html"} +{"d:Title": "African Films Database", "d:Description": "Compiles information about movies by African filmmakers and/or dealing with African themes. Listings are broken down geographically and include basic film information, synopses, and links to reviews. Also includes a weblog.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African", "url": "http://www.filmsofafrica.com/"} +{"d:Title": "H-AfrLitCine Discussion Network", "d:Description": "H-Net discussion list dealing with African Literature and Cinema. Includes book reviews and searchable archives.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African", "url": "https://networks.h-net.org/h-afrlitcine"} +{"d:Title": "Stanford University - Africa South of the Sahara: Films and Videos", "d:Description": "Annotated directory of links to web resources.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African", "url": "http://library.stanford.edu/areas/african-collections/african-studies-resources/african-films"} +{"d:Title": "Organization of Black Screenwriters", "d:Description": "Organization whose aim is to further the development of black screenwriters via membership and writing contests.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.obswriter.com/"} +{"d:Title": "3 Black Chicks Review", "d:Description": "Views on current films, sneak previews, and industry commentary from three African American females.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.3blackchicks.com/"} +{"d:Title": "Black Film Center/Archive", "d:Description": "A repository of films and related materials by and about African Americans, at Indiana University.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.indiana.edu/~bfca/"} +{"d:Title": "Black Film", "d:Description": "Reviews, interviews with stars, an image gallery, and information about new movies and available postions.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.blackfilm.com/"} +{"d:Title": "Red Carnelian Films", "d:Description": "Films by African-American, Jamaican, and African writers and directors. Includes streaming video samples.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.ayoka.com/"} +{"d:Title": "Blackflix", "d:Description": "Guide to African-American films, with news, reviews, articles, interviews, and other resources.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.blackflix.com/"} +{"d:Title": "Black Hollywood Education and Resource Center", "d:Description": "A nonprofit organization that highlights and catalogs African American contributions to the film industry.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.bherc.org/"} +{"d:Title": "African American Film Critics Association", "d:Description": "A professional association promoting African American film productions and providing critique of cinema overall.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.aafca.com/"} +{"d:Title": "Black Film Research Online", "d:Description": "A resource guide for the study of African American film and film culture, hosted by the University of Chicago.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://bfro.uchicago.edu/"} +{"d:Title": "Midnight Ramble: 1940s", "d:Description": "A look at 1940s Black Hollywood, includes movie stills.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African-American", "url": "http://www.moderntimes.com/palace/black/"} +{"d:Title": "Interview with Djibril Diop Mambety", "d:Description": "A very short interview from 1993.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African/Directors", "url": "http://www.itutu.com/djibril/"} +{"d:Title": "Cascade Festival of African Films (CFAF)", "d:Description": "Official site of annual festival features movies and documentaries from the African continent. Includes calendar, films, mailing list, sponsors and press. Oregon, USA.", "topic": "Top/Arts/Movies/Cultures_and_Groups/African/Film_Festivals", "url": "http://www.africanfilmfestival.org/"} +{"d:Title": "Cinemasie", "d:Description": "An open database for Asian movies, with movie files, visitors' reviews, photo galleries, interviews and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.cinemasie.com/"} +{"d:Title": "24 Frames Per Second", "d:Description": "Cult Asian, world, and horror movies news and reviews since 2008.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.24framespersecond.net/"} +{"d:Title": "Asian Movie Database", "d:Description": "Allows searching by title, people, location, language, genre, or year. Listings include general information, reviews, cast and crew details, multimedia, and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.asiandb.com/"} +{"d:Title": "EasternKicks.com", "d:Description": "Dedicated to East Asian film, featuring film reviews and filmmaker and actor interviews.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.easternkicks.com/"} +{"d:Title": "3rd I", "d:Description": "Promotes South Asian independent cinema in New York, Los Angeles, and San Francisco Bay Area. Monthly screenings, resources, and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.thirdi.org/"} +{"d:Title": "LoveAsianFilm.com", "d:Description": "Reviews, features and news items.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.loveasianfilm.com/"} +{"d:Title": "Asianmovieweb", "d:Description": "Reviews of movies and soundtracks, with ratings, links and some images.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.asianmovieweb.com/"} +{"d:Title": "East Asian Movie And Drama Blog", "d:Description": "News, reviews and comments on the latest and most popular East Asian movies and drama serials.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://asian-movie-drama.blogspot.com/"} +{"d:Title": "Asian Movies Catalogue", "d:Description": "Database of movies from East Asia (Japanese, Chinese, Korean, Thai, etc), featuring short descriptions, information about actors and actresses, directors and everything related.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://asiacue.com/"} +{"d:Title": "Echoes of Old Hollywood", "d:Description": "Review of Youssef Chahine's Destiny and Aktan Abdikalikovy's The Adopted Son, by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.chicagoreader.com/movies/archives/1999/0499/04029.html"} +{"d:Title": "AsiaBeam", "d:Description": "Gallery of posters, wallpapers, screenshots from famous asian movies, photos of popular asian actors and actresses.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://asiabeam.com/"} +{"d:Title": "Japan Cinema", "d:Description": "Reviews of Asian films by Marcello M.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://japancinema.net/"} +{"d:Title": "Asian Movie Pulse", "d:Description": "Weblog with several contributors featuring news, previews, reviews, and commentary on Asian film. Also includes a discussion forum.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.asianmoviepulse.com/"} +{"d:Title": "Snowblood Apple", "d:Description": "Asian extreme cinema reviews site, with images, links and downloads.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.snowbloodapple.com/"} +{"d:Title": "Drama Cafe", "d:Description": "Reviews current drama and music from East Asia. The site also provides cultural information.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://www.dramacafe.co.uk/"} +{"d:Title": "AsianWiki", "d:Description": "User-editable database of Asian films, television shows, performers, and filmmakers.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://asianwiki.com/"} +{"d:Title": "Bright Lights Film Journal: Hollywood Yellowface", "d:Description": "Article on the history of yellowface by Robert B. Ito.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://brightlightsfilm.com/certain-slant-brief-history-hollywood-yellowface"} +{"d:Title": "Bright Lights Film Journal: Asian", "d:Description": "Asian films reviewed in Bright Lights Film Journal.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://brightlightsfilm.com/category/genres/asian/"} +{"d:Title": "Asian Cinema Drifter", "d:Description": "Brief reviews of films from various Asian film industries.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian", "url": "http://acdrifter.blogspot.co.uk/"} +{"d:Title": "Chinese Cinema Page", "d:Description": "Contains reviews, links, and resources by Shelly Kraicer.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://www.chinesecinemas.org/"} +{"d:Title": "Chinese Movie Database", "d:Description": "A searchable database of films made in China, Hong Kong, Taiwan and other regions from 1905 to present. Available in both Chinese and English.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://www.dianying.com/en/"} +{"d:Title": "Chinese Movies/Films", "d:Description": "Includes links to reviews of movies from China, Hong Kong and Taiwan.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://chineseculture.about.com/cs/movies/"} +{"d:Title": "Film in China", "d:Description": "News about the Chinese film industry, with film reviews and articles.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://www.china.org.cn/english/features/film/84528.htm"} +{"d:Title": "Cinema of China - Wikipedia", "d:Description": "Concise history of Chinese filmmaking", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://en.wikipedia.org/wiki/Cinema_of_China"} +{"d:Title": "dGenerate Films", "d:Description": "US distribution service of independently-made Chinese contemporary film. Features a catalogue of available titles and a news/reviews blog.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://www.dgeneratefilms.com/"} +{"d:Title": "IMDb: Cantonese", "d:Description": "Listing of films with Cantonese dialogue.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://www.imdb.com/language/yue"} +{"d:Title": "IMDb: Mandarin", "d:Description": "Listing of films with Mandarin dialogue.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese", "url": "http://www.imdb.com/language/cmn"} +{"d:Title": "Chen Kaige", "d:Description": "Filmography as a director.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Chinese/Directors", "url": "http://www.imdb.com/name/nm0155280/"} +{"d:Title": "Hong Kong Cinema", "d:Description": "Information, news and reviews on many famous Kung Fu films and stars.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://www.hkcinema.co.uk/"} +{"d:Title": "Love HK Film.com", "d:Description": "A comprehensive website featuring indepth reviews(new and archived)about Hong Kong Cinema.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://www.lovehkfilm.com/"} +{"d:Title": "Heroic Cinema", "d:Description": "The guide to finding Hong Kong Movies in Australia.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://www.heroic-cinema.com/"} +{"d:Title": "Hong Kong Film Awards", "d:Description": "Film critics' choice of ten films annually since 1982.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://www.hkfaa.com/"} +{"d:Title": "The Taoist Priest in Hong Kong Cinema", "d:Description": "Essay about Taoism as it is represented in popular films and embodied in 'action priest' characters.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://www.illuminatedlantern.com/cinema/archives/taoist_priests_and_hopping_vampires.php"} +{"d:Title": "Hong Kong Eclectic", "d:Description": "A filmbuff site for Hong Kong Cinema featuring reviews and pictures.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://home.alphalink.com.au/~jacques/HKong/Welcome.html"} +{"d:Title": "Hong Kong Film Net", "d:Description": "General Hong Kong movie site with hundreds of reviews plus multimedia, glossary, links, video games, etc.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://www.hkfilm.net/"} +{"d:Title": "The Girls Guide to Hong Kong Movies", "d:Description": "Provides a female-centric view of who and what to watch in Hong Kong cinema.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://girlsguidetohkmovies.com/"} +{"d:Title": "Shaw Brothers", "d:Description": "An unofficial fan site for the Kings of Asian Cinema. This site has information, pictures, movie lists and a message board.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://shawstudios.com/"} +{"d:Title": "The Gweilo's Guide to Hong Kong Movies", "d:Description": "Indepth Hong Kong movie reviews from an American perspective.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong", "url": "http://www.gweilosguide.com/"} +{"d:Title": "Hong Kong Superstars", "d:Description": "Profiles, image galleries and news articles regarding various Hong Kong actors, actresses, and music artists.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong/Actors_and_Actresses", "url": "http://www.hkss.com/"} +{"d:Title": "Hong Kong Movie DataBase", "d:Description": "Extensive information about Hong Kong movies by genre, year, and title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong/Databases", "url": "http://www.hkmdb.com/"} +{"d:Title": "Hong Kong Film Critics Society", "d:Description": "Profile of the group, award winners, reviews, essays, and interviews. In English and Chinese.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong/Organizations", "url": "http://www.filmcritics.org.hk/"} +{"d:Title": "Hong Kong Film Archive", "d:Description": "Includes information about archives, screenings, seminars, and related publications.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Hong_Kong/Organizations", "url": "http://www.lcsd.gov.hk/CE/CulturalService/HKFA/"} +{"d:Title": "Realm of Shades", "d:Description": "Article on Japanese ghost films from the Metro Times by Deborah Hochberg.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.metrotimes.com/editorial/story.asp?id=779"} +{"d:Title": "Black Moon Reviews", "d:Description": "Reviews of Japanese cinema and anime.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://theblackmoon.com/Deadmoon/deadmoon.html"} +{"d:Title": "Midnight Eye", "d:Description": "Japanese cult cinema news. Articles, reviews, and DVD releases.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.midnighteye.com/"} +{"d:Title": "Unearthed Films", "d:Description": "Official website of US company who have the exclusive film rights to the Japanese 'Guinea Pig' series, featuring plot outlines, streaming trailers, a history of the genre and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.unearthedfilms.com/"} +{"d:Title": "Modern Japan - Entertainment - Movies", "d:Description": "An introduction to the movie industry in Japan.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.japan-zone.com/modern/movie.shtml"} +{"d:Title": "Toho Kingdom", "d:Description": "Fan site for the Toho production company. Film directory, character biographies, staff database, soundtrack guide, DVD listings, information on tie-in books and comics, box office reports, news, upcoming releases, reviews, multimedia, feature articles, and related links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.tohokingdom.com/"} +{"d:Title": "SaruDama.com", "d:Description": "Indepth reviews of Japanese film, with elements of folklore, history and ratings.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.sarudama.com/"} +{"d:Title": "Junji Ito - Mutagene", "d:Description": "Fansite dedicated to Junji Ito, Japan's top horror manga artist/writer, covering all the film adaptations of his work, reviews and images.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://junjiito.trilete.net/"} +{"d:Title": "Cinema.3Yen.com", "d:Description": "Japanese cinema weblog featuring short reviews, links, and trailers.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://cinema.3yen.com/"} +{"d:Title": "Mark Schilling's Tokyo Ramen", "d:Description": "Reviews and articles about Japanese films and pop culture by Mark Schilling, reviewer for The Japan Times and reporter for Screen International.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://japanesemovies.homestead.com/"} +{"d:Title": "Bearded Prawn", "d:Description": "Asian movie review site, featuring many reviews of prominent Japanese films including Ring, Uzumaki, Koroshiya Ichi and others.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://theyellowbabelfish.bravepages.com/"} +{"d:Title": "Tangemania", "d:Description": "Aaron Gerow is a professor of Japanese cinema at Yale University. Books, print article bibliography, links to his online articles and interviews, film review, and related links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.aarongerow.com/"} +{"d:Title": "Boryokugai", "d:Description": "Source for vintage Japanese movie posters.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.boryokugai.com/"} +{"d:Title": "IMDb: Japanese", "d:Description": "Guide to Japanese language movies, search or browse the titles alphabetically.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.imdb.com/language/ja"} +{"d:Title": "Lisa's Japanese Movie Listing", "d:Description": "A listing of movies about Japan or made in Japan, providing information and short synopses.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://lisashea.com/japan/movies/"} +{"d:Title": "Eigapedia", "d:Description": "Movie reviews and discussion forums focused exclusively on Japanese Cinema. Also features trailers, links and some small images.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "https://eigapedia.com/"} +{"d:Title": "SciFi Japan", "d:Description": "News, discussion and images from/ about Japanese tokusatsu (special effects) films.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Japanese", "url": "http://www.scifijapan.com/"} +{"d:Title": "Korean Film Archive", "d:Description": "Non-profit foundation which collects and preserves film materials of Korea on a national dimension.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Korean", "url": "http://www.koreafilm.org/"} +{"d:Title": "Hyun's Korea Films", "d:Description": "Film synopses, posters, and box office details. Also includes film festival information and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Korean", "url": "http://hyunfilm.tripod.com/"} +{"d:Title": "Modern Korean Cinema", "d:Description": "A comprehensive Korean cinema resource featuring in-depth reviews and features as well as weekly news and review updates.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Korean", "url": "http://www.modernkoreancinema.com/"} +{"d:Title": "Offscreen: An Introduction to Korean Cinema", "d:Description": "From the Golden Era of silent films to the works of the late nineties, by Peter Rist.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Korean", "url": "http://offscreen.com/view/korean_cinema"} +{"d:Title": "IMDb: Korean", "d:Description": "Guide to Korean language films.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Asian/Korean", "url": "http://www.imdb.com/language/ko"} +{"d:Title": "FILMINK Magazine", "d:Description": "An irreverent Australian movie magazine with film news, reviews and interviews.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Australian", "url": "http://www.filmink.com.au/"} +{"d:Title": "Urban Cinefile", "d:Description": "Reviews of current movies, interviews, trailers and features for Australian film goers.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Australian", "url": "http://www.urbancinefile.com.au/"} +{"d:Title": "Inside Film Magazine", "d:Description": "A guide to Australian screen content creation. News, articles, interviews, listings for projects in production, information about current technologies, and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Australian", "url": "http://www.if.com.au/"} +{"d:Title": "AusFilm", "d:Description": "Represents the Australian film, television and commercial industry. Includes information on production facilities as well as film studios, locations, casting agencies, and post production and animation companies.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Australian", "url": "http://www.ausfilm.com.au/"} +{"d:Title": "Britmovie", "d:Description": "Dedicated to classic British cinema, movies and films. An archive of cinema including Alfred Hitchcock, Michael Powell, David Lean, Ealing Studios, Danny Boyle, Carol Reed, Stanley Kubrick.", "topic": "Top/Arts/Movies/Cultures_and_Groups/British", "url": "http://www.britmovie.co.uk/"} +{"d:Title": "It's Not Just Michael Powell", "d:Description": "British movies of the 30s 40s and 50s", "topic": "Top/Arts/Movies/Cultures_and_Groups/British", "url": "http://www.britishpictures.com/"} +{"d:Title": "British Film Institute", "d:Description": "The BFI exists to promote greater understanding and appreciation of, and access to, film and moving image culture in the UK.", "topic": "Top/Arts/Movies/Cultures_and_Groups/British", "url": "http://www.bfi.org.uk/"} +{"d:Title": "Are the Yanks Stealing our History?", "d:Description": "Brief site featuring information about how American film has badmouthed British culture.", "topic": "Top/Arts/Movies/Cultures_and_Groups/British", "url": "http://britsversusyanks.tripod.com/"} +{"d:Title": "British Cinema Greats", "d:Description": "A guide to British films, music, actors and actresses, as well as directors. Focuses on films made from 1940 to 1980.", "topic": "Top/Arts/Movies/Cultures_and_Groups/British", "url": "http://www.britishcinemagreats.com/"} +{"d:Title": "Bulgarian Cinema", "d:Description": "Essay on cinema art from a point of view between the worlds of postcommunism and democracy, by Iskra Dimitrova.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Bulgarian", "url": "http://www.extremno.com/~bgfilm/"} +{"d:Title": "IMDb: Bulgarian", "d:Description": "Guide to Bulgarian language films.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Bulgarian", "url": "http://www.imdb.com/language/bg"} +{"d:Title": "Unifrance", "d:Description": "Details about French short and feature films, festival screenings, and news.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://www.unifrance.org/"} +{"d:Title": "Images: Close-ups", "d:Description": "The French New Wave and the Face - Article by Iain Morrisson, the casual indifference of contemporary movie watching.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://www.imagesjournal.com/issue04/features/newwave.htm"} +{"d:Title": "French New Wave", "d:Description": "Weblog of French cinema enthusiast. News, reviews, interviews, and information about directors.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://frenchnewwave.blogspot.com/"} +{"d:Title": "Cinema in France", "d:Description": "A guide to understanding the French culture through movies. Includes historical information and detailed examples.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://www.understandfrance.org/France/FrenchMovies.html"} +{"d:Title": "Gebe's Site", "d:Description": "Gallery of French film posters, organized by director name or title keyword.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://gbesite.free.fr/"} +{"d:Title": "Films de France", "d:Description": "Presents the world of French cinema with information on directors, actors and actresses, films, as well as critiques and photographs.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://filmsdefrance.com/"} +{"d:Title": "Best French Films", "d:Description": "Details, background and reviews of some of the best known and highly regarded French language movies.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://www.bestfrenchfilms.com/"} +{"d:Title": "IMDb: French", "d:Description": "Guide to French language movies. Search or browse the titles alphabetically.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French", "url": "http://www.imdb.com/language/fr"} +{"d:Title": "Sacramento French Film Festival", "d:Description": "Celebrates the present as well as the history of French cinema, showing new films in their Sacramento premieres and rarely seen classics.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French/Film_Festivals", "url": "http://www.sacramentofrenchfilmfestival.org/"} +{"d:Title": "Alliance French Film Festival", "d:Description": "Organised by the Alliance Fran\u00e7aise in Australia. Session details, tickets, sponsors, and contact information.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French/Film_Festivals", "url": "http://www.affrenchfilmfestival.org/"} +{"d:Title": "ColCoa", "d:Description": "A week of French film premieres in Los Angeles, presented by the Franco-American Cultural Fund. Features information, program and ticket purchase.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French/Film_Festivals", "url": "http://www.colcoa.org/"} +{"d:Title": "VCU French Film Festival", "d:Description": "New French films presented and discussed by their directors and actors at the Virginia Commonwealth University in Richmond. History, resources, submission information, and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/French/Film_Festivals", "url": "http://frenchfilmfestival.us/"} +{"d:Title": "Filmgeschichte.de", "d:Description": "Resource magazine for early German film.", "topic": "Top/Arts/Movies/Cultures_and_Groups/German", "url": "http://www.filmgeschichte.de/"} +{"d:Title": "IMDb: German", "d:Description": "Guide to German language movies, search or browse the titles alphabetically.", "topic": "Top/Arts/Movies/Cultures_and_Groups/German", "url": "http://www.imdb.com/language/de"} +{"d:Title": "Greek Film Centre", "d:Description": "Organization dedicated to the protection, support and development of the art of film in Greece and the promotion, dissemination and promotion of Greek movies. Includes news about current productions.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Greek", "url": "http://www.gfc.gr/"} +{"d:Title": "Lysippos Epoiisen/Lysippos Created", "d:Description": "Award winning archaeological creative documentary of Nikos Franghias. Lysippos. Sculptor of bronze of the 4th century B.C. Father of the hellenistic era, and official image maker of Alexander the Great.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Greek", "url": "http://interlive.com/lysippos/"} +{"d:Title": "IMDb: Greek", "d:Description": "Guide to Greek language films; browse or search by title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Greek", "url": "http://www.imdb.com/language/el"} +{"d:Title": "IMDb: Inuktitut", "d:Description": "Guide to Inuit language films, browse or search by title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Inuit", "url": "http://www.imdb.com/language/iu"} +{"d:Title": "Ireland Film and Television Network", "d:Description": "Irish television, movies, cinema, and film news", "topic": "Top/Arts/Movies/Cultures_and_Groups/Irish", "url": "http://www.iftn.ie/"} +{"d:Title": "Lisa's Movies About Ireland", "d:Description": "Listing of films either about an Irish subject or filmed in Ireland, with brief synopses.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Irish", "url": "http://www.lisashea.com/irish/irish_movies.html"} +{"d:Title": "Irish Film Board", "d:Description": "Governmental body supporting the national film industry. Covers events, films, funding and location shooting.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Irish", "url": "http://www.filmboard.ie/"} +{"d:Title": "Irish Film Institute", "d:Description": "Preserves and promotes film culture in Ireland, operating cinemas and the Irish Film Archive. Provides events and facilities information.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Irish", "url": "http://www.ifi.ie/"} +{"d:Title": "IMDb: Gaelic", "d:Description": "Guide to Irish language films, browse or search by title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Irish", "url": "http://www.imdb.com/language/gd"} +{"d:Title": "NeoWeb", "d:Description": "Dedicated to the Italian neorealist cinematic movement with reviews of Open City, Bicycle Thief, and Umberto D.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Italian", "url": "http://www.carleton.edu/curricular/MEDA/classes/media110/Voigt/index.html"} +{"d:Title": "Wikipedia: Cinema of Italy", "d:Description": "An encyclopedia entry.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Italian", "url": "http://en.wikipedia.org/wiki/Cinema_of_Italy"} +{"d:Title": "Kinoeye: An Introduction to the Italian Giallo", "d:Description": "Gary Needham writes about Italian horror movies.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Italian", "url": "http://www.kinoeye.org/02/11/needham11.php"} +{"d:Title": "All Movie Guide: Italian Cinema", "d:Description": "A short history of Italian Cinema by Nicole Gagne.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Italian", "url": "http://www.allmovie.com/essays/41"} +{"d:Title": "IMDb: Italian", "d:Description": "Guide to Italian language movies, search or browse the titles alphabetically.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Italian", "url": "http://www.imdb.com/language/it"} +{"d:Title": "IMDb: Italy", "d:Description": "Movies made in Italy.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Italian", "url": "http://www.imdb.com/country/it"} +{"d:Title": "JewishFilm.com", "d:Description": "Archive of films and videos of Jewish and Israeli interest.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish", "url": "http://www.jewishfilm.com/"} +{"d:Title": "The National Center for Jewish Film", "d:Description": "Film library created to preserve the pictorial records of the Jewish experience. The collection includes features, documentaries, shorts, newsreels, and home movies.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish", "url": "http://www.jewishfilm.org/"} +{"d:Title": "IMDb: Hebrew", "d:Description": "Guide to films done in the Hebrew language, browse or search by title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish", "url": "http://www.imdb.com/language/he"} +{"d:Title": "The Washington Jewish Film Festival", "d:Description": "Celebration of Jewish culture, films and filmmakers through drama, archival footage, new views, documentaries and student work, depicting the moments of Jewish life from around the world.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "https://www.wjff.org/"} +{"d:Title": "The Boston Jewish Film Festival", "d:Description": "Showcases contemporary films from around the world on Jewish themes.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://www.bjff.org/"} +{"d:Title": "Toronto Jewish Film Festival", "d:Description": "Presents films, documentaries and shorts from around the world on themes of Jewish culture and identity.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://www.tjff.com/"} +{"d:Title": "Austin Jewish Film Festival", "d:Description": "Annual event based in Austin, Texas. Past festivals, schedule, submission details, tickets, sponsors, and related links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://www.austinjff.org/"} +{"d:Title": "Los Angeles Jewish Film Festival", "d:Description": "Annual festival showcases contemporary films from around the world on Jewish themes. Background information, past and current selections, schedule, submission details, multimedia, sponsors, and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://lajfilmfest.org/"} +{"d:Title": "Vancouver Jewish Film Festival", "d:Description": "Screens feature-length and short narrative and documentary films which explore and describe Jewish lives and experiences around the world.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://www.vjff.org/"} +{"d:Title": "San Francisco Jewish Film Festival", "d:Description": "Showcasing international Jewish film making with an online database of films and videos.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://jewishfilminstitute.org/festival/"} +{"d:Title": "New Jersey Jewish Film Festival", "d:Description": "Community program that celebrates the Jewish experience and explores Jewish issues through independent films. History, film details, venues, media, and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://jccmetrowest.org/njjff/"} +{"d:Title": "UK Jewish Film Festival", "d:Description": "Features short films, Jewish issues, and Holocaust education. Venues, contact details and screenings in the UK.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Jewish/Film_Festivals", "url": "http://ukjewishfilm.org/festivals/"} +{"d:Title": "The Films of Santo, el Enmascarado de Plata", "d:Description": "Cast, credits and synopses for the Mexican cult films starring superhero-wrestler Santo.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Mexican", "url": "http://terpconnect.umd.edu/~dwilt/santo.html"} +{"d:Title": "The Mexican Film Resource Page", "d:Description": "Contains links to Mexican film resource sites.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Mexican", "url": "http://terpconnect.umd.edu/~dwilt/mfb.html"} +{"d:Title": "New Zealand Films", "d:Description": "An informational site dedicated to New Zealand feature films that are found on VHS video tapes or DVD.", "topic": "Top/Arts/Movies/Cultures_and_Groups/New_Zealander", "url": "http://www.nzvideos.org/"} +{"d:Title": "Kinoeye Archive Romania", "d:Description": "Articles related to Romanian cinema.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Romanian", "url": "http://www.kinoeye.org/archive/country_romania.php"} +{"d:Title": "IMDb: Romanian", "d:Description": "Guide to Romanian language films, browse or search by title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Romanian", "url": "http://www.imdb.com/language/ro"} +{"d:Title": "Images Journal: The State of Russian Contemporary Cinema", "d:Description": "Brief illustrated survey by David Gurevich.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Russian", "url": "http://www.imagesjournal.com/issue02/features/russian.htm"} +{"d:Title": "Images Journal: New Films From Russia", "d:Description": "Report from the film festival at the Museum of Modern Art in New York.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Russian", "url": "http://www.imagesjournal.com/issue09/features/russia/"} +{"d:Title": "Montreal World Film Festival 1996", "d:Description": "Synopses and reviews of Russian films screened at the festival, by F. Kreisel.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Russian", "url": "http://www.mit.edu/people/fjk/essays/montreal-96.html"} +{"d:Title": "KinoKultura", "d:Description": "Online journal containing news, reviews and articles about current state of Russian Cinema.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Russian", "url": "http://www.kinokultura.com/"} +{"d:Title": "Bristol University: Russian web-resources", "d:Description": "Listing of Russian resources on the internet, including Russian Cinema.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Russian", "url": "http://www.bris.ac.uk/russian/"} +{"d:Title": "Russian State Film and Photo Archive at Krasnogorsk", "d:Description": "The archive documents the entire history of Russian filmmaking. History, collection details, and index of exhibits.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Russian", "url": "http://www.abamedia.com/rao/archives/rgakfd/"} +{"d:Title": "IMDb: Russian", "d:Description": "Guide to Russian language films, browse or search by title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Russian", "url": "http://www.imdb.com/language/ru"} +{"d:Title": "100 Years of Cinema Exhibition in Europe - Denmark", "d:Description": "Historical profile.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Danish", "url": "http://www.mediasalles.it/ybkcent/ybk95den.htm#eng"} +{"d:Title": "IMDb: Danish", "d:Description": "Guide to Danish language movies, search or browse the titles alphabetically.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Danish", "url": "http://www.imdb.com/language/da"} +{"d:Title": "Strictly Film School: Carl Theodor Dreyer", "d:Description": "Critical analysis of his movies.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Danish/Directors/Dreyer,_Carl_Theodor", "url": "http://www.filmref.com/directors/dirpages/dreyer.html"} +{"d:Title": "IMDb: Carl Theodor Dreyer", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Danish/Directors/Dreyer,_Carl_Theodor", "url": "http://www.imdb.com/name/nm0003433/"} +{"d:Title": "IMDb: Finnish", "d:Description": "Guide to Finnish language films.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Finnish", "url": "http://www.imdb.com/language/fi"} +{"d:Title": "IMDb: Norwegian", "d:Description": "Guide to Norwegian language films, browse or search by title.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Norwegian", "url": "http://www.imdb.com/language/no"} +{"d:Title": "The Swedish Film Institute", "d:Description": "Central organization for film in Sweden. In English and Swedish.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Swedish", "url": "http://www.sfi.se/"} +{"d:Title": "IMDb: Swedish", "d:Description": "Guide to Swedish language movies, search or browse the titles alphabetically.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Scandinavian/Swedish", "url": "http://www.imdb.com/language/sv"} +{"d:Title": "About.com: Spanish Cinema", "d:Description": "Related articles and links.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Spanish", "url": "http://spanishculture.about.com/cs/cinema/"} +{"d:Title": "Alan Smithee's Scratch Book on Galician Cinema", "d:Description": "Weblog with film reviews and coverage of industry news and events.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Spanish", "url": "http://alansmitheegalicia.blogspot.com/"} +{"d:Title": "Spanish Movies", "d:Description": "Provides synopses and embedded trailers of films in Spanish.", "topic": "Top/Arts/Movies/Cultures_and_Groups/Spanish", "url": "http://spanishmoviesonline.com/"} +{"d:Title": "Asian Movie Database", "d:Description": "Allows searching by title, people, location, language, genre, or year. Listings include general information, reviews, cast and crew details, multimedia, and links.", "topic": "Top/Arts/Movies/Databases", "url": "http://www.asiandb.com/"} +{"d:Title": "All Movie Guide", "d:Description": "Allows users to search for films using flexible criteria. Film listings include general information, cast and crew, synopses, reviews. Allows users to rate films on several dimensions.", "topic": "Top/Arts/Movies/Databases", "url": "http://www.allmovie.com/"} +{"d:Title": "The Internet Movie Database", "d:Description": "Features plot summaries, reviews, cast lists, and theatre schedules.", "priority": "1", "topic": "Top/Arts/Movies/Databases", "url": "http://www.imdb.com/"} +{"d:Title": "Navidot Movie Gateway", "d:Description": "Provides a personalized movie database manager with a wishlist and the ability to trade with other users. Film entries include brief synopses, cast and crew, photographs, and ratings.", "topic": "Top/Arts/Movies/Databases", "url": "http://movies.navidot.com/"} +{"d:Title": "Open Media Database", "d:Description": "A free movie database that anyone can edit. Listings include general film information, synopses, posters, partial cast lists, and links to similar films.", "topic": "Top/Arts/Movies/Databases", "url": "http://www.omdb.org/"} +{"d:Title": "Movies.com", "d:Description": "Offers news, trailers, reviews, showtimes and tickets, and synopsis summaries for films currently in theaters and new to DVD and video.", "topic": "Top/Arts/Movies/Databases", "url": "http://www.movies.com/"} +{"d:Title": "Java Movie Database", "d:Description": "Java-based application that allows off-site searches of the Internet Movie Database.", "topic": "Top/Arts/Movies/Databases", "url": "http://www.jmdb.de/"} +{"d:Title": "Access Place Movies", "d:Description": "Directory of movie news, reviews, films, stars, Oscars, references, soundtracks, stores, and studios.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.accessplace.com/movies.htm"} +{"d:Title": "Cinema Sites", "d:Description": "Organized and annotated index of movie and television resources.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.cinema-sites.com/"} +{"d:Title": "CinemaSpot.com", "d:Description": "Film-related resources, including reviews, trivia, quotes, multimedia, filmmaking, and reference materials.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.cinemaspot.com/"} +{"d:Title": "Movie Theology", "d:Description": "A directory of websites that offer film information from a Christian perspective.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.cmu.ca/library/faithfilm.html"} +{"d:Title": "Funsites: Movies and Videos", "d:Description": "Links to movie-related sites, with descriptions.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.funsites.com/ar-movie.html"} +{"d:Title": "Movies Top 20", "d:Description": "Lists links to several film sites, with brief descriptions of each.", "topic": "Top/Arts/Movies/Directories", "url": "http://movies.nettop20.com/"} +{"d:Title": "Mov.ie: The Mov.ie Service", "d:Description": "Provides links to official movie websites.", "topic": "Top/Arts/Movies/Directories", "url": "http://mov.ie/"} +{"d:Title": "OpenFlix", "d:Description": "Listing of public domain films with descriptions and related resources.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.openflix.com/"} +{"d:Title": "Cinema Spider", "d:Description": "Offers links to news, reviews and websites about movies.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.cinemaspider.com/"} +{"d:Title": "Faqs.org: Movies", "d:Description": "Frequently asked question compilations from Usenet, indexed alphabetically by film title.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.faqs.org/faqs/movies/"} +{"d:Title": "Short of the Week", "d:Description": "Provides a list of categorized short films with a synopsis, review and free viewing.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.shortoftheweek.com/films/"} +{"d:Title": "British Council Film", "d:Description": "Lists films made in the UK along with their general plots and contact information.", "topic": "Top/Arts/Movies/Directories", "url": "http://film.britishcouncil.org/british-films-directory"} +{"d:Title": "The Cult Movie Guide", "d:Description": "Provides plot synopses as well as reviews for cult movies spanning a variety of genres.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.thecultmovieguide.com/"} +{"d:Title": "WorldBest", "d:Description": "Lists movie sites by awards, directors and studios.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.worldbest.com/movies.htm"} +{"d:Title": "MovieQUA", "d:Description": "Lists movies with analyses, questions and answers.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.moviequa.com/"} +{"d:Title": "Good Movies List", "d:Description": "Lists some of the top rated movies in Hollywood organized by genre.", "topic": "Top/Arts/Movies/Directories", "url": "http://goodmovieslist.com/index.htm"} +{"d:Title": "Disney Movie List", "d:Description": "Provides a list of old and new Disney and Pixar movies.", "topic": "Top/Arts/Movies/Directories", "url": "http://www.disneymovieslist.com/"} +{"d:Title": "Film Resources on the Web", "d:Description": "Links to movie publications, people and organizantions on the Internet.", "topic": "Top/Arts/Movies/Directories", "url": "http://people.duke.edu/~kennethl/filmsite.html"} +{"d:Title": "Horror Films International WebRing", "d:Description": "Containing sites all about the genre of horror.", "topic": "Top/Arts/Movies/Directories/Web_Rings", "url": "http://www.webring.org/webring?ring=horrorfilm"} +{"d:Title": "Movie Review Ring", "d:Description": "Consists of sites dedicated to reviewing and rating movies ranging from current releases to favorite classics.", "topic": "Top/Arts/Movies/Directories/Web_Rings", "url": "http://www.webring.org/webring?&ring=movies"} +{"d:Title": "The Reel Ring", "d:Description": "Includes a directory of film related sites with descriptions.", "topic": "Top/Arts/Movies/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=reelring"} +{"d:Title": "Movie HeAVEN :: The Movie Ring", "d:Description": "Sites offering news, rumors, multimedia, quizzes, anything relating to movies.", "topic": "Top/Arts/Movies/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=moviering"} +{"d:Title": "H-Net: Film and History", "d:Description": "Exists to further the use of film in teaching and research, to disseminate information about film and film use, to work for an effective system of film preservation, and to organize periodic conferences and seminars dealing with film.", "topic": "Top/Arts/Movies/Education", "url": "http://www.h-net.org/~filmhis/"} +{"d:Title": "Student Filmmakers", "d:Description": "Resources for aspiring film makers.", "topic": "Top/Arts/Movies/Education", "url": "http://www.studentfilmmakers.com/"} +{"d:Title": "Movie Extras Resources&Registration", "d:Description": "Resources for potential movie and TV extras and actors. Join the Film Extras Coalition for casting tips.", "topic": "Top/Arts/Movies/Education", "url": "http://www.moviex.com/"} +{"d:Title": "City Eye Media Centre", "d:Description": "Media centre as well as film and video workshop based in outhampton and Hampshire, United Kingdom.", "topic": "Top/Arts/Movies/Education", "url": "http://www.city-eye.co.uk/"} +{"d:Title": "KeyLightCompany", "d:Description": "Offers consulting and seminars originally developed from graduate courses on psychology and film. Background information, staff, services, news, and upcoming events.", "topic": "Top/Arts/Movies/Education", "url": "http://www.keylightcompany.com/"} +{"d:Title": "Barry Casson", "d:Description": "Motivational speaker on the topic of filmmaking. Seminar details, resume, testimonials, and contact information.", "topic": "Top/Arts/Movies/Education", "url": "http://www.speakfilm.com/"} +{"d:Title": "Robert McKee's Story Seminar", "d:Description": "Three-day course covering aspects of storytelling. Background information, syllabus, seminar dates, famous graduates, registration information, and discussion forum.", "topic": "Top/Arts/Movies/Education", "url": "http://mckeestory.com/"} +{"d:Title": "Society for Cinema and Media Studies", "d:Description": "Promotes the scholarly study of film, television, and video.", "topic": "Top/Arts/Movies/Education", "url": "http://www.cmstudies.org/"} +{"d:Title": "ScreenSite", "d:Description": "Links to film education, resources, production companies, newsgroups and chat rooms.", "topic": "Top/Arts/Movies/Education", "url": "http://www.screensite.org/"} +{"d:Title": "HowStuffWorks: How Movie Projectors Work", "d:Description": "Graphic explanation of the projection system that makes watching a movie at a theater possible. By Jeff Tyson.", "topic": "Top/Arts/Movies/Education", "url": "http://www.howstuffworks.com/movie-projector.htm"} +{"d:Title": "Advanced Research Team on History and Epistemology of Moving Image Study", "d:Description": "Research team based at Concordia University in Montreal. Offers resources and video lectures about the history and epistemology of film and moving image studies. Also includes an annotated bibliography.", "topic": "Top/Arts/Movies/Education", "url": "http://www.arthemis-cinema.ca/"} +{"d:Title": "Cut It Training", "d:Description": "Offers film production and video production training. Profile, courses and training locations. London, UK.", "topic": "Top/Arts/Movies/Education", "url": "http://www.cut-it.tv/"} +{"d:Title": "Bobby Logan's 1-Day $99 Film School", "d:Description": "Crash course in making and marketing a low-budget feature film. Overview, information about the teacher, and upcoming class schedule.", "topic": "Top/Arts/Movies/Education", "url": "http://1dayfilmschool.com/"} +{"d:Title": "Participate Film Academy", "d:Description": "Offers a 12-month part-time course during which students make a feature-length film while learning about the process. Overview, enrollment information, graduate profiles, and resources. Sydney, Australia.", "topic": "Top/Arts/Movies/Education", "url": "http://participate.com.au/"} +{"d:Title": "Flanders Script Academy", "d:Description": "Belgium's premier training facility for both professional and amateur scriptwriters for film and audiovisual production.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.vsa-fsa.org/"} +{"d:Title": "Video Symphony", "d:Description": "Provides information about film and production programs, course schedule, and contact information. Burbank, California.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://videosymphony.com/"} +{"d:Title": "Northwest Film Center School of Film", "d:Description": "Based in Portland, offering a range of courses and workshops. Details of each course are provided with an enrollment form, as well as information on the organisation, scholarships and equipment.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.nwfilm.org/"} +{"d:Title": "American Film Institute", "d:Description": "AFI's Center for Advanced Film and Television Studies features graduate programs in cinematography, directing, editing, producing, production design and screenwriting.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.afi.com/"} +{"d:Title": "Concordia University Cinema Department", "d:Description": "The Mel Hoppenheim School of Cinema at Concordia University, Montreal, Canada, offers three main areas of study: animation, film production, and cinema studies.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://cinema.concordia.ca/"} +{"d:Title": "Mini Mogul Enterprises", "d:Description": "Home of the Lee Garmes Cinema Institute and Movie Pubs, Mini Mogul Enterprises trains and franchises film executives and producers for the future and for the digital-delivery entertainment industry upon us.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.mecfilms.com/mme"} +{"d:Title": "Australian Film Television and Radio School", "d:Description": "Australia's premier film school with 100s of pages of information on courses and services, online library, and Quicktime movies.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.aftrs.edu.au/"} +{"d:Title": "Action/Cut Directing Seminars", "d:Description": "Directing film and TV workshops: weekend workshops with director/writer Guy Magar on the directing process for filmmakers, directors, writers, producers, actors, editors, cinematographers, production staff, and film students.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.actioncut.com/"} +{"d:Title": "FAMU", "d:Description": "The Academy of Performing Arts in Prague", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.famu.cz/"} +{"d:Title": "The London Film School", "d:Description": "Registered charity offering two-year MA degree program in filmmaking.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.lfs.org.uk/"} +{"d:Title": "Gulf Islands Film and Television School", "d:Description": "This hands-on residential media training centre is located on Galiano Island, BC. Detailed school information is available, as well as registration help, student films, resources for filmmakers and GIFTS merchandise.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.giftsfilms.com/"} +{"d:Title": "The USA Film School", "d:Description": "A 40 hour, full immersion crash course in filmmaking. Weekend hours and for beginners, intermediate and advanced workshops. Make a class film with professional equipment. Free intern placement program.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.usafilmschool.com/"} +{"d:Title": "Film Studies Division--Univ. of Utah", "d:Description": "The Film Studies Division at the University of Utah offers B.A. and M.F.A. Degree programs with courses in film/video production, computer animation, screenwriting, and Film History.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.film.utah.edu/"} +{"d:Title": "International Film Seminars", "d:Description": "Presenters of The Robert Flaherty Film Seminar in New York. Provides information on the seminars, scholarships and archive of their projects.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.flahertyseminar.org/"} +{"d:Title": "Motion Picture Institute of Michigan", "d:Description": "History and information on the organisation as well as details of its one year program in Production and enrollment information.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.mpifilm.com/"} +{"d:Title": "New York Independent Film School", "d:Description": "Provides information on the faculty, the courses and how to apply, as well as showing student work online.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.nyfilmschool.com/"} +{"d:Title": "Queensland School of Film and Television", "d:Description": "Provides information on the school, details of the courses offered, enrollment request form, and an online screening room showing students' work.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.qsft.qld.edu.au/"} +{"d:Title": "Summer School in Film making", "d:Description": "Course details, prices and application form for this course taught at the University of Melbourne, Australia each January.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.summerfilmschool.com/"} +{"d:Title": "Brooklyn College Department of Film", "d:Description": "Offers a B.A. program in film production, screenwriting, film studies, producing and film marketing; 2-year Certificate program in film production.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://depthome.brooklyn.cuny.edu/film/"} +{"d:Title": "London Film Academy", "d:Description": "Industry professionals teach courses in this vocational approach to filmmaking. Graduates can earn a Film-Making certificate.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.londonfilmacademy.com/"} +{"d:Title": "EICAR Film School", "d:Description": "A private college, situated in Paris, offers a curriculum based on state-controlled educational programs for the advanced study of cinema techniques.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.eicar-international.com/"} +{"d:Title": "Chapman University: School of Film and Television", "d:Description": "Information for students, alumni, and parents.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://ftv.chapman.edu/"} +{"d:Title": "Asian Academy of Film and Television", "d:Description": "Offers courses to those aspiring to a full time career in film and television production.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://aaft.com/"} +{"d:Title": "Columbia College - Hollywood", "d:Description": "Offers Bachelor of Arts degrees in Cinema and in Television/Video Production. Programs, admission information, tuition, and background information.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.columbiacollege.edu/"} +{"d:Title": "CityVarsity", "d:Description": "Established to promote the development of design and production in film, television and multimedia.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.cityvarsity.co.za/"} +{"d:Title": "Seattle Film Institute", "d:Description": "Offers full-time and part-time classes in all aspects of film production, screenwriting, and digital video. Programs, faculty, student profiles, and registration information.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://seattlefilminstitute.com/"} +{"d:Title": "New Zealand Film Academy", "d:Description": "Offers television production and filmmaking courses in Auckland.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.nzfilmacademy.com/"} +{"d:Title": "Prague Film School", "d:Description": "Offers diploma programs in filmmaking, animation, documentary and acting for film. All programs are in English. Prague, Czech Republic.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.filmstudies.cz/"} +{"d:Title": "South Seas Film&TV School", "d:Description": "Film and TV school located in Auckland, New Zealand, offering several diploma courses.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.southseas.co.nz/"} +{"d:Title": "Rick Schmidt's Filmmaking Workshops", "d:Description": "Details of the workshops as well as information on Rick's career in films, FAQs about getting into films and features on cinema.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.lightvideo.com/workshop.aspx"} +{"d:Title": "Whistling Woods International", "d:Description": "Institute for Film, Television and Media Arts offers two-year diploma programs in Mumbai, India.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.whistlingwoods.net/"} +{"d:Title": "Indian Film Academy", "d:Description": "Promoting Indian film, presents contact, script and contact information.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.ifacinema.com/"} +{"d:Title": "Sydney Film School", "d:Description": "Private film school in Australia, focusing on story telling, creativity, hands on experience and technical training.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.sydneyfilmschool.com/"} +{"d:Title": "Film Design International", "d:Description": "Offers art direction courses at Pinewood Film Studios with Terry Ackland-Snow. Course information, tutor biography, and registration details. Located in Buckinghamshire, England.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.filmdi.com/"} +{"d:Title": "Mindscreen Film Institute", "d:Description": "Offers a six-month cinematography course. School overview, admissions, facilities, events, and samples of student work. Based in Chennai, India.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.mindscreen.co.in/"} +{"d:Title": "Lights Film School", "d:Description": "Distant education provider offering courses in screenwriting, cinematography, lighting, post-production, and marketing. Enrollment and admissions, curriculum, weblog, student project profiles, and links.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.lightsfilmschool.com/"} +{"d:Title": "TheFilmSchool", "d:Description": "Seattle-based school offering a range of courses and workshops. Course and program overview, admissions, testimonials, industry interviews, weblog, and links.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.thefilmschool.com/"} +{"d:Title": "American University: Film and Media Arts", "d:Description": "Offers MA and MFA programs in film and video, film and electronic media, and producing for film and video. Degree information, faculty profiles, student works, alumni, and related links.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.american.edu/soc/film/"} +{"d:Title": "Elstree Film School", "d:Description": "Offers courses in film production, scriptwriting, and lighting. Course descriptions and booking details. Borehamwood, England.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.elstree-film-school.co.uk/"} +{"d:Title": "Capilano University Film Centre", "d:Description": "Diploma programs include production, costuming, and indigenous independent digital films. Also offers certificate programs in production, cinematography, costuming, and documentary filmmaking. Course information, admissions, news, and links. Vancouver, Canada.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.filmschoolvancouver.com/"} +{"d:Title": "Marilou Diaz-Abaya Film Institute and Arts Center", "d:Description": "Offers courses in production, directing, and scoring. Course overviews, faculty, curriculum, admissions, news, events, and photographs of student life. San Juan, Philippines.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.mdafilm.com/"} +{"d:Title": "New Renaissance Florence Film School", "d:Description": "Graduate studies in film and multimedia production. Also offers workshops, internships, and seminars. Program overview, admissions, faculty, and links. Florence, Italy.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.newrenaissanceflorence.com/"} +{"d:Title": "The Los Angeles Film School", "d:Description": "The Los Angeles Film School offers a year long hands-on training course in filmmaking.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.lafilm.edu/"} +{"d:Title": "FSU Film School", "d:Description": "The official web site for the Florida State University School of Motion Picture, Television and Recording Arts (The Film School).", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://film.fsu.edu/"} +{"d:Title": "Metro Screen", "d:Description": "Metro Screen is a production and training facility catering to emerging film, video and multimedia producers. a non-profit organisation supported by the Australian Film Commission.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://metroscreen.org.au/"} +{"d:Title": "International Academy of Film and Television", "d:Description": "Focus on film production technology. Located in Cebu, Philippines.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.iaft.ph/"} +{"d:Title": "Scottsdale Community College", "d:Description": "Two-year program on all aspects of film production. Overview, staff, facilities, events, news, student life, and links.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.scottsdalecc.edu/academics/departments/fine-arts/motion-picture-tv-production"} +{"d:Title": "Digital Academy", "d:Description": "Offers information about film school and video editing courses in Mumbai, India.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.dafilmschool.com/"} +{"d:Title": "Filmtech", "d:Description": "Offers directing, producing, and filmmaking courses in Philadelphia and New Jersey.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.filmtechschool.com/"} +{"d:Title": "Flash Frame Visuals Academy", "d:Description": "Offers courses in filmmaking, cinematography, and editing in Bangalore, India", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.ffvacademy.com/"} +{"d:Title": "Film School Online", "d:Description": "Learn filmmaking with online courses in screenwriting, directing, cinematography, editing, producing and digital video.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://filmschoolonline.com/"} +{"d:Title": "Maine Media Workshops", "d:Description": "Education in film, video, digital media, directing, editing, producing, screenwriting, documentary, and cinematography. Workshops, Master Classes, and professional training", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.mainemedia.edu/workshops/film"} +{"d:Title": "New York Film Academy", "d:Description": "Acting and film school offering college degree programs, hands-on classes, evening workshops and summer programs in New York City, Los Angeles and Abu Dhabi.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.nyfa.edu/"} +{"d:Title": "University of Southern California School of Cinematic Arts", "d:Description": "Offers information on admissions, academic programs, faculty, and resources for the undergraduate and graduate programs in screenwriting, producing, critical studies, and directing.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "https://cinema.usc.edu/"} +{"d:Title": "Brighton Film School", "d:Description": "UK-based theory and practice of film production. Filmmaking and moviemaking courses, advice, techniques on how to make, produce, direct, shoot, light and edit films and movies.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://brightonfilmschool.co.uk/"} +{"d:Title": "UCF Film Program", "d:Description": "The film program at the University of Central Florida offers majors in film production, screenwriting, and cinema studies.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://svad.cah.ucf.edu/"} +{"d:Title": "Digital Film Academy", "d:Description": "Offers workshops in screenwriting, directing, camera, and editing; includes course, staff and contact information.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.digitalfilmacademy.edu/"} +{"d:Title": "Hollins University: Film Major", "d:Description": "Course offerings, major requirements, faculty listings, facilities, exhibition, and internship information for this University in Virginia.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.hollins.edu/academics/film/"} +{"d:Title": "Hollywood Film Institute", "d:Description": "Independent film school offering courses for both industry professionals and neophytes. Curriculum is designed to build upon the core 2-day film school program.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.webfilmschool.com/"} +{"d:Title": "Johnny Carson School of Theatre and Film", "d:Description": "Offers courses in film, theater, and new media. School information and facilities, information for prospective and current students, faculty, alumni, and contact information. University of Nebraska-Lincoln.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://arts.unl.edu/theatre-and-film"} +{"d:Title": "San Francisco School of Digital Filmmaking", "d:Description": "Combines film classes with actual hands on experiences. Features course, faculty and campus information.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://filmschoolsf.com/"} +{"d:Title": "Northwestern University - Radio, Television and Film", "d:Description": "Read a description of undergraduate and graduate programs, faculty introductions and advising details.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.communication.northwestern.edu/departments/rtf/"} +{"d:Title": "Film Connection", "d:Description": "Film schools on the actual movie set or video studio.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.filmconnection.com/"} +{"d:Title": "NYU Department of Film and Television", "d:Description": "Provides information on the courses, details of staff, students and alumni, production resources available, special events and projects and admissions information.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://filmtv.tisch.nyu.edu/"} +{"d:Title": "Langara College", "d:Description": "Offers Digital Film Production courses in Vancouver, BC, Canada.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://langara.ca/continuing-studies/programs-and-courses/programs/digital-film-production/index.html"} +{"d:Title": "Vancouver Film School", "d:Description": "Vancouver Film School offers full and part-time courses in film, acting and writing for film and television, new media (including web site design, CD-ROM authoring, digital audio, video and graphics), 3D and classical animation.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "https://vfs.edu/"} +{"d:Title": "University of Edinburgh - Film Studies", "d:Description": "Offers postgraduate courses (Masters and PhD) and scholarships. Information on facilities, content of courses and contacts.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.ed.ac.uk/literatures-languages-cultures/film"} +{"d:Title": "Met Film School", "d:Description": "Offers digital filmmaking courses; aimed at inspiring and developing independent filmmakers. London, United Kingdom.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "https://www.metfilmschool.ac.uk/"} +{"d:Title": "Actors College of Theatre&Television", "d:Description": "Independent film school offering courses in feature film, documentary and television.", "topic": "Top/Arts/Movies/Education/Film_Schools", "url": "http://www.aftt.edu.au/"} +{"d:Title": "FOCAL Movie, Audiovisual and Multimedia Training Centres Database", "d:Description": "This database provides information on 350 training centres in film, the audiovisual arts, and new media, and provides search of the schools by various criteria.", "topic": "Top/Arts/Movies/Education/Film_Schools/Directories", "url": "http://www.focal.ch/"} +{"d:Title": "Academy of Film Schools", "d:Description": "An updated list of film schools and media faculties.", "topic": "Top/Arts/Movies/Education/Film_Schools/Directories", "url": "http://www.rivalquest.com/schools/"} +{"d:Title": "Future Movies", "d:Description": "Film articles and reviews, tips for new filmmakers, and links to filmmaking resources.", "topic": "Top/Arts/Movies/Filmmaking", "url": "http://www.futuremovies.co.uk/"} +{"d:Title": "American Widescreen Museum", "d:Description": "Widescreen and early movie color system history, illustrated with technical and non-technical information. Information on old color processes.", "topic": "Top/Arts/Movies/Filmmaking", "url": "http://www.widescreenmuseum.com/"} +{"d:Title": "Movies for the Masses", "d:Description": "Movement to create a fund that can be used to finance film projects that people want to see.", "topic": "Top/Arts/Movies/Filmmaking", "url": "http://www.moviesforthemasses.ibiny.com/"} +{"d:Title": "Gloucester Cine and Video Club", "d:Description": "UK-based organization for amateur film and video makers. Background details, schedule of meetings, media, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur", "url": "http://www.glosvideoclub.org.uk/"} +{"d:Title": "Land of the Kami", "d:Description": "Weblog detailing the experiences of an amateur filmmaker and his crew. Provides advice on low budget production, filmmaking techniques, marketing and screenings.", "topic": "Top/Arts/Movies/Filmmaking/Amateur", "url": "http://landofthekami.wordpress.com/"} +{"d:Title": "5YLAC Films", "d:Description": "Unofficial site for the makers of the low budget film Mortus Illumina.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/5", "url": "http://www.5ylac.s5.com/"} +{"d:Title": "AND Productions", "d:Description": "Small company based near Grand Rapids, Ohio. News, call for movie ideas, and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/A", "url": "http://www.angelfire.com/in4/and_productions/"} +{"d:Title": "Archangel Productions", "d:Description": "Amateur production company.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/A", "url": "http://www.archangelproductions.org/"} +{"d:Title": "Abilities United Productions", "d:Description": "Dedicated to giving a voice to persons with disabilities in the film industry. Background information, feature-length and short film scripts, projects in development, and contact details.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/A", "url": "http://abilitiesunited.com/"} +{"d:Title": "Blue Moon Limited", "d:Description": "An amateur movie company based in the Midwest.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://www.bluemoonlimited.8m.com/"} +{"d:Title": "Brainwave Entertainment", "d:Description": "No-budget, independently produced fantasy/sci-fi movies, CG F/X, and warped comedy. Solid storytelling and emotional performances are the goal.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://www.bwave.f9.co.uk/index.htm"} +{"d:Title": "Bloody Fun Pictures", "d:Description": "Specializing in low and no budget filmmaking shot on video. Shorts so far, but full-length planned for the future.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://www.angelfire.com/tn/tcthepackrat/bloodyfun.html"} +{"d:Title": "Badjava.com", "d:Description": "Broadband video clips including Wild Wild West, Nasdaq Steak, and Air Guitar 101.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://badjava.com/"} +{"d:Title": "Blackstar Productions", "d:Description": "An amateur filmmaking site based in Scotland.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://bsp.20m.com/"} +{"d:Title": "Blue Grass Film Company", "d:Description": "UK based film and video production company utilizing music, dance, and art.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://gallery.passion4art.com/members/bluelight/index.html"} +{"d:Title": "Baurley, Craig M.", "d:Description": "Includes production design and artwork for science fiction-oriented independent features, resume, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://www.craigbaurley.com/"} +{"d:Title": "The Bad Trio", "d:Description": "Members, photographs, film synopses, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://thebadtrio.webs.com/"} +{"d:Title": "Bloodshot Pictures", "d:Description": "Company information and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/B", "url": "http://bloodshotpictures.tripod.com/"} +{"d:Title": "Corner Studios", "d:Description": "Independent, low-budget, Mountain Dew-drinking, computer game playing, movie-watching and sometimes movie-making studio in Smokey Point WA.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/C", "url": "http://angelfire.com/80s/csfans"} +{"d:Title": "Coal Mine Canary Films", "d:Description": "Independent feature film production company.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/C", "url": "http://www.coalminecanary.com/"} +{"d:Title": "Charlatan Studios", "d:Description": "Viral media creators Douglas Lamore and Brian H. Mack. Background, film archive, and upcoming projects.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/C", "url": "http://www.charlatanstudios.com/"} +{"d:Title": "Deep Blue Funk Films", "d:Description": "Specializing in documentary and synchronicity productions. Information on their current projects.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/D", "url": "http://www.deepbluefunkfilms.com/"} +{"d:Title": "Damn Mosquito Pictures", "d:Description": "Filmmakers from Sweden who make action and horror movies.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/D", "url": "http://www.dmpictures.com/"} +{"d:Title": "DJfilms Productions", "d:Description": "Low budget video production in the Sacramento, California area.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/D", "url": "http://www.djfilms.com/"} +{"d:Title": "Deprivo Deviante Productions", "d:Description": "Background information, photographs and screenplays for past projects, and upcoming projects.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/D", "url": "http://www.users.totalise.co.uk/~jglighterness/Deprivo/Deprivo.html"} +{"d:Title": "Digital Nasties", "d:Description": "Produces underground gore and horror films. About the filmmakers, film synopses, photographs, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/D", "url": "http://www.digitalnasties.com/"} +{"d:Title": "Emerald Reels", "d:Description": "Undependent Film in Seattle. Experimental filmmakers dedicated to pushing the boundaries of contemporary filmmaking into the realm of possibility.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/E", "url": "http://www.emeraldreels.com/"} +{"d:Title": "Engage Productions", "d:Description": "Company headed by student film director Whitney Lauritsen. Includes resume, credentials, links and videos.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/E", "url": "http://engageproductions.tripod.com/"} +{"d:Title": "Experimental Productions", "d:Description": "Company headed by Jake Feil. Films released, upcoming projects, philosophy, contact details, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/E", "url": "http://www.experimental.bizhosting.com/"} +{"d:Title": "Eichh\u00f6rnchenschwanz", "d:Description": "A website about filmmaker Mike Justice. Includes biography, filmography, news, links, and a guestbook.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/E", "url": "http://mikejustice.tripod.com/"} +{"d:Title": "Foiled Productions", "d:Description": "Makers of low-to-no-budget movies including Foiled, The Jedi who Loved Me, and Sunday Night Zombies.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/F", "url": "http://www.foiled.co.uk/"} +{"d:Title": "Fat Mack Productions", "d:Description": "Low-budget ghetto movies with comedy, action, and horror.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/F", "url": "http://www.fatmack.net/"} +{"d:Title": "Gmc Productions", "d:Description": "Teen filmmakers on a low budget. Films, screening room, sound room, shows, upcoming projects, and information about Gmc.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/G", "url": "http://www.angelfire.com/movies/gmcproductions/"} +{"d:Title": "Guggenheim Productions, Inc.", "d:Description": "Offering filmography, background information, and company details.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/G", "url": "http://www.gpifilms.com/"} +{"d:Title": "Gang Entertainment", "d:Description": "Photographs, background information about members, films produced, and group dictionary.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/G", "url": "http://www.gangentertainment.org/"} +{"d:Title": "Hofstra Filmmakers Club (HFC) Productions", "d:Description": "A student production club located at Hofstra University on Long Island, New York.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/H", "url": "http://www.angelfire.com/film/hofstrafilmmakers/"} +{"d:Title": "Harlow Green Films", "d:Description": "Filmmaker photographs, films, production slate, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/H", "url": "http://www.harlowgreenfilms.byethost18.com/"} +{"d:Title": "Heart and Fire Productions", "d:Description": "Jimmy Lee's biography, resources, film synopses, photographs, videos, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/H", "url": "http://www.freewebs.com/heartandfireproductions/"} +{"d:Title": "Ingredient X Entertainment", "d:Description": "New independent media, featuring the series \"Tales of Mere Existence\". New Quicktime movie every week.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/I", "url": "http://www.ingredientx.com/"} +{"d:Title": "Impello Films, Inc.", "d:Description": "Makes films that will inspire, inform and empower.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/I", "url": "http://www.impellofilms.com/"} +{"d:Title": "Jim Lujan's Cartoons", "d:Description": "Twisted animated and semi-animated storyboard films, with music, voices, and art by Jim Lujan. Real life with a twist of the bizarre.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/J", "url": "http://www.jimlujan.com/"} +{"d:Title": "Jackson Film", "d:Description": "Independent filmmakers presenting short films, picture gallery, and a journal.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/J", "url": "http://www.jacksonfilm.co.uk/"} +{"d:Title": "JAR Productions", "d:Description": "Specializes in producing short films and documentaries.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/J", "url": "http://www.angelfire.com/film/jarproductions/"} +{"d:Title": "Khussro Films", "d:Description": "Film production company offering several related services in India, Nepal, Bhutan and Bangladesh.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/K", "url": "http://www.khussrofilms.com/"} +{"d:Title": "Liaros HLH Productions", "d:Description": "A production company from Buffalo NY. Individual member pages as well as information on their current projects.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/L", "url": "http://hlh.s5.com/"} +{"d:Title": "The Lost Soles", "d:Description": "A loose collective of amateur film/video makers and enthusiasts in Winnipeg, Manitoba, Canada.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/L", "url": "http://lostsoles.tripod.com/"} +{"d:Title": "Last ATAK Pictures", "d:Description": "Produces films for distribution by Staffordshire University. Background information, archived news and production diaries, contact details, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/L", "url": "http://www.lastatak.com/"} +{"d:Title": "Last Exit Productions", "d:Description": "Independent film production company based in Copenhagen, Denmark.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/L", "url": "http://www.lastexitproductions.dk/"} +{"d:Title": "Lost Woods Films", "d:Description": "Short films and music videos by two recent film school graduates from the Netherlands. Background information, projects, showreel, wallpapers, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/L", "url": "http://www.lostwoodsfilms.com/"} +{"d:Title": "Mangold Mangold", "d:Description": "Featuring advertisement and feature films.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/M", "url": "http://www.mangold-mangold.de/"} +{"d:Title": "Manic Bunny Films", "d:Description": "Amateur film company based in Australia.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/M", "url": "http://www.angelfire.com/apes2/manicbunnyfilm/intro.html"} +{"d:Title": "Naturalfilms", "d:Description": "Amateur and fan projects. Film information, downloads, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/N", "url": "http://www.naturalfilms.com/"} +{"d:Title": "Noo'Paala Films", "d:Description": "Amateur production group featuring background information, projects, and videos.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/N", "url": "http://myweb.tiscali.co.uk/noopaalafilms/"} +{"d:Title": "Paranoid Productions", "d:Description": "The makers of \"Behind You\", \"Prankz and Gagz\", and \"Falling in Love\".", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/P", "url": "http://www.angelfire.com/mn2/paranoidproductions/index.html"} +{"d:Title": "Pickle Factory Productions", "d:Description": "An amateur film production company started by eight dedicated student filmmakers and actors.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/P", "url": "http://pickle-factory.tripod.com/main/"} +{"d:Title": "Penguin Bros. Productions", "d:Description": "Producing a growing collection of short no-budget movies.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/P", "url": "http://www.angelfire.com/film/penguinbros/"} +{"d:Title": "Parody Pictures", "d:Description": "Bulgaria-based group founded in August 1993. Videos and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/P", "url": "http://www.parody-pictures.com/"} +{"d:Title": "Random Foo Pictures", "d:Description": "Growing young filmmaking group based in Boston, MA.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/R", "url": "http://www.randomfoo.com/"} +{"d:Title": "Rage Warehouse", "d:Description": "Low budget movie and animation production from Pawtucket Rhode Island.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/R", "url": "http://ragewarehouseri.tripod.com/"} +{"d:Title": "Raamw3rk", "d:Description": "Independent colourist and visual effects artist.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/R", "url": "http://www.raamw3rk.net/"} +{"d:Title": "Stunt Kitty Films", "d:Description": "Independent producer of no-budget horror films and monster movies.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.stuntkittyfilms.com/"} +{"d:Title": "Sisterfilms", "d:Description": "Lynne Adams and Brooke Adams, two sisters, committed themselves to producing Made Up as a video movie and Sister Films was born.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.sisterfilms.com/"} +{"d:Title": "SlickShit Productions", "d:Description": "Makers of films, shirts, and music.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.slickshit.org/"} +{"d:Title": "Spontaneous Combustion Films", "d:Description": "The official website for Spontaneous Combustion Films, the proud producers of JupiterXGFGY45T and Death By Chocolate.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.s-c-f.8k.com/"} +{"d:Title": "SouthPaw Films", "d:Description": "News, projects, and contact information. Also includes details of the director's wedding.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.southpawfilms.com/"} +{"d:Title": "Sheer Heart Attack Productions", "d:Description": "Ireland based film production company presenting news and information on the group.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://sheerheartattackproductions.20m.com/"} +{"d:Title": "Sort Of ... Films", "d:Description": "Versatile and youthful film and video production outfit based in Sheffield, Yorkshire, UK", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.sortoffilms.co.uk/"} +{"d:Title": "Studio of the Mind", "d:Description": "Featuring film, digital video, live theatrical and multimedia productions [Flash Only.]", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.ditterline.com/"} +{"d:Title": "Sampo Films", "d:Description": "Group of filmmakers in Portland, Oregon that strive to tell stories in a dynamic or sometimes wholly eidetic way.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://www.sampofilms.com/"} +{"d:Title": "Smells Crunchie", "d:Description": "Presents collection of small clips featuring special effects and video editing.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://smellscrunchie.i8.com/"} +{"d:Title": "Sutton Coldfield Movie Makers", "d:Description": "Succeeding the Vesey Amateur Cine Society founded in 1937. Background information, meeting schedule, upcoming events, photographs, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/S", "url": "http://suttoncoldfieldmoviemakers.org.uk/"} +{"d:Title": "TEWS Entertainment", "d:Description": "Specialising in independently produced student motion pictures.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/T", "url": "http://www.angelfire.com/ab/tews/"} +{"d:Title": "T.T. Piktures", "d:Description": "Movies and television shows from western Massachusetts.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/T", "url": "http://www.members.tripod.com/TristanRB/ttpiktures.html"} +{"d:Title": "Truth Screamer Productions", "d:Description": "Production company providing short films antithetic to Hollywood formulas.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/T", "url": "http://truth_screamer.tripod.com/"} +{"d:Title": "Thomas Productions", "d:Description": "Independent short video production organization designed and operated by teens.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/T", "url": "http://www.directorg.com/"} +{"d:Title": "Truthhorse", "d:Description": "Six actors performing mainly in the screwball comedy genre. Short film, trailer, and commercial downloads, background information, sound clips, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/T", "url": "http://www.truthhorse.com/"} +{"d:Title": "TV13", "d:Description": "English filmmakers working on virtually no budget.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/T", "url": "http://s346165667.websitehome.co.uk/tv13/"} +{"d:Title": "What the Hell", "d:Description": "Movies, pictures, filmmaker information, and guest book.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/W", "url": "http://watthehell.tripod.com/"} +{"d:Title": "Wowie Kazowie Productions", "d:Description": "Presents several films, short films, and background information.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/W", "url": "http://www.wkprod.blogspot.com/"} +{"d:Title": "Waterman Pictures", "d:Description": "Based in Martinsburg, West Virginia. About the group, film, and discussion board.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Filmmakers/W", "url": "http://groups.google.com/group/watermanpictures"} +{"d:Title": "Of Fortune and Glory", "d:Description": "The official web page of the film from TEWS Entertainment.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/ab/tews/glory.html"} +{"d:Title": "Forces", "d:Description": "Baycroft Entertainment presents a Joel Baycroft film. The world's only 17-year-old director puts a masterpiece together for the first film of his career. A story of action, drama, and corruption.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/movies/forces/"} +{"d:Title": "The Last Documentary", "d:Description": "Three teens turn up dead while filming a documentary deep in the bible belt of Alabama.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/al3/HaddonFieldHorrors/"} +{"d:Title": "Zombies are People Too, Just a Little Deader", "d:Description": "A group of teens from California are on a mission to revive the zombie genre.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.sonic.net/~denmen/zombie/"} +{"d:Title": "Pooberty Boy", "d:Description": "Web site dedicated to the movie made by Brian \"Testless Toddler\" Harrington, Morgan \"Pooberty Boy\" Herr, and Anonymous \"Anonymous\".", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/ok2/poobertyboy/"} +{"d:Title": "Drunken Voodoo Ninjas", "d:Description": "Information on the no budget, ghettofied, home brewed, soon to be blockbuster movie.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/me3/drunkenvoodooninjas/"} +{"d:Title": "The Taste for Flesh", "d:Description": "Official site including news, image galleries, information on the cast and crew and a guestbook.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://zombiefilm.tripod.com/zombiefilm/"} +{"d:Title": "Dot-Com", "d:Description": "Newly rich internet millionaires Janelle Warner and Monica Sample are an inter-racial lesbian couple who must battle for their lives as hate crimes sweep the US.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://members.tripod.com/~dotcomthemovie/index.html"} +{"d:Title": "High Calibre", "d:Description": "Casting and schedule information for the remaking of the independent film.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://highcal.tripod.com/highcalibre/"} +{"d:Title": "Jin Kato Mu", "d:Description": "An independent movie filmed, produced, directed, and acted entirely by high school students in Jackson, Tennessee.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://jinkatomu.tripod.com/"} +{"d:Title": "Thus Spake Zarthustra", "d:Description": "Underground film written and directed by Jon Vomit Worthley with Nick Zedd. Music by Fear Of Dolls Strangewalls and others.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/indie/STRANGEWALLS/Film.html"} +{"d:Title": "The MoTh", "d:Description": "Site about the film includes its story, quotes, soundtrack, filmmaker information and humor.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/80s/TheMoth/"} +{"d:Title": "Dice Low", "d:Description": "Official website for the horror film. Synopsis, production information, and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/va2/dicelow/index.html"} +{"d:Title": "CNYM", "d:Description": "Official site for the independent film. Plot description, multimedia, and cast and crew information.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://cnym.tripod.com/"} +{"d:Title": "Hindenburg - A Love Story With Sausages", "d:Description": "A parody based loosely on the motion picture \"Titanic\". Contains photos, production notes, character profiles, trailer (Quick Time), and related information.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.lowrestheater.com/Hindenburg/HindHome.html"} +{"d:Title": "Front Porch Furniture, NC", "d:Description": "Wild redneck rebels Trailer Park Woman, 'Ol Man, Nona Lisa and Billy Bob love their low stress low brain cell life. Can they take on the New World Order armed only with CB radios, tawdry leaflets and tainted peanut brittle?", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://getdetails.com/front-porch/"} +{"d:Title": "Till Death Do You Part", "d:Description": "Upcoming horror-thriller about a paranoid housewife named Jess who marries the ideal husband but is getting threatening phone calls and is convinced that it's his ex-wife out to kill her.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/film/tddyp/index.html"} +{"d:Title": "Padded Paws of Fury", "d:Description": "Home film about a kung-fu fighting hamster. Storyline, characters, and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://paddedpawsoffury.tripod.com/"} +{"d:Title": "Cafe Surrey by Trevor Cameron", "d:Description": "About a young man who is forced to work graveyard shift in a coffee shop in Whalley.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.angelfire.com/indie/cafesurrey/"} +{"d:Title": "I Died", "d:Description": "A murder mystery with a real-life feel.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://bumptothehead.com/i_died/index.shtml"} +{"d:Title": "Fatigue", "d:Description": "A fast-paced, gritty action feature film set in Cardiff. Written and directed by Michael Barnes. Produced by Mark Faiers and Chris Dawson.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.grifter.net/fatigue/"} +{"d:Title": "The Terrible Crossover Fanfiction Idea Generator", "d:Description": "Random text generator for creating crossover fanfiction ideas and synopses.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.kaction.com/"} +{"d:Title": "Beam Me Up Scotty", "d:Description": "Musical feature film by Steve Lem. Film information, screenplay, and video clips.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.stevelem.com/movie.html"} +{"d:Title": "GWG: Girl With Gun", "d:Description": "Russem Productions presents GWG: Girl With Gun, a film about a superhero female assassin who must contemplate her double life - one as a secret assassin, and the other as a normal 20-something working woman with friends and boyfriend.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://gwg.russem.com/"} +{"d:Title": "Her Knight", "d:Description": "Russem Productions Presents Her Knight, a film about a 20-something woman who dreams of being rescued by her Byzantine knight since in reality she is in an awful relationship with a cheating boyrfriend.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://herknight.russem.com/"} +{"d:Title": "Mavet", "d:Description": "Russem Productions Presents Mavet, a film about a seemingly ordinary game of chess in a darkened room between two people. However, in this game, one wrong move can mean life or death.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://mavet.russem.com/"} +{"d:Title": "Flesh Crawl", "d:Description": "Independent, low-budget zombie genre film directed and produced by Dustin Bennett and Troy Collins.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.fleshcrawlmovie.com/"} +{"d:Title": "Flesh of my Flesh", "d:Description": "Guerrilla Productions presents Flesh of My Flesh, a hyperkinetic chronicle of the last six hours of the War of the Undead.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://fleshofmyflesh.com/"} +{"d:Title": "That Killian '75 Show", "d:Description": "Miami Killian Senior High Class of 1975 30th Reuion Movie featuring the photography, 8mm movies&audio recordings by Keith Spurlock", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.thatkillian75show.com/"} +{"d:Title": "Blood of the Samurai", "d:Description": "An independent action-adventure film written and directed by Aaron Yamasato.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.bloodofthesamurai.com/"} +{"d:Title": "The Duo", "d:Description": "Crystal Parson's search for the elusive Terrific Two, superheroes protective of their now not-so-secret identity.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.theduo.net/"} +{"d:Title": "Vendetta: A Christmas Story", "d:Description": "Holiday satire involving Santa Claus on the run from men with guns. Production notes, cast and crew, news, photographs, videos, and links.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.vendettachristmas.com/"} +{"d:Title": "Valkaama", "d:Description": "Soundtracks, trailers, photos, download links and subtitles for this drama released under Creative Commons Attribution ShareAlike.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.valkaama.com/"} +{"d:Title": "Infest Wisely", "d:Description": "No-budget dystopian science fiction feature released under a Creative Commons license. Streaming and download links, bonus material.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.infestwisely.com/"} +{"d:Title": "Seven Dead Men", "d:Description": "The tale of a caper gone awry. Subtitles and download links for the movie released under a Creative Commons license.", "topic": "Top/Arts/Movies/Filmmaking/Amateur/Titles", "url": "http://www.sevendeadmen.com/"} +{"d:Title": "Cinematography Mailing List (CML)", "d:Description": "Mailing list for professional cinematographers and their crews and suppliers.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography", "url": "http://www.cinematography.net/"} +{"d:Title": "cinematography.com", "d:Description": "Professional motion picture camera people and resources.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography", "url": "http://www.cinematography.com/"} +{"d:Title": "Ask a Cinematographer", "d:Description": "Oliver Stapleton answers each week an important question on cinematography.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography", "url": "http://indie.imdb.com/Indie/Ask/archive.html"} +{"d:Title": "Internet Encyclopedia of Cinematographers", "d:Description": "Filmographies and biographies about active cinematographers.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography", "url": "http://www.cinematographers.nl/"} +{"d:Title": "Filmcast", "d:Description": "Video weblog dedicated to the craft of cinematography and camera operation. Includes notable filmmaker profiles, industry news, tutorials, and links.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography", "url": "http://filmcastentertainment.blogspot.com/"} +{"d:Title": "Fredericks, Neal", "d:Description": "Memorial for Los Angeles director of photography whose credits included Dreamers, The Blair Witch Project, and The Burkittsville 7. Photographs, articles, and quotations.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers", "url": "http://www.nealfredericks.com/"} +{"d:Title": "John Alton - Painting With Light", "d:Description": "Career details and several dozen striking images from Alton's films.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Alton,_John", "url": "http://www.celtoslavica.de/chiaroscuro/dop/alton.html"} +{"d:Title": "B Film Noir", "d:Description": "Synopsis and ratings of several Alton films.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Alton,_John", "url": "http://www.bmonster.com/more6.html"} +{"d:Title": "All Movie Guide: John Alton", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Alton,_John", "url": "http://www.allmovie.com/artist/john-alton-79462"} +{"d:Title": "John Alton", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Alton,_John", "url": "http://www.imdb.com/name/nm0023003/"} +{"d:Title": "Cinematographers: Adrian Biddle", "d:Description": "Features credits, award nominations and photos.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Biddle,_Adrian", "url": "http://www.cinematographers.nl/PaginasDoPh/biddle.htm"} +{"d:Title": "IMDb: Adrian Biddle", "d:Description": "Offers awards and nominations, filmography and discussion board.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Biddle,_Adrian", "url": "http://www.imdb.com/name/nm0000939/"} +{"d:Title": "Guardian Unlimited Obituaries", "d:Description": "Adrian Biddle, who has died of a heart attack aged 53, was one of the last of the old school of cinematographers, and one of the first of the new. By Howard Guard.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Biddle,_Adrian", "url": "http://www.theguardian.com/news/2006/jan/19/guardianobituaries.film"} +{"d:Title": "James Wong Howe Replies to Comment on Cameramen", "d:Description": "Reply to Stephen Longstreet's claim that \"brilliant cameramen are the curse of the business\".", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Howe,_James_Wong", "url": "http://www.theasc.com/magazine/mar99/howe/pg1.htm"} +{"d:Title": "All Movie Guide: James Wong Howe", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Howe,_James_Wong", "url": "http://www.allmovie.com/artist/james-wong-howe-94995"} +{"d:Title": "James Wong Howe", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Howe,_James_Wong", "url": "http://www.imdb.com/name/nm0002146/"} +{"d:Title": "IMDb: Seamus McGarvey", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/McGarvey,_Seamus", "url": "http://www.imdb.com/name/nm0568974/"} +{"d:Title": "IMDb: Geoffrey Unsworth", "d:Description": "Filmography and awards.", "topic": "Top/Arts/Movies/Filmmaking/Cinematography/Cinematographers/Unsworth,_Geoffrey", "url": "http://www.imdb.com/name/nm0005910/"} +{"d:Title": "Directors Guild of America", "d:Description": "Official site of guild representing over 10,000 directors in the cinema, TV and radio.", "topic": "Top/Arts/Movies/Filmmaking/Directing", "url": "http://www.dga.org/"} +{"d:Title": "DirectorsNet", "d:Description": "Showcase for the motion picture and television directors. View credits, streaming demo reels and job center.", "topic": "Top/Arts/Movies/Filmmaking/Directing", "url": "http://www.directorsnet.com/"} +{"d:Title": "5 Directors You've Never Heard Of", "d:Description": "Essays on Robert Altman, Alain Resnais, Roman Polanski, Sidney Lumet, and John Frankenheimer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors", "url": "http://www.angelfire.com/movies/southernmace/5dirs.html"} +{"d:Title": "Directions in Style", "d:Description": "Site reviews six films, comparing and contrasting the methods used by directors Martin Scorsese and Quentin Tarantino.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors", "url": "http://www.angelfire.com/film/directionsinstyle/"} +{"d:Title": "Auteur", "d:Description": "Links to webpages about movie directors from all the world.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors", "url": "http://www.herlov.dk/auteur.htm"} +{"d:Title": "The Director's Chair", "d:Description": "Interviews with several dozen directors.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors", "url": "http://www.industrycentral.net/director_interviews/"} +{"d:Title": "The Director Files", "d:Description": "Provides alphabetical lists of directors. Allows users to submit listings.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors", "url": "http://www.thedirectorfiles.com/"} +{"d:Title": "Morris Engel and Ruth Orkin", "d:Description": "Articles on Morris Engel and Ruth Orkin, the influential 1950s American neorealist directors.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors", "url": "http://brightlightsfilm.com/26/engel.php"} +{"d:Title": "Avary, Roger", "d:Description": "Curriculum vitae, weblog, FAQ, advice for aspiring filmmakers, photos, links to articles, and webcam.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A", "url": "http://www.avary.com/"} +{"d:Title": "Almereyda, Michael", "d:Description": "Resource for fans of the director. Contains review links, audio, video, and general information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A", "url": "http://fleetingjoy.fishbucket.com/"} +{"d:Title": "The Al Adamson Horror Films Celebration Site", "d:Description": "Site devoted to the horror movies of the director including images, sounds, and information on his films including Dracula vs. Frankenstein and Blood of Dracula's Castle.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Adamson,_Al", "url": "http://gregkrieger.tripod.com/aladamson/adamsonindex.html"} +{"d:Title": "IMDb: Al Adamson", "d:Description": "Filmography and biographical trivia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Adamson,_Al", "url": "http://www.imdb.com/name/nm0011467/"} +{"d:Title": "IMDb: Chantal Akerman", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Akerman,_Chantal", "url": "http://www.imdb.com/name/nm0001901/"} +{"d:Title": "Almod\u00f3varlandia", "d:Description": "Pedro Almod\u00f3var and the world of his movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Almod\u00f3var,_Pedro", "url": "http://www.almodovarlandia.com/"} +{"d:Title": "BBC News: Spain's enfant terrible", "d:Description": "Lucie Maguire reports on his transition from cult favourite to respected director, charting his career in the 80s, 90s and his Oscar winning All About My Mother.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Almod\u00f3var,_Pedro", "url": "http://news.bbc.co.uk/1/hi/in_depth/entertainment/2000/oscars_2000/677394.stm"} +{"d:Title": "Film Scouts: Robert Altman", "d:Description": "Articles and Quicktime interviews with the director, mostly about Kansas City.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://www.filmscouts.com/scripts/person.cfm?Person=4"} +{"d:Title": "Christian Science Monitor: Altman", "d:Description": "Profile and filmography of this maverick director on the heels of his Golden Globe win for Gosford Park.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://csmonitor.com/2002/0208/p13s02-almo.html"} +{"d:Title": "Cosmopolis: Robert Altman", "d:Description": "Biography and filmography of the American director, screenwriter and producer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://www.cosmopolis.ch/english/cosmo28/robert_altman.htm"} +{"d:Title": "Robert Altman Bio", "d:Description": "Another long article/interview with Altman.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://sarcasmalley.com/"} +{"d:Title": "The Guardian - Robert Altman", "d:Description": "Altman says Hollywood 'created atmosphere' for September 11.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://www.theguardian.com/film/2001/oct/18/news2"} +{"d:Title": "IMDB: Robert Altman (I)", "d:Description": "Internet Movie Database's collection of filmography information for the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://www.imdb.com/name/nm0000265/"} +{"d:Title": "The Onion AV Club: Robert Altman", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://www.avclub.com/article/robert-altman-13895"} +{"d:Title": "Virtual History: Robert Altman", "d:Description": "Overview of literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://www.virtual-history.com/movie/person/1459/robert-altman"} +{"d:Title": "Salon.com - Robert Altman", "d:Description": "Stephen Lemons interviews and quotes the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://www.salon.com/2000/08/15/altman/"} +{"d:Title": "Jam Showbiz: Robert Altman", "d:Description": "A series of articles covering his movies since 1996. Most recent news on in-production \"Gosford Park.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Altman,_Robert", "url": "http://jam.canoe.com/Movies/Artists/A/Altman_Robert/"} +{"d:Title": "Indiewire - Anders and Voss Reunite for \"Sugar Town\"", "d:Description": "Allison Anders and Kurt Voss discuss their collaboration on the film \"Sugar Town\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anders,_Allison", "url": "http://www.indiewire.com/article/interview_anders_and_voss_reunite_for_sugar_town/"} +{"d:Title": "IMDb - Allison Anders", "d:Description": "Internet Movie Database provides filmography of the director", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anders,_Allison", "url": "http://www.imdb.com/name/nm0025978/"} +{"d:Title": "Britmovie: Lindsay Anderson", "d:Description": "Biography, film synopses, and credits.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Lindsay", "url": "http://www.britmovie.co.uk/directors/l_anderson/"} +{"d:Title": "Internet Movie Database: Lindsay Anderson", "d:Description": "Detailed filmography, awards, and biographical information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Lindsay", "url": "http://www.imdb.com/name/nm0000755/"} +{"d:Title": "Lindsay Anderson - Director", "d:Description": "Feature article containing a detailed biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Lindsay", "url": "http://h2g2.com/edited_entry/A648344"} +{"d:Title": "British Film Institute: Lindsay Anderson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Lindsay", "url": "http://explore.bfi.org.uk/4ce2ba868816e"} +{"d:Title": "IMDb.com - Paul Thomas Anderson", "d:Description": "Internet Movie Database features the filmography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Paul_Thomas", "url": "http://www.imdb.com/name/nm0000759/"} +{"d:Title": "Wes Anderson", "d:Description": "Includes, pictures, articles, reviews, scripts, video and radio interviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Wes", "url": "http://karendivorty.tripod.com/"} +{"d:Title": "The Rushmore Academy", "d:Description": "Fan site for the director features film details, articles, forums, biography and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Wes", "url": "http://www.rushmoreacademy.com/"} +{"d:Title": "The Internet Movie Database (IMDb): Wes Anderson", "d:Description": "Includes film credits, awards and trivia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Anderson,_Wes", "url": "http://www.imdb.com/name/nm0027572/"} +{"d:Title": "A Conversation With Dino Andrade", "d:Description": "By Doreen Mulman and Nora Salisbury. [mkbmemorial.com] Comprehensive feature interview that includes photos and soundbytes.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Andrade,_Dino", "url": "http://mkbmemorial.com/interviews/dino/index.html"} +{"d:Title": "Theo Angelopoulos", "d:Description": "Background to Angelopolous on his film An Eternity And A Day.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Angelopoulos,_Theo", "url": "http://www.filmfestival.gr/1997/news/press_5.html"} +{"d:Title": "Angelopoulos", "d:Description": "Discussion list for the Greek director, Theo Angelopoulos and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Angelopoulos,_Theo", "url": "http://groups.yahoo.com/group/Angelopoulos"} +{"d:Title": "Theo Angelopoulos: The Travelling Players", "d:Description": "Guardian Unlimited Film appraisal on Angelopolous' The Travelling Players.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Angelopoulos,_Theo", "url": "http://www.theguardian.com/culture/2000/jun/15/artsfeatures"} +{"d:Title": "Michelangelo Antonioni Archive", "d:Description": "Includes a filmography, a short biography, and a forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Antonioni,_Michelangelo", "url": "http://www.littlerabbit.com/antonioni.html"} +{"d:Title": "The Guardian : Michelangelo Antonioni", "d:Description": "Collection of articles about the director's life, work, and death.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Antonioni,_Michelangelo", "url": "http://www.theguardian.com/film/michelangeloantonioni"} +{"d:Title": "IMDb: Michelangelo Antonioni", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Antonioni,_Michelangelo", "url": "http://www.imdb.com/name/nm0000774/"} +{"d:Title": "IMDb: Alexandre Arcady", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Arcady,_Alexandre", "url": "http://www.imdb.com/name/nm0002178/"} +{"d:Title": "Master of Colors: Dario Argento", "d:Description": "Information on the director and his films, as well as pictures, posters, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Argento,_Dario", "url": "http://argento.vervost.de/"} +{"d:Title": "IMDb - Dario Argento", "d:Description": "Profile, filmography, and related information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Argento,_Dario", "url": "http://www.imdb.com/name/nm0000783/"} +{"d:Title": "A Fistful of Dario - The Dark Cinema of Dario Argento", "d:Description": "Filmography, articles and links to other resources on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Argento,_Dario", "url": "http://www.mediaroach.com/fistfulofdario/"} +{"d:Title": "Darren Aronofsky Online", "d:Description": "Information on the director of Pi and Requiem For A Dream. Includes a comprehensive biography, interviews, reviews, news, and a message board.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Aronofsky,_Darren", "url": "http://aronofksy.tripod.com/"} +{"d:Title": "Combustible Celluloid - Interview with Darren Aronofsky", "d:Description": "Read an interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Aronofsky,_Darren", "url": "http://www.combustiblecelluloid.com/daint.shtml"} +{"d:Title": "IMDb - Darren Aronofsky", "d:Description": "Features a filmography, biography and photos.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Aronofsky,_Darren", "url": "http://www.imdb.com/name/nm0004716/"} +{"d:Title": "Images Journal: The Films and Career of Hal Ashby", "d:Description": "Features information, articles, and images about the filmmaker and his movies; Harold and Maude, Being There, and Coming Home.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Ashby,_Hal", "url": "http://www.imagesjournal.com/issue08/features/halashby/"} +{"d:Title": "Imdb: Hal Ashby", "d:Description": "Provides brief biography and filmography information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Ashby,_Hal", "url": "http://www.imdb.com/name/nm0000797/"} +{"d:Title": "Hieroglyphs of Armenia: Films by Don Askarian", "d:Description": "Harvard Film Archive article about the Armenian-born director. Includes summaries of \"Komitas\", \"Avetik\", and \"On the Old Roman Road\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Askarian,_Don", "url": "http://hcl.harvard.edu/hfa/films/2002janfeb/hieroglyphs.html"} +{"d:Title": "BBC Films: Lord Richard Attenborough", "d:Description": "An overview of the British veteran actor and director whose life in the movie industry spans nearly 60 years.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Attenborough,_Richard", "url": "http://www.bbc.co.uk/films/2000/10/31/richard_attenborough_article.shtml"} +{"d:Title": "Britmovie: Richard Attenborough", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Attenborough,_Richard", "url": "http://www.britmovie.co.uk/directors/r_attenborough/"} +{"d:Title": "Movie Trivia Tribute: Richard Attenborough", "d:Description": "Pictures and trivia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Attenborough,_Richard", "url": "http://www.triviatribute.com/richardattenborough.html"} +{"d:Title": "Rotten Tomatoes: Richard Attenborough", "d:Description": "Filmography, news, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Attenborough,_Richard", "url": "http://www.rottentomatoes.com/celebrity/richard_attenborough/"} +{"d:Title": "IMDb.com - Richard Attenborough", "d:Description": "Internet Movie Database provides the filmography for the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Attenborough,_Richard", "url": "http://www.imdb.com/name/nm0000277/"} +{"d:Title": "All Movie Guide: Richard Attenborough", "d:Description": "Provides biography, filmography, partners and awards of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/A/Attenborough,_Richard", "url": "http://www.allmovie.com/artist/richard-attenborough-p80152"} +{"d:Title": "Boote, Werner", "d:Description": "Biography, portfolio, news, awards, and links [requires Flash].", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B", "url": "http://www.wernerboote.com/"} +{"d:Title": "Blyth, David", "d:Description": "Director and producer of films including Radio Pirates and Bound for Pleasure. News about his film projects in New Zealand and Hollywood.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B", "url": "http://davidblyth.com/"} +{"d:Title": "Borrelli, Mauro", "d:Description": "Home page of the filmmaker and visual artist.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B", "url": "http://www.mauroborrelli.com/"} +{"d:Title": "Bohn, Thomas", "d:Description": "News, filmography, and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B", "url": "http://www.tom-bohn.de/"} +{"d:Title": "Mario Bava", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bava,_Mario", "url": "http://www.imdb.com/name/nm0000878/"} +{"d:Title": "Mario Bava Web Page", "d:Description": "An attractively made and intelligent web site devoted to Italian filmmaker Mario Bava.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bava,_Mario", "url": "http://mariobava.tripod.com/"} +{"d:Title": "Michael Bay", "d:Description": "Official site of the director. Includes biography, filmography, press releases, weblog and a forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bay,_Michael", "url": "http://www.michaelbay.com/"} +{"d:Title": "IMDb: Michael Bay", "d:Description": "Includes biography, filmography, photo gallery, awards and trivia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bay,_Michael", "url": "http://imdb.com/name/nm0000881/"} +{"d:Title": "Josh Becker: Directing From the Edge", "d:Description": "Official site of independent filmmaker and television director Josh Becker, scripts, articles, essays, short stories and \"Ask The Director\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Becker,_Josh", "url": "http://www.beckerfilms.com/"} +{"d:Title": "Jon Behrens Films", "d:Description": "Official site with film stills, information on distribution, works in progress, and upcoming screenings.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Behrens,_Jon", "url": "http://www.jonbehrensfilms.com/"} +{"d:Title": "The Hand Painted Films of Jon Behrens", "d:Description": "A collection of frame enlargements from the hand painted films of the Seattle filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Behrens,_Jon", "url": "http://www.angelfire.com/art2/cinema16/handpaintedfilms.html"} +{"d:Title": "IMDb: Robert Benton", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Benton,_Robert", "url": "http://www.imdb.com/name/nm0000914/"} +{"d:Title": "Ingmar Bergman Face to Face", "d:Description": "Database maintained by the Ingmar Bergman Foundation, containing a complete filmography and details about Bergman's films with production notes and quotations, as well as information about his writings and articles about his universe.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bergman,_Ingmar", "url": "http://www.ingmarbergman.se/"} +{"d:Title": "Tribute to Ingmar Bergman", "d:Description": "About.com Home Video/DVD biography of the writer-director including a section on each of his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bergman,_Ingmar", "url": "http://homevideo.about.com/library/weekly/aa070701a.htm"} +{"d:Title": "Filmref.com - Bergman Resources", "d:Description": "Features several films of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bergman,_Ingmar", "url": "http://www.filmref.com/directors/dirpages/bergman.html"} +{"d:Title": "How to Kill Your Father...", "d:Description": "The Observer interviews the director about his films and his Oedipus complex.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bertolucci,_Bernardo", "url": "http://www.theguardian.com/film/2001/oct/21/features.review"} +{"d:Title": "IMDb: Bernardo Bertolucci", "d:Description": "Biography, filmography, and news articles.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bertolucci,_Bernardo", "url": "http://www.imdb.com/name/nm0000934/"} +{"d:Title": "Notes on Luc Besson", "d:Description": "Analyses of characters and themes in several of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Besson,_Luc", "url": "http://www.stuartfernie.com/besson.html"} +{"d:Title": "Luc Besson's Web Ring", "d:Description": "List of sites in the ring with brief descriptions.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Besson,_Luc", "url": "http://www.webring.org/hub?ring=besson&list"} +{"d:Title": "Digital French Fries", "d:Description": "Article on Director Luc Besson (of the movie Le Femme Nikita) and his growing list of movies coming out on DVD.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Besson,_Luc", "url": "http://www.metrotimes.com/detroit/digital-french-fries/Content?oid=2199434"} +{"d:Title": "Ride Lonesome - The Bullfighting Budd Boetticher", "d:Description": "Sean Axmaker takes a look back at the life of the matador turned director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boetticher,_Budd", "url": "http://www.nitrateonline.com/2001/fbudd.html"} +{"d:Title": "The Films of Budd Boetticher", "d:Description": "Michael Grost looks at three of the director's movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boetticher,_Budd", "url": "http://mikegrost.com/boettich.htm"} +{"d:Title": "Budd Boetticher", "d:Description": "Obituary from The Guardian.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boetticher,_Budd", "url": "http://www.theguardian.com/news/2001/dec/03/guardianobituaries.filmnews"} +{"d:Title": "Budd Boetticher", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boetticher,_Budd", "url": "http://www.imdb.com/name/nm0091430/"} +{"d:Title": "All Movie Guide: Budd Boetticher", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boetticher,_Budd", "url": "http://www.allmovie.com/artist/budd-boetticher-p7021"} +{"d:Title": "Retrospectives: John Boorman, Visionary of His Time", "d:Description": "Includes a brief biography and a filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boorman,_John", "url": "http://www.filmfestival.gr/2001/uk/tributes_boorman.php"} +{"d:Title": "John Boorman", "d:Description": "Biography and filmography (as director, producer, writer, and actor) from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boorman,_John", "url": "http://www.imdb.com/name/nm0000958/"} +{"d:Title": "The Onion AV Club: John Boorman", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Boorman,_John", "url": "http://www.avclub.com/article/john-boorman-13576"} +{"d:Title": "The films of Walerian Borowczyk", "d:Description": "Contains synopses and discussion of \"Immoral Tales\", \"Immoral Women\", \"Goto, Island of Love\", \"Love Rites\", and \"The Beast\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Borowczyk,_Walerian", "url": "http://www.mondo-digital.com/immoraltales.html"} +{"d:Title": "IMDb: Frank Borzage", "d:Description": "Photographs, profile, trivia, and complete filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Borzage,_Frank", "url": "http://www.imdb.com/name/nm0097648/"} +{"d:Title": "Virtual History: Frank Borzage", "d:Description": "Overview of published literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Borzage,_Frank", "url": "http://www.virtual-history.com/movie/person/2367/frank-borzage"} +{"d:Title": "Robert Bramkamp - British Film Institute", "d:Description": "Short profile of the director at the British Film Institute", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bramkamp,_Robert", "url": "http://explore.bfi.org.uk/4ce2bb41a3a9b"} +{"d:Title": "Telegraph - Catherine Breillat", "d:Description": "John Whitely interviews Catherine Breillat on Nagisa Oshima's In the Realm of the Senses.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Breillat,_Catherine", "url": "http://www.telegraph.co.uk/culture/film/3598890/Film-makers-on-film-Catherine-Breillat.html"} +{"d:Title": "Guardian Unlimited - Catherine Breillat", "d:Description": "Read the interview: \"The joy of sex\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Breillat,_Catherine", "url": "http://www.theguardian.com/film/2001/nov/23/filmcensorship.artsfeatures"} +{"d:Title": "ABC Radio National - Romance Catherine Breillat", "d:Description": "Read the Arts Today interview with the female film maker and French novelist.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Breillat,_Catherine", "url": "http://www.abc.net.au/rn/legacy/programs/atoday/stories/s101866.htm"} +{"d:Title": "Catherine Breillat Pulls the Strings", "d:Description": "Article about the director's work by Mark Peranson.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Breillat,_Catherine", "url": "http://www.villagevoice.com/film/catherine-breillat-pulls-the-strings-6396769"} +{"d:Title": "IMDb: Tony Bui", "d:Description": "His filmography at the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bui,_Tony", "url": "http://www.imdb.com/name/nm0004784/"} +{"d:Title": "University of California Berkeley - Luis Bunuel: Love, Lava and Lavatories", "d:Description": "An essay on surrealism in his work, from the Media Resources Center site.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bu\u00f1uel,_Luis", "url": "http://www.lib.berkeley.edu/MRC/bunuel3.html"} +{"d:Title": "Cosmic Baseball Association - Luis Bu\u00f1uel", "d:Description": "A brief profile and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bu\u00f1uel,_Luis", "url": "http://www.cosmicbaseball.com/bunuel8.html"} +{"d:Title": "Western Connecticut State University - Luis Bunuel", "d:Description": "Biography, filmography, bibliography and an essay on \"Simon of the Desert\", from the Film and Video Art site.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bu\u00f1uel,_Luis", "url": "http://people.wcsu.edu/mccarneyh/fva/B/Luis_Bunuel.html"} +{"d:Title": "IMDb - Luis Bu\u00f1uel", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Bu\u00f1uel,_Luis", "url": "http://www.imdb.com/name/nm0000320/"} +{"d:Title": "The Life and Films of Tim Burton", "d:Description": "A site devoted to the popular director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Burton,_Tim", "url": "http://www.angelfire.com/az/Burton/"} +{"d:Title": "Tim Burton: Auteur or Marketing Concept", "d:Description": "In-depth look at the works of America's gothic mastermind.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Burton,_Tim", "url": "http://www.euronet.nl/users/mcbeijer/dan/home_burton.html"} +{"d:Title": "IMDb: Tim Burton (I)", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Burton,_Tim", "url": "http://www.imdb.com/name/nm0000318/"} +{"d:Title": "Virtual History: Tim Burton", "d:Description": "Overview of literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/B/Burton,_Tim", "url": "http://www.virtual-history.com/movie/person/2771/tim-burton"} +{"d:Title": "Ceylan, Nuri Bilge", "d:Description": "Award-winning Turkish director. Information about his films, including synopses, screening details, and multimedia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C", "url": "http://www.nuribilgeceylan.com/"} +{"d:Title": "Caldana, Carlo", "d:Description": "Credits, photographs and quotes on films by the writer/director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C", "url": "http://www.carlocaldana.com/"} +{"d:Title": "Gaepis: Phidippides Award", "d:Description": "Read about life of the Greek filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cacoyannis,_Michael", "url": "http://www.gaepis.org/pages/phid_cacoyianis.htm"} +{"d:Title": "IMDb - Michael Cacoyannis", "d:Description": "Michael Cacoyannis's filmography at IMDb", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cacoyannis,_Michael", "url": "http://www.imdb.com/name/nm0128050/"} +{"d:Title": "The Hall of Arts: James Cameron", "d:Description": "Profiles the film-director and offers a biography, as well as an extended interview.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cameron,_James", "url": "http://www.achievement.org/autodoc/page/cam0pro-1"} +{"d:Title": "Rotten Tomatoes: James Cameron", "d:Description": "Contains movie news, photos, awards, a forum as well as the filmography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cameron,_James", "url": "http://www.rottentomatoes.com/celebrity/james_cameron/"} +{"d:Title": "IMDb: James Cameron", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cameron,_James", "url": "http://www.imdb.com/name/nm0000116/"} +{"d:Title": "All Movie Guide: James Cameron", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cameron,_James", "url": "http://www.allmovie.com/artist/james-cameron-p10397"} +{"d:Title": "Piano Lessons - Jane Campion Composes Herself", "d:Description": "Portrait of the director with summaries of her films, by Peter Keough.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Campion,_Jane", "url": "http://www.bostonphoenix.com/archive/movies/99/01/28/JANE_CAMPION_A_COMPLETE_RE.html"} +{"d:Title": "IMDb: Jane Campion", "d:Description": "Her profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Campion,_Jane", "url": "http://www.imdb.com/name/nm0001005/"} +{"d:Title": "Oz Cinema.com: Jane Campino", "d:Description": "Joshua Smith profiles the director of \"The Piano\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Campion,_Jane", "url": "http://www.ozcinema.com/people/c/janecampion.html"} +{"d:Title": "Wholly Jane", "d:Description": "Jane Campion on her new movie and other mysteries, by Judith Lewis [LA Weekly].", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Campion,_Jane", "url": "http://www.laweekly.com/2000-01-27/film-tv/wholly-jane/"} +{"d:Title": "All Movie Guide: Jane Campion", "d:Description": "Biography, collaborators, filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Campion,_Jane", "url": "http://www.allmovie.com/artist/jane-campion-p83988"} +{"d:Title": "Jane Campion", "d:Description": "Where the boys are, by Jessica Hundley.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Campion,_Jane", "url": "http://www.salon.com/2000/03/22/directors/"} +{"d:Title": "Jane Campion", "d:Description": "Browse through a collection of articles about the woman film director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Campion,_Jane", "url": "http://jam.canoe.com/Movies/Artists/C/Campion_Jane/"} +{"d:Title": "Frank Capra 1876 - 1991", "d:Description": "Erik Weems examines Capra's life and films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Capra,_Frank", "url": "http://eeweems.com/capra/"} +{"d:Title": "IMDb: Frank Capra", "d:Description": "Biography, filmography and photo gallery of the Italian film director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Capra,_Frank", "url": "http://www.imdb.com/name/nm0001008/"} +{"d:Title": "Frank Capra's America", "d:Description": "Detailed article about him and how he influenced America.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Capra,_Frank", "url": "http://www.albany.edu/jmmh/vol2no1/Capra1.html"} +{"d:Title": "The Films of Frank Capra", "d:Description": "Reviews of his films \"Rain or Shine\" and \"Platinum Blonde\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Capra,_Frank", "url": "http://mikegrost.com/capra.htm"} +{"d:Title": "Reel Classics: Frank Capra", "d:Description": "Filmography, posters, and quotations.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Capra,_Frank", "url": "http://www.reelclassics.com/Directors/Capra/capra.htm"} +{"d:Title": "All Movie Guide: Frank Capra", "d:Description": "Biographical and career profiles as well as the filmography of the producer and director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Capra,_Frank", "url": "http://www.allmovie.com/artist/frank-capra-p84082"} +{"d:Title": "The Official John Carpenter", "d:Description": "Features information on his movies, music, and the man. Also includes sounds and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Carpenter,_John", "url": "http://www.theofficialjohncarpenter.com/"} +{"d:Title": "John Carpenter", "d:Description": "Profile and overview of the director's major works.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Carpenter,_John", "url": "http://pages.emerson.edu/organizations/fas/latent_image/issues/1990-03/print_version/carpenter.htm"} +{"d:Title": "Killing His Contemporaries", "d:Description": "An examination of musical scores penned by the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Carpenter,_John", "url": "http://www.furious.com/perfect/johncarpenter.html"} +{"d:Title": "IMDb - John Carpenter", "d:Description": "Filmography with biographical information and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Carpenter,_John", "url": "http://www.imdb.com/name/nm0000118/"} +{"d:Title": "Rotten Tomatoes: John Carpenter", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Carpenter,_John", "url": "http://www.rottentomatoes.com/celebrity/1040928-john_carpenter/"} +{"d:Title": "The Onion AV Club: John Carpenter", "d:Description": "Concise interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Carpenter,_John", "url": "http://www.avclub.com/article/john-carpenter-13564"} +{"d:Title": "Bollywood Legends: Yash Chopra", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Chopra,_Yash", "url": "http://www.idlebrain.com/mumbai/legends/yashchopra/"} +{"d:Title": "Yash Chopra", "d:Description": "Features profile and biography of the legend in movie making.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Chopra,_Yash", "url": "http://www.yashrajfilms.com/profile/yash.htm"} +{"d:Title": "Culturebase.net: Yash Chopra", "d:Description": "Discover the work of the Indian filmmaker by reading an article and his biography as well as having an overview of his movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Chopra,_Yash", "url": "http://www.culturebase.net/artist.php?1303"} +{"d:Title": "IMDb: Yash Chopra", "d:Description": "Read the complete filmography at the \"Internet Movie Database\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Chopra,_Yash", "url": "http://www.imdb.com/name/nm0007181/"} +{"d:Title": "Santabanta.com", "d:Description": "Summary of the career of the Indian filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Chopra,_Yash", "url": "http://www.santabanta.com/bollywood/1159/yash-chopra/"} +{"d:Title": "IMDb: Michael Cimino", "d:Description": "Filmography, awards, profile, photography gallery, and related career data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cimino,_Michael", "url": "http://www.imdb.com/name/nm0001047/"} +{"d:Title": "RottenTomatoes: Michael Cimino", "d:Description": "Filmography with reviews, news, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cimino,_Michael", "url": "http://www.rottentomatoes.com/celebrity/michael_cimino/"} +{"d:Title": "IMDb: Larry Clark", "d:Description": "Filmography as director, actor, writer, cinematographer and producer and a photo gallery.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Clark,_Larry", "url": "http://www.imdb.com/name/nm0164187/"} +{"d:Title": "FilmBug: Larry Clark", "d:Description": "Offers a mini-biography of the filmmaker as well as a forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Clark,_Larry", "url": "http://www.filmbug.com/db/31862"} +{"d:Title": "All Movie Guide: Larry Clark", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Clark,_Larry", "url": "http://www.allmovie.com/artist/larry-clark-p177545"} +{"d:Title": "IMDb: Ethan Coen", "d:Description": "Short biography, filmography and photos of the brother of Joel.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.imdb.com/name/nm0001053/"} +{"d:Title": "IMDb: Joel Coen", "d:Description": "Internet Movie Database entry of Joel, includes his filmography, biography and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.imdb.com/name/nm0001054/"} +{"d:Title": "Wikipedia: Joel and Ethan Coen", "d:Description": "Article about the stylistic devices of the filmmakers.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://en.wikipedia.org/wiki/Joel_and_Ethan_Coen"} +{"d:Title": "BBCi Films: The Coen Brothers: A Profile", "d:Description": "Sandi Chaitram characterizes the brothers.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.bbc.co.uk/films/2001/02/22/coen_brothers_profile_article.shtml"} +{"d:Title": "Film Scouts: Joel Coen", "d:Description": "Film reviews and interviews of the films of one of the brothers.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.filmscouts.com/scripts/person.cfm?Person=41"} +{"d:Title": "The Onion AV Club: Joel and Ethan Coen", "d:Description": "Interview about their film debut, working with mega-producer Joel Silver, Midwestern identity, and their unrealized dream of reviving the Ma And Pa Kettle series.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.avclub.com/article/joel-ethan-coen-13667"} +{"d:Title": "The Onion AV Club: Ethan Coen", "d:Description": "Interview about his books and films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.avclub.com/article/ethan-coen-13573"} +{"d:Title": "All Movie Guide: Ethan Coen", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.allmovie.com/artist/ethan-coen-p85372"} +{"d:Title": "All Movie Guide: Joel Coen", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coen,_Joel_and_Ethan", "url": "http://www.allmovie.com/artist/joel-coen-p85375"} +{"d:Title": "FilmBug: Francis Ford Coppola", "d:Description": "Offers a biography, photographs from his movies and a forum for the filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://www.filmbug.com/db/1251"} +{"d:Title": "IMDb: Francis Ford Coppola", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://imdb.com/name/nm0000338/"} +{"d:Title": "Academy of Achievement: Francis Ford Coppola", "d:Description": "Explore his biographical information and read an interview with the filmmaker, producer and screenwriter.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://www.achievement.org/autodoc/page/cop0pro-1"} +{"d:Title": "Wikipedia: Francis Ford Coppola", "d:Description": "Short overview of his career and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://en.wikipedia.org/wiki/Francis_Ford_Coppola"} +{"d:Title": "Francis Ford Coppola Biography", "d:Description": "Jon Matthew's extended resume of the director covers also his movies and suggested readings.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://www.twyman-whitney.com/film/celluloid_profiles/coppola.html"} +{"d:Title": "Yahoo Movies: Francis Ford Coppola", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://movies.yahoo.com/person/francis-ford-coppola/"} +{"d:Title": "Virtual History: Francis Ford Coppola", "d:Description": "Overview of literature on the director and some photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://www.virtual-history.com/movie/person/135/francis-ford-coppola"} +{"d:Title": "Salon Brilliant Careers: Francis Ford Coppola", "d:Description": "Profile of his career written by Michael Sragow.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford", "url": "http://www.salon.com/1999/10/19/coppola/"} +{"d:Title": "American Zoetrope", "d:Description": "Motion picture production and post-production company founded by Francis Coppola.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford/Zoetrope", "url": "http://www.zoetrope.com/"} +{"d:Title": "Zoetrope: All-Story", "d:Description": "Short-fiction magazine founded by Francis Coppola. Features online submissions and reviews of short stories and novellas.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford/Zoetrope", "url": "http://www.all-story.com/"} +{"d:Title": "Blancaneaux Lodge", "d:Description": "A paradise created by Francis Ford Coppola for visitors to enjoy the tranquility of their surroundings in Belize.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Francis_Ford/Zoetrope", "url": "http://www.coppolaresorts.com/blancaneaux"} +{"d:Title": "IMDb: Sofia Coppola", "d:Description": "Filmography, awards, biography and photos of the actress and director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Sofia", "url": "http://www.imdb.com/name/nm0001068/"} +{"d:Title": "Who2: Sofia Coppola", "d:Description": "Offers a short description about the life and work of the writer and director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Sofia", "url": "http://www.who2.com/bio/sofia-coppola"} +{"d:Title": "The Onion AV Club: Sofia Coppola", "d:Description": "Interview about the challenges of occupying the director's chair.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Sofia", "url": "http://www.avclub.com/article/sofia-coppola-13656"} +{"d:Title": "Director Bio: Sofia Coppola", "d:Description": "Synopsis of her career and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Coppola,_Sofia", "url": "http://www.tribute.ca/people/sofia-coppola/5248/"} +{"d:Title": "Roger Corman", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Corman,_Roger", "url": "http://www.imdb.com/name/nm0000339/"} +{"d:Title": "Jahsonic.com: Roger Corman", "d:Description": "Profiles the director of \"The Masque of the Red Death\" and offers reviews from some of his movies as well as a collection of links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Corman,_Roger", "url": "http://www.jahsonic.com/RogerCorman.html"} +{"d:Title": "The Onion AV Club: Roger Corman", "d:Description": "Interview with the legendary B-movie producer and director on his long and storied career.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Corman,_Roger", "url": "http://www.avclub.com/article/roger-corman-13590"} +{"d:Title": "All Movie Guide: Roger Corman", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Corman,_Roger", "url": "http://www.allmovie.com/artist/roger-corman-p85920"} +{"d:Title": "Wes Craven's World", "d:Description": "Official site with filmography, current projects, appearances, and interviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Craven,_Wes", "url": "http://www.wescraven.com/"} +{"d:Title": "FilmBug: Wes Craven", "d:Description": "Learn about the life, the filmography of the horror-film-maker and see posters of his movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Craven,_Wes", "url": "http://www.filmbug.com/db/428"} +{"d:Title": "Wes Craven: The Art of Horror", "d:Description": "Fanpage that offers an overview about his career, his films and the person. By John Kenneth Muir.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Craven,_Wes", "url": "http://www.talkingpix.co.uk/Wes%20Craven%20Art.htm"} +{"d:Title": "Kaos2000 Magazine: Wes Craven", "d:Description": "Read the short interview and a press conference with the horror director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Craven,_Wes", "url": "http://www.kaos2000.net/interviews/wescraven/"} +{"d:Title": "IMDb - Wes Craven", "d:Description": "Filmography, biography, trivia, quotes, photographs and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Craven,_Wes", "url": "http://www.imdb.com/name/nm0000127/"} +{"d:Title": "TV.com: Wes Craven", "d:Description": "Short summary of his biographical information as well as links to his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Craven,_Wes", "url": "http://www.tv.com/people/wes-craven/"} +{"d:Title": "JAM!Movies: Wes Craven", "d:Description": "A collection of articles from various Canadian publications.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Craven,_Wes", "url": "http://jam.canoe.com/Movies/Artists/C/Craven_Wes/"} +{"d:Title": "David Cronenberg", "d:Description": "An overview on the work of the director, with screen captures, reviews and a mailing list.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cronenberg,_David", "url": "http://www.davidcronenberg.de/"} +{"d:Title": "IMDb - David Cronenberg", "d:Description": "Filmography with biographical information and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cronenberg,_David", "url": "http://www.imdb.com/name/nm0000343/"} +{"d:Title": "The Onion A.V. Club: David Cronenberg", "d:Description": "Director talks about his work and the difficulties of making a movie.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cronenberg,_David", "url": "http://www.avclub.com/article/david-cronenberg-13801"} +{"d:Title": "USAToday.com", "d:Description": "An interview with Alice Crowe about her son.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Crowe,_Cameron", "url": "http://cgi1.usatoday.com/mchat/20011130001/tscript.htm"} +{"d:Title": "IMDb: Cameron Crowe", "d:Description": "See the Internet Movie Database entry of the director of \"Vanilla Sky\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Crowe,_Cameron", "url": "http://www.imdb.com/name/nm0001081/"} +{"d:Title": "MovieJuice", "d:Description": "An interview with Cameron Crowe and the stars of Almost Famous.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Crowe,_Cameron", "url": "http://moviejuice.com/2000/08/14/moviejuice-interviews-the-stars-from-the-new-cameron-crowe-movie-almost-famous/"} +{"d:Title": "The Onion", "d:Description": "AV Club interviews writer and director Cameron Crowe about rock journalism and the movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Crowe,_Cameron", "url": "http://www.avclub.com/article/cameron-crowe-13676"} +{"d:Title": "Metrotimes", "d:Description": "Interview with the film director about his semi-autobiographical movie Almost Famous, plus his other movies Singles, Say Anything and Jerry Maguire.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Crowe,_Cameron", "url": "http://www.metrotimes.com/detroit/rock-n-roll-naandiumlvetandeacute/Content?oid=2169502"} +{"d:Title": "Cameron Crowe", "d:Description": "Official site for the director with news, journal entries, information on his work, biography, forum and information on the HI-FI fan club. [Requires Flash.]", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Crowe,_Cameron", "url": "http://www.theuncool.com/"} +{"d:Title": "George Cukor", "d:Description": "Filmography, pictures and biography at the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cukor,_George", "url": "http://www.imdb.com/name/nm0002030/"} +{"d:Title": "George Cukor", "d:Description": "Read a compilation of reviews of his movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cukor,_George", "url": "http://cmulrooney.tripod.com/cukor.html"} +{"d:Title": "The Films of George Cukor", "d:Description": "Offers analysis of a few major films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cukor,_George", "url": "http://mikegrost.com/cukor.htm"} +{"d:Title": "Reel Classics: George Cukor", "d:Description": "Filmography and posters.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cukor,_George", "url": "http://www.reelclassics.com/Directors/Cukor/cukor.htm"} +{"d:Title": "American Masters - George Cukor", "d:Description": "Includes biography, career timeline, and interview.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Cukor,_George", "url": "http://www.pbs.org/wnet/americanmasters/episodes/george-cukor/on-cukor/564/"} +{"d:Title": "IMDb: Michael Curtiz", "d:Description": "Complete filmography, profile, photographs, and other related career data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Curtiz,_Michael", "url": "http://www.imdb.com/name/nm0002031/"} +{"d:Title": "Director Michael Curtiz", "d:Description": "Biography, film credits, pictures, quotes and trivia, related links, as well as other information on his career focusing on his years with Warner Brothers Studio.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/C/Curtiz,_Michael", "url": "http://candide.tripod.com/"} +{"d:Title": "Demme, Ted", "d:Description": "Director's biography and DVD filmography on DVDwolf.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D", "url": "http://www.dvdwolf.com/templates/dsp_bio.php?u_peopleid=48697#biography"} +{"d:Title": "Dante, Joe", "d:Description": "The Onion AV Club interviews director Joe Dante about his status in Hollywood, test screenings, and movie ratings.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D", "url": "http://www.avclub.com/article/joe-dante-13689"} +{"d:Title": "BBC News: Stephen Daldry: From stage to screen", "d:Description": "Billy Elliot is the debut film from director Stephen Daldry who has long had a glittering British theatrical career.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Daldry,_Stephen", "url": "http://news.bbc.co.uk/1/hi/entertainment/1216863.stm"} +{"d:Title": "BBC Films: Stephen Daldry", "d:Description": "interview, in which the director of \"Billy Elliot\" takes on the sisterhood of Virginia Woolf in \"The Hours\", and reveals how Nicole Kidman won that Golden Globe.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Daldry,_Stephen", "url": "http://www.bbc.co.uk/films/2003/02/03/stephen_daldry_the_hours_interview.shtml"} +{"d:Title": "Guardian Unlimited: 'Nothing is the hardest thing to do'", "d:Description": "The day after 'The Hours' was nominated for nine Oscars, Daldry spoke to the Guardian's theatre critic Michael Billington about the film, awards and his love for theatre.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Daldry,_Stephen", "url": "http://www.theguardian.com/film/2003/feb/12/oscars2003.oscars"} +{"d:Title": "Guardian Unlimited: Stephen Daldry: He'll turn his hand to anything", "d:Description": "Interview in which Daldry talks about his acclaimed movie 'The Hours', sexuality and his surprise marriage.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Daldry,_Stephen", "url": "http://www.theguardian.com/film/2002/dec/08/features.review"} +{"d:Title": "IMDb: Stephen Daldry", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Daldry,_Stephen", "url": "http://www.imdb.com/name/nm0197636/"} +{"d:Title": "Redeeming the Writer: A Conversation with Frank Darabont", "d:Description": "Stu Kobak profiles the writer/director of The Shawshank Redemption.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Darabont,_Frank", "url": "http://www.filmsondisc.com/Features/darabont/darabont.htm"} +{"d:Title": "David DeCoteau", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/DeCoteau,_David", "url": "http://www.imdb.com/name/nm0213983/"} +{"d:Title": "Cecil B. DeMille", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/DeMille,_Cecil_B.", "url": "http://www.imdb.com/name/nm0001124/"} +{"d:Title": "Cecil B. DeMille - The Visionary Years 1915-1927", "d:Description": "Images Journal looks at the director's silent film career.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/DeMille,_Cecil_B.", "url": "http://www.imagesjournal.com/issue03/reviews/demille.htm"} +{"d:Title": "Reel Classics: Cecil B. DeMille", "d:Description": "Biography, awards and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/DeMille,_Cecil_B.", "url": "http://www.reelclassics.com/Directors/deMille/demille.htm"} +{"d:Title": "All Movie Guide: Cecil B. DeMille", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/DeMille,_Cecil_B.", "url": "http://www.allmovie.com/artist/cecil-b-demille-p87147"} +{"d:Title": "Jonathan Demme", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Demme,_Jonathan", "url": "http://www.imdb.com/name/nm0001129/"} +{"d:Title": "All Movie Guide: Jonathan Demme", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Demme,_Jonathan", "url": "http://www.allmovie.com/artist/jonathan-demme-p87470"} +{"d:Title": "Internet Movie Database: Claire Denis", "d:Description": "Biography, filmography, and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Denis,_Claire", "url": "http://imdb.com/name/nm0219136/"} +{"d:Title": "Worship Guitars: Claire Denis", "d:Description": "Interview and selected filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Denis,_Claire", "url": "http://www.worshipguitars.org/Interviews/clairedenis/index.html"} +{"d:Title": "The Guardian: Claire Denis", "d:Description": "An interview by Jonathan Romney.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Denis,_Claire", "url": "http://www.theguardian.com/film/interview/interviewpages/0,6737,338784,00.html"} +{"d:Title": "De Palma a la Mod", "d:Description": "News on the works of film director Brian De Palma, with links, photos, and commentaries. Abandoned projects are also examined.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/De_Palma,_Brian", "url": "http://www.angelfire.com/de/palma/"} +{"d:Title": "Brian De Palma", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/De_Palma,_Brian", "url": "http://www.imdb.com/name/nm0000361/"} +{"d:Title": "Vittorio de Sica", "d:Description": "Strictly Film School looks at three of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/De_Sica,_Vittorio", "url": "http://www.filmref.com/directors/dirpages/desica.html"} +{"d:Title": "Vittorio De Sica", "d:Description": "Biography and filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/De_Sica,_Vittorio", "url": "http://www.imdb.com/name/nm0001120/"} +{"d:Title": "The Films of Andr\u00e9 de Toth", "d:Description": "Michael Grost examines \"Springfield Rifle\", \"Crime Wave\", \"Man in the Saddle\" and \"Slattery's Hurricane\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/De_Toth,_Andr\u00e9", "url": "http://mikegrost.com/detoth.htm"} +{"d:Title": "Andr\u00e9 de Toth", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/De_Toth,_Andr\u00e9", "url": "http://www.imdb.com/name/nm0211964/"} +{"d:Title": "Harsh Master - Films by Andr\u00e9 d Toth", "d:Description": "Fred Camper looks at several of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/De_Toth,_Andr\u00e9", "url": "http://www.chicagoreader.com/chicago/movies/Section?oid=846987archives/1097/10037.html"} +{"d:Title": "Richard Dutcher", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/D/Dutcher,_Richard", "url": "http://www.imdb.com/name/nm0244756/"} +{"d:Title": "EgoFilmArts", "d:Description": "Official site with information on his work, news, and contact information. [Flash]", "priority": "1", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/E/Egoyan,_Atom", "url": "http://www.egofilmarts.com/"} +{"d:Title": "IMDb.com - Atom Egoyan", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/E/Egoyan,_Atom", "url": "http://www.imdb.com/name/nm0000382/"} +{"d:Title": "The Onion AV Club - Atom Egoyan", "d:Description": "Interview with the director about serial killers and \"Felicia's Journey\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/E/Egoyan,_Atom", "url": "http://www.avclub.com/article/atom-egoyan-13631"} +{"d:Title": "Los Angeles Weekly - Heinz Emigholz: Build It and He Will Come", "d:Description": "A cursory description of the architecture films of the German avant-garde filmmaker by Scott Foundas.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/E/Emigholz,_Heinz", "url": "http://www.laweekly.com/2008-04-03/film-tv/build-it-and-he-will-come/"} +{"d:Title": "Forma, Dominique", "d:Description": "Official site of the French director and writer. Biography, filmography, movie clips, articles, and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F", "url": "http://dominiqueforma.free.fr/"} +{"d:Title": "Firstenberg, Sam", "d:Description": "Official site offering filmography, posters and photos.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F", "url": "http://samfirstenberg.tripod.com/"} +{"d:Title": "Rainer Werner Fassbinder Foundation", "d:Description": "The foundation's site outlines its history and its goals.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Fassbinder,_Rainer_Werner", "url": "http://www.fassbinderfoundation.de/"} +{"d:Title": "Fellini: Images and Archetypes", "d:Description": "A personal perspective on Fellini's films and imagery by Gerry Manacsa.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Fellini,_Federico", "url": "http://www.outofbalance.org/fellini"} +{"d:Title": "IMDb: Federico Fellini", "d:Description": "Biography, filmography, and news articles.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Fellini,_Federico", "url": "http://www.imdb.com/name/nm0000019/"} +{"d:Title": "IMDb: Abel Ferrara", "d:Description": "Complete filmography and brief biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Ferrara,_Abel", "url": "http://www.imdb.com/name/nm0001206/"} +{"d:Title": "BBC Films: Chat with Mike Figgis", "d:Description": "Transcript of the live interview, mainly consisting of questions from the public and focusing on Timecode and Miss Julie.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Figgis,_Mike", "url": "http://www.bbc.co.uk/films/2000/09/01/mike_figgis_chat_transcript_article.shtml"} +{"d:Title": "Mike Figgis", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Figgis,_Mike", "url": "http://www.imdb.com/name/nm0001214/"} +{"d:Title": "The Onion AV Club: Mike Figgis", "d:Description": "An interview with the director on pornography and art.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Figgis,_Mike", "url": "http://www.avclub.com/article/mike-figgis-13604"} +{"d:Title": "Filmbug: Mike Figgis", "d:Description": "Biography of the filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Figgis,_Mike", "url": "http://www.filmbug.com/db/1570"} +{"d:Title": "Rotten Tomatoes: David Fincher", "d:Description": "Filmography, photos, news and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Fincher,_David", "url": "http://www.rottentomatoes.com/celebrity/david_fincher/"} +{"d:Title": "A Talk with David Fincher", "d:Description": "DVD Talk's Paul Guyot interviews the director of Fight Club, Alien 3, The Game and Seven.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Fincher,_David", "url": "http://www.dvdtalk.com/interviews/david_fincher_s.html"} +{"d:Title": "Reel Classics: Victor Fleming", "d:Description": "Biography, films, and a retrospective by Michael Sragow for the New York Times.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Fleming,_Victor", "url": "http://www.reelclassics.com/Directors/Fleming/fleming.htm"} +{"d:Title": "IMdb: Victor Fleming", "d:Description": "Birth and death information, list of films organized chronologically by year of release.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Fleming,_Victor", "url": "http://www.imdb.com/name/nm0281808/"} +{"d:Title": "John Ford", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Ford,_John", "url": "http://www.imdb.com/name/nm0000406/"} +{"d:Title": "Virtual History: John Ford", "d:Description": "Overview of literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Ford,_John", "url": "http://www.virtual-history.com/movie/person/104/john-ford"} +{"d:Title": "The Director's Chair: Milos Forman", "d:Description": "In an undated interview, the director defends \"The People vs. Larry Flynt\" against charges that it's porn-friendly.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Forman,_Milos", "url": "http://industrycentral.net/director_interviews/MIFO01.HTM"} +{"d:Title": "They Shoot Pictures, Don't They? - Milos Forman", "d:Description": "Vital statistics, partial filmography, and a list of the director's favorite films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Forman,_Milos", "url": "http://theyshootpictures.com/formanmilos.htm"} +{"d:Title": "Milos Forman", "d:Description": "Internet Movie Database entry. Filmography, awards, and other topics.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Forman,_Milos", "url": "http://www.imdb.com/name/nm0001232/"} +{"d:Title": "The Onion A.V. Club - Milos Forman", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Forman,_Milos", "url": "http://www.avclub.com/article/milos-forman-13764"} +{"d:Title": "American Masters: Milos Forman", "d:Description": "Biography and list of major works.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Forman,_Milos", "url": "http://www.pbs.org/wnet/americanmasters/episodes/milos-forman/about-milos-forman/597/"} +{"d:Title": "Red Spring: Interview with Milos Forman", "d:Description": "The director discusses his experiences in Czechoslovakia in the 1950s and 1960s, being a filmmaker in capitalist and communist societies, and other thoughts on politics and his life.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Forman,_Milos", "url": "http://nsarchive.gwu.edu/coldwar/interviews/episode-14/forman1.html"} +{"d:Title": "Mondo Erotico: The films of Jess Franco", "d:Description": "Synopses and reviews of several of his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Franco,_Jess", "url": "http://www.mondo-digital.com/jessfranco.html"} +{"d:Title": "A Conversation with John Frankenheimer", "d:Description": "Nitrate Online feature interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Frankenheimer,_John", "url": "http://www.nitrateonline.com/2000/freindeer.html"} +{"d:Title": "John Frankenheimer", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Frankenheimer,_John", "url": "http://www.imdb.com/name/nm0001239/"} +{"d:Title": "Frankenheimer on Location in Canada", "d:Description": "Gerald Pratley interviews the director during the filming of \"Reindeer Games.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Frankenheimer,_John", "url": "http://www.kinema.uwaterloo.ca/article.php?id=204&feature"} +{"d:Title": "The Onion AV Club: John Frankenheimer", "d:Description": "Interview with the director about a range of film and television work, with one notable exception.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Frankenheimer,_John", "url": "http://www.avclub.com/article/john-frankenheimer-13639"} +{"d:Title": "Master of suspense", "d:Description": "An article about the director's television roots, by Louis B. Hobson [canoe.ca].", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Frankenheimer,_John", "url": "http://jam.canoe.com/Movies/Artists/F/Frankenheimer_John/1998/09/24/758446.html"} +{"d:Title": "Stephen Frears", "d:Description": "Filmography and links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/F/Frears,_Stephen", "url": "http://www.imdb.com/name/nm0001241/"} +{"d:Title": "Gold, Ari", "d:Description": "Official site for the actor-writer-director, with news, screening information, articles, and links to purchase films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G", "url": "http://www.arigoldfilms.com/"} +{"d:Title": "Girdler, William", "d:Description": "Filmography, film capsules and rare graphics.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G", "url": "http://www.williamgirdler.com/"} +{"d:Title": "Graeff, Tom", "d:Description": "Fan site with biography, filmography, video clips, articles, photographs, weblog, and a forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G", "url": "http://www.tomgraeff.org/"} +{"d:Title": "The Godard Experience", "d:Description": "Biography of the French new wave film director, links, filmography, reviews and commentary on several films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Godard,_Jean-Luc", "url": "http://www.carleton.edu/curricular/MEDA/classes/media110/Friesema/intro.html"} +{"d:Title": "IMDb: Jean-Luc Godard", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Godard,_Jean-Luc", "url": "http://www.imdb.com/name/nm0000419/"} +{"d:Title": "Peter Greenaway", "d:Description": "Examines the cinematic works of the English artist. Includes feature film profiles and information about his shorter works.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Greenaway,_Peter", "url": "http://petergreenaway.org.uk/"} +{"d:Title": "IMDb: Peter Greenaway", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Greenaway,_Peter", "url": "http://www.imdb.com/name/nm0000425/"} +{"d:Title": "Peter Greenaway", "d:Description": "Lists films, posters, and movie stills.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Greenaway,_Peter", "url": "http://bestfilms.tripod.com/green.htm"} +{"d:Title": "Geneva Stairs", "d:Description": "Photo documentation of Peter Greenaway's Stairs project in Geneva.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Greenaway,_Peter", "url": "http://cri.ch/stairs/"} +{"d:Title": "Guardian Unlimited - Why j'aime Peter Greenaway... and why I loathe his films", "d:Description": "Article by Jean Roy and David Thomson analyzing the aristocratic phenomenon of cinema.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Greenaway,_Peter", "url": "http://www.theguardian.com/film/2003/may/22/artsfeatures.cannes20031"} +{"d:Title": "Yahoo! Movies: Peter Greenaway", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Greenaway,_Peter", "url": "http://movies.yahoo.com/person/peter-greenaway/"} +{"d:Title": "Telepolis - Interview with Peter Greenaway", "d:Description": "The Medium Is The Message - by Manu Luksch.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/G/Greenaway,_Peter", "url": "http://www.heise.de/tp/artikel/6/6112/1.html"} +{"d:Title": "The Onion AV Club: Monte Hellman", "d:Description": "Interviews the director of the legendary Two-Lane Blacktop about road movies and directing James Taylor.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H", "url": "http://www.avclub.com/article/monte-hellman-13630"} +{"d:Title": "Harrison, Greg", "d:Description": "Interview with the director about his film \"Groove\", and the rave scene in the California.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H", "url": "http://www.metrotimes.com/detroit/underground-vibe/Content?oid=2168974"} +{"d:Title": "BOMB Magazine - Barbara Hammer", "d:Description": "Interview by Tina DiFeliciantonio, focusing on death, silence, history, and the feature film Nitrate Kisses.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hammer,_Barbara", "url": "http://bombsite.com/issues/43/articles/1642"} +{"d:Title": "Tsui Hark", "d:Description": "Reviews of several dozen of the filmmaker's movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hark,_Tsui", "url": "http://www.lovehkfilm.com/people/tsui_hark.htm"} +{"d:Title": "The Films of Tsui Hark", "d:Description": "Overview of the director's films with several photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hark,_Tsui", "url": "https://heroictimes.wordpress.com/movie-reviews/the-films-of-tsui-hark/"} +{"d:Title": "Hal Hartley", "d:Description": "Films of Hal Hartley, including posters and movie stills.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hartley,_Hal", "url": "http://bestfilms.tripod.com/hartley.htm"} +{"d:Title": "A.V. Club: Hal Hartley", "d:Description": "Scott Tobias interviews the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hartley,_Hal", "url": "http://www.avclub.com/article/hal-hartley-13761"} +{"d:Title": "A.V. Club: Hal Hartley", "d:Description": "Keith Phipps interviews the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hartley,_Hal", "url": "http://www.avclub.com/article/hal-hartley-13531"} +{"d:Title": "Encyclopedia.com: Hawks, Howard Winchester", "d:Description": "Links to articles.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hawks,_Howard", "url": "http://www.encyclopedia.com/topic/Howard_Hawks.aspx"} +{"d:Title": "Howard Hawks", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hawks,_Howard", "url": "http://www.imdb.com/name/nm0001328/"} +{"d:Title": "All Movie Guide: Howard Hawks", "d:Description": "Filmography, biography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hawks,_Howard", "url": "http://www.allmovie.com/artist/howard-hawks-p93764"} +{"d:Title": "Virtual History: Howard Hawks", "d:Description": "Overview of literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hawks,_Howard", "url": "http://www.virtual-history.com/movie/person/3958/howard-hawks"} +{"d:Title": "The Onion AV Club: Todd Haynes", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Haynes,_Todd", "url": "http://www.avclub.com/article/todd-haynes-14178"} +{"d:Title": "Detroit Metro Times", "d:Description": "2000 interview about Loser.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Heckerling,_Amy", "url": "http://www.metrotimes.com/editorial/story.asp?id=143"} +{"d:Title": "TVGuide.com: Amy Heckerling", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Heckerling,_Amy", "url": "http://www.tvguide.com/celebrities/amy-heckerling/186617"} +{"d:Title": "Onion AV Club: Amy Heckerling.", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Heckerling,_Amy", "url": "http://www.avclub.com/article/amy-heckerling-13558"} +{"d:Title": "Werner Herzog", "d:Description": "Biography from Baseline's Encyclopedia of Film.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Herzog,_Werner", "url": "http://www.1worldfilms.com/werner_herzog.htm"} +{"d:Title": "Werner Herzog Film", "d:Description": "Official site. Includes biography, synopsis of each of his films, work about him, and contact for film distribution.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Herzog,_Werner", "url": "http://www.wernerherzog.com/"} +{"d:Title": "Herzog, Werner", "d:Description": "Yahoo discussion club.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Herzog,_Werner", "url": "http://groups.yahoo.com/group/wernerherzogvisions/"} +{"d:Title": "George Roy Hill", "d:Description": "Synopes and commentary on Hill's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_George_Roy", "url": "http://cmulrooney.tripod.com/hillgr.html"} +{"d:Title": "George Roy Hill", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_George_Roy", "url": "http://www.imdb.com/name/nm0001351/"} +{"d:Title": "Box Office Data for the Movies of George Roy Hill", "d:Description": "Total box office figures for Hill's movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_George_Roy", "url": "http://www.the-numbers.com/people/directors/0GRHI.php"} +{"d:Title": "Magic of Sundance Creator", "d:Description": "Philip French pays tribute to George Roy Hill, the filmmaker who created one of the screen's greatest double acts.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_George_Roy", "url": "http://www.theguardian.com/film/2002/dec/29/culture.news"} +{"d:Title": "Director George Roy Hill Dies Aged 81", "d:Description": "Associated Press obituary.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_George_Roy", "url": "http://www.cbsnews.com/news/sting-director-george-roy-hill-dies/"} +{"d:Title": "Walter Hill", "d:Description": "Filmography, biography and trivia from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_Walter", "url": "http://www.imdb.com/name/nm0001353/"} +{"d:Title": "Not Over the Hill as Veteran Director Walter Delivers Knockout Punch", "d:Description": "Paul Fischer interviews the writer/director for Film Monthly.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_Walter", "url": "http://www.filmmonthly.com/Profiles/Articles/WHill/WHill.html"} +{"d:Title": "Walter Hill Rides the Western Wave", "d:Description": "Marianne Cotter interviews the writer/director upon the release of \"Wild Bill\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_Walter", "url": "http://industrycentral.net/director_interviews/WH01.HTM"} +{"d:Title": "All Movie Guide: Walter Hill", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hill,_Walter", "url": "http://www.allmovie.com/artist/walter-hill-p94395"} +{"d:Title": "MysteryNet - Alfred Hitchcock", "d:Description": "Includes trivia, a biography, commentary, discussions, and information about his movies and television series.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.mysterynet.com/hitchcock/"} +{"d:Title": "The MacGuffin", "d:Description": "Includes scholarly articles about Hitchcock and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.labyrinth.net.au/~muffin/"} +{"d:Title": "Alfred Hitchcock - Cosmopolis", "d:Description": "The exhibition at the Montreal Museum of Fine Arts, biography, filmography and DVDs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.cosmopolis.ch/english/cosmo13/hitchcock.htm"} +{"d:Title": "The Pit - Alfred Hitchcock", "d:Description": "Alfred Hitchcock WAVs and animations.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.seahaas.com/thepit/hitchcock.html"} +{"d:Title": "A Tribute to Alfred Hitchcock", "d:Description": "His life and times, on About.com Home Video/DVD.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://homevideo.about.com/library/weekly/aa080301a.htm"} +{"d:Title": "Some Thoughts on Alfred Hitchcock and Vladimir Nabokov", "d:Description": "Essay from Images Journal by James A Davidson comparing the work of the director with the Russian author.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.imagesjournal.com/issue03/features/hitchnab1.htm"} +{"d:Title": "Advertising Hitchcock", "d:Description": "Includes posters from many of Hitchcock's movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://m_dawber.tripod.com/hitchcockmain.htm"} +{"d:Title": "Wikipedia: Alfred Hitchcock", "d:Description": "Article discussing the director's life, films, character and working methods, with a filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://en.wikipedia.org/wiki/Alfred_Hitchcock"} +{"d:Title": "Advertising Hitchcock", "d:Description": "The intention of this site is to allow the viewing of cinema posters from all the movies of Alfred Hitchcock.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://m_dawber.tripod.com/"} +{"d:Title": "Writing with Hitchcock", "d:Description": "Steven DeRosa's site investigates the aspect of filmmaking the filmmaker enjoyed most - working with the writer. Original articles, interviews, script excerpts.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://stevenderosa.com/writingwithhitchcock/index.html"} +{"d:Title": "The Films of Alfred Hitchcock", "d:Description": "Essays on the use of the Point of View shot in Saboteur, and the influence of spy films, as well as analysis of Spellbound, Rear Window and North by Northwest.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://mikegrost.com/hitch.htm"} +{"d:Title": "Reel Classics: Alfred Hitchcock", "d:Description": "Includes film posters, filmography, awards, actress Teresa Wright's memories and further information on Shadow of a Doubt and Rebecca.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.reelclassics.com/Directors/Hitchcock/hitchcock.htm"} +{"d:Title": "Alfred Hitchcock Geek", "d:Description": "Blog by Joel Gunz discusses the life and films of the director. Includes film stills.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.alfredhitchcockgeek.com/"} +{"d:Title": "TVGuide.com: Alfred Hitchcock", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.tvguide.com/celebrities/alfred-hitchcock/138983"} +{"d:Title": "IMDb: Alfred Hitchcock", "d:Description": "Includes filmographies, a biography, trivia, quotes, links, and other details.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.imdb.com/name/nm0000033/"} +{"d:Title": "Alfred Hitchcock - Auteur", "d:Description": "Short essay which considers Hitchcock in terms of Auteur theory.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://gillonj.tripod.com/alfredhitchcock/"} +{"d:Title": "Virtual History: Alfred Hitchcock", "d:Description": "Overview of published literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://www.virtual-history.com/movie/person/131/alfred-hitchcock"} +{"d:Title": "Alfred Hitchcock Wiki", "d:Description": "Fan site with news, articles, galleries, videos, interviews, and discussion.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hitchcock,_Alfred", "url": "http://the.hitchcock.zone/wiki/Main_Page"} +{"d:Title": "IMDb.com - Tobe Hooper", "d:Description": "Filmography at IMDb", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hooper,_Tobe", "url": "http://www.imdb.com/name/nm0001361/"} +{"d:Title": "The Onion AV Club: Tobe Hooper", "d:Description": "Tobe Hooper, the director of the Texas Chainsaw Massacre and Poltergeist talks about horror, censorship, and the way movies reflect the times.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hooper,_Tobe", "url": "http://www.avclub.com/article/tobe-hooper-13680"} +{"d:Title": "IMDb - John Hughes", "d:Description": "Profile and filmography as writer, director, producer and actor.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.imdb.com/name/nm0000455/"} +{"d:Title": "We'll Know When We Get There - Sincerely, John Hughes", "d:Description": "Blog entry by Alison Byrne Fields about John Hughes and their two year pen-pal relationship when she was a teenager.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://wellknowwhenwegetthere.blogspot.com/2009/08/sincerely-john-hughes.html"} +{"d:Title": "Wikipedia - John Hughes (filmmaker)", "d:Description": "Crowd-sourced encyclopedia article about the writer, director and producer perhaps best known as the 'teen movie king' and the writer and producer of Home Alone.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://en.wikipedia.org/wiki/John_Hughes_(filmmaker)"} +{"d:Title": "Chicago Tribune Obituaries - John Hughes", "d:Description": "Obituary and guest book for John Hughes, providing online condolences and tributes.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.legacy.com/obituaries/chicagotribune/obituary.aspx?page=lifestory&pid=131018092"} +{"d:Title": "Washington Post - Most Likely to Understand", "d:Description": "Hank Stuever's remembrance of John Hughes and his films about teenagers. Includes related articles, Jen Chaney's Q&A with pen pal Alison Byrne Fields, photos and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2009/08/07/AR2009080703506.html"} +{"d:Title": "Huffington Post - Remembering John Hughes", "d:Description": "Alec Baldwin's remembrance of filmmaker John Hughes, especially his enthusiasm about his work and his humor.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.huffingtonpost.com/alec-baldwin/remembering-john-hughes_b_254589.html"} +{"d:Title": "BBC News - Hughes' Memorable Film Moments", "d:Description": "A look at some of John Hughes' best-loved movies, which have endured as cult classics. Includes link to his obituary.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://news.bbc.co.uk/2/hi/entertainment/8189311.stm"} +{"d:Title": "Huffington Post - The Early Ferris Bueller: Remembering John Hughes' Years in Advertising", "d:Description": "Robert Nolan, retired Vice Chairman of Creative Services at Leo Burnett, reminisces about the years when the filmmaker worked for him.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.huffingtonpost.com/robert-nolan/the-early-ferris-bueller_b_256730.html"} +{"d:Title": "YouTube - John Hughes 80s Montage *RIP*", "d:Description": "Clips from a collection of films by John Hughes, set to 'Baba O'Riley' (Teenage Wasteland).", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.youtube.com/watch?v=ZOkNIUw0c2s"} +{"d:Title": "The Daily Beast - Remembering John Hughes", "d:Description": "Watch clips of Ferris Bueller's Day Off and other great movies from the legendary director John Hughes. Includes gallery of his muses then and now.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.thedailybeast.com/blogs-and-stories/2009-08-07/remembering-john-hughes/"} +{"d:Title": "Rotten Tomatoes - Celebrity Profile: John Hughes", "d:Description": "Profile of screenwriter, director and producer John Hughes includes filmography, photo galleries, and tomatometer lists and graphs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.rottentomatoes.com/celebrity/1007319-john_hughes/"} +{"d:Title": "The Huffington Post - Kevin Smokler: John Hughes: 'The Audacity of Empathy'", "d:Description": "John Hughes captured \"an adolescence to be learned from instead of suffered through and forgotten, where parents and their teenagers tried to do right, even though they couldn't always do good, and, in the end, understood that We Are Not Alone.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.huffingtonpost.com/kevin-smokler/john-hughes-the-audacity_b_261699.html"} +{"d:Title": "Entertainment Weekly - John Hughes: 20 Key Movies", "d:Description": "Photo gallery of scenes from twenty films of writer-director John Hughes.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.ew.com/ew/gallery/0,,20296557,00.html"} +{"d:Title": "Entertainment Weekly - John Hughes Remembered: Stars from 'Bueller,' 'Pretty in Pink,' and More Pay Tribute", "d:Description": "Friends and colleagues remember John Hughes: Jon Cryer (Duckie from \u2018Pretty in Pink\u2019), Kevin Bacon (Jake from \u2018She\u2019s Having a Baby\u2019), Bill Paxton (Chet from \u2018Weird Science\u2019), Jeffrey Jones (Principal Ed Rooney from \u2018Ferris Bueller\u2019), Alan Ruck (Cameron from \u2018Ferris Bueller\u2019), Lea Thompson (Amanda from \u2018Some Kind of Wonderful\u2019), Kelly Lynch (Grey from \u2018Curly Sue\u2019), Harold Ramis (director of Hughes-penned \u2018Vacation\u2019), Beverly D'Angelo (Ellen Griswold in \u2018Vacation\u2019), Daniel Stern (burglar in Hughes-penned \u2018Home Alone\u2019), Chris Columbus (director of \u2018Home Alone\u2019).", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://popwatch.ew.com/2009/08/13/john-hughes-remembered-package/"} +{"d:Title": "People - Stars, Collaborators Remember John Hughes", "d:Description": "Actors, colleagues and friends remember John Hughes, personally and professionally.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.people.com/people/article/0,,20296605,00.html"} +{"d:Title": "LA Times - John Hughes: The Soundtrack to a Generation", "d:Description": "\"A great teen movie needs a soundtrack. Youth is captured better in song than on film, and behind every brain, athlete, basket case, princess or a criminal is a score. John Hughes knew how to find it.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://latimesblogs.latimes.com/music_blog/2009/08/john-hughes-the-music.html"} +{"d:Title": "vimeo - BBC 5 Interview Sincerely, John Hughes", "d:Description": "BBC 5 interview with Alison Byrne Fields about her blog describing her two-year pen pal relationship with filmmaker John Hughes.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.vimeo.com/6155329"} +{"d:Title": "YouTube - John Hughes Tribute Award Film 1991", "d:Description": "Tribute film made at the height of John Hughes's career when he was named 1991 Producer of the Year by the National Association of Movie Theater Owners.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.youtube.com/watch?v=yV2siXfwe1A"} +{"d:Title": "The CineFiles - The John Hughes Films", "d:Description": "Online talk show episodes (two parts) about the films of John Hughes.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.youtube.com/watch?v=QagoRRt4ZlU"} +{"d:Title": "Vanity Fair - Sweet Bard of Youth", "d:Description": "Talking to the late John Hughes\u2019s sons and Brat Pack favorites, David Kamp finds the writer-director was an amalgam of all his now classic characters.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.vanityfair.com/hollywood/features/2010/03/john-hughes-201003"} +{"d:Title": "The Guardian - John Hughes", "d:Description": "Collected news articles and photos of John Hughes and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.theguardian.com/film/john-hughes"} +{"d:Title": "AFI - John Hughes, 1950-2009 (1 of 2)", "d:Description": "Part 1 of the podcast of a 1985 interview and Q&A with John Hughes, from the American Film Institute's Harold Lloyd Master Seminar series.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://aficom.http.internapcdn.net/aficom_vitalstream_com/media/AFI_JohnHughesPart1.mp3"} +{"d:Title": "AFI - John Hughes, 1950-2009 (2 of 2)", "d:Description": "Part 2 of the podcast of a 1985 interview and Q&A with John Hughes, from the American Film Institute's Harold Lloyd Master Seminar series.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://aficom.http.internapcdn.net/aficom_vitalstream_com/media/AFI_JohnHughesPart2.mp3"} +{"d:Title": "Chicago Tribune - Filmmaker Hughes Dead at 59", "d:Description": "Obituary of John Hughes with links to memory book, photos, video and related stories.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://articles.chicagotribune.com/2009-08-07/entertainment/0908060721_1_1980s-hughes-ferris-bueller-john-hughes"} +{"d:Title": "LA Times - John Hughes Dies at 59; Writer-Director of '80s Teen Films", "d:Description": "Obituary by Dennis McClellan.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.latimes.com/local/obituaries/la-me-john-hughes7-2009aug07-story.html"} +{"d:Title": "Newsweek - PopVox: Director John Hughes, Dead at 59 - A Life in YouTube", "d:Description": "\"In memory of Hughes and in honor of his still highly popular oeuvre, we pay tribute with these YouTube scenes.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.newsweek.com/director-john-hughes-dead-59-life-youtube-220616"} +{"d:Title": "A.V. Club - Last Thoughts on John Hughes", "d:Description": "Various writers reflect on the films of John Hughes, and remember what they evoked of their own teenage years", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.avclub.com/article/last-thoughts-on-john-hughes-31509"} +{"d:Title": "YouTube - John Hughes Tribute at the Oscars 2010", "d:Description": "Non-Flash video of Matthew Broderick, Jon Cryer, Macaulay Culkin, Anthony Michael Hall, Judd Nelson, Molly Ringwald and Ally Sheedy paying tribute to the late filmmaker John Hughes.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.youtube.com/watch?v=DvmVYNr0lk0"} +{"d:Title": "Legacy.com Memorial Websites - John Hughes", "d:Description": "Provides biography, obituary and guestbook.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://memorialwebsites.legacy.com/JohnHughes/homepage.aspx"} +{"d:Title": "Chicago Sun-Times - John Hughes Jr. Obituary", "d:Description": "Obituary of the man whose career began as an advertising copywriter in Chicago, going on to become an internationally-known screenwriter, producer and director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://legacy.suntimes.com/obituaries/chicagosuntimes/obituary.aspx?pid=131106980"} +{"d:Title": "Facebook - RIP John Hughes, We Love You!", "d:Description": "Fansite welcoming comments, memories and photos.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "https://www.facebook.com/RIPJohnHughes"} +{"d:Title": "Chicago Sun-Times - John Hughes: In Memory", "d:Description": "Film critic Roger Ebert remembers John Hughes and the international popularity of his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.rogerebert.com/interviews/john-hughes-in-memory"} +{"d:Title": "MTV - Why John Hughes Still Matters", "d:Description": "Susannah Gora, author of a book about John Hughes, provides a few of the reasons the late writer/producer/director inspired a touching Oscars tribute.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.mtv.com/news/1633289/why-john-hughes-still-matters/"} +{"d:Title": "Rolling Stone - Remembering John Hughes: A Teenager At Heart", "d:Description": "Movie critic Peter Travers lights sixteen candles in honor of indelible John Hughes movie moments", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.rollingstone.com/movies/videos/remembering-john-hughes-a-teenager-at-heart-20090806"} +{"d:Title": "Rolling Stone Flashback: A Look Back at the Great John Hughes", "d:Description": "Video clips of some of the best music moments in the teen films of John Hughes.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.rollingstone.com/movies/news/a-look-back-at-the-great-john-hughes-20090807"} +{"d:Title": "The New York Times - John Hughes", "d:Description": "Collection of recent and archived news and commentary, photographs, multimedia and selected web resources about the film writer, director and producer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.nytimes.com/topic/person/john-hughes"} +{"d:Title": "Brooklyn Vegan - RIP, John Hughes", "d:Description": "Remembrance and tribute playlist of music featured in the films of John Hughes, by Matt Graves.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Hughes,_John", "url": "http://www.brooklynvegan.com/john-hughes-rip/"} +{"d:Title": "Film Noir Directors: John Huston", "d:Description": "Biography, notable quotes, and information on selected films including noirography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Huston,_John", "url": "http://www.eskimo.com/~noir/directors/huston/index.shtml"} +{"d:Title": "NYTimes: John Huston, Film Director, Writer and Actor, Dies at 81", "d:Description": "Obituary.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Huston,_John", "url": "http://www.nytimes.com/learning/general/onthisday/bday/0805.html"} +{"d:Title": "IMDb: John Huston", "d:Description": "Complete filmography, profile, photographs, and related data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Huston,_John", "url": "http://www.imdb.com/name/nm0001379/"} +{"d:Title": "TVGuide.com: John Huston", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Huston,_John", "url": "http://www.tvguide.com/celebrities/john-huston/158037"} +{"d:Title": "Virtual History: John Huston", "d:Description": "Overview of literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/H/Huston,_John", "url": "http://www.virtual-history.com/movie/person/119/john-huston"} +{"d:Title": "Istvancic, Branko", "d:Description": "Film and TV director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I", "url": "http://www.inet.hr/~bistvan"} +{"d:Title": "Kon Ichikawa", "d:Description": "Stills and analysis of some of his major films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Ichikawa,_Kon", "url": "http://www.filmref.com/directors/dirpages/ichikawa.html"} +{"d:Title": "The Quality of Mercy", "d:Description": "A look at the vast and varied output of Japanese director Kon Ichikawa.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Ichikawa,_Kon", "url": "http://www.laweekly.com/2001-11-22/film-tv/the-quality-of-mercy"} +{"d:Title": "Odd obsessions", "d:Description": "A look at the long career of the Japanese director Kon Ichikawa.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Ichikawa,_Kon", "url": "http://www.theguardian.com/world/2002/aug/06/japan.edinburgh02"} +{"d:Title": "Strictly Film School: Shohei Imamura", "d:Description": "Reviews of four Imamura films: The Insect Woman, The Pornographers, Vengeance is Mine, and The Ballad of Narayama.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Imamura,_Shohei", "url": "http://www.filmref.com/directors/dirpages/imamura.html"} +{"d:Title": "Robert Fulford's Column About Shohei Imamura", "d:Description": "Overview of Imamura's films and narrative aesthetics. Originally appeared in The Globe and Mail.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Imamura,_Shohei", "url": "http://www.robertfulford.com/imamura.html"} +{"d:Title": "IMDb: Shohei Imamura", "d:Description": "Profile and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Imamura,_Shohei", "url": "http://www.imdb.com/name/nm0408076/"} +{"d:Title": "World Socialist Web Site: Shohei Imamura Interview", "d:Description": "Imamura conveys a variety of opinions regarding his career, other filmmakers, and social issues.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Imamura,_Shohei", "url": "http://www.wsws.org/en/articles/2000/09/imam-s19.html"} +{"d:Title": "All Movie Guide: Shunji Iwai", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/I/Iwai,_Shunji", "url": "http://www.allmovie.com/artist/shunji-iwai-p199451"} +{"d:Title": "Digital Producer: Peter Jackson Talks About His Crowning Achievement", "d:Description": "Interview with Jackson explaining some of the technical processes used in developing Lord of the Rings. [Written by Lain Blair.]", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jackson,_Peter", "url": "http://www.digitalproducer.com/2004/04_apr/features/04_01/b60eqoit.htm"} +{"d:Title": "IMDb: Peter Jackson", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jackson,_Peter", "url": "http://www.imdb.com/name/nm0001392/"} +{"d:Title": "Beno\u00eet Jacquot", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jacquot,_Beno\u00eet", "url": "http://www.imdb.com/name/nm0415245/"} +{"d:Title": "Rainbow Film Company", "d:Description": "Information on filmmaker Henry Jaglom's work, as well as articles, links, and an online store.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jaglom,_Henry", "url": "http://rainbowfilms.com/"} +{"d:Title": "h2so4: Blue, by Derek Jarman", "d:Description": "Review of Derek Jarman's last film Blue, by Gridley Minima.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jarman,_Derek", "url": "http://www.h2so4.net/reviews/blue.html"} +{"d:Title": "Spike: Derek Jarman - Preserving A Harlequin", "d:Description": "SPIKE looks at the work of Derek Jarman, artist, filmmaker, gay activist and Renaissance man.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jarman,_Derek", "url": "http://www.spikemagazine.com/0896jarm.php"} +{"d:Title": "IMDb: Derek Jarman", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jarman,_Derek", "url": "http://www.imdb.com/name/nm0418746/"} +{"d:Title": "Jim Jarmusch", "d:Description": "Profile and filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jarmusch,_Jim", "url": "http://www.imdb.com/name/nm0000464/"} +{"d:Title": "IMDb: Norman Jewison", "d:Description": "Filmography, biography, pictures, news articles, links and television schedule.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jewison,_Norman", "url": "http://www.imdb.com/name/nm0422484/"} +{"d:Title": "Release the Movies of Alejandro Jodorowsky", "d:Description": "Petition and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jodorowsky,_Alejandro", "url": "http://www.angelfire.com/film/klein/"} +{"d:Title": "IMDb: Alejandro Jodorowsky", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jodorowsky,_Alejandro", "url": "http://www.imdb.com/name/nm0423524/"} +{"d:Title": "The Original Spike Jonze Site", "d:Description": "Comprehensive site with filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jonze,_Spike", "url": "http://www.angelfire.com/ca/computersarenotpunk/index.html"} +{"d:Title": "Neil Jordan", "d:Description": "Official website containing biography, filmography, novels, screenplays and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jordan,_Neil", "url": "http://www.neiljordan.com/"} +{"d:Title": "Yahoo! Movies: Neil Jordan", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jordan,_Neil", "url": "http://movies.yahoo.com/person/neil-jordan/"} +{"d:Title": "IMDb: Neil Jordan", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jordan,_Neil", "url": "http://www.imdb.com/name/nm0001403/"} +{"d:Title": "JAM!Movies: Neil Jordan", "d:Description": "Several press articles from the Canadian press about Neil Jordan.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/J/Jordan,_Neil", "url": "http://jam.canoe.com/Movies/Artists/J/Jordan_Neil/"} +{"d:Title": "Kaneko, Shusuke", "d:Description": "Official English site of the Japanese director behind the Gamera films, Pyrokinesis, and Necronomicon. Interviews, filmography, synopses and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K", "url": "http://www.shusuke-kaneko.com/eng/"} +{"d:Title": "Kusama, Karyn", "d:Description": "Interview with the writer-director of the movie Girlfight.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K", "url": "http://www.metrotimes.com/detroit/right-in-the-kisser/Content?oid=2169601"} +{"d:Title": "Kang, Young Man", "d:Description": "Showcases for some of his work.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kang,_Young_Man", "url": "http://www.youngmankang.com/"} +{"d:Title": "IMDB - Young Man Kang", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kang,_Young_Man", "url": "http://imdb.com/name/nm0437654/"} +{"d:Title": "Bollywood legend: Raj Kapoor", "d:Description": "Biography, movies list and photo gallery.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kapoor,_Raj", "url": "http://www.idlebrain.com/mumbai/legends/rajkapoor/index.html"} +{"d:Title": "IMDb: Philip Kaufman (I)", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kaufman,_Philip", "url": "http://www.imdb.com/name/nm0442241/"} +{"d:Title": "A.V. Club: Philip Kaufman", "d:Description": "Interview on censorship, the potency of free speech, and the increasingly rare privilege of making movies for adults.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kaufman,_Philip", "url": "http://www.avclub.com/article/philip-kaufman-13691"} +{"d:Title": "Elia Kazan: Postage Paid", "d:Description": "Information on his films; between 1945 and 1957 Elia Kazan directed 10 critically acclaimed motion pictures.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kazan,_Elia", "url": "http://www.moderntimes.com/palace/kazan/"} +{"d:Title": "Hollywood Director Kazan Dies", "d:Description": "\"US film director Elia Kazan, who produced such classics as On the Waterfront and East of Eden, dies aged 94. He directed some of America's best known films of the 1950s and 1960s, including On the Waterfront, East of Eden and Splendor in the Grass. However some critics have said Kazan diminished his stature during the McCarthy era in the early 1950s, when he named Communist sympathisers who had worked with him.\" From BBC News.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kazan,_Elia", "url": "http://news.bbc.co.uk/2/hi/entertainment/3147668.stm"} +{"d:Title": "Elia Kazan: Riding the Streetcar Named Desire", "d:Description": "Journalist Eve Berliner's biography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kazan,_Elia", "url": "http://www.evesmag.com/kazan.htm"} +{"d:Title": "Reel Classics: Elia Kazan", "d:Description": "Biographical information, awards, articles, film details, and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kazan,_Elia", "url": "http://www.reelclassics.com/Directors/Kazan/kazan.htm"} +{"d:Title": "Hollywood Honors Elia Kazan: Filmmaker and Informer", "d:Description": "Article By David Walsh, from the World Socialist Web Site.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kazan,_Elia", "url": "http://www.wsws.org/en/articles/1999/02/kaz1-f20.html"} +{"d:Title": "Virtual History: Elia Kazan", "d:Description": "Overview of published literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kazan,_Elia", "url": "http://www.virtual-history.com/movie/person/3959/elia-kazan"} +{"d:Title": "The Iranian Who Won the World's Attention", "d:Description": "New York Times article by Godfrey Cheshire.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.iranian.com/News/Sept97/kiarostami.html"} +{"d:Title": "The History of Cinema: Abbas Kiarostami", "d:Description": "Reviews of four films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.scaruffi.com/director/kiarosta.html"} +{"d:Title": "Abbas Kiarostami", "d:Description": "Critical analysis of Close-up, Through the Olive Trees, and A Taste of Cherry.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.filmref.com/directors/dirpages/kiarostami.html"} +{"d:Title": "Films by Abbas Kiarostami", "d:Description": "A brief biography of the award winning Iranian director, with clips and online sales of his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.iranianmovies.com/reviews/kiarostami.htm"} +{"d:Title": "The Age - Abbas Fabulous", "d:Description": "Nassim Khadem interviews the Iranian director Abbas Kiarostami in Melbourne.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.theage.com.au/articles/2003/08/03/1059849264112.html"} +{"d:Title": "Fill In The Blanks", "d:Description": "A review of Taste of Cherry written by Jonathan Rosenbaum from the Chicago Reader Movie Review.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.chicagoreader.com/movies/archives/1998/0598/05298.html"} +{"d:Title": "Kiarostami Will Carry Us: The Iranian Master Gives Hope", "d:Description": "Interview by Patrick Z. McGavin.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.indiewire.com/article/interview_kiarostami_will_carry_us_the_iranian_master_gives_hope/"} +{"d:Title": "Human Rights Watch International Film Festival - Abas Kiarostami U.S. Visa Refusal", "d:Description": "Article by Celestine Bohlen describes how one visa problem xosts a festival two filmmakers.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.hrw.org/legacy/iff/2002/kiarostami.html"} +{"d:Title": "Guardian Unlimited- Abbas Kiarostami's Theatre Debut", "d:Description": "Article discussing the play Ta'ziyeh - one of the few surviving forms of popular, traditional theatre generated by the Islamic world", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.theguardian.com/film/2003/jul/14/theatre.artsfeatures"} +{"d:Title": "Abbas Kiarostami", "d:Description": "Filmography for Abbas Kiarostami.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.imdb.com/name/nm0452102/"} +{"d:Title": "The Compassionate Gaze", "d:Description": "Interview with Abbas Kiarostami at the San Francisco Film Festival.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.wsws.org/en/articles/2000/06/sff8-j12.html"} +{"d:Title": "Along the Roads of Life...", "d:Description": "Article about Abbas Kiarostami's films by Deborah Hochberg. [Detroit Metro Times]", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.metrotimes.com/detroit/along-roads-of-life/Content?oid=2169891"} +{"d:Title": "World Socialist Web Site - US Refuses Visa to Iranian Film Director Abbas Kiarostami", "d:Description": "Article describing how Iranian film director Abbas Kiarostami was recently denied a visa to enter the United States attempting to attend the New York Film Festival.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kiarostami,_Abbas", "url": "http://www.wsws.org/en/articles/2002/10/visa-o01.html"} +{"d:Title": "Cine Kieslowski", "d:Description": "Featuring articles, pictures, chat and Links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kieslowski,_Krzysztof", "url": "http://www.petey.com/kk/index.html"} +{"d:Title": "Editorial", "d:Description": "Hawaii411 presents Derek Kim, an inspirational story.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kim,_Derek", "url": "http://www.hawaii411.com/articles/derek.asp"} +{"d:Title": "IMDb: Johnny Kim", "d:Description": "Filmography, including television appearances. Trivia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kim,_Derek", "url": "http://www.imdb.com/name/nm0003261/"} +{"d:Title": "Flowers, Fire and a Violent Cop", "d:Description": "An analysis of the cult director Takeshi Kitano and why his films are so interesting.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kitano,_Takeshi", "url": "http://www.angelfire.com/rock2/larav/kitano/index.html"} +{"d:Title": "Metro Active: Takeshi Time", "d:Description": "Provides information about two of his movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kitano,_Takeshi", "url": "http://www.metroactive.com/papers/metro/11.11.99/takeshi-9945.html"} +{"d:Title": "Who is 'Beat' Takeshi Kitano", "d:Description": "A look at the filmmaker himself and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kitano,_Takeshi", "url": "http://www.angelfire.com/rock2/larav/kitano/who.html"} +{"d:Title": "FilmBug: Takeshi Kitano", "d:Description": "Career, facts and filmography includes a forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kitano,_Takeshi", "url": "http://www.filmbug.com/db/294288"} +{"d:Title": "Guardian Unlimited Film: Takeshi Kitano Interview", "d:Description": "Sean Clarke interviews the Japanese film-director about his life and his film \"Dolls\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kitano,_Takeshi", "url": "http://www.theguardian.com/film/2003/may/29/features.seanclarke"} +{"d:Title": "IMDb: Takeshi Kitano", "d:Description": "Filmography as actor, director, writer, and editor.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kitano,_Takeshi", "url": "http://www.imdb.com/name/nm0001429/"} +{"d:Title": "All-Movie Guide: Beat Takeshi Kitano", "d:Description": "Short biography, filmography and awards. Also includes people credited alongside the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kitano,_Takeshi", "url": "http://www.allmovie.com/artist/beat-takeshi-kitano-p69645"} +{"d:Title": "Harmony Special K", "d:Description": "Contains filmography, biography, features on his films, photos and links to other articles, interviews and related sites.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Korine,_Harmony", "url": "http://www.angelfire.com/ab/harmonykorine/"} +{"d:Title": "Harmony Korine", "d:Description": "Quotes from and about the director, links to interviews, pictures, guestbook and information on the film Julien Donkey-Boy.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Korine,_Harmony", "url": "http://malster.tripod.com/"} +{"d:Title": "Stanley Kramer", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kramer,_Stanley", "url": "http://www.imdb.com/name/nm0006452/"} +{"d:Title": "Kurt Kren", "d:Description": "Essay by Peter Tscherkassky. Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kren,_Kurt", "url": "http://www.hi-beam.net/mkr/kk/kk-bio.html"} +{"d:Title": "Farewell, Stanley Kubrick", "d:Description": "Wendy Carlos on Stanley Kubrick.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.wendycarlos.com/kubrick.html"} +{"d:Title": "FilmMakers.com: Stanley Kubrick", "d:Description": "Includes information, filmography and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.filmmakers.com/artists/kubrick/index.htm"} +{"d:Title": "Kubrick on the Web", "d:Description": "Various information on Stanley Kubrick and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.krusch.com/kubrick/"} +{"d:Title": "The Alt.movies.kubrick Faq", "d:Description": "Newsgroup FAQ.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.krusch.com/kubrick/kq.html"} +{"d:Title": "NYTimes.com: Stanley Kubrick: 1928-1999", "d:Description": "Links to trailers, articles, essays, reviews, and forums.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.nytimes.com/library/film/kubrick-index.html"} +{"d:Title": "Cosmopolis: Stanley Kubrick", "d:Description": "Detailed profile of his life and work.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.cosmopolis.ch/english/cosmozero/kubrick.htm"} +{"d:Title": "The Kubrick FAQ", "d:Description": "A comprehensive collection of Frequently Asked Questions. Also includes Kubrick's daughter, Katharina Kubick Hobbs' FAQ.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.visual-memory.co.uk/faq"} +{"d:Title": "The Kubrick Site", "d:Description": "A collection of articles, essays, interviews and scripts on Stanley Kubric's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.visual-memory.co.uk/amk"} +{"d:Title": "Stanley Kubrick Remembrance Page", "d:Description": "An interactive tribute page dedicated to the famed Stanley Kubrick's life and films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.joblo.com/stanleykubrickremembrance.htm"} +{"d:Title": "Stanley Kubrick 1928-1999", "d:Description": "A selection of tributes, articles, and photographs of Stanley Kubrick.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.visual-memory.co.uk/sk/"} +{"d:Title": "Filmbug.com: Stanley Kubrick", "d:Description": "Profile, facts, and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.filmbug.com/db/328"} +{"d:Title": "Collected Essays on Stanley Kubrick", "d:Description": "Includes shot-by-shot analysis of \"Eyes Wide Shut\" and PDF documents discussing the techniques the director used in other films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.jeffreyscottbernstein.com/kubrick/"} +{"d:Title": "Stanley Kubrick Webring", "d:Description": "Links to sites.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.webring.org/hub?ring=quilty"} +{"d:Title": "IMDb: Stanley Kubrick", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.imdb.com/name/nm0000040/"} +{"d:Title": "Virtual History: Stanley Kubrick", "d:Description": "Overview of literature on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.virtual-history.com/movie/person/2547/stanley-kubrick"} +{"d:Title": "Elements of Persuasion", "d:Description": "Tomas Howie's scholarly study of the use of persuasion in Kubrick's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kubrick,_Stanley", "url": "http://www.drummingweb.com/howies/ResourceWeb/kubrick.htm"} +{"d:Title": "IMDb: Akira Kurosawa", "d:Description": "Biography, filmography as writer and director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kurosawa,_Akira", "url": "http://www.imdb.com/name/nm0000041/"} +{"d:Title": "Kiyoshi Kurosawa - Cure, Charisma and Pulse", "d:Description": "Page featuring incisive reviews of three of Kurosawa's major films, with some images.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kurosawa,_Kiyoshi", "url": "http://www.filmref.com/directors/dirpages/kiyoshikurosawa.html"} +{"d:Title": "Midnight Eye: Kiyoshi Kurosawa", "d:Description": "Tom Mes from Midnight Eye's second interview with Kurosawa.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kurosawa,_Kiyoshi", "url": "http://www.midnighteye.com/interviews/kiyoshi-kurosawa/"} +{"d:Title": "Stanley Kwan", "d:Description": "An interview with the Hong Kong director about his work, life, and gay-themed film \"Lan Yu.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kwan,_Stanley", "url": "http://www.thegully.com/essays/gaymundo/020727_lan_yu_stanley_kwan.html"} +{"d:Title": "Stanley Kwan Kam-Pang", "d:Description": "Reviews of several of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kwan,_Stanley", "url": "http://www.lovehkfilm.com/people/kwan_stanley.htm"} +{"d:Title": "All Movie Guide: Stanley Kwan", "d:Description": "Biography by Jonathan Crow, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/K/Kwan,_Stanley", "url": "http://www.allmovie.com/artist/stanley-kwan-p98349"} +{"d:Title": "Lem, Steve", "d:Description": "Director's site includes music, storyboards, movie clips and downloads.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L", "url": "http://www.stevelem.com/"} +{"d:Title": "A.V. Club: Neil LaBute", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/LaBute,_Neil", "url": "http://www.avclub.com/article/neil-labute-13546"} +{"d:Title": "Classic Film and Television - The Films of Fritz Lang", "d:Description": "Features and analyzes several films of the director, by Michael E. Grost.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lang,_Fritz", "url": "http://mikegrost.com/lang.htm"} +{"d:Title": "The German Hollywood Connection: Fritz Lang", "d:Description": "Biography and film information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lang,_Fritz", "url": "http://www.german-way.com/cinema/bio-fritz-lang.html"} +{"d:Title": "Institute of Historical Review - Claude Lanzmann and 'Shoah'", "d:Description": "\"The Dictatorship of Imbecility\" - an analysis and critique by the revisionist Serge Thion.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lanzmann,_Claude", "url": "http://www.ihr.org/jhr/v16/v16n6p-8_Thion.html"} +{"d:Title": "Guardian Unlimited - Claude Lanzmann Interview", "d:Description": "Presents the interview \"The Ghosts of Sobibor\" (July 27, 2001).", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lanzmann,_Claude", "url": "http://www.theguardian.com/film/2001/jul/27/artsfeatures"} +{"d:Title": "Off Off Off - Claude Lanzmann`s Sobibor", "d:Description": "Read the critique \"Heroes amid horrors\" about the film of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lanzmann,_Claude", "url": "http://www.offoffoff.com/film/2001/sobibor.php"} +{"d:Title": "DavidLean.com", "d:Description": "Comprehensive guide to the work of film director, once film editor, David Lean. Includes synopsis and credits list of all the films he directed.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lean,_David", "url": "http://www.davidlean.com/"} +{"d:Title": "David Lean", "d:Description": "The Britmovie guide. Biography,film synopses and credits.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lean,_David", "url": "http://www.britmovie.co.uk/directors/d_lean/"} +{"d:Title": "David Lean", "d:Description": "Biography and filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lean,_David", "url": "http://www.imdb.com/name/nm0000180/"} +{"d:Title": "\"Hunting, Hunting, Hunting\"", "d:Description": "Jack Beatty reviews \"David Lean: A Biography,\" by Kevin Brownlow, for Atlantic Magazine.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lean,_David", "url": "http://www.theatlantic.com/past/docs/unbound/polipro/pp9702.htm"} +{"d:Title": "IMDb: Patrice Leconte", "d:Description": "Filmography, awards, biography, agent, discussions, photographs and news articles.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leconte,_Patrice", "url": "http://www.imdb.com/name/nm0496312/"} +{"d:Title": "Strictly Film School: Patrice Leconte", "d:Description": "Critical analysis of international films 'Monsieur Hire' and 'La Fille Sur la Pont (The Girl on the Bridge.)'", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leconte,_Patrice", "url": "http://www.filmref.com/directors/dirpages/leconte.html"} +{"d:Title": "Lambiek.net: Patrice Leconte", "d:Description": "Short article looks at the French director's early work in comic strip animation.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leconte,_Patrice", "url": "http://lambiek.net/artists/l/leconte_patrice.htm"} +{"d:Title": "Telegraph: Filmmakers on film - Patrice Leconte", "d:Description": "Interview with the French director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leconte,_Patrice", "url": "http://www.telegraph.co.uk/culture/film/3587762/Filmmakers-on-film-Patrice-Leconte.html"} +{"d:Title": "Filmbug: Ang Lee", "d:Description": "Biography, filmography, facts, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lee,_Ang", "url": "http://www.filmbug.com/db/781"} +{"d:Title": "Ang Lee", "d:Description": "Biography and filmography at IMDB.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lee,_Ang", "url": "http://www.imdb.com/name/nm0000487/"} +{"d:Title": "JAM!Movies: Ang Lee", "d:Description": "Collection of news stories relating to the director at Jam! Movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lee,_Ang", "url": "http://jam.canoe.com/Movies/Artists/L/Lee_Ang/"} +{"d:Title": "BBC News: Mike Leigh - Britain's Bergman", "d:Description": "As his latest film, All or Nothing, hits the screens, Newsmaker looks at the topsy-turvy life and times of film director Mike Leigh.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leigh,_Mike", "url": "http://news.bbc.co.uk/1/hi/2340531.stm"} +{"d:Title": "IMDb: Mike Leigh", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leigh,_Mike", "url": "http://www.imdb.com/name/nm0005139/"} +{"d:Title": "A.V. Club: Mike Leigh", "d:Description": "Interview with the director about his approach to filmmaking.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leigh,_Mike", "url": "http://www.avclub.com/article/mike-leigh-13637"} +{"d:Title": "IMDb: Sergio Leone (I)", "d:Description": "Sergio Leone's filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leone,_Sergio", "url": "http://imdb.com/name/nm0001466/"} +{"d:Title": "The Sergio Leone Home Page", "d:Description": "Overview of his films, news, discussion board, chat, articles, images, and sounds.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Leone,_Sergio", "url": "http://www.fistful-of-leone.com/"} +{"d:Title": "Barry Levinson Online", "d:Description": "Official website includes news, biography, books, awards, and links to other web resources.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Levinson,_Barry", "url": "http://www.levinson.com/"} +{"d:Title": "Guardian Unlimited - Barry Levinson Interview", "d:Description": "Read the interview with the director and look back on his early days in the movie business.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Levinson,_Barry", "url": "http://www.theguardian.com/film/2000/sep/07/guardianinterviewsatbfisouthbank"} +{"d:Title": "Richard Linklater, \"Slacker\" for the New Millennium", "d:Description": "Interview, mainly about the making of Waking Life and an overview of his career up to that point.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Linklater,_Richard", "url": "http://www.indiewire.com/article/future_5_richard_linklater_slacker_for_the_new_millennium2/"} +{"d:Title": "IMDb: Frank Lloyd", "d:Description": "Complete filmography, profile, photograph gallery, and related information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lloyd,_Frank", "url": "http://www.imdb.com/name/nm0515979/"} +{"d:Title": "IMDb: Ken Loach", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Loach,_Ken", "url": "http://www.imdb.com/name/nm0516360/"} +{"d:Title": "Ernst Lubitsch", "d:Description": "Dedicated to the great German-born director famous for bringing his \"touch\" to musicals and sophisticated-comedies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lubitsch,_Ernst", "url": "http://www.lubitsch.com/"} +{"d:Title": "Ernst Lubitsch", "d:Description": "Filmography, biography and quotations from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lubitsch,_Ernst", "url": "http://www.imdb.com/name/nm0523932/"} +{"d:Title": "Filmbug.com: George Lucas", "d:Description": "Biography and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lucas,_George", "url": "http://www.filmbug.com/db/1276"} +{"d:Title": "IMDb: George Lucas", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lucas,_George", "url": "http://www.imdb.com/name/nm0000184/"} +{"d:Title": "Lucasfilm THX", "d:Description": "For the past 15 years, the world renowned THX Theatre Program has been responsible for providing theatre design, specifications, and certification for optimal film presentation.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lucas,_George/Companies", "url": "http://www.thx.com/"} +{"d:Title": "Lucasfilm Ltd.", "d:Description": "Based in Marin County, California, Lucasfilm Ltd. is one of the most successful independent production companies in the world.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lucas,_George/Companies", "url": "http://www.lucasfilm.com/"} +{"d:Title": "Bazmark Inq", "d:Description": "Official website for Baz Luhrmann's production company.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Luhrmann,_Baz", "url": "http://www.bazmark.com/"} +{"d:Title": "IMDb: Baz Luhrmann", "d:Description": "Database for the director's films, including links and a message board.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Luhrmann,_Baz", "url": "http://www.imdb.com/name/nm0525303/"} +{"d:Title": "Sidney Lumet", "d:Description": "Lumet's filmography at IMDb", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lumet,_Sidney", "url": "http://www.imdb.com/name/nm0001486/"} +{"d:Title": "All Movie Guide: Sidney Lumet", "d:Description": "Biography by Hal Erickson, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lumet,_Sidney", "url": "http://www.allmovie.com/artist/sidney-lumet-p100370"} +{"d:Title": "AboutLynch.com", "d:Description": "Huge community of movie lovers, dedicated to David Lynch. English and French.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.aboutlynch.com/"} +{"d:Title": "The Universe of David Lynch", "d:Description": "Screenplays, interviews, essays, pictures, and news.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.davidlynch.de/"} +{"d:Title": "The Black Lodge - A Web Homage to David Lynch", "d:Description": "Surrealistic, interactive journey into the worlds of Eraserhead, Lost Highway, Mulholland Drive, and of course, Twin Peaks.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://lynch.batbad.com/"} +{"d:Title": "Dugpa.com", "d:Description": "News and reviews of works on DVD and still photos.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.dugpa.com/"} +{"d:Title": "LynchNet", "d:Description": "Mike Dunn's David Lynch news digest contains the latest news about the director, his projects, and films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.lynchnet.com/"} +{"d:Title": "David Lynch", "d:Description": "Designed and maintained by the director himself. Pay site that features original content, including short films, artwork, and music. Exclusive shop features DVD of the director's first major work: Eraserhead", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.davidlynch.com/"} +{"d:Title": "BritishFilm.org", "d:Description": "David Lynch's work examined in relation to the evolution of the auteur theory.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.zenbullets.com/britfilm/lynch/"} +{"d:Title": "The City of Absurdity - The Mysterious World of David Lynch", "d:Description": "Focusing on films, shorts, TV works, paintings, photographs, sculptures, furniture, music, biography, quote collection, books, home video, and interviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.thecityofabsurdity.com/"} +{"d:Title": "IMDb: David Lynch (I)", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/L/Lynch,_David", "url": "http://www.imdb.com/name/nm0000186/"} +{"d:Title": "Martinson, Leslie", "d:Description": "Career of movie and TV director with hundreds of credits including Batman, PT109 and Mission Impossible.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M", "url": "http://www.lesliemartinson.com/"} +{"d:Title": "Miller, Rebecca", "d:Description": "Official site with biography, filmography, books, upcoming events, interviews, weblog, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M", "url": "http://rebecca-miller.com/"} +{"d:Title": "Miller, Scott", "d:Description": "Official site with a brief professional biography, filmography, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M", "url": "http://www.scottmillerandco.com/"} +{"d:Title": "The Onion AV Club: Albert Maysles", "d:Description": "Interview about the film Gimme Shelter and his career.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M", "url": "http://www.avclub.com/article/albert-maysles-13682"} +{"d:Title": "Children of Heaven: The Interview", "d:Description": "Ross Anthony's interview with Majid Majidi about his film the Children of Heaven.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Majidi,_Majid", "url": "http://www.rossanthony.com/interviews/majidi.shtml"} +{"d:Title": "Majidi's Careful Magic Takes Iran to the World", "d:Description": "Interview by Mishi Saran of the International Herald Tribune.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Majidi,_Majid", "url": "http://www.angelfire.com/de/antidiscrimination/Majidi2.html"} +{"d:Title": "Filmography", "d:Description": "IMDB filmography for Majid Majidi.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Majidi,_Majid", "url": "http://www.imdb.com/name/nm0006498/"} +{"d:Title": "A.V. Club: Majid Majidi", "d:Description": "Interview with the director by Joshua Klein.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Majidi,_Majid", "url": "http://www.avclub.com/article/majid-majidi-13578"} +{"d:Title": "Mohsen Makhmalbaf Films", "d:Description": "Ordering information and reviews for 14 of Makhmalbaf's films, including Gabbeh, Closeup, Boycott and The Peddler.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Makhmalbaf,_Mohsen", "url": "http://www.iranianmovies.com/reviews/makhmalbaf.htm"} +{"d:Title": "Makhmalbaf Film House", "d:Description": "Makhmalbaf family web site and MFH official site. Includes galleries, books, notes, and reviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Makhmalbaf,_Mohsen", "url": "http://www.makhmalbaf.com/"} +{"d:Title": "Mohsen Makhmalbaf: Retrospective", "d:Description": "Article by Donato Totaro.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Makhmalbaf,_Mohsen", "url": "http://offscreen.com/view/makhmalbaf"} +{"d:Title": "IMDb: Joseph L. Mankiewicz", "d:Description": "Biography and filmography as a director, writer, and producer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mankiewicz,_Joseph", "url": "http://www.imdb.com/name/nm0000581/"} +{"d:Title": "Mann of the West", "d:Description": "Focuses primarily on Mann's westerns featuring \"the sight of an almost deranged James Stewart on horseback.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Anthony", "url": "http://www.iol.ie/~galfilm/filmwest/39mann.htm"} +{"d:Title": "Anthony Mann/John Alton Film Noirs", "d:Description": "Review by Gary Johnson of \"T-Men\", \"Raw Deal\" and \"He Walked by Night\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Anthony", "url": "http://www.imagesjournal.com/issue02/reviews/mannoirs.htm"} +{"d:Title": "Anthony Mann", "d:Description": "Box Office Prophets looks at \"one great director from the past who is almost criminally neglected today\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Anthony", "url": "http://www.boxofficeprophets.com/hyde/mann.asp"} +{"d:Title": "Anthony Mann", "d:Description": "Filmography, biography and trivia from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Anthony", "url": "http://www.imdb.com/name/nm0542649/"} +{"d:Title": "Films of Anthony Mann", "d:Description": "Offers brief film summaries and images.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Anthony", "url": "http://www.suspense-movies.com/directors/anthony-mann/"} +{"d:Title": "The Films of Anthony Mann", "d:Description": "In-depth look at many of the director's films by Michael E. Grost.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Anthony", "url": "http://mikegrost.com/mann.htm"} +{"d:Title": "All Movie Guide: Anthony Mann", "d:Description": "Career overview by Lucia Bozzola, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Anthony", "url": "http://www.allmovie.com/artist/anthony-mann-p101049"} +{"d:Title": "IMDb: Delbert Mann", "d:Description": "Profile, trivia, complete filmography, and other related career data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Delbert", "url": "http://www.imdb.com/name/nm0542720/"} +{"d:Title": "Documenting the Director: Delbert Mann", "d:Description": "Essay by Sarah Harwell, Vanderbilt University Library, documenting the life, work, and papers of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mann,_Delbert", "url": "http://spider.georgetowncollege.edu/htallant/border/bs10/harwell.htm"} +{"d:Title": "Where Do Statues Go when They Die?", "d:Description": "On Art, Colonialism and Complicity: Thoughts after seeing Les Statues meurent aussi (Statues Also Die), a film by Alain Resnais and Chris Marker, by Amy H. K\u00f6nig of h2so4 magazine.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Marker,_Chris", "url": "http://h2so4.net/artlove/statues.html"} +{"d:Title": "The Big Picture", "d:Description": "Notes on the life and work of Chris Marker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Marker,_Chris", "url": "http://www.cyberbohemia.com/o.w.l./0005.html"} +{"d:Title": "New York Times - There\u2019s Nothing to Fear From Infinity", "d:Description": "Jason Zinoman reviewing the work of McBurney, a cinematic territory, focusing on the clash of cultures and romantic tension.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McBurney,_Simon", "url": "http://www.nytimes.com/2010/07/04/theater/04complicite.html"} +{"d:Title": "IMDb: Leo McCarey", "d:Description": "Complete filmography, profile, and other related career data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McCarey,_Leo", "url": "http://imdb.com/name/nm0564970/"} +{"d:Title": "IMDb: James McTeigue", "d:Description": "Offers full filmography for the director categorized by type, year and ratings, photo gallery, news articles, contact information and discussion board.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McTeigue,_James", "url": "http://www.imdb.com/name/nm0574625/"} +{"d:Title": "Wikipedia: James McTeigue", "d:Description": "Offers hyperlinked career profile.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McTeigue,_James", "url": "http://en.wikipedia.org/wiki/James_McTeigue"} +{"d:Title": "Fame&Fortune: 'V for Vendetta' Director James McTeigue", "d:Description": "The director of the long awaited sci-fi thriller puts his money in earthly investments: real estate.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McTeigue,_James", "url": "http://www.bankrate.com/brm/news/investing/20060314b1.asp"} +{"d:Title": "BBC Movies: Interview: Joel Silver and James McTeigue", "d:Description": "V For Vendetta's producer and director chat about terrorism, Alan Moore and action movies. Interviewed by Rob Carnevale.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McTeigue,_James", "url": "http://www.bbc.co.uk/films/2006/03/10/silver_and_mcteigue_v_for_vendetta_2006_interview.shtml"} +{"d:Title": "James McTeigue", "d:Description": "Tribute.ca offers a comprehensive video interview with the director in both Windows Media and QuickTime formats.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McTeigue,_James", "url": "http://www.tribute.ca/people/james-mcteigue/14001/"} +{"d:Title": "IMDb: John McTiernan", "d:Description": "Offers filmography, nominations and awards, biography, photo gallery, news articles, contact information and discussion board.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/McTiernan,_John", "url": "http://www.imdb.com/name/nm0001532/"} +{"d:Title": "IMDb: Fernando Meirelles", "d:Description": "Provides filmography and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Meirelles,_Fernando", "url": "http://www.imdb.com/name/nm0576987/"} +{"d:Title": "Yahoo! Movies: Sam Mendes", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mendes,_Sam", "url": "http://movies.yahoo.com/person/sam-mendes/"} +{"d:Title": "Guardian Unlimited Film: 'It's not a typical Academy Award-winning movie'", "d:Description": "Sam Mendes talks about his Oscar winning movie 'American Beauty'.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mendes,_Sam", "url": "http://www.theguardian.com/film/2000/feb/13/awardsandprizes.oscars20002"} +{"d:Title": "IMDb: Sam Mendes", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mendes,_Sam", "url": "http://www.imdb.com/name/nm0005222/"} +{"d:Title": "The Films of Radley Metzger", "d:Description": "Reviews and synopses of several films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Metzger,_Radley", "url": "http://www.mondo-digital.com/radmain.html"} +{"d:Title": "IMDb: Nicholas Meyer", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Meyer,_Nicholas", "url": "http://www.imdb.com/name/nm0583292/"} +{"d:Title": "IMDB - Takashi Miike", "d:Description": "Featuring a filmography, awards, biography, and news.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Miike,_Takashi", "url": "http://www.imdb.com/name/nm0586281/"} +{"d:Title": "Guardian Unlimited - Interview with Miike Takashi", "d:Description": "Gavin Rees interviews the filmmaker about his background and the film Audition, in which a lonely man's search for love turns into a rampage of blood-lust.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Miike,_Takashi", "url": "http://www.theguardian.com/film/2001/mar/17/features1"} +{"d:Title": "Midnight Eye - Miike, Takashi", "d:Description": "An interview with Japanese film director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Miike,_Takashi", "url": "http://www.midnighteye.com/interviews/takashi-miike/"} +{"d:Title": "Wikipedia: Lewis Milestone", "d:Description": "Profile, awards, and filmography. Information with searchable links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Milestone,_Lewis", "url": "http://en.wikipedia.org/wiki/Lewis_Milestone"} +{"d:Title": "IMDb: Lewis Milestone", "d:Description": "Biography, awards, and complete filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Milestone,_Lewis", "url": "http://www.imdb.com/name/nm0587277/"} +{"d:Title": "IMDb: George Miller", "d:Description": "Complete filmography, photographs, profile, and other related career data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Miller,_George", "url": "http://www.imdb.com/name/nm0004306/"} +{"d:Title": "Oz Cinema: Dr George Miller", "d:Description": "A profile of one of Australia's directors, written by Joshua Smith.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Miller,_George", "url": "http://www.ozcinema.com/people/m/georgemiller.html"} +{"d:Title": "IMDb: Anthony Minghella", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Minghella,_Anthony", "url": "http://www.imdb.com/name/nm0005237/"} +{"d:Title": "Anthony Minghella: The Storyteller", "d:Description": "Fan site with biography, filmography, TV radio and plays, and a feature article.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Minghella,_Anthony", "url": "http://aminghella.tripod.com/Storyteller.html"} +{"d:Title": "IMDb: Vincente Minnelli", "d:Description": "Filmography, awards, biography, trivia, photographs, news articles, and related information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Minnelli,_Vincente", "url": "http://www.imdb.com/name/nm0591486/"} +{"d:Title": "The Films of Vincente Minnelli", "d:Description": "Detailed look at some of his most famous films and the themes and style of his film direction.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Minnelli,_Vincente", "url": "http://mikegrost.com/minn.htm"} +{"d:Title": "TVGuide.com: Vincente Minnelli", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Minnelli,_Vincente", "url": "http://www.tvguide.com/celebrities/vincente-minnelli/181026"} +{"d:Title": "Kenji Mizoguchi", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mizoguchi,_Kenji", "url": "http://www.imdb.com/name/nm0003226/"} +{"d:Title": "All Movie Guide: Kenji Mizoguchi", "d:Description": "Filmography and biography by Jonathan Crow.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Mizoguchi,_Kenji", "url": "http://www.allmovie.com/artist/kenji-mizoguchi-p103129"} +{"d:Title": "Lukas Moodysson", "d:Description": "Featuring a filmography, awards, pictures and news about the Swedish Director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Moodysson,_Lukas", "url": "http://members.home.nl/lmoody/"} +{"d:Title": "IMDb: Lukas Moodysson", "d:Description": "Filmography, photo, and profile.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Moodysson,_Lukas", "url": "http://imdb.com/name/nm0600546/"} +{"d:Title": "From \u201cFucking \u00c5m\u00e5l\u201d to \u201cShow Me Love\u201d: Scandinavian Smash Comes To America", "d:Description": "Interview by Aaron Krach with the director and Rebecca Liljeberg.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Moodysson,_Lukas", "url": "http://www.indiewire.com/article/interview_from_fucking_ml_to_show_me_love_scandinavian_smash_comes_to_ameri/"} +{"d:Title": "Images - F.W. Murnau: The Last Laugh and Faust", "d:Description": "A DVD review of Murnau's classics by Gary Johnson.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/M/Murnau,_Friedrich_Wilhelm", "url": "http://www.imagesjournal.com/issue10/reviews/murnau/text.htm"} +{"d:Title": "IMDb: Mike Nichols", "d:Description": "Filmography, awards, biography, message board, photographs, news articles, and other related information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/N/Nichols,_Mike", "url": "http://www.imdb.com/name/nm0001566/"} +{"d:Title": "BBC - Films - Gaspar No\u00e9", "d:Description": "Neil Smith interviews the Franco-Argentine director about his his hard-hitting revenge drama \"Irr\u00e9versible\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/N/No\u00e9,_Gaspar", "url": "http://www.bbc.co.uk/films/2003/01/22/gaspar_noe_irreversible_interview.shtml"} +{"d:Title": "Ozon, Francois", "d:Description": "Official website of French director offering news, pictures, interviews and clips. French or English.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O", "url": "http://www.francois-ozon.com/"} +{"d:Title": "Wikipedia - Max Oph\u00fcls", "d:Description": "Biography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Oph\u00fcls,_Max", "url": "http://en.wikipedia.org/wiki/Max_Oph%C3%BCls"} +{"d:Title": "IMDB - Max Oph\u00fcls", "d:Description": "International movie database presents a filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Oph\u00fcls,_Max", "url": "http://www.imdb.com/name/nm0649097/"} +{"d:Title": "University of Pennsylvania - Small Theater of The World", "d:Description": "Introduction to a public conversation and film retrospective featuring works by Ottinger.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Ottinger,_Ulrike", "url": "http://cinemastudies.sas.upenn.edu/events/october2010/ulrikeottinger"} +{"d:Title": "Goethe Institut - Ulrike Ottinger: A Nomad\u2019s Imagery", "d:Description": "Anja Osswald discussing the life and work of the German filmmaker and director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Ottinger,_Ulrike", "url": "http://www.goethe.de/kue/flm/far/en46863.htm"} +{"d:Title": "Electric Sheep - Interview with Ulrike Ottinger", "d:Description": "Pamela Jahn speaking with the German filmmaker at the London Lesbian&Gay Film Festival. May 2, 2009.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Ottinger,_Ulrike", "url": "http://www.electricsheepmagazine.co.uk/features/2009/05/02/interview-with-ulrike-ottinger/"} +{"d:Title": "Afterall - Ulrike Ottinger's Chronicle of Time", "d:Description": "Eva Meyer describing the work of the German Filmmaker, focusing on the concepts of time and space. Autumn/Winter 2007.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Ottinger,_Ulrike", "url": "http://www.afterall.org/journal/issue.16/ulrike.ottingers.chronicle.time"} +{"d:Title": "YodaJeff's Yoda Page: Frank Oz", "d:Description": "Biography and an archived interview.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Oz,_Frank", "url": "http://www.yodajeff.com/frank_oz/frank_oz.shtml"} +{"d:Title": "Rick Lyon's Photo Album: Frank Oz", "d:Description": "Casual photo of Frank with Cookie Monster.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Oz,_Frank", "url": "http://www.lyonpuppets.com/oz.html"} +{"d:Title": "Muppet Central", "d:Description": "Frank Oz trivia challenge.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Oz,_Frank", "url": "http://www.muppetcentral.com/guides/trivia/oz.shtml"} +{"d:Title": "IMDb: Frank Oz", "d:Description": "Filmography, television, and trivia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Oz,_Frank", "url": "http://www.imdb.com/name/nm0000568/"} +{"d:Title": "The A.V. Club Interview with Frank Oz", "d:Description": "The director and sometime puppeteer gets contentious about what makes a British sense of humor and why he doesn't care that Yoda's gone CGI.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/O/Oz,_Frank", "url": "http://www.avclub.com/article/frank-oz-14141"} +{"d:Title": "Parajanov, Sergei", "d:Description": "Official site includes news, a biography, and information on Michael Vardanov's biographical film about him.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P", "url": "https://parajanov.com/"} +{"d:Title": "Pucci, Philip", "d:Description": "Official site of the director of Beastie featuring The Beastie Boys, and The Extra.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P", "url": "http://www.philippucci.com/"} +{"d:Title": "Powell, Michael and Pressburger, Emeric", "d:Description": "Site run by the Powell and Pressburger Appreciation Society. Includes news, letters, articles, FAQs, and activities.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P", "url": "http://www.powell-pressburger.org/"} +{"d:Title": "Piel, Harry", "d:Description": "A biography of the German director, by Thomas Staedeli.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P", "url": "http://www.cyranos.ch/smpiel-e.htm"} +{"d:Title": "Potter, Sally", "d:Description": "Official site with weblog, news, images and trailers from her films, curriculum vitae in various formats, information about her teaching, forum, contact details, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P", "url": "http://www.sallypotter.com/"} +{"d:Title": "The Onion AV Club: Kimberly Peirce", "d:Description": "Interviews the director about filming the story of Teena Brandon.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P", "url": "http://www.avclub.com/article/kimberly-peirce-13626"} +{"d:Title": "Podeswa, Jeremy", "d:Description": "Interview with the writer/director about his movie The Five Senses.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P", "url": "http://www.metrotimes.com/detroit/smelling-love-tasting-pain/Content?oid=2168799"} +{"d:Title": "Internet Movie Database: Georg Wilhelm Pabst", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pabst,_Georg_Wilhelm", "url": "http://www.imdb.com/name/nm0655065/"} +{"d:Title": "Louise Brooks Studies - Georg Wilhelm Pabst", "d:Description": "Presents links and a checklist of relevant books and articles on the life and work of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pabst,_Georg_Wilhelm", "url": "http://webspace.webring.com/people/ql/louise_brooks/pabst-links.html"} +{"d:Title": "Greg Pak", "d:Description": "Biography of the filmmaker, awards, filmography, reviews of his movies, news, contact information, and titles.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pak,_Greg", "url": "http://www.pakbuzz.com/"} +{"d:Title": "IMDb.com : Greg Pak", "d:Description": "His complete filmography as a director, writer, actor, and producer. List of nominations and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pak,_Greg", "url": "http://www.imdb.com/name/nm0657062/"} +{"d:Title": "Jafar Panahi", "d:Description": "Filmography (Internet Movie Database).", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Panahi,_Jafar", "url": "http://www.imdb.com/name/nm0070159/"} +{"d:Title": "An Interview With Jafar Panahi, Director of The Circle", "d:Description": "Interview by David Walsh for the World Socialist Web Site.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Panahi,_Jafar", "url": "http://www.wsws.org/en/articles/2000/10/pan-o02.html"} +{"d:Title": "BBC Films: Alan Parker", "d:Description": "Career profile by Neil Smith, making comparisons with Ridley Scott and Adrian Lyne, and looking at his refusal to stick with one genre.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Parker,_Alan", "url": "http://www.bbc.co.uk/films/2001/01/18/alan_parker_profile_2001_article.shtml"} +{"d:Title": "BBC News", "d:Description": "Alan Parker: Pioneer of UK cinema - News story about him receiving a knighthood for service to the British film industry.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Parker,_Alan", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1734520.stm"} +{"d:Title": "IMDb: Alan Parker (I)", "d:Description": "Filmography, biography and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Parker,_Alan", "url": "http://www.imdb.com/name/nm0000570/"} +{"d:Title": "The Guardian - Philippe Parreno", "d:Description": "Jessica Lack exploring the French artist and film-maker, part of a series on contemporary artists.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Parreno,_Philippe", "url": "http://www.theguardian.com/artanddesign/2008/nov/05/philippe-parreno-art"} +{"d:Title": "Wikipedia: Pier Paolo Pasolini", "d:Description": "An encyclopedia entry.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pasolini,_Pier_Paolo", "url": "http://en.wikipedia.org/wiki/Pier_Paolo_Pasolini"} +{"d:Title": "Jim's Reviews: Films of Pier Paolo Pasolini", "d:Description": "In addition to reviews of his movies, there is also a biographical article.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pasolini,_Pier_Paolo", "url": "http://jclarkmedia.com/pasolini/"} +{"d:Title": "The Atheist Who Was Obsessed with God", "d:Description": "A 1969 interview with Pasolini, from Moviecrazed.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pasolini,_Pier_Paolo", "url": "http://www.moviecrazed.com/outpast/pasolini.html"} +{"d:Title": "Italian Dialect Poetry - Pasolini", "d:Description": "Provides a portrait of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pasolini,_Pier_Paolo", "url": "http://userhome.brooklyn.cuny.edu/bonaffini/DP/pasolini.htm"} +{"d:Title": "IMDb: Pier Paolo Pasolini", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pasolini,_Pier_Paolo", "url": "http://www.imdb.com/name/nm0001596/"} +{"d:Title": "Metro Times: Agony and Ecstasy", "d:Description": "The Italian director was renowned as a controversial poet, novelist and essayist before he even considered picking up a movie camera. In 1975, he was brutally killed. By Deborah Hochberg.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pasolini,_Pier_Paolo", "url": "http://www.metrotimes.com/detroit/agony-and-ecstasy/Content?oid=2170102"} +{"d:Title": "Quo Vadis? The Cinema and Fate of Pier Paolo Pasolini", "d:Description": "His films, his atheism, his fate and his road to self-destruction.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pasolini,_Pier_Paolo", "url": "http://users.hal-pc.org/~questers/pasolini.html"} +{"d:Title": "Memorable Quotations: Roman Polanski", "d:Description": "Quotes from the Polish film director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Polanski,_Roman", "url": "http://www.memorablequotations.com/polanski.htm"} +{"d:Title": "Polanski, Roman - Media Reports Archive", "d:Description": "An archive of article reprints related to film director Roman Polanski's arrest, prosecution and fugitive status on charges of raping a 14 year old girl in 1977.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Polanski,_Roman", "url": "http://www.vachss.com/mission/roman_polanski.html"} +{"d:Title": "IMDb: Roman Polanski", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Polanski,_Roman", "url": "http://www.imdb.com/name/nm0000591/"} +{"d:Title": "The Smoking Gun: Polanski The Predator", "d:Description": "Testimony regarding Roman Polanski's arrest for sexually abusing a 13-year-old girl.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Polanski,_Roman", "url": "http://www.thesmokinggun.com/documents/crime/polanski-predator"} +{"d:Title": "Salon.com: Roman Polanski", "d:Description": "Directory of Salon.com articles about the director and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Polanski,_Roman", "url": "http://www.salon.com/topic/roman_polanski/"} +{"d:Title": "Film Scouts: Sydney Pollack", "d:Description": "Film reviews, partial filmography and an interview available in QuickTime and RealVideo.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pollack,_Sydney", "url": "http://www.filmscouts.com/scripts/person.cfm?person=164"} +{"d:Title": "Sydney Pollack", "d:Description": "Pollack's filmography at IMDb.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Pollack,_Sydney", "url": "http://www.imdb.com/name/nm0001628/"} +{"d:Title": "Rotten Tomatoes: Alex Proyas", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Proyas,_Alex", "url": "http://www.rottentomatoes.com/celebrity/alex_proyas/"} +{"d:Title": "IMDb: Alex Proyas", "d:Description": "Filmography, biography, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Proyas,_Alex", "url": "http://www.imdb.com/name/nm0001639/"} +{"d:Title": "All Movie Guide: Alex Proyas", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/P/Proyas,_Alex", "url": "http://www.allmovie.com/artist/alex-proyas-p107167"} +{"d:Title": "Rathod, David", "d:Description": "Director of West is West, as well as music videos, corporate videos and commercials.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R", "url": "http://davidrathod.com/"} +{"d:Title": "Rosi, Francesco", "d:Description": "About Rosi and his 1979 film Cristo si e' fermato a Eboli.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R", "url": "http://www.angelfire.com/movies/eboli/"} +{"d:Title": "Rollin, Jean", "d:Description": "Film reviews and synopses.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R", "url": "http://www.mondo-digital.com/rollindvd.html"} +{"d:Title": "Order of the Exile", "d:Description": "Fan site for Jacques Rivette with biography, interviews, filmography, essays, and references.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R", "url": "http://www.dvdbeaver.com/rivette/OK/index.html"} +{"d:Title": "Roach, Jay", "d:Description": "DVD Talk interview with the director of Austin Powers I and II, Mystery Alaska, and Meet The Parents.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R", "url": "http://www.dvdtalk.com/interviews/jay_roach_direc.html"} +{"d:Title": "Reed, Peyton", "d:Description": "DVD Talk interview with the director of Bring it On.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R", "url": "http://www.dvdtalk.com/interviews/peyton_reed_dir.html"} +{"d:Title": "The Onion AV Club: Gary Ross", "d:Description": "Interviews with the director about looking at the '50s through the perspective of the '90s.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R", "url": "http://www.avclub.com/article/gary-ross-13563"} +{"d:Title": "IMDb: Sam Raimi", "d:Description": "Includes filmography, descriptions, biography and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Raimi,_Sam", "url": "http://www.imdb.com/name/nm0000600/"} +{"d:Title": "Satyajit Ray Tribute", "d:Description": "Fan site about Indian director Satyajit Ray's life and films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Ray,_Satyajit", "url": "http://satyajitray.tripod.com/"} +{"d:Title": "Satyajit Ray", "d:Description": "Site dedicated to the filmmaker from India. Biography, filmography, filmmaking, links, books and videos.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Ray,_Satyajit", "url": "http://www.satyajitray.org/"} +{"d:Title": "The Satyajit Ray Film and Study Collection", "d:Description": "A comprehensive archive of the works of Satyajit Ray, including his films as well as originals and facsimiles of his papers, sketches and graphics.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Ray,_Satyajit", "url": "http://satyajitray.ucsc.edu/"} +{"d:Title": "Britmovie: Carol Reed", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Reed,_Carol", "url": "http://www.britmovie.co.uk/directors/c_reed/"} +{"d:Title": "IMDb: Carol Reed", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Reed,_Carol", "url": "http://www.imdb.com/name/nm0715346/"} +{"d:Title": "Slovakopedia: Ivan Reitman", "d:Description": "Canadian film producer and director born in Slovakia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Reitman,_Ivan", "url": "http://www.slovakopedia.com/i/ivan-reitman.htm"} +{"d:Title": "A.V. Club: Ivan Reitman", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Reitman,_Ivan", "url": "http://www.avclub.com/article/ivan-reitman-13658"} +{"d:Title": "Jean Renoir", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Renoir,_Jean", "url": "http://www.imdb.com/name/nm0719756/"} +{"d:Title": "Grand Illusions - Jean Renoir at the Harvard Film Archive", "d:Description": "Peter Keough looks at the retrospective \"The Jean Renoir Opera\" for the Boston Phoenix.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Renoir,_Jean", "url": "http://www.bostonphoenix.com/archive/movies/98/07/02/JEAN_RENOIR.html"} +{"d:Title": "Jean Renoir", "d:Description": "Critical analysis of three of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Renoir,_Jean", "url": "http://www.filmref.com/directors/dirpages/renoir.html"} +{"d:Title": "Richard Rich", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Rich,_Richard", "url": "http://www.imdb.com/name/nm0723704/"} +{"d:Title": "IMDb: Tony Richardson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Richardson,_Tony", "url": "http://www.imdb.com/name/nm0724798/"} +{"d:Title": "Leni Riefenstahl", "d:Description": "Biography, filmography and photography spanning the director's career.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Riefenstahl,_Leni", "url": "http://www.leni-riefenstahl.de/"} +{"d:Title": "Das Blaue Licht", "d:Description": "Fan site with a biography, filmography, photographs, FAQ, and scanned poster art.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Riefenstahl,_Leni", "url": "http://www.dasblauelicht.net/"} +{"d:Title": "The Five Lives of Leni Riefenstahl", "d:Description": "BBC News article about the filmmaker's life, following the release of her book, \"Five Lives\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Riefenstahl,_Leni", "url": "http://news.bbc.co.uk/1/hi/entertainment/986528.stm"} +{"d:Title": "Leni's Rising Star", "d:Description": "Profile, news, films, resources, and other related information on this actress, film director, and dancer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Riefenstahl,_Leni", "url": "http://www.riefenstahl.org/"} +{"d:Title": "Film-maker Leni Riefenstahl Dies", "d:Description": "Obituary from the BBC News.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Riefenstahl,_Leni", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3093154.stm"} +{"d:Title": "Leni Riefenstahl", "d:Description": "Biography and filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Riefenstahl,_Leni", "url": "http://www.imdb.com/name/nm0726166/"} +{"d:Title": "Psymon: Tributes to Leni Riefenstahl", "d:Description": "Fan site with a biography and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Riefenstahl,_Leni", "url": "http://www.psymon.com/art/Leni_Riefenstahl.html"} +{"d:Title": "IMDb.com: Guy Ritchie", "d:Description": "Internet Movie Database's collection of filmography information for the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Ritchie,_Guy", "url": "http://www.imdb.com/name/nm0005363/"} +{"d:Title": "A.V. Club: Guy Ritchie", "d:Description": "Interview with the director on violence and British filmmaking.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Ritchie,_Guy", "url": "http://www.avclub.com/article/guy-ritchie-13585"} +{"d:Title": "Jerome Robbins Trust and Foundation", "d:Description": "Shared by two organizations established by Jerome Robbins. Site gives information on Mr. Robbins' work, licensing request procedures, biographical information, and special events.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Robbins,_Jerome", "url": "http://jeromerobbins.org/"} +{"d:Title": "Jerome Robbins (1918-1999)", "d:Description": "Profile of his career as a dancer and choreographer in ballet and theatre, and director for both stage and screen.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Robbins,_Jerome", "url": "http://androsdance.tripod.com/biographies/robbins_jerome.htm"} +{"d:Title": "IMDb: Jerome Robbins", "d:Description": "Complete filmography for his work as director, writer, and film crew member.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Robbins,_Jerome", "url": "http://www.imdb.com/name/nm0730385/"} +{"d:Title": "IMDb.com: Robert Rodriguez", "d:Description": "Filmography, biography, photos, reviews, articles, and award nominations.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Rodriguez,_Robert", "url": "http://www.imdb.com/name/nm0001675/"} +{"d:Title": "Rotten Tomatoes: Robert Rodriguez", "d:Description": "Filmography, articles, DVD reviews, photos, user comments and box office numbers of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Rodriguez,_Robert", "url": "http://www.rottentomatoes.com/celebrity/robert_rodriguez/"} +{"d:Title": "Yahoo Groups: Nicolas Roeg", "d:Description": "Mailing list for fans of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Roeg,_Nicolas", "url": "http://groups.yahoo.com/group/roeg/"} +{"d:Title": "Gerald Peary interviews Nicolas Roeg", "d:Description": "The topic was Roeg's latest film, 'Bad Timing - A Sensual Obsession', starring his youthful wife, Theresa Russell. From the Real Paper, Boston.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Roeg,_Nicolas", "url": "http://www.geraldpeary.com/interviews/pqr/roeg.html"} +{"d:Title": "Rotten Tomatoes: Nicolas Roeg", "d:Description": "Filmography, news, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Roeg,_Nicolas", "url": "http://www.rottentomatoes.com/celebrity/nicolas_roeg/"} +{"d:Title": "Nicholas Roeg at IMDb", "d:Description": "His biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Roeg,_Nicolas", "url": "http://www.imdb.com/name/nm0001676/"} +{"d:Title": "Nicolas Roeg Interview - The Man Who Fell to Earth.", "d:Description": "From SFX Magazine August 1999. Nic talks about his work.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Roeg,_Nicolas", "url": "http://bebebuell.tripod.com/nicroeg1.html"} +{"d:Title": "Internet Movie Database: George A. Romero", "d:Description": "Filmography, awards, biography, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Romero,_George", "url": "http://www.imdb.com/name/nm0001681/"} +{"d:Title": "The Search for Realism", "d:Description": "Roberto Rossellini and his Italian cinema by Karen Arnone.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Rossellini,_Roberto", "url": "http://ccat.sas.upenn.edu/italians/resources/Amiciprize/1996/"} +{"d:Title": "IMDb: Roberto Rossellini", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Rossellini,_Roberto", "url": "http://www.imdb.com/name/nm0744023/"} +{"d:Title": "Ken Russell: Savage Messiah", "d:Description": "Reviews, summaries, and pictures from his movie, television, and written works. Includes analysis of his films by theme with special attention paid to the influence of Roman Catholicism.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Russell,_Ken", "url": "http://www.iainfisher.com/russell.html"} +{"d:Title": "IMDb: Ken Russell (I)", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/R/Russell,_Ken", "url": "http://www.imdb.com/name/nm0001692/"} +{"d:Title": "Svoboda, Jiri", "d:Description": "Prague film director's filmography, screenplays, and information on his life and family. English and Czech.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://svobodajiri.euweb.cz/"} +{"d:Title": "Steinmann, Roger", "d:Description": "Swiss filmmaker's official site. Biography, filmography, media, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.roger-steinmann.com/"} +{"d:Title": "Shepard, Richard", "d:Description": "Information on the director's films including Oxygen and The Linguini Incident.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.richardshepard.com/"} +{"d:Title": "Shyamalan, M. Night", "d:Description": "Information and news on the director, including updates on new projects, production stills from his films, and a trivia quiz.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.mnight.com/"} +{"d:Title": "Salonen, Hannu", "d:Description": "Biography, filmography, multimedia, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.hannusalonen.com/"} +{"d:Title": "Seth, Gaurav", "d:Description": "Biography, filmography, articles, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.sethgaurav.com/"} +{"d:Title": "M. Night Fans", "d:Description": "Fan site of M. Night Shyamalan with news articles, film profiles, photographs, forum, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.mnightfans.com/"} +{"d:Title": "Singh, Tarsem", "d:Description": "Official site with biography, stills, reel, and trailers.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.tarsem.org/"} +{"d:Title": "Stanley, Richard", "d:Description": "Official site with biography, set diaries, screenplays and interviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.everythingisundercontrol.org/nagtloper/"} +{"d:Title": "Sanders, Jessica", "d:Description": "Director of documentaries, short films, commercials, and Web series. Biography, portfolio, press links, awards, and showreel.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://jessicasandersfilm.com/"} +{"d:Title": "Soloman, Courtney", "d:Description": "A DVD Talk with the director of Dungeons and Dragons.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.dvdtalk.com/interviews/courtney_solomo.html"} +{"d:Title": "The Onion AV Club: Penelope Spheeris", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S", "url": "http://www.avclub.com/article/penelope-spheeris-13584"} +{"d:Title": "Borders and Boundaries: An Interview with John Sayles.", "d:Description": "Interview with the director by Dennis West and Joan M. West [Cineaste].", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sayles,_John", "url": "http://www.lib.berkeley.edu/MRC/sayles.html"} +{"d:Title": "Wikipedia - John Sayles", "d:Description": "Filmography, some lesser known facts, and links to interviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sayles,_John", "url": "http://en.wikipedia.org/wiki/John_Sayles"} +{"d:Title": "The Return of John Sayles; From \"Secaucus\" to the \"Sunshine State\"", "d:Description": "Interview by Anthony Kaufman discussing themes, politics and financing of movie projects [indieWIRE].", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sayles,_John", "url": "http://www.indiewire.com/article/interview_the_return_of_john_sayles_from_secaucus_to_the_sunshine_state2/"} +{"d:Title": "MotherJones - The Big Picture: John Sayles", "d:Description": "The filmmaker presents his take on the 20 best political films of the past two decades.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sayles,_John", "url": "http://www.motherjones.com/media/1996/05/big-picture-john-sayles"} +{"d:Title": "IMDb: Franklin J. Schaffner", "d:Description": "Filmography, profile, and related career data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schaffner,_Franklin", "url": "http://www.imdb.com/name/nm0769874/"} +{"d:Title": "BBC News: Your tributes to John Schlesinger", "d:Description": "Tributes to the film veteran John Schlesinger.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schlesinger,_John", "url": "http://news.bbc.co.uk/1/hi/talking_point/3095415.stm"} +{"d:Title": "IMDb: John Schlesinger", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schlesinger,_John", "url": "http://www.imdb.com/name/nm0772259/"} +{"d:Title": "Rotten Tomatoes: John Schlesinger", "d:Description": "Filmography, news, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schlesinger,_John", "url": "http://www.rottentomatoes.com/celebrity/john_schlesinger/"} +{"d:Title": "Yahoo! Movies: John Schlesinger", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schlesinger,_John", "url": "http://movies.yahoo.com/person/john-schlesinger/"} +{"d:Title": "Guardian Unlimited: John Schlesinger", "d:Description": "Obituary.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schlesinger,_John", "url": "http://www.theguardian.com/news/2003/jul/26/guardianobituaries.filmnews"} +{"d:Title": "Guardian Unlimited Film: John Schlesinger: Picture imperfect", "d:Description": "An extract of the acceptance speech by John Schlesinger for his Bafta lifetime achievement award in 2002.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schlesinger,_John", "url": "http://www.theguardian.com/film/2003/jul/26/features.comment"} +{"d:Title": "Internet Movie Database: Volker Schl\u00f6ndorff", "d:Description": "Brief biography, detailed filmography, awards, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schl\u00f6ndorff,_Volker", "url": "http://www.imdb.com/name/nm0772522/"} +{"d:Title": "World Socialist Web Site: Volker Schl\u00f6ndorff", "d:Description": "Interview with the director by Prairie Miller.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schl\u00f6ndorff,_Volker", "url": "http://www.wsws.org/en/articles/2001/02/schl-f03.html"} +{"d:Title": "Rotten Tomatoes: Joel Schumacher", "d:Description": "View posters, filmography, news, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schumacher,_Joel", "url": "http://www.rottentomatoes.com/celebrity/joel_schumacher/"} +{"d:Title": "IMDb: Joel Schumacher", "d:Description": "Filmography of the director of The Lost Boys, St. Elmo's Fire and Batman Forever.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schumacher,_Joel", "url": "http://www.imdb.com/name/nm0001708/"} +{"d:Title": "Extratv.com: The Creators: Joel Schumacher", "d:Description": "Biography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Schumacher,_Joel", "url": "http://telepixtvcgi.warnerbros.com/dailynews/extra/06_02/06_13b.html"} +{"d:Title": "The New York Times: A Conversation with Martin Scorsese", "d:Description": "Director Martin Scorsese on \"Raging Bull\", his favorite New York films, and the movie \"Gangs of New York\". Streaming video of live interview recorded on January 13, 2002. Fee required.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Scorsese,_Martin", "url": "http://www.nytimes.com/criticschoice/purchase_scorsese.html"} +{"d:Title": "Topix: Martin Scorsese", "d:Description": "News about Martin Scorsese, collected from various sources on the web.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Scorsese,_Martin", "url": "http://www.topix.com/rss/who/martin-scorsese.xml"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Regularly updated news and filmography as well as a general forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Scorsese,_Martin", "url": "http://www.rottentomatoes.com/celebrity/martin_scorsese/"} +{"d:Title": "IMDb - Martin Scorsese", "d:Description": "The Internet Movie Database site has extensive coverage of Scorsese's life, film career, awards and status of current projects. Also has a photo gallery and forum.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Scorsese,_Martin", "url": "http://www.imdb.com/name/nm0000217/"} +{"d:Title": "The Ridley Scott Fan Information Page", "d:Description": "Information on Ridley Scott films with links to many of the scripts.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Scott,_Ridley", "url": "http://www.angelfire.com/movies/ridleyscott/"} +{"d:Title": "IMDb.com - Ridley Scott", "d:Description": "Filmography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Scott,_Ridley", "url": "http://www.imdb.com/name/nm0000631/"} +{"d:Title": "IMDb: Tony Scott", "d:Description": "Biography, filmography, image gallery, news articles and video clips.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Scott,_Tony", "url": "http://www.imdb.com/name/nm0001716/"} +{"d:Title": "Director Bryan Singer Talks \"X-Men\"", "d:Description": "Capacity crowds await Bryan Singer at San Diego Comic Con 2000.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Singer,_Bryan", "url": "http://www.joblo.com/sandiegocon2000/con4.htm"} +{"d:Title": "About.com: Bryan Singer Interview", "d:Description": "Director Bryan Singer talks about his movie Superman Returns, the preview clips shown at Comic Con, Brandon Routh as Superman, casting Kate Bosworth, the baby, the story, and tackling the franchise.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Singer,_Bryan", "url": "http://movies.about.com/od/superman/a/superman071705.htm"} +{"d:Title": "HSX Prediction Market: Bryan Singer", "d:Description": "Listing on the Hollywood Stock Exchange, a fantasy trading game. Description and links to movie portfolios.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Singer,_Bryan", "url": "http://www.hsx.com/security/view/BSING"} +{"d:Title": "The Films of Robert Siodmak", "d:Description": "Michael Grost examines five of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Siodmak,_Robert", "url": "http://mikegrost.com/siodmak.htm"} +{"d:Title": "Robert Siodmak", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Siodmak,_Robert", "url": "http://www.imdb.com/name/nm0802563/"} +{"d:Title": "All Movie Guide: Robert Siodmak", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Siodmak,_Robert", "url": "http://www.allmovie.com/artist/robert-siodmak-p111677"} +{"d:Title": "Phantom Ladies", "d:Description": "Elliott Stein comments on five of the director's films for the Village Voice.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Siodmak,_Robert", "url": "http://www.villagevoice.com/film/phantom-ladies-6413806"} +{"d:Title": "Imitation of Life - Douglas Sirk's '50s America", "d:Description": "Boston Phoenix profile by Chris Fujiwara of \"the essential '50s director.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sirk,_Douglas", "url": "http://www.bostonphoenix.com/archive/movies/99/08/19/douglas_sirk.html"} +{"d:Title": "All That Heaven Allows and Written on the Wind", "d:Description": "Gary Morris reviews two of the director's films and claims he was \"a case study in contradictions.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sirk,_Douglas", "url": "http://www.imagesjournal.com/issue10/reviews/sirk/"} +{"d:Title": "All That Heaven Allows and Written on the Wind", "d:Description": "Reviews by Glenn Erickson.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sirk,_Douglas", "url": "http://www.dvdtalk.com/dvdsavant/s273sirk.html"} +{"d:Title": "The Films of Douglas Sirk", "d:Description": "Michael E. Grost examines Sirk and two of his films, \"Hitler's Madman\" and \"Sleep, My Love.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sirk,_Douglas", "url": "http://mikegrost.com/sirk.htm"} +{"d:Title": "Douglas Sirk", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sirk,_Douglas", "url": "http://www.imdb.com/name/nm0802862/"} +{"d:Title": "All Movie Guide: Douglas Sirk", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sirk,_Douglas", "url": "http://www.allmovie.com/artist/douglas-sirk-p111684"} +{"d:Title": "The Viewaskewniverse - Kevin Smith Fan Page", "d:Description": "Includes a filmography, news and gossip, and links to other web resources..", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Smith,_Kevin", "url": "http://viewaskewniverse.tripod.com/"} +{"d:Title": "My Boring Ass Life", "d:Description": "Kevin Smith's online journal.", "priority": "1", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Smith,_Kevin", "url": "http://silentbobspeaks.com/"} +{"d:Title": "IMDb: Zack Snyder", "d:Description": "Includes filmography, trivia, photo gallery, date of birth and message board.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://www.imdb.com/name/nm0811583/"} +{"d:Title": "Zack Snyder Talks 300", "d:Description": "The director talks about 300, bringing Miller's graphic novel to life on the screen, his approach to the story and what's happening with the Watchmen movie. By Rebecca Murray.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://movies.about.com/od/300/a/300zs022707.htm"} +{"d:Title": "IndieLondon: 300 - Zack Snyder Interview", "d:Description": "The director talks about the challenge of bringing Frank Miller\u2019s graphic novel 300 to the screen, the overwhelming success of the film in America and why some of the controversy surrounding the film has taken him by surprise.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://www.indielondon.co.uk/Film-Review/300-zack-snyder-interview"} +{"d:Title": "MTV Movie News: '300' Trivia: Albino Giants, Sequel Chances and Sienna Miller", "d:Description": "Attention \"300\" fans: As you get your fix of Spartan glory, director Zack Snyder has 30 fun facts you might not know about the gruesome flick. By Josh Horowitz.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://www.mtv.com/news/1554534/300-trivia-albino-giants-sequel-chances-and-sienna-miller/"} +{"d:Title": "SFGate.com: '300' -- An Operatic Ballet of Death", "d:Description": "In the hands of Snyder, working from an award-winning graphic novel by comic-book master Frank Miller, the three days of ferocious combat become larger than life.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://www.sfgate.com/entertainment/article/300-An-Operatic-Ballet-of-Death-2644231.php"} +{"d:Title": "MTV Movie News: New DVD Has '300' Director Zack Snyder Recalling 'Scariest Film Of 2006'", "d:Description": "In an exclusive guest column for MTV News, \"300\" director Zack Snyder discusses Guillermo del Toro's \"Pan's Labyrinth,\" calling it \"the scariest film of 2006.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://www.mtv.com/news/1559511/new-dvd-has-300-director-zack-snyder-recalling-scariest-film-of-2006/"} +{"d:Title": "MTV Movie News: Zack Snyder Assures He'll 'Find A Spot' For Gerard Butler In 'Watchmen'", "d:Description": "Gerard Butler will appear in \"The Watchmen\" after all, director Zack Snyder assures. By Larry Carroll.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://www.mtv.com/news/1561936/zack-snyder-assures-hell-find-a-spot-for-gerard-butler-in-watchmen/"} +{"d:Title": "MTV Movie News: Vintage Violence: Director Zack Snyder's '300'", "d:Description": "Director Zack Snyder chats with Kurt Loder about his new film, the relentlessly violent \"300,\" and two projects he has in the works.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Snyder,_Zack", "url": "http://www.mtv.com/news/1554103/vintage-violence-director-zack-snyders-300/"} +{"d:Title": "Out of Sight - Online tribute to Steven Soderbergh", "d:Description": "Fan page includes profile, awards, images, and video clips of the director and his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Soderbergh,_Steven", "url": "http://stevensoderbergh.tripod.com/"} +{"d:Title": "BBC News - Man of promise", "d:Description": "Discusses the return of the director and debut film-maker of Sex, Lies and Videotape.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Soderbergh,_Steven", "url": "http://news.bbc.co.uk/1/hi/entertainment/1167837.stm"} +{"d:Title": "Steven Soderbergh", "d:Description": "Fan site containing news, photographies, interviews, and articles about the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Soderbergh,_Steven", "url": "http://www.stevensoderbergh.net/"} +{"d:Title": "A.V. Club: Steven Soderbergh", "d:Description": "Interview with the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Soderbergh,_Steven", "url": "http://www.avclub.com/article/steven-soderbergh-13624"} +{"d:Title": "Salon.com - Steven Soderbergh", "d:Description": "Stephen Lemons interviews the filmmaker about filmmaking, the critics and himself.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Soderbergh,_Steven", "url": "http://www.salon.com/2000/12/20/soderbergh_4/"} +{"d:Title": "Steven Spielberg Home Page", "d:Description": "Information on the latest projects, filmography, multimedia archive, and scripts from his movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Spielberg,_Steven", "url": "http://www.angelfire.com/az/spielberg/"} +{"d:Title": "Stephen Spielberg: The Filmmaker by FilmMakers.com", "d:Description": "Features biography, film credits, and news.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Spielberg,_Steven", "url": "http://www.filmmakers.com/artists/spielberg/index.htm"} +{"d:Title": "WWWF Ground Zero: George Lucas vs. Steven Spielberg", "d:Description": "The two movie superpowers fight over \"creative control\". [humor]", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Spielberg,_Steven", "url": "http://www.grudge-match.com/History/lucas_spielberg.shtml"} +{"d:Title": "Steven Spielberg", "d:Description": "A comprehensive Steven Spielberg resource site featuring his biography, filmography and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Spielberg,_Steven", "url": "http://www.filmmakers.com/artists/stevenspielberg/"} +{"d:Title": "Steven Spielberg", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Spielberg,_Steven", "url": "http://www.imdb.com/name/nm0000229/"} +{"d:Title": "Starbright Foundation", "d:Description": "Spielberg is the chairman of this foundation, which is dedicated to the development of projects that empower seriously ill children.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Spielberg,_Steven", "url": "http://www.starlight.org/"} +{"d:Title": "George Stevens", "d:Description": "Filmography and photos from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stevens,_George", "url": "http://www.imdb.com/name/nm0828419/"} +{"d:Title": "Reel Classics: George Stevens", "d:Description": "Career highlights and links to reviews of several of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stevens,_George", "url": "http://www.reelclassics.com/Directors/Stevens/stevens.htm"} +{"d:Title": "All Movie Guide: George Stevens", "d:Description": "Awards, biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stevens,_George", "url": "http://www.allmovie.com/artist/george-stevens-p118815"} +{"d:Title": "Phil's Unofficial Whit Stillman Home Page", "d:Description": "Links to many places to find information about the director of Last Days of Disco, Barcelona and Metropolitan.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stillman,_Whit", "url": "http://www.whitstillman.org/"} +{"d:Title": "The Age - Michael Stoller and Michael Jackson Film Project", "d:Description": "Article describing that Bryan Michael Stoller and Michael Jackson will team up on a movie adaptation.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stoller,_Bryan_Michael", "url": "http://www.theage.com.au/articles/2002/05/02/1019441408731.html"} +{"d:Title": "BBC News - Stoller and Jackson directing film", "d:Description": "Writer and director Bryan Michael Stoller works with Michael Jackson on a movie adaptation of a book called They Cage the Animals at Night.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stoller,_Bryan_Michael", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1962774.stm"} +{"d:Title": "University of California, Berkeley - Oliver Stone", "d:Description": "Read a nine-page interview transcript with this writer, producer, director and Vietnam vet.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stone,_Oliver", "url": "http://globetrotter.berkeley.edu/Stone/stone-con1.html"} +{"d:Title": "Oliver Stone - An American Hero", "d:Description": "Site is informs about the director, his films and presents reviews, comments and a bulletin board.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Stone,_Oliver", "url": "http://www.angelfire.com/movies/OliverStone/"} +{"d:Title": "Sturges Emerges", "d:Description": "Career overview of director whose \"films inhabit a unique place in film history.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sturges,_Preston", "url": "http://www.filmsondisc.com/Features/sturges/sturges.htm"} +{"d:Title": "Preston Sturges", "d:Description": "Filmography, biography, trivia and quaotes from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sturges,_Preston", "url": "http://www.imdb.com/name/nm0002545/"} +{"d:Title": "American Masters - Preston Sturges", "d:Description": "PBS biography where Sturges is \"considered the father of the screwball comedy.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Sturges,_Preston", "url": "http://www.pbs.org/wnet/americanmasters/episodes/preston-sturges/about-preston-sturges/713/"} +{"d:Title": "New Statesman - Elia Suleiman and the politics of disappointment", "d:Description": "Article by Jonathan Derbyshire about the film The Time That Remains.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Suleiman,_Elia", "url": "http://www.newstatesman.com/blogs/cultural-capital/2009/11/israel-doha-suleiman-qatar"} +{"d:Title": "Haaretz - Palestinian filmmaker Elia Suleiman calls for end to cultural boycott of Israel", "d:Description": "Article by Goel Pinto discussing the ambiguity of the cultural boycott of Israel.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Suleiman,_Elia", "url": "http://www.haaretz.com/print-edition/news/palestinian-filmmaker-elia-suleiman-calls-for-end-to-cultural-boycott-of-israel-1.202904"} +{"d:Title": "Istvan Szabo's Century of \"Sunshine\"", "d:Description": "Interview with the director by Anthony Kauffman [indieWIRE].", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Szab\u00f3,_Istv\u00e1n", "url": "http://www.indiewire.com/article/istvan_szabos_century_of_sunshine/"} +{"d:Title": "IMDb: Istv\u00e1n Szab\u00f3", "d:Description": "Filmography and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/S/Szab\u00f3,_Istv\u00e1n", "url": "http://www.imdb.com/name/nm0843640/"} +{"d:Title": "Tutak, Robert", "d:Description": "NYC based award-winning writer-director. Site contains information about his films, screenplays, and upcoming film projects.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T", "url": "http://tutakfilms.com/"} +{"d:Title": "Tregenza, Rob", "d:Description": "Film synopses and reviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T", "url": "http://www.cinemaparallel.com/CP.tregenza.html"} +{"d:Title": "The Onion AV Club: Julien Temple", "d:Description": "Interviews about the timing of his new film, making music videos, and the legacy of The Sex Pistols.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T", "url": "http://www.avclub.com/article/julien-temple-13651"} +{"d:Title": "University of Victoria - Andrei Tarkovsky", "d:Description": "Homage to his films, by Demian Seale.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tarkovsky,_Andrei", "url": "http://web.uvic.ca/~dseale/"} +{"d:Title": "IMDB - Andrei Tarkovsky", "d:Description": "International movie dababase presents a biography and filmography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tarkovsky,_Andrei", "url": "http://www.imdb.com/name/nm0001789/"} +{"d:Title": "Leadership University - Andrei Tarkovsky", "d:Description": "Stuart C. Hancock discusses the master of the cinematic image.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tarkovsky,_Andrei", "url": "http://www.leaderu.com/marshill/mhr04/tark1.html"} +{"d:Title": "Boston Phoenix - Mirror, mirror", "d:Description": "Chris Fujiwara discusses the cinematic universe of Andrei Tarkovsky.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tarkovsky,_Andrei", "url": "http://www.bostonphoenix.com/boston/movies/documents/02702234.htm"} +{"d:Title": "Andrei Tarkovsky", "d:Description": "A brief biographical introduction to the Russian film director, with complete filmography and annotated bibliography up to 1988.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tarkovsky,_Andrei", "url": "http://www.literature-study-online.com/essays/tarkovsky.html"} +{"d:Title": "Nostalghia.com", "d:Description": "Tribute to Andrei Tarkovsky includes images, diaries, documentaries, and bibliographies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tarkovsky,_Andrei", "url": "http://people.ucalgary.ca/~tstronds/nostalghia.com/"} +{"d:Title": "Tativille", "d:Description": "Official site includes biography, filmography, image gallery, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tati,_Jacques", "url": "http://www.tativille.com/"} +{"d:Title": "IMDb: Norman Taurog", "d:Description": "Photographs, profile, trivia, complete filmography, and other related information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Taurog,_Norman", "url": "http://www.imdb.com/name/nm0851537/"} +{"d:Title": "All Movie Guide: Norman Taurog", "d:Description": "Biography, filmography, actors and other people he worked with, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Taurog,_Norman", "url": "http://www.allmovie.com/artist/norman-taurog-p113709"} +{"d:Title": "New York Art Beat - Leslie Thornton", "d:Description": "Presents Binocular, solo exhibition by New York artist and filmmaker Thornton.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Thornton,_Leslie", "url": "http://www.nyartbeat.com/event/2011/B2C9"} +{"d:Title": "IMDB: Zhuangzhuang Tian", "d:Description": "Filmography as a director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tian,_Zhuangzhuang", "url": "http://www.imdb.com/name/nm0862514/"} +{"d:Title": "China.org.cn: Tian Zhuangzhuang a Rare Insight Director", "d:Description": "Interview which discusses his documentary \"Delamu.\"", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tian,_Zhuangzhuang", "url": "http://www.china.org.cn/english/NM-e/98189.htm"} +{"d:Title": "Wikipedia: Tian Zhuangzhuang", "d:Description": "Short biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tian,_Zhuangzhuang", "url": "http://en.wikipedia.org/wiki/Tian_Zhuangzhuang"} +{"d:Title": "The Auteur of Darkness - Jacques Tourneur", "d:Description": "Ronald W. Wilson reviews Chris Fujiwara's biography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tourneur,_Jacques", "url": "http://www.film-philosophy.com/vol7-2003/n2wilson"} +{"d:Title": "The Films of Jacques Tourneur", "d:Description": "Extensive examination of thirteen of the director's films by Michael Grost.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tourneur,_Jacques", "url": "http://mikegrost.com/tourneur.htm"} +{"d:Title": "Jacques Tourneur: Whispers in a Distant Corridor", "d:Description": "Ed Gonzalez looks at three of the director's films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tourneur,_Jacques", "url": "http://www.slantmagazine.com/film/features/jacquestourneur.asp"} +{"d:Title": "Jacques Tourneur", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tourneur,_Jacques", "url": "http://www.imdb.com/name/nm0869664/"} +{"d:Title": "All Movie Guide: Jacques Tourneur", "d:Description": "Biography by Bruce Eder and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tourneur,_Jacques", "url": "http://www.allmovie.com/artist/jacques-tourneur-p114444"} +{"d:Title": "Jacques Tourneur - The Cinema of Nightfall", "d:Description": "Review by Kip Jenkins of Chris Fujiwara's book on the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tourneur,_Jacques", "url": "http://www.classichorror.free-online.co.uk/tourneur.htm"} +{"d:Title": "Fran\u00e7ois Truffaut", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Truffaut,_Fran\u00e7ois", "url": "http://www.imdb.com/name/nm0000076/"} +{"d:Title": "Musicolog: Tom Tykwer", "d:Description": "Biography, filmography, photos, awards, interview, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/T/Tykwer,_Tom", "url": "http://www.musicolog.com/tykwer.asp#.Uv-LAfl_u8A"} +{"d:Title": "Strange Illusions - The Films of Edgar G. Ulmer", "d:Description": "American Cinematheque look at Ulmer's body of work.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/U/Ulmer,_Edgar_G.", "url": "http://www.americancinematheque.com/archive1999/edgargulmer1999.htm"} +{"d:Title": "Fate Stuck Out Its Foot - The Struggles of Edgar G. Ulmer", "d:Description": "Appreciation by Jim Knipfel.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/U/Ulmer,_Edgar_G.", "url": "http://www.missioncreep.com/slackjaw/1998/ulmer.html"} +{"d:Title": "The Cinema of Edgar G. Ulmer", "d:Description": "Review of three of the director's films by Gary Morris.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/U/Ulmer,_Edgar_G.", "url": "http://www.imagesjournal.com/issue09/reviews/edgargulmer/"} +{"d:Title": "Edgar G. Ulmer", "d:Description": "Filmography, trivia and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/U/Ulmer,_Edgar_G.", "url": "http://www.imdb.com/name/nm0880618/"} +{"d:Title": "The Films of Edgar G. Ulmer", "d:Description": "Examination of six Ulmer films, including \"The Black Cat\" and \"Detour\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/U/Ulmer,_Edgar_G.", "url": "http://mikegrost.com/ulmer.htm"} +{"d:Title": "Edgar G. Ulmer's Bluebeard, The Strange Woman and Moon Over Harlem", "d:Description": "Bright Lights Film Journal examines three of his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/U/Ulmer,_Edgar_G.", "url": "http://brightlightsfilm.com/28/ulmer1.php"} +{"d:Title": "Useche, Andr\u00e9s", "d:Description": "Writer, director, actor, composer, editor and designer who created the movies Vana Espuma, Idle Mist, Waking Shadows. IMDb.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/U/Useche,_Andr\u00e9s", "url": "http://www.imdb.com/name/nm0882277/"} +{"d:Title": "Vardanov, Michael", "d:Description": "Resume and filmography, as well as information on the biographical film he did on Sergei Parajanov.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V", "url": "https://parajanov.com/"} +{"d:Title": "IMDb: Gus Van Sant", "d:Description": "Filmography with links to specific works.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Van_Sant,_Gus", "url": "http://www.imdb.com/name/nm0001814/"} +{"d:Title": "Renaissance Director", "d:Description": "Detroit Metro Times interview of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Van_Sant,_Gus", "url": "http://www.metrotimes.com/detroit/renaissance-director/Content?oid=2170231"} +{"d:Title": "\u201cGleaning\u201d the Passion of Agnes Varda: Agnes Varda", "d:Description": "Interview with the director by Andrea Meyer [indieWIRE].", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Varda,_Agn\u00e8s", "url": "http://www.indiewire.com/article/interview_gleaning_the_passion_of_agnes_varda_agnes_varda/"} +{"d:Title": "Museum of Modern Art, New York - Agn\u00e8s Varda", "d:Description": "Description of a 1997 exhibition including a biography and stills from several movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Varda,_Agn\u00e8s", "url": "http://www.moma.org/interactives/exhibitions/1997/varda/"} +{"d:Title": "Yahoo Groups: Ram Gopal Varma", "d:Description": "Discussion group for the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Varma,_Ram_Gopal", "url": "http://groups.yahoo.com/group/ramgopalvarma/"} +{"d:Title": "IMDb: Ram Gopal Varma", "d:Description": "Complete filmography of the director, trivia, articles, and awards details.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Varma,_Ram_Gopal", "url": "http://www.imdb.com/name/nm0890060/"} +{"d:Title": "Adventures in Extreme Cinema", "d:Description": "Interview with Paul Verhoeven, the Dutch film director of Hollow Man and Basic Instinct and other movies, who has repeatedly pushed the boundaries of onscreen sex and violence to new levels of explicitness.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Verhoeven,_Paul", "url": "http://www.metrotimes.com/detroit/adventures-in-extreme-cinema/Content?oid=2168891"} +{"d:Title": "Jean Vigo", "d:Description": "Biography and filmography of the director's short career from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Vigo,_Jean", "url": "http://www.imdb.com/name/nm0897118/"} +{"d:Title": "Denmark\u2019s DV Director Thomas Vinterberg Delves into \u201cThe Celebration\u201d", "d:Description": "Interview by Jeremy Lehrer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Vinterberg,_Thomas", "url": "http://www.indiewire.com/article/denmarks_dv_director_thomas_vinterberg_delves_into_the_celebration/"} +{"d:Title": "IMDb: Thomas Vinterberg", "d:Description": "Filmography as director, writer, actor, and producer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Vinterberg,_Thomas", "url": "http://www.imdb.com/name/nm0899121/"} +{"d:Title": "IMDb - Luchino Visconti", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/Visconti,_Luchino", "url": "http://www.imdb.com/name/nm0899581/"} +{"d:Title": "100 Greatest Foreign Films", "d:Description": "As published in Movieline Magazine, Lars Von Trier makes the list.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/von_Trier,_Lars", "url": "http://www.filmsite.org/foreign100.html"} +{"d:Title": "Von Trier boosts euro campaign", "d:Description": "Lars von Trier is to shoot a campaign film to encourage his fellow Danes to adopt the euro.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/von_Trier,_Lars", "url": "http://news.bbc.co.uk/1/hi/entertainment/839310.stm"} +{"d:Title": "Filmography", "d:Description": "Comprehensive information on Lars from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/von_Trier,_Lars", "url": "http://www.imdb.com/name/nm0001885/"} +{"d:Title": "Goethe-Institut\u00a0- Margarethe von Trotta", "d:Description": "Interview with the German director about her work and the film \"Vision\"\u2013 The Life Of Hildegard von Bingen.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/von_Trotta,_Margarethe", "url": "http://www.goethe.de/kue/flm/far/en4547528.htm"} +{"d:Title": "World Socialist Web Site - Margarethe von Trotta", "d:Description": "An interview by Richard Phillips with the director of Rosenstrasse, a movie about the protest against the arrest and deportation of their Jews by the Nazis in 1943.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/V/von_Trotta,_Margarethe", "url": "http://www.wsws.org/en/articles/2005/05/rosen-m31.html"} +{"d:Title": "Winning, David", "d:Description": "Official page with filmography, downloadable video, photos, biography, articles, contact information, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W", "url": "http://www.davidwinning.com/"} +{"d:Title": "Weitz, Paul and Chris", "d:Description": "Interview with the directors of Antz, American Pie, and About a Boy.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W", "url": "http://csmonitor.com/2002/0517/p20s01-alip.html"} +{"d:Title": "Waxman, Keoni", "d:Description": "Official site for the film and television director. Biography, credits, film details, video clips, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W", "url": "http://www.badlemming.com/"} +{"d:Title": "Edgar Wright Here", "d:Description": "Official site with biography, film screenings, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W", "url": "http://edgarwrighthere.com/"} +{"d:Title": "Whitton, Michael", "d:Description": "The official website of the California based film director. Includes biography, films, music, and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W", "url": "http://www.atgunpoint.com/"} +{"d:Title": "Rated-M.com: The Wachowski brothers Are No More", "d:Description": "It is no big secret that Larry Wachowski, one half of the filmmaking duo \"The Wachowski Brothers\", has been undergoing gender reassignment. By Jason Triplett.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wachowski,_Larry_and_Andy", "url": "http://rated-m.blogspot.com/2006/07/wachowski-brothers-are-no-more.html"} +{"d:Title": "Rated-M.com: Larry Wachowski's Sex Change Is Complete", "d:Description": "The duo will now just be known as \"The Wachowskis\", dropping the \"brothers\" part of their name. Includes photos. By Jason Triplett.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wachowski,_Larry_and_Andy", "url": "http://rated-m.blogspot.com/2007/08/larry-wachowskis-sex-change-is-complete.html"} +{"d:Title": "Wikipedia: The Wachowskis", "d:Description": "Includes biography, style, plagiarism claims, gender reassignment, filmography and external references.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wachowski,_Larry_and_Andy", "url": "http://en.wikipedia.org/wiki/Wachowski"} +{"d:Title": "IMDb: Larry Wachowski", "d:Description": "Filmography, biography, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wachowski,_Larry_and_Andy", "url": "http://www.imdb.com/name/nm0905154/"} +{"d:Title": "IMDb: Andy Wachowski", "d:Description": "Biography, filmography, and trivia.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wachowski,_Larry_and_Andy", "url": "http://www.imdb.com/name/nm0905152/"} +{"d:Title": "Andrzej Wajda", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wajda,_Andrzej", "url": "http://www.imdb.com/name/nm0906667/"} +{"d:Title": "Raoul Walsh", "d:Description": "Filmography covering Walsh's one hundred fifty directing, acting, writing and cinematography credits.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Walsh,_Raoul", "url": "http://www.imdb.com/name/nm0909825/"} +{"d:Title": "The Films of Raoul Walsh", "d:Description": "Detailed look at Walsh's career, his films and his work with other creative talent.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Walsh,_Raoul", "url": "http://mikegrost.com/walsh.htm"} +{"d:Title": "BBC Films Interview Wayne Wang", "d:Description": "Discussing his film The Centre of the World.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wang,_Wayne", "url": "http://www.bbc.co.uk/films/2001/09/19/wayne_wang_interview.shtml"} +{"d:Title": "Dreamland", "d:Description": "A comprehensive John Waters site. Provides news, biographical information, a detailed filmography, articles and interviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Waters,_John", "url": "http://www.dreamlandnews.com/"} +{"d:Title": "Two Jealous Perverts - A Fansite", "d:Description": "Features a filmography, biography, and quotes about the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Waters,_John", "url": "http://pages.interlog.com/~suzu/"} +{"d:Title": "A.V. Club: John Waters", "d:Description": "Interview with the writer and director about irony, filth, subversion, and censors.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Waters,_John", "url": "http://www.avclub.com/article/john-waters-13672"} +{"d:Title": "Metro Times Detroit - Troubling Waters", "d:Description": "Features an interview with the writer-director by Serena Donadoni.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Waters,_John", "url": "http://www.metrotimes.com/detroit/troubling-waters/Content?oid=2168956"} +{"d:Title": "Tabula Rasa: Weir'd Tales", "d:Description": "An interview with Peter Weir covering his early work, Picnic at Hanging Rock and Fearless.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Weir,_Peter", "url": "http://www.tabula-rasa.info/AusHorror/PeterWeir.html"} +{"d:Title": "IMDb: Peter Weir", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Weir,_Peter", "url": "http://imdb.com/name/nm0001837/"} +{"d:Title": "Yahoo! Movies: Peter Weir", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Weir,_Peter", "url": "http://movies.yahoo.com/person/peter-weir/"} +{"d:Title": "Crazy Dave's Peter Weir Cave", "d:Description": "Includes interviews, articles, photos, news, quotes, reviews, fan forum, and film information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Weir,_Peter", "url": "http://www.peterweircave.com/"} +{"d:Title": "William A. Wellman", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wellman,_William", "url": "http://www.imdb.com/name/nm0920074/"} +{"d:Title": "Wim Wenders - Official Site", "d:Description": "Information about the films, books and photography of the filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wenders,_Wim", "url": "http://www.wim-wenders.com/"} +{"d:Title": "Double Take Magazine - Conversation with Wim Wenders", "d:Description": "Michael Coles interviews the director about his films and his experiences in America.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wenders,_Wim", "url": "http://www.doubletakemagazine.org/int/html/wenders/"} +{"d:Title": "Deutsche Welle - Wim Wenders: Art in Motion", "d:Description": "Profile of the filmmaker discussing his relationship to America, Germany, and Europe.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wenders,_Wim", "url": "http://www.dw.de/wim-wenders-art-in-motion/a-677958"} +{"d:Title": "The Films of James Whale", "d:Description": "Michael Grost examines \"The Old Dark House\" and \"The Great Garrick\".", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Whale,_James", "url": "http://mikegrost.com/whale.htm"} +{"d:Title": "IMDb: James Whale", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Whale,_James", "url": "http://www.imdb.com/name/nm0001843/"} +{"d:Title": "Billy Wilder's Grave", "d:Description": "A photo of the grave at Westwood Memorial in Los Angeles.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://seeing-stars.com/ImagePages/BillyWilderGravePhoto.shtml"} +{"d:Title": "BBC - A Film Legend", "d:Description": "Read the report about the Oscar-winning filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/237938.stm"} +{"d:Title": "Billy Wilder - About Film Noir", "d:Description": "Robert Porfirio interviews the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://www.imagesjournal.com/issue10/features/wilder/"} +{"d:Title": "Something Wilder", "d:Description": "Quotations and biography from Christina Lui and Karl Hill.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://www.eskimo.com/~noir/directors/wilder/"} +{"d:Title": "Reel Classics: Billy Wilder", "d:Description": "A detailed filmography with posters.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://www.reelclassics.com/Directors/Wilder/wilder.htm"} +{"d:Title": "Billy Wilder's Sophisticated Touch", "d:Description": "Profile by M.S. Mason for The Christian Science Monitor.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://www.csmonitor.com/1998/0130/013098.feat.tv.1.html"} +{"d:Title": "Billy Wilder", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://www.imdb.com/name/nm0000697/"} +{"d:Title": "Virtual History: Billy Wilder", "d:Description": "Overview of literature by and about the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wilder,_Billy", "url": "http://www.virtual-history.com/movie/person/3940/billy-wilder"} +{"d:Title": "IMDb: Kurt Wimmer", "d:Description": "Filmography, discussions, images, articles, and information.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wimmer,_Kurt", "url": "http://www.imdb.com/name/nm0934483/"} +{"d:Title": "University Filmmakers Alliance Conference Report 2005", "d:Description": "Wimmer discusses filmmaking and screenwriting with the students at the University of Texas, Austin.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wimmer,_Kurt", "url": "http://www.equilibriumfans.com/KurtWimmer-AustinTexas-2005.htm"} +{"d:Title": "Wikipedia", "d:Description": "User submitted information and articles about the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wimmer,_Kurt", "url": "http://en.wikipedia.org/wiki/Kurt_Wimmer"} +{"d:Title": "Rotten Tomatoes: Kurt Wimmer", "d:Description": "The director/screenwriter's films are listed with a \"Tomatometer\" rating based on critical reviews.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wimmer,_Kurt", "url": "http://www.rottentomatoes.com/celebrity/kurt_wimmer/"} +{"d:Title": "Netribution: Michael Winterbottom", "d:Description": "Interview with the director, around the time of the release of The Claim, talking about that film and his next project 24 Hour Party People.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Winterbottom,_Michael", "url": "http://www.netribution.co.uk/features/interviews/2001/michael_winterbottom/2.html"} +{"d:Title": "Indiewire: Michael Winterbottom's Wonderland", "d:Description": "Interview by Anthony Kaufman, talking about his film Wonderland as well as his past films and plans for the future.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Winterbottom,_Michael", "url": "http://www.indiewire.com/article/interview_michael_winterbottoms_wonderland/"} +{"d:Title": "IMDb: Michael Winterbottom", "d:Description": "Filmography and related links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Winterbottom,_Michael", "url": "http://www.imdb.com/name/nm0935863/"} +{"d:Title": "Conversation with Robert Wise", "d:Description": "Harry Kreisler interviews Robert Wise on his film career. Complete transcript and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wise,_Robert", "url": "http://globetrotter.berkeley.edu/conversations/Wise/wise-con0.html"} +{"d:Title": "Robert Wise - American Filmmaker", "d:Description": "Extensive career retrospective from the American Film Institute.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wise,_Robert", "url": "http://www.afi.com/wise/robert_wise.html"} +{"d:Title": "IMDb: Robert Wise", "d:Description": "Profile, photographs, and complete filmography.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wise,_Robert", "url": "http://www.imdb.com/name/nm0936404/"} +{"d:Title": "In the Mood for Hongkong", "d:Description": "Find information about his films in this article by Ulrich Jo\u00dfner.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.culturebase.net/artist.php?776"} +{"d:Title": "Ways of Seeing Wild: The Cinema of Wong Kar-Wai", "d:Description": "A extensive essay about the movies of the Hong Kong filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.ejumpcut.org/archive/jc44.2001/payne%20for%20site/paynetextonly.html"} +{"d:Title": "The Cultural Aesthetic of Wong Kar-Wai", "d:Description": "Read this discussion about his movies focusing the characters and the culture.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://pages.emerson.edu/organizations/fas/latent_image/issues/2003-07/wong_kar_wai.htm"} +{"d:Title": "A Framework for the Films of Wong Kar Wai", "d:Description": "Peruse this composition by Anthony Leong about the movies of the Asian filmmaker, including quotes from the films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.mediacircus.net/wkw.html"} +{"d:Title": "Love HK Film.com: Wong Kar-Wai", "d:Description": "See a selected filmography of the director, writer and producer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.lovehkfilm.com/people/wong_kar_wai.htm"} +{"d:Title": "Art of Europe: Wong Kar Wai", "d:Description": "Short biography includes information about his films.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.artofeurope.com/wong/"} +{"d:Title": "Wikipedia: Wong Kar-Wai", "d:Description": "Provides a brief biography as well as the filmography and received awards of the filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://en.wikipedia.org/wiki/Wong_Kar-wai"} +{"d:Title": "Chasing The Metaphysical Express", "d:Description": "Fansite featuring Wong Kar-Wai, reviews, and images.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.wkw.freeuk.com/"} +{"d:Title": "Bomb Magazine: Wong Kar-Wai", "d:Description": "Interview with the film director by Liza Bear.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.bombsite.com/issues/75/articles/2387"} +{"d:Title": "IMDb: Wong Kar-Wai", "d:Description": "Learn about the complete filmography and see photos of the Hong Kong director at the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.imdb.com/name/nm0939182/"} +{"d:Title": "Wong Kar-Wai", "d:Description": "Films of Wong Kar-Wai with movie stills and posters. Link to best films lists and director list.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://bestfilm2.tripod.com/wkw.htm"} +{"d:Title": "All Movie Guide: Wong Kar-Wai", "d:Description": "Short biography by Jason Ankeny, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wong,_Kar_Wai", "url": "http://www.allmovie.com/artist/wong-kar-wai-p194627"} +{"d:Title": "The Cinema of John Woo", "d:Description": "Tribute to the Hong Kong director. View in English or Italian.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Woo,_John", "url": "http://web.tiscali.it/johnwoomovies/"} +{"d:Title": "Being John Woo", "d:Description": "Biography of the director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Woo,_John", "url": "http://h2so4.net/politics/woo.html"} +{"d:Title": "The Bullet-Riddled Teahouse", "d:Description": "A news, review, and media resource for fans of Chow Yun-fat, John Woo, and other Hong Kong movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Woo,_John", "url": "http://www.angelfire.com/electronic/teahouse/"} +{"d:Title": "John Woo: King of Gunfire", "d:Description": "A fansite dedicated to his directing work. Focuses on his early works such as A Better Tomorrow and The Killer.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Woo,_John", "url": "http://fabkid.tripod.com/woonew.html"} +{"d:Title": "Ed Wood Movies", "d:Description": "All about the movies of the director of Plan 9 From Outer Space and other bad but fun movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wood,_Ed", "url": "http://www.angelfire.com/ca3/jerrywarren/edwood.html"} +{"d:Title": "Ed Wood", "d:Description": "The real Ed Wood as well as the Johnny Depp version.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wood,_Ed", "url": "http://www.angelfire.com/oh3/trustingoth/wood.html"} +{"d:Title": "The Hunt for Edward D. Wood, Jr.", "d:Description": "A guide to all known works of the cult director Ed Wood, including descriptions of each of his films and books.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wood,_Ed", "url": "http://www.edwoodonline.com/thehunt/MAIN.html"} +{"d:Title": "IMDb: William Wyler", "d:Description": "Complete director and producer filmography, pictures, and profile.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wyler,_William", "url": "http://www.imdb.com/name/nm0943758/"} +{"d:Title": "Reel Classics: William Wyler", "d:Description": "Profile and film details. Filmography, an interview with the actress Teresa Wright, pictures, and movie posters.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wyler,_William", "url": "http://www.reelclassics.com/Directors/Wyler/wyler.htm"} +{"d:Title": "American Masters: William Wyler", "d:Description": "Profile of this director with emphasis on his movies and a partial major works list.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/W/Wyler,_William", "url": "http://www.pbs.org/wnet/americanmasters/episodes/william-wyler/about-william-wyler/738/"} +{"d:Title": "Xiaolu, Guo", "d:Description": "Chinese novelist and filmmaker. Interviews, articles, writings, filmography, and image gallery.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/X", "url": "http://www.guoxiaolu.com/"} +{"d:Title": "Yimou, Zhang", "d:Description": "Information on his films, with posters and movie stills.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Y", "url": "http://bestfilms.tripod.com/zhang.htm"} +{"d:Title": "Herman Yau", "d:Description": "Hong Kong director of The Untold Story, Ebola Syndrome, the Troublesome Night series, and From the Queen to the Chief Executive.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Y/Yau,_Herman", "url": "http://www.hermanyau.com/"} +{"d:Title": "Herman Yau Lai-To", "d:Description": "Reviews of most of the filmmaker's movies.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Y/Yau,_Herman", "url": "http://www.lovehkfilm.com/people/yau_herman.htm"} +{"d:Title": "eFilmCritic - A quick chat with Caveh Zahedi", "d:Description": "Interview with the director of I am a Sex Addict by Jason Whyte.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zahedi,_Caveh", "url": "http://efilmcritic.com/feature.php?feature=1616"} +{"d:Title": "Polish Film Festival Los Angeles - Krzysztof Zanussi", "d:Description": "Features a brief biography and filmography of the Polish director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zanussi,_Krzysztof", "url": "http://www.polishfilmla.org/wocms.php?siteID=13&ID=41"} +{"d:Title": "Kinoeye - Polish Film: Opowie\u015bci weekendowe", "d:Description": "Josephine Woll reviews the exploration of moral dilemmas in the director's Weekend Stories.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zanussi,_Krzysztof", "url": "http://www.kinoeye.org/01/04/woll04.php"} +{"d:Title": "An Interview with Franco Zeffirelli", "d:Description": "Article about the Italian director and the movie adaptation of Romeo and Juliet.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zeffirelli,_Franco", "url": "http://www.tipjar.com/dan/zeffirelli.htm"} +{"d:Title": "IMDb: Franco Zeffirelli", "d:Description": "Provides brief biography and filmography of the Italian director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zeffirelli,_Franco", "url": "http://www.imdb.com/name/nm0001874/"} +{"d:Title": "USC Trojan Family Magazine - Robert Zemeckis Funds Digital Arts Center", "d:Description": "Discusses a donation to create a digital arts facility for the School of Cinema-Television.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zemeckis,_Robert", "url": "http://www.usc.edu/dept/pubrel/trojan_family/spring99/insupport/insupport_zemeckis.html"} +{"d:Title": "IMDb: Robert Zemeckis", "d:Description": "Biography, filmography, photographs, trivia, publicity, and links.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zemeckis,_Robert", "url": "http://www.imdb.com/name/nm0000709/"} +{"d:Title": "IMDB: Zhang Yimou", "d:Description": "Filmography as a director.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zhang,_Yimou", "url": "http://www.imdb.com/name/nm0955443/"} +{"d:Title": "The Exquisite Muse of Zhang Yimou", "d:Description": "Interpretative essay focusing on his collaboration with Gong Li.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zhang,_Yimou", "url": "http://www.filmsondisc.com/Features/zhang/zhang.htm"} +{"d:Title": "IMDb: Fred Zinnemann", "d:Description": "Filmography, photographs, profile, and other related career data.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zinnemann,_Fred", "url": "http://imdb.com/name/nm0003593/"} +{"d:Title": "TVGuide.com: Fred Zinneman", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zinnemann,_Fred", "url": "http://www.tvguide.com/celebrities/fred-zinnemann/187340"} +{"d:Title": "IMDb: Andrzej Zulawski", "d:Description": "Filmography and information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Directing/Directors/Z/Zulawski,_Andrzej", "url": "http://www.imdb.com/name/nm0958558/"} +{"d:Title": "UK Screen", "d:Description": "Interactive directory of UK film makers, crew, actors, agents, production companies and music bands. Also offers film streaming and music downloads.", "topic": "Top/Arts/Movies/Filmmaking/Directories", "url": "http://www.ukscreen.com/"} +{"d:Title": "Frontline: Filmmaking on the Web", "d:Description": "Resources and links.", "topic": "Top/Arts/Movies/Filmmaking/Directories", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/news/etc/links.html"} +{"d:Title": "Filmmaking.tv", "d:Description": "Provides links to filmmaking-related sites, subdivided by category.", "topic": "Top/Arts/Movies/Filmmaking/Directories", "url": "http://filmmaking.tv/"} +{"d:Title": "UK Filming", "d:Description": "Categorised links to resources in the United Kingdom.", "topic": "Top/Arts/Movies/Filmmaking/Directories", "url": "http://www.ukfilming.co.uk/"} +{"d:Title": "International Documentary Association", "d:Description": "Supporting documentary filmmakers and promoting non-fiction film and video.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.documentary.org/"} +{"d:Title": "The D-Word", "d:Description": "Resources for filmmakers, a web based conference and information about Doug Block's films including Home Page, a documentary about the Web.", "priority": "1", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.d-word.com/"} +{"d:Title": "The Documentary Institute", "d:Description": "College of Journalism and Communications, University of Florida. Information about filmmaking class programs.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.jou.ufl.edu/documentary/index.htm"} +{"d:Title": "Documentario", "d:Description": "Founded by documentary producer and director Carolina Liu is dedicated to the production and promotion of documentary films and videos. (English and Portuguese).", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.documentario.com/"} +{"d:Title": "DocuSeek", "d:Description": "A searchable database for documentary, social issue, and educational videos. Allows you to search by grade level, subject, length, and other specific characteristics.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.docuseek.com/"} +{"d:Title": "Documentary Educational Resources", "d:Description": "Dedicated to the production, distribution and promotion of quality ethnographic and documentary films from around the world.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.der.org/"} +{"d:Title": "Center for Independent Documentary", "d:Description": "Non-profit organization that collaborates with independent producers in the production of documentary films and videos. Includes details of films made, resources for filmmakers, membership and events.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.documentaries.org/"} +{"d:Title": "Vtape", "d:Description": "Information and distribution of media works by artists and independents. Featuring a database of videos by artists and independent documentary makers.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.vtape.org/"} +{"d:Title": "European Documentary Network", "d:Description": "International association for documentary professionals. Background information, publications, member list by country, current projects, and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.edn.dk/"} +{"d:Title": "Estuary Press", "d:Description": "Synopses of 20 historical documentary films from the 1960s about California farm workers, the peace and civil rights movements, forestry, and mining in the U.S.A.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.estuarypress.com/"} +{"d:Title": "Documentary Box", "d:Description": "Journal devoted to trends and latest thinking in documentary making. Includes archive of past articles along with ordering information.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.yidff.jp/docbox/docbox-e.html"} +{"d:Title": "New School: Graduate Certificate in Documentary Media Studies", "d:Description": "One-year, full-time program where students study documentary history, theory, and create their own 20-minute documentary film. Overview, curriculum, admissions, and resources.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.newschool.edu/docstudies/"} +{"d:Title": "Top Documentary Films", "d:Description": "Provides previews and streaming video of films divided into topics such as biography, conspiracy, environment, history, politics, and science.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.topdocumentaryfilms.com/"} +{"d:Title": "DocsOnline", "d:Description": "Online library established to foster global public access to documentary material. Offers a history of documentary filmmaking and streaming Windows Media videos arranged by genre.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://docsonline.tv/"} +{"d:Title": "Connect the Docs", "d:Description": "A group of Boston filmmakers that meets monthly for workshops, screenings and problem solving. Links to venues and film festivals, meeting schedule, news, member list, and films.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://mysite.verizon.net/vzevufob/connectthedocs/"} +{"d:Title": "Documentary Insider", "d:Description": "Weblog by Stephanie Hubbard provides interviews with documentary filmmakers and tips on distribution, editing and formats.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://documentaryinsider.blogspot.com/"} +{"d:Title": "DocMiami International Film Festival", "d:Description": "Staff, sponsors, submission and volunteering information, venue, ticket sales, and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://docmiami.org/"} +{"d:Title": "Copenhagen Inernational Documentary Film Festival", "d:Description": "Scandinavian event that tries to widen the documentary space by including non-film programming. Overview, programming, press, schedule, submission details, and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://cphdox.dk/"} +{"d:Title": "Documentary.net", "d:Description": "Offers free curated documentaries, full length streaming films, news and reviews. The movies are available worldwide.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.documentary.net/"} +{"d:Title": "Scottish Documentary Institute", "d:Description": "Research centre at Edinburgh College of Art specialised in documentary training, production and distribution. News, resources, database of directors, event and lesson calendar, online film screenings, and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary", "url": "http://www.scottishdocinstitute.com/"} +{"d:Title": "Imagofilm Lugano", "d:Description": "Features filmography, biography of Villi Hermann, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.imagofilm.ch/"} +{"d:Title": "Darvas, J\u00e1nos", "d:Description": "Director of documentaries and live TV broadcasts. Specializing in classical music, opera and performing arts for HDTV, film, television, video and DVD.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.darvas.de/"} +{"d:Title": "Vincent Detours&Dominique Henry", "d:Description": "Co-directors of film and radio documentaries about health and human rights issues. Brief profiles, film information, press kits, and contact details.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://detourshenry.eu/"} +{"d:Title": "Broomfield, Nick", "d:Description": "Synopses, trailers and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.nickbroomfield.com/"} +{"d:Title": "Dancing Outlaw", "d:Description": "Details on the films of director Jacob Young.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.dancingoutlaw.com/"} +{"d:Title": "DeLeo, Maryann", "d:Description": "Biography, film synopses, awards, and career highlights [requires Flash].", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.maryanndeleo.com/"} +{"d:Title": "Ellen Bruno Films", "d:Description": "Featuring Sundance award-winning documentary films by Ellen Bruno on human rights issues in Cambodia, Tibet and Burma, plus extensive resource guides.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.brunofilms.com/"} +{"d:Title": "Folke Ryden", "d:Description": "Reporter, producer and director working in Sweden with his own production company, Genibild AB.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.folkeryden.com/"} +{"d:Title": "Gliner, Bob", "d:Description": "Award-winning documentary filmmaker. Includes biography, filmography, reviews and awards, plus clips from his film \"Making a Difference\" about volunteering.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.docmakeronline.com/"} +{"d:Title": "Green, Lorna", "d:Description": "Biography and resume, plus information on her latest project.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://blanket-party.com/"} +{"d:Title": "Jan Krawitz, Documentary Filmmaker", "d:Description": "A brief professional biography and links to the documentary films Jan Krawitz has produced since 1975.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.stanford.edu/~krawitz/"} +{"d:Title": "Jay Rosenblatt Films", "d:Description": "A site dedicated to the dozen acclaimed independent documentary and experimental films of the Sundance Festival winning filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.jayrosenblattfilms.com/"} +{"d:Title": "Kaul, Rajesh", "d:Description": "Profile, film synopses and photo gallery.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.rajeshkaul.com/"} +{"d:Title": "Kerry Seed", "d:Description": "Background on the documentary producer and clips from his portfolio of stories.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://kerryseed.org/"} +{"d:Title": "Melkom, A.G.", "d:Description": "Profile of this director, producer and writer, and her career in films, television, commercials and music videos.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.director-writer-producer.com/"} +{"d:Title": "Mierendorf, Michael", "d:Description": "Award winning producer/director of documentary films and television news specials.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.mierendorf.com/"} +{"d:Title": "Mire, Pat", "d:Description": "Louisiana-based filmmaker. Biography, filmography, media, current projects, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://patmire.com/"} +{"d:Title": "Okazaki, Steven", "d:Description": "Award-winning documentary, short and feature director.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.farfilm.com/"} +{"d:Title": "Palmer, Tony", "d:Description": "Features biography of the music director and details of his films.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.tonypalmer.org/"} +{"d:Title": "Pennebaker Hegedus Films", "d:Description": "Independent documentary filmmakers DA Pennabaker and Chris Hegedus official site. Includes information on the filmmakers, news, details of films and archive footage.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://phfilms.com/"} +{"d:Title": "Ruth Cullen", "d:Description": "Details of her films \"Becoming Julia\", \"Painted Lady\" and \"The Tightrope Dancer\".", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.ruthcullen.com/"} +{"d:Title": "Schecter, Steven", "d:Description": "Resume, equipment, projects, filmography, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://schecterfilms.com/"} +{"d:Title": "Smith, Chris", "d:Description": "The Onion AV Club interviews the American Movie director on chronicling the efforts of struggling Wisconsin filmmaker Mark Borchardt.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.avclub.com/articles/chris-smith,13628/"} +{"d:Title": "Tom Chambers Documentary and Visual Arts", "d:Description": "Introduction and discussion of the artist's documentary and visual arts projects.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.tomrchambers.com/"} +{"d:Title": "Wagner, Clive R.", "d:Description": "Documentary as well as television and commercial director.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.clivewagner.co.uk/"} +{"d:Title": "Rambeck, Guido", "d:Description": "Documentary filmmaker and cinematographer from Munich, Germany. Filmography, curriculum vitae, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.guidorambeck.de/"} +{"d:Title": "Seyfert, J. Michael", "d:Description": "Produces social justice films focused on Latin America. Includes production photographs and links to films.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.seyfert.com/"} +{"d:Title": "Fischer, Steven", "d:Description": "Production weblog and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://oldschooldoc.wordpress.com/"} +{"d:Title": "Whalen, Michael T.", "d:Description": "Writer, producer and director from San Jose, California. Biography, filmography, related news, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.michaeltwhalen.com/"} +{"d:Title": "Cohen, Richard", "d:Description": "Producer and distributor of films dealing with a range of social issues. Film information and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.richardcohenfilms.com/"} +{"d:Title": "Sen, Gautam", "d:Description": "Independent filmmaker and writer from India. Film information, weblog, and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://gautamsen.webnode.com/"} +{"d:Title": "Heyden, Saskia", "d:Description": "Berlin-based filmmaker. Curriculum vitae, filmography, current events, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.saskia-heyden.com/"} +{"d:Title": "Hansen, Nathaniel", "d:Description": "Biography, overview of works including synopses and trailers, weblog, and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.nathanielhansen.com/"} +{"d:Title": "Kevin Knoblock", "d:Description": "Documentary filmmaker. Includes news, biography, press, clips, photos and Border War diary.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.kevinknoblock.com/"} +{"d:Title": "Ymfilms", "d:Description": "Tel-Aviv based film production company. Short introduction, gallery and contact details.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Filmmakers", "url": "http://www.ymfilms.co.il/"} +{"d:Title": "Independent Television Service", "d:Description": "Established by Congress to fund and present programming that involves creative risks and addresses the needs of under-served audiences, especially children and minorities.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Funding", "url": "http://www.itvs.org/"} +{"d:Title": "Roy W. Dean Grants, The", "d:Description": "Offers awards annually to short films, documentaries and other projects which are unique and benefit society. Includes rules, applications, resources and contacts.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Funding", "url": "http://www.fromtheheartproductions.com/"} +{"d:Title": "Wildeye", "d:Description": "Provides training and resources for those interested in becoming documentary or wildlife film makers.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Nature_and_Environment", "url": "http://www.wildeye.co.uk/"} +{"d:Title": "Emigrant Road", "d:Description": "An educational and entertaining travelogue of the Oregon Trail, based on the film lecture entitled \"Emigrant Road\".", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Travel", "url": "http://www.emigrantroad.com/"} +{"d:Title": "Vassar Brothers Institute Travel Film Program", "d:Description": "Presenting travel films in the Poughkeepsie, NY area, featuring professional cinematographers who host the film in person.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Travel", "url": "http://www.vassarbrothersinstitute.org/"} +{"d:Title": "Geographical Society of Philadelphia", "d:Description": "Presenting travel films in the Philadelphia area, featuring professional cinematographers who host the film in person.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Travel", "url": "http://www.geographicalsociety.org/"} +{"d:Title": "Explore Biodiversity", "d:Description": "Documents the biodiversity of individual countries through video and web content. Recent countries - Mexico, Hawaii.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Travel", "url": "http://www.explorebiodiversity.com/"} +{"d:Title": "Around the World in Eighty Minutes: The Classical Travelogue Cinema", "d:Description": "An article by Jeffrey Ruoff describing the history of the travel film industry while taking a look at some of the personalities and practices of the current crop of travelogue filmmakers.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Travel", "url": "http://www.dartmouth.edu/~jruoff/Articles/VAAroundtheWorld.htm"} +{"d:Title": "Discovery Film Series", "d:Description": "Presenting travel films in Torrance, California featuring professional cinematographers who present their films live and in person at the El Camino College auditorium.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Travel", "url": "http://www.elcamino.edu/commadv/centerforarts/films.html"} +{"d:Title": "Travel Adventure Cinema Magazine", "d:Description": "Articles, interviews, travel film show calendar, and links.", "topic": "Top/Arts/Movies/Filmmaking/Documentary/Travel", "url": "http://www.traveladventurecinema.com/"} +{"d:Title": "Film Secrets - Edit Heaven", "d:Description": "A selection of editing topics and an in depth analysis of new non-linear film/video technology.", "topic": "Top/Arts/Movies/Filmmaking/Editing", "url": "http://rivalquest.com/edit/"} +{"d:Title": "Film and Video Electronic Cinematography", "d:Description": "Steve Bradford's homepage includes articles on nonlinear editing and blue-screen shooting as well as links to camera sites.", "topic": "Top/Arts/Movies/Filmmaking/Editing", "url": "http://www.seanet.com/Users/bradford/"} +{"d:Title": "Manhattan Edit Workshop", "d:Description": "Offers workshops on the art and technique of film editing.", "topic": "Top/Arts/Movies/Filmmaking/Editing", "url": "http://www.mewshop.com/"} +{"d:Title": "Guide to EDL Management", "d:Description": "A guide to Edit Decision Lists, the primary method of transferring information about an edit project between editing systems.", "topic": "Top/Arts/Movies/Filmmaking/Editing", "url": "http://www.edlmax.com/maxguide.html"} +{"d:Title": "DMN Forums", "d:Description": "Dennis Kuchera hosts a number of forums that discuss editing equipment and software.", "topic": "Top/Arts/Movies/Filmmaking/Editing", "url": "http://www.dmnforums.com/htm/homeset.htm"} +{"d:Title": "Joy of Film Editing", "d:Description": "Editor Gael Chandler's site promoting her book provides information about editing to finish on video, film, and other media.", "topic": "Top/Arts/Movies/Filmmaking/Editing", "url": "http://www.joyoffilmediting.com/"} +{"d:Title": "IMDb: Peter Boyle (II)", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Editing/Editors/Boyle,_Peter", "url": "http://www.imdb.com/name/nm0102316/"} +{"d:Title": "Haydon and Urry", "d:Description": "The London (UK) firm produced the Eragraph, an early cinematograph. The Eragraph was popular with British travelling showmen. The firm produced a number of filmclips during the period 1896-1900. James and Richard Monte were associated with the firm and produced several filmclips.", "topic": "Top/Arts/Movies/Filmmaking/Equipment", "url": "http://members.shaw.ca/pauline777/Films.html"} +{"d:Title": "Re:Voir Video", "d:Description": "Experimental and avant-garde films available on video, including such filmmakers as Richter, Deren, Mekas, Brakhage, Jurgen Reble, Bill Morrison and Remi Lange.", "topic": "Top/Arts/Movies/Filmmaking/Experimental", "url": "http://www.re-voir.com/"} +{"d:Title": "The Film-makers' Cooperative", "d:Description": "Distribution organization of independent and avant-garde films. Created by artists in 1962, the Co-op has more than 5,000 films and videotapes in its collection.", "topic": "Top/Arts/Movies/Filmmaking/Experimental", "url": "http://www.film-makerscoop.com/"} +{"d:Title": "Flicker", "d:Description": "Resource for avant garde and personal cinema, featuring artist biographies, descriptions of films, images and schedules of events.", "topic": "Top/Arts/Movies/Filmmaking/Experimental", "url": "http://www.hi-beam.net/"} +{"d:Title": "Canadian Filmmakers Distribution Centre", "d:Description": "Canada's oldest artist-run centre is also its largest distributor of Experimental Film. Specializing in Canadian Experimental Film, the collection also includes a wide selection of films from around the world.", "topic": "Top/Arts/Movies/Filmmaking/Experimental", "url": "http://www.cfmdc.org/"} +{"d:Title": "Filmform", "d:Description": "Archive for Swedish art film and experimental video. Company information and location, service details, history, events, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Experimental", "url": "http://www.filmform.com/"} +{"d:Title": "Film and Video Art", "d:Description": "A collection of information on film and video art and artists, including lists of work, bibliographies, biographies, and links.", "topic": "Top/Arts/Movies/Filmmaking/Experimental", "url": "http://people.wcsu.edu/mccarneyh/fva/navigate/FVAF.html"} +{"d:Title": "Experimental Cinema", "d:Description": "News and resources on experimental cinema and video art. Includes image gallery and a message board.", "topic": "Top/Arts/Movies/Filmmaking/Experimental", "url": "http://www.expcinema.com/"} +{"d:Title": "Brynntrup, Michael", "d:Description": "Noted German experimental filmmaker catalogues all of his films, video, and media art.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.brynntrup.de/"} +{"d:Title": "Filmgrafix Productions", "d:Description": "Includes a filmography, news, press releases and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.filmgrafix.com/"} +{"d:Title": "Harry Smith", "d:Description": "Painter, archivist, musicologist, filmmaker, and anthropologist. Films include, Heaven and Earth Magic, Mirror Animations, and Late Superimpositions.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.harrysmitharchives.com/"} +{"d:Title": "Alfonso Alvarez", "d:Description": "Films include: \"My Good Eye,\" \"Quixote Dreams,\" \"Memory Eye,\" \"Film For...\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/aa/aa-bio.html"} +{"d:Title": "Anna Biller Productions", "d:Description": "The films and plays of Anna Biller. Includes artist's statement, press kit, weblog, and picture gallery.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.lifeofastar.com/"} +{"d:Title": "Media World of Takahiko Iimura", "d:Description": "Filmography, Videography, Installation/Performance of the maker of \"24 Frames Per Second,\" \"A Loop Seen As A Line,\" \"2 Minutes 46 Seconds 16 Frames\" and other works.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www2.gol.com/users/iimura/Front.html"} +{"d:Title": "Rohesia Hamilton Metcalfe", "d:Description": "Homepage for film and video maker whose works include \"Keep Moving,\" \"Queen Of The Mist,\" \"Everyone Must Tighten Their Belts,\" and \"How Strong The Children.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.panix.com/~hamiltro/"} +{"d:Title": "Kathy Rose", "d:Description": "Kathy Rose creates her own animated and live action film projections intricately integrating herself within them in live performance to create a fascinating poetic \"alternate universe\".", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.krose.com/"} +{"d:Title": "Sheri Wills", "d:Description": "Works include \"Effigy - specimens 4 to 12,\" \"Nocturne Nos. 1 and 2,\" curator of \"Synesthesia: The Musical Form in Contemporary Avant-Garde Film\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.sheriwills.net/"} +{"d:Title": "Craig Baldwin", "d:Description": "Maker of: \"Tribulation 99: Alien Anomalies Under America,\" \"O No Coronado!\" \"Sonic Outlaws.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/cb/cb-bio.html"} +{"d:Title": "Jim Hubbard", "d:Description": "Includes biography, filmography, rental information. Maker of: \"Memento Mori,\" \"The Dance,\" \"Two Marches\" and other work.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/jh/jh-bio.html"} +{"d:Title": "Lawrence Brose", "d:Description": "Maker of \"De Profundis.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/lb/lb-bio.html"} +{"d:Title": "Leslie Alperin", "d:Description": "A native of the San Francisco Bay Area, has been making films for the past ten years. Films include: \"SideTRACKED,\" \"Soft Chains,\" \"Transplanted Seven Years Later.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/la/la-bio.html"} +{"d:Title": "Rebecca Barten", "d:Description": "Co-presenter of Total Mobile Home/MicroCinema. Films include: \"Human Flies,\" \"Seventeen Typewriter Films,\" \"700 Measured Sprays.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/rb/rb-bio.html"} +{"d:Title": "Stephen Connolly", "d:Description": "Films include: \"Cathode Ray,\" \"West of the Smokestacks,\" \"The Mountain Whispers.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/sc/sc-bio.html"} +{"d:Title": "Mark Street", "d:Description": "Statement. Selected Films. Work includes: \"Blue Movie,\" \"Winterwheat,\" \"Why Live Here?\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/ms/ms-bio.html"} +{"d:Title": "Wheeler Winston Dixon", "d:Description": "Films include: \"Dana Can Deal,\" \"Madagascar, Or, Caroline Kennedy's Sinful Life in London,\" \"Serial Metaphysics,\" \"Bits and Pieces.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/wd/wd-bio.html"} +{"d:Title": "Peggy Ahwesh", "d:Description": "Biography. and filmography. Works include \"The Scary Movie,\" \"The Color of Love,\" \"The Deadman\" (with Keith Sanborn), \"Philosophy in the Bedroom.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/pa/pa-bio.html"} +{"d:Title": "Ernie Gehr", "d:Description": "Maker of \"Morning,\" \"Serene Velocity,\" \"Shift,\" and other films.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/eg/eg-bio.html"} +{"d:Title": "Scott Stark", "d:Description": "Author of the Flicker pages. Works include: \"in.side.out,\" \"Archimedes' Screw,\" \"Tender Duplicity,\" \"The Chromesthetic Response Series.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.hi-beam.net/mkr/ss/ss-bio.html"} +{"d:Title": "Su Friedrich", "d:Description": "Friedrich began filmmaking in 1978 and has produced twelve 16mm films, including \"Gently Down the Stream,\" \"The Ties That Bind,\" \"Damned If You Don't,\" \"Sink or Swim,\" and \"Hide and Seek.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.sufriedrich.com/"} +{"d:Title": "Bill Brand", "d:Description": "Maker of \"Home Less Home\" and \"Masstransiscope,\" installed in the New York City subway system.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.bboptics.com/"} +{"d:Title": "Bitter Films", "d:Description": "Animated short films by Don Hertzfeldt. Includes news on screenings, a gallery and a detailed production diary.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.bitterfilms.com/"} +{"d:Title": "Joe Maller", "d:Description": "Artist, Filmmaker, Author, Designer. Links to works by Joe Maller, Web-Only projects, Experimental Film and Video, Web Design Resources and Writings. Online since 1996.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.joemaller.com/"} +{"d:Title": "Dominic Angerame", "d:Description": "Executive Director of Canyon Cinema. Maker of \"A City Symphony, \"Line of Fire,\" and other works.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.cinemod.net/"} +{"d:Title": "Abraham Ravett", "d:Description": "Films include: \"Garden,\" \"Horse/Kappa/House,\" \"In Memory.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://helios.hampshire.edu/~arPF/"} +{"d:Title": "Eisenlohr, Klaus W.", "d:Description": "Works include: \"Local Time + 2 1/2\", \"Slow Space - The Interviews\".", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.richfilm.de/"} +{"d:Title": "Selder, Ivo", "d:Description": "News, current and past projects, photography, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.ivoselder.com/"} +{"d:Title": "Todd, Robert", "d:Description": "Films include: \"Fable: I Want the World Clean,\" and \"Fisherman: a Birthday Wish.\" Quick-Time clips, screenings, and ordering information.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.roberttoddfilms.com/"} +{"d:Title": "Mark O'Connell", "d:Description": "Musician and digital media artist.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.markoconnell.org/"} +{"d:Title": "The Temenos", "d:Description": "Restores and prints the films of Gregory J. Markopoulos.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.the-temenos.org/"} +{"d:Title": "Tina Bastajian", "d:Description": "Highlights the projects of the experimental film/video artist.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.kinostudio.org/"} +{"d:Title": "Lake Ivan Performance Group (Finkelstein, David)", "d:Description": "Overview, videos, links, and a forum on improvisational performance technique.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.lakeivan.org/"} +{"d:Title": "Cracked Open", "d:Description": "Arts collective founded on surrealist principles providing a film gallery and forum.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://crackedopen.com/"} +{"d:Title": "Zoe Beloff", "d:Description": "Zoe's World. Films include: \"Echo,\" \"Life Underwater,\" \"A Trip To The Land Of Knowledge.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.zoebeloff.com/"} +{"d:Title": "Controlled by Bees", "d:Description": "Video art installations by Craig Caudill. Biography, media, project ideas, image gallery, FAQ, and links.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.pagodaproductions.com/cbb/index.htm"} +{"d:Title": "Spul Films Disciplined Productions", "d:Description": "Experimental short films/videos by Sydney and Blue Mountains based filmmakers Tina Ulevik and Janelle Speight and sound designer/musician Scott Barnes. Includes stills, history and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://spulfilms.50webs.com/"} +{"d:Title": "Lili White Presents...", "d:Description": "Works include: \"Fine Art,\" \"Just For My Friends,\" \"The Gift of the Dream: Prelude,\" \"The House of the Gentle.\"", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.liliwhite.com/"} +{"d:Title": "Maron Studio", "d:Description": "Mary McIlwain and Aaron Arendt's projects are a combination of live action, puppets, models and animation. Includes video clips and production photographs.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.maronstudio.com/"} +{"d:Title": "Wonderdog Productions", "d:Description": "Film, video and installation works from UK artist Roz Mortimer.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.wonder-dog.co.uk/"} +{"d:Title": "Jack Feldstein", "d:Description": "View the 7 minute film The Madness Monology online.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.jackfeldstein.com/"} +{"d:Title": "Matt Hulse", "d:Description": "Biography, filmography, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://anormalboy.wordpress.com/"} +{"d:Title": "Tarr, Simon", "d:Description": "Profile and overview of this films with synopses, stills, and screening details.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.quarknova.com/"} +{"d:Title": "Sysyphus Film", "d:Description": "The official site of Dutch underground film team. Provides history, biography, filmography, interviews, pictures and guestbook.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://neoneo.xs4all.nl/sysyphus/"} +{"d:Title": "Ritta, Kurt", "d:Description": "Independent producer, director, cinematographer and editor producing music videos, commercials, DVDs, and experimental short films. Also provides photography. Based in New York.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Filmmakers", "url": "http://www.kurtritta.com/"} +{"d:Title": "MIX NYC", "d:Description": "The New York Lesbian and Gay Experimental Film/Video Festival.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://www.mixnyc.org/"} +{"d:Title": "The Images Festival of Independent Film&Video", "d:Description": "Toronto's annual spring celebration of independent and experimental film and video, featuring ten days of screenings, installations, performances and symposia.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://www.imagesfestival.com/"} +{"d:Title": "Antimatter [Media Art]", "d:Description": "An annual festival held at Open Space Arts Centre Victoria, BC, Canada. Also includes Foreign Matter -- showcasing works from around the globe.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://www.antimatter.ws/"} +{"d:Title": "Free Form Film Festival", "d:Description": "Traveling collection of film and video works.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://www.freeformfilm.org/"} +{"d:Title": "Courtisane", "d:Description": "Annual festival for experimental and alternative short film, video and new media in Ghent - Belgium.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://www.courtisane.be/"} +{"d:Title": "Streaming Festival", "d:Description": "Annual event broadcasts a range of artistic films. Overview, artists, programs, rules, and links.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://www.streamingfestival.com/"} +{"d:Title": "Mono No Aware", "d:Description": "Annual event that blends film with other performance media. Open to entries on Super 8 or 16mm film only. Background information and history, submission guidelines, PDF entry form, and highlights from past events.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://www.mononoawarefilm.com/"} +{"d:Title": "Ann Arbor Film Festival", "d:Description": "Showcases avant-garde and experimental, story-based narratives, documentaries, and animation. Background information, submission guidelines, events calendar, past events, and links.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Film_Festivals", "url": "http://aafilmfest.org/"} +{"d:Title": "Fred Camper on Avant-Garde Film", "d:Description": "Critical and analytical writing on avant-garde films by Brakhage, Gehr, Breer, and Friedrich.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Theory_and_Criticism", "url": "http://www.fredcamper.com/Film/a-gfilm.html"} +{"d:Title": "A Selected Bibliography", "d:Description": "Avant-garde, Underground, and Experimental Cinema: A Selected Bibliography/Videography of Materials in the UC Berkeley.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Theory_and_Criticism", "url": "http://www.lib.berkeley.edu/MRC/avantbib.html"} +{"d:Title": "John Matturri - Writings on Film and Filmmakers", "d:Description": "Several articles examining the genre.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Theory_and_Criticism", "url": "http://home.earthlink.net/~jmatturr/writings-film.html"} +{"d:Title": "Urban Skin", "d:Description": "Psychogeographic exploration serial. Features overview, filmography, biography and links.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Titles", "url": "http://www.urbanskin.org/"} +{"d:Title": "Whose Shit Is This?", "d:Description": "John Waters-style bathroom humour comedy. Synopsis, photographs, and links.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Titles", "url": "http://www.whoseshitisthis.com/"} +{"d:Title": "Elliot's War", "d:Description": "An inventor builds a personal space transportation vehicle in his garage. Film information, video clip, and links.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Titles", "url": "http://gregrank.us/movieco/"} +{"d:Title": "Lightcone", "d:Description": "Schedule for Paris-based film series.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.lightcone.org/"} +{"d:Title": "Anthology Film Archives", "d:Description": "Screening site and film preservation center, located at 2nd Ave and 2nd Street, New York, NY.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.anthologyfilmarchives.org/"} +{"d:Title": "San Francisco Cinematheque", "d:Description": "Offers 75 different programs every year, including: in-person presentations, contemporary experiments in film and video, historical works.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.sfcinematheque.org/"} +{"d:Title": "Chicago Filmmakers", "d:Description": "Offers workshops, runs screening series. Site also hosts Reeling: Chicago Lesbian and Gay International Film Festival.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.chicagofilmmakers.org/"} +{"d:Title": "Mini-Cine", "d:Description": "Venue for independent and experimental film located in Shreveport, Louisiana.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.swampland.org/"} +{"d:Title": "Robert Beck Memorial Cinema", "d:Description": "Eclectic film series, Tuesdays at Collective Unconscious, New York, NY.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.rbmc.net/"} +{"d:Title": "Moviate", "d:Description": "Harrisburg, Pennsylvania's first independent cinema. Dedicated to showing work created by artists and filmmakers that push the boundaries of art and cinema.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.moviate.org/"} +{"d:Title": "Millennium Film Workshop", "d:Description": "The Millennium is a non-profit, film arts and community membership organization that was founded in 1966 and offers a variety of exhibition programs, services, and classes for the Independent Filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.millenniumfilm.org/"} +{"d:Title": "Squeaky Wheel", "d:Description": "Squeaky Wheel/Buffalo Media Resources supports and promotes the creation of film, video, and digital/computer art by independent and community media makers in Buffalo and WNY.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.squeaky.org/"} +{"d:Title": "Film Forum", "d:Description": "Movie house for independent premieres and repertory programming. A nonprofit cinema. New York, NY.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://filmforum.org/"} +{"d:Title": "Other Cinema", "d:Description": "Ongoing series of unusual and experimental film in San Francisco.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.othercinema.com/"} +{"d:Title": "The Blinding Light", "d:Description": "100 seat microcinema located in Vancouver, British Columbia, Canada specializing in alternative, underground and obscure film and video screenings.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.blindinglight.com/"} +{"d:Title": "Aurora Picture Show", "d:Description": "Non-profit center for film, video and new media housed in a 1924 church building in Houston, Texas.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.aurorapictureshow.org/"} +{"d:Title": "Hallwalls Contemporary Arts Center", "d:Description": "Multi-disciplinary arts center in Buffalo, NY which for over 20 years has presented contemporary art to audiences in the Western New York region.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.hallwalls.org/"} +{"d:Title": "Electric Eye Cinema", "d:Description": "Madison, WI venue for independent documentary features and shorts of any genre. Includes screening schedule, company profile, catalog and order form.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.prolefeedstudios.com/events/electric_eye/eleceye.htm"} +{"d:Title": "Pleasure Dome", "d:Description": "Film and video collective in Toronto, Ontario. News, projects, and publications.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.pdome.org/"} +{"d:Title": "Basement Films", "d:Description": "Mobile, makeshift, volunteer-run venue for experimental, independent and other under-represented forms of film and (occasionally) video making in Albuquerque, New Mexico.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.basementfilms.org/"} +{"d:Title": "Balagan Films", "d:Description": "A weekly series celebrating experimental film and video from Boston's local artists as well as International filmmakers at The Coolidge Corner Theatre, Boston's renowned Art Deco venue for alternative cinema.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://balaganfilms.com/"} +{"d:Title": "Pacific Film Archive", "d:Description": "A center for the exhibition and study of cinema, in Berkeley, CA.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://www.bampfa.berkeley.edu/filmseries/"} +{"d:Title": "The Bug Theatre", "d:Description": "The Bug Performance and Media Art Center, Denver, Colorado.", "topic": "Top/Arts/Movies/Filmmaking/Experimental/Venues", "url": "http://bugtheatre.info/"} +{"d:Title": "Film-Tech", "d:Description": "Cinema projection tips, equipment manual downloads, projection picture warehouse and a forum for film handlers.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.film-tech.com/"} +{"d:Title": "rec.arts.movies.tech FAQ", "d:Description": "FAQ for the newsgroup : rec.arts.movies.tech", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.nyx.net/~snorwood/faq.html"} +{"d:Title": "DVFilm's Digital Video to Film Transfer FAQ", "d:Description": "The top ten questions asked by beginning digital filmmakers: how to shoot digital video tape for transfer to either 35mm or 16mm film.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.dvfilm.com/faq.htm"} +{"d:Title": "Guerrilla Filmmaking 101: Motivation", "d:Description": "First in a series of articles to guide independent filmmakers without studio backing to a successful completion of their film.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.proletariatpictures.com/r-101.html"} +{"d:Title": "Film Secrets", "d:Description": "An educational resource for indie film-makers, including those with little to no film training.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.rivalquest.com/"} +{"d:Title": "Cinema: How are Hollywood Films Made?", "d:Description": "Annenberg Media exhibit which presents the moviemaking process, with modules on screenwriting, directing, producing, acting, and editing.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.learner.org/exhibits/cinema/"} +{"d:Title": "How To Make Your Movie", "d:Description": "Interactive CD-ROM that simulates the environment of a film school, allowing users to learn about and experience all of the steps involved in making their own films.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://interactivefilmschool.com/"} +{"d:Title": "Dogma 2001: New Rules for Internet Cinema", "d:Description": "Ten rules to follow when creating internet cinema rather than a film for film festivals.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.neocinema.com/"} +{"d:Title": "Sonnyboo: Articles on Filmmaking", "d:Description": "22 articles to help beginning moviemakers and digital video enthusiasts.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.sonnyboo.com/downloads/articles.htm"} +{"d:Title": "FilmHelp.com", "d:Description": "An ever-growing compendium of filmmaking help, pointers, advice, and mistakes from award-winning writer and director Greg Pak.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.pakbuzz.com/filmhelp/"} +{"d:Title": "So You Wanna Work in Movies?", "d:Description": "A real-life guide to working in feature films. Written by Oliver Stapleton, a cinematographer with 28 years experience and 40 features behind him.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.cineman.co.uk/"} +{"d:Title": "Outside Hollywood", "d:Description": "Isaac Botkin's weblog on independent filmmaking tools and techniques. Articles and links.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.outside-hollywood.com/"} +{"d:Title": "FilmmakerIQ.com", "d:Description": "Tutorials, videos, and interviews covering all aspects of production, including screenwriting, distribution, and funding sources. Includes a forum and links.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://filmmakeriq.com/"} +{"d:Title": "FilmAnchor", "d:Description": "Offers tutorials and manuals in PDF format. Also includes links to software and screenplays.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.filmanchor.com/"} +{"d:Title": "4Filmmaking", "d:Description": "Reference to idea development, screenplay writing, production, and distribution. Also offers a guide to film schools, newsletter, podcast, and links.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.4filmmaking.com/"} +{"d:Title": "Filmmaking Stuff", "d:Description": "Producer Jason Brubaker's weblog with articles covering production, networking, writing, funding, and marketing.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.filmmakingstuff.com/"} +{"d:Title": "YouMadeMeDoIt.com", "d:Description": "Weblog chronicling projects in video work and moviemaking. Includes photographs, instructions, and video clips.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.youmademedoit.com/"} +{"d:Title": "Independent Film Advice", "d:Description": "Brief tutorials and links for all aspects of production.", "topic": "Top/Arts/Movies/Filmmaking/FAQs,_Help,_and_Tutorials", "url": "http://www.independentfilmadvice.com/"} +{"d:Title": "FCLS Film-Center", "d:Description": "Collecting 8, 16, and 35mm film and information on how to care for, store, project, and collect film.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats", "url": "http://www.film-center.com/"} +{"d:Title": "Nine Five Movies", "d:Description": "Stills from Pathescope 9.5mm films.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats", "url": "http://homepages.paradise.net.nz/ratters"} +{"d:Title": "DMX Cinema", "d:Description": "Provides an overview of this production, distribution and exhibition digital format, which is a hybrid digital process that creates the look of 70mm but is projected with a standard 2-K digital cinema projector and 3-D enabled server.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats", "url": "http://www.dmxcinema.com/"} +{"d:Title": "One Hundred Years of Film Sizes", "d:Description": "Many film sizes have been experimented with in the past. Most of them have never been heard of again. A survey of the history of a number of these gauges.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats", "url": "https://wichm.home.xs4all.nl/filmsize.html"} +{"d:Title": "IMAX", "d:Description": "The official web site of the large-format film company. Contains list of global theatres, films and distributors.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format", "url": "http://www.imax.com/"} +{"d:Title": "Big Movie Zone", "d:Description": "Large-format film portal, containing trailers, clips, previews, interviews, reviews, DVDs and message boards.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format", "url": "http://www.bigmoviezone.com/"} +{"d:Title": "Destination Cinema", "d:Description": "A large-format film distributor who deliver IMAX film prints across the world. Also owns four American theatres.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Distributors", "url": "http://www.destinationcinema.com/"} +{"d:Title": "XLargo", "d:Description": "Independent distribution company for Large Format films based in Europe.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Distributors", "url": "http://www.xlargo.com/"} +{"d:Title": "Walden Media", "d:Description": "A multimedia entertainment company with some experience in large-format film distribution.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Distributors", "url": "http://www.walden.com/"} +{"d:Title": "Euromax", "d:Description": "A professional association of the European large format film industry.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Organizations", "url": "http://www.euromax.org/"} +{"d:Title": "The Giant Screen Cinema Association", "d:Description": "A non-profit network of organizations and individuals that are involved with the large-format industry.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Organizations", "url": "http://www.giantscreencinema.com/"} +{"d:Title": "SK Films", "d:Description": "Production and distribution company founded by retired CEO of the IMAX Corporation. Includes films information about films produced and bios of the staff.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.shaftesbury.org/"} +{"d:Title": "Sky High Entertainment", "d:Description": "Company from Quebec City specializing in producing large format films for all ages.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.shemovie.com/"} +{"d:Title": "Blue Sky", "d:Description": "Producers of Adrenaline Rush.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.bluesky.se/"} +{"d:Title": "MacGillivray Freeman Films", "d:Description": "Established IMAX film producers. Contains details of their films, company information and reviews.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.macfreefilms.com/"} +{"d:Title": "nWave Pictures", "d:Description": "Digital Studio producing large-format films.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.nwave.com/"} +{"d:Title": "Stephen Low Productions", "d:Description": "Company background, films produced, current projects, and distribution details.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.stephenlow.com/"} +{"d:Title": "Vista Collaborative Arts", "d:Description": "Working in the large-format industry.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.vista-arts.com/"} +{"d:Title": "Destination Cinema", "d:Description": "Producers of large-format films who operate large-format theaters in tourist locations throughout North America.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.destinationcinema.com/"} +{"d:Title": "Lion Heart Production", "d:Description": "Film and movie production studio based in Canada.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.lionheartproduction.com/"} +{"d:Title": "NOVA Giant Screen Films", "d:Description": "Division of PBS. Contains information about the giant screen format and the films produced by the company.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Studios", "url": "http://www.pbs.org/wgbh/nova/about/gsfi.html"} +{"d:Title": "IMAX Theatres", "d:Description": "A complete listing of all the Imax Theatres worldwide.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters", "url": "http://www.imax.com/theatres/"} +{"d:Title": "IMAX Australia", "d:Description": "Australia division of the IMAX corporation. Contains information about the format, and showtimes and details about three Australian IMAX theatres in Brisbane, Sydney and Melbourne.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/Australia", "url": "http://www.imax.com.au/"} +{"d:Title": "IMAX Melbourne", "d:Description": "Located at the Melbourne Museum. Schedule, tickets, group rates, advance bookings, and amenities.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/Australia", "url": "http://www.imaxmelbourne.com.au/"} +{"d:Title": "The Scientific Center IMAX Theatre", "d:Description": "IMAX theatre located in Salmiya. Contains information about the format, film information and showtimes.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/Kuwait", "url": "http://www.tsck.org.kw/detailPage.aspx?id=3&PageId=15"} +{"d:Title": "BFI London IMAX cinema", "d:Description": "London. Contains listings, plus information on the history of the IMAX and 3D formats.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_Kingdom/England", "url": "http://www.bfi.org.uk/imax/"} +{"d:Title": "Science Museum IMAX Cinema", "d:Description": "Prices, schedule and film information for the IMAX cinema inside the Science Museum.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_Kingdom/England", "url": "http://www.sciencemuseum.org.uk/visitmuseum/imax.aspx"} +{"d:Title": "Science Museum of Minnesota", "d:Description": "Featuring an IMAX cinema.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.smm.org/"} +{"d:Title": "Branson's IMAX Entertainment Complex", "d:Description": "IMAX Center in Missouri. Contains film information and showtimes.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.bransonimax.com/"} +{"d:Title": "Grand Canyon IMAX Theater", "d:Description": "IMAX Cinema in the Grand Canyon National Park.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.grandcanyonimaxtheater.com/"} +{"d:Title": "California Science Center IMAX Theater", "d:Description": "(California) Features movie times, admission prices and IMAX facts.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.californiasciencecenter.org/Imax/Features/Features.php"} +{"d:Title": "McWane Center", "d:Description": "An IMAX Dome theater in Birmingham, Alabama. Contains film information, films coming soon and showtimes.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.mcwane.org/imax_movies"} +{"d:Title": "The Maritime Aquarium: IMAX", "d:Description": "Located in Norwalk, Connecticut. Information on current screenings and film trailers.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.maritimeaquarium.org/imax-movies/imax-movies"} +{"d:Title": "Regal Entertainment Group: Imax Theatres", "d:Description": "A list of IMAX and Giant Screen theatres owened by the Regal group.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.regmovies.com/Theatres/IMAX"} +{"d:Title": "The Reuben H. Fleet Science Center", "d:Description": "Location details, films currently playing, and showtimes.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.rhfleet.org/shows"} +{"d:Title": "The Wells Fargo IMAX Theatre at Marbles", "d:Description": "North Carolina's only 3D-capable giant screen. Located in Downtown Raleigh and featuring educational documentaries and Hollywood's biggest blockbusters.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "http://www.imaxraleigh.org/"} +{"d:Title": "IMAX Theater in San Antonio", "d:Description": "Texas IMAX theatre.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Theaters/United_States", "url": "https://www.amctheatres.com/movie-theatres/san-antonio/amc-rivercenter-11-with-alamo-imax"} +{"d:Title": "Special Effects", "d:Description": "A documentary looking at how special effects are created in films.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.pbs.org/wgbh/nova/specialfx/sfxhome.html"} +{"d:Title": "Mysteries of Egypt", "d:Description": "A film from the National Geographic. Includes film facts, previews, scenes and credits.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.nationalgeographic.com/egypt/imax/"} +{"d:Title": "Lewis&Clark", "d:Description": "A National Geographic film re-creating the 19th-century expedition that crossed the uncharted North American West. Including trailer, wallpapers, pictures and maps.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.nationalgeographic.com/lewisandclark/"} +{"d:Title": "Siegfried&Roy: The Magic Box", "d:Description": "A film about the life story of two of the most famous illusionists. In 3D.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.siegfriedandroy.com/"} +{"d:Title": "Coral Reef Adventure", "d:Description": "Story of coral reefs.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.coralfilm.com/"} +{"d:Title": "Journey Into Amazing Caves", "d:Description": "Tells the story of two climbers' love for caves.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.amazingcaves.com/"} +{"d:Title": "Adventures in Wild California", "d:Description": "A virtual expedition bringing together the extremes of California.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.wildca.com/"} +{"d:Title": "The Lion King", "d:Description": "IMAX version of the Disney classic.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://disney.go.com/disneypictures/lionking/"} +{"d:Title": "Ultimate X", "d:Description": "A Touchstone Pictures film looking at ESPN's massively popular Summer X Games.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://expn.go.com/ultimatex/"} +{"d:Title": "Amazon", "d:Description": "Academy Award nominated film follows the routes of the Amazon river and two 'medicine men' on the search for the medicinal qualities of native plants. Includes photos and quiz.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.amazonthefilm.com/"} +{"d:Title": "Greatest Places", "d:Description": "Educational film looking at seven of the most diverse places on Earth. Includes lots of educational material, film clips, photos and panaramic virtual reality pictures.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.greatestplaces.org/"} +{"d:Title": "Ocean Oasis", "d:Description": "A journey exploring the contrasting worlds of Mexico's Sea of Cort\u00e9s and the Baja California desert. Includes behind-the-scenes, background information and educational material.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.oceanoasis.org/"} +{"d:Title": "Asteroid Adventure", "d:Description": "Brief description of the film, designed for simulator rides, filmed at 48 frames per second for dome screens.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.bigmoviezone.com/filmsearch/movies/?uniq=150"} +{"d:Title": "Island of the Sharks", "d:Description": "A film looking at sharks in the remote animal sanctuary of Cocos Island.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.pbs.org/wgbh/nova/sharks/"} +{"d:Title": "Alaska: Spirit of the Wild", "d:Description": "Academy Award nominated film about wildlife in Alaska.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=7"} +{"d:Title": "Sea Monsters: A Prehistoric Adventure Movie", "d:Description": "A National Geographic film. Trailer, interactive timeline, games, lesson plans, and showtimes.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.nationalgeographic.com/seamonsters/"} +{"d:Title": "Sharks 3D", "d:Description": "A Jean-Michel Cousteau film about sharks. Synopsis, trailer, soundtrack details, reviews, educator's guide, and newsletter.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://www.sharks3d.com/"} +{"d:Title": "Ocean Wonderland", "d:Description": "Underwater feature shot on the Great Barrier Reef in Australia and in the Bahamas. Synopsis, information about coral reefs, crew profiles, photographs, video clips, and distribution information.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Large_Format/Titles", "url": "http://oceanwonderland.com/"} +{"d:Title": "Machinima.com", "d:Description": "Includes downloads, news, articles, hosting of movies, and introductions to the format.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://www.machinima.com/"} +{"d:Title": "3DFilmMaker.com", "d:Description": "Weblog by filmmaker Ken Thain. Contains pointers to a selection of films on the web.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://www.3dfilmmaker.com/"} +{"d:Title": "ILL Clan Machinima", "d:Description": "A collective of 3D artists, filmmakers and improvisational comedians creating animated episodic shows using the process.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://illclan.com/"} +{"d:Title": "Rooster Teeth Productions", "d:Description": "Producers of the series Red Vs Blue, The Strangehood and P.A.N.I.C.S.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://www.roosterteeth.com/"} +{"d:Title": "Machinimag", "d:Description": "PDF archives of articles from 2004 to 2005.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://machinimag.com/"} +{"d:Title": "Koinup", "d:Description": "Social network based around game screenshots and virtual stories.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://www.koinup.com/"} +{"d:Title": "Edgeworks Entertainment", "d:Description": "Creators of the Heretic series.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://www.thecodexseries.com/"} +{"d:Title": "Strange Company", "d:Description": "Creators of Ozymandias and developers of several free production tools.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://www.strangecompany.org/"} +{"d:Title": "Tales From Fiddler's Green", "d:Description": "Comedy video based on the Halo 3 game engine.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://talesfromfiddlersgreen.webs.com/"} +{"d:Title": "Chiefmobile Productions", "d:Description": "Creators of the Zombiebusters comedy series. Member list, videos, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Machinima", "url": "http://chiefmobile.webs.com/"} +{"d:Title": "Hamlet", "d:Description": "Filmed in Pixelvision. Includes images, trailer, and downloadable .RAM file.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Pixelvision", "url": "http://www.braidwood.net/films/hamlet/index.html"} +{"d:Title": "PXL Mods", "d:Description": "Contact information for a person willing to perform audio and video out modification to Fisher-Price PXL 2000s for a fee.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Pixelvision", "url": "http://jhacken.tripod.com/"} +{"d:Title": "Yahoo Groups: PXL-2000", "d:Description": "A discussion group and email list for enthusiasts and collectors of the Fisher-Price PXL 2000 Camcorder.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Pixelvision", "url": "http://groups.yahoo.com/group/pxl2000/"} +{"d:Title": "Pixelvision Mystery: More PXL-2000s Than We Thought?", "d:Description": "In the late 1990s, word spread that a company in Japan was producing new PXL-2000 cameras. The camera bore the name Sanpix, and looked to be identical in every respect to the original model.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Pixelvision", "url": "http://www.retrothing.com/2008/02/pixelvision-mys.html"} +{"d:Title": "FilmSecrets - Super 8 Page", "d:Description": "Step-by-step guide to super8 filmmaking provides a look at film types, equipment, transferring film to video, and the best tools to use for super8 sound.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Super_8", "url": "http://www.rivalquest.com/super8"} +{"d:Title": "Little Film", "d:Description": "Created by the writers of \"Super 8 in the Digital Age.\" It has information on film preservation and film stocks, film-making tips, and a FAQ on restoring hardware.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Super_8", "url": "http://www.littlefilm.org/"} +{"d:Title": "Shooting 8mm", "d:Description": "Online community for Super 8 filmmakers. Includes manual database (currently down), tips on editing and sound, and an extensive knowledge base.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Super_8", "url": "http://www.filmshooting.com/"} +{"d:Title": "Sonnyboo's Super 8 Info", "d:Description": "A site with information, several 8mm films to view on-line, and several links.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Super_8", "url": "http://www.sonnyboo.com/super8.htm"} +{"d:Title": "Bury Cine Society", "d:Description": "Features details about the society oriented in 8mm film, newsletter, and regular meeting schedule.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Super_8", "url": "http://www.burycine.org.uk/"} +{"d:Title": "Super-8 Feature Filmmaking", "d:Description": "Provides tips and advice for filmmakers with focus on location, stock strategies, and camera acquisition. Includes links and related industry news.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Super_8", "url": "http://super-8mm.com/"} +{"d:Title": "Widescreen Advocate", "d:Description": "Information resource for those wishing to participate in a grassroots education effort. Flyers, brochures, petitions, and list of DVDs not in original aspect ratio.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Widescreen", "url": "http://www.widescreenadvocate.org/"} +{"d:Title": "The Letterbox and Widescreen Advocacy Page", "d:Description": "Organization defending the visions and intentions of filmmakers. Aspect ratio explanations, examples comparing images of widescreen and pan-and-scan versions of many films, sound and video clips, and commentary.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Widescreen", "url": "http://www.widescreen.org/"} +{"d:Title": "Hong Kong Fanatic: Intro to Widescreen", "d:Description": "Images showing various aspect ratios, demonstrating letterbox, pan-and-scan, and open-matte formats, explaining anamorphic DVDs, and comparing standard and widescreen TVs.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Widescreen", "url": "http://hkfanatic.com/widescreen/"} +{"d:Title": "Widescreen Information Page", "d:Description": "Movie screenshots illustrating the benefits of viewing films in their original aspect ratios.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Widescreen", "url": "http://www.twowiresthin.com/aspect/"} +{"d:Title": "Ryanwright.com", "d:Description": "Flash animation demonstrating the pan-and-scan and letterbox processes.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Widescreen", "url": "http://www.ryanwright.com/ht/oar.shtml"} +{"d:Title": "Letterbox Format Explanation", "d:Description": "Images demonstrating the various formats in which movies are displayed.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Widescreen", "url": "http://www.firsttvdrama.com/show2/letterb.php3"} +{"d:Title": "In 70 mm", "d:Description": "Newsletter about 70mm cinemas, films, history and technology.", "topic": "Top/Arts/Movies/Filmmaking/Film_Formats/Widescreen", "url": "http://www.in70mm.com/"} +{"d:Title": "Indiefilmpage.com", "d:Description": "Details about current and upcoming releases, filmmaker profiles, festival information, theaters listed by region, discussion forum, and related links.", "topic": "Top/Arts/Movies/Filmmaking/Independent", "url": "http://www.indiefilmpage.com/"} +{"d:Title": "Cape Fear Independent Film Network", "d:Description": "Non-profit film organization that showcases films, educates filmmakers, and hosts an annual film festival.", "topic": "Top/Arts/Movies/Filmmaking/Independent", "url": "http://www.cfifn.org/"} +{"d:Title": "Independent Film Quarterly", "d:Description": "Subsription magazine for the film community. Includes articles, news and contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent", "url": "http://www.independentfilmquarterly.com/"} +{"d:Title": "Calgary Society of Independent Filmmakers", "d:Description": "Non-profit, cooperative providing resources and workshops. Includes festival details, grant applications and contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent", "url": "http://www.csif.org/"} +{"d:Title": "Independent Media Publications", "d:Description": "Weblog of the print publication covering news and events of interest to independent filmmakers, including financing and distribution, festivals, screenwriting, and technical questions.", "topic": "Top/Arts/Movies/Filmmaking/Independent", "url": "http://independent-magazine.org/"} +{"d:Title": "Uncovered Films", "d:Description": "Weblog with reviews, previews, news, release dates and contests.", "topic": "Top/Arts/Movies/Filmmaking/Independent", "url": "http://uncoveredfilms.blogspot.com/"} +{"d:Title": "Dead Harvey", "d:Description": "Weblog with articles, reviews, and interviews focusing on contemporary independent horror.", "topic": "Top/Arts/Movies/Filmmaking/Independent", "url": "http://deadharvey.blogspot.com/"} +{"d:Title": "Archer, Cam", "d:Description": "Selected filmography and production stills.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/A", "url": "http://www.camarcher.com/"} +{"d:Title": "Antoniou, Angeliki", "d:Description": "Biography, photographs, and information about her films.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/A", "url": "http://www.angelikiantoniou.com/"} +{"d:Title": "Baillie, Eric", "d:Description": "Film synopses, production stills, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/B", "url": "http://www.kalystath.com/"} +{"d:Title": "Boey, Nathan", "d:Description": "Biography, filmography, and news.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/B", "url": "http://nathanboey.ca/"} +{"d:Title": "Broughton, Darren", "d:Description": "Short biography, film synopses, and production stills.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/B", "url": "http://www.bellestorie.com/"} +{"d:Title": "Corey Boutilier", "d:Description": "Documentarian and producer based in New York City. Includes links and news.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/C", "url": "http://www.independentfilm.com/"} +{"d:Title": "Crarer, Sebastan", "d:Description": "Background information and clips from the director. Includes reels and contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/C", "url": "http://www.scramer.com/"} +{"d:Title": "Crawford, Andrew", "d:Description": "Filmmaker Andrew POM Crawford , trained as a musician, he began writing screenplays about four years ago. He has written five feature length screenplays.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/C", "url": "http://www.lost-contact.com/"} +{"d:Title": "Chok, Lionel", "d:Description": "Biography, curriculum vitae, film information, show reel, photographs, and videos.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/C", "url": "http://www.lionelchok.com/"} +{"d:Title": "Collado, Ruben", "d:Description": "Short biography and resume. Requires Flash.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/C", "url": "http://rcollado.com/"} +{"d:Title": "Dietrich, Steve [Storybender Productions]", "d:Description": "Company details, films, sponsors, script synopses, and contact details.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/D", "url": "http://www.storybender.com/"} +{"d:Title": "Davies, Sian", "d:Description": "Filmography, news, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/D", "url": "http://www.siandavies.com.au/"} +{"d:Title": "New Zealand Herald: Teen's movies on DVD", "d:Description": "Article about Cameron Duncan's films appearing on Peter Jackson's Return of the King Extended Edition DVD.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/D/Duncan,_Cameron", "url": "http://www.nzherald.co.nz/index.cfm?c_id=6&ObjectID=9002639"} +{"d:Title": "New Zealand Herald: Award-winning teen takes cancer fight to Mexico", "d:Description": "Article providing background and details about Cameron's trip to Tijuana, Mexico, to undergo alternative cancer treatment.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/D/Duncan,_Cameron", "url": "http://www.nzherald.co.nz/index.cfm?ObjectID=3199937"} +{"d:Title": "Echternkamp, G.J.", "d:Description": "Downloadable Quicktime versions of the commercials, music videos, and short films by the director.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/E", "url": "http://www.bionicfilms.com/"} +{"d:Title": "Estevez, Alexis J.", "d:Description": "Director and editor. Biography, resume, show reel, storyboards, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/E", "url": "http://www.angelfire.com/film/alexisestevez/"} +{"d:Title": "Ellington, Steve", "d:Description": "Biography, portfolio, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/E", "url": "http://www.theautomaticfilmmaker.com/"} +{"d:Title": "Ehnemark, Klas", "d:Description": "Short and feature length films. Includes trailers, clips and full-length productions.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/E", "url": "http://www.stratoponjak.com/"} +{"d:Title": "Furse, John", "d:Description": "Biography, film clips and synopses, reviews, awards, references, news, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/F", "url": "http://www.johnfurse.co.uk/"} +{"d:Title": "Fiege, John", "d:Description": "Filmography, portfolio, showreel, curriculum vitae, equipment used, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/F", "url": "http://fiegefilms.com/"} +{"d:Title": "Guldogan, Arda", "d:Description": "His works, biography, future projects.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/G", "url": "http://www.ardaguldogan.com/"} +{"d:Title": "Galluzzo, Mark Anthony", "d:Description": "Curriculum vitae, screenplay log lines, filmography, and news.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/G", "url": "http://www.magfilm.net/"} +{"d:Title": "Gale, Richard", "d:Description": "Biography, filmography, awards, press kit, news, articles and reviews, photographs, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/G", "url": "http://www.richard-gale.com/"} +{"d:Title": "Gaynor, Alex O.", "d:Description": "Biography, filmography, photographs, news, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/G", "url": "http://www.alexgaynor.com/"} +{"d:Title": "Hoe, Hunt", "d:Description": "A Canadian filmmaker who produces and directs documentaries and short films on cultural confusion, romantic fools, and inner-city funk. Foreign Ghosts Productions.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://www.huntmovies.com/"} +{"d:Title": "Hudec, Frank", "d:Description": "Includes summaries of scripts, treatments, and short films.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://www.frankhudec.com/"} +{"d:Title": "Hammer, Lisa", "d:Description": "Featuring short fairytale silent films and trash-gore musicals.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://www.lisahammer.com/"} +{"d:Title": "Harold, Wayne Alan", "d:Description": "Producer and director of commercials, industrial films and movies. Includes profile and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://waynealanharold.com/"} +{"d:Title": "Harris, Kirk", "d:Description": "Biography and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://www.roguearts.com/bios/kharris.html"} +{"d:Title": "Harrison, Patrick", "d:Description": "Producer of underground films, and videos in Vancouver, Canada. Includes biography, filmnography, articles, video clips, propaganda gallery, on-line shopping and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://www.flickharrison.com/"} +{"d:Title": "Heide, Rasmus", "d:Description": "Danish director of music videos, commercials, and feature films. Curriculum vitae, filmography, behind the scenes photographs and a showreel.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://www.rasmusheide.com/"} +{"d:Title": "Hossain, Zakir", "d:Description": "Bangladeshi filmmaker. Biography, filmography, curriculum vitae, and information about some of his films.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://sites.google.com/site/wwwzakirhossain/"} +{"d:Title": "Haddad, David", "d:Description": "Provides clips.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/H", "url": "http://www.davidhaddad.com/"} +{"d:Title": "Inagaki, Tak", "d:Description": "Profile and selected works of the film and tv director.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/I", "url": "http://www.takinagaki.com/"} +{"d:Title": "Jacobs, Bill", "d:Description": "Features films, television productions, musical projects and other works. Includes contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/J", "url": "http://www.billjacobs.us/"} +{"d:Title": "Johnson, Jesse V.", "d:Description": "Brief biography, press, photographs, videos, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/J", "url": "http://www.jessevjohnson.webs.com/"} +{"d:Title": "Kostner, Russell", "d:Description": "Biographical information, portfolio, and links [requires Flash].", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K", "url": "http://www.russellkostner.com/"} +{"d:Title": "Kroll, Jon", "d:Description": "Filmmaker Jon Kroll's movies and television shows, including Menno's Mind and Amanda and the Alien.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K", "url": "http://www.jonkroll.com/"} +{"d:Title": "Kases, Karl", "d:Description": "Homepage of the director features several German and American productions.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K", "url": "http://www.karl-kases.com/"} +{"d:Title": "Kim, Wes", "d:Description": "News about Wes Kim, an award-winning independent filmmaker based in Seattle, Washington.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K", "url": "http://www.weskim.com/"} +{"d:Title": "Kang, Michael", "d:Description": "Director, writer, and actor based in New York. Biography, filmography, news, and current projects.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K", "url": "http://mike2cents.xanga.com/"} +{"d:Title": "SomethingJewish - Lloyd Kaufman", "d:Description": "Interview discussing his background and work.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K/Kaufman,_Lloyd", "url": "http://www.somethingjewish.co.uk/articles/575_lloyd_kaufman.htm"} +{"d:Title": "Tabula Rasa: Lloyd Kaufman Interview", "d:Description": "Interview with Lloyd Kaufman talking about his background, film-making and the effect of Troma.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K/Kaufman,_Lloyd", "url": "http://www.tabula-rasa.info/Horror/LloydKaufman.html"} +{"d:Title": "Keuken, Johan van der", "d:Description": "Tribute to one of Holland's filmmakers by Ron Burnett.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/K/Keuken,_Johan_van_der", "url": "http://www.ecuad.ca/~rburnett/keuken.html"} +{"d:Title": "Ledwidge, Michela", "d:Description": "Filmmaker and technical architect.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/L", "url": "http://thequality.com/people/michela"} +{"d:Title": "Lipper, Joanna", "d:Description": "An award winning filmmaker whose projects have included Growing Up Fast, Inside Out: Portraits of Children and Little Fugitive.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/L", "url": "http://www.joannalipper.com/"} +{"d:Title": "Lanni, Jeremy", "d:Description": "Biography, photographs, film details including synopses, trailers, and stills, weblog, mailing list, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/L", "url": "http://noblesavageproductions.com/"} +{"d:Title": "Marsden, Craig", "d:Description": "Features archive and upcoming projects, fiction, documentary, and shorts.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/M", "url": "http://www.sokotapictures.com/"} +{"d:Title": "Martini, Richard", "d:Description": "The director's weblog, including trailers from his latest films.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/M", "url": "http://richmartini.blogspot.com/"} +{"d:Title": "McCann, Tim", "d:Description": "Filmography, video clips, press, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/M", "url": "http://www.timmccannfilm.com/"} +{"d:Title": "Motlagh, Amir", "d:Description": "Profile, filmography, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/M", "url": "http://amirmotlagh.com/"} +{"d:Title": "Moio, Pierpaolo", "d:Description": "Personal statement, information about his work, production stills, and press links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/M", "url": "http://www.pierpaolomoio.it/"} +{"d:Title": "Miller, Ashley F.", "d:Description": "Personal weblog of an editor, screenwriter and filmmaker based in Los Angeles.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/M", "url": "http://ashleyfmiller.wordpress.com/"} +{"d:Title": "Noonan, Gail", "d:Description": "Featuring short animations.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/N", "url": "http://www.cartoonan.com/"} +{"d:Title": "Odom, Christopher", "d:Description": "Features his work and projects.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/O", "url": "http://www.christopherodom.com/"} +{"d:Title": "Ohlson, Chris", "d:Description": "Biography, news, videos, film synopses, and screening information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/O", "url": "http://www.chrisohlson.com/"} +{"d:Title": "Pigeon Projects", "d:Description": "Brian M. Cassidy and Melanie Shatzky are based in Brooklyn, New York and Montreal, Quebec. Includes photographs, film and commercial work, biographies, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/P", "url": "http://www.pigeonprojects.com/"} +{"d:Title": "Pinter, Gabor", "d:Description": "Budapest based director, producer, and managing director.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/P", "url": "http://www.pinterfilm.hu/"} +{"d:Title": "Pike, Kevin", "d:Description": "Special effects director listing awards, achievements, and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/P", "url": "http://www.filmtrix.com/"} +{"d:Title": "Platt, Aaron", "d:Description": "Director of photography. Film reels, music videos, commercials, production details, photographs, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/P", "url": "http://www.aaronplatt.com/"} +{"d:Title": "Ross, T. Justin", "d:Description": "Biography, curriculum vitae, film portfolio, photographs, video clips, contact information, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/R", "url": "http://www.tjustinross.com/"} +{"d:Title": "Reed, Joel M.", "d:Description": "Biography, film synopses, posters, video clips, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/R", "url": "http://www.joelmreed.net/"} +{"d:Title": "Rubenstein, Glenn", "d:Description": "Weblog of the lonelygirl15 creator.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/R", "url": "http://glenn-rubenstein.blogspot.com/"} +{"d:Title": "Ross, Peter John", "d:Description": "Weblog and links to videos.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/R", "url": "http://www.peterjohnross.com/"} +{"d:Title": "Rumley, Simon", "d:Description": "Biography, curriculum vitae, film profiles with synopses, photographs, credits, review links, and production notes, trailers, news, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/R", "url": "http://www.simonrumley.com/"} +{"d:Title": "Strunk, Jacob", "d:Description": "Biography, filmography, details on past projects, press, and contact details.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.sevenmileswest.com/"} +{"d:Title": "Stirton, Mark", "d:Description": "Features the work and projects from the independent filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://stirtonproductions.com/"} +{"d:Title": "Shah, Shyam", "d:Description": "Young filmmaker from the Gujarat State in the western region of the Indian peninsula.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://shyamshah.tvheaven.com/"} +{"d:Title": "Seftel, Joshua", "d:Description": "Boston-based filmmaker who has received more than thirty international awards for his television and film productions. Biography, films, press, shopping, corporate and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.seftel.com/"} +{"d:Title": "Sell, Jack M", "d:Description": "Director provides services for feature films, broadcast tv, commercials, and training programs.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.sellcommunications.net/"} +{"d:Title": "Scott, Wilbur", "d:Description": "List of the low budget filmmaker's films and details of his next project. Includes contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.angelfire.com/movies/wilburcinema/"} +{"d:Title": "Savage, Garret", "d:Description": "Biography and information about completed projects.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.garretsavage.com/"} +{"d:Title": "Strauss-Schulson, Todd", "d:Description": "Offering clips from several videos.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.ulteriorproductions.com/"} +{"d:Title": "Siegel, Lois", "d:Description": "Freelance director in Ottawa, Canada. Features films and contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.siegelproductions.ca/"} +{"d:Title": "Stahl, Stephen", "d:Description": "Award-winning film and theatre director, producer, writer and teacher from Pennsylvania. Biography, resume, writing samples, information about acting workshops and upcoming events.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.stephenstahl.com/"} +{"d:Title": "Sinav, Osman", "d:Description": "Biography, works, awards, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.sinegraf.com/"} +{"d:Title": "Schatzberg, Jerry", "d:Description": "Features biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.jerryschatzberg.com/"} +{"d:Title": "Smith, Martin", "d:Description": "Biography, film synopses and stills, press articles, news, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.martinsmithonline.co.uk/"} +{"d:Title": "Street, Mark", "d:Description": "Biography, videos, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.markstreetfilm.com/"} +{"d:Title": "Solanas, Juan", "d:Description": "Biography, filmography, awards, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://www.juansolanas.com/"} +{"d:Title": "Stokes, Jon", "d:Description": "Production weblog and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/S", "url": "http://jonathanwstokes.com/"} +{"d:Title": "Threes, Anna", "d:Description": "Writer-director from Holland, with a background as theater maker. Creates visual stories based on extreme places and circumstances.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/T", "url": "http://www.threesanna.com/"} +{"d:Title": "Alberto Veronese", "d:Description": "Novels, photos, projects, filmography and latest news.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/V", "url": "http://www.veronese.ch/"} +{"d:Title": "Wallace , Steven Christopher", "d:Description": "Director of web videos, commercials, and short films. Biography, photographs, demo reel, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/W", "url": "http://www.scwfilms.com/"} +{"d:Title": "Warren, Jerry", "d:Description": "Information on the hack filmmaker and his films, including Teenage Zombies and Frankenstein Island.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/W", "url": "http://angelfire.com/ca3/jerrywarren"} +{"d:Title": "Wickham, Terry", "d:Description": "Featuring work and profile.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/W", "url": "http://www.mantaraypictures.com/"} +{"d:Title": "Yuriev, Timofey", "d:Description": "Biography, filmography, video clips, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Individual_Filmmakers/Y", "url": "http://yuriev.tv/"} +{"d:Title": "12 by Lawrence Bridges", "d:Description": "Information about the independent film \"12\" by the Los Angeles filmmaker.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/1", "url": "http://www.12.org/"} +{"d:Title": "3 Am\u00e9ricas", "d:Description": "A Cristina Kotz Cornejo production about a young American-Argentine girl who rediscovers her roots. Synopsis, cast and crew, photographs, trailer, music samples, reviews, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/3", "url": "http://www.3americasmovie.com/"} +{"d:Title": "Ascension", "d:Description": "Home of an independent fantasy film.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/A", "url": "http://www.angelfire.com/movies/ascension/"} +{"d:Title": "Avva", "d:Description": "Official site for the film series based on the transcription of the Yellow Wise Persons manuscripts. Story, concepts, characters, trailer, production details.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/A", "url": "http://www.avva.cl/"} +{"d:Title": "Beam me up, Scotty!", "d:Description": "35mm music film about an independent band in Germany from 1986 to 1992. Download the entire movie in German for a fee. Free clips and screenplays in English, French or German.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.stevelem.com/movie.html"} +{"d:Title": "Before They Sleep", "d:Description": "Official site for the feature film based on the 1985 Arrow Air crash at Gander, Newfoundland. Synopsis, character descriptions, script excerpts, historical background, and production information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.beforetheysleep.com/"} +{"d:Title": "Bell Witch: The Movie", "d:Description": "Film based on the Tennessee legend of a man murdered by a spirit. Film information, photographs, interviews and movie clips.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.bellwitchthemovie.com/"} +{"d:Title": "Butterfly Man", "d:Description": "Romantic adventure filmed in Thailand. Summary of plot, cast and crew, credits, photo image gallery and contact details.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.butterflyman.co.uk/"} +{"d:Title": "Butterfly Effect, The", "d:Description": "A supernatural thriller that taps into the turbulent nature of past, present and future. Synopsis, cast and crew, media, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.iconmovies.co.uk/thebutterflyeffect/"} +{"d:Title": "Beyond the Limits", "d:Description": "A young reporter interviews a mysterious undertaker, who tells her the story of a deceased mafioso who was recently buried at his cemetery. Synopsis, cast and crew, distribution details, photographs, and multimedia [requires Flash].", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://beyondthelimits-movie.com/"} +{"d:Title": "The Beyond Trilogy", "d:Description": "Official site for movies inspired by the Necronomicon of H.P. Lovecraft. Plot summaries, poster art, and director biography.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://digilander.libero.it/beyondthetrilogy/index.html"} +{"d:Title": "Burning Annie", "d:Description": "About love, hate, and relationships. Includes photos, synopsis, reviews and contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.burningannie.com/"} +{"d:Title": "Spike Lee's Bamboozled", "d:Description": "Damon Wayans stars in story of a network television writer's rise and fall. Includes synopsis, cast list, DVD sales and video downloads.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.bamboozledmovie.com/"} +{"d:Title": "Black Salt", "d:Description": "A story about an ancient and powerful style of martial arts that has been practiced in the Shaolin Temple for hundreds of years. Contains news, products and details on the video game.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/B", "url": "http://www.blacksaltfilm.com/"} +{"d:Title": "Cherry", "d:Description": "A romantic comedy directed by Jon Glascoe and Joseph Pierson. Synopsis, cast, festivals, photographs, script, production notes, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/C", "url": "http://www.cypressfilms.com/cherryweb/"} +{"d:Title": "Carolina Griffin and the Raiders of the Lost Melodeon", "d:Description": "52-minute comedy spoof of Raiders of the Lost Ark and Roanoke Bible College, in Windows Media format. Also includes credits, synopsis, trivia, bloopers, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/C", "url": "http://www.angelfire.com/film/carolinagriffin/"} +{"d:Title": "John Paizs' Crime Wave", "d:Description": "Images from the 1986 lost Canadian cult film.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/C", "url": "http://www.angelfire.com/movies/CrimeWave/"} +{"d:Title": "Cop on the Edge IX: Prelude to Justice", "d:Description": "Action-comedy feature film by Coten Films. Includes photos, downloads, script and contacts.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/C", "url": "http://www.cotenfilms.com/"} +{"d:Title": "Counting the Days", "d:Description": "Synopsis, credits, and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/C", "url": "http://www.counting-the-days.com/"} +{"d:Title": "Collingswood Story, The", "d:Description": "Breakthrough indie film entirely viewed through webcams.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/C", "url": "http://www.collingswoodstory.com/"} +{"d:Title": "Coney Island Baby", "d:Description": "Feature film about a happy-go-lucky charmer returning to his home.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/C", "url": "http://en.wikipedia.org/wiki/Coney_Island_Baby_(film)"} +{"d:Title": "Die Beauty", "d:Description": "Production information, news, download links and subtitles for this movie released under a Creative Commons license.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/D", "url": "http://diebeauty.blogspot.com/"} +{"d:Title": "Earthquake", "d:Description": "Independent filmmakers seeking investors for upcoming movie project about a pimp who wants out of the life but decides to make one last score before he calls it quits.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/E", "url": "http://earthquakefilm.scriptmania.com/"} +{"d:Title": "Finding Joy", "d:Description": "Official site of the independent Australian feature film by writer/director Billie Dean. Synopsis, cast and crew biographies, and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/F", "url": "http://www.findingjoy.com/index.htm"} +{"d:Title": "Feels Like Rain", "d:Description": "Narrative drama about deception, murder, and revenge.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/F", "url": "http://dianabeatty.com/"} +{"d:Title": "Forgotten, The", "d:Description": "A film about American soldiers in the Korean war, directed by Vincente Stasolla. Synopsis, credits, production images, trailer, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/F", "url": "http://www.theforgottenthemovie.com/"} +{"d:Title": "Forgotten Heroes", "d:Description": "Tribute to veterans of the Vietnam war, by Jack Marino. Film information, trailer, credits, and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/F", "url": "http://www.warriorfilmmakers.com/marinofilm/"} +{"d:Title": "Facing The Giants", "d:Description": "Script preview, production call sheets, cast and crew lists, behind the scenes photo gallery and movie poster for download.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/F", "url": "http://www.facingthegiants.com/"} +{"d:Title": "Great War of Magellan", "d:Description": "Promotes sci-fi series developed by Su-Shann Productions. Includes articles, interviews, plot synopsis, image galleries and video clips.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/G", "url": "http://www.greatwarofmagellan.com/"} +{"d:Title": "Instrument, The", "d:Description": "Official website for the film about a new, music-based system of ritual worship. Includes trailer and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/I", "url": "http://www.theinstrumentmovie.com/"} +{"d:Title": "In Smog and Thunder", "d:Description": "Mockumentary about a fictional civil war in California. Background information, credits, media, cast details, press kit and clippings, gallery, MP3 music from the soundtrack, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/I", "url": "http://www.insmogandthunder.com/"} +{"d:Title": "I'll Sleep When I'm Dead", "d:Description": "I'll Sleep When I'm Dead - The Official Site for Mike Hodges film starring Clive Owen.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/I", "url": "http://www.iswid.net/"} +{"d:Title": "Katie Bird", "d:Description": "A dark and twisted movie about murder, love, and family.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/K", "url": "http://www.katiebirdthemovie.com/"} +{"d:Title": "The Last Man", "d:Description": "Official site with plot description and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/L", "url": "http://www.idfilms.com/lastman/index.html"} +{"d:Title": "The Lunar Girl", "d:Description": "Official site. Synopsis, credits, trailer, and music clips.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/L", "url": "http://www.londontv.net/"} +{"d:Title": "The Loss of Nameless Things", "d:Description": "Haunting story of Oakley Hall III's fall from grace, and what happens when, twenty-five years later, a theater company stages the very play he was writing the night he fell.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/L", "url": "http://www.thelossofnamelessthings.com/"} +{"d:Title": "Mist", "d:Description": "A story of a sleepover. Synopsis, cast and crew, trailer, production notes, photographs, and desktop wallpapers [requires Flash].", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/M", "url": "http://www.mistmovie.com/"} +{"d:Title": "Necropolis Awakened", "d:Description": "Horror film about the undead moving into a small town, and a local resident's quest to stop them. Synopsis, production stills, publicity photographs, character profiles, and message board.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/N", "url": "http://www.hudsonpro.com/necropolis_awakened/"} +{"d:Title": "Nosey Parker", "d:Description": "Love story - part of Tunbrige trilogy.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/N", "url": "http://www.bellwetherfilms.com/"} +{"d:Title": "Open Water", "d:Description": "Independent feature film about scuba divers stranded in shark infested waters. Includes a synopsis, stills and a trailer.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/O", "url": "http://openwaterfilm.com/"} +{"d:Title": "Oceania", "d:Description": "Story of two teenagers who deal with their shattered family-life in a small California coastal town. Photos, download links and subtitles for the movie released under a Creative Commons license.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/O", "url": "http://www.hdehal.com/filmandvideo.php"} +{"d:Title": "Pariahs", "d:Description": "Feature film about violence and bullying. General information, cast and crew, media, production notes, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/P", "url": "http://pariahsthemovie.tripod.com/"} +{"d:Title": "Pure", "d:Description": "Independent film by Susan Karrie Braun - a modern paradise myth about two young Americans going nowhere until a miracle compels them on a journey.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/P", "url": "http://www.pure-themovie.com/"} +{"d:Title": "Pep Squad", "d:Description": "Official site with cast and crew information, synopsis, articles and reviews, score, trailer, and pictures.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/P", "url": "http://www.dikenga.com/films/pepsquad"} +{"d:Title": "Pinch Me", "d:Description": "Winner of the Long Island Film Festival Screenplay Competition from writer/director Kevin McLaughlin. Story line, cast and crew, photographs, and video clips.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/P", "url": "http://www.pinchme-themovie.com/"} +{"d:Title": "IMDb: Pride and Prejudice (2003)", "d:Description": "Cast, crew, plot summary, viewer comments, links, photograph gallery, and other related film data.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/P/Pride_and_Prejudice_-_2003", "url": "http://imdb.com/title/tt0366920/"} +{"d:Title": "Rotten Tomatoes: Pride And Prejudice (2003)", "d:Description": "Reviews from the nation's top critics and audiences.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/P/Pride_and_Prejudice_-_2003", "url": "http://www.rottentomatoes.com/m/1136501-pride_and_prejudice/"} +{"d:Title": "Room, The", "d:Description": "Synopsis, cast and crew, character analysis, press, screening details, multimedia, trailer, and links [requires Flash].", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/R", "url": "http://www.theroommovie.com/"} +{"d:Title": "Rainmaker", "d:Description": "Synopsis, cast list and photo gallery.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/R", "url": "http://www.mediavisi.net/rainmaker/"} +{"d:Title": "SPAM: The Movie", "d:Description": "Fan club with related links, news, and photos.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.angelfire.com/movies/spam/"} +{"d:Title": "Shades of Day", "d:Description": "Official site. Synopsis, cast and crew biographies, multimedia, critic's quotes, and investment information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.shadesofday.com/"} +{"d:Title": "Shadow Raven", "d:Description": "Martial arts independent film from producers Frank Zanca and John Campbell. Card game, products, photographs, and newsletter.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.destinyhorizons.com/"} +{"d:Title": "Starship II", "d:Description": "Fan site for the upcoming film about three outcasts in space. News archive, photographs, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://computepc.com/starship2/"} +{"d:Title": "Souler Opposite", "d:Description": "Romantic comedy. Press kit, photographs, trailer, screenings, interview, reviews, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.souleropposite.com/"} +{"d:Title": "Sirens of the 23rd Century", "d:Description": "Neoclassical science fiction fairy tale spoof. Cast and crew, gallery, trailer, media, and links [requires Flash].", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.sirensofthe23rdcentury.com/"} +{"d:Title": "The Sacred", "d:Description": "Horror film about five university students who unleash the dead on an ancient patch of land in the Florida swamps. Synopsis, trailers, cast and crew, photographs, weblog, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.sacredmovie.com/"} +{"d:Title": "Spoiler Alert", "d:Description": "Black comedy by David Rakowiecki about a film director who decides to take revenge on a movie news website owner. Cast and crew information, synopsis, poster, production notes, trailer, photographs, reviews, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.spoileralertmovie.com/"} +{"d:Title": "Suicide Dolls", "d:Description": "A film by Keith L. Shaw about two high school students who make a suicide pact. Synopsis, cast and crew, photographs, trailer, and news.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.suicidedollsthemovie.com/"} +{"d:Title": "Say It in Russian", "d:Description": "A romantic thriller by Jeff Celentano about a divorce attorney who ends up in danger after pursuing a Russian woman he met. Synopsis, photographs, credits, trailer, screenings, production notes, and news.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://sayitinrussianmovie.com/"} +{"d:Title": "Strength and Honor", "d:Description": "A Mark Mahon film about a man who is forced into bare-knuckle boxing to save his son's life. Synopsis, credits, screenings, awards, production notes, and press links. [Requires Flash and plays music that can't be stopped.]", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/S", "url": "http://www.strengthandhonorthemovie.com/"} +{"d:Title": "Third Party", "d:Description": "Explores contemporary US political third party efforts by highlighting eight parties and intersplicing their comments with those of nationally and internationally renowned academics and activists.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/T", "url": "http://www.thirdpartypolitics.org/"} +{"d:Title": "The Toybox", "d:Description": "Feature length horror movie from independent British filmmakers, Brandnew Films. Includes trailers, stills and interviews with the cast and crew.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/T", "url": "http://www.brandnewfilms.co.uk/"} +{"d:Title": "Unctuous X", "d:Description": "Official site for the Australian digital feature film. Synopsis, director's statement, pictures, trailer, and production information.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/U", "url": "http://home.iprimus.com.au/odyssey1"} +{"d:Title": "Unearthly Harvest", "d:Description": "Contains the film trailer, cast list, behind the scenes photos and original music. The merchandise page allows you to purchase T-Shirts and Posters.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/U", "url": "http://www.unearthlyharvest.com/"} +{"d:Title": "Uh-Oh", "d:Description": "A family film about a hapless sailor. Synopsis, cast and crew, trailer, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/U", "url": "http://www.uhohthemovie.com/"} +{"d:Title": "A Viking Saga", "d:Description": "A Michael Mouyal film about the life of a young Viking boy. Synopsis, trailer, cast and crew, soundtrack listing, photographs, screening information, and links. [Requires Flash; forced music with no option to turn off.]", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/V", "url": "http://www.avikingsaga.com/"} +{"d:Title": "Were the World Mine", "d:Description": "Musical comedy by Tom Gustafson about a young man who uses magic to turn everyone in his small town gay. Synopsis, trailer, credits, screenings, soundtrack listing, photographs, and links.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/W", "url": "http://speakproductions.com/"} +{"d:Title": "Zyzzyx Road", "d:Description": "Desert thriller by John Penney. Synopsis, poster, and trailer.", "topic": "Top/Arts/Movies/Filmmaking/Independent/Titles/Z", "url": "http://www.zyzzyxrdthemovie.com/"} +{"d:Title": "Downton Abbey: Amazing Secrets Behind the Scenes of Period Drama", "d:Description": "Mirror article discusses the 1912-1917 attire from the first season of the BBC&PBS Masterpiece Classic production.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes", "url": "http://www.mirror.co.uk/celebs/tv/2011/09/25/amazing-secrets-behind-the-scenes-of-downton-abbey-115875-23443449/"} +{"d:Title": "Classic Film and Television: Historical Costumes", "d:Description": "Fact filled rundown and reference associates costumers with their trademark and specialty styles.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers", "url": "http://mikegrost.com/costume.htm"} +{"d:Title": "Fashion for Real Women: Celebrity Fashion - How It All Started", "d:Description": "Diana Pemberton-Sikes's article highlights the cinematic careers of Gilbert Adrian, Orry-Kelly, Edith Head, and Walter Plunkett, and their impact on women's fashion.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers", "url": "http://www.fashionforrealwomen.com/articles/celebrity_fashion.html"} +{"d:Title": "British Film Institute (BFI): Screenonline", "d:Description": "Short profile of the designer and sometimes actor presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Acheson,_James", "url": "http://www.screenonline.org.uk/people/id/839993/"} +{"d:Title": "BBC Interactive (BBCi): Doctor Who - Episode Guide", "d:Description": "Listing offered of the specific episodes for which the designer is credited as costumer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Acheson,_James", "url": "http://www.bbc.co.uk/doctorwho/classic/episodeguide/people/james_acheson.shtml"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the three time Academy Award winner.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Acheson,_James", "url": "http://www.imdb.com/name/nm0009807/"} +{"d:Title": "HistoryWired: A Few of Our Favorite Things", "d:Description": "Biography details the impact of the designer on women's fashion of the 1930s and 1940s through his work with Hollywood celebrities.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Adrian,_Gilbert", "url": "http://historywired.si.edu/detail.cfm?ID=505"} +{"d:Title": "Wikipedia: Adrian", "d:Description": "Encyclopedia article outlining the life of the designer, quotes, his filmography, and links.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Adrian,_Gilbert", "url": "http://en.wikipedia.org/wiki/Gilbert_Adrian"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography and mini-biography of the designer offered.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Adrian,_Gilbert", "url": "http://www.imdb.com/name/nm0012424/"} +{"d:Title": "American Ballet Theatre", "d:Description": "Credits for her work with the theatre and biography of the New York Gershwin Theatre Hall of Fame designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Aldredge,_Theoni_V.", "url": "http://www.abt.org/education/archive/designers/aldredge_t.html"} +{"d:Title": "Entertainment Design Magazine: Winner of the 2OO2 Irene Sharaff Award", "d:Description": "A list of accomplishments including Broadway, ballet, opera, television, and film, this profile of the Lifetime Achievement Award winning designer includes some of her sketches.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Aldredge,_Theoni_V.", "url": "http://livedesignonline.com/mag/theoni-v-aldredge-winner-2oo2-irene-sharaff-award"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided of the 1974 Academy Award recipient for her design work in \"The Great Gatsby\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Aldredge,_Theoni_V.", "url": "http://www.imdb.com/name/nm0017649/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Appel,_Deena", "url": "http://www.imdb.com/name/nm0032196/"} +{"d:Title": "DVD Reviewer: Interviews", "d:Description": "Fox Home Video provides the transcript from an interview with the designer about her work on the film, \"Planet of the Apes\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Atwood,_Colleen", "url": "http://www.dvd.reviewer.co.uk/news/interview.asp?Index=5500"} +{"d:Title": "BBC News: Entertainment", "d:Description": "Ian Youngs profiles the designer in his article entitled \"Chicago's Costume Queen\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Atwood,_Colleen", "url": "http://news.bbc.co.uk/2/hi/entertainment/2871449.stm"} +{"d:Title": "Keith Bush: Dressed to Kill", "d:Description": "Article subtitled \"From 'Sleepy Hollow' to 'Chicago', Colleen Atwood creates beautiful costumes for dangerous characters\" excerpts interviews with the designer after her work on the film, \"Chicago\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Atwood,_Colleen", "url": "http://www.keithbush.com/article_atwood.htm"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography and list of credits dates back to 1984 and includes her 2002 Academy Award for her work on \"Chicago\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Atwood,_Colleen", "url": "http://www.imdb.com/name/nm0041181/"} +{"d:Title": "Marlene Dietrich: Collector's Page", "d:Description": "A list provided of his work with the actor in her films of the 1930s.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Banton,_Travis", "url": "http://home.snafu.de/fright.night/marlene-dietrich-movies.html"} +{"d:Title": "Find A Grave", "d:Description": "Pictures and links provided to Forest Lawn Memorial Park in Glendale, California.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Banton,_Travis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20292"} +{"d:Title": "The Texas State Historical Association: Handbook", "d:Description": "Biography of the designer provided.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Banton,_Travis", "url": "http://www.tshaonline.org/handbook/online/articles/fbacl"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Banton,_Travis", "url": "http://www.imdb.com/name/nm0052555/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Barrett,_Kym", "url": "http://www.imdb.com/name/nm0057199/"} +{"d:Title": "Ever After Costumes", "d:Description": "Galleries of images of the designer's work on the film provided with links to others.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Beavan,_Jenny", "url": "http://www.everaftercostumes.com/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography links to awards and nominations of the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Beavan,_Jenny", "url": "http://imdb.com/name/nm0064746/"} +{"d:Title": "Fox Movies: Ever After - Crew Call", "d:Description": "Profile of the designer offered.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Beavan,_Jenny", "url": "http://www.foxmovies.com/everafter/movie/filmmakers.html#beavan"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Bloomfield,_John", "url": "http://imdb.com/name/nm0089278/"} +{"d:Title": "Eleven O'Clock Fashion Show", "d:Description": "Biography of the designer includes projects listing and link to resume.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Bridges,_Mark", "url": "http://www.elevenoclockfashionshow.com/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography presented for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Bridges,_Mark", "url": "http://www.imdb.com/name/nm0108775/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography presented for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Canonero,_Milena", "url": "http://www.imdb.com/name/nm0134382/"} +{"d:Title": "Talent Development Resources: Milena Canonero on costume design", "d:Description": "Douglas Eby reflects on his interview with the designer to offer a thorough biography and career details.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Canonero,_Milena", "url": "http://talentdevelop.com/interviews/Page1047.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Chappel,_Tim", "url": "http://www.imdb.com/name/nm0152579/"} +{"d:Title": "BBC News: Honours for England -The South West", "d:Description": "The designer receives the order of M.B.E. from Queen Elizabeth II in 2002.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dalton,_Phyllis", "url": "http://news.bbc.co.uk/1/hi/england/2045774.stm"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dalton,_Phyllis", "url": "http://www.imdb.com/name/nm0198335/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/De_Mora,_Robert", "url": "http://imdb.com/name/nm0210432/"} +{"d:Title": "PBS: Gormenghast - Behind the Scenes", "d:Description": "The designer and producer, Estelle Daniel, supply the rationale and significance of the costuming choices for textiles and decoration. Flash gallery included.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dicks-Mireaux,_Odile", "url": "http://www.pbs.org/wgbh/gormenghast/scenes/costumes.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided with list of award nominations and agent contact.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dicks-Mireaux,_Odile", "url": "http://www.imdb.com/name/nm0225606/"} +{"d:Title": "Romantic Movies: The Last Samurai - Interviews", "d:Description": "Rebecca Murray talks to the designer about the difficulty of creating the costumes for the film and the research they required.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dickson,_Ngila", "url": "http://romanticmovies.about.com/cs/thelastsamurai/a/smtg120103.htm"} +{"d:Title": "TheOneRing.net: Scrapbook - Costume Design Sketches", "d:Description": "Sketches of the costumes for Legolas, Arwen, Theoden, and Eowyn from The New York Times article \"NYTimes Talks to Ngila Dickson\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dickson,_Ngila", "url": "http://www.theonering.net/scrapbook/movies/characters/eowyn/view/9434"} +{"d:Title": "NZCity: Top Stories - Award Reduces Designer to Tears", "d:Description": "The designer's reaction to learning she'd won the BAFTA (British Academy of Film and Television) Award for her work on \"Lord of the Rings: The Two Towers\" over the heady competition.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dickson,_Ngila", "url": "http://home.nzcity.co.nz/news/default.asp?id=29138&c=w"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography presented for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dickson,_Ngila", "url": "http://www.imdb.com/name/nm0225699/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Dillon,_Rudy", "url": "http://www.imdb.com/name/nm0227065/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of designer links to awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Donati,_Danilo", "url": "http://www.imdb.com/name/nm0232219/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of designer links to awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Furse,_Margaret", "url": "http://www.imdb.com/name/nm0299094/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Gardiner,_Lizzy", "url": "http://www.imdb.com/name/nm0306773/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer links to her award nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Harris,_Caroline", "url": "http://www.imdb.com/name/nm0364518/"} +{"d:Title": "Sketches - Edith Head's Hollywood", "d:Description": "Official site for the play based on the costume designer's professional life.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Head,_Edith", "url": "http://www.edithhead.biz/"} +{"d:Title": "All Movie Guide: Edith Head", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Head,_Edith", "url": "http://www.allmovie.com/artist/edith-head-93857"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography and biography presented for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Head,_Edith", "url": "http://www.imdb.com/name/nm0372128/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Hemming,_Lindy", "url": "http://imdb.com/name/nm0376089/"} +{"d:Title": "The Compleat Sean Bean: GoldenEye - Wardrobe and Widgets", "d:Description": "Designer talks about the move from Savile Row to Brioni suits for Bond and her biggest challenges as costumer for the film.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Hemming,_Lindy", "url": "http://www.compleatseanbean.com/goldeneye8.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography presented for the designer who died in 1995 from complications of AIDS.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Hornung,_Richard", "url": "http://www.imdb.com/name/nm0395224/"} +{"d:Title": "Aspen Murals: International Decorative Artisans", "d:Description": "A photograph of the silk show curtain designed by Eiko Ishioka for the New York and Tokyo producations of \"'M' Butterfly\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Ishioka,_Eiko", "url": "http://www.aspenmurals.com/butterfly.html"} +{"d:Title": "Shift.jp: Visualogue", "d:Description": "The designer addresses a keynote assembly gathered to consider quality of information with her \"Visual Dialogue\" presentation.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Ishioka,_Eiko", "url": "http://www.shift.jp.org/en/archives/2003/11/visualogue.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Ishioka,_Eiko", "url": "http://www.imdb.com/name/nm0411130/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer offered.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Jeakins,_Dorothy", "url": "http://www.imdb.com/name/nm0419820/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/LeMaire,_Charles", "url": "http://www.imdb.com/name/nm0494406/"} +{"d:Title": "International Movie Database", "d:Description": "Filmography and career highlights provided for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Louis,_Jean", "url": "http://www.imdb.com/name/nm0521872/"} +{"d:Title": "The Rita Hayworth Fashion Page: Jean Louis", "d:Description": "\"A Master and His Muse\" considers the relationship between the designer and the actor.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Louis,_Jean", "url": "http://claudia79.tripod.com/jeanlouis.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Complete filmography offered for designer whose career spanned four decades.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Mabry,_Moss", "url": "http://www.imdb.com/name/nm0531117/"} +{"d:Title": "Warner Brothers: Harry Potter Cast and Crew", "d:Description": "Biography and recent work of the designer highlighted as ccredits.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Makovsky,_Judianna", "url": "http://harrypotter.warnerbros.co.uk/bios/judianna_full.html"} +{"d:Title": "For Love of the Game: Cast Credits", "d:Description": "Profile of the designer offered along with other film credits.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Makovsky,_Judianna", "url": "http://www.universalpictures.com/forloveofthegame/html/makovsky.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered of the designer whose top billed credits begin in 1987.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Makovsky,_Judianna", "url": "http://www.imdb.com/name/nm0538721/"} +{"d:Title": "Cinema.com", "d:Description": "Biography of the designer and a list of project collaborations with her husband, Producer Baz Luhrmann.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Martin,_Catherine", "url": "http://www.cinema.com/people/009/005/catherine-martin/index.phtml"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the production and costume designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Martin,_Catherine", "url": "http://www.imdb.com/name/nm0552039"} +{"d:Title": "Guardian Unlimited: Film", "d:Description": "Photograph of the designer accepting her Academy Award for her work on \"Moulin Rouge\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Martin,_Catherine", "url": "http://www.theguardian.com/film/gallery/picture/0,8455,-14504159192,00.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Miller,_Nolan", "url": "http://imdb.com/name/nm0589070/"} +{"d:Title": "StarWarsHelmets.com", "d:Description": "An archive of the designer's helmets for the film displayed.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Mollo,_John", "url": "http://www.starwarshelmets.com/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Mollo,_John", "url": "http://www.imdb.com/name/nm0597087/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer links to her award nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Myers,_Ruth", "url": "http://www.imdb.com/name/nm0616848/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of designer links to awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Novarese,_Vittorio_Nino", "url": "http://imdb.com/name/nm0636973/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Orry-Kelly,_John", "url": "http://www.imdb.com/name/nm0650673/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of costume and production designer links to international nominations and awards.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Patterson,_Janet", "url": "http://imdb.com/name/nm0666253/"} +{"d:Title": "The New York Times: Movies - Biography", "d:Description": "Sandra Brennan's profile for the \"All Movie Guide\" links to individual projects and articles.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Patterson,_Janet", "url": "http://movies.nytimes.com/person/105798/Janet-Patterson"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography for the designer presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Pescucci,_Gabriella", "url": "http://www.imdb.com/name/nm0675951/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography presented for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Phillips,_Arianne", "url": "http://www.imdb.com/name/nm0680218/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of designer links to awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Pistek,_Theodor", "url": "http://www.imdb.com/name/nm0685551/"} +{"d:Title": "GWTW Memories: Did You Know?", "d:Description": "Details about the orchid percale dress of which Plunkett made 27 copies to ensure continuity throughout the non-sequential shoot. One of these versions sold later at auction for 80,000 USD.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Plunkett,_Walter", "url": "http://www.gwtwmemories.com/forums/bbfaye/messages/635.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer perhaps most readily associated with his work for \"Gone with the Wind\" and whose career spanned five decades.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Plunkett,_Walter", "url": "http://www.imdb.com/name/nm0687703/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer offered.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Powell,_Anthony", "url": "http://www.imdb.com/name/nm0694018/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer whose credits date to 1986, including her 1998 Academy Award for her costuming of \"Shakespeare in Love\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Powell,_Sandy", "url": "http://www.imdb.com/name/nm0694309/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography presented for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Rodgers,_Aggie_Guerard", "url": "http://www.imdb.com/name/nm0345888/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography provided of the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Root,_Melina", "url": "http://imdb.com/name/nm0740529/"} +{"d:Title": "Philadelphia Museum of Art: Conservation Projects", "d:Description": "Restoration and photographs of the dress Grace Kelly wore on her wedding day.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Rose,_Helen", "url": "http://www.philamuseum.org/collections/permanent/56621.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer provided.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Rose,_Helen", "url": "http://www.imdb.com/name/nm0741423/"} +{"d:Title": "Movies: Evita - An Interview", "d:Description": "The designer frames her work on the film as a collaborative effort with Madonna and explains the process of remaining true to Eva Peron's actual style or reasons for departures.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Rose,_Penny", "url": "http://movies.uip.de/evita/interviews/RoseInt.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Rose,_Penny", "url": "http://www.imdb.com/name/nm0741606/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography includes trivia about the 1996 Academy Award winning designer, namely that she's \"noted for the innovative ways she costumes hookers and conartist characters\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Roth,_Ann", "url": "http://www.imdb.com/name/nm0744778/"} +{"d:Title": "Costume Gallery: Titanic Close-Up", "d:Description": "Photos of actual pieces, both articles created as part of the production and those purchased for use in the film.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Scott,_Deborah_Lynn", "url": "http://www.costumegallery.com/Titanic/Costumes.html"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the 1997 Academy Award for Costume Design winner for \"Titanic\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Scott,_Deborah_Lynn", "url": "http://www.imdb.com/name/nm0779045/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer links to awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Secord,_Ruth", "url": "http://imdb.com/name/nm0781190/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered of the designer whose work spans five decades and includes Academy Award wins for \"An American in Paris\", \"Cleopatra\", \"Who's Afraid of Virginia Woolf?\", \"The King and I\", and \"West Side Story\".", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Sharaff,_Irene", "url": "http://www.imdb.com/name/nm0788695/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography for the designer links to her award nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Sheppard,_Anna_B.", "url": "http://www.imdb.com/name/nm0081318/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Complete filmography provided for designer whose career spanned four decades.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Shoup,_Howard", "url": "http://imdb.com/name/nm0795251/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the actor and costume designer provided.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Storm,_Casey", "url": "http://imdb.com/name/nm0832548/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the costume and effects designer offered.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Taylor,_Richard", "url": "http://imdb.com/name/nm0853050/#costumeX20designer"} +{"d:Title": "TheOneRing.net: Scrapbook", "d:Description": "Images scanned from March 2004 issue of People Magazine featuring the Academy Award winning designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Taylor,_Richard", "url": "http://www.theonering.net/scrapbook/movies/crew/richard_taylor"} +{"d:Title": "About.com: Romantic Movies - Interviews", "d:Description": "Rebecca Murray talks with Barrie Osborne and Richard Taylor about their work with Director Peter Jackson on \"The Lord of the Rings\" movies.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Taylor,_Richard", "url": "http://romanticmovies.about.com/cs/lordoftherings3/a/lordrt121303.htm"} +{"d:Title": "Travilla's Marilyn Monroe", "d:Description": "Profile of the designer and his relationship with the actor offered.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Travilla,_William", "url": "http://www.marilynmonroe.ca/camera/galleries/costumes/travilla/"} +{"d:Title": "BBC News: Monroe Fans Snap Up Memorabilia", "d:Description": "Record of London auction consisting of many of the designer's clothes for the actor.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Travilla,_William", "url": "http://news.bbc.co.uk/1/low/entertainment/showbiz/1853958.stm"} +{"d:Title": "Travilla Exhibition", "d:Description": "Investigates the controversy that arose after collector Mark Bellinghaus questioned the authenticity of several Marilyn Monroe gowns designed by the costumer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Travilla,_William", "url": "http://travillaexhibition.blogspot.com/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Travilla,_William", "url": "http://www.imdb.com/name/nm0871359/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer and actor links to her biography.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Tree,_Dolly", "url": "http://imdb.com/name/nm0871675/"} +{"d:Title": "Find A Grave", "d:Description": "Burial location provided for Frederick Arlington Valles.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Valles", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=12743"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer provided.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Valles", "url": "http://www.imdb.com/name/nm0885049/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer links to awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Wada,_Emi", "url": "http://imdb.com/name/nm0905253/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Weiss,_Julie", "url": "http://www.imdb.com/name/nm0919058/"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the designer presented.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Wolsky,_Albert", "url": "http://imdb.com/name/nm0938717/"} +{"d:Title": "Fashion.at: Gladiator Costumes", "d:Description": "Pictures, drawings and descriptions of some of the 10,000 or so costumes from the movie 'Gladiator'.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Yates,_Janty", "url": "http://www.fashion.at/film/glad.htm"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography offered for the designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Yates,_Janty", "url": "http://www.imdb.com/name/nm0946765/"} +{"d:Title": "Guardian Unlimited: Film", "d:Description": "Video capture of the Academy Award winning designer.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Costumers/Yates,_Janty", "url": "http://www.theguardian.com/film/gallery/picture/0,8455,-10604159192,00.html"} +{"d:Title": "i-shadow", "d:Description": "Twice-yearly web site covering make-up, including the looks and techniques from the world's major fashion weeks. Sharon Dowsett, Make-up Artist.", "topic": "Top/Arts/Movies/Filmmaking/Makeup_and_Costumes/Makeup_Artists", "url": "http://www.i-shadow.net/"} +{"d:Title": "MovieMaker Magazine", "d:Description": "Independent film magazine with online archives, exclusive interviews and feature articles and a resources database.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.moviemaker.com/"} +{"d:Title": "FilmMakers.com", "d:Description": "Features articles and information on indie filmmaking, screenwriting, film fests, contest listings, interviews, and bios on filmmakers.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.filmmakers.com/"} +{"d:Title": "My Entertainment World", "d:Description": "Information on upcoming films, television productions, auditions and employment opportunities. Paid subscription required to see the data.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.myentertainmentworld.com/"} +{"d:Title": "Entaction", "d:Description": "Online magazine with behind-the-scenes interviews and articles about films, DVDs and television shows in the action genre.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.entaction.com/"} +{"d:Title": "Irish Film and Television Network", "d:Description": "News resource for the Irish film and television industry. Diary, funding deadlines, chaser lists, interviews, broadcast news, distribution, and training courses.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.iftn.ie/"} +{"d:Title": "Filmmaker Magazine", "d:Description": "Publication with a focus on independent film, offering articles, links, and resources.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.filmmakermagazine.com/"} +{"d:Title": "Indieslate", "d:Description": "Bimonthly print magazine covering independent movie, documentary, music video and television production.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.indieslate.com/"} +{"d:Title": "Tail Slate Magazine", "d:Description": "Contains commentaries and articles related to filmmaking. Journals the process from the script to editing, charting the trials and tribulations of making a short film.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.tailslate.net/"} +{"d:Title": "Rogue Cinema", "d:Description": "Monthly webzine written by The Rogue Reviewers focusing on independent and lower budget films, actors, and other industry related subject matter.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.roguecinema.com/"} +{"d:Title": "indieWIRE", "d:Description": "The largest news source for independent film online.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://indiewire.com/"} +{"d:Title": "Film Slate Magazine", "d:Description": "Current film reviews, filmmaking tips and filmmaker profiles.", "topic": "Top/Arts/Movies/Filmmaking/News_and_Media", "url": "http://www.filmslatemagazine.com/"} +{"d:Title": "2-pop", "d:Description": "Online community for Final Cut Pro and digital video filmmakers. FAQs, tips, discussion lists and useful links.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.2-pop.com/"} +{"d:Title": "Postforum", "d:Description": "Message board for exchanging ideas, getting help, and learning from others in the world of digital post production. Topics include editing, animation, compositing, and multimedia production including DVD authoring.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.postforum.com/"} +{"d:Title": "indieWIRE", "d:Description": "Online resource and community for independent filmmakers. Features articles, reviews, interviews, links, discussion boards, and a daily newsletter.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://indiewire.com/"} +{"d:Title": "AssistantDirectors.com", "d:Description": "Film industry news, online shopping, link directory, resumes, message boards, and free email.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.assistantdirectors.com/"} +{"d:Title": "Filmmaker.com", "d:Description": "An online resource for filmmakers containing articles, links, files, FAQs, discussion areas, live chat and other resources. Exchange information helpful to the production and distribution of films and film-related projects.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.filmmaker.com/"} +{"d:Title": "Film-Tech", "d:Description": "Forum for film handlers, along with cinema projection tips, equipment manual downloads, and projection picture warehouse.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.film-tech.com/"} +{"d:Title": "Journal of Extraordinary Diversions", "d:Description": "Website for professional film production crews, including areas for discussions, links, resources, camera works, writers, and martial arts. Fans are welcome, but it's primarily a site for professionals.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.journaled.com/"} +{"d:Title": "The Celluloid Crest", "d:Description": "An online community for producers and directors of all types of film and video.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://celluloid1.tripod.com/"} +{"d:Title": "IndieClub", "d:Description": "Messages boards and database of people interested in filmmaking. Free membership required to access site content.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.indieclub.com/"} +{"d:Title": "Creative Cow", "d:Description": "Online community for digital video and dynamic media design professionals. Forums, tutorials, and features.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.creativecow.net/"} +{"d:Title": "Xixax", "d:Description": "Film talk for cinephiles and those who make movies, with a special focus on assisting independent and hobbyist filmmakers.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://xixax.com/"} +{"d:Title": "IndieTalk", "d:Description": "Independent film forum and community.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.indietalk.com/"} +{"d:Title": "B-Independent.com", "d:Description": "Featuring news, reviews, interviews, and articles.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.b-independent.com/index2.htm"} +{"d:Title": "Yahoo! Groups: Canada Film", "d:Description": "Discussion list for actors, writers and filmmakers featuring resources for the Canadian film and television industry.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://movies.groups.yahoo.com/group/canadafilm/"} +{"d:Title": "Filmmakers.net", "d:Description": "Online forum for filmmakers.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.filmmakers.net/"} +{"d:Title": "Crewger", "d:Description": "Focuses on collaborative filmmaking. News, projects, videos, competitions, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Online_Communities", "url": "http://www.crewger.com/"} +{"d:Title": "Reelshow International", "d:Description": "A free exhibition of student films from all over the world.", "topic": "Top/Arts/Movies/Filmmaking/Online_Venues/Amateur_Filmmakers", "url": "http://www.reelshowint.com/"} +{"d:Title": "Cineplots", "d:Description": "Offers user-submitted home made videos in a variety of genres.", "topic": "Top/Arts/Movies/Filmmaking/Online_Venues/Amateur_Filmmakers", "url": "http://www.cineplots.com/"} +{"d:Title": "CinemaNow", "d:Description": "An online movie site that allows filmmakers to distribute their films via stream and download.", "topic": "Top/Arts/Movies/Filmmaking/Online_Venues/Professional_Filmmakers", "url": "http://www.cinemanow.com/"} +{"d:Title": "Bridges. Mark", "d:Description": "Costume and production designer; includes biography, press, sketches and contact information.", "topic": "Top/Arts/Movies/Filmmaking/People", "url": "http://www.elevenoclockfashionshow.com/"} +{"d:Title": "Voelter, Chuck", "d:Description": "Web portfolio and information about the film production designer.", "topic": "Top/Arts/Movies/Filmmaking/People", "url": "http://www.chuckvoelter.com/"} +{"d:Title": "Harris, Robert", "d:Description": "An Onion AV Club interview of the film preservationist about his work.", "topic": "Top/Arts/Movies/Filmmaking/People", "url": "http://www.avclub.com/articles/robert-harris,13642/"} +{"d:Title": "IMDb: John G. Avildsen", "d:Description": "Filmography, profile, photographs, and related career data.", "topic": "Top/Arts/Movies/Filmmaking/People/Avildsen,_John", "url": "http://www.imdb.com/name/nm0000814/"} +{"d:Title": "Rienzo, Marc", "d:Description": "A gallery and portfolio of visual effects composites from his work on LoTR: Two Towers, Spiderman, Hulk, and I,Robot.", "topic": "Top/Arts/Movies/Filmmaking/People/Special_Effects", "url": "http://www.marcrienzo.com/"} +{"d:Title": "Yahoo! Movies: Douglas Trumbull", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/People/Special_Effects/Trumbull,_Douglas", "url": "http://movies.yahoo.com/person/douglas-trumbull/"} +{"d:Title": "IMDb: Douglas Trumbull", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/People/Special_Effects/Trumbull,_Douglas", "url": "http://www.imdb.com/name/nm0874320/"} +{"d:Title": "The Producers Guild of America", "d:Description": "Non-profit organization representing the interests of motion picture and television producers.", "topic": "Top/Arts/Movies/Filmmaking/Producing", "url": "http://www.producersguild.org/"} +{"d:Title": "Cohen, Herman", "d:Description": "Dedicated to the life and films of the producer featuring images, posters, video clips and sounds.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers", "url": "http://www.hermancohen.com/"} +{"d:Title": "Smith, Herbert", "d:Description": "Memorial page of the British producer features biographical information, filmography, and images.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers", "url": "http://www.frenchpix.com/herbert.html"} +{"d:Title": "London, Jerry", "d:Description": "Offers filmography, credits and thoughts.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers", "url": "http://www.jerrylondon.com/"} +{"d:Title": "Kohle, Fritz", "d:Description": "Features life, work and projects of the producer.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers", "url": "http://www.fritzkohle.de/"} +{"d:Title": "Durand, Patrick", "d:Description": "Production designer from France. Biography and portfolio of his films.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers", "url": "http://www.patrickdurand.fr/"} +{"d:Title": "IMDb: Robert Evans", "d:Description": "Filmography for producer and actor, profile, and pictures. Related information.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Evans,_Robert", "url": "http://www.imdb.com/name/nm0263172/"} +{"d:Title": "The Onion A.V. Club: Robert Evans", "d:Description": "Short profile, with an interview by Nathan Rabin.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Evans,_Robert", "url": "http://www.avclub.com/articles/robert-evans,13777/"} +{"d:Title": "Fright Unseen", "d:Description": "Evaluations of several Lewton films.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Lewton,_Val", "url": "http://www.bmonster.com/horror6.html"} +{"d:Title": "I Walked With a Producer", "d:Description": "Retrospective look at Lewton's sensationalistic yet sophisticated films by Richard von Busack.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Lewton,_Val", "url": "http://www.metroactive.com/papers/metro/11.02.00/lewton-0044.html"} +{"d:Title": "Val Lewton B Unit", "d:Description": "Fan site with biography, articles, press clippings, film details, and links.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Lewton,_Val", "url": "http://www.lewtonsite.com/"} +{"d:Title": "Val Lewton", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Lewton,_Val", "url": "http://www.imdb.com/name/nm0507932/"} +{"d:Title": "DVD Reviewer - Discs with Scott Rudin", "d:Description": "Reviews, discussions and information of movies on DVD produced by Scott Rudin.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Rudin,_Scott", "url": "http://www.dvd.reviewer.co.uk/reviews/artists.asp?Index=1681"} +{"d:Title": "Yahoo! Movies: Scott Rudin", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Rudin,_Scott", "url": "http://movies.yahoo.com/person/scott-rudin/"} +{"d:Title": "IMDb: Scott Rudin", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Rudin,_Scott", "url": "http://www.imdb.com/name/nm0748784/"} +{"d:Title": "PBS: American Masters: David O. Selznick", "d:Description": "Profile, list of greatest works, and his working relationship with Alfred Hitchcock.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Selznick,_David_O.", "url": "http://www.pbs.org/wnet/americanmasters/database/selznick_d.html"} +{"d:Title": "Hollywood Renegade: David O. Selznick", "d:Description": "Biography and history of the Selznick dynasty in Hollywood. Detailed examination of his life and film work.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Selznick,_David_O.", "url": "http://www.cobbles.com/simpp_archive/david-o-selznick_intro.htm"} +{"d:Title": "IMDb - David O. Selznick", "d:Description": "Complete filmography, profile, photographs, and related data and information.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Selznick,_David_O.", "url": "http://www.imdb.com/name/nm0006388/"} +{"d:Title": "Darryl F. Zanuck", "d:Description": "Wikipedia biography.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Darryl_F.", "url": "http://en.wikipedia.org/wiki/Darryl_F._Zanuck"} +{"d:Title": "Darryl F. Zanuck", "d:Description": "Biography from the All Movie Guide.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Darryl_F.", "url": "http://www.allmovie.com/artist/darryl-f-zanuck-117829"} +{"d:Title": "IMDb: Darryl F. Zanuck", "d:Description": "Biography and complete filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Darryl_F.", "url": "http://www.imdb.com/name/nm0953123/"} +{"d:Title": "Internet Movie Database: Dean Zanuck", "d:Description": "Includes biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Dean", "url": "http://www.imdb.com/name/nm0953124/"} +{"d:Title": "Yahoo! Movies: Dean Zanuck", "d:Description": "Contains filmography.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Dean", "url": "http://movies.yahoo.com/person/dean-zanuck/"} +{"d:Title": "Internet Movie Database: Richard D. Zanuck", "d:Description": "Contains filmography, biography, news, image gallery, awards and nominations.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Richard_D.", "url": "http://www.imdb.com/name/nm0005573/"} +{"d:Title": "Rotten Tomatoes: Richard D. Zanuck", "d:Description": "Includes filmography, awards and image gallery.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Richard_D.", "url": "http://www.rottentomatoes.com/celebrity/richard_d_zanuck/"} +{"d:Title": "Yahoo! Movies: Richard D Zanuck", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Producing/Producers/Zanuck,_Richard_D.", "url": "http://movies.yahoo.com/person/richard-d-zanuck/"} +{"d:Title": "The San Diego Amateur Moviemakers Club (SDAMC)", "d:Description": "Meets monthly in Balboa Park, California to learn about video and filmmaking tips and techniques.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.angelfire.com/movies/SDAMC/"} +{"d:Title": "NewEnglandFilm.com", "d:Description": "Independent film resource featuring articles, jobs, and an industry directory.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.newenglandfilm.com/"} +{"d:Title": "Netribution Film Network", "d:Description": "Information and resources for UK and European filmmakers. News, statistics, funding advice, contacts, interviews, upcoming events, and films.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.netribution.co.uk/"} +{"d:Title": "Group 312 Films", "d:Description": "The Chicago chapter of Group 101 Films. Devoted to the development, production, and exhibition of the short films, video, and other new media of its members in Chicago and over the internet.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://group312films.com/"} +{"d:Title": "Kirra Productions", "d:Description": "Workshop for aspiring film makers in the Hampton Roads, Virginia Beach area.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.kirraproductions.com/"} +{"d:Title": "Directors Guild of Canada", "d:Description": "Represents directors and other creative and logistical personnel in the film, television and new media industries. History, production resources, district councils, media, and links.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.dgc.ca/"} +{"d:Title": "Independent Filmmaker Project Minnesota", "d:Description": "Promotes and supports the work of artists who create screenplays, film, video, and photography in the upper midwest. Programs, services and events.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://ifpmn.org/"} +{"d:Title": "Filmmakers Alliance", "d:Description": "A nonprofit collective providing support and resources to independent filmmakers in Los Angeles.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.filmmakersalliance.org/"} +{"d:Title": "Atlanta Film Society", "d:Description": "Enriching the community through screenings, classes, workshops and other events year-round, including the 40th annual Atlanta Film Fest.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.atlantafilmsociety.org/"} +{"d:Title": "Desi Shoots - Shooting In India", "d:Description": "India's professional film making community discussion production, locations, talent.", "topic": "Top/Arts/Movies/Filmmaking/Regional", "url": "http://www.desishoots.com/"} +{"d:Title": "Adams, Max", "d:Description": "One of those mythic and always suspect creatures known as the Hollywood Screenwriter, which everyone knows is made up because actors invent their lines on the spot.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/A", "url": "http://www.seemaxrun.com/"} +{"d:Title": "Alexander, Tom", "d:Description": "Filmmaker, screenwriter and author of several horror, science fiction and comedy movies, including Dark Dealer. Includes a resume and sample scripts.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/A", "url": "http://pw2.netcom.com/~ripley/index.htm"} +{"d:Title": "August, John", "d:Description": "Career information on \"Charlie's Angels\" screenwriter, plus archive of weekly advice columns covering everything from proper formatting to work habits.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/A", "url": "http://www.johnaugust.com/"} +{"d:Title": "Boscutti, Stefano", "d:Description": "Several screenplays are available for online reading.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://boscutti.com/"} +{"d:Title": "Burroughs, Patricia", "d:Description": "Screenwriter, award winner, novelist and teacher. Includes a biography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://patriciaburroughs.com/"} +{"d:Title": "Barron, David and Irene", "d:Description": "Features a description of Hotel California, their sci-fi mystery thriller. Full contact information is provided.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://qualteam.tripod.com/greetingsfromqualteam/"} +{"d:Title": "Billingham, Mark", "d:Description": "Crime novelist, film and television writer. Profile, reviews and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://www.markbillingham.com/"} +{"d:Title": "Bouma, Bert", "d:Description": "Screenwriter for television, film and interactive productions. Biography and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://www.bbouma.demon.nl/"} +{"d:Title": "Borst, Terry", "d:Description": "Credits in film, television and interactive media. Frequent speaker and lecturer on screenwriting's collision with New Media.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://tborst.wordpress.com/"} +{"d:Title": "Brothers Ink", "d:Description": "Full-length and short screenplays by Donovan Montierth, Adam Montierth and Jason Walters. Offices in Los Angeles, California and Phoenix, Arizona.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://www.brothers-ink.com/"} +{"d:Title": "Cory Barnett", "d:Description": "MFA freelance writer, Austin, TX. Specializing in screenwriting, editing, games.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B", "url": "http://www.cvbarnett.com/index.htm"} +{"d:Title": "IMDb: Philippa Boyens", "d:Description": "Provides brief biography, trivia, awards, and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B/Boyens,_Philippa", "url": "http://www.imdb.com/name/nm0101991/"} +{"d:Title": "TORN: Meeting Philippa Boyens at Mythcon", "d:Description": "Author shares impressions about the screenwriter and reports on the Boyens interview held at the conference.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B/Boyens,_Philippa", "url": "http://greenbooks.theonering.net/guest/files/110101.html"} +{"d:Title": "TORN: In Defense of Philippa Boyens", "d:Description": "The Greenbook article concerns Boyens defense of changing the character of Faramir.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B/Boyens,_Philippa", "url": "http://greenbooks.theonering.net/quickbeam/files/010203.html"} +{"d:Title": "Mythcon: Interview with Philippa Boyens", "d:Description": "Boyens talks about her writing experience and career.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B/Boyens,_Philippa", "url": "http://www.theonering.net/torwp/2001/08/07/18337-lotr-screenwriter-phllippa-boyens-interview-part-one/"} +{"d:Title": "The Village Voice: Where the Boys Are", "d:Description": "Discussion centers on why women enjoy Tolkien.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/B/Boyens,_Philippa", "url": "http://www.villagevoice.com/film/where-the-boys-are-6397461"} +{"d:Title": "Cooper, Paul", "d:Description": "Three time Emmy Award and Humanitas Prize winning screenwriter.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/C", "url": "http://www.hollywoodworkingwriter.com/awards.htm"} +{"d:Title": "Creative Alley Productions", "d:Description": "An independent production company offering screenplays by Christian Keiber and Bryce Campbell. Los Angeles.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/C", "url": "http://www.creativealleyproductions.com/"} +{"d:Title": "Shad Clark", "d:Description": "Writer and filmmaker. Oakland, California. Current and upcoming projects.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/C", "url": "http://shadclark.squarespace.com/"} +{"d:Title": "IMDb: Richard Curtis", "d:Description": "Filmography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/C/Curtis,_Richard", "url": "http://www.imdb.com/name/nm0193485/"} +{"d:Title": "Christian Science Monitor: Richard Curtis's latest is a labor of love, actually", "d:Description": "Feature article on British screenwriter Richard Curtis, who has stepped up to direct 'Love Actually'.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/C/Curtis,_Richard", "url": "http://www.csmonitor.com/2003/1107/p16s01-almo.html"} +{"d:Title": "Yahoo! Movies: Richard Curtis", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/C/Curtis,_Richard", "url": "http://movies.yahoo.com/person/richard-curtis/"} +{"d:Title": "MediaGuardian: Richard Curtis", "d:Description": "Brief career profile.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/C/Curtis,_Richard", "url": "http://www.theguardian.com/media/2001/jul/16/mediatop10020016"} +{"d:Title": "Davidson, Keith", "d:Description": "Award winning screenwriter and director, screenwriting instructor, script analyst and maker of the short comedy Charlie Noir.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/D", "url": "http://www.keithdavidson.com/"} +{"d:Title": "Devonald, Peter", "d:Description": "Screenplays, television series, theater works and a resume of this award winning writer.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/D", "url": "http://www.scriptfirst.com/"} +{"d:Title": "Deacon, Warren", "d:Description": "Original scripts for film, television and stage.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/D", "url": "http://www.newbijou.com/"} +{"d:Title": "Deemer, Charles", "d:Description": "Screenwriter, playwright and author of Screenwright: the Craft of Screenwriting.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/D", "url": "http://cdeemer2007.blogspot.com/"} +{"d:Title": "Enos, Lori", "d:Description": "Features her screenplays and information about her bestselling e-book, The Portable Coach.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/E", "url": "http://lenos66.dnswh.com/"} +{"d:Title": "Eidse, Angelo", "d:Description": "Canadian writer of screenplays, film scripts and short stories.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/E", "url": "http://angeloeidse.blogspot.com/"} +{"d:Title": "Fugate, Katherine", "d:Description": "Feature film writer, including Carolina Torn Asunder. Television writer for Xena: Warrior Princess and Max Steel. There is a questions and answers section for writers of all ages and skill levels.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/F", "url": "http://www.katherinefugate.com/"} +{"d:Title": "Foley, Brendan", "d:Description": "Has written feature films for companies in Britain and America. Also his journalistic work includes worldwide assignments covering people, business and conflict.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/F", "url": "http://www.filmfoley.com/"} +{"d:Title": "Garcia, Reinaldo", "d:Description": "Screenwriter, playwright, composer, arts and entertainment critic and journalist.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/G", "url": "http://www.reinaldogarcia.com/"} +{"d:Title": "Pinaki Ghosh", "d:Description": "Screenwriter of several animated movies and series.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/G", "url": "http://pinakighosh.com/"} +{"d:Title": "Howard, Gregory Allen", "d:Description": "Screenwriter whose credits include \"Remember The Titans\" and the story for \"Ali\".", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/H", "url": "http://www.gregoryallenhoward.com/"} +{"d:Title": "Kroop, Justin", "d:Description": "Three original scripts of varying genres, action/drama, comedy and true life adventure. Includes openers and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/K", "url": "http://screenwriter72.tripod.com/home.htm"} +{"d:Title": "Kagirov, Radik", "d:Description": "Features a synopsis of an original sci-fi screenplay with links to related information.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/K", "url": "http://kagirov.narod.ru/a-w-a.htm"} +{"d:Title": "Being Charlie Kaufman", "d:Description": "Includes regularly updated news, a biography, articles, interviews, image galleries and theatrical movie trailers.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/K/Kaufman,_Charlie", "url": "http://www.beingcharliekaufman.com/"} +{"d:Title": "The Internet Movie Database (IMDb): Charlie Kaufman", "d:Description": "List of film and TV credits, brief biography, photograph and news.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/K/Kaufman,_Charlie", "url": "http://www.imdb.com/name/nm0442109/"} +{"d:Title": "Future Movies - Charlie Kaufman", "d:Description": "Interview about his work on Eternal Sunshine of the Spotless Mind. Includes photographs.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/K/Kaufman,_Charlie", "url": "http://www.futuremovies.co.uk/filmmaking.asp?ID=76"} +{"d:Title": "Today: Charlie Kaufman: A True Original", "d:Description": "Associated Press article about the idea behind \"Eternal Sunshine,\" Kaufman's influence on the production of his scripts, and what inspires him.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/K/Kaufman,_Charlie", "url": "http://www.today.com/id/4548741"} +{"d:Title": "Lardnermania - Ring Lardner, Jr.", "d:Description": "Life highlights and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/L/Lardner,_Ring,_Jr.", "url": "http://www.tridget.com/lardnermania/rwljr.htm"} +{"d:Title": "The Lardner Dynasty - Ring, Jr.", "d:Description": "Career and Hollywood Ten details.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/L/Lardner,_Ring,_Jr.", "url": "http://iml.jou.ufl.edu/projects/Fall99/Bembrey/bill.html"} +{"d:Title": "Ring Lardner Jr.", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/L/Lardner,_Ring,_Jr.", "url": "http://www.imdb.com/name/nm0488057/"} +{"d:Title": "All Movie Guide: Ring Lardner, Jr.", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/L/Lardner,_Ring,_Jr.", "url": "http://www.allmovie.com/artist/ring-lardner-317664"} +{"d:Title": "Read Print: Ring Lardner", "d:Description": "His complete works and quotations.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/L/Lardner,_Ring,_Jr.", "url": "http://www.readprint.com/author-191/Ring-Lardner-books"} +{"d:Title": "Ring Lardner", "d:Description": "Biography and obituaries from the BBC, E-Online and The Guardian.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/L/Lardner,_Ring,_Jr.", "url": "http://spartacus-educational.com/USAlardner.htm"} +{"d:Title": "McPherson, Michael C.", "d:Description": "Drama, sci-fi, suspense and thriller scripts are available for option/purchase agreement.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/M", "url": "http://members.tripod.com/~Michaelm_4/index.html"} +{"d:Title": "Mathews, Jamie", "d:Description": "Contains a brief profile and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/M", "url": "http://www.jamiem.scriptmania.com/"} +{"d:Title": "Marcelo Mitnik", "d:Description": "Features work and life of the screenwriter.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/M", "url": "http://www.mitnik.org/"} +{"d:Title": "Martin, Lee", "d:Description": "Screenwriter in all genres with a background in traditional westerns.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/M", "url": "http://www.leemartin-screenwriter.com/"} +{"d:Title": "Mouyal, Michael", "d:Description": "Works and biography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/M", "url": "http://www.michaelmouyal.com/"} +{"d:Title": "IMDb: Jonathan Nolan", "d:Description": "Biography, credits and trivia.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/N/Nolan,_Jonathan", "url": "http://www.imdb.com/name/nm0634300/"} +{"d:Title": "Passmore, Lew", "d:Description": "Screenwriter with a darkly comic past.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/P", "url": "http://www.angelfire.com/ny2/biglousigloo/index.html"} +{"d:Title": "Peros, Steven", "d:Description": "Official site for the filmmaker and playwright features reviews, interviews, news, and his plays and screenplays for sale.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/P", "url": "http://stevenperos.com/"} +{"d:Title": "Pman Productions", "d:Description": "Phyllis Man, writer and producer located in South Florida.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/P", "url": "http://www.pmanproductions.com/"} +{"d:Title": "Quartermaine, Gina", "d:Description": "Screenplays, loglines, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/Q", "url": "http://www.ginaquartermaine.com/"} +{"d:Title": "Raffael, Anna", "d:Description": "Original screenplays. Includes an interactive trailer for her script, Good Fences Make Good Neighbors. Flash plug-in required.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/R", "url": "http://home.earthlink.net/~roserose"} +{"d:Title": "Rehak, Brian", "d:Description": "WGA screenwriter and author, Hollywood, California.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/R", "url": "http://www.rehak.net/"} +{"d:Title": "Raymond, Michael", "d:Description": "Screenwriting portfolio includes script summaries, future projects and awards. From Seattle, Washington.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/R", "url": "http://www.mraymond.com/screenwriting/"} +{"d:Title": "Rigsby, David Allen", "d:Description": "Revelations screenplay trilogy chronicles Satan's attempt to avert his own fiery fate.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/R", "url": "http://www.thetruthishere.com/revelations.html"} +{"d:Title": "The Screenplay Writers", "d:Description": "Offering writing and editing services by several Hollywood and Bollywood screenwriters. Company details, writer profiles, pricing, weblog, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://thescreenplaywriters.com/"} +{"d:Title": "Smith, Kirsten", "d:Description": "Home page of the screenwriter of Legally Blonde.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://www.kiwilovesyou.com/"} +{"d:Title": "Seward, Stephen", "d:Description": "Movie scripts in the horror, sci-fi and mystery genres.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://www.stephenseward.com/"} +{"d:Title": "Schamus, James", "d:Description": "The Onion AV Club interviews the screenwriter and producer about censorship, the Civil War, and Ang Lee's Ride With The Devil.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://www.avclub.com/articles/james-schamus,13633/"} +{"d:Title": "Sharma, Atul", "d:Description": "Writer for Hindi Films.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://atulysharma-ivil.tripod.com/"} +{"d:Title": "Scriptproof", "d:Description": "Professional proofreading, editing, and writing enhancement services for screenplays, scripts, book manuscripts. Keil Troisi.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://www.scriptproof.com/"} +{"d:Title": "Lexia Snowe", "d:Description": "Action, science fiction and thriller storyteller. Screenplays, fiction, and films. London, UK.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://www.lexiasnowe.info/"} +{"d:Title": "Sid Savillo", "d:Description": "Includes titles and short descriptions of scripts, novels and treatments. California, US.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S", "url": "http://sidsavillothewriter.wixsite.com/sidsavillothewriter"} +{"d:Title": "Siodmak, Curt", "d:Description": "Biography from Dartmouth College's \"German Exiles and Emigres.\"", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S/Siodmak,_Curt", "url": "http://www.dartmouth.edu/~germ43/resources/biographies/siodmak-c.html"} +{"d:Title": "Curt Siodmak - The Black Mask Interview", "d:Description": "Interview from the 1970s about Siodmak's horror movie career.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S/Siodmak,_Curt", "url": "http://www.blackmaskmagazine.com/siodmak.html"} +{"d:Title": "All Movie Guide: Curt Siodmak", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S/Siodmak,_Curt", "url": "http://www.allmovie.com/artist/curt-siodmak-111676"} +{"d:Title": "Curt Siodmak", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/S/Siodmak,_Curt", "url": "http://www.imdb.com/name/nm0802561/"} +{"d:Title": "Tautges, Alan Ralph", "d:Description": "The Border Line screenplay available, based on an unpublished novel of the same title.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/T", "url": "http://www.angelfire.com/ar3/theborderline/"} +{"d:Title": "Tate, Jordan", "d:Description": "Official site of screenwriter, specializing in thrillers showcases her available screenplays and new projects.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/T", "url": "http://www.jordantate.net/pages/menupag.html"} +{"d:Title": "Whitfield, J.W.", "d:Description": "Personal website of the \"crazed screenwriter\".", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/W", "url": "http://home.earthlink.net/~frustration/"} +{"d:Title": "Wearing, Timothy", "d:Description": "Feature length screenplays.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/W", "url": "http://www.wearingfilm.com/"} +{"d:Title": "Whyle, James", "d:Description": "South African screenwriter. Contains episodes of his daily drama, Isidingo-The Need. Includes a screenplay and radio drama.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/W", "url": "http://www.icon.co.za/~whyle/"} +{"d:Title": "Walker, Dave", "d:Description": "Screenwriter, script fixer and co-author of a new book, Hello My Big Big Honey.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Screenwriters/W", "url": "http://members.tripod.com/davewalkerasia/"} +{"d:Title": "Colin's Movie Monologues", "d:Description": "Hundreds of monologues from popular films, arranged alphabetically.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.whysanity.net/monos/"} +{"d:Title": "SimplyScripts", "d:Description": "Searchable directory of downloadable scripts. Includes television, radio, anime and unproduced scripts and screenplays.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.simplyscripts.com/"} +{"d:Title": "Daily Script", "d:Description": "Collection of screenplays for a number of mainstream films, in alphabetical order.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.dailyscript.com/"} +{"d:Title": "Drew's Script-O-Rama", "d:Description": "Index of downloadable movie and television scripts.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.script-o-rama.com/"} +{"d:Title": "Screenplays Online", "d:Description": "Features a variety of online scripts available to read in an unzipped format.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.screenplays-online.de/"} +{"d:Title": "ScriptCrawler", "d:Description": "Features searchable database of free movie, tv, radio, and anime scripts.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.scriptcrawler.net/"} +{"d:Title": "Cinefania", "d:Description": "Scripts from horror, sci-fi, fantasy and thriller movies, ready to download.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.cinefania.com/script/"} +{"d:Title": "Screenplays for You", "d:Description": "Features downloadable scripts from various movies organized alphabetically.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://sfy.ru/"} +{"d:Title": "HorrorLair", "d:Description": "Collection of horror, suspense, and science fiction movie scripts in plain text format.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.horrorlair.com/"} +{"d:Title": "Movie Scripts", "d:Description": "Alphabetical listing of screenplays for various action, science fiction, and horror films.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.kokos.cz/bradkoun/movies/"} +{"d:Title": "Mooviees.com: Movie Scripts", "d:Description": "Featuring links to movie scripts and transcripts.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.mooviees.com/all/scripts"} +{"d:Title": "Awesome Scripts and Screenplays", "d:Description": "Collection of various scripts and screenplays, listed in alphabetical order.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.awesomefilm.com/"} +{"d:Title": "Television and Movie Scripts", "d:Description": "Some scripts of television shows and popular movies.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.textfiles.com/media/SCRIPTS/"} +{"d:Title": "The Sci-Fi Movie Page", "d:Description": "Downloadable sci-fi, fantasy and horror movie scripts.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.scifimoviepage.com/scripts/scripts.html"} +{"d:Title": "Internet Movie Script Database", "d:Description": "Movie scripts online free for reading and downloading. Hundreds of popular movie scripts available.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.imsdb.com/"} +{"d:Title": "JoBlo's Movie Scripts", "d:Description": "Scripts and script drafts of movies.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts", "url": "http://www.joblo.com/moviescripts.php"} +{"d:Title": "Sean R Smith, Writer", "d:Description": "Includes screenplay and teleplay download packets, poems, quotes and artwork.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.seanrsmith.com/"} +{"d:Title": "First TV Drama", "d:Description": "Chronicles the efforts of Richard Whettestone to produce a science fiction television series.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.firsttvdrama.com/"} +{"d:Title": "Bauerfilms, Screenplays by Wayne Bauer", "d:Description": "Unproduced feature film scripts in the comedy, fantasy, horror and action genre.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.bauerfilms.com/"} +{"d:Title": "20,000 Days", "d:Description": "About people trying to make it in this world, by Josh Rubak. Available in PDF format and a self-extracting zipped version. Contains graphic adult content and language.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.rubak.com/screenplay.cfm"} +{"d:Title": "The Ancient Ones", "d:Description": "Screenplay based upon the ancient pre-Celtic legends of Britain and Ireland: the Mabinogi, Lebor Gabala, Cathe maig Tuiredh and Book of Taliesin.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.angelfire.com/home/talespinner8/"} +{"d:Title": "The Land of the Mighty", "d:Description": "Based on a Welsh legend. Synopsis, information about the writer, and links.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.thelandofthemighty.s5.com/"} +{"d:Title": "It's Over", "d:Description": "A rejected script for a sequel to Fight Club.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://itsover.20m.com/"} +{"d:Title": "Rat's Bite", "d:Description": "A short screenplay written by Larry A. Jaggard. See titles and loglines to other screenplays by the same writer.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://myscreenplays.fabpage.com/"} +{"d:Title": "On Our Own", "d:Description": "Unproduced television series surrounding four teenagers going to college in peculiar Dayboqrx City.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.angelfire.com/tv2/onourowntv/"} +{"d:Title": "Screenscripts.com", "d:Description": "Synopses of many unproduced movie scripts and TV series ideas which can be purchased.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.screenscripts.com/"} +{"d:Title": "The Wrong Ones", "d:Description": "A screenplay in weblog format.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://wrongones.blogspot.com/"} +{"d:Title": "Almost a Movie", "d:Description": "Categorical listing of unproduced scripts from the past, present, and future", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://maddogmovies.com/almost/main.html"} +{"d:Title": "Simple Unproduced Scripts", "d:Description": "Unproduced scripts, fan fiction and discussion boards.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.simplyscripts.com/unpro.html"} +{"d:Title": "Red Planet Screenplay by Tom Wakefield", "d:Description": "Synopsis and sales information for Red Planet movie screenplay. Logline: The Russians murder their American counterparts on the first manned mission to Mars and claim the Red Planet in the name of the old Soviet empire.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.redplanetscreenplay.com/"} +{"d:Title": "Pornovision", "d:Description": "Full-text comedy movie script by Eric Nakao.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://musicalsandconspiracy.com/screenplay/pornovision/pornovision_2005.htm"} +{"d:Title": "Screwball Scripts", "d:Description": "Synopses and sample pages from Ottawa screenwriter Dave Belisle's screwball comedies.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://www.screwballscripts.com/"} +{"d:Title": "Vietnam Conscript", "d:Description": "Full length feature film script by Pamela Valemon. Detailed synopsis, logline, and title page.", "topic": "Top/Arts/Movies/Filmmaking/Screenwriting/Scripts/Unproduced", "url": "http://filmscript.yolasite.com/"} +{"d:Title": "Eurocinema", "d:Description": "Offers previews, film recommendations, submission details, related links, and community features.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.eurocinema.com/"} +{"d:Title": "Short Film Central", "d:Description": "Database of international award-winning short films, with news, information and articles.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.shortfilmcentral.com/"} +{"d:Title": "The Smalls", "d:Description": "Film showcase and community for creators. Films by genre and date, soundtrack samples, showreels, articles, and forum.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.thesmalls.com/"} +{"d:Title": "Filmaka", "d:Description": "Hosts themed monthly competitions for feature and documentary films no longer than 3 minutes.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.filmaka.com/"} +{"d:Title": "Bravo!Fact", "d:Description": "Grants for short films and videos for up to half of a production's total cost.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.bravofact.com/"} +{"d:Title": "Short Cuts", "d:Description": "PBS program that showcased films from various festivals. Film synopses and video clips.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.shortcuts.org/"} +{"d:Title": "Reelport", "d:Description": "Entry platform facilitating fee-based online submissions for various festivals worldwide. Also provides a film database with synopses, trailers, and production information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.reelport.com/"} +{"d:Title": "Our Fire", "d:Description": "Team of filmmakers collaborating on free film projects. Mission statement, news, films, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films", "url": "http://www.our-fire.net/"} +{"d:Title": "Arnaldo, Martin", "d:Description": "Flash showreel of the short film and commercial director in France and the Philippines, featuring TVCs, shorts and motion boards.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.martinarnaldo.com/"} +{"d:Title": "Chica, Patricia", "d:Description": "Personal page includes biography, filmography, pictures and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.patriciachica.com/"} +{"d:Title": "Moore, Jason", "d:Description": "Award winning director of film, television and theater.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://jasonmoore.com/"} +{"d:Title": "Nicholson, Christopher", "d:Description": "Film and commercials director. Includes stills from recent projects.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.christophernicholson.com/"} +{"d:Title": "Nordmann, Fabrice", "d:Description": "Unofficial website containing a biography and filmography.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://nordmannf.free.fr/"} +{"d:Title": "Turner, Alex", "d:Description": "Quicktime clips, biography, news and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://alexturner.com/"} +{"d:Title": "Lee, Michelle", "d:Description": "Biography and information on her films.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://michelleleefilms.com/"} +{"d:Title": "Ross, Peter John", "d:Description": "Features biographical information, news, and short films from the filmmaker and his production company Sonnyboo.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.sonnyboo.com/"} +{"d:Title": "Silber, Daniel", "d:Description": "Features short films, documentary, commercial and music video clips.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.daniel-silber.com/"} +{"d:Title": "Fleischer, Ruben", "d:Description": "Presents music videos, commercials, short films, and a weblog.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.ruben.fm/"} +{"d:Title": "Ferrari, Alex", "d:Description": "Features biographical information and commercials.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.alexferrari.com/"} +{"d:Title": "Talkington, Amy", "d:Description": "Information on her movies, pictures, biography, clips, and songs.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.amytalkington.com/"} +{"d:Title": "Mandapat, Andrew", "d:Description": "Short Internet films with original scripts, soundtracks, trailers, cast, and crew.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.bajapossemovies.com/"} +{"d:Title": "Lockyer, Jason", "d:Description": "Takes a sarcastic view of the media-driven culture. Available in Quicktime format.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.benderfilms.com/"} +{"d:Title": "Koonce, Brett", "d:Description": "A collection of his films.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.universe42.com/"} +{"d:Title": "Laloge, Ga\u00ebtan", "d:Description": "Film writer and director. Biography, film details, scripts, models' headshots, make-up effects gallery, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.gaetanlaloge.com/"} +{"d:Title": "Tooth, Owen", "d:Description": "UK-based horror filmmaker. Biography, resume, list of films, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.toothpix.co.uk/"} +{"d:Title": "Dowling, Timothy", "d:Description": "California-based director of short films and music videos. Biography and showreel.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://tmdfilm.com/"} +{"d:Title": "Bass, Josh", "d:Description": "Films, awards, demo reel, photographs, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.joshbass.com/"} +{"d:Title": "Cauchi, Josef", "d:Description": "Filmmaker based in Malta. Biography, films, and news.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.landofmovies.com/"} +{"d:Title": "Chan, Jerry", "d:Description": "Profile, film listing, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.jerrytchan.com/"} +{"d:Title": "Kanna, Anand", "d:Description": "Biography, filmography, weblog, press links, and news.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.anandkanna.com/"} +{"d:Title": "Ashberg, Jason", "d:Description": "Resume and show reel.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.jasonashberg.com/"} +{"d:Title": "Bowman, Ben", "d:Description": "Biography, resume, film clips and photographs, projects in development, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://creamygreen.com/"} +{"d:Title": "Evans, Morgan", "d:Description": "Resume, streaming films, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.totallymorgan.com/"} +{"d:Title": "Williams, James", "d:Description": "Biography and streaming films.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.burningtoast.com/"} +{"d:Title": "Weber, Ingo", "d:Description": "Video biography and his standard, experimental, and animated films.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.weberingo.com/"} +{"d:Title": "Payne, Joe", "d:Description": "Curriculum vitae, film portfolio, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.joe-payne.com/"} +{"d:Title": "Mackinnon, Ian", "d:Description": "Films with press kits, personal information, weblog, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://ianmackinnon.co.uk/"} +{"d:Title": "White, Jerry Wayne Jr.", "d:Description": "Biography, resume, and a selection of his films with photographs and videos.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Filmmakers", "url": "http://www.jerrywhitejr.com/"} +{"d:Title": "International Short Film Festival Winterthur", "d:Description": "Program, entry details, history, general information, media, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.kurzfilmtage.ch/"} +{"d:Title": "Short Shorts Lounge", "d:Description": "A Japanese-born film festival showcased worldwide. Submission details, staff, background information and history, volunteering, sponsors, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.shortshorts.org/"} +{"d:Title": "Tr\u00e8s Court", "d:Description": "International competition for films no longer than 3 minutes with screenings worldwide. General information, submission information, prizes, and resources.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.trescourt.com/"} +{"d:Title": "International Short Film Festival of Clermont-Ferrand", "d:Description": "Overview, film details and downloads, news, resource centre, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.clermont-filmfest.com/"} +{"d:Title": "FIKE", "d:Description": "International short film festival based in \u00c9vora, Portugal. Regulations, submission details, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.fikeonline.net/"} +{"d:Title": "Curtocircu\u00edto", "d:Description": "International short film festival.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.curtocircuito.org/"} +{"d:Title": "Tropfest", "d:Description": "Held at various Australian venues on one night in February each year. History and background, entry forms, filmmakers, news, judges, media, sponsors, and past festival information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.tropfest.com/"} +{"d:Title": "Leuven Kort Short Film Festival", "d:Description": "Flemish and European short film competition. Background information and entry forms.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.shortfilmfestival.org/"} +{"d:Title": "Light Plays Tricks Short Film Festival", "d:Description": "A film, video, and new media festival screening innovative, provocative, guerilla and just plain silly works from international artists.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.lightplaystricks.com/"} +{"d:Title": "Coney Island Short Film Festival", "d:Description": "A late-summer film festival at the \"Sideshows by the Seashore\" in Coney Island, Brooklyn.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.indiefilmpage.com/coneyfest.html"} +{"d:Title": "Los Angeles International Short Film Festival", "d:Description": "Features program, news and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.lashortsfest.com/"} +{"d:Title": "DepicT", "d:Description": "A competition for films with running time under 90 seconds. Entry details, mailing list, prizes, judges, tips, past winners, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.depict.org/"} +{"d:Title": "The 48 Hour Film Project", "d:Description": "Competition focusing on the production of short movies in just two days. How to enter, news, frequently asked questions, and tour schedule.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.48hourfilm.com/"} +{"d:Title": "Digital Gun Awards", "d:Description": "An annual moviemaking challenge where participants are given 2 days to plan, 12 hours to shoot and 2 days to edit a 25-minute film.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.digitalgunawards.com/"} +{"d:Title": "The Shoot Out 24 Hour Filmmaking Festival", "d:Description": "A competition for seven-minute-long films shot in-camera during a period of 24 hours or less, based in Boulder, Colorado. Purpose, submission details, news, sponsors, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.theshootoutboulder.com/"} +{"d:Title": "S\u00e3o Paulo International Short Film Festival", "d:Description": "The S\u00e3o Paulo International Short Film Festival is an international meeting place for the exchange of cultural, political and financial experiences.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.kinoforum.org.br/curtas/"} +{"d:Title": "Palme-Dewar", "d:Description": "Competition for films made in or about Scotland. Overview, entry information, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.palme-dewar.co.uk/"} +{"d:Title": "Betting on Shorts", "d:Description": "Annual competition with screenings in many European countries. Background information, rules, past winners, news, organizers, and FAQ.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://bettingonshorts.com/"} +{"d:Title": "Contravision", "d:Description": "Annual international competition for films not exceeding 30 minutes in length. History, rules, submission information, past winners, schedule, news, overview of awards, sponsor listing, and press links. Berlin, Germany.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.contravision.de/"} +{"d:Title": "Disposable Film Festival", "d:Description": "Showcases films under 10 minutes made on non-professional devices. Submission guidelines, videos, weblog, sponsors, and press. Screenings worldwide.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.disposablefilmfest.com/"} +{"d:Title": "72 Film Fest", "d:Description": "Annual event held in Frederick, Maryland that gives 72 hours to complete a project. Overview, FAQ, and past winners.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.72fest.com/"} +{"d:Title": "Newtown Flicks", "d:Description": "Annual event showcasing local talent. Entry forms, judges, and past winners. Newtown, NSW, Australia.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.newtownflicks.com.au/"} +{"d:Title": "Moviemiento", "d:Description": "Traveling festival with screenings in public spaces throughout Europe. Mission statement, trailers and information from past festivals, upcoming events, press, and submission details.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.moviemiento.org/"} +{"d:Title": "Filminute", "d:Description": "Online event annually short-lists 25 one-minute films and selects a winner. Information, films, jury, entry guidelines, news, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals", "url": "http://www.filminute.com/"} +{"d:Title": "Flickerfest", "d:Description": "Australian international short film festival. Includes tour dates, a list of workshops, winners, and ticket information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Film_Festivals/Flicker", "url": "http://www.flickerfest.com.au/"} +{"d:Title": "AG Kurzfilm", "d:Description": "A representational and lobbying body for German short films. Company information, partners, film catalogue, press, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Organizations", "url": "http://www.ag-kurzfilm.de/"} +{"d:Title": "Juneau Underground Motion Picture Society", "d:Description": "Hosts local film festivals in Juneau, Alaska. Read past newsletters, learn how to participate or watch movies online.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Organizations", "url": "http://www.jumpsociety.com/"} +{"d:Title": "Australian Short Films", "d:Description": "Showcasing Australia's young and emerging writers, film makers, directors, actors and cinematographers.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Organizations", "url": "http://www.australianshortfilms.com/"} +{"d:Title": "Shorts International", "d:Description": "Produces its own original work and sourcing existing short film material. Streams short films and animations free of charge.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Organizations", "url": "http://www.shortsinternational.com/"} +{"d:Title": "Future Legend", "d:Description": "A London based film and theatre production company, specialising in short films.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://www.futurelegend.co.uk/"} +{"d:Title": "KBA Movies", "d:Description": "Download short films.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://angelfire.com/clone2/kelloy"} +{"d:Title": "Little Song Films", "d:Description": "Dedicated to exhibiting and creating short works which express the poetics of the moving image.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://www.littlesongfilms.com/"} +{"d:Title": "Starting Small Productions", "d:Description": "Collection of short films and animations by Scott Vosbury.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://www.vosbury.com/main.html"} +{"d:Title": "VFR Films", "d:Description": "Short films by Jeff Consiglio.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://www.vfrfilms.com/"} +{"d:Title": "Precipice Productions", "d:Description": "Specializes in independent short films, comedy and mockumentaries.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://precipiceproductions.com/Home.html"} +{"d:Title": "Pixar", "d:Description": "Collection of the studio's short films with background information and downloads.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://www.pixar.com/shorts/"} +{"d:Title": "Distant Thunder Films", "d:Description": "A San Francisco Bay Area based movie studio dedicated to film.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Studios", "url": "http://www.stormpages.com/dtfilms/home.html"} +{"d:Title": "IMDb : 1977", "d:Description": "A year through the eyes of a young boy. Production details, synopsis, and technical specifications.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/1", "url": "http://www.imdb.com/title/tt0124968/"} +{"d:Title": "IMDb: 1895", "d:Description": "Production notes, awards information, user ratings, and video clips.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/1", "url": "http://www.imdb.com/title/tt0112253/"} +{"d:Title": "HARO Online: 405", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/4/405", "url": "http://www.haro-online.com/movies/405.html"} +{"d:Title": "The Acorn Penny", "d:Description": "A Tiffany Ann Laufer film about a young African girl who dreams of a better life. Synopsis, trailer, press kit, crew biographies, production stills, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/A", "url": "http://www.theacornpenny.com/"} +{"d:Title": "Blackmailing Santa", "d:Description": "Official site for the independent family film. Photo gallery, press updates, links, and behind the scenes material.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/B", "url": "http://www.blackmailingsanta.com/"} +{"d:Title": "Bus 44", "d:Description": "Summary of this narrative about a hijacking of a passenger bus, festival information, cast and crew, and photographs.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/B", "url": "http://www.colordance.com/bus.html"} +{"d:Title": "BerlinBeirut", "d:Description": "Short film by Myrna Maakaron, comparing the occupied, destructed, divided, reconstructed cities of Berlin and Beirut.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/B", "url": "http://www.berlinbeirut.de/"} +{"d:Title": "Betrunner", "d:Description": "Dramatic short film about gambling addiction. Created by Gabrielle Conforti and Eugene Gordon. Starring Gabrielle Conforti. Directed by Vincent Spano.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/B", "url": "http://www.farfallinafilms.com/ffilms.html"} +{"d:Title": "Chika's Bird", "d:Description": "A coming-of-age story about a ten-year-old Japanese Canadian girl. Synopsis, cast and crew, awards, trivia, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/C", "url": "http://www.imdb.com/title/tt0431683/"} +{"d:Title": "Consciousness", "d:Description": "This 9 minute drama tells the tale of Alexandra Morgan who finds herself reliving moments from her life. Released under a Creative Commons license.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/C", "url": "http://consciousnessthefilm.weebly.com/"} +{"d:Title": "Dream of the Lizard", "d:Description": "A martial arts/fantasy film in which the hero is sent on a quest to prove himself. Synopsis and credits.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/D", "url": "http://www.imdb.com/title/tt0426440/"} +{"d:Title": "Disco Dan the Alien Man", "d:Description": "Short independent films featuring the use of toys as actors, featuring the character Disco Dan.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/D", "url": "http://www.discodanthealienman.com/dd2movies.htm"} +{"d:Title": "A Day's Work", "d:Description": "A Rajeev Dassani film about a Los Angeles day laborer who becomes caught up in a violent standoff. Synopsis, trailers, awards, past screenings, cast and crew, press links, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/D", "url": "http://www.daysworkfilm.com/"} +{"d:Title": "DiD", "d:Description": "A Jake Wynne film about a young man with dissociative identity disorder. Trailer, synopsis, cast and crew list, production stills, press kits, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/D", "url": "http://jakewynne.com/didshortfilm2/"} +{"d:Title": "Ed Meets His Maker", "d:Description": "Family short film about a boy giving his pet a funeral to get closure on his father's death. Synopsis, production team, behind the scenes, photographs, preview, screenings, and contact information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/E", "url": "http://www.edmeetshismaker.com/"} +{"d:Title": "Fairy Tale", "d:Description": "An examination of repressive family values for gay individuals, directed by David Kittredge. Synopsis, cast and crew, production notes, and media.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/F", "url": "http://www.triplefire.com/ft/"} +{"d:Title": "For One Moment", "d:Description": "Experimental film about time perception by Marco Antoniazzi. Film information, cast and crew, and screenings.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/F", "url": "http://www.visualworkers.net/fem/"} +{"d:Title": "Geeks Gone Wild", "d:Description": "A documentary by Andrew Kosarko and Steven Walker. Includes trailer and streaming video of the film.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/G", "url": "http://www.flamingheart.org/geeksgonewild.html"} +{"d:Title": "Hello Titty", "d:Description": "A feminist film about super heroine Hello Titty by Anne-Lise Breuning. Includes QuickTime version of the film and an image gallery.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/H", "url": "http://hellotitty.com/"} +{"d:Title": "Tales from the Crypt", "d:Description": "Adrian Martin reviews parts 1A and 1B for \"Senses of Cinema\".", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/H/Histories_of_Cinema", "url": "http://pandora.nla.gov.au/pan/10772/20060909/www.sensesofcinema.com/contents/00/10/index.html"} +{"d:Title": "Interrogating Vivian", "d:Description": "Low-budget horror film about mass murder in a small town. Synopsis, cast and crew, trailer, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/I", "url": "http://www.interrogatingvivian.com/"} +{"d:Title": "IMDb: Idle Mist", "d:Description": "List of the cast and crew, filming locations, and users' comments.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/I/Idle_Mist", "url": "http://www.imdb.com/title/tt0253881/"} +{"d:Title": "Jacob's Sound", "d:Description": "Information on Writer / Director Anna Dudley's latest film, Jacob's Sound.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/J", "url": "http://jacobssound.tripod.com/"} +{"d:Title": "Junk Forest", "d:Description": "An independent animated short film about a boy's adventures in a dense forest filled with junk that comes alive at night. Includes teaser, artwork, and production information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/J", "url": "http://www.junkforest.com/"} +{"d:Title": "IMDb: Jewel of the Sahara", "d:Description": "Site offers cast and crew list, user comments and message board.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/J/Jewel_of_the_Sahara", "url": "http://www.imdb.com/title/tt0291277/"} +{"d:Title": "Leftovers", "d:Description": "Directed by John Derevlany. Approximately 15 minutes, in streaming RealPlayer format.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/L", "url": "http://www.mycomputerhatesme.com/leftovers/"} +{"d:Title": "La Lupe i en Bruno", "d:Description": "A five minute stop-motion doll animation by Marc Riba and Anna Solanas about love and growing up. Synopsis, cast and crew, company credits, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/L", "url": "http://www.imdb.com/title/tt0758669/"} +{"d:Title": "Lily", "d:Description": "A comedy by Marianne Griolet. Synopsis, cast and crew, technical specifications, and screenings.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/L", "url": "http://lily.pyramus.org/"} +{"d:Title": "Marco Solo", "d:Description": "Comedic short film made by Adrian Bosich.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/M", "url": "http://www.bosich.com/marcosolo"} +{"d:Title": "Ms. Divine's Le Tee Hee Hee Heure", "d:Description": "Comedy collection of one-lady skits.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/M", "url": "http://www.msdivine.net/"} +{"d:Title": "Net Keeper", "d:Description": "Featuring synopsis, trailer, and background information.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/N", "url": "http://www.netkeepermovie.com/"} +{"d:Title": "No Dumb Questions", "d:Description": "Documentary about the events surrounding a man's sex change operation. Synopsis, filmmaker information, screening dates, awards, and mailing list.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/N", "url": "http://www.nodumbquestions.com/"} +{"d:Title": "Niagara Yeti Project: The Movie", "d:Description": "Series of independent films about a group of students searching for a Yeti (also known as Bigfoot, Sasquatch, and Skunk Ape) in Niagara and Montreal.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/N", "url": "http://niagarayeti.jackson-myers.com/"} +{"d:Title": "Our Share of Night to Bear", "d:Description": "A Kinga Dobos film about domestic abuse. Synopsis, trailer, director's statement and biography, and information on obtaining copies.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/O", "url": "http://www.ourshareofnighttobear.com/"} +{"d:Title": "IMDb: Orientation: A Scientology Information Film (1996)", "d:Description": "Cast and credits, viewer comments and rating.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/O/Orientation_-_A_Scientology_Information_Film", "url": "http://www.imdb.com/title/tt0270557/"} +{"d:Title": "Paradise VA", "d:Description": "The online computer animated series about an alien and a pug.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/P", "url": "http://www.paradiseva.com/"} +{"d:Title": "Paloma Picasso: A Short Film by Thomas Orozco", "d:Description": "A student film about a supernatural experience involving the Internet.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/P", "url": "http://scubaproca.tripod.com/"} +{"d:Title": "Rocks and Chocolate", "d:Description": "Official site for the film about a father-daughter relationship in a poor Eastern European country. Synopsis, cast and crew, image gallery, and music score samples in MP3 format.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/R", "url": "http://www.rocksandchocolate.com/"} +{"d:Title": "Red Tomatoes Crosswalk", "d:Description": "Mystery by Elena Gorsheneva based on the New York City crosswalk experience. Synopsis, cast and crew, characters, and weblog.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/R", "url": "http://redtomatoescrosswalk.com/"} +{"d:Title": "Retry", "d:Description": "A Mirko Klees production. Streaming video, news, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/R", "url": "http://www.retry-themovie.de/"} +{"d:Title": "Staplerfahrer Klaus (Forkliftdriver Klaus)", "d:Description": "Official site for the German cult educational film by Joerg Wagner and Stefan Prehn. Credits, screen shots, and awards.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/S", "url": "http://www.staplerfahrerklaus.de/"} +{"d:Title": "Space Cadets", "d:Description": "Parody of the Star Trek series. Includes film credits.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/S", "url": "http://spacecadets747.tripod.com/"} +{"d:Title": "Small World", "d:Description": "A humorous film that pokes fun at online friendship communities. Contains some language that may not be appropriate for all viewers.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/S", "url": "http://www.zefrank.com/smallworld/menu.html"} +{"d:Title": "Spank", "d:Description": "The typical working day of a virtual reality actor, set in 2013. Synopsis, credits, awards, production information, trivia, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/S", "url": "http://www.imdb.com/title/tt0388429/"} +{"d:Title": "Target Audience", "d:Description": "12-minute satire production by David Kittredge. Cast and crew, photographs, production details, media, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/T", "url": "http://www.triplefire.com/ta/"} +{"d:Title": "Tuck&Cover", "d:Description": "Information and downloads relating to the short film \"Tuck and Cover\", produced in Australia, 2002.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/T", "url": "http://www.wheneverly.com/tuckandcover/"} +{"d:Title": "Twigged", "d:Description": "Synopsis, cast and crew, trailer, production stills, and links.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/T", "url": "http://www.twiggedonline.com/"} +{"d:Title": "Take Out", "d:Description": "A Jonathan Budine and Bill Hall suspense thriller set in a parking garage. Synopsis, trailer, poster, production stills, location footage, and news.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/T", "url": "http://takeouttheshort.com/"} +{"d:Title": "Water", "d:Description": "A story of an unusual excorcism, produced by Kingston Movie Makers. Synopsis, cast and crew, production notes, as well as festival and webcast listings.", "topic": "Top/Arts/Movies/Filmmaking/Short_Films/Titles/W", "url": "http://www.angelfire.com/weird/thehost/"} +{"d:Title": "HowStuffWorks: How Movie Sound Works", "d:Description": "Illustrated narrative explains analog and digital sound systems, Digital Theater Systems (DTS), Dolby Digital and Sony Dynamic Digital Sound (SDDS).", "topic": "Top/Arts/Movies/Filmmaking/Sound", "url": "http://www.howstuffworks.com/movie-sound.htm"} +{"d:Title": "Film Sound", "d:Description": "Sound theory and practice in film and television with articles and FAQs. Includes free sound effects libraries.", "topic": "Top/Arts/Movies/Filmmaking/Sound", "url": "http://www.filmsound.org/"} +{"d:Title": "Designing Sound", "d:Description": "Web portal with information about art and technique of sound design. Site with articles, interviews, news and videos of sound design.", "topic": "Top/Arts/Movies/Filmmaking/Sound", "url": "http://designingsound.org/"} +{"d:Title": "Cinema Audio Society", "d:Description": "CAS official site. Includes articles, transcripts of seminars, forum, links, informations about membership, CAS Awards.", "topic": "Top/Arts/Movies/Filmmaking/Sound", "url": "http://www.cinemaaudiosociety.org/"} +{"d:Title": "Film Sound Sweden", "d:Description": "Include articles and tips, list and contact of members, links.", "topic": "Top/Arts/Movies/Filmmaking/Sound", "url": "http://www.filmsoundsweden.se/"} +{"d:Title": "Creating Sound", "d:Description": "Site with resources for sound design.", "topic": "Top/Arts/Movies/Filmmaking/Sound", "url": "http://www.creatingsound.com/"} +{"d:Title": "Cinefex", "d:Description": "The ultimate in visual effects journalism. Cinefex takes its readers on a dynamic behind-the-scenes journey through the filmmaking process, with details of upcoming films with special effects.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.cinefex.com/"} +{"d:Title": "Unofficial Industrial Light and Magic Website", "d:Description": "Information on special effects company, its employees, its work, and its techniques.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.ilmfan.com/"} +{"d:Title": "Special Effects Homepage", "d:Description": "Site concerning special effects from large companies, as well as how-to's for low budget effects aimed at independent filmmakers.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.angelfire.com/movies/nobudgetsfx/index.html"} +{"d:Title": "Visual Effects Headquarters", "d:Description": "Magazine for professionals in the visual effects industry. Read reviews of work done for such films as Turbulence and The Relic.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.vfxhq.com/"} +{"d:Title": "KODAK: Motion Picture Imaging", "d:Description": "Good general information and Case Studies related to Film and Digital Film. Includes an informative Glossary.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.kodak.com/US/en/motion/"} +{"d:Title": "'How Stuff Works' list of Visual Effects articles", "d:Description": "'How Stuff Works' has a good overview of several Visual Effects processes as well as Feature Film case studies", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.howstuffworks.com/search.php?terms=Visual+Effects"} +{"d:Title": "Lightsaber Effect Rotoscoping In A Premiere Filmstrip", "d:Description": "Step by step instructions on how to create light sabre effects, by Darel Finley.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.alienryderflex.com/rotoscope/"} +{"d:Title": "DCinemaToday", "d:Description": "Digital 3D technology resource with industry news, press releases, and company directory.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.dcinematoday.com/"} +{"d:Title": "Art of the Title", "d:Description": "Blog analyzing video clips of notable title sequences from film and television.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.artofthetitle.com/"} +{"d:Title": "Effects Portal", "d:Description": "Provides free video tutorials for low-budget projects.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.effectsportal.net/"} +{"d:Title": "Escape Studios", "d:Description": "London school of visual effects runs courses in 2D visual effects and 3D computer animation, using the latest tools and packages including Maya, Softimage XSI and Shake.", "topic": "Top/Arts/Movies/Filmmaking/Visual_Effects", "url": "http://www.escapestudios.com/"} +{"d:Title": "Black International Cinema", "d:Description": "Annual event presenting films about the African diaspora. Pictures and background information. Germany, Poland and United States.", "topic": "Top/Arts/Movies/Film_Festivals", "url": "http://www.black-international-cinema.com/"} +{"d:Title": "Withoutabox.com", "d:Description": "Online application submission service for film festivals. Commercial service with free trial. Background information and FAQ.", "topic": "Top/Arts/Movies/Film_Festivals", "url": "http://www.withoutabox.com/"} +{"d:Title": "Film Festival Today", "d:Description": "A magazine that covers the film festival circuit. Includes call for entry notices, festival calendars, interviews, previews, reviews and articles from experts.", "topic": "Top/Arts/Movies/Film_Festivals", "url": "http://www.filmfestivaltoday.com/"} +{"d:Title": "Fest21", "d:Description": "Social network for film festival organizers and filmmakers. Includes a searchable database of festivals with photographs and videos.", "topic": "Top/Arts/Movies/Film_Festivals", "url": "http://www.fest21.com/"} +{"d:Title": "Dawn Breakers International Film Festival", "d:Description": "Travelling event devoted to recognizing and promoting films focusing on the Bah\u00e1'\u00ed faith. Background information, submission requirements, past selections and winners, program, press links, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals", "url": "http://www.dbiff.com/"} +{"d:Title": "Culture Unplugged", "d:Description": "Online showcase of documentary and short films focusing on issues of human rights, social awareness, the environment, and spirituality. Streaming films by genre, background information, winners, and links.", "topic": "Top/Arts/Movies/Film_Festivals", "url": "http://www.cultureunplugged.com/"} +{"d:Title": "Zanzibar International Film Festival", "d:Description": "East Africa's largest cultural event. Site features regional details, online entry form, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/Africa", "url": "http://www.ziff.or.tz/"} +{"d:Title": "BBC World Service - Fespaco African Film Festival 2005", "d:Description": "Information about the films, who's who, photo gallery, special features and information about the Festival's history.", "topic": "Top/Arts/Movies/Film_Festivals/Africa/FESPACO", "url": "http://www.bbc.co.uk/worldservice/africa/fespaco2005/"} +{"d:Title": "Wikipedia - Panafrican Film and Television Festival of Ouagadougou", "d:Description": "Hyperlinked encyclopedia article about the FESPACO film festival.", "topic": "Top/Arts/Movies/Film_Festivals/Africa/FESPACO", "url": "http://en.wikipedia.org/wiki/Panafrican_Film_and_Television_Festival_of_Ouagadougou"} +{"d:Title": "Kinder Film Festival Japan", "d:Description": "Annual event screening children's films. Jury details, past festival details and photographs, history, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.kinder.co.jp/"} +{"d:Title": "Women Make Waves Film and Video Festival", "d:Description": "Taiwanese independent female film makers showcase.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.wmw.com.tw/"} +{"d:Title": "Bangkok International Film Festival", "d:Description": "Features background information, overview and archive.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.bangkokfilm.org/"} +{"d:Title": "Kolkata Film Festival", "d:Description": "International festival hosted in Kolkata (Calcutta), India. Includes information on the festival, venues, management team, partners, and events, schedule, news, image gallery, forum and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.kff.in/"} +{"d:Title": "International Film Festival of Kerala", "d:Description": "Annual event presenting work from international filmmakers. Organizer details, schedule, media, jury, awards, regulations, venue information, and past festival archive.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.keralafilm.com/"} +{"d:Title": "Taiwan International Ethnographic Film Festival", "d:Description": "TIEFF, a biannual festival, is organized by the Taiwan Association of Visual Ethnography.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.tieff.sinica.edu.tw/"} +{"d:Title": "Malaysian Video Awards", "d:Description": "Film and video festival and competition.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://mva.com.my/"} +{"d:Title": "Puchon International Fantastic Film Festival", "d:Description": "PiFan - Korean adventure and romance showcase.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.pifan.com/"} +{"d:Title": "ViBGYOR International Film Festival", "d:Description": "Festival of short and documentary films held every year in Thrissur, Kerala.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://2008.vibgyorfilm.com/"} +{"d:Title": "International Film Festival of India", "d:Description": "Download brochure of the festival, awards and the film screened in PDF format. Also provides updates of current and past festivals and official contacts.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://iffi.gov.in/"} +{"d:Title": "Lahore International Children's Film Festival", "d:Description": "Annual event to showcase the best of local and international films made by and for children in Pakistan from around the world.", "topic": "Top/Arts/Movies/Film_Festivals/Asia", "url": "http://www.lahorechildrenfilm.com/"} +{"d:Title": "St. Barth Film Festival", "d:Description": "Focus on Caribbean films. St. Barthelemy, French West Indies.", "topic": "Top/Arts/Movies/Film_Festivals/Caribbean", "url": "http://www.stbarthff.org/"} +{"d:Title": "The Film Festivals Server", "d:Description": "Searchable database of international film and television festivals. Listings include news, articles, interviews, film details, and multimedia.", "topic": "Top/Arts/Movies/Film_Festivals/Directories", "url": "http://www.filmfestivals.com/"} +{"d:Title": "Inside Film Online", "d:Description": "Offers festival news, listings, and related articles.", "topic": "Top/Arts/Movies/Film_Festivals/Directories", "url": "http://www.insidefilm.com/"} +{"d:Title": "Festival Focus", "d:Description": "Directory of international film festivals with over 5000 entries. Allows user additions. Listings include submission dates, contact information, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Directories", "url": "http://www.festivalfocus.org/"} +{"d:Title": "FilmCalls", "d:Description": "Lists festival submission deadlines. Offers a deadline reminder newsletter.", "topic": "Top/Arts/Movies/Film_Festivals/Directories", "url": "http://www.filmcalls.com/"} +{"d:Title": "Forssa International Film Festival", "d:Description": "Silent film festival. Features information about the event, schedule, and artists. Located in Forssa, Finland. In Finnish and English.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.forssasilentmovie.com/"} +{"d:Title": "Sarajevo Film Festival", "d:Description": "International showcase. Bosnia and Herzegovina.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.sff.ba/"} +{"d:Title": "Alternativa", "d:Description": "Annual festival of independent cinema in Barcelona, Spain, including feature films, animation, short films, and documentaries. General information and past festivals.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://alternativa.cccb.org/"} +{"d:Title": "International Film Festival Innsbruck", "d:Description": "Annual festival in Innsbruck (Austria).", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.iffi.at/"} +{"d:Title": "Viennale", "d:Description": "International film festival held in Vienna, Austria. Gives details of films, events, history and awards.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.viennale.at/"} +{"d:Title": "Karlovy Vary International Film Festival", "d:Description": "Annual A-level event based in Karlovy Vary (Czech Republic). Background information, program, film details, venue information for visitors, media, and resources.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.kviff.com/"} +{"d:Title": "One World International Human Rights Film Festival", "d:Description": "Prague festival featuring films on the theme of freedom and rights. Czech Republic.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.jedensvet.cz/"} +{"d:Title": "Rencontres Internationales", "d:Description": "Transdisciplinary festival for cinema, video, visual arts and multimedia located in Paris and Berlin.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.art-action.org/"} +{"d:Title": "International Film Festival of Patras City", "d:Description": "Created to recognize achievements in directing. Background information, organizer photographs, jury profiles, submission details, program, film synopses, past winners, venue, and links. Patras, Greece.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.independent.gr/"} +{"d:Title": "Mediterranean Film Festival", "d:Description": "Mediterranean festival of documentary films; features rules and application information. (Siroki Brijeg, Bosnia and Herzegovina)", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.mff.ba/"} +{"d:Title": "Darklight Festival", "d:Description": "Annual festival screening Irish and international independent digital films. Schedule, media, archive, and links. Dublin, Ireland.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.darklight.ie/"} +{"d:Title": "Dokufest", "d:Description": "International documentary and short film festival in Prizren, Kosova. Background information, program, image gallery, staff, submission guidelines, and past events.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.dokufest.com/"} +{"d:Title": "Envirofilm", "d:Description": "International festival of films, television programs and video dealing with environment protection and creation. Slovakia.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.envirofilm.sk/"} +{"d:Title": "Zagreb Film Festival", "d:Description": "Presents and awards new film authors wit their features, short and documentary films. Croatia.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.zagrebfilmfestival.com/"} +{"d:Title": "Wildlife Vaasa", "d:Description": "Competitive event for nature filmmakers. Background information, schedule, jury details, sponsors, accommodations, and links. Finland.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://wildlife.vaasa.fi/"} +{"d:Title": "Vukovar Film Festival", "d:Description": "Main program base consist of films from interesting and active cinematography from ten Danube River basin countries. Croatia.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.vukovarfilmfestival.com/"} +{"d:Title": "Tirana Film Fest", "d:Description": "International film festival for short movies, fiction, documentaries and animation. General information, program, history, submission details, sponsors, and staff. Albania,", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.tiranafilmfest.com/"} +{"d:Title": "Monaco International Film Festival", "d:Description": "Competitive film festival open to cin\u00e9philes from around the world.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.monacofilmfestival.net/"} +{"d:Title": "Nordic Youth Film Festival", "d:Description": "A filmfestival from young people for young filmmakers. Troms\u00f8, Norway.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.nuff.no/"} +{"d:Title": "Reykjavik International Film Festival", "d:Description": "Screens films from all over the world, features and documentaries. Iceland.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.filmfest.is/"} +{"d:Title": "International Underwater Film Festival Belgrade", "d:Description": "An opportunity to peek into the underwater world, to find out more about it through films and photos. Belgrade, Serbia.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.kpa.co.rs/"} +{"d:Title": "Tallinn Black Nights Film Festival - P\u00d6FF", "d:Description": "Yearly film festival held in November and December, mostly in Tallinn, Estonia. Includes animation films, youth films and mobile phone films sub-festivals. [Estonian, English]", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.poff.ee/"} +{"d:Title": "Art Film Festival", "d:Description": "Slovakian film festival sponsored by the Council of Europe.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.artfilmfest.sk/"} +{"d:Title": "Camerimage", "d:Description": "International film festival in the art of cinematography. Held in Torun-Lodz, Poland.", "topic": "Top/Arts/Movies/Film_Festivals/Europe", "url": "http://www.camerimage.pl/"} +{"d:Title": "Ghent International Film Festival", "d:Description": "Annual international cinema event. Belgium.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Belgium", "url": "http://www.filmfestival.be/"} +{"d:Title": "Offscreen Film Fest", "d:Description": "Annual international film festival which takes place in Brussels during the month of February and/or March. Belgium.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Belgium", "url": "http://www.offscreen.be/"} +{"d:Title": "Leuven Kort International Short Film Festival", "d:Description": "For Flemish and other European short features, music videos, and animations. Belgium.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Belgium", "url": "http://www.kortfilmfestival.be/"} +{"d:Title": "International Women Films Festival of Cr\u00e9teil", "d:Description": "Entry form, past festival information, history, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France", "url": "http://www.filmsdefemmes.com/"} +{"d:Title": "Breve Rencontre", "d:Description": "An annual festival of British Films in the French village of Montesquieu-Volvestre.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France", "url": "http://www.britishfilms-montesquieu.co.uk/"} +{"d:Title": "Projections d'Argile", "d:Description": "International festival of films on ceramics and clay presented by Ateliers d\u2019Art de France. Paris.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France", "url": "http://www.fifav.fr/"} +{"d:Title": "Apchat International Film Festival", "d:Description": "Seeks to facilitate cultural exchanges between different countries; only one film will be selected per each country represented. Overview and submission details.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France", "url": "http://sites.google.com/site/onecountryonefilm/"} +{"d:Title": "Zot Movie Festival", "d:Description": "An international extreme sports film event taking place at Saint Gilles on the island of R\u00e9union. Presentation, archives of past editions and registration information.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France", "url": "http://zotmoviefestival.com/"} +{"d:Title": "Chacun son Court", "d:Description": "Short film festival taking place annually in Strasbourg. Presentation and schedule.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France", "url": "http://www.chacunsoncourt.eu/"} +{"d:Title": "The European Independent Film Festival (\u00c9CU)", "d:Description": "Taking place annually, showcasing films primarily from Europe. Includes archives of past editions. Paris.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France", "url": "http://www.ecufilmfestival.com/"} +{"d:Title": "Festival de Cannes", "d:Description": "Official site with news, selection, jury, and events.", "priority": "1", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.festival-cannes.fr/"} +{"d:Title": "Director's Fortnight", "d:Description": "Created by the SRF (French Directors Society) in 1969, the non-competitive Quinzaine des R\u00e9alisateurs programs films from around the world during the Film Festival. Selection, news and archives.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.quinzaine-realisateurs.com/"} +{"d:Title": "Semaine de la Critique", "d:Description": "The \"Critic's Week\" is a parallel section running alongside the festival, helping the discovery of newer directors. News, selection and screenings.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.semainedelacritique.com/"} +{"d:Title": "Cannes - Festival Virgin's Guide", "d:Description": "Online companion to a book about the event. Includes history and information, tools for attendees, FAQ and related links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.cannesguide.com/"} +{"d:Title": "The American Pavilion", "d:Description": "Offers programs and education for the American film community at Cannes. Information, schedules and webcasts of the proceedings.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.ampav.com/"} +{"d:Title": "Wikipedia - Cannes Film Festival", "d:Description": "Festival entry in the collaborative online encyclopedia. Selections, juries, awards and history.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://en.wikipedia.org/wiki/Cannes_Film_Festival"} +{"d:Title": "March\u00e9 du Film", "d:Description": "Film market event taking place alongside the festival. Accreditation and rates.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.marchedufilm.com/"} +{"d:Title": "Palm Dog Award", "d:Description": "An alternative award for best canine performance, presented by international film critics since 2001. Details of the judges and past winners.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.palmdog.com/"} +{"d:Title": "FilmoFilia - Cannes Film Festival", "d:Description": "News and articles about the festival. Includes pictures and videos.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.filmofilia.com/category/cannes-film-festival/"} +{"d:Title": "Twitter - @cannes", "d:Description": "News from the Cannes Film Festival.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://twitter.com/cannes"} +{"d:Title": "The Cannes Festival Blog", "d:Description": "Hyperlinks to news and information about the festival.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://film.cannes-festival.com/"} +{"d:Title": "Cannes Court M\u00e9trage", "d:Description": "The \"Short Film Corner\" competition running in parallel with the festival. Jury, selection and award.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.cannescourtmetrage.com/"} +{"d:Title": "Cannes in a Van", "d:Description": "A vehicle for independent film and a mobile film festival. Registration, awards and gallery.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.cannesinavan.com/"} +{"d:Title": "Screen Daily - Cannes", "d:Description": "Screen International's articles about the festival. Includes news, reviews and features.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.screendaily.com/festivals/cannes/"} +{"d:Title": "Cinando", "d:Description": "Interactive database and social networking for the film industry. Includes details of the services provided. Membership required.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.cinando.com/"} +{"d:Title": "Guardian - Cannes Film Festival", "d:Description": "News and articles about the festival. Includes pictures, videos and archives.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.theguardian.com/film/cannesfilmfestival"} +{"d:Title": "IMDb: Cannes Film Festival", "d:Description": "An indexed list of award winners from 1939 to date.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.imdb.com/event/ev0000147/"} +{"d:Title": "RFI : Film Festival 2014", "d:Description": "A collection of articles and news about the festival from the public service radio station. Includes archives of previous years.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.english.rfi.fr/culture/20140428-cannes-film-festival-2014"} +{"d:Title": "British Pathe - Film Festival", "d:Description": "Newsreel footage from 1958. Further collections of content from 1946 to the mid-sixties can be found under the WorkSpaces heading.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.britishpathe.com/video/cannes-film-festival-4"} +{"d:Title": "Time - Cannes Film Festival", "d:Description": "The magazine's collection of articles about the festival. Include pictures and videos.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://time.com/tag/cannes-film-festival/"} +{"d:Title": "Life - Life at Cannes", "d:Description": "A collection of photographs of the festival in 1962 taken by Paul Schutzer.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://life.time.com/movies/cannes-classic-photos-from-worlds-most-glamorous-film-fest/"} +{"d:Title": "The Telegraph - Cannes", "d:Description": "Includes news, reviews, nominations, awards and gossip from the festival.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.telegraph.co.uk/film/cannes-festival/"} +{"d:Title": "Hollywood Reporter - Cannes Film Festival", "d:Description": "Latest news, red carpet galleries, video and pictures.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.hollywoodreporter.com/topic/cannes-film-festival"} +{"d:Title": "The New York Times - Cannes International Film Festival", "d:Description": "News about the festival. Includes articles, podcasts and archival information.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/France/Cannes", "url": "http://www.nytimes.com/news-event/cannes-film-festival"} +{"d:Title": "Internationale Kurzfilmtage Oberhausen", "d:Description": "International short film competition in Oberhausen, Germany.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.kurzfilmtage.de/"} +{"d:Title": "International Nights And Days Of Animation", "d:Description": "Annual festival devoted to every aspect of animation. Website includes schedule and presentation of the films. Cologne, Germany.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.uni-koeln.de/studenten/kino/indac/"} +{"d:Title": "Up-And-Coming", "d:Description": "International Film Festival in Hannover, Germany. Competition for young film, video, and multimedia artists.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.up-and-coming.de/"} +{"d:Title": "International Film Festival Frankfurt", "d:Description": "Event with an international program that unites films from all countries and genres. General information, entry guidelines, schedule, venue details, and media. Germany.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.ifff.de/"} +{"d:Title": "Golden Sparrow - German Children's Film and Television Festival", "d:Description": "Aimed at the public and specialists, who consider it a traditional, important meeting place within the field of children's film and television.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.goldenerspatz.de/"} +{"d:Title": "Bayreuth Film Festival", "d:Description": "International festival for amateur TV and cinema film makers. Germany.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.kontrast-filmfest.de/"} +{"d:Title": "DOK Leipzig", "d:Description": "Annual international event for documentary and animated films based in Leipzig, Germany. General information, entry guidelines, program, media, archive, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.dok-leipzig.de/"} +{"d:Title": "International Archaeology Film Festival", "d:Description": "Includes prize winners, membership, catalogs, and contacts.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Germany", "url": "http://www.uni-kiel.de/cinarchea/index.htm"} +{"d:Title": "Video Festival Imperia", "d:Description": "International festival dedicated to digital cinema. Overview, background, rules, submission details and forms, past event programs, contact information, and links. Imperia.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Italy", "url": "http://www.videofestivalimperia.org/"} +{"d:Title": "Milan International Film Festival", "d:Description": "History, general information, categories and programs, awards, calendar, application details, media, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Italy", "url": "http://www.miff.it/"} +{"d:Title": "Torino Film Festival", "d:Description": "Offering background information, schedule and history.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Italy", "url": "http://www.torinofilmfest.org/"} +{"d:Title": "Roma Independent Film Festival", "d:Description": "Independent and international film festival.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Italy", "url": "http://www.riff.it/"} +{"d:Title": "Senza Frontiere", "d:Description": "Aims to showcase films that transcend mental and physical borders. Background information, rules and schedule, past festivals, and links. Rome.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Italy", "url": "http://withoutbordersfilm.org/"} +{"d:Title": "Telemark", "d:Description": "Biannual event screening short and feature-length films focused on freeheel skiing. Submission rules, past winners, and links. Livigno, Italy.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Italy", "url": "http://telemarkfilmfest.wordpress.com/"} +{"d:Title": "International Film Festival Rotterdam", "d:Description": "Presents feature films and feature length documentaries, program information, submission, and news.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Netherlands", "url": "http://www.filmfestivalrotterdam.com/"} +{"d:Title": "Streaming Festival", "d:Description": "The Hague-based annual event broadcasts a range of artistic films. Overview, artists, programs, rules, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Netherlands", "url": "http://www.streamingfestival.com/"} +{"d:Title": "Amsterdam Film Festival", "d:Description": "Created to recognize and award films that have potential to move audiences and change perceptions. About the festival, local attraction, rules and submission details, sponsors, contact information, and related links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Netherlands", "url": "http://amsterdamfilmfestival.com/"} +{"d:Title": "Shadow Documentary Film Festival", "d:Description": "Submission details, venue information, media, and past festival archives.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Netherlands", "url": "http://www.shadowfestival.nl/"} +{"d:Title": "Himalaya Film Festival", "d:Description": "Promotes documentary cinema and gives credit to films or videos dealing with the Himalayan region..", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Netherlands", "url": "http://www.himalayafilmfestival.us/"} +{"d:Title": "Visions du R\u00e9el", "d:Description": "Annual international documentary film festival, with details of past winners and information for entrants, media representatives and the public.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Switzerland", "url": "http://www.visionsdureel.ch/"} +{"d:Title": "Locarno Film Festival", "d:Description": "Official site with history, general information, schedule, jury, news, photographs, special events, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Switzerland/Locarno", "url": "http://www.pardo.ch/"} +{"d:Title": "Critic's Week", "d:Description": "Information on previous and upcoming programs of the Swiss Film Critics Selection at the festival. History, staff, review line-up and past winners.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/Switzerland/Locarno", "url": "http://www.semainedelacritique.ch/"} +{"d:Title": "Cambridge Film Festival", "d:Description": "First ran 1977 to 1996; relaunched in 2001. Held yearly from the Arts Picture House.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.cambridgefilmfestival.org.uk/"} +{"d:Title": "Cinemagic Belfast", "d:Description": "A festival for young film makers.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.cinemagic.org.uk/"} +{"d:Title": "Emergeandsee", "d:Description": "Showing videos made by students in a London cinema. Submission details, application form and information on the screenings.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.emergeandsee.org/"} +{"d:Title": "Festival of Fantastic Films", "d:Description": "Manchester, UK, based fantasy, science fiction and horror festival.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://fantastic-films.com/festival"} +{"d:Title": "Filmstock - Luton International Film Festival", "d:Description": "UK-based international film festival.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.filmstock.co.uk/"} +{"d:Title": "London Food Film Fiesta", "d:Description": "A celebration of food's relationship with the Arts. Provides brief details of the event's philosophy.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.londonfoodfilmfiesta.co.uk/"} +{"d:Title": "Viva Film Festival", "d:Description": "Cornerhouse, Manchester presents its annual showcase of Spanish cinema.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.vivafilmfestival.com/"} +{"d:Title": "Portobello Film Festival", "d:Description": "Showcases independent films and video mostly from British filmmakers. History, image gallery, past festival details, entry form, contact information, and links. London.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.portobellofilmfestival.com/"} +{"d:Title": "The Times BFI London Film Festival", "d:Description": "Memories of last year and details of next from The Guardian.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.bfi.org.uk/lff/"} +{"d:Title": "Leeds Zombie Film Festival", "d:Description": "A twelve-hour festival celebrating the cult zombie genre. History of zombie cinema, film synopses, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.leedszombiefilmfestival.com/"} +{"d:Title": "British Urban Film Festival", "d:Description": "London-based showcase of urban film. Schedule and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://britishurbanfilmfestival.co.uk/"} +{"d:Title": "London International Documentary Festival", "d:Description": "10-day festival held in London presents documentary films and themed panel discussions. Background information, events, film profiles, venues, program, news, submission details, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.lidf.co.uk/"} +{"d:Title": "Cork French Film Festival", "d:Description": "Presents the best of French cinema to Cork audiences and encourages artistic development and excellence through film. Overview, press links, program, film information, screenings, venue, staff, brochure, and links. Cork, Ireland.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.corkfrenchfilmfestival.com/"} +{"d:Title": "Brief Encounters Short Film Festival", "d:Description": "Competitive event held in Bristol. Programme, news, press and background information are provided plus a mailing list.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.encounters-festival.org.uk/"} +{"d:Title": "PICS", "d:Description": "Film Festival with competitions for young people. Based in Caernarfon, North Wales.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://gwylffilmpics.com/"} +{"d:Title": "Zipangu Fest Japanese Film Festival", "d:Description": "UK Japanese film festival in 2012, based in London, promoting independent film from Japan", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://zipangufest.com/"} +{"d:Title": "Raindance Film Festival", "d:Description": "General information, submission details, past festivals, film synopses, FAQ, and media.", "topic": "Top/Arts/Movies/Film_Festivals/Europe/United_Kingdom", "url": "http://www.raindance.org/"} +{"d:Title": "If Istanbul", "d:Description": "Annual international independent film festival. Program, film details, events and parties, media, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/Middle_East", "url": "http://www.ifistanbul.com/"} +{"d:Title": "Dubai International Film Festival", "d:Description": "Movie festival featuring commentaries, movie reviews, and news; located in Dubai, United Arab Emirates.", "topic": "Top/Arts/Movies/Film_Festivals/Middle_East", "url": "http://movies.theemiratesnetwork.com/diff/"} +{"d:Title": "Dox Box", "d:Description": "Independent documentary film festival in Syria. Overview, programs, submission details, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Middle_East", "url": "http://www.dox-box.org/"} +{"d:Title": "Mexico International Film Festival", "d:Description": "Founded on the premise that the language of film is universal and a force in bridging cultural understanding. General information, location and venue details, schedule, film details, past winners, submission rules, sponsors, and links. Rosarito, Mexico.", "topic": "Top/Arts/Movies/Film_Festivals/North_America", "url": "http://mexicofilmfestival.com/"} +{"d:Title": "Festival des Films du Monde", "d:Description": "Competition recognized by the International Federation of Film Producers Associations. Montreal, Quebec.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://www.ffm-montreal.org/"} +{"d:Title": "Horrorfest", "d:Description": "Classic horror films and live entertainment in Montreal.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://www.horrorfest.com/"} +{"d:Title": "Salon des Refus\u00e9s Atlantique", "d:Description": "Screens films rejected by the Atlantic Film Festival. History, schedule, media, and contact information. Halifax.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://rejectsalon.tripod.com/"} +{"d:Title": "Atlantic Film Festival", "d:Description": "Week-long celebration of film and video based in Halifax, Nova Scotia.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://www.atlanticfilm.com/"} +{"d:Title": "Calgary International Film Festival", "d:Description": "Brings a quality and diversity of film to Calgary movie-lovers. Provides membership details, submission guidelines, schedules and latest news. Alberta.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://www.calgaryfilm.com/"} +{"d:Title": "Rossland Mountain Film Festival", "d:Description": "Annual three-day event focusing on showcasing the work of Alpine City, Canada filmmakers. Overview, schedule, past festivals, sponsors, and news.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://rosslandmountainfilmfestival.weebly.com/"} +{"d:Title": "Alberta Student Film Festival", "d:Description": "Annual event hosted by the University of Alberta Society for Creative Filmmaking aims to promote student film in the province. Background, news, schedule, submission guidelines, sponsorship, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://www.ualberta.ca/~asff/"} +{"d:Title": "North West Fest", "d:Description": "Runs annually in November, in Edmonton, Alberta, Canada, presenting documentary films and videos as catalysts for reflection, discussion, and inspiration. Background and entry details, past festivals, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://northwestfest.ca/"} +{"d:Title": "NSI Online Short Film Festival", "d:Description": "All-Canadian festival, featuring feature and short films, based in Winnipeg, Manitoba. Overview, submission details, screenings, sponsors, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada", "url": "http://www.nsi-canada.ca/film-festival/"} +{"d:Title": "Canadian Heritage Film Festival", "d:Description": "Features screenings of feature films, documentaries, classic NFB shorts, keynote speakers, and a panel. (Archive)", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia", "url": "http://www.scfs.ca/chff/"} +{"d:Title": "Powell River Film Festival", "d:Description": "Presents Canadian and international documentaries, shorts and feature films. Overview, contest information and entry guidelines in PDF format, photographs, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia", "url": "http://www.prfilmfestival.ca/"} +{"d:Title": "Vancouver Asian Film Festival", "d:Description": "Provides a forum for independent North American Asian filmmakers.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia", "url": "http://www.vaff.org/"} +{"d:Title": "Vancouver Latin American Film Festival", "d:Description": "Annual film festival provides a forum for the promotion and exhibition of Latin American cinema.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia", "url": "http://www.vlaff.org/"} +{"d:Title": "Victoria Film Festival", "d:Description": "Celebration of film held in Victoria.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia", "url": "http://victoriafilmfestival.com/"} +{"d:Title": "Canada International Film Festival", "d:Description": "Showcases feature, short, documentary, experimental, and student films in addition to music videos and animation. Background information and history, submission guidelines, press links, schedules, sponsorship and contact details, past winners, and links. Vancouver.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia", "url": "http://canadafilmfestival.com/"} +{"d:Title": "Vancouver International Film Festival", "d:Description": "Annual festival. Information about films, venues and prices.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia/Vancouver_International", "url": "http://www.viff.org/"} +{"d:Title": "Iofilm.co.uk: Vancouver International Film Festival", "d:Description": "Independent media coverage of festival. Diary, film reviews, and discussion forum.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/British_Columbia/Vancouver_International", "url": "http://www.iofilm.co.uk/festivals/vancouver/"} +{"d:Title": "AluCine", "d:Description": "Annual Spanish-language film and video festival in Toronto.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://alucinefestival.com/"} +{"d:Title": "Planet in Focus", "d:Description": "International environmental film and video festival based in Toronto. Background information, schedule, news and media, tickets, venue details, and resources.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.planetinfocus.org/"} +{"d:Title": "Canadian Filmmakers' Festival", "d:Description": "Toronto event celebrating Canadian independent films and filmmakers. General information, submission guidelines, schedule, past winners, sponsors, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.canfilmfest.ca/"} +{"d:Title": "Hot Docs", "d:Description": "Canadian international documentary film festival. Toronto.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.hotdocs.ca/"} +{"d:Title": "Kingston Canadian Film Festival", "d:Description": "Stand-alone showcase of strictly Canadian feature films. Kingston.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.kingcanfilmfest.com/"} +{"d:Title": "Niagara Indie FilmFest", "d:Description": "Showcase for independent Canadian film and video. St. Catharines.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.niagaraindiefilmfest.org/"} +{"d:Title": "ReelWorld Film Festival", "d:Description": "Annual film festival based in Toronto. General information, industry links, sponsors, submission details, and media.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.reelworld.ca/"} +{"d:Title": "Toronto Online Film Festival", "d:Description": "Showcase for feature and short films, animation, commercials, and music videos. Overview, awards, submission guidelines, films, events, and venue details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.torontoonlinefilmfestival.com/"} +{"d:Title": "London Lesbian Film Festival", "d:Description": "Celebrating lesbian contribution to film making in Canada.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.llff.ca/"} +{"d:Title": "Toronto After Dark Film Festival", "d:Description": "Showcasing international horror, cult, and fantasy cinema. Background information, submission details, schedules, venues, travel and accommodations tips, press photographs, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.torontoafterdark.com/"} +{"d:Title": "Toronto Palestine Film Festival", "d:Description": "Celebrates Palestinian filmmaking. Overview, media kit and press releases, sponsorship and volunteering information, program, submission details, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://www.tpff.ca/"} +{"d:Title": "ReFrame", "d:Description": "International event showcasing film and video about cultural, social justice and environmental issues. Peterborough.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://reframefilmfestival.ca/"} +{"d:Title": "Filmi", "d:Description": "Toronto-based festival of global South Asian identity.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://filmifestival.org/"} +{"d:Title": "University of Toronto Film Festival", "d:Description": "A Hart House event showcasing films by students from area film schools with emphasis on shorter works. Submission information and rules, partners, and venue details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://harthouse.ca/events/u-of-t-film-festival/"} +{"d:Title": "Toronto African Film&Music Festival", "d:Description": "TAFMF showcases the works of filmmakers and musicians from over 50 countries, in Africa, with the emphasis on film and music produced within the African continent.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://torontoafricanfilmmusicfest.com/"} +{"d:Title": "Toronto International Film Festival", "d:Description": "Offers screenings, lectures, discussions, and festivals. Ticket sales and movie descriptions.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario", "url": "http://tiff.net/"} +{"d:Title": "Toronto International Film Festival", "d:Description": "The official site of the city's annual festivals for new cinema. Includes past festival details and award winners.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario/Toronto_International", "url": "http://www.torontointernationalfilmfestival.ca/"} +{"d:Title": "TOfilmfest", "d:Description": "Unofficial guide to the festival's selections. Lists of films sortable by title, language, country of origin, program category, and star rating. Listings include synopses and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario/Toronto_International", "url": "http://tofilmfest.ca/"} +{"d:Title": "Digital Hit at the Toronto Film Festival", "d:Description": "Reviews, commentary, photos and discussion.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/Canada/Ontario/Toronto_International", "url": "http://www.digitalhit.com/torontofilmfestival"} +{"d:Title": "Atlanta Film Festival", "d:Description": "Details on the various festivals in and around Atlanta, Georgia.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://atlantafilmfestival.com/"} +{"d:Title": "Northwest Film and Video Festival", "d:Description": "Oregon's festival of New World Cinema.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.nwfilm.org/"} +{"d:Title": "Bargain Basement Film Festival", "d:Description": "Independent underground film festival in Columbia, Missouri specializes in low-budget films. Past festival information and mailing list.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.bbfilm.com/"} +{"d:Title": "East Lansing Film Festival", "d:Description": "Annual film festival on the campus of Michigan State University. Has details on upcoming events and on the East Lansing Film Society.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.elff.com/"} +{"d:Title": "Fargo Film Festival", "d:Description": "An annual event focusing on historic film and the importance of film preservation. Fargo, North Dakota.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.fargofilmfestival.org/"} +{"d:Title": "FirstGlance Film Festival", "d:Description": "Independent film festivals in Philadelphia and Los Angeles.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.firstglancefilms.com/"} +{"d:Title": "Hartford Jewish Film Festival", "d:Description": "Showcases films highlighting the international Jewish experience. Schedule, venue details, films, staff, and resources. Hartford, Connecticut.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.hjff.org/"} +{"d:Title": "Hot Springs Documentary Film Institute", "d:Description": "Launched in 1992 as a cooperative effort between the Southern Film Alliance and a small group of Hot Springs volunteers. Annual festival details, news, and video introduction. Arkansas.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.hsdfi.org/"} +{"d:Title": "International Wildlife Film Festival", "d:Description": "Commending wildlife film contributions to conservation. Missoula, Montana.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.wildlifefilms.org/"} +{"d:Title": "Israel Film Festival", "d:Description": "Featuring films with English subtitles. Los Angeles, New York and Miami.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.israelfilmfestival.com/"} +{"d:Title": "Jackson Hole Wildlife Film Festival", "d:Description": "Celebrating nature films in the heart of Grand Teton National Park.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.jhfestival.org/"} +{"d:Title": "Kansas Silent Film Festival", "d:Description": "Annual silent film festival held in Wichita, Kansas.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.kssilentfilmfest.org/"} +{"d:Title": "Maine International Film Festival", "d:Description": "Featuring foreign, independent, and Maine-made films.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.miff.org/"} +{"d:Title": "Nashville Independent Film Festival", "d:Description": "Tennessee based showcase.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.nashvillefilmfestival.org/"} +{"d:Title": "Ozark Foothills Film Fest", "d:Description": "Arkansas-based annual event. General information, past festival details, filmmakers showcase, and upcoming events.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.ozarkfoothillsfilmfest.org/"} +{"d:Title": "Port Townsend Film Festival", "d:Description": "Independent films in Washington.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.ptfilmfest.com/"} +{"d:Title": "Rhode Island International Film Festival", "d:Description": "Annual event based in Providence. History, general information, events, application guidelines, media, awards, tickets, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.film-festival.org/"} +{"d:Title": "Cinema St. Louis And International Film Festival", "d:Description": "Presenting a 10-day event featuring world cinema, American indies, short subjects and documentaries.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://cinemastlouis.org/"} +{"d:Title": "Santa Fe Film Festival", "d:Description": "Showcases contemporary cinema, premieres, contributions of veteran film artists and offers a platform for emerging artists. Santa Fe, New Mexico,", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.santafefilmfestival.com/"} +{"d:Title": "Slamdance Film Festival", "d:Description": "Anarchic site for the famous independent filmmakers festival in Utah.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.slamdance.com/"} +{"d:Title": "Maui Film Festival", "d:Description": "Information about films and events, online tickets and passes and the latest news from the festival.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.mauifilmfestival.com/"} +{"d:Title": "Outdoor Film Festival at NIH", "d:Description": "Charity drive with family films shown at a picnic. Bethesda, Maryland.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.filmfestnih.org/"} +{"d:Title": "Ashland Independent Film Festival", "d:Description": "Features length documentaries and narratives as well as shorts and student films; Includes schedules, winners, submission guide and contacts. Oregon.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.ashlandfilm.org/"} +{"d:Title": "Lakedance International Film Festival", "d:Description": "Annual film festival, with entries from independent filmmakers in the Inland Northwest and internationally, staged at the Panida Theater in Sandpoint, Idaho. Films, tickets, photographs, submission details, sponsors, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://lakedance.com/"} +{"d:Title": "Oxford Film Festival", "d:Description": "Annual four-day event showcasing local and national independent cinema. Festival and location details, film profiles, awards, volunteering and sponsorships, media, contact details, blog, and links. Mississippi.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.oxfordfilmfest.com/"} +{"d:Title": "National Film Festival for Talented Youth (NFFTY)", "d:Description": "Annual event hosted by non-profit arts organization The Talented Youth showcases creations by young filmmakers. Submission information, organization overview, schedule, venue details, press, weblog, and links. Seattle, Washington.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.nffty.org/"} +{"d:Title": "Cedar Rapids Independent Film Festival", "d:Description": "Provides a venue for Iowa filmmakers, features submission information, keynote addresses and awards.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.crifm.org/"} +{"d:Title": "Seattle International Film Festival", "d:Description": "Presents feature films, documentaries and short works. Seattle, Washington.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.siff.net/"} +{"d:Title": "Johns Hopkins Film Fest", "d:Description": "Independent films, classics and documentaries from Baltimore.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.jhufilmfest.com/"} +{"d:Title": "Hardacre Film Festival", "d:Description": "Annual event based in Tipton, Iowa, screening independently produced films. Schedules, venue information, tickets, mailing list, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://hardacrefilmfestival.com/"} +{"d:Title": "Minneapolis-St. Paul International Film Festival", "d:Description": "Annual celebration of international film organized by Minnesota Film Arts. Overview, films, photographs, sponsors, tickets, contact details, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://mspfilm.org/"} +{"d:Title": "Savannah Film and Video Festival", "d:Description": "Offers a chance for film makers to get new experiences. Savannah, Georgia.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://filmfest.scad.edu/"} +{"d:Title": "New Orleans Film Festival", "d:Description": "Presents features, shorts, docs, animation, and musicvideos. New Orleans.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.neworleansfilmfestival.org/"} +{"d:Title": "Crossroads Film Festival", "d:Description": "Jackson, Mississippi, festival of independent film making.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://www.crossroadsfilmfestival.com/"} +{"d:Title": "Kansas City FilmFest", "d:Description": "Juried festival celebrating independent filmmaking.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://kcfilmfest.org/"} +{"d:Title": "Mid-Atlantic Nostalgia Convention", "d:Description": "Three-day non-profit film festival in September for vintage Hollywood motion-pictures, celebrities signing autographs for charities and seminars. Hunt Valley, Maryland.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States", "url": "http://mgram1.wixsite.com/nostalgia-convention"} +{"d:Title": "Scottsdale International Film Festival", "d:Description": "Schedules, films, ticket information, entry forms and contact information. Scottsdale.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Arizona", "url": "http://www.scottsdalefilmfestival.com/"} +{"d:Title": "Arizona International Film Festival", "d:Description": "Features and shorts, film or video. Located in Tucson, Arizona.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Arizona", "url": "http://www.filmfestivalarizona.com/"} +{"d:Title": "The International Horror and Sci-fi Film Festival", "d:Description": "Annual event dedicated to films in the horror and science fiction genres. Overview, submission details, partnerships and volunteering, press, past events, hall of fame, and links. Tempe.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Arizona", "url": "http://horrorscifi.com/"} +{"d:Title": "Phoenix Film Festival", "d:Description": "Annual competitive event for independent features and shorts. Schedule, events, tickets, sponsors, submission information, venue details, volunteering, and links. Phoenix.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Arizona", "url": "http://phoenixfilmfestival.com/"} +{"d:Title": "Sedona International Film Festival and Workshop", "d:Description": "Arizona-based dramatic and documentary film showcase. Sedona.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Arizona", "url": "http://www.sedonafilmfestival.org/"} +{"d:Title": "Screamfest", "d:Description": "Annual horror film and screenplay competition. General information, schedule, tickets, mailing list, and links. California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.screamfestla.com/"} +{"d:Title": "Arpa International Film Festival", "d:Description": "Annual Los Angeles event with a special focus on the work of filmmakers who explore the issues of Diaspora, exile and multi-culturalism. General information, events calendar, photographs, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://affma.org/"} +{"d:Title": "Beverly Hills Film Festival", "d:Description": "Application form in PDF format and film submission details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://beverlyhillsfilmfestival.com/"} +{"d:Title": "Cinequest San Jose Film Festival", "d:Description": "Annual event in the Silicon Valley. Background, program guide, venue details, filmmakers, media, sponsors, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.cinequest.org/"} +{"d:Title": "Dances With Films", "d:Description": "Independent film festival in Santa Monica, California offering event and submission information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.danceswithfilms.com/"} +{"d:Title": "Hollywood Film Festival", "d:Description": "International independent competitive festival and awards.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://hollywoodawards.com/"} +{"d:Title": "Indian Film Festival of Los Angeles", "d:Description": "Annual event showcases films about India. Background information, submission guidelines, contact information, and sponsors.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.indianfilmfestival.org/"} +{"d:Title": "LA Femme Film Festival", "d:Description": "Focuses on works of female filmmakers. Los Angeles.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.lafemme.org/"} +{"d:Title": "Lone Pine Film Festival", "d:Description": "Annual film festival features movies which have been filmed in and around Lone Pine, California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.lonepinefilmfestival.org/"} +{"d:Title": "Method Fest", "d:Description": "Independent showcase in Burbank, California. Overview, industry news, events, media, and related links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.methodfest.com/"} +{"d:Title": "Palm Springs International Film Festival", "d:Description": "Get details on the short and feature film festival.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.psfilmfest.org/"} +{"d:Title": "Pan African Film Festival", "d:Description": "Features African American themed films and includes news and contacts. Based in Los Angeles, California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.paff.org/"} +{"d:Title": "Polish Film Festival in Los Angeles", "d:Description": "Offers information about the festival and other events targeting Polish and English speaking audiences. Los Angeles, USA.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.polishfilmla.org/"} +{"d:Title": "Sacramento Film and Music Festival", "d:Description": "Non-genre film festival in Sacramento featuring schedule, history, and submission information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.sacfilm.com/"} +{"d:Title": "San Diego Asian Film Festival", "d:Description": "For films that raise awareness of Asian issues.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.sdaff.org/"} +{"d:Title": "Santa Barbara International Film Festival", "d:Description": "Presents features, indies, shorts, documentaries and animation. Santa Barbara, California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://sbiff.org/"} +{"d:Title": "Shriek Fest", "d:Description": "International horror and thriller film festival based in Los Angeles, California. General information, entry forms, dates, tickets, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.shriekfest.com/"} +{"d:Title": "Sonoma Valley Film Festival", "d:Description": "Provides overview, submission information, sponsors, volunteering, and related links; based in Sonoma, California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.sonomafilmfest.org/"} +{"d:Title": "South Asian Film Festival", "d:Description": "Showcases diverse images of South Asians through independent films. California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.thirdi.org/festival/"} +{"d:Title": "Studentfilms.com", "d:Description": "Online student short film showcase. Los Angeles, California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.studentfilms.com/"} +{"d:Title": "United Nations Association Film Festival", "d:Description": "Annual festival featuring international documentaries dealing with topics such as human rights, environmental survival, women's issues, homelessness, racism, and war. History, submission guidelines, schedule, and volunteering. Held at Stanford University and San Francisco Bay area locations.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.unaff.org/"} +{"d:Title": "US International Film and Video Festival", "d:Description": "Open-entry freestyle festival. California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.filmfestawards.com/"} +{"d:Title": "Valley Film Festival", "d:Description": "Features program and submission information of independent film festival in San Fernando Valley.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.valleyfilmfest.com/"} +{"d:Title": "Noir City", "d:Description": "Annual film noir festival in San Francisco, showcasing film noir classics.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.filmnoirfoundation.org/"} +{"d:Title": "Newport Beach Film Festival", "d:Description": "Spotlights films from around the world including features, shorts, documentaries, and animations. Festival information, events, and schedule.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.newportbeachfilmfest.com/"} +{"d:Title": "Ojai Film Festival", "d:Description": "Independent film showcase. Background information, entry requirements, schedule of screenings, venue information, and media.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.ojaifilmfestival.com/"} +{"d:Title": "Wild and Scenic Environmental Film Festival", "d:Description": "Celebrates the natural and wild world through environmental and adventure films. Nevada City.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.wildandscenicfilmfestival.org/"} +{"d:Title": "Yosemite Film Festival", "d:Description": "Focuses on screening progressive films in various genres. Overview, venue and accommodations, schedule, film information, submission rules, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://yosemitefilmfestival.com/"} +{"d:Title": "The San Francisco Black Film Festival", "d:Description": "Focuses on African American cinema and the African cultural diaspora by showcasing a diverse collection of films from emerging and established filmmakers. Overview, history, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.sfbff.org/"} +{"d:Title": "Going Green Film Festival", "d:Description": "Established to encourage and reward \"green\" filmmaking. Background and explanation, categories, submission information, partnership details, contacts, and links. Century City.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.goinggreenfilmfestival.com/"} +{"d:Title": "Ventura Film Festival", "d:Description": "Community-based week-long event that raises money for forest and ocean preservation and restoration. Film list, venue, background information, sponsors, volunteering, weblog, press, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://venturafilmfestival.org/"} +{"d:Title": "International Black Women's Film Festival", "d:Description": "Combats stereotyping and negative media images of black women through recognizing and celebrating films made by black women from around the world. Overview, submission information, and resources. Oakland.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.ibwff.com/"} +{"d:Title": "San Diego Film Festival", "d:Description": "Entry guidelines in PDF format, general information, and online registration form.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.sdfilmfest.com/"} +{"d:Title": "International Buddhist Film Festival", "d:Description": "Featuring Buddhist culture, history, personalies, practices, aesthetics and philosophy. Oakland, California.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.buddhistfilmfoundation.org/"} +{"d:Title": "Wine Country Film Festival", "d:Description": "Annual series of open air film screenings in Napa and Sonoma area, with special food and wine events. History, schedule, film information, past winners, media, venue details, sponsors, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://wcff.us/"} +{"d:Title": "Los Angeles Film Festival", "d:Description": "Annual event showcases North American independent, feature, documentary and short films, as well as music videos. Background information, program, tickets, venue details, and media.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.filmindependent.org/la-film-festival/"} +{"d:Title": "Zed Fest", "d:Description": "A film festival and script competition dedicated to the promotion and appreciation of Lo/ No budget \u201cB\u201d and \u201cZ\u201d grade movies and filmmakers. North Hollywood, CA", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/California", "url": "http://www.zedfest.org/"} +{"d:Title": "Moondance Film Festival", "d:Description": "Promoting female screenwriters and filmmakers. Boulder, Colorado.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Colorado", "url": "http://www.moondancefilmfestival.com/"} +{"d:Title": "Boulder International Film Festival", "d:Description": "Annual competition showcasing features, documentaries, animation and short films, held in Boulder, Colorado. Background details, entry guidelines, schedule, volunteering information, sponsorships, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Colorado", "url": "http://www.biff1.com/"} +{"d:Title": "Durango Independent Film Festival", "d:Description": "Screens independent feature films, documentaries, animated films, shorts, children's, and regional works. Background information, tickets, program, past winners, venues, and media. Colorado.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Colorado", "url": "http://www.durangofilm.org/"} +{"d:Title": "Mountainfilm Festival", "d:Description": "Comprises a celebration of the cultures unique to mountain communities and highlights the power of film and the arts to create social change. Telluride, Colorado.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Colorado", "url": "http://www.mountainfilm.org/"} +{"d:Title": "Colorado Environmental Film Festival", "d:Description": "Showcases films that raise awareness of ecological, social, and economic themes. Background information, venue and tickets, program, film profiles, submission information, sponsors, media links, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Colorado", "url": "http://www.ceff.net/"} +{"d:Title": "Vail Film Festival", "d:Description": "Dedicated to independent American films, with a focus on Features, Shorts, Documentaries, TV Pilots, Commercials, and Live Action Sports. Vail, Colorado.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Colorado", "url": "http://www.vailfilmfestival.com/"} +{"d:Title": "Breckenridge Festival of Film", "d:Description": "Featuring independent films, schedule, ticket information, and program. Colorado.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Colorado", "url": "http://breckfilmfest.org/"} +{"d:Title": "American Black Film Festival", "d:Description": "Held in June of each year in South Beach. Dedicated to supporting the cinematic work of Black filmmakers.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://www.abff.com/"} +{"d:Title": "Florida Film Festival", "d:Description": "Showcases American independent and foreign films. Includes schedule, ticket information, and news.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://www.floridafilmfestival.com/"} +{"d:Title": "Miami International Film Festival", "d:Description": "Film festival organized by the Film Society of Miami and Florida International University.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://www.miamifilmfestival.com/"} +{"d:Title": "Palm Beach International Film Festival", "d:Description": "Student and world cinema showcase.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://www.pbifilmfest.org/"} +{"d:Title": "Sarasota Film Festival", "d:Description": "Includes a calendar of events and membership information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://www.sarasotafilmfestival.com/"} +{"d:Title": "Cinerama", "d:Description": "Annual event presented by Florida Undergraduate Film. Overview, submission guidelines, past entries, and links. Gainesville.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://grove.ufl.edu/%7Efuf/s-cinerama.shtml"} +{"d:Title": "Freak Show", "d:Description": "International horror film festival based in Orlando. History, submission information, award listings and past winners, sponsors, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://www.freakshowfilmfest.com/"} +{"d:Title": "Humphrey Bogart Film Festival", "d:Description": "Occurring during May in Key Largo. Includes schedule of films and locations, and a biography of Bogart.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Florida", "url": "http://bogartfilmfestival.com/"} +{"d:Title": "Chicago Underground Film Festival", "d:Description": "Alternative, underground, independent and experimental films. Schedule, past festivals, weblog, trailers, press information, sponsors, volunteering, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Illinois", "url": "http://www.cuff.org/"} +{"d:Title": "Indianapolis International Film Festival", "d:Description": "Screens feature and short films, including films made in the Midwest of the USA. Rules for submission, entry forms, press submissions, film schedules, and ticket purchases.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Indiana", "url": "http://indyfilmfest.org/"} +{"d:Title": "River Bend Film Fest", "d:Description": "Formerly the Indiana University South Bend Independent Video and Filmmakers Festival, this annual event is open to submissions from everyone including high school and college students. Background, tickets, venue, film profiles, events and workshops, press, sponsors, submission guidelines, and volunteering details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Indiana", "url": "http://riverbendfilmfest.com/"} +{"d:Title": "Boston Motion Picture Awards", "d:Description": "Annual competition for funding between independent filmmakers. Rules, application form, judges, sponsors, prizes, FAQ, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Massachusetts", "url": "http://www.bostonawards.com/"} +{"d:Title": "Boston Turkish Film Festival", "d:Description": "Featuring critically acclaimed films focusing on Turkish themes; includes archive, festival and program information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Massachusetts", "url": "http://www.bostonturkishfilmfestival.org/"} +{"d:Title": "Independent Film Festival of Boston", "d:Description": "Includes submission information, deadlines, and pricing information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Massachusetts", "url": "http://www.iffboston.org/"} +{"d:Title": "Woods Hole Film Festival", "d:Description": "Annual event based in Cape Cod, screening work from New England-based independent filmmakers. History, general information, entry details, workshops, past winners, special events, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Massachusetts", "url": "http://www.woodsholefilmfestival.org/"} +{"d:Title": "Nevada Film Festival", "d:Description": "Annual competitive event screens films of varying lengths and genres. Overview, location, staff, sponsors, submission rules, schedule, films, winners, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Nevada", "url": "http://nevadafilmfestival.com/"} +{"d:Title": "Las Vegas Film Festival", "d:Description": "Seeks to bridge the gap between independent film audiences and filmmakers, as well as to connect emerging filmmakers with established industry professionals. Background, travel and location, schedule, films, past and current winners, awards and categories, submission rules, sponsors, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Nevada", "url": "http://www.lvff.com/"} +{"d:Title": "Dover Film Festival", "d:Description": "Annual event based in Dover. General information, tickets, sponsors, venue details, staff, and participant information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_Jersey", "url": "http://www.dover-film.com/"} +{"d:Title": "New Jersey Film Festival", "d:Description": "Features festival, winner, and program information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_Jersey", "url": "http://www.njfilmfest.com/"} +{"d:Title": "New Jersey Independent South Asian Cine Fest", "d:Description": "Seeks to promote and recognize independent South Asian filmmakers. Overview, staff, venue, volunteering and sponsorship, schedule, film profiles, press links, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_Jersey", "url": "http://www.njisacf.org/"} +{"d:Title": "Trenton Film Festival", "d:Description": "A weekend film festival, brings together audiences and filmmakers. Features screenings, lectures and an Academy Awards Gala.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_Jersey", "url": "http://trentonfilmsociety.org/"} +{"d:Title": "Big Apple Film Festival", "d:Description": "Independent film festival located in New York City.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.bigapplefilmfestival.com/"} +{"d:Title": "Critical Mass", "d:Description": "Non-competitive upstate New York film and media festival.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://students.hamilton.edu/critical_mass/"} +{"d:Title": "FilmColumbia", "d:Description": "Films from up and down the Hudson River. Held in Chatham, New York each fall. Schedule, entry guidelines, tickets, sponsors, media, and lodging details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://filmcolumbia.com/"} +{"d:Title": "Havana Film Festival in New York", "d:Description": "Screenings of Latin American films. Overview, press, schedule, theater information, tickets, sponsors, and lodging details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.hffny.com/"} +{"d:Title": "HDfest", "d:Description": "Annual festival that show only film shot with HDTV cameras. New York, New York.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://hdfest.com/"} +{"d:Title": "The New York City Independent Horror Film Festival", "d:Description": "Contestant categories, submission guidelines, personnel, tickets, venue details, and sponsors.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.nychorrorfest.com/"} +{"d:Title": "New York International Children's Film Festival", "d:Description": "Redefining what kids' entertainment can be.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.gkids.com/"} +{"d:Title": "NYC Midnight Movie Making Madness", "d:Description": "Digital filmmaking competition co-founded by two New York filmmakers. Overview, currently ongoing competitions, press, sponsors, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.nycmidnight.com/"} +{"d:Title": "Tromadance", "d:Description": "Film festival for fans of fiercely independent cinema. Long Island City, New York.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.tromadance.com/"} +{"d:Title": "Woodstock Film Festival", "d:Description": "Non-profit event for independent filmmakers. New York.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.woodstockfilmfestival.com/"} +{"d:Title": "Finger Lakes Environmental Film Festival", "d:Description": "FLEFF - Ithaca College. One-week multimedia interarts with a focus on environment and sustainability.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.ithaca.edu/fleff/"} +{"d:Title": "Tribeca Film Festival", "d:Description": "Established in 2002, this is a Spring festival in Lower Manhattan. News, general information, event guide and online ticket sales.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.tribecafilm.com/festival/"} +{"d:Title": "Acefest", "d:Description": "Seeks to recognize and honor American-made films. Background information, submission details, tickets and venue, past events, contacts, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.acefest.com/"} +{"d:Title": "Urbanworld Film Festival", "d:Description": "Annual competitive event dedicated to redefining the role of multicultural constituents in contemporary cinema. History, overview, press, film profiles, submission rules, sponsors, judges, blog, photographs, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.urbanworld.org/"} +{"d:Title": "Rural Route Film Festival", "d:Description": "Highlighting works that deal with rural people and places.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.ruralroutefilms.com/"} +{"d:Title": "Sprout Film Festival", "d:Description": "Showcasing films and videos related to the field of developmental disabilities. New York City.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://gosprout.org/film-festival/"} +{"d:Title": "Human Rights Watch International Film Festival", "d:Description": "Fiction, documentary and animated films about human rights. New York, NY.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://ff.hrw.org/"} +{"d:Title": "Brooklyn International Film Festival", "d:Description": "Competitive event for independent filmmakers, held annually in May. Background information, entry guidelines, previous winners, media, sponsors, and related links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.brooklynfilmfestival.org/"} +{"d:Title": "Syracuse International Film Festival", "d:Description": "Schedules, films, special events, ticket information, entry forms and contact information for this annual event. Syracuse, USA.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.filminsyracuse.com/"} +{"d:Title": "Long Island Film Festival", "d:Description": "Showcase for independent film. General information, program schedule, and sponsors [requires Flash].", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/New_York", "url": "http://www.longislandfilmfestival.org/"} +{"d:Title": "Full Frame Documentary Film Festival", "d:Description": "Annual festival held each April in Durham. Provides details on the films and awards for attendees, sponsors and press.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/North_Carolina", "url": "http://www.fullframefest.org/"} +{"d:Title": "RiverRun International Film Festival", "d:Description": "Event screening international independent films in Winston-Salem.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/North_Carolina", "url": "http://www.riverrunfilm.com/"} +{"d:Title": "Real to Reel Film Festival", "d:Description": "Offers a forum for independent film makers in Cleveland.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/North_Carolina", "url": "http://www.ccartscouncil.org/realtoreel/"} +{"d:Title": "SkyFest Film and Script Festival", "d:Description": "Non-traditional event held in Alexander, designed to minimize spending and maximize award winnings. Background information, featured films and filmmakers, categories, past winners, submission details, contact information, and resources.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/North_Carolina", "url": "http://skyfest.net/"} +{"d:Title": "Cucalorus Film Festival", "d:Description": "Non-competitive event based in Wilmington. Overview, history, travel and venues, volunteering, sponsors, schedule, film profiles, submission rules, press, past winners, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/North_Carolina", "url": "http://www.cucalorus.org/"} +{"d:Title": "Cleveland International Film Festival", "d:Description": "Showcases contemporary international films. Includes schedule and ticket information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Ohio", "url": "http://www.clevelandfilm.org/"} +{"d:Title": "The Indie Gathering", "d:Description": "Independent film convention in Cleveland. General information, participants, competitions, seminars, registration details, and awards.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Ohio", "url": "http://theindiegathering.com/"} +{"d:Title": "Cincinnati Film Festival", "d:Description": "Held during Fall in Cincinnati area. Provides schedules of films, workshops and panels, and links to ticket sales.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Ohio", "url": "http://www.cincinnatifilmfestival.com/"} +{"d:Title": "Athens International Film and Video Festival", "d:Description": "Highlights experimental, documentary, and narrative films, as well as animations. History and background, schedule, film information, accessibility, accommodations, and ticket details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Ohio", "url": "http://athensfilmfest.org/"} +{"d:Title": "Eerie Horror Film Festival", "d:Description": "Horror film, screenplay and video game competition. Erie.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Pennsylvania", "url": "http://eeriehorrorfilmfestival.com/"} +{"d:Title": "Black Bear Film Festival", "d:Description": "Pennsylvania's independent film festival.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Pennsylvania", "url": "http://www.blackbearfilm.com/"} +{"d:Title": "Great Lakes Film Festival", "d:Description": "Annual Fall event featuring independent digital films. Includes information on dates, directions, tickets, screenplay competition, and schedule of events.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Pennsylvania", "url": "http://www.greatlakesfilmfest.com/"} +{"d:Title": "Three Rivers Film Festival", "d:Description": "Annual showcase of independent, international, and local films in Pittsburgh. Film details, schedule, ticked information, and sponsors.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Pennsylvania", "url": "http://3rff.com/"} +{"d:Title": "West Chester Film Festival", "d:Description": "Annual showcase of films of 60 minutes' length (or less). Background information, history, staff, schedule, entry guidelines, sponsors, related events, and contact details.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Pennsylvania", "url": "http://www.westchesterfilmfestival.com/"} +{"d:Title": "Terror Film Festival", "d:Description": "Annual event celebrating achievements in the horror and fantasy genres. History, festival information, press reviews, entry information, schedules, winners, archives of past submissions, venue details, sponsorship, and links. Philadelphia.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Pennsylvania", "url": "http://www.terrorfilmfestival.net/"} +{"d:Title": "Sundance Institute", "d:Description": "Sponsor of the Sundance film festival with schedules and film submission criteria. Park City, Utah.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Sundance_Film_Festival", "url": "http://www.sundance.org/"} +{"d:Title": "Sundance - A Festival Virgin's Guide", "d:Description": "Online companion to a book about the festival. Festival history, information for attendees, FAQs, and related links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Sundance_Film_Festival", "url": "http://www.sundanceguide.net/"} +{"d:Title": "Yahoo! Movies: Sundance Film Festival", "d:Description": "Links, news, reviews and photographs.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Sundance_Film_Festival", "url": "http://movies.yahoo.com/blogs/sundance/"} +{"d:Title": "SundanceTV", "d:Description": "Guide for the Sundance TV channel which shows movies from past festivals including live coverage during the festival.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Sundance_Film_Festival", "url": "http://www.sundance.tv/"} +{"d:Title": "Austin Film Festival", "d:Description": "Located in Austin, Texas, USA. Includes registration information, a list of winners, and membership information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Texas", "url": "http://www.austinfilmfestival.com/"} +{"d:Title": "Dallas Video Festival", "d:Description": "Comprehensive festival for established and new film makers.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Texas", "url": "http://videofest.org/"} +{"d:Title": "San Antonio Underground Film Festival", "d:Description": "Includes history, prizes, winners, and a mailing list.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Texas", "url": "http://www.safilm.com/"} +{"d:Title": "Worldfest", "d:Description": "International independent film festival based in Houston, Texas. General information, news, photographs, entry guidelines and FAQ, film details, and links to sponsors.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Texas", "url": "http://www.worldfest.org/"} +{"d:Title": "CineSol Latino Film Festival", "d:Description": "Showcases the best of Latino film and video in a traveling four-week festival that makes its way through South Texas.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Texas", "url": "http://www.cinesol.com/"} +{"d:Title": "Gulf Coast Film And Video Festival", "d:Description": "An annual Houston-based film festival showcasing new and emerging independent filmmakers from around the world.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Texas", "url": "http://www.gulfcoastfilmfest.com/"} +{"d:Title": "Flatland Film Festival", "d:Description": "Lubbock, Texas. Annual short film/video competition and film invitational.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Texas", "url": "http://flatlandfilm.org/"} +{"d:Title": "Williamsburg Film Festival", "d:Description": "Honoring the films and television of Hollywood's Golden era. Virginia.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Virginia", "url": "http://wff5.tripod.com/"} +{"d:Title": "Virginia Film Festival", "d:Description": "Annual weekend-long event held in Charlottesville presenting classic and premiere screenings. Includes logistics, archives, volunteer opportunities, and press releases.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Virginia", "url": "http://www.virginiafilmfestival.org/"} +{"d:Title": "Environmental Film Festival", "d:Description": "Annual event featuring domestic and foreign documentaries, animated shorts, and archival films about the natural world and green living. Programs from past showings, volunteer opportunities, and mailing list.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Washington,_DC", "url": "http://www.dcenvironmentalfilmfest.org/"} +{"d:Title": "CINE", "d:Description": "Hosts semi-annual competitions for non-theatrical film and video production.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Washington,_DC", "url": "http://www.cine.org/"} +{"d:Title": "Filmfest DC", "d:Description": "Annual film festival held in the spring. Background information, programs, special events details, tickets, venues, and links.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Washington,_DC", "url": "http://www.filmfestdc.org/"} +{"d:Title": "Reel Affirmations", "d:Description": "Presents an annual gay and lesbian film festival, held in October, summer films in conjunction with the Capital Pride Festival and monthly screenings. Program schedules and film descriptions.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Washington,_DC", "url": "http://www.reelaffirmations.org/"} +{"d:Title": "Washington Jewish Film Festival", "d:Description": "Celebrates Jewish culture, films and filmmakers. Information on schedule, tickets and venues.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Washington,_DC", "url": "https://www.wjff.org/"} +{"d:Title": "DC Independent Film Festival", "d:Description": "Annual competitive event happening in February. Background information, news, events calendar, entry form, discussion forum, contact details, and past festival information.", "topic": "Top/Arts/Movies/Film_Festivals/North_America/United_States/Washington,_DC", "url": "http://dciff-indie.org/"} +{"d:Title": "Byron Bay Film Festival", "d:Description": "An international film festival based in Australia, accepting films of all lengths and genres. General information, venue details, sponsors, contact information, and related links. Australia.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://www.bbff.com.au/"} +{"d:Title": "Harmony Film Festival", "d:Description": "International short film festival aimed at promoting harmony in the community through the medium of film. Based in Sydney; Screenings in Australia and internationally.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://www.harmonyfilmfest.com/"} +{"d:Title": "SCINEMA", "d:Description": "Showcased professional and student science films. General information, venues, film details, articles, winners, and links. Australia.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://www.csiro.au/scinema/"} +{"d:Title": "Over The Fence", "d:Description": "Australian touring comedy film festival. Entry form, regulations, venues, and contact information.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://www.overthefence.com.au/"} +{"d:Title": "Melbourne Queer Film Festival", "d:Description": "Exhibiting features, shorts, and experimental works from Australia and around the world.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://www.mqff.com.au/"} +{"d:Title": "Revelation Perth International Film Festival", "d:Description": "Annual event with a focus on progressive cinema, taking place at a variety of entertainment venues. Schedule, local information, festival overview, travel, image gallery, past events, news, and links.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://www.revelationfilmfest.org/"} +{"d:Title": "Melbourne International Film Festival", "d:Description": "Annual festival held in July/ August featuring films from Australia and around the world, including a short film competition.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://miff.com.au/"} +{"d:Title": "New Zealand International Film Festivals", "d:Description": "Information, schedules, and entry details for film festivals based in New Zealand.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania", "url": "http://www.nziff.co.nz/"} +{"d:Title": "Sydney Film Festival", "d:Description": "Official site with schedule and information for the Australian film showcase.", "topic": "Top/Arts/Movies/Film_Festivals/Oceania/Sydney_Film_Festival", "url": "http://sff.org.au/"} +{"d:Title": "It's All True", "d:Description": "Brazilian international documentary film festival. History, entry details, and past festival information.", "topic": "Top/Arts/Movies/Film_Festivals/South_America", "url": "http://www.itsalltrue.com.br/"} +{"d:Title": "FilmNoir", "d:Description": "Downloadable database of films noir, thrillers, detective films, and gangster movies.", "topic": "Top/Arts/Movies/Genres", "url": "http://filmnoirandco.free.fr/"} +{"d:Title": "The Church of Splatter Day Saints", "d:Description": "Discussion forum for fans of horror, cult, and exploitation cinema.", "topic": "Top/Arts/Movies/Genres", "url": "http://www.splatterday.com/"} +{"d:Title": "Digital Retribution", "d:Description": "Horror, cult, and exploitation fan community geared towards Australians. Reviews, previews, release dates, feature articles, video clips, and discussion forum.", "topic": "Top/Arts/Movies/Genres", "url": "http://www.digital-retribution.com/"} +{"d:Title": "BeyondHollywood.com", "d:Description": "Asian, foreign, horror, and genre movie reviews and news.", "topic": "Top/Arts/Movies/Genres", "url": "http://www.beyondhollywood.com/"} +{"d:Title": "Varied Celluloid", "d:Description": "Reviews of genre films with a focus on cult and horror, by Joshua Samford and several guest reviewers. Also includes a small selection of wallpapers and a discussion forum.", "topic": "Top/Arts/Movies/Genres", "url": "http://www.variedcelluloid.net/"} +{"d:Title": "Bad Lit", "d:Description": "News and reviews of cult, exploitation, horror and experimental movies.", "topic": "Top/Arts/Movies/Genres", "url": "http://www.badlit.com/"} +{"d:Title": "Action Films", "d:Description": "Analysis of the action genre. Also includes a list of the greatest action films.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.filmsite.org/actionfilms.html"} +{"d:Title": "About Action/Adventure Movies", "d:Description": "Interviews with stars and analysis of trends and classics by Fred Topel.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://actionadventure.about.com/"} +{"d:Title": "VaRaces: Movie Car Chase Database", "d:Description": "Searchable by car type, film or location. Provides chase descriptions, pictures, forums, and links.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.varaces.com/"} +{"d:Title": "The Greatest Disaster Films of All Time", "d:Description": "Beth Rowan's picks, including The Towering Inferno, The Abyss, The Poseidon Adventure and Airport.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.infoplease.com/spot/disaster1.html"} +{"d:Title": "Adventure Films", "d:Description": "Extensive look at the genre from swashbucklers to \"Lawrence of Arabia\" to disaster movies.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.filmsite.org/adventurefilms.html"} +{"d:Title": "Cop Action Films", "d:Description": "Charts the shift from the vengeful vigilante cop of the 1970s to the action-hero cop of the 1980s.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.crimeculture.com/Contents/80sCopFilms.html"} +{"d:Title": "DisasterFlicks.com", "d:Description": "News, information, charts, and reviews of disaster movies. Covers movies about natural disasters, accidents, wrecks and science fiction disasters.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.disasterflicks.com/"} +{"d:Title": "Everything Action", "d:Description": "Weblog with news, extensive action character profiles, links to videos, and feature articles.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.everythingaction.com/"} +{"d:Title": "Kaboom Review", "d:Description": "In-depth reviews of a variety of films by Mike Blitz, with screenshots.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.kaboomreview.com/"} +{"d:Title": "Top 50 Action Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time Action movies.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.imdb.com/genre/action"} +{"d:Title": "Top 50 Adventure Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time Adventure movies.", "topic": "Top/Arts/Movies/Genres/Action", "url": "http://www.imdb.com/genre/adventure"} +{"d:Title": "Kung Fu / Martial Arts / Swordplay Films", "d:Description": "Films that either feature martial arts combat as a central theme or action films that have a notable amount of martial arts combat in them. Includes Chinese swordplay films and Japanese samurai films.", "topic": "Top/Arts/Movies/Genres/Action/Martial_Arts", "url": "http://www.alex-in-wonderland.com/MovieReviews/KungFuIndex.html"} +{"d:Title": "The Faces of Fu", "d:Description": "Kung Fu movie reviews, actor profiles, posters, and photographs.", "topic": "Top/Arts/Movies/Genres/Action/Martial_Arts", "url": "http://faces-of-fu.blogspot.com/"} +{"d:Title": "Teestat's Film Reviews", "d:Description": "Reviews of various martial arts films by Tom Linson.", "topic": "Top/Arts/Movies/Genres/Action/Martial_Arts", "url": "http://webspace.webring.com/people/st/teestat/FilmReviews.html"} +{"d:Title": "Bad Movies", "d:Description": "Reviews of films of dubious value, including pictures, sounds, and videos.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.badmovies.org/"} +{"d:Title": "The Astounding B Monster", "d:Description": "Sci-fi, horror and cult film B-movies.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.bmonster.com/"} +{"d:Title": "Brian's Drive-In Theater", "d:Description": "Extensive number of photos of B-movie stars from the 1930s through the 1980s.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.briansdriveintheater.com/"} +{"d:Title": "Joe Bob Briggs Report", "d:Description": "Official site of Joe Bob Briggs, drive-in movie critic extraordinaire.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.joebobbriggs.com/"} +{"d:Title": "B-Movie Central", "d:Description": "Film reviews and ratings, articles, discussion forums, and links.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.bmoviecentral.com/"} +{"d:Title": "Terribly Entertaining Movies", "d:Description": "Reviews, stills, and clips of bad but fun films.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.forrestwalter.com/movies.html"} +{"d:Title": "B-Notes", "d:Description": "User's Guides to dubious movies.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.jabootu.com/acolytes/bnotes/"} +{"d:Title": "Dave and Jim's B-Movie Review", "d:Description": "Dave and Jim review B-movies and cult films, and assign numerical scores in over 10 categories.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.ratfactor.com/movies/"} +{"d:Title": "Classic B-Movies on Video", "d:Description": "Capsule reviews, references and video availability of films in the genre, made in the 1930-1960 period.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www3.sympatico.ca/bmovieguy/"} +{"d:Title": "Atomic Monsters", "d:Description": "Reviews of the cheesiest B-movie science fiction films of the atomic age, including photos.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.atomicmonsters.com/"} +{"d:Title": "Sv Bells Cult Movies", "d:Description": "B-movie store, reviews and multimedia.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.svbell.com/"} +{"d:Title": "The B-Movie Film Vault", "d:Description": "Bad movie reviews and news.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.bmoviefilmvault.com/"} +{"d:Title": "BadMovieRealm.com", "d:Description": "B-movie reviews with screenshots; along with the occasional rant.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.badmovierealm.com/"} +{"d:Title": "Jabootu: the Bad Movie Dimension", "d:Description": "Full and capsule reviews by Kenneth Richard Begg.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://jabootu.net/"} +{"d:Title": "Video Updates", "d:Description": "Reviews, photographs, and video clips for creature features, slasher films, low budget science fiction, Asian and Italian horror, and zombie movies.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.videoupdates.net/"} +{"d:Title": "B-Movie Geek", "d:Description": "Humorous reviews, previews, commentary and spoofs.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.bmoviegeek.com/"} +{"d:Title": "One Million Miles From Taste", "d:Description": "Reviews on a scale of zero to five severed heads.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://webspace.webring.com/people/to/ommft/"} +{"d:Title": "Lost Highway", "d:Description": "Reviews, photographs, trailers, and video clips. Also includes a humorous list of B-movie survival tips.", "topic": "Top/Arts/Movies/Genres/B-Movies", "url": "http://www.the-losthighway.com/"} +{"d:Title": "Blaxploitation.com", "d:Description": "Includes an introduction to the genre, list of films and links to related articles.", "topic": "Top/Arts/Movies/Genres/Blaxploitation", "url": "http://www.blaxploitation.com/"} +{"d:Title": "Blaxploitation Films", "d:Description": "J.R. Valery writes about how the films influenced hip-hop.", "topic": "Top/Arts/Movies/Genres/Blaxploitation", "url": "http://www.daveyd.com/blaxploit.html"} +{"d:Title": "Sweet's Back Again", "d:Description": "Nicky Baxter examines how these films upended Hollywood stereotypes.", "topic": "Top/Arts/Movies/Genres/Blaxploitation", "url": "http://www.metroactive.com/papers/metro/11.09.95/blax-9545.html"} +{"d:Title": "Bright Lights Film Journal: Blaxploitation", "d:Description": "Gary Morris examines the genre, with particular reference to Pam Grier.", "topic": "Top/Arts/Movies/Genres/Blaxploitation", "url": "http://brightlightsfilm.com/blaxploitation-a-sketch/"} +{"d:Title": "Ol Skool Black Flix", "d:Description": "Honoring all actors who brought us everything from Blacula to Cabin in the Sky to Purple Rain to The Thing With Two Heads, and everything in between.", "topic": "Top/Arts/Movies/Genres/Blaxploitation", "url": "http://olskoolblackflix.com/"} +{"d:Title": "Film Noir, Suspense and Classic Action Movies", "d:Description": "Reviews of classic suspense and action movies by Hitchcock, Mann, and Sturges, plus articles about other classic movies topics.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.suspense-movies.com/"} +{"d:Title": "Greatest Films", "d:Description": "Detailed coverage of film genres, history and many classic films, including vintage movie posters.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.filmsite.org/"} +{"d:Title": "Classic Films", "d:Description": "Classic films, B-movies and black Americans in early film. Bibliography, original articles and hundreds of images and audio clips.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.moderntimes.com/"} +{"d:Title": "Classic Movie Favorites", "d:Description": "Lynn Dougherty's collection of tributes to classic movies, actresses, actors and directors of the 20s to the 60s includes biographies, filmographies, photo collections, and original graphics.", "priority": "1", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.classicmoviefavorites.com/"} +{"d:Title": "Class Act: Those Golden Movie Musicals", "d:Description": "Tributes to the actors, actresses, musicals, and songs of the Golden Age of Hollywood musicals.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.classicmoviemusicals.com/"} +{"d:Title": "Dina Marie Kulzer's Classic Hollywood Biographies", "d:Description": "Biographies of classic movie stars, plus vintage movie magazine covers and rare photographs.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.classichollywoodbios.com/"} +{"d:Title": "Arabella and Co. Online Magazine", "d:Description": "Dedicated \"to the legendary stars of cinema's golden age,\" Arabella offers a series of articles about stars like Tyrone Power, Jeanette MacDonald and Nelson Eddy, James Cagney, Ingrid Bergman, Robert Mitchum, and Barbara Stanwyck, presented as an online magazine.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.arabella-and-co.com/"} +{"d:Title": "ReelJewels", "d:Description": "Ginny Sayre's site consists of tributes to classic actors and actresses, including Judy Garland, Jane Powell, Nelson Eddy and Don Ameche, as well as teams like Hope and Crosby and the Andrews Sisters.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://reeljewels.com/"} +{"d:Title": "Alan's Movie Haven", "d:Description": "A brief history of film from the late 40s to the 90s, a review of the major Oscar winners since 1929, and a series of top ten lists.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://aslan369.tripod.com/Movie/Alan.film.html"} +{"d:Title": "Short Sighted!", "d:Description": "A collection of articles on Judy Garland, Laurel and Hardy, Harold Lloyd, Ann Rutherford, The Vitaphone Project, Charley Chase, June Preisser, Culver City, Hal Roach and the Little Rascals, as well as yearly coverage of Cinefest.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.picking.com/"} +{"d:Title": "Classic Movie Corner", "d:Description": "Reviews and classic film information information on the classic films of the Golden Age of Hollywood from the 1930s - 1970s.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.classicmoviecorner.com/"} +{"d:Title": "50 Years Too Late", "d:Description": "A tribute to classic movies and music from a twenty-something who was born about 50 years too late.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://50yearstoolate.blogspot.com/"} +{"d:Title": "Classic Film and Television", "d:Description": "Michael Grost offers an extensive, text-only collection of scholarly reviews and articles about film and television, and writers, directors and photographers in those media.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://mikegrost.com/film.htm"} +{"d:Title": "Reel Classics", "d:Description": "Collection of classic movie star biographies, filmographies, sound bites, movie clips, articles and links to other classic pages.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.reelclassics.com/"} +{"d:Title": "Hollywood Movie Memories", "d:Description": "Carl DiNello profiles notable films from the 1920s through the 1950s by genre. Includes brief introductory articles for each decade.", "topic": "Top/Arts/Movies/Genres/Classic", "url": "http://www.hollywoodmoviememories.com/"} +{"d:Title": "Hollywood Pinup", "d:Description": "Pin-up pictures, glamour photos, fashion shots of classic film star of hollywood movies from the thirties, forties and fifties.", "topic": "Top/Arts/Movies/Genres/Classic/Actors_and_Actresses", "url": "http://hollywoodpinup.com/"} +{"d:Title": "Bombshells.com", "d:Description": "A collection of vintage Hollywood's femme fatales. Images, sounds and postcards are featured.", "priority": "1", "topic": "Top/Arts/Movies/Genres/Classic/Actors_and_Actresses", "url": "http://www.bombshells.com/"} +{"d:Title": "Ravin' Maven of Classic Film", "d:Description": "Tribute sites to a dozen classic film stars, with beautiful graphics.", "topic": "Top/Arts/Movies/Genres/Classic/Actors_and_Actresses", "url": "http://themave.com/"} +{"d:Title": "Satire Screening Room", "d:Description": "About the comedy films \"Being There\", \"The Graduate\" and \"MASH\". Includes reviews, still shots, sound clips, scripts and credits.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://sarcasmalley.com/"} +{"d:Title": "Filmsite: Comedy Films", "d:Description": "Analysis of the comedy genre. Features sub-genre classification, history, profiles of directors and actors, and selection of the \"greatest\" comedy films.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://www.filmsite.org/comedyfilms.html"} +{"d:Title": "Top French-Language Comedy Movies", "d:Description": "Selection of ten French comedies. Features short reviews.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://french.about.com/library/reviews/aatp-moviesc.htm"} +{"d:Title": "American Film Institute: 100 Years 100 Laughs", "d:Description": "AFI's pick of one hundred funniest US films. Features description of selection procedure, list of the winning films, list of the 500 nominated films.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://www.afi.com/100years/laughs.aspx"} +{"d:Title": "Hindi Comedy", "d:Description": "Comedies from India in the Hindi language. Features reviews and bios of comedians.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://www.hindi-comedy.com/"} +{"d:Title": "LaughDB: The Funniest Comedies", "d:Description": "Movies ranked by ability to make the site's author laugh out loud. Features over 250 comedies arranged by country, decade, actor, director and writer.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://www.laughdb.com/"} +{"d:Title": "Top 25 Comedies of All Time", "d:Description": "Selection of comedies by IGN Movies. Features still shots, plot summaries, reviews and comments.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://www.ign.com/articles/2012/03/13/top-25-comedies-of-all-time"} +{"d:Title": "Top 50 Comedy Films", "d:Description": "Chart of the top fifty comedy movies according to users of the Internet Movie Database. Includes ratings and links to movie pages.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://www.imdb.com/genre/comedy"} +{"d:Title": "TimeOut's 100 Best Comedy Movies", "d:Description": "List of movies picked by 200 contributors from the movie industry. Features countdown, pictures and synopsis.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://www.timeout.com/london/film/100-best-comedy-movies"} +{"d:Title": "Poetics Today: Humor Mechanisms in Film Comedy", "d:Description": "Research article. Discusses several types of incongruity and superiority that underlie humor in comedy films.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://folk.uio.no/jeroenv/vandaelePT2002.pdf"} +{"d:Title": "Good Comedy Movies to Watch", "d:Description": "Comedy reviews. Features posters, ratings and synopsis.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://goodcomedymoviestowatch.com/"} +{"d:Title": "The Comedy 25", "d:Description": "Entertainment Weekly's pick of the 25 funniest movies. Includes pictures and user comments.", "topic": "Top/Arts/Movies/Genres/Comedy", "url": "http://ew.com/gallery/comedy-25-funniest-movies-past-25-years/1"} +{"d:Title": "Top Comedy Horror Movies", "d:Description": "Selection of comedy horror movies. Features short reviews.", "topic": "Top/Arts/Movies/Genres/Comedy/Horror", "url": "http://horror.about.com/od/horrortoppicklists/tp/25horrorcomedies.htm"} +{"d:Title": "Box Office Mojo: Horror Comedy", "d:Description": "Chart of top-grossing horror comedies since 1980. Includes release date, number of theaters, receipts.", "topic": "Top/Arts/Movies/Genres/Comedy/Horror", "url": "http://boxofficemojo.com/genres/chart/?id=horrorcomedy.htm"} +{"d:Title": "AllMovie: Horror Comedy", "d:Description": "Introduction to the genre. Includes definition and detailed list of major works.", "topic": "Top/Arts/Movies/Genres/Comedy/Horror", "url": "http://www.allmovie.com/subgenre/horror-comedy-d581"} +{"d:Title": "Five Things to Remember When Making a Political Comedy", "d:Description": "Analysis of the genre. Presents five features of political comedy movies.", "topic": "Top/Arts/Movies/Genres/Comedy/Political", "url": "http://www.filmschoolrejects.com/news/5-keys-to-political-comedies.php"} +{"d:Title": "AllMovie: Political Satire", "d:Description": "Introduction to genre. Includes definition and detailed list of major works.", "topic": "Top/Arts/Movies/Genres/Comedy/Political", "url": "http://www.allmovie.com/subgenre/political-satire-d632"} +{"d:Title": "Top Political Comedy Movies", "d:Description": "Selection of political comedies. Features short reviews.", "topic": "Top/Arts/Movies/Genres/Comedy/Political", "url": "http://politicalhumor.about.com/od/fahrenheit911/tp/aatpfilms.htm"} +{"d:Title": "Box Office Mojo", "d:Description": "Chart of top-grossing romantic comedies since 1978. Includes release date, number of theaters, receipts.", "topic": "Top/Arts/Movies/Genres/Comedy/Romantic", "url": "http://www.boxofficemojo.com/genres/chart/?id=romanticcomedy.htm"} +{"d:Title": "Rotten Tomatoes: Best Romantic Comedies", "d:Description": "Selection of 25 romantic comedies. Includes critics' consensus, synopsis and user ratings for each movie.", "topic": "Top/Arts/Movies/Genres/Comedy/Romantic", "url": "http://www.rottentomatoes.com/guides/best_romantic_comedies/"} +{"d:Title": "The Art of the Romantic Comedy", "d:Description": "Essay. Analyzes the principle of romantic comedies.", "topic": "Top/Arts/Movies/Genres/Comedy/Romantic", "url": "http://www.storyispromise.com/wromance.htm"} +{"d:Title": "The Seventeen Best Romantic Comedies This Decade", "d:Description": "Selection of romantic comedies. Includes reviews, pictures and user comments.", "topic": "Top/Arts/Movies/Genres/Comedy/Romantic", "url": "http://www.pastemagazine.com/blogs/lists/2009/02/the-17-best-romantic-comedies-this-decade.html"} +{"d:Title": "Moviefone: The 25 Worst Romantic Comedies Ever", "d:Description": "Selection of least favorite romantic comedies. Features reviews and user comments.", "topic": "Top/Arts/Movies/Genres/Comedy/Romantic", "url": "http://insidemovies.moviefone.com/2010/02/09/worst-romantic-comedies/"} +{"d:Title": "AllMovie: Romantic Comedy", "d:Description": "Introduction to genre. Includes definition and detailed list of major works.", "topic": "Top/Arts/Movies/Genres/Comedy/Romantic", "url": "http://www.allmovie.com/subgenre/romantic-comedy-d529"} +{"d:Title": "Home of the Screwball", "d:Description": "Analysis of the screwball comedy genre. Presents history of the genre, filmography and reviews.", "topic": "Top/Arts/Movies/Genres/Comedy/Screwball", "url": "http://xroads.virginia.edu/~UG03/comedy/sbhome.html"} +{"d:Title": "Screwball Comedy", "d:Description": "Analysis of the screwball genre. Includes history, images and bibliography.", "topic": "Top/Arts/Movies/Genres/Comedy/Screwball", "url": "http://www.moderntimes.com/screwball/"} +{"d:Title": "Screwball Cinema", "d:Description": "Blog about screwball. Features reviews, video clips, still shots, user comments, polls.", "topic": "Top/Arts/Movies/Genres/Comedy/Screwball", "url": "http://screwballcinema.blogspot.com/"} +{"d:Title": "AllMovie: Screwball Comedy", "d:Description": "Introduction to genre. Includes definition and detailed list of major works.", "topic": "Top/Arts/Movies/Genres/Comedy/Screwball", "url": "http://www.allmovie.com/subgenre/screwball-comedy-d538"} +{"d:Title": "Westerns", "d:Description": "Database of several hundred films with photographs and information on directors, actors, authors, and musical scores.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://www.lewestern.com/"} +{"d:Title": "Images: The Western", "d:Description": "The iconography of the Western is the largest and richest of all the film genres, and Hollywood has burned it into the minds of moviegoers from Dodge City to Timbuktu.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://www.imagesjournal.com/issue06/infocus.htm"} +{"d:Title": "Couch Cowboy", "d:Description": "Reviews and discussion of American western movies, divided into Classics, Must Sees, Good Viewers, Rough Riders and Camp.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://www.couchcowboy.com/"} +{"d:Title": "Western Films", "d:Description": "Article covering the history of the genre, including the silent era and early B-westerns, major stars, influential films, and sub-genres. Offers movie reviews and profiles of the actors and directors.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://www.filmsite.org/westernfilms.html"} +{"d:Title": "A Fistful of Westerns", "d:Description": "Dedicated to spaghetti westerns, with information on the genre, its films and stars.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://website.lineone.net/~braithwaitej/"} +{"d:Title": "Shobary's Spaghetti Westerns", "d:Description": "Contains reviews with film stills for major films, plus related trivia.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://spaghettiwesterns.1g.fi/"} +{"d:Title": "Most Wanted Western Movies", "d:Description": "Reviews, top lists, quotations and photographs. Films are organized by sub-genre and lead actor. Also includes user-contributed reviews.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://www.most-wanted-western-movies.com/"} +{"d:Title": "IMDb: Western", "d:Description": "Guide to Western movies, search or browse the titles alphabetically.", "topic": "Top/Arts/Movies/Genres/Cowboy_Westerns", "url": "http://www.imdb.com/Sections/Genres/Western/"} +{"d:Title": "One Shot Productions", "d:Description": "Small U.S. based film company which presents new English language films by director Jess Franco.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://oneshotproductions.bizland.com/movies/"} +{"d:Title": "Cult Films", "d:Description": "Description of the genre and examples of popular cult films.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://www.filmsite.org/cultfilms.html"} +{"d:Title": "Profondo Giallo", "d:Description": "Italian exploitation film on video. A large listing of exploitation movie titles, selected video cover art and subgenre definitions.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://home.swipnet.se/profondo-giallo/"} +{"d:Title": "Wayney's Surreal Movies Group", "d:Description": "A group to discuss surreal and cult movies.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://groups.yahoo.com/group/surrealmovies/"} +{"d:Title": "The Spinning Image", "d:Description": "Reviews, articles, discussion, and links.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://www.thespinningimage.co.uk/"} +{"d:Title": "Savage Cinema", "d:Description": "Bi-monthly zine reviewing cult classic horror, B-movie, and exploitation trash.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://www.savagecinema.com/"} +{"d:Title": "Logan and Glitz's Cult Movie Page", "d:Description": "Reviews, trivia quizzes, and feature articles.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://www.domicilium.com/loganandglitz/glitz-main.html"} +{"d:Title": "Cult Flicks", "d:Description": "Reviews, top lists, and video clips.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://www.cultflicks.net/"} +{"d:Title": "Cult Labs", "d:Description": "Discussion forum for a variety of genres.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://www.cult-labs.com/forums/"} +{"d:Title": "Cult Movie Forums", "d:Description": "Discussion forum for fans of the genre.", "topic": "Top/Arts/Movies/Genres/Cult_Movies", "url": "http://www.cultmovieforums.com/"} +{"d:Title": "Mondo Digital", "d:Description": "DVD, video and theatrical news on many cult, B-rate, foreign and horror films.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://www.mondo-digital.com/"} +{"d:Title": "DVD Drive-In", "d:Description": "Reviews horror, European, cult, and midnight movies on DVD.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://www.dvddrive-in.com/"} +{"d:Title": "All-Reviews.com: Reviews of Cult Movies", "d:Description": "A variety of reviewers offer their opinions on various cult films.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://www.all-reviews.com/video-lists/Cult.htm"} +{"d:Title": "The Pulsing Cinema", "d:Description": "Reviews of strange and untamed foreign, horror and cult films from around the universe.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://www.pulsingcinema.com/"} +{"d:Title": "Twitch of the Death Nerd", "d:Description": "Reviews concentrate on cult movies and genre cinema, including Hong Kong movies, horror, and Japanese films.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://twitchofthedeathnerd.blogspot.com/"} +{"d:Title": "AV Maniacs", "d:Description": "Reviews and information about horror and cult movies on DVD.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://avmaniacs.com/"} +{"d:Title": "Odd Films", "d:Description": "This site breaks down movies into unusual subcategories, like \"Nazi Zombie\" movies, \"Eyepatch\" movies, etc.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://www.oddfilms.com/"} +{"d:Title": "366 Weird Movies", "d:Description": "Reviews focused on creating a list of the 366 weirdest movies ever made.", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://www.366weirdmovies.com/"} +{"d:Title": "Mad Mad Mad Mad Movies", "d:Description": "Reviews of exploitation films, written in character by \"The Duke of DVD\" and \"The Vicar of VHS.\"", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://mmmmmovies.blogspot.com/"} +{"d:Title": "10,000 Bullets", "d:Description": "Reviews focused on cult film on DVD/Blu-ray, both new releases and re-releases of older films", "topic": "Top/Arts/Movies/Genres/Cult_Movies/Reviews", "url": "http://10kbullets.com/"} +{"d:Title": "1913 Massacre", "d:Description": "Film about a song by Woody Guthrie and its content. Film and filmmaker information, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/1", "url": "http://www.1913massacre.com/"} +{"d:Title": "10 Questions for the Dalai Lama", "d:Description": "A film by Rick Ray chronicling his journey to meet the Dalai Lama as well as the Dalai Lama's own journey and Tibet's troubled past. Synopsis, links, press and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/1", "url": "http://10questionsforthedalailama.com/"} +{"d:Title": "66 Months", "d:Description": "Shot over five and a half years, tells the story of the dark, abusive but genuinely loving relationship between two men living on the fringes of society and offers an extraordinary insight into a hidden world.", "topic": "Top/Arts/Movies/Genres/Documentary/6", "url": "http://www.66months.com/"} +{"d:Title": "7th Street", "d:Description": "Documentary by Josh Pais about growing up and continuing to live on the same block. Includes review and synopsis.", "topic": "Top/Arts/Movies/Genres/Documentary/7", "url": "http://7thstreetmovie.com/"} +{"d:Title": "Ayurveda: Art of Being", "d:Description": "A film by Pan Nalin on the world's oldest continually practiced healthcare system. Description, director biography, credits, historical background, pictures, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.ayurvedafilm.com/"} +{"d:Title": "A Certain Kind of Death", "d:Description": "Story of what happens to people who die that have no kin. Directed by Grover Babcock and Blue Hadaegh.", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.acertainkindofdeath.com/"} +{"d:Title": "Aqua Burn", "d:Description": "Documentary film examining the Burning Man festival held annually in the Nevada Desert. Film information, trailer, director's biography, reviews, photographs, quotes, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.aquaburn.com/"} +{"d:Title": "Anna May Wong", "d:Description": "Frosted Yellow Willows - a documentary film narrated by Nancy Kwan about the Chinese American film star (1905-1961).", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.anna-may-wong.com/"} +{"d:Title": "A Moment on Earth", "d:Description": "An international documentary series capturing a single, simultaneous moment in 60 individuals' lives. The first film was shot August 5th, 2004 at 12:00pm GMT, and the second 12 hours later.", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.momentonearth.com/"} +{"d:Title": "American Teenage Hot Rod, The", "d:Description": "Short film by Greg Wolske commemorates the teenage hot rod experience. Film information, director profile, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.hotrodfilm.com/"} +{"d:Title": "Arid Lands", "d:Description": "Feature about the land and people of the Columbia River Basin and the Hanford Nuclear Site. Film overview, trailer, awards, reviews, DVD details, historical background, multimedia, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.sidelongfilms.com/"} +{"d:Title": "The African Side", "d:Description": "Film by George Egbuonu showcases Africa from a native's perspective. Film information, production notes, about the soundtrack, weblog, photographs, purchasing options, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/A", "url": "http://www.africanside.com/"} +{"d:Title": "Because I Am", "d:Description": "Film about a young woman living with AIDS. Film clips, credits, production notes, and media.", "topic": "Top/Arts/Movies/Genres/Documentary/B", "url": "http://www.becauseiam.com/"} +{"d:Title": "Book Wars", "d:Description": "An insider's look at New York City street booksellers. Film information, venues, trailer, cast and crew, media, press kit, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/B", "url": "http://www.camerado.com/"} +{"d:Title": "The Burning Wall", "d:Description": "A film about dissent and opposition in East Germany from 1949 to 1989, by Hava Kohav Beller. Overview, information about the director, image gallery, and contact details.", "topic": "Top/Arts/Movies/Genres/Documentary/B", "url": "http://www.theburningwall.com/"} +{"d:Title": "Beyond Gay - The Politics of Pride", "d:Description": "Examines the state of gay pride events and the politics surrounding them world-wide. Trailer, news, screening details, related articles, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/B", "url": "http://biggaymovie.com/"} +{"d:Title": "Blues by the Beach", "d:Description": "Exploration of modern terrorism as witnessed at a live blues bar in Tel Aviv. Film information, awards and screenings, multimedia, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/B", "url": "http://bluesbythebeachfilm.com/"} +{"d:Title": "Brittown", "d:Description": "Chronicles several months in the life of an underground Britbike mechanic. Film overview, photographs, press, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/B", "url": "http://www.brittown.com/"} +{"d:Title": "Constantine's Sword", "d:Description": "Oren Jacoby's film about author and former priest James Carroll explores the ties between Christianity, anti-Semitism, and war. Film overview, reviews, screenings, director's statement, and trailer.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://constantinessword.com/"} +{"d:Title": "Cat Ladies", "d:Description": "A one-hour v\u00e9rit\u00e9-style film that examines the 'cat lady' cultural stereotype. Synopsis, photographs, filmmaker biographies, and related articles.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.catladiesdoc.com/"} +{"d:Title": "Chinatown in the Shadow", "d:Description": "Frank Didik's film describes how the inhabitants of New York's Chinatown were affected by the destruction of the World Trade Center. Synopsis and photographs.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.didik.com/art/chinafilm.htm"} +{"d:Title": "Chasing Rainbows: Young Adults Living with Cancer", "d:Description": "Provides the perspective of six young people about what it is like to live with cancer. Background information, synopsis, trailer and videos, news and events, screenings, press links, and resources.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.chasingrainbowsproduction.com/"} +{"d:Title": "Call + Response", "d:Description": "A \"rockumentary\" highlighting the still-relevant problems of human trafficking and slavery. Synopsis, credits, background information, behind-the-scenes weblog, screening details, trailer and videos, multimedia, and links to resources.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://callandresponse.com/"} +{"d:Title": "The Call of the Entrepreneur", "d:Description": "Tells the stories of a failing dairy farmer, a merchant banker, and a refugee from Communist China. Synopsis, trailer, press, weblog, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.calloftheentrepreneur.com/"} +{"d:Title": "Craigslist Joe", "d:Description": "Documents Joseph Garner's month-long adventure of using only Craigslist to obtain everything from transportation to companionship. Synopsis, photographs, news, crew, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.craigslistjoe.com/"} +{"d:Title": "The Cockettes", "d:Description": "About a San Francisco theater troupe of hippies and drag queens, circa 1969 - 1972. Includes history, details on the documentary, reviews and links to related material.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.cockettes.com/"} +{"d:Title": "Copyright, or the Right to Copy", "d:Description": "Covers authors' rights, file sharing and culture in Spain in the Internet era. Originally released as \"\u00a1Copiad malditos!\" under a Creative Commons license, a version subtitled in English is also offered.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://copiadmalditos.blogspot.com/p/home-que-es-esto.html"} +{"d:Title": "Constructing Fear", "d:Description": "Explains the evolution and implementation of an Australian government initiative called the Building Construction Industry Improvement Act. Synopsis, screenings, promotional materials, downloads, background and contact information, cast and crew, soundtrack details, press, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.constructingfear.arcimedia.com.au/index.html"} +{"d:Title": "Crashing the Con", "d:Description": "A documentary on San Diego Comic-Con 2008 and a profile on the various types of people whom attend and exhibit at it every year.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.crashingthecon.com/"} +{"d:Title": "The Craft of Bellringing", "d:Description": "Explores the development of the English style hanging of bells, and covers virtually all aspects of the world of ringing, exploring the craft's rich cultural heritage.", "topic": "Top/Arts/Movies/Genres/Documentary/C", "url": "http://www.bellringingfilm.co.uk/"} +{"d:Title": "Death - A Love Story", "d:Description": "Official site for the film about death, liver cancer, transplant, physician/patient relations and spirituality. Synopsis, awards, reviews, director biography, and credits.", "topic": "Top/Arts/Movies/Genres/Documentary/D", "url": "http://www.harkenprods.com/death_a_love_story.html"} +{"d:Title": "Daughter of Suicide", "d:Description": "Information about suicide prevention, documentary filmmaking and other projects Dempsey is working on.", "topic": "Top/Arts/Movies/Genres/Documentary/D", "url": "http://www.daughterone.net/"} +{"d:Title": "Dominoes", "d:Description": "An audio-visual chronicle of the 1960s. Detailed synopsis, related articles, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/D", "url": "http://dominoesmovie.com/"} +{"d:Title": "Dr. Bronner's Magic Soapbox", "d:Description": "The complicated family legacy behind the counterculture\u2019s favorite cleaning product. Film information, filmmaker's note, reviews, credits, trailer.", "topic": "Top/Arts/Movies/Genres/Documentary/D", "url": "http://magicsoapbox.vhx.tv/"} +{"d:Title": "Electric Purgatory", "d:Description": "Independent feature by Raymond Gayle examines the struggles of black rock musicians and the industry's ambivalence towards them. Synopsis, screenings, news and events, photographs, and related links.", "topic": "Top/Arts/Movies/Genres/Documentary/E", "url": "http://www.electricpurgatory.com/"} +{"d:Title": "Five Wives, Three Secretaries and Me", "d:Description": "Tessa Blake tells the story of her Houston oil man father and his trail of exes. Synopsis, media, photographs, credits, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.fivewives.com/"} +{"d:Title": "Frontier Life", "d:Description": "Feature film by Hans Fjellestad. Synopsis, credits, and video preview.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.zu33.com/frontierlife/"} +{"d:Title": "First Basket, The", "d:Description": "Examines the connections between basketball and American Jewish history. Synopsis, crew, video clips, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.thefirstbasket.com/"} +{"d:Title": "The Future of Food", "d:Description": "Provides an overview of the key questions raised by consumers as they become aware of genetically modified foods. Trailer, clips, credits, reading list, web sites, screening schedule, and purchase information.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.thefutureoffood.com/"} +{"d:Title": "The Fight Game", "d:Description": "Follows the life and career of West Australian boxer Danny Green. Synopsis, crew, image gallery, trailer, press kit, and contact information. [Requires Flash]", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.fightgamethemovie.com/"} +{"d:Title": "The First 24 Hours", "d:Description": "Documents Ground Zero in the immediate aftermath of the terrorist attacks on the World Trade Center on September 11, 2001. Flash plugin required.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.thefirst24hours.com/"} +{"d:Title": "The Freedom Fighters of Nili", "d:Description": "Upcoming feature by Leora Chai about the efforts of the Aaronsohns and the NILI spies during World War I. Synopsis, historical background, photographs, contact information, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.freedomfightersofnili.com/"} +{"d:Title": "Flying on One Engine", "d:Description": "Profiles Dr. Sharadkumar Dicksheet -- a plastic surgeon who is a heart attack survivor and a paraplegic. Synopsis, news, screening details, trailer, photographs, review links, contact information, and press kit.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.flyingononeengine.com/"} +{"d:Title": "Face of the Enemy, The", "d:Description": "Tells the story of the Vietnamese who fought in the American war, in their own words.", "topic": "Top/Arts/Movies/Genres/Documentary/F", "url": "http://www.thefaceoftheenemy.net/"} +{"d:Title": "Genghis Blues", "d:Description": "The story of a blind blues musician's journey to Tuva to compete in a national throat singing competition. Film and filmmaker information, photographs, credits, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/G", "url": "http://www.genghisblues.com/"} +{"d:Title": "Grist for the Mill", "d:Description": "Personal documentary by Cynthia Wade dealing with the aftermath of her parents' divorce. Synopsis and filmmaker's biography.", "topic": "Top/Arts/Movies/Genres/Documentary/G", "url": "http://www.walrus.com/~syrett/redhen/grist.html"} +{"d:Title": "Google Darfur", "d:Description": "Anonymous film about the refugees from Darfur living in Chad, Africa. Background information, links to video clips, and news.", "topic": "Top/Arts/Movies/Genres/Documentary/G", "url": "http://googledarfur.com/"} +{"d:Title": "The Grand Tour", "d:Description": "Jean-Fran\u00e7ois de Buren retraces the steps of Henri de Buren, 19th century Swiss naturalist, artist and explorer. Weblog provides related articles, historical background, media, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/G", "url": "http://vodhdb.blogspot.com/"} +{"d:Title": "Go, Butterflies, Go", "d:Description": "A Josephine Hamming film about the migration of the Red Admiral butterfly. Overview, awards, trailer, project news, contact information, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/G", "url": "http://www.gobutterfliesgo.nl/"} +{"d:Title": "The great 88", "d:Description": "Tells the story of AFN-Berlin and the staff, in particular the DJs and the music (R&B and Jazz) in context with the cold war situation of West Berlin.", "topic": "Top/Arts/Movies/Genres/Documentary/G", "url": "http://www.great88.de/"} +{"d:Title": "Hearts and Minds", "d:Description": "Review of Oscar-winning documentary about the Vietnam War directed by Peter Davis.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.imagesjournal.com/2002/reviews/heartsminds/"} +{"d:Title": "The Hotel Upstairs", "d:Description": "A documentary film by Daniel Baer about life in a San Francisco residential hotel.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.thehotelupstairs.com/"} +{"d:Title": "A Home on the Range", "d:Description": "Tells the story of Jews who fled Eastern Europe for California and ended up chicken ranching in Petaluma. Film information, filmmaker details, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.jewishchickenranchers.com/"} +{"d:Title": "H.H. Holmes, America's First Serial Killer", "d:Description": "Information on John Borowski's documentary film about the case.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.hhholmesthefilm.com/"} +{"d:Title": "Hori Smoku Sailor Jerry", "d:Description": "Feature film exploring the roots of American tattooing through the life of Norman \"Sailor Jerry\" Collins. Synopsis, related news, screening information, credits, trailer, desktop wallpapers, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://horismokumovie.com/"} +{"d:Title": "The Harbortown Bobber", "d:Description": "Follows the ground-up build of Scott DiLalla's '69 bobber motorcycle. Film overview, photographs, and trailer.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.harbortownbobber.com/"} +{"d:Title": "The Hidden Face of Homelessness", "d:Description": "Feature film endeavours to look beyond the stereotypes of homelessness. Background information, trailer, reviews, screening details, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://homeless.cozzivideo.com/"} +{"d:Title": "Hope Dies the Last", "d:Description": "A Paul Kakert film about the children who had survived war in Kosovo and Uganda. Synopsis, trailer, and weblog.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.hopediesthelast.com/"} +{"d:Title": "Human Remains", "d:Description": "Meant to illustrate the banality of evil by providing insight into the lives of five notorious dictators. Film information, review excerpts, screenings, and awards.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.jayrosenblattfilms.com/human_remains.php"} +{"d:Title": "Hermann Nitsch", "d:Description": "Documentary about the contemporary total artist Hermann Nitsch (Austria 1938), painter, writer, composer and performer, considered the founder of Viennese Actionism. Directed by Daniela Ambrosoli.", "topic": "Top/Arts/Movies/Genres/Documentary/H", "url": "http://www.hn-movie.org/"} +{"d:Title": "I Don't Know Jack", "d:Description": "Interviews with David Lynch and Dennis Hopper regarding the mysterious life of Jack Nance. Trailer, poster, and press kit.", "topic": "Top/Arts/Movies/Genres/Documentary/I", "url": "http://www.jacknance.com/"} +{"d:Title": "Interstate Theatres", "d:Description": "Project provides historical background on a Texas theater chain - includes a film and educational tapes. Background information, clips, production notes, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/I", "url": "http://www.interstatetheatres.com/"} +{"d:Title": "Iwo Jima: Memories in Sand", "d:Description": "Video documentary of the 50th anniversary of the Battle of Iwo Jima. Includes veteran interviews and archival footage.", "topic": "Top/Arts/Movies/Genres/Documentary/I", "url": "http://www.landlockedfilms.com/"} +{"d:Title": "Imagining Robert", "d:Description": "60-minute film based on Jay Neugeboren's book about two brothers, one of whom is mentally ill. Overview, image gallery, book information, calendar of events, school lesson plans, message board, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/I", "url": "http://www.florentinefilms.org/imagrob/index.htm"} +{"d:Title": "Ike: A Documentary", "d:Description": "Student filmmakers chronicle the story of Galveston, Texas rebuilding in the wake of Hurricane Ike. Synopsis, crew profiles, press kit and coverage, news links, videos, photographs, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/I", "url": "http://www.ikedocumentary.com/"} +{"d:Title": "Ice People", "d:Description": "A film by Anne Aghion about modern-day exploration in Antarctica. Synopsis, trailer, screenings, review and resource links, crew, press kit, photographs, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/I", "url": "http://icepeople.com/"} +{"d:Title": "An Inconvenient Tax", "d:Description": "Christopher P. Marshall film explores the history of the income tax in the United States. Synopsis, cast and crew profiles, videos, soundtrack details, downloads, press kit, articles, and mailing list.", "topic": "Top/Arts/Movies/Genres/Documentary/I", "url": "http://www.aninconvenienttax.com/"} +{"d:Title": "Jews in Armenia", "d:Description": "Film by Vartan Akchyan documenting the existence of the Jewish community in Armenia. Film information, credits, and news.", "topic": "Top/Arts/Movies/Genres/Documentary/J", "url": "http://jinafilm.net/"} +{"d:Title": "Jandek on Corwood", "d:Description": "Documentary about a musician from Houston, Texas.", "topic": "Top/Arts/Movies/Genres/Documentary/J", "url": "http://www.jandekoncorwood.com/"} +{"d:Title": "Just to Get a Rep", "d:Description": "Film about the international graffiti movement and its relationship to hip-hop culture. General information, filmmaker details, multimedia, and press.", "topic": "Top/Arts/Movies/Genres/Documentary/J", "url": "http://www.justtogetarep.com/"} +{"d:Title": "Jesus Guy, The", "d:Description": "Independent feature by Sean Tracey about the wandering evangelist known only as \"What's Your Name?\". Film information, blog, credits, press kit, screening details, photographs, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/J", "url": "http://www.thejesusguy.com/"} +{"d:Title": "Jashn-e-Azadi (How We Celebrate Freedom)", "d:Description": "This Sanjay Kak film explores the struggle for freedom in the Kashmir valley. Synopsis, inspirational materials, glossary, press coverage, interviews, screening details, weblog, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/J", "url": "http://kashmirfilm.wordpress.com/"} +{"d:Title": "The John Searl Story", "d:Description": "A Bradley Lockerman film about the life of John Searl and his inventions. Synopsis, trailer, stills, review snippets, credits, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/J", "url": "http://www.johnsearlstory.com/"} +{"d:Title": "The King of Steeltown - Hardball Politics in the Heartland", "d:Description": "Film by Chris Sautter. Links to the trailer, contact info, and background on the filmmaker.", "topic": "Top/Arts/Movies/Genres/Documentary/K", "url": "http://www.thekingofsteeltown.com/"} +{"d:Title": "Knee Deep", "d:Description": "Film by Michael Chandler about a Maine farm boy who tried to kill his mother when she sold the family farm. Overview, brief filmmaker profiles, review snippets, press kit, screenings, trailer, and audience reactions.", "topic": "Top/Arts/Movies/Genres/Documentary/K", "url": "http://kneedeepthedoc.com/"} +{"d:Title": "Liberta", "d:Description": "The film chronicles an Italian pirate television station's inception and existence. Synopsis, news, credits, and related links.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://www.liberta-ilfilm.it/"} +{"d:Title": "Liquid Wind", "d:Description": "Focuses on the windsurfing and kiteboarding phenonmenon at Lake Hefner in Oklahoma City, Oklahoma. Credit list, photographs, contact information, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://liquidwind.tripod.com/"} +{"d:Title": "Losing Tom", "d:Description": "A film by Trish Williams about her father's struggle with alcoholism as experienced by his family and friends. Film overview and background, production notes, resources on alcoholism and memory, historical details, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://www.losingtom.org/"} +{"d:Title": "Life After Tomorrow", "d:Description": "Feature film about the current lives of former female child performers. Synopsis, press kit, trailer, photographs, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://www.lifeaftertomorrow.com/"} +{"d:Title": "Lost Nation: The Ioway", "d:Description": "A Tammy and Kelly Rundle production about the Ioway, Iowa's native inhabitants. Synopsis, screenings, historical FAQ, related news, weblog, filmmaker profiles, photographs, sponsor listing, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://www.iowaymovie.com/"} +{"d:Title": "A Light In The Dark", "d:Description": "About the art and life of American Master painter and educator, Frank Mason. Synopsis, trailer, credits, screenings, contact details and link to the artist's website.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://www.maestrofilms.net/"} +{"d:Title": "Lynching Charlie Lynch", "d:Description": "By Rick Ray explores the conflict between the state-permitted medical marijuana business and Federal drug law in America, and the human cost of those contradictions. Synopsis, links, press and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://rickrayfilms.com/films/lynching-charlie-lynch/"} +{"d:Title": "Livin' To Pull", "d:Description": "About the sport of professional arm wrestling, the culture behind the sport and the legendary figures associated with arm wrestling.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://livintopull.com/"} +{"d:Title": "Long Night's Journey Into Day", "d:Description": "Reveals a South Africa trying to forge a lasting peace after 40 years of government by the most notorious system of racial segregation since Nazi Germany. The documentary studies South Africa\u2019s Truth and Reconciliation Commission (TRC), set up by the post-apartheid, democratic government to consider amnesty for perpetrators of crimes committed under apartheid\u2019s reign.", "topic": "Top/Arts/Movies/Genres/Documentary/L", "url": "http://www.irisfilms.org/long-nights-journey-into-day/"} +{"d:Title": "Mai's America", "d:Description": "Documents a Vietnamese exchange student's experience in rural United States. Synopsis, reviews, filmmaker interviews, credits, screening details, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/M", "url": "http://www.marloporas.com/"} +{"d:Title": "My First and Last Film", "d:Description": "Independent documentary film compiled from posthumous footage left behind by filmmaker Johnny Zhivago, who murdered actress Nicole Mitzel and committed suicide on videotape. Synopsis, filmmakers, photographs, and news.", "topic": "Top/Arts/Movies/Genres/Documentary/M", "url": "http://www.myfirstandlastfilm.com/"} +{"d:Title": "Sheffield Vision", "d:Description": "The story of Sheffield's music scene between 1977 and 1982. Film synopsis, information about featured bands, news, trailer, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/M", "url": "http://www.sheffieldvision.com/"} +{"d:Title": "Monster Camp", "d:Description": "A glimpse into the workings of NERO, a Seattle-based live action role-playing group. Synopsis, trailer, stills, screenings, a RPG quiz, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/M", "url": "http://www.monstercampthemovie.com/"} +{"d:Title": "Meeting Andrei Tarkovsky", "d:Description": "A Dmitry Trakovsky film investigates the legacy of the late Russian film director Andrei Tarkovsky. Synopsis, trailer, production notes, photographs, biographies, news articles, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/M", "url": "http://trakovskyfilm.com/"} +{"d:Title": "My Neighbor My Killer", "d:Description": "Anne Aghion's trilogy about gacaca -- citizen-based justice with which rural Rwandans are addressing the crimes of the 1994 genocide. Synopses, press kit, screening details, reviews, festival coverage, contact information, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/M", "url": "http://www.gacacafilms.com/"} +{"d:Title": "Massacre at Mazar", "d:Description": "Director Jamie Doran discusses the film with Stefan Steinberg of the World Socialist Web Site.", "topic": "Top/Arts/Movies/Genres/Documentary/M", "url": "http://www.wsws.org/en/articles/2002/06/dora-j17.html"} +{"d:Title": "Neverbloomers: The Search for GrownUphood", "d:Description": "A Sharon Hyman film about the meaning of adulthood. Synopsis, director's statement, and reviews.", "topic": "Top/Arts/Movies/Genres/Documentary/N", "url": "http://www.neverbloomers.com/"} +{"d:Title": "Not Quite The Taliban", "d:Description": "About one man's frustration with his generation of young \"modern\" Arabs who appear Western but are more conservative than the traditional Arabs.", "topic": "Top/Arts/Movies/Genres/Documentary/N", "url": "http://notquitethetaliban.netai.net/"} +{"d:Title": "Okie Noodling", "d:Description": "Film about Oklahoma fishermen who catch catfish with their bare hands. Synopsis, screenings, photographs, trailer, and news archive.", "topic": "Top/Arts/Movies/Genres/Documentary/O", "url": "http://www.okienoodling.com/"} +{"d:Title": "Obsession: Radical Islam's War Against the West", "d:Description": "A 2005 film by Wayne Kopping about radical Islamist teachings and goals. Film overview and synopsis, cast and crew, video clips, press links, weblog, resources, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/O", "url": "http://www.obsessionthemovie.com/"} +{"d:Title": "Orchestra Kids", "d:Description": "Combines interviews with student musicians, parents and teachers along with formal concert footage. Based on the Emmy Award-winning children's music workshop. Past shows, press, photographs, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/O", "url": "http://www.orchestrakids.com/"} +{"d:Title": "Orange Revolution", "d:Description": "A look at the 2004 political events in the Ukraine. Synopsis, screening dates and locations, reviews, filmmaker biography, photographs, and trailer.", "topic": "Top/Arts/Movies/Genres/Documentary/O", "url": "http://www.orangerevolutionmovie.com/"} +{"d:Title": "One Nine Nine Four", "d:Description": "Documenting the birth, growth and explosion of punk rock in the 90s. Synopsis, filmmaker biographies, press articles, and contact details.", "topic": "Top/Arts/Movies/Genres/Documentary/O", "url": "http://onenineninefour.com/"} +{"d:Title": "Phenomenon Bruno Gr\u00f6ning, The", "d:Description": "Explores the questions around 1949's \"Miracle Healer\". Film information, historical background, screening dates, multimedia, press kit, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.bruno-groening-film.org/"} +{"d:Title": "Pitch People", "d:Description": "A look at individuals who try to sell products by demonstrating how they work. Reviews, trailer, screenings, credits, and press kit.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.sjplfilms.com/"} +{"d:Title": "Polkaholics", "d:Description": "Feature film by Wes Hranchak about influences and trends in polka music.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.polkaholics.com/"} +{"d:Title": "Passages", "d:Description": "A personal documentary about filmmaker Gabriela Bohm's journey through Eastern Europe, Israel, South America, and the United States to uncover her family's history. Synopsis, image gallery, credits, and ordering details.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.bohmproductions.com/"} +{"d:Title": "A Pretty Girl, a Horse and a Clown", "d:Description": "A look at one collector of P.T. Barnum's Gilded Age belongings and circus antiques. Overview of the film, trailer, photographs, filmmaker biography, and comments.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.luneset.com/"} +{"d:Title": "Porn Star", "d:Description": "Film about unlikely sex star Ron Jeremy. Synopsis, trailer, image gallery, message board, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.ronjeremy-themovie.com/"} +{"d:Title": "People Say I'm Crazy", "d:Description": "Feature film on an artist's struggle with schizophrenia. Synopsis, background information, screening details, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.peoplesayimcrazy.org/"} +{"d:Title": "Project Humanity 2.0", "d:Description": "Explores the evolution in mankind in science and medicine. Synopsis, director's notes, and stills.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.newearthcinema.com/"} +{"d:Title": "Play That, Teo", "d:Description": "An Olana Digirolamo film about legendary jazz producer Teo Macero. Synopsis, history, filmmaker profiles, photographs, trailer, and contact details.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.playthatteo.com/"} +{"d:Title": "Praying with Lior", "d:Description": "Film by Ilana Trachtman about a Jewish boy with Down's syndrome. Synopsis, background information, director's statement, cast and crew, screening details, review links, awards, resources, contacts, weblog, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.prayingwithlior.com/"} +{"d:Title": "People v The State of Illusion", "d:Description": "A groundbreaking documentary film by Austin Vickers on quantum physics for those who like What The Bleep Do We Know, The Shadow Effect and other psychological movies.", "topic": "Top/Arts/Movies/Genres/Documentary/P", "url": "http://www.thestateofillusion.com/"} +{"d:Title": "Real Time", "d:Description": "Documentary about wards in the California Youth Authority who write and direct two short films. Includes information on the project, the wards, grants, screenings and contacts.", "topic": "Top/Arts/Movies/Genres/Documentary/R", "url": "http://www.realtimethemovie.com/"} +{"d:Title": "Red Light Go", "d:Description": "A film about New York City bike messengers and Alleycat racing. Cast profiles, production notes, synopsis, trailer, vide clips, photographs, and links [requires Flash].", "topic": "Top/Arts/Movies/Genres/Documentary/R", "url": "http://www.redlightgo.ws/"} +{"d:Title": "Rock That Uke", "d:Description": "A film about the musical instrument ukulele. Official website with production news, image gallery, and filmmaker biographies.", "topic": "Top/Arts/Movies/Genres/Documentary/R", "url": "http://rockthatuke.com/"} +{"d:Title": "Return", "d:Description": "Follows the lives of modern-day Jewish prisoners. Synopsis, blog, photographs, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/R", "url": "http://www.shininglightproductions.com/"} +{"d:Title": "Six", "d:Description": "A film by Dr. Helen Smith about the Lillelid mass murder, the events that led up to it, and the many opportunities for prevention that were missed along the way. Synopsis, trailer, credits, photographs, reviews, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://sixthemovie.com/"} +{"d:Title": "Steal This Film", "d:Description": "Two documentaries covering the impact of file sharing and the movement against intellectual property. The movies are offered on BitTorrent along with subtitle files for various languages.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.stealthisfilm.com/"} +{"d:Title": "Smoke in the Eye", "d:Description": "A 1996 investigation of the controversial \"60 Minutes\" profile on the tobacco industry. Synopsis, interviews, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.pbs.org/wgbh/pages/frontline/smoke/"} +{"d:Title": "State of Rock", "d:Description": "An Anthony Arkin film about Brooklyn-based rock band Girls of Porn. Synopsis, photographs, trailer, cast and crew biographies, PDF press kit, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.stateofrockthemovie.com/"} +{"d:Title": "Strong Coffee: The Story of Caf\u00e9 Femenino", "d:Description": "A Sharron Bates film about coffee grown entirely by women farmers. Synopsis, trailer, press, screening information, credits, photographs, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://strongcoffeefilm.com/"} +{"d:Title": "Soldiers of Paint", "d:Description": "Profiles the annual Paintball recreation of the battle of Normandy, held just outside Wyandotte, Oklahoma. Synopsis, credits, news, trailer, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.soldiersofpaint.com/"} +{"d:Title": "Soy Andina", "d:Description": "A Mitch Teplitsky film about Peru, dance and identity. Synopsis, screenings, production team, press kit and links, weblog, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.soyandina.com/"} +{"d:Title": "The Soviet Story", "d:Description": "A film by Edvins Snore about the Soviet regime and its impact on modern Europe. Synopsis, cast and crew profiles, awards, screenings, distribution details, articles, photographs, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.sovietstory.com/"} +{"d:Title": "The Singing Revolution", "d:Description": "A James Tusty feature film about the Estonian struggle for liberation from the Soviet Union. Synopsis, trailer, reviews, history, background and music.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.singingrevolution.com/"} +{"d:Title": "Singing Revolution takes the big screen", "d:Description": "Interview about The Singing Revolution with filmmaker James Tusty. [Baltic Times]", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.baltictimes.com/news/articles/17267/"} +{"d:Title": "Skin Deep", "d:Description": "Dedicated to continuing and opening up the discussion initiated by SKIN DEEP, a 53 minute documentary film on college students confronting racism.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://www.irisfilms.org/skin-deep/"} +{"d:Title": "The Sons of Eilaboun (\u0623\u0628\u0646\u0627\u0621 \u0639\u064a\u0644\u0628\u0648\u0646)", "d:Description": "About the massacre, expulsion and return of a small Palestinian village in the Galilee during the 1948 war.", "topic": "Top/Arts/Movies/Genres/Documentary/S", "url": "http://sonsofeilaboun.com/"} +{"d:Title": "Ta\u00efga West", "d:Description": "A film by Jo\u00eblle Kartesz about her encounter with a elder woman shaman in Mongolia. Synopsis, video clips, production stills, director profile, credits, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.taiga-west.com/"} +{"d:Title": "Tear it Up", "d:Description": "Documents Rockabilly music as a separate musical style. Background information, musician profiles, director biography, media, production stills, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.tearitup.com/"} +{"d:Title": "Tom Dowd and the Language of Music", "d:Description": "Profiles the work of the legendary music producer and recording engineer and offers a glimpse into the history of the music making process.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.thelanguageofmusic.com/"} +{"d:Title": "Three Musicians", "d:Description": "Follows the lives of a jazz saxophonist, a techno artist, and a traveling singer/songwriter as they produce music. Cast and crew, press kit, videos, photographs, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.threemusiciansdocumentary.com/"} +{"d:Title": "Trimpin: The Sound of Invention", "d:Description": "Weblog with articles and news about the film.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.trimpin.blogspot.com/"} +{"d:Title": "They Killed Sister Dorothy", "d:Description": "Investigates the murder of Catholic nun Dorothy Strang in Brazil. Synopsis and background story, trailer, news, screening details, credits, soundtrack listing, related articles, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.theykilledsisterdorothy.com/"} +{"d:Title": "The Great Lesson", "d:Description": "An inspiring film about the power of mind and body.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.thegreatlesson.com/"} +{"d:Title": "The Frontier Gandhi: Badshah Khan", "d:Description": "Launches into orbit the epic story of a remarkable Muslim peacemaker.", "topic": "Top/Arts/Movies/Genres/Documentary/T", "url": "http://www.thefrontiergandhi.com/"} +{"d:Title": "A Union In Wait", "d:Description": "Documentary about two women in North Carolina and their same-sex union ceremony that divided a community and made national headlines.", "topic": "Top/Arts/Movies/Genres/Documentary/U", "url": "http://www.aunioninwait.com/"} +{"d:Title": "Urk", "d:Description": "Film about a fishing village in the Netherlands. Synopsis, reviews, and crew information.", "topic": "Top/Arts/Movies/Genres/Documentary/U", "url": "http://www.storytellerinc.com/urk/"} +{"d:Title": "Unlicensed Cemetery", "d:Description": "Explores the many sides of notorious serial murderer John Wayne Gacy. Synopsis, filmmaker profile, and video clips.", "topic": "Top/Arts/Movies/Genres/Documentary/U", "url": "http://www.unlicensedcemetery.com/"} +{"d:Title": "Villisca: Living With a Mystery", "d:Description": "Documentary feature film project by Fourth Wall Films.", "topic": "Top/Arts/Movies/Genres/Documentary/V", "url": "http://www.villiscamovie.com/"} +{"d:Title": "Valor with Honor", "d:Description": "Independent feature based on over 35 interviews of Japanese American veterans who served in the 442nd Regimental Combat Team during World War II. Overview, photographs, and production notes.", "topic": "Top/Arts/Movies/Genres/Documentary/V", "url": "http://www.valorwithhonor.com/"} +{"d:Title": "Valentino: The Last Emperor", "d:Description": "A Matt Tyrnauer film about the careers of haute couture designer Valentino Garavani and his business partner Giancarlo Giammetti. Synopsis, cast and crew, screenings, videos, photographs, press links, and contact information.", "topic": "Top/Arts/Movies/Genres/Documentary/V", "url": "http://www.valentinomovie.com/"} +{"d:Title": "Visit Palestine", "d:Description": "A Katie Barlow film about a volunteer working to intervene in the conflict between Israel and Palestine. Synopsis, reviews, screening information, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/V", "url": "http://www.visitpalestine.info/"} +{"d:Title": "Wiktor: The Art of Survival", "d:Description": "Follows the life of Wiktor Siminski, who was in a German concentration camp for over five years. Includes survivors' stories, photos and film information.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.holocaustforgotten.com/artofsurvival.htm"} +{"d:Title": "Wattstax 2003", "d:Description": "An overview of the content and process of updating the 1972 documentary \"Wattstax\" to include new footage and improved sound quality.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.wattstax.com/"} +{"d:Title": "World Largest", "d:Description": "A documentary about small towns and big things - a look at people and their love of gigantic objects.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.worldslargestdoc.com/"} +{"d:Title": "Winning New Hampshire", "d:Description": "A film about John Kerry's New Hampshire primary campaign, by William Rabbe and Mark Lynch. Synopsis, news, trailer, filmmaker biographies, production stills, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.winningnh.com/"} +{"d:Title": "Why We Fight", "d:Description": "Eugene Jarecki's film about the anatomy of the American war machine, combining personal stories with commentary by military and political insiders. Winner of the 2005 Grand Jury Prize at Sundance.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.sonyclassics.com/whywefight/"} +{"d:Title": "Whatever It Takes", "d:Description": "Follows the students and staff during the inaugural year of the Bronx Center for Science and Mathematics. Synopsis, filmmaker information, trailer, and press kit. [Requires Flash]", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.whateverittakesdoc.com/"} +{"d:Title": "W.W. Law - Unsung Hero", "d:Description": "A film about W.W. Law, a Savannah resident, postman, and civil rights leader. Historical background, excerpts from a letter he wrote, and filmmaker information.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.wwlawdocumentary.com/"} +{"d:Title": "With Wings and Roots", "d:Description": "Explores the relationship to culture and identity of children of immigrants from different ethnic backgrounds in the United States and Germany. Synopsis, credits, trailer, photographs, blog, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.withwingsandroots.com/"} +{"d:Title": "The World Without US", "d:Description": "A Mitch Anderson film that debates what would happen should the United States close their military bases around the world. Trailers, image gallery, cast and crew profiles, media coverage, ordering information, and contact details.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.theworldwithoutus.com/"} +{"d:Title": "The Wrecking Crew", "d:Description": "A Denny Tedesco film about a little-known group of studio musicians who contributed to hundreds of chart-topping singles. Synopsis, trailer, song list, photographs, reviews, and links.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.wreckingcrewfilm.com/"} +{"d:Title": "Wine: The Green Revolution", "d:Description": "A documentary film about organic and biodynamic french wines.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.winethegreenrevolution.com/"} +{"d:Title": "Wo Ai Ni Mommy", "d:Description": "Documentary film about a Long Island, NY, family who adopted an eight-year-old Chinese girl.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://www.woainimommy.com/"} +{"d:Title": "Welcome to the Dream", "d:Description": "Artists and writers experience two to three times the rate of psychosis, suicide attempts, mood disorders, and substance abuse than do comparably successful people in business, science, and public life.", "topic": "Top/Arts/Movies/Genres/Documentary/W", "url": "http://welcome-to-the-dream.com/"} +{"d:Title": "Zenith", "d:Description": "Documentary about The Great Plains Passion Play. Provides details of the film, the directors, producers, editors and composers, along with photos and newsletter.", "topic": "Top/Arts/Movies/Genres/Documentary/Z", "url": "http://zeniththemovie.com/"} +{"d:Title": "Drama Films", "d:Description": "Contains information about the genre and a list of films.", "topic": "Top/Arts/Movies/Genres/Drama", "url": "http://www.filmsite.org/dramafilms.html"} +{"d:Title": "Melodrama Films", "d:Description": "Extensive look at this diverse genre.", "topic": "Top/Arts/Movies/Genres/Drama", "url": "http://www.filmsite.org/melodramafilms.html"} +{"d:Title": "Psychmovies.com", "d:Description": "Recommended psychology-related movies, primarily those including characters with mental illness or portraying psychologists/psychiatrists.", "topic": "Top/Arts/Movies/Genres/Drama", "url": "http://home.epix.net/~tcannon1/psychmovies/welcome.html"} +{"d:Title": "Top 50 Drama Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time Drama movies.", "topic": "Top/Arts/Movies/Genres/Drama", "url": "http://www.imdb.com/genre/drama"} +{"d:Title": "Animated Films", "d:Description": "Extensive history of family-oriented animated movies from silents to present day.", "topic": "Top/Arts/Movies/Genres/Family", "url": "http://www.filmsite.org/animatedfilms.html"} +{"d:Title": "Top 50 Family Movies", "d:Description": "Internet Movie Database users vote for their all-time top fifty Family movies.", "topic": "Top/Arts/Movies/Genres/Family", "url": "http://www.imdb.com/genre/family"} +{"d:Title": "Kids in Mind", "d:Description": "Reviews based on the amount of sex, nudity, violence, gore, and profanity in mainstream films.", "topic": "Top/Arts/Movies/Genres/Family/Reviews", "url": "http://www.kids-in-mind.com/"} +{"d:Title": "Screen It!", "d:Description": "Offer detailed reviews of movies and DVDs including amount of blood and gore, character attitude and violence. Paid subscription required to read reviews.", "topic": "Top/Arts/Movies/Genres/Family/Reviews", "url": "http://www.screenit.com/"} +{"d:Title": "Parents Television Council - Movie Reviews", "d:Description": "Reviews by the Parents Television Council, Holly McClure, and Michael Medved.", "topic": "Top/Arts/Movies/Genres/Family/Reviews", "url": "http://www.parentstv.org/PTC/publications/moviereviews/movies.asp"} +{"d:Title": "Parent Previews", "d:Description": "Provides information about the amount of violence, sex, language, and drug content in films.", "topic": "Top/Arts/Movies/Genres/Family/Reviews", "url": "http://www.parentpreviews.com/"} +{"d:Title": "FilmFather", "d:Description": "Weblog with reviews of children's films adults and children can enjoy together, as well as films dads can enjoy on their own.", "topic": "Top/Arts/Movies/Genres/Family/Reviews", "url": "http://filmfather.blogspot.com/"} +{"d:Title": "Film Noir", "d:Description": "Descriptions of various sub-genres with synopses of example films to illustrate distinctions.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.filmsite.org/filmnoir.html"} +{"d:Title": "Dark City - Film Noir and Fiction", "d:Description": "Summaries, reviews and art work for noir films and books.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.eskimo.com/~noir/"} +{"d:Title": "Noir City", "d:Description": "Author Eddie Muller introduces his books on film noir and the people who made the genre great.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.noircity.com/"} +{"d:Title": "Classic Noir Online", "d:Description": "The Hardboiled World of Classic Film Noir includes articles, recommendations and more than 600 films.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.classicnoir.com/"} +{"d:Title": "MGM Film Noir", "d:Description": "A look at three of the studio's films noirs: Desire Me, Keeper of the Flame and A Woman's Face.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.themave.com/Noir/Noir.html"} +{"d:Title": "The Noir Files", "d:Description": "Reviews of vintage and neo-noir films.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.culturecourt.com/F/Noir/NoirFiles.htm"} +{"d:Title": "Film Noir", "d:Description": "Overview of the development of film noir and literary noir in postwar America.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.crimeculture.com/Contents/Film%20Noir.html"} +{"d:Title": "Narrative Innovations in Film Noir", "d:Description": "A look at one of the most important, and often overlooked, aspects of film noir: the form of the narrative.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.moderntimes.com/style/"} +{"d:Title": "Filmsnoir.net", "d:Description": "Portal with reviews, articles, resources, posters, stills, and trailers.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://filmsnoir.net/"} +{"d:Title": "Film Noir Reader", "d:Description": "In-depth essays and interviews with filmmakers.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.filmnoirreader.com/"} +{"d:Title": "Film Noir Prints by Guy Budziak", "d:Description": "Gallery of woodcuts inspired by classic film noir imagery.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.filmnoirwoodcuts.com/"} +{"d:Title": "Film Noir Foundation", "d:Description": "Non-profit educational foundation dedicated to furthering the genre through education, film preservation, talks and theatrical screenings.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.filmnoirfoundation.org/"} +{"d:Title": "Top Film-Noir Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time Film Noir movies.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.imdb.com/genre/film_noir"} +{"d:Title": "Film Noir of the Week", "d:Description": "Weblog with fan reviews of classic film noir and neo-noir.", "topic": "Top/Arts/Movies/Genres/Film_Noir", "url": "http://www.noiroftheweek.com/"} +{"d:Title": "Apollo Leisure Guide: Film Noir", "d:Description": "Dan Jardine reviews Nicholas Christopher's \"Somewhere in the Night: Film Noir and the American City,\" and produces an enlightening essay in the process.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://apolloguide.com/mov_feat0820.htm"} +{"d:Title": "High Heels on Wet Pavement", "d:Description": "Essay from Michael Mills' The Palace examines the role of the femme fatale in film noir and how the archetype changed over time.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://www.moderntimes.com/palace/film_noir/index.html"} +{"d:Title": "The Shadows of Film Noir", "d:Description": "Brian W. Fairbanks examines the film noir's origins and recent revival.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://www.angelfire.com/oh2/writer/Shadows1.html"} +{"d:Title": "Richard Widmark: The Face of Film Noir", "d:Description": "Brian W. Fairbanks examines Richard Widmark's contributions to film noir, beginning with \"Kiss of Death\" in 1947.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://www.angelfire.com/oh2/writer/widmark.html"} +{"d:Title": "Ten Shades of Noir", "d:Description": "Illustrated essays analyzing ten genre classics.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://www.imagesjournal.com/issue02/infocus.htm"} +{"d:Title": "No Place for a Woman: The Family in Film Noir and Other Essays", "d:Description": "A Berkeley student's thesis on the ladies of film noir. Very interesting.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://www.lib.berkeley.edu/MRC/noir/np05ff.html"} +{"d:Title": "James M. Cain: The Prince of Darkness", "d:Description": "William Preston Robertson on hard-boiled writer James M. Cain's novels vs. the film noirs that were made from them.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://www.theguardian.com/film/2001/apr/13/features"} +{"d:Title": "Film Noir and Contemporary American Culture", "d:Description": "A series of essays exploring the themes of film noir and their relevance to contemporary culture in the United States.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Critical_Essays", "url": "http://www.let.rug.nl/usa/essays/general/does-film-noir-mirror-the-culture/"} +{"d:Title": "Stray Dog", "d:Description": "Japanese film noir from 1949 that was inspired by the American film The Naked City.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Foreign_Noir", "url": "http://www.willamette.edu/~rloftus/jfilm/straydog.html"} +{"d:Title": "The Chase (1957)", "d:Description": "Review of a Japanese film noir with the basic elements that define the genre: moody black and white photography, a murder mystery and an elliptical manner of revealing important plot points.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Foreign_Noir", "url": "http://www.midnighteye.com/reviews/the-chase/"} +{"d:Title": "Boiling Points", "d:Description": "Review by Elliott Stein of \"Dark Visions: Japanese Film Noir and Neonoir\".", "topic": "Top/Arts/Movies/Genres/Film_Noir/Foreign_Noir", "url": "http://www.villagevoice.com/film/boiling-points-6396801"} +{"d:Title": "Neo-Noir", "d:Description": "Traces the development of neo-noir from the 1960s onward.", "topic": "Top/Arts/Movies/Genres/Film_Noir/Neo-Noir", "url": "http://www.crimeculture.com/Contents/NeoNoir.html"} +{"d:Title": "Epics / Historical Films", "d:Description": "Extensive examination of period pieces, costume dramas and biographies and historical epics.", "topic": "Top/Arts/Movies/Genres/Historical", "url": "http://www.filmsite.org/epicsfilms.html"} +{"d:Title": "Reel Faces", "d:Description": "Explores the real life people behind movies based on true stories.", "topic": "Top/Arts/Movies/Genres/Historical", "url": "http://www.chasingthefrog.com/reelfaces/reel_faces.php"} +{"d:Title": "Movies Based on True Stories", "d:Description": "Extensive list of feature films about real life people and incidents that inspired films, with photos.", "topic": "Top/Arts/Movies/Genres/Historical", "url": "http://www.moviesbasedontruestoriesdatabase.blogspot.com/"} +{"d:Title": "Period Dramas.com", "d:Description": "Database of period-pieces based around a historical time-line indicating when each is set. Film listings include partial credits and filming locations. Also features articles and a forum.", "topic": "Top/Arts/Movies/Genres/Historical", "url": "http://www.perioddramas.com/"} +{"d:Title": "Popcorn and Chain Mail", "d:Description": "A trio of historians lampoon inaccuracies in a number of films.", "topic": "Top/Arts/Movies/Genres/Historical", "url": "http://history-spork.livejournal.com/"} +{"d:Title": "Killing Red", "d:Description": "Showcases horror and science fiction movie news and gossip as well as trailers, a newsletter and contact details.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.killingred.com/"} +{"d:Title": "House of Horrors", "d:Description": "Comprehensive information on horror movies, directors and visual effects wizards.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.houseofhorrors.com/"} +{"d:Title": "Fifties Movies", "d:Description": "A look back at the horror movies of the fifties. Includes descriptions and photographs.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/biz3/nostalgia/movies.html"} +{"d:Title": "Horror Film Compendium", "d:Description": "Includes a genre glossary and reviews from classic to modern films with features such as movie categorization.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.xmission.com/~tyranist/horror/"} +{"d:Title": "Jay's Horror", "d:Description": "Site for fans of horror movies: pictures, fan art, polls, previews, chats, screensavers, desktop themes and games.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/scifi/jayshorror/"} +{"d:Title": "Matt's Horror Movie Page", "d:Description": "Fansite containing reviews, personal top 25 list, awards and a guestbook.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/movies/mattshorrorflicks/index.html"} +{"d:Title": "Horror House", "d:Description": "Movie reviews and dedication to all time movie classics and directors.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/ok3/horrorhouse/"} +{"d:Title": "Fright Fan's Horror Page", "d:Description": "Reviews, film information, polls, trivia, pictures and discussion about horror films.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/movies/FrightFansHorrorPage/"} +{"d:Title": "The Horror Shack", "d:Description": "Contains reviews, pictures and plot descriptions of classic, current and new wave horror films.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/movies/horrorshack666/"} +{"d:Title": "Kitley's Krypt", "d:Description": "A place for horror movie news, reviews, message boards, trivia and a swap-o-rama.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.kitleyskrypt.com/"} +{"d:Title": "House of Wax", "d:Description": "Examines classic horror films, like Bride of Frankenstein and Dracula, and also highlights famous horror actors and directors.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/id2/tower7/houseofwax/pages/entrance.html"} +{"d:Title": "Scare Me Not", "d:Description": "Article by writer Timothy Dugdale on the current state of today's horror film genre.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.metrotimes.com/editorial/story.asp?id=567"} +{"d:Title": "Classic-Horror", "d:Description": "Reviews and articles covering the entire horror genre, past, present and future.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://classic-horror.com/"} +{"d:Title": "Chamber Of Horrors", "d:Description": "The history of horror movies by decade. Includes sections on the Silent Era, the monsters, directors and top 100 films.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.chamberofhorrors.20m.com/"} +{"d:Title": "British Horror Films", "d:Description": "Featuring work by Hammer, Amicus, Tigon and Pete Walker. Includes reviews, photos, posters, sounds and a message board.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.britishhorrorfilms.co.uk/"} +{"d:Title": "Brimstone Pit", "d:Description": "Columns, interviews and viewer reviews about new releases and classic horror movies. Also includes a searchable database.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.living-dead.com/"} +{"d:Title": "Crypt Crawl", "d:Description": "Directory for horror movies and other horror related material.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.cryptcrawl.com/Horror_Movies/"} +{"d:Title": "Scream World", "d:Description": "Scripts and cast listings for several horror series including Scream and Halloween.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://members.tripod.com/~Scream_World/Main_page.html"} +{"d:Title": "Oh My Gore", "d:Description": "Cast and crew information on a large variety of horror films as well as forums and downloads. Viewable in English and French.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.ohmygore.com/"} +{"d:Title": "Forever Horror", "d:Description": "Information and multimedia for various horror films and series. Also includes fan fiction, fan art, polls, links and a message board.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.michalak.org/fh/"} +{"d:Title": "AMC Filmsite: Horror Films", "d:Description": "Extensive look at the horror genre from the earliest silent pictures to present day cinema.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.filmsite.org/horrorfilms.html"} +{"d:Title": "Supernatural Films", "d:Description": "A look at the ghosts, demons and witches appearing in popular horror films.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.filmsite.org/supernatfilms.html"} +{"d:Title": "Bloody-Disgusting", "d:Description": "Horror movie news, reviews features and message boards.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.bloody-disgusting.com/"} +{"d:Title": "Flesh Farm", "d:Description": "Dedicated to macabre, strange and the bizarre horror films. Features trailers, movie descriptions and images.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.thefleshfarm.com/"} +{"d:Title": "The Horror Museum", "d:Description": "Photographs and descriptions of various horror movie props. Also includes a forum and links.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.freewebs.com/1428crystallake/"} +{"d:Title": "First Fright", "d:Description": "Sneak preview stills of upcoming independent horror films. Includes credits and submission details for filmmakers.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.firstfright.com/"} +{"d:Title": "Upcoming Horror Movies", "d:Description": "Lists descriptions of forthcoming horror movie releases as well as reviews and trailers for the films.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.upcominghorrormovies.com/"} +{"d:Title": "Fight Evil", "d:Description": "Pits horror movie characters against one another in a fight to the finish. Also includes news, reviews and a forum.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.fight-evil.com/"} +{"d:Title": "Horror Stop", "d:Description": "Website strictly dedicated to the discussion of horror films. Includes news, galleries, related links and polls.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.angelfire.com/scary/horrorstop/"} +{"d:Title": "Monsters A Go-Go", "d:Description": "Features news, related links, horror movie information and reviews as well as a searchable monster database.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.monstersagogo.com/"} +{"d:Title": "Scary Horror Movies", "d:Description": "Showcases horror film information and descriptions as well as top ten and recent release lists.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://scaryhorrormovies.com/"} +{"d:Title": "Atrocities Cinema", "d:Description": "Horror movie news, reviews, fiction, forums, related links and archives.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.atrocitiescinema.com/"} +{"d:Title": "Black Horror Movies", "d:Description": "Features a searchable time line of films, data and links concerning African American actors that have appeared in the genre.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.blackhorrormovies.com/"} +{"d:Title": "Best-Horror-Movies.com", "d:Description": "Showcases a weblog, reviews and top one hundred list as well as descriptions of movie monsters and heroes.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.best-horror-movies.com/"} +{"d:Title": "Deadpit Radio Show", "d:Description": "Showcases interviews with horror related stars and news, all in audio format as well as reviews and forums.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.deadpit.com/"} +{"d:Title": "24 Frames Per Second", "d:Description": "Highlights include Asian, world and cult horror movie news and reviews as well as forums and contact details.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.24framespersecond.net/"} +{"d:Title": "Seventies Horror Films", "d:Description": "Includes an alphabetized list of 70's era films, a genre study and popular director profiles.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.70shorrorfilms.com/"} +{"d:Title": "Dark Angel's Realm of Horror", "d:Description": "Banned films, video clips and a comprehensive history of horror films.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://usersites.horrorfind.com/home/horror/realm/"} +{"d:Title": "Slammed&Damned", "d:Description": "Collection of reviews and interviews with industry people written by Theron Neel.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://theronneel.com/"} +{"d:Title": "HorrorTalk", "d:Description": "Reviews low-budget rarities in addition to high-profile blockbusters. Review listings include screenshots and multimedia for each film. Also features industry news and filming location/set reports.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.horrortalk.com/"} +{"d:Title": "Gorepress", "d:Description": "Reviews and ratings by several contributors, interviews and in-depth feature articles. Browse alphabetically, by rating, or by cast and crew.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.gorepress.com/"} +{"d:Title": "Icons of Fright", "d:Description": "Focuses on interviews with horror stars and coverage of horror fandom conventions and special screenings. Also includes film news and reviews.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.iconsoffright.com/"} +{"d:Title": "Freddy In Space", "d:Description": "Weblog with film reviews, top lists, actor career profiles, genre discussions, costume and make-up tips, interviews, character analysis, and links.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.freddyinspace.com/"} +{"d:Title": "Win Free Horror Shit", "d:Description": "Weblog compiling horror-related contests from various sources.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.winfreehorrorshit.blogspot.com/"} +{"d:Title": "HorrorNews.net", "d:Description": "Horror news, film and book reviews, interviews, trailers and previews, screenplays, top lists, forum, and links. Includes sections on Asian horror, cult horror, and serials.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://horrornews.net/"} +{"d:Title": "Horror Film History", "d:Description": "A decade-by-decade guide to the history of the genre, from its roots in Gothic fiction to today's tendency towards remakes.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.horrorfilmhistory.com/"} +{"d:Title": "Infernal Dreams", "d:Description": "Film reviews, convention photographs, and desktop wallpapers.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.infernaldreams.net/"} +{"d:Title": "28 Days Later: An Analysis", "d:Description": "Film commentary and interviews by Michael Ross.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.28dayslateranalysis.com/"} +{"d:Title": "Horror Extreme", "d:Description": "Feature articles and reviews. Includes categories such as Asian, British, Italian, and independent horror.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.horror-extreme.com/"} +{"d:Title": "80s Slashers", "d:Description": "Provides poster thumbnails for films from the slasher genre, with links to trailers.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://slashers.50webs.com/"} +{"d:Title": "Horror-Web", "d:Description": "Reviews, sneak peeks, release schedules, interviews and related horror film information.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.littlebunnycthulhu.com/"} +{"d:Title": "Classic Horror Films", "d:Description": "Synopses of classic horror films, grouped alphabetically and by studio.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.classichorrorfilmsguide.co.uk/"} +{"d:Title": "Horror Movies", "d:Description": "Livejournal community message board for horror fans.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://horror-movies.livejournal.com/"} +{"d:Title": "Top 50 Horror Films", "d:Description": "Internet Movie Database users vote for the all-time top fifty horror movies. Also lists the ten worst films.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.imdb.com/genre/horror"} +{"d:Title": "Low Budget Horror Film Society", "d:Description": "Message boards that feature discussion horror related topics including films, directing, scripting and scoring.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://lbhfs.proboards.com/"} +{"d:Title": "Chuck Norris Ate My Baby", "d:Description": "Humorous weblog with film and genre commentary.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.chucknorrisatemybaby.com/"} +{"d:Title": "VideasFilms", "d:Description": "Low budget horror / Thriller movie makers based in UK.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://sites.google.com/site/videasfilms/"} +{"d:Title": "More Horror", "d:Description": "News, film reviews, festival listings, art and more pertinent horror genre material.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://www.morehorror.com/"} +{"d:Title": "HellHorror", "d:Description": "Mvie reviews, serial killer profiles, demon names, werewolf sightings, and vampire history.", "topic": "Top/Arts/Movies/Genres/Horror", "url": "http://hellhorror.com/"} +{"d:Title": "House Of Fire", "d:Description": "Tribute in pictures to Bela Lugosi, Peter Cushing, Alex Harvey, Christopher Lee and other horror film stars.", "topic": "Top/Arts/Movies/Genres/Horror/Actors_and_Actresses", "url": "http://www.hothouse.force9.co.uk/"} +{"d:Title": "Horror Film Stars", "d:Description": "Pictures of horror actors and actresses ranging from the silent era to modern day.", "topic": "Top/Arts/Movies/Genres/Horror/Actors_and_Actresses", "url": "http://www.members.tripod.com/ericrover5/horrorstars.html"} +{"d:Title": "Scream Queen - The Hottest Ladies In Horror", "d:Description": "Biographies and filmographies of the hottest ladies in horror the horror genre.", "topic": "Top/Arts/Movies/Genres/Horror/Actors_and_Actresses", "url": "http://www.screamqueen.com/"} +{"d:Title": "Masters' Chamber", "d:Description": "Biographical information, photographs, filmographies and commentary on a dozen prominent genre directors.", "topic": "Top/Arts/Movies/Genres/Horror/Directors", "url": "http://houseofhorrors.com/masters.htm"} +{"d:Title": "Horror Directors on Video and DVD", "d:Description": "Biographies of several horror film directors from around the world.", "topic": "Top/Arts/Movies/Genres/Horror/Directors", "url": "http://southwor.tripod.com/"} +{"d:Title": "Monster Club", "d:Description": "Books and publications for classic horror film fans. Includes a periodical e-zine and a forum.", "topic": "Top/Arts/Movies/Genres/Horror/Magazines_and_E-zines", "url": "http://www.themonsterclub.com/"} +{"d:Title": "Fangoria", "d:Description": "Monthly publication that features regularly updated horror movie reviews, upcoming previews and news.", "topic": "Top/Arts/Movies/Genres/Horror/Magazines_and_E-zines", "url": "http://www.fangoria.com/"} +{"d:Title": "Unrated Magazine", "d:Description": "Covers controversial cult films. Features current issue gallery, news, reviews and purchasing information.", "topic": "Top/Arts/Movies/Genres/Horror/Magazines_and_E-zines", "url": "http://www.unrated.co.uk/"} +{"d:Title": "Famous Monsters of Filmland", "d:Description": "A magazine that features photos and articles about classic monsters. Subscription information and news.", "topic": "Top/Arts/Movies/Genres/Horror/Magazines_and_E-zines", "url": "http://www.filmlandclassics.com/"} +{"d:Title": "The Terror Trap", "d:Description": "Full profiles of slasher movies, Italian giallos, ghost movies, scream queens and monthly spotlights,", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.terrortrap.com/"} +{"d:Title": "Oh My God! That's Horror-ble", "d:Description": "An alphabetically searchable database of horror movie reviews. Features updates and links.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.angelfire.com/movies/horrorble/horror.html"} +{"d:Title": "Buried", "d:Description": "Offers horror movie and fiction reviews as well as news, editorials, interviews, links and convention listings.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.buried.com/"} +{"d:Title": "All-Reviews.com: Horror Movie Reviews", "d:Description": "Reviews of horror movies from a variety of commentators.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.all-reviews.com/video-lists/Horror.htm"} +{"d:Title": "Horrorview", "d:Description": "Features horror movie reviews and data, news, archives, essays, interviews, contact information and links.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.horrorview.com/"} +{"d:Title": "Hysteria Lives", "d:Description": "Reviews of slasher films and gialli from the 1950's to the present. Features essays, photographs and interviews.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.hysteria-lives.co.uk/"} +{"d:Title": "Alan Idol's Horror Reviews", "d:Description": "A-Z database of over 400 amateur reviews. Includes guestbook, polls and links.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.angelfire.com/ego/idolsreviews/"} +{"d:Title": "HorrorDVD", "d:Description": "Brief reviews and DVD specifics on a large number of horror films.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.horrordvd.co.uk/"} +{"d:Title": "Horror Express", "d:Description": "Reviews, production and release news for mainstream and low-budget films.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.horrorexpress.com/"} +{"d:Title": "Paraseek Staff Reviews", "d:Description": "Horror and science fiction movie reviews and trailers.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://paraseek.com/dreviews.html"} +{"d:Title": "Absolute Horror", "d:Description": "Weekly updated reviews and discussions of straight-to-video movies.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://horror.blogs.com/"} +{"d:Title": "Killer Reviews", "d:Description": "Searchable database of over four thousand horror films as well as contact details and related links.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.killerreviews.com/"} +{"d:Title": "International Walnut", "d:Description": "Weblog in which the webmaster reviews cult films as well as DVD and advance theatrical releases.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://intlwalnut.blogspot.com/"} +{"d:Title": "Omega Channel", "d:Description": "Weblog that reviews various genre related films. Also includes links and images.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://omegachannel.blogspot.com/"} +{"d:Title": "The Black Lagoon", "d:Description": "Reviews of classic and cult horror movies, organised alphabetically and by genre.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.blacklagoon.info/"} +{"d:Title": "Dr. Blood's Video Vault", "d:Description": "An A to Z guide of various movie reviews as well as links to dealers that sell them.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.drbloodsvideovault.com/"} +{"d:Title": "Behind the Couch", "d:Description": "Eclectic collection of reviews mostly for lesser-known films.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://watchinghorrorfilmsfrombehindthecouch.blogspot.com/"} +{"d:Title": "Oh, the Horror", "d:Description": "Reviews by several contributors, organized alphabetically and by sub-genre. Also includes a discussion forum.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.oh-the-horror.com/"} +{"d:Title": "Eat Horror", "d:Description": "Reviews categorized by sub-genre, including zombie, supernatural, slasher, psychological, monster, vampire, serial killer, cannibal, and science fiction films.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.eathorror.com/"} +{"d:Title": "The Horror Digest", "d:Description": "Reviews of current and classic films by Andre Dumas. Includes reviews of films specifically featured on Bravo TV's list of 100 scariest movie moments.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://horrordigest.blogspot.com/"} +{"d:Title": "Living Dead Movie Reviews", "d:Description": "Reviews feature photographs and use the site's own rating system. Also includes several top 10 lists and links.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://wannabebub.tripod.com/index.htm"} +{"d:Title": "Living Dead Reviews", "d:Description": "Film synopses, reviews, and photographs, organized by categories such as \"aliens and monsters\", \"Hammer classics\", and \"Stephen King adaptations\".", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.freewebs.com/roho911/"} +{"d:Title": "Gorehounds Unite", "d:Description": "DVD reviews with a focus on independent features. Listings include synopses and photographs.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.freewebs.com/gorehoundsunite/"} +{"d:Title": "Horror Charts", "d:Description": "Brief reviews and ratings by a pseudonymous author. Sub-genres include experiment gone wrong, nobody dies, and small-town.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://horrorcharts.com/"} +{"d:Title": "Horror Review", "d:Description": "Horror, science fiction and fantasy movie reviews. Features media, interviews, upcoming film information and forum.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://usersites.horrorfind.com/home/horror/horrorreview/"} +{"d:Title": "Arrow in the Head", "d:Description": "Website offers horror movie reviews, production journals, interviews, discussion forums and contact information.", "topic": "Top/Arts/Movies/Genres/Horror/Reviews", "url": "http://www.joblo.com/horror-movies/news/"} +{"d:Title": "University Of Horror", "d:Description": "Creators of low/no budget horror films. List of past productions and contact information.", "topic": "Top/Arts/Movies/Genres/Horror/Studios", "url": "http://angelfire.com/movies/universityofhorror"} +{"d:Title": "Vampire Acid Mice", "d:Description": "Offers vampire movie reviews.", "topic": "Top/Arts/Movies/Genres/Horror/Vampire", "url": "http://acidmouse.8m.com/"} +{"d:Title": "Vampyres Online", "d:Description": "Vampire movie database. Includes descriptions, reviews, cast information, images and downloads.", "topic": "Top/Arts/Movies/Genres/Horror/Vampire", "url": "http://www.vampyres-online.com/"} +{"d:Title": "Vampyres Film List", "d:Description": "Comprehensive alphabetized listing of vampire movies, that includes years of release, directors and accompanying links.", "topic": "Top/Arts/Movies/Genres/Horror/Vampire", "url": "http://www.uh.edu/~cfreelan/vampires/vampmovies.html"} +{"d:Title": "Werewolf Movies", "d:Description": "A definitive guide to werewolf movies, short films and television series. Includes a searchable database and upcoming releases.", "topic": "Top/Arts/Movies/Genres/Horror/Werewolf", "url": "http://www.werewolf-movies.com/"} +{"d:Title": "Box Office Mojo", "d:Description": "Chart of top-grossing mockumentaries since 1978. Includes release date, number of theaters, receipts.", "topic": "Top/Arts/Movies/Genres/Mockumentary", "url": "http://www.boxofficemojo.com/genres/chart/?id=mockumentary.htm"} +{"d:Title": "Five in Focus", "d:Description": "Four filmmakers give their list of five favorite mockumentaries. Features director profiles, reviews.", "topic": "Top/Arts/Movies/Genres/Mockumentary", "url": "http://focusfeatures.com/fifsplash/non_fiction_filmmakers_on_mockumentaries"} +{"d:Title": "Mock Doc", "d:Description": "Mockumentary resource for teachers and students. Features definition of the genre, filmography, bibliography and book abstract.", "topic": "Top/Arts/Movies/Genres/Mockumentary", "url": "http://www.waikato.ac.nz/film/mock-doc.shtml"} +{"d:Title": "UC Berkeley: Fake and Mock Documentaries", "d:Description": "List of mockumentary resources available at university library. Includes books, articles, films and reviews.", "topic": "Top/Arts/Movies/Genres/Mockumentary", "url": "http://www.lib.berkeley.edu/MRC/mockumentaries.html"} +{"d:Title": "AllMovie: Mockumentary", "d:Description": "Introduction to genre. Includes definition and detailed list of major works.", "topic": "Top/Arts/Movies/Genres/Mockumentary", "url": "http://www.allmovie.com/subgenre/mockumentary-d638"} +{"d:Title": "Hijacking Factuality", "d:Description": "Dissertation by Eric Schockmel. Examines the mockumentary genre as critical discourse.", "topic": "Top/Arts/Movies/Genres/Mockumentary", "url": "http://www.multimedialab.be/doc/theses/e_schockmel_2007.pdf"} +{"d:Title": "Classic Movie Musicals", "d:Description": "Interlinked database of classic movie musicals.", "topic": "Top/Arts/Movies/Genres/Musicals", "url": "http://www.classicmoviemusicals.com/"} +{"d:Title": "Musical and Dance Films", "d:Description": "Extensive look at the genre from \"The Jazz Singer\" to the present day.", "topic": "Top/Arts/Movies/Genres/Musicals", "url": "http://www.filmsite.org/musicalfilms.html"} +{"d:Title": "Reel Classics: Musicals", "d:Description": "Offers music clips, quotes, cast and crew information, and reviews.", "topic": "Top/Arts/Movies/Genres/Musicals", "url": "http://www.reelclassics.com/Musicals/"} +{"d:Title": "Top 50 Musical Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time movie Musicals.", "topic": "Top/Arts/Movies/Genres/Musicals", "url": "http://www.imdb.com/genre/musical"} +{"d:Title": "Detective / Mystery Films", "d:Description": "Extensive look at the genre from Charlie Chan and Sherlock Holmes to \"Dirty Harry\" and \"The French Connection\".", "topic": "Top/Arts/Movies/Genres/Mystery", "url": "http://www.filmsite.org/mysteryfilms.html"} +{"d:Title": "Mystery and Crime Movies", "d:Description": "The top fifty mystery movies, as well as a look at mystery related genres like private eyes and police procedurals.", "topic": "Top/Arts/Movies/Genres/Mystery", "url": "http://www.mysterynet.com/movies/"} +{"d:Title": "Kill as Directed", "d:Description": "Reviews of the various movies based on Ellery Queen stories.", "topic": "Top/Arts/Movies/Genres/Mystery", "url": "http://neptune.spaceports.com/~queen/Other%20Media_5.html"} +{"d:Title": "Top 50 Mystery Movies", "d:Description": "Internet Movie Database users vote for the top fifty all-time Mystery movies.", "topic": "Top/Arts/Movies/Genres/Mystery", "url": "http://www.imdb.com/genre/mystery"} +{"d:Title": "Crime and Gangster Films", "d:Description": "Essay describing the key characteristics and notable films in the genre, plus an extensive list of the best titles.", "topic": "Top/Arts/Movies/Genres/Organized_Crime", "url": "http://www.filmsite.org/crimefilms.html"} +{"d:Title": "Crime Pays", "d:Description": "Examines the Hollywood Gangster from 1930 to 1938.", "topic": "Top/Arts/Movies/Genres/Organized_Crime", "url": "http://xroads.virginia.edu/~UG02/gangsters/intro.html"} +{"d:Title": "Understanding Japanese Yakuza Movies", "d:Description": "Help for non-Japanese speakers to understand gangster Japanese by studying dialogue from films. Also movie recommendations.", "topic": "Top/Arts/Movies/Genres/Organized_Crime", "url": "http://www.jingai.com/yakuza/"} +{"d:Title": "Crime Films", "d:Description": "Extensive look at the various crime and gangster film sub-genres, with reviews of over two dozen movies.", "topic": "Top/Arts/Movies/Genres/Organized_Crime", "url": "http://www.crimeculture.com/Contents/CrimeFilms-5.html"} +{"d:Title": "Top 50 Crime Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time crime movies.", "topic": "Top/Arts/Movies/Genres/Organized_Crime", "url": "http://www.imdb.com/genre/crime"} +{"d:Title": "Road Movies", "d:Description": "Summaries of major films and documentaries in the genre plus links to other related material, from the University of California.", "topic": "Top/Arts/Movies/Genres/Road_Movies", "url": "http://www.lib.berkeley.edu/MRC/roadmovies.html"} +{"d:Title": "Road Movies", "d:Description": "Sam North's detailed analysis of the characteristics, development and key films within the genre.", "topic": "Top/Arts/Movies/Genres/Road_Movies", "url": "http://www.hackwriters.com/roadone.htm"} +{"d:Title": "Women in Road Movies", "d:Description": "Essay looking at the type of roles woman have within this male dominated genre.", "topic": "Top/Arts/Movies/Genres/Road_Movies", "url": "http://www.univie.ac.at/Anglistik/easyrider/data/WomanRoads.htm"} +{"d:Title": "Road Movies", "d:Description": "Richard F. Weingroff provides an extensive list, film stills and descriptions of films in which the road plays a significant role.", "topic": "Top/Arts/Movies/Genres/Road_Movies", "url": "http://www.fhwa.dot.gov/publications/publicroads/96summer/p96su42.cfm"} +{"d:Title": "All-Reviews: Reviews of Romance Movies", "d:Description": "Collections of reviews by various reviewers.", "topic": "Top/Arts/Movies/Genres/Romance", "url": "http://www.all-reviews.com/video-lists/Romance.htm"} +{"d:Title": "Romance Movies", "d:Description": "at BellaOnline", "topic": "Top/Arts/Movies/Genres/Romance", "url": "http://www.bellaonline.com/site/romancemovies"} +{"d:Title": "Romance Films", "d:Description": "Extensive look at the genre from Rudolph Valentino to the present.", "topic": "Top/Arts/Movies/Genres/Romance", "url": "http://www.filmsite.org/romancefilms.html"} +{"d:Title": "Top 50 Romance Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time Romance movies.", "topic": "Top/Arts/Movies/Genres/Romance", "url": "http://www.imdb.com/genre/romance"} +{"d:Title": "scifi-movies.com", "d:Description": "Pictures, information and reviews.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.scifi-movies.com/"} +{"d:Title": "Ken's Super Sci Fi Page", "d:Description": "Pictures and comments for several series.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://kentor1701.tripod.com/KensSuperSciFiPage.html"} +{"d:Title": "Scifilm", "d:Description": "News, information, TV schedules, forums, trailers and reviews.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.scifilm.org/"} +{"d:Title": "Sci-Fi London", "d:Description": "Details of films and events, venue and ticket information, mailing list and message board for annual film festival.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.sci-fi-london.com/"} +{"d:Title": "ClassicSciFi.Com", "d:Description": "Daily and monthly TV listings of the best horror, fantasy, and sci-fi films of yesterday and today.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://classicscifi.com/"} +{"d:Title": "Screen Memories", "d:Description": "An exploration of the relationship between science fiction film and the UFO mythology.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.hedweb.com/markp/ufofilm.htm"} +{"d:Title": "Tombstone Alley", "d:Description": "Tour the macabre of Hollywood.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.vondreck.com/"} +{"d:Title": "Science Fiction Films", "d:Description": "Extensive look at the genre from the earliest silents to the present.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.filmsite.org/sci-fifilms.html"} +{"d:Title": "Fantasy Films", "d:Description": "Extensive look at the various aspects of the genre.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.filmsite.org/fantasyfilms.html"} +{"d:Title": "Universe Guide", "d:Description": "Summaries of science fiction movies and television shows including characters, spaceships used and types of aliens.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.universeguide.com/"} +{"d:Title": "The Sci-Fi Movie Page", "d:Description": "Movie reviews, news on current and forthcoming films, chat rooms, downloadable trailers, articles, and pictures.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.scifimoviepage.com/"} +{"d:Title": "Dark Star Organisation", "d:Description": "UK-based site with fantasy cinema, reviews, interviews and links.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.dso.co.uk/"} +{"d:Title": "Warpcore SF", "d:Description": "Science fiction and fantasy site offers polls, reviews, news, short stories, quizzes, profiles and plot generators.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.warpcoresf.co.uk/"} +{"d:Title": "You Unleashed It", "d:Description": "Information on various science fiction and fantasy series.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://padawanjenn.tripod.com/"} +{"d:Title": "A Course in Science Fiction Film", "d:Description": "Information on a college course on the genre.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.users.miamioh.edu/erlichrd/courseinsf/"} +{"d:Title": "Top 50 Fantasy Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time Fantasy movies.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.imdb.com/genre/fantasy"} +{"d:Title": "Top 50 Sci-Fi Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time Science Fiction movies.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy", "url": "http://www.imdb.com/genre/sci_fi"} +{"d:Title": "All-Reviews.com Sci-Fi and Fantasy Movie Reviews", "d:Description": "Reviews written by a variety of reviewers.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.all-reviews.com/video-lists/Sci-Fi-Fantasy.htm"} +{"d:Title": "Frank Wu's Science Fiction and Fantasy Movie Reviews", "d:Description": "Science Fiction artist provides in-depth reviews of favorite movies.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.frankwu.com/Reviews.html"} +{"d:Title": "Kaijuflicks", "d:Description": "Reviews of Japanese sciencefiction films and sales of hard to find or out of print videos.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.angelfire.com/scifi/kaijuflicks/"} +{"d:Title": "Science Fiction and Fantasy World Movie Reviews", "d:Description": "Site visitors submit reviews of both television and theatrical movies. Listings are organized by film title, visitor ratings, and date submitted.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.sffworld.com/mindex.html"} +{"d:Title": "Science Fiction, Horror and Fantasy Film Review", "d:Description": "Large fan site providing reviews of science fiction, horror and fantasy films. Editors provide a star rating system.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy/Reviews", "url": "http://www.moria.co.nz/"} +{"d:Title": "Video Visions", "d:Description": "Features fan made music videos for Lord of the Rings and Star Wars.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy/Titles", "url": "http://www.angelfire.com/scifi2/aris/"} +{"d:Title": "Leeloo's Realm", "d:Description": "Fan site with media, information and fiction on The Fifth Element and Star Wars: The Phantom Menace.", "topic": "Top/Arts/Movies/Genres/Science_Fiction_and_Fantasy/Titles", "url": "http://www.angelfire.com/tx2/leeloo/"} +{"d:Title": "Cliffhanger Corner", "d:Description": "Detailed synopsis of each chapter of The Masked Marvel, with numerous of photos.", "topic": "Top/Arts/Movies/Genres/Serials", "url": "http://members.tripod.com/~fedora_2/"} +{"d:Title": "Cliffhangers", "d:Description": "In its purest form, the serial belongs to another era. Extensive article with photos and animated GIFs.", "topic": "Top/Arts/Movies/Genres/Serials", "url": "http://www.imagesjournal.com/issue04/infocus.htm"} +{"d:Title": "The Serial Squadron", "d:Description": "Fan club of cliffhangers, with message boards devoted to specific serials.", "topic": "Top/Arts/Movies/Genres/Serials", "url": "http://www.serialsquadron.com/"} +{"d:Title": "Greatest Serial Films", "d:Description": "Looks at the genre from \"The Perils of Pauline\" up through the 1950s.", "topic": "Top/Arts/Movies/Genres/Serials", "url": "http://www.filmsite.org/serialfilms.html"} +{"d:Title": "Silent and Sound Cliffhanger Serials", "d:Description": "General genre information and comprehensive listings of serials categorized by year, studio and alphabetically.", "topic": "Top/Arts/Movies/Genres/Serials", "url": "http://www.themaverick.us/home/serial.html"} +{"d:Title": "Earlycinema.com", "d:Description": "An introduction to the first decade of motion pictures. Focuses on the early pioneers and includes biographies, technology, a timeline, essays, and resources.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.earlycinema.com/"} +{"d:Title": "The Silent Western", "d:Description": "Examines early movie myths of the American West. Contains articles on early film, Western heroes and heroines, use of land, Indians, and Mexicans in silent Westerns, and the Western epic.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://xroads.virginia.edu/~hyper/hns/westfilm/west.html"} +{"d:Title": "Silents Are Golden", "d:Description": "Silent movie star photos, vintage reviews, articles and essay on the silent era, feature silent movies, listing of silent videos and where to buy them, recommended books on the silent era.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.silentsaregolden.com/"} +{"d:Title": "Silent Era", "d:Description": "Silent film information, news, reviews, top 100 list, lost films, books, and listings for silent films available on video and DVD.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.silentera.com/"} +{"d:Title": "Silent Movies", "d:Description": "Site dedicated to movies of the silent era, including silent stars and films, silent film resources, list of screenings of silent movies and a Silent Star of the Month.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.csse.monash.edu.au/~pringle/silent/"} +{"d:Title": "Greatest Silent Films", "d:Description": "Looks at more than twenty of the most famous films of the silent era.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.filmsite.org/silentfilms.html"} +{"d:Title": "Golden Silents", "d:Description": "Silent film actor and actress biographies, photo galleries, and silent movie video clips.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.goldensilents.com/"} +{"d:Title": "Silent Movie Crazy", "d:Description": "Silent film tribute featuring movie reviews, ratings, actor profiles, books, resources, best and worst lists.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.silentmoviecrazy.com/"} +{"d:Title": "Silent Film Still Archive", "d:Description": "Database of original photos, advertising, and other memorabilia from silent films organized by film title or performer name. Includes unidentified film stills and a still codes list.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.silentfilmstillarchive.com/"} +{"d:Title": "Silentones", "d:Description": "Group of musicians that perform live music for silent films, based in Germany. List of films, musician biographies, and tour information.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.silentones.de/info_e.htm"} +{"d:Title": "100 Years of Hollywood and the Stars", "d:Description": "Commemorates the emergence of Hollywood and the movie star in 1910. Includes a historical essay, contemporary newspaper articles and a portrait gallery.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.william-m-drew.webs.com/"} +{"d:Title": "Welcome to Silent Movies", "d:Description": "Information on silent films, silent stars, and the movies of the silent era. Includes news, articles, and links.", "topic": "Top/Arts/Movies/Genres/Silent_Movies", "url": "http://www.welcometosilentmovies.com/"} +{"d:Title": "Pordenone Silent Film Festival", "d:Description": "Annual event held in Italy. Program, past festivals, publications, and venue details.", "topic": "Top/Arts/Movies/Genres/Silent_Movies/Organizations", "url": "http://www.cinetecadelfriuli.org/gcm/"} +{"d:Title": "Silent Film Music Festival", "d:Description": "Biennial event in Erlangen, Germany. Program, sponsor, archive, and links.", "topic": "Top/Arts/Movies/Genres/Silent_Movies/Organizations", "url": "http://www.stummfilmmusiktage.de/"} +{"d:Title": "The San Francisco Silent Film Festival", "d:Description": "Nonprofit organization dedicated to promoting silent film as an art form. Presents an annual festival of silent films accompanied by live music at a 1920s movie palace.", "topic": "Top/Arts/Movies/Genres/Silent_Movies/Organizations", "url": "http://www.silentfilm.org/"} +{"d:Title": "The Silent Film Society of Chicago", "d:Description": "Features information on the annual summer film festival, membership, resources, and the silent film experience.", "topic": "Top/Arts/Movies/Genres/Silent_Movies/Organizations", "url": "http://www.silentfilmchicago.com/"} +{"d:Title": "Bristol Silents", "d:Description": "Film society dedicated to silent cinema. Lists events, patrons, film library, and membership information.", "topic": "Top/Arts/Movies/Genres/Silent_Movies/Organizations", "url": "http://www.bristolsilents.org.uk/"} +{"d:Title": "The Silent Clowns Film Series", "d:Description": "Classic silent comedy films screened with live music in New York City annually from September to May. Includes show schedule, venue, and ticket information.", "topic": "Top/Arts/Movies/Genres/Silent_Movies/Organizations", "url": "http://www.silentclowns.com/"} +{"d:Title": "Sports Movies Guide", "d:Description": "Provides lists of movies from major and minor sports. Allows user reviews and ratings.", "topic": "Top/Arts/Movies/Genres/Sports", "url": "http://www.sportsinmovies.com/"} +{"d:Title": "Baseball Movies", "d:Description": "List of films on this topic made since 1960, with a picture, plot summary and the main stars.", "topic": "Top/Arts/Movies/Genres/Sports/Baseball", "url": "http://www.bostonbaseball.com/baseball_movies/"} +{"d:Title": "Basketball Movies", "d:Description": "List of recommended titles on this theme, giving the stars, brief plot summary and release date for each title.", "topic": "Top/Arts/Movies/Genres/Sports/Basketball", "url": "http://www.sandlotshrink.com/moviebkb.htm"} +{"d:Title": "The KO Picture Show", "d:Description": "Reviews the best and worst in pugilistic pictures.", "topic": "Top/Arts/Movies/Genres/Sports/Boxing", "url": "http://www.kopictureshow.com/"} +{"d:Title": "Thriller and Suspense Films", "d:Description": "A look at dozens of espionage, thriller and suspense films.", "topic": "Top/Arts/Movies/Genres/Suspense_Thrillers", "url": "http://www.filmsite.org/thrillerfilms.html"} +{"d:Title": "Top 50 Thriller Movies", "d:Description": "Internet Movie Database users vote for the top fifty all-time Thrillers.", "topic": "Top/Arts/Movies/Genres/Suspense_Thrillers", "url": "http://www.imdb.com/genre/thriller"} +{"d:Title": "Pearl Harbor in the Movies", "d:Description": "Information about movies telling the story of the attack. Film information, reviews, and photographs.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://www.angelfire.com/film/pearlharbormovies/"} +{"d:Title": "Misty's Cadence of Military Movies", "d:Description": "Fan page about various military films, briefly describing each title.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://www.angelfire.com/tx/vanity22/"} +{"d:Title": "War and Anti-War Films", "d:Description": "Discussion of war and anti-war movies from pre-WWI to the present day. Provides a listing of war films.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://www.filmsite.org/warfilms.html"} +{"d:Title": "The Spanish-American War in Motion Pictures", "d:Description": "Library of Congress site providing information on films of the Spanish American War in its holdings.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://memory.loc.gov/ammem/sawhtml/sawhome.html"} +{"d:Title": "War Movies and War Propaganda: A Short Bibliography of Materials in the UC Berkeley Libraries", "d:Description": "Books, films and resources related to war films.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://www.lib.berkeley.edu/MRC/Warfilmbib.html"} +{"d:Title": "Sgt. Slaughter Goes to War", "d:Description": "Reviews and background on European war films, old and new, classics and trash.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://www.angelfire.com/film/eurowar/"} +{"d:Title": "War Movies and Books", "d:Description": "Brief personal film reviews.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://allwars.blogspot.com/"} +{"d:Title": "War Movie Blog", "d:Description": "Film reviews organized by period/conflict and release date. Also includes videos, news on upcoming releases, and message board.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://warmovieblog.com/"} +{"d:Title": "Victory Theater: Hollywood and World War II", "d:Description": "Weblog covering various aspects of the war's impact on Hollywood, from retrospective articles to reviews of modern film.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://hollywoodatwar.blogspot.com/"} +{"d:Title": "Top 50 War Films", "d:Description": "Internet Movie Database users vote for the top fifty all-time War movies.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://www.imdb.com/genre/war"} +{"d:Title": "How to Evaluate War Movies", "d:Description": "The Center for Media Literacy provides FAQs, articles, and related resources to aid in the study and analysis of war films.", "topic": "Top/Arts/Movies/Genres/War", "url": "http://www.medialit.org/reading-room/how-evaluate-war-movies"} +{"d:Title": "Cinefania", "d:Description": "Horror, sci-fi, thriller, fantasy and bizarre movies. Contains film information, multimedia, and historical details.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.cinefania.com/"} +{"d:Title": "KORDA", "d:Description": "National and regional support schemes for the development, production and distribution of films and audiovisual works in the European Union Member States.", "topic": "Top/Arts/Movies/Guides", "url": "http://korda.obs.coe.int/"} +{"d:Title": "Smoke Free Movies", "d:Description": "Movies without cigarettes. Site in English and French.", "topic": "Top/Arts/Movies/Guides", "url": "http://pages.videotron.com/abc/films-movies/"} +{"d:Title": "The 80s Movies Rewind", "d:Description": "Films from the 1980s listed alphabetically or by genre. Listings include plot synopses, reviews, trivia, and film recommendations.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.fast-rewind.com/"} +{"d:Title": "Cinemorgue", "d:Description": "Lists actresses who have died on-screen. Many entries include descriptions on the death and screen captures.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.cinemorgue.com/"} +{"d:Title": "A Short History of the Movies", "d:Description": "Web companion to the book by Gerald Mast, with links to sites related to film history.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.abacon.com/mast/"} +{"d:Title": "Comic Book Movie", "d:Description": "News about the production of movies based on comic book characters.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.comicbookmovie.com/"} +{"d:Title": "Where Did They Film That", "d:Description": "Location listings for films made in the UK or Republic of Ireland. Allows searching by film title or place name.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.wheredidtheyfilmthat.co.uk/"} +{"d:Title": "Fiction Into Film Database", "d:Description": "Searchable list of science fiction, fantasy, horror movies and TV shows that were based on works of fiction.", "topic": "Top/Arts/Movies/Guides", "url": "http://fifdb.com/"} +{"d:Title": "Sequels", "d:Description": "Listing of sequels, prequels, and remakes that are slated for release. Includes sortable listings, rankings, and links to specific movie information.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.sequelogue.com/"} +{"d:Title": "EcoMovies", "d:Description": "Multilingual guide to feature and documentary films about environmental, social and globalization issues.", "topic": "Top/Arts/Movies/Guides", "url": "http://ecomovies.blogspot.com/"} +{"d:Title": "Alt-flix.co.uk", "d:Description": "Guide to non-mainstream and foreign films.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.alt-flix.co.uk/"} +{"d:Title": "Comic Book Movies", "d:Description": "News, rumours, photographs, and trailers for films adapted from comic books.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.efavata.com/CBM/"} +{"d:Title": "Reel Streets", "d:Description": "Information on UK locations for films made from the 1920s to 1980s.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.reelstreets.com/"} +{"d:Title": "The Worldwide Guide to Movie Locations", "d:Description": "Includes photographs of various shooting locales as well as film synopses. Allows browsing by place, film, or cast member.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.movie-locations.com/"} +{"d:Title": "Lumiere", "d:Description": "Systematic compilation of annual film ticket sales statistics in European cinemas since 1996. Entries include totals and a breakdown by individual country.", "topic": "Top/Arts/Movies/Guides", "url": "http://lumiere.obs.coe.int/"} +{"d:Title": "Movie Locations Guide", "d:Description": "Provides cross-referenced listings for various filming locations, with photographs, maps, and addresses.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.movielocationsguide.com/"} +{"d:Title": "The World Trade Center in Movies", "d:Description": "Listings of films that show the World Trade Center towers, by title or decade. Includes screenshots.", "topic": "Top/Arts/Movies/Guides", "url": "http://wtcinmovies.tripod.com/"} +{"d:Title": "The Movie Map", "d:Description": "Provides an interactive map of filming locations. Allows user contributions.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.themoviemap.com/"} +{"d:Title": "RunPee.com", "d:Description": "Provides approximate times for taking breaks while watching films in theaters. Includes scene spoilers and film reviews.", "topic": "Top/Arts/Movies/Guides", "url": "http://runpee.com/"} +{"d:Title": "The Bechdel Test", "d:Description": "Rates films based on the criteria of containing at least two female characters who talk to each other about something besides a man. Includes viewer-contributed reviews.", "topic": "Top/Arts/Movies/Guides", "url": "http://bechdeltest.com/"} +{"d:Title": "Based on the Book", "d:Description": "Compilation of book titles, short stories, and plays that have been made into motion pictures.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.mymcpl.org/books-movies-music/based-book"} +{"d:Title": "British Film Locations", "d:Description": "Photographs, maps, and information on filming locations in the United Kingdom.", "topic": "Top/Arts/Movies/Guides", "url": "http://www.british-film-locations.com/"} +{"d:Title": "Women in Cinema", "d:Description": "A reference guide to women in motion pictures and feminist films, and covers such topics as feminism, the women's movement, and women's issues as portrayed in movies.", "topic": "Top/Arts/Movies/History", "url": "http://www.people.virginia.edu/~pm9k/libsci/womFilm.html"} +{"d:Title": "Inventing Entertainment", "d:Description": "The Library of Congress' online exhibit of the early motion pictures and sound recordings of the Edison Companies. Includes biography and information about Thomas Edison and his experiments in motion pictures.", "topic": "Top/Arts/Movies/History", "url": "http://memory.loc.gov/ammem/edhtml/edhome.html"} +{"d:Title": "Origins of Animation", "d:Description": "Development of early American animation represented by collection of 21 animated films and 2 fragments, spanning the years 1900-1921. Part of the American Memory Project, Library of Congress.", "topic": "Top/Arts/Movies/History", "url": "http://memory.loc.gov/ammem/oahtml/oahome.html"} +{"d:Title": "The Greatest Films", "d:Description": "Interpretive, descriptive review commentary and historical background for hundreds of classic Hollywood and other American films in the last century.", "priority": "1", "topic": "Top/Arts/Movies/History", "url": "http://www.filmsite.org/"} +{"d:Title": "Classic Films", "d:Description": "Features articles, images, audio clips, links and comprehensive bibliography on Hollywood's Golden Era.", "topic": "Top/Arts/Movies/History", "url": "http://www.moderntimes.com/palace/index.html"} +{"d:Title": "Classic Film Safari", "d:Description": "Personal site providing information about classic films from 1930's through 1950's.", "topic": "Top/Arts/Movies/History", "url": "http://classicfilmsafari.tripod.com/"} +{"d:Title": "Incomplete History of the Blind as Portrayed in Movies and TV", "d:Description": "Reviews for television shows and films which feature blind persons.", "topic": "Top/Arts/Movies/History", "url": "http://www.firsttvdrama.com/show2/history/index.php3"} +{"d:Title": "Hooray for Hollywood", "d:Description": "Dedicated to the actors and actresses from Hollywood's \"Golden Era\" of film during the early part of the 20th century.", "topic": "Top/Arts/Movies/History", "url": "http://golden_age_films.tripod.com/"} +{"d:Title": "Walt's Wyoming Film Web", "d:Description": "Information about 20th century film in Wyoming, USA.", "topic": "Top/Arts/Movies/History", "url": "http://www.theastrocowboy.com/"} +{"d:Title": "Wisconsin Center for Film and Theater Research", "d:Description": "The motion picture history collections includes film, other visual records and manuscript collections documenting a full century of cinema.", "topic": "Top/Arts/Movies/History", "url": "http://www.wisconsinhistory.org/wcftr/film.htm"} +{"d:Title": "The German-Hollywood Connection", "d:Description": "A guide to the impact on Hollywood by film people from Austria, Germany, and Switzerland.", "topic": "Top/Arts/Movies/History", "url": "http://www.germanhollywood.com/"} +{"d:Title": "Cinema History", "d:Description": "Chronicles the history of motion pictures and films from the silent era to the end of the 20th century.", "topic": "Top/Arts/Movies/History", "url": "http://www.tc.umn.edu/~yahnk001/film/cinema.htm"} +{"d:Title": "IMDb - This Day in Movie History", "d:Description": "Births, deaths and marriages of movie stars and celebrities.", "topic": "Top/Arts/Movies/History", "url": "http://imdb.com/OnThisDay"} +{"d:Title": "Who's Who of Victorian Cinema", "d:Description": "A biographical guide to those who, behind and in front of the camera, collectively invented cinema in the 1890s.", "topic": "Top/Arts/Movies/History", "url": "http://www.victorian-cinema.net/"} +{"d:Title": "Hollywood Lost and Found", "d:Description": "Hollywood history, film facts, movie props and sound effects trivia.", "topic": "Top/Arts/Movies/History", "url": "http://www.hollywoodlostandfound.net/"} +{"d:Title": "Sixties Cinema", "d:Description": "Features brief profiles and photographs of women in film throughout the 1960s.", "topic": "Top/Arts/Movies/History", "url": "http://www.sixtiescinema.com/"} +{"d:Title": "Film History By Decade", "d:Description": "Series of web articles to provide a comprehensive survey of the history of cinema.", "topic": "Top/Arts/Movies/History", "url": "http://www.filmsite.org/filmh.html"} +{"d:Title": "Greenbriar Picture Shows", "d:Description": "This site provides rare images, original ads, and behind-the-scenes glimpses of classic Hollywood films, along with insightful commentary.", "topic": "Top/Arts/Movies/History", "url": "http://greenbriarpictureshows.blogspot.com/"} +{"d:Title": "The Production Code of the Motion Picture Industry (1930-1968)", "d:Description": "For four decades, American theatrical movies were governed by a self-policing agency which restricted the content that audiences would see. This web site catalogs instance of content that was shaped by \"The Code\" or which circumvented or violated the restrictions.", "topic": "Top/Arts/Movies/History", "url": "http://prodcode.dhwritings.com/"} +{"d:Title": "The Monster That Ate Hollywood", "d:Description": "PBS program explores the changing face of Hollywood and how the movie business, and movies themselves, have changed over the past 25 years.", "topic": "Top/Arts/Movies/History", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/hollywood/"} +{"d:Title": "Film and History", "d:Description": "Interdisciplinary journal of film and television studies. Subscriptions, events, announcements, links, and contact information.", "topic": "Top/Arts/Movies/History", "url": "http://www.uwosh.edu/filmandhistory/"} +{"d:Title": "Library of Congress - Motion Picture and Television Reading Room", "d:Description": "Information about the library's motion picture collections and archival materials.", "topic": "Top/Arts/Movies/History", "url": "http://www.loc.gov/rr/mopic/"} +{"d:Title": "PapaRotsy's E-zine", "d:Description": "Collection of articles focusing on the history of adult material in motion pictures and videography. Also includes lists of film performers who have died from or are living with AIDS.", "topic": "Top/Arts/Movies/History", "url": "http://paparotsy.tripod.com/"} +{"d:Title": "The Hollywood Thirties", "d:Description": "A personal homepage devoted to the history and trends of the movies of the 1930s, as well as information on leading actors/actresses of the era.", "topic": "Top/Arts/Movies/History", "url": "http://hollywoodthirties.50webs.com/"} +{"d:Title": "Movie Movie", "d:Description": "A chronology of world cinema from 1878 to the present day, indexed by year and country. Welcomes content submissions from users.", "topic": "Top/Arts/Movies/History", "url": "http://www.moviemoviesite.com/"} +{"d:Title": "Film Notes from the CMA", "d:Description": "A selection of notes from programs curated by Dennis Toth at the Columbus Museum of Art between 1979 to 1992.", "topic": "Top/Arts/Movies/History", "url": "http://filmnotescma.blogspot.com/"} +{"d:Title": "Museu del Cinema", "d:Description": "One of the few museums where you can journey through the 500 years of the history of images, seeing what were the predecessors and the origins of the cinema.", "topic": "Top/Arts/Movies/History", "url": "http://www.museudelcinema.cat/"} +{"d:Title": "Buckey's Film History and Resources Page", "d:Description": "Provides articles, news and commentary on film history, include sections on film preservation and conservation.", "topic": "Top/Arts/Movies/History", "url": "http://cinefan.tripod.com/home.html"} +{"d:Title": "Cinerama Adventure", "d:Description": "A film documentary on the history of the system and the pioneers who brought it to the world.", "topic": "Top/Arts/Movies/History/Cinerama", "url": "http://www.cineramaadventure.com/"} +{"d:Title": "Widescreen Museum - The Cinerama Wing", "d:Description": "Illustrated history of the format, specifications, original reference materials, and filmography.", "topic": "Top/Arts/Movies/History/Cinerama", "url": "http://www.widescreenmuseum.com/widescreen/wingcr1.htm"} +{"d:Title": "Big Movie Zone: 'This is Cinerama'", "d:Description": "Review of the first Cinerama film, shown at the renovated Cinerama Dome in Hollywood.", "topic": "Top/Arts/Movies/History/Cinerama", "url": "http://www.bigmoviezone.com/articles/index.html?uniq=106"} +{"d:Title": "Seattle Cinerama", "d:Description": "Originally opened in 1963, and more than 30-years later was rescued from demolition and renovated.", "topic": "Top/Arts/Movies/History/Cinerama/Theaters", "url": "http://www.cinerama.com/"} +{"d:Title": "The History of Worthing Dome 1904 - 2005", "d:Description": "Milestones and events in the History of The Dome Cinema. Its creator, development, threats to its future and its rescue from demolition.", "topic": "Top/Arts/Movies/History/Cinerama/Theaters", "url": "http://www.worthingdomecinema.com/"} +{"d:Title": "National Media Museum", "d:Description": "Bradford, England museum complex includes a Cinerama theater.", "topic": "Top/Arts/Movies/History/Cinerama/Theaters", "url": "http://www.nationalmediamuseum.org.uk/"} +{"d:Title": "Tribute to the Martin Cinerama Theater", "d:Description": "Photographs from 1956 until the present of the Seattle theater.", "topic": "Top/Arts/Movies/History/Cinerama/Theaters", "url": "http://www.friendsofhercules.org/cinerama.htm"} +{"d:Title": "The Magic Lantern Society", "d:Description": "The society and their activities in preserving the technology are presented. Details of their conferences, library, and membership. [UK]", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.magiclantern.org.uk/"} +{"d:Title": "Magic Lantern Castle Museum", "d:Description": "Museum dedicated solely to the history of the Magic Lantern. [USA, Texas]", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.magiclanterns.org/"} +{"d:Title": "Magic Lantern Society of United States and Canada", "d:Description": "Dedicated to collecting and sharing information about these antique precursors to modern day movies and slides. Membership information available.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.magiclanternsociety.org/"} +{"d:Title": "George Eastman House: Pre-Cinema Project", "d:Description": "The on-line image collection shows different slides.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.geh.org/precin.html"} +{"d:Title": "Professor Heard's Magic Lantern Shows", "d:Description": "The history of lanterns is explained, a programme is presented.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.heard.supanet.com/"} +{"d:Title": "Antique Lantern Slides", "d:Description": "Different slide types are explained, slides are shown.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.winternet.com/~akaske/Personal/lantern.html"} +{"d:Title": "The American Magic-Lantern Theater", "d:Description": "The repertoire is listed, a schedule is available.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.magiclanternshows.com/"} +{"d:Title": "Magic Lantern, Toverlantaarn", "d:Description": "Short description of the history of the lantern. Included are some animations and the oldest drawing of a magic lantern, date 1420.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://users.bart.nl/~loeker/"} +{"d:Title": "Ballaert, Herman: Galantee Show", "d:Description": "Shows by a Belgian artist. Includes a preview and contact information. [Requires Flash] [BE-9800 Deinze]", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.galanteeshow.be/"} +{"d:Title": "A Victorian Magic Lantern", "d:Description": "Slides of a show in Norfolk are displayed and explained.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://www.poppyland.co.uk/index.php?s=LANTFIRST"} +{"d:Title": "Magic Lanterns", "d:Description": "Description of the medium plus information on optical systems, components, lanterns types and slide identification.", "topic": "Top/Arts/Movies/History/Magic_Lantern", "url": "http://myweb.tiscali.co.uk/magiclantern/contents.htm"} +{"d:Title": "OnVideo", "d:Description": "Includes reviews, release schedules, rentability index, children's videos, news, sell-through and widescreen information, links to studios and links to online and mail order sales.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.onvideo.org/"} +{"d:Title": "Chip Taylor Communications", "d:Description": "DVDs, videos and digital streaming files for all ages. How-to, entertainment, education, documentaries, arts, humanities, sports, history, and health.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.chiptaylor.com/"} +{"d:Title": "VideoETA", "d:Description": "Release schedules for upcoming video and DVD releases; includes related news.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.videoeta.com/"} +{"d:Title": "Not Coming to a Theater Near You", "d:Description": "Full and capsule reviews of little-known home video releases.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.notcoming.com/"} +{"d:Title": "Walt Disney Videos", "d:Description": "Current and upcoming home video releases. Information on Disney DVD titles.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://disneyvideos.disney.go.com/"} +{"d:Title": "Cartrivision", "d:Description": "Information on the Cartrivision video tape recorder, the first home video tape recorder available in the US.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.angelfire.com/alt/cartrivision/"} +{"d:Title": "DVD Verdict", "d:Description": "DVD, HD DVD and Blu-ray reviews, podcasts, contests and discussion forums.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.dvdverdict.com/"} +{"d:Title": "Home Theater Spot", "d:Description": "DVD, HD DVD and Blu-ray reviews and discussion forums with additional coverage of high definition home theater equipment.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.hometheaterspot.com/"} +{"d:Title": "DVD Special Features", "d:Description": "A database of bonus features and extras appearing on DVD and Blu-ray. May be searched or browsed by type of feature.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://dvdspecialfeatures.net/"} +{"d:Title": "Homevideos.com", "d:Description": "Information about recent video releases and a list of movie reviews sorted by genre.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.homevideos.com/"} +{"d:Title": "Music&Movie Treasures By Brenda", "d:Description": "Blueray and DVD movies reviewed.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.musicmovietreasure.com/"} +{"d:Title": "Screen&Stream", "d:Description": "DVD and Blu-ray news, reviews and opinions blog. Blu-ray reviews and streaming video news.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://screenandstream.com/"} +{"d:Title": "SmartCine", "d:Description": "Offers reviews, trailers and release information about films coming out on DVD and Blu-ray.", "topic": "Top/Arts/Movies/Home_Video", "url": "http://www.smartcine.com/"} +{"d:Title": "Blu-ray Statistics", "d:Description": "Disc information including technical specifications, movie ratings, review links and screen shots. Also features a news log, cover art gallery and collection tracking feature.", "topic": "Top/Arts/Movies/Home_Video/Blu-ray_and_HD", "url": "http://www.blu-raystats.com/"} +{"d:Title": "Cinema Squid", "d:Description": "Searchable database of discs with specifications and review links.", "topic": "Top/Arts/Movies/Home_Video/Blu-ray_and_HD", "url": "http://www.cinemasquid.com/"} +{"d:Title": "High-Def Digest", "d:Description": "Blu-ray and HD DVD news, release schedules, reviews and discussion forums.", "topic": "Top/Arts/Movies/Home_Video/Blu-ray_and_HD", "url": "http://highdefdigest.com/"} +{"d:Title": "Into the Blu", "d:Description": "Blu-ray reviews from several contributors broken down by categories including genre, audio, aspect ratio, and studio. Also features upcoming releases, trailers, and contests.", "topic": "Top/Arts/Movies/Home_Video/Blu-ray_and_HD", "url": "http://intotheblu.com/"} +{"d:Title": "Blu-Ray Authority", "d:Description": "Features reviews and an archive of DVD reviews.", "topic": "Top/Arts/Movies/Home_Video/Blu-ray_and_HD", "url": "http://www.blurayauthority.com/"} +{"d:Title": "DVD Demystified", "d:Description": "Home of the DVD FAQ, information about DVD, and the book DVD Demystified.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://dvddemystified.com/"} +{"d:Title": "Inmatrix.com", "d:Description": "PC-DVD software reviews, technical information, tweaking utilities, news, and a forum.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://www.inmatrix.com/"} +{"d:Title": "DVD Technical Notes", "d:Description": "Physical disc details, application specifications, file system information, and glossary.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://www.mpeg.org/MPEG/DVD/"} +{"d:Title": "Region Free DVD", "d:Description": "List of region 0 releases and information on converting players to region-free.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://www.regionfreedvd.net/"} +{"d:Title": "MF Digital CD DVD Information Library", "d:Description": "Weblog features detailed information regarding the process of CD - DVD duplication and replication. Also includes duplicator industry news and opinions.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://www.mfdigital.com/cdtech.html"} +{"d:Title": "Couch Potatoes Online", "d:Description": "DVD release information sorted alphabetically, by genre, and release date.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://www.couchpotatoesonline.com/"} +{"d:Title": "Subtitle Me Please", "d:Description": "Provides information about obscure and cult non-US film titles which have been released with English subtitles.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://subtitlemeplease.blogspot.com/"} +{"d:Title": "Joe Clark: Media Access", "d:Description": "DVD accessibility. Technical information on captioning, audio description, and subtitling.", "topic": "Top/Arts/Movies/Home_Video/DVD", "url": "http://joeclark.org/access/dvd/"} +{"d:Title": "Movie Forums - DVD Questions/Information", "d:Description": "Focuses on DVD release dates, features, and technical questions. Includes a search feature.", "topic": "Top/Arts/Movies/Home_Video/DVD/Chats_and_Forums", "url": "http://www.movieforums.com/community/forumdisplay.php?f=9"} +{"d:Title": "CrunchyMedia.com", "d:Description": "DVD collection management program.", "topic": "Top/Arts/Movies/Home_Video/DVD/Collections", "url": "http://www.crunchymedia.com/"} +{"d:Title": "DVD Profiler", "d:Description": "Software for cataloging DVD collections. Features, downloads, FAQ, and forum.", "topic": "Top/Arts/Movies/Home_Video/DVD/Collections", "url": "http://www.dvdprofiler.com/"} +{"d:Title": "DVDExchange-Online", "d:Description": "UK-based site allowing members to trade Region 1 and 2 DVD movies and games.", "topic": "Top/Arts/Movies/Home_Video/DVD/Collections", "url": "http://www.dvdexchange-online.co.uk/"} +{"d:Title": "Coollector", "d:Description": "Freeware to track owned and loaned DVDs. Screenshots, version history, and forum.", "topic": "Top/Arts/Movies/Home_Video/DVD/Collections", "url": "http://www.coollector.com/"} +{"d:Title": "DVDCount.com", "d:Description": "Allows registered users to catalog their DVDs. Allows imports and additions to the database.", "topic": "Top/Arts/Movies/Home_Video/DVD/Collections", "url": "http://dvdcount.com/"} +{"d:Title": "DVDCorral", "d:Description": "Allows UPC scanning or mass importing of personal DVD libraries. Also provides exporting and labeling tools.", "topic": "Top/Arts/Movies/Home_Video/DVD/Collections", "url": "http://www.dvdcorral.com/"} +{"d:Title": "Workprint Trading", "d:Description": "Workprints, television and extended versions, unaired pilots and rare films.", "topic": "Top/Arts/Movies/Home_Video/DVD/Collections", "url": "http://www.freewebs.com/workprints/"} +{"d:Title": "DVD Links", "d:Description": "Collection of recommended websites.", "topic": "Top/Arts/Movies/Home_Video/DVD/Directories", "url": "http://phoenixdjt.tripod.com/dvd.html"} +{"d:Title": "DVD Links", "d:Description": "Includes review, authoring and discussion related links.", "topic": "Top/Arts/Movies/Home_Video/DVD/Directories", "url": "http://www.thecinemalaser.com/dvdlinks.htm"} +{"d:Title": "Arto Selonen - DVD Links", "d:Description": "Contains general links and information this author has found useful to researching the DVD system.", "topic": "Top/Arts/Movies/Home_Video/DVD/Directories", "url": "http://www.selonen.org/arto/dvd"} +{"d:Title": "Divx Owners Association", "d:Description": "News, reviews, forum, and resources, as well as the history of the Divx home video system.", "topic": "Top/Arts/Movies/Home_Video/DVD/Divx", "url": "http://www.the-doa.com/"} +{"d:Title": "Divx on the Defensive", "d:Description": "Article about the criticism directed towards the former Circuit City video rental scheme.", "topic": "Top/Arts/Movies/Home_Video/DVD/Divx", "url": "http://money.cnn.com/1998/12/11/technology/divx/"} +{"d:Title": "CNET.com - Divx DVD Backers Call it Quits", "d:Description": "Marketing of Divx video systems and disks to cease, and customers to be given rebates.", "topic": "Top/Arts/Movies/Home_Video/DVD/Divx", "url": "http://news.cnet.com/2100-1040-227194.html"} +{"d:Title": "TechTV.com: The Origins of the Original Divx", "d:Description": "Detailed article on the Divx home video system, which was the original video product to use the name \"Divx.\"", "topic": "Top/Arts/Movies/Home_Video/DVD/Divx", "url": "http://www.intelliot.com/blog/2004/05/techtv-the-origins-of-the-original-divx/"} +{"d:Title": "DVD Times", "d:Description": "News, reviews, and features covering several regions.", "topic": "Top/Arts/Movies/Home_Video/DVD/Multi-Region", "url": "http://www.dvdtimes.co.uk/"} +{"d:Title": "DVD for the Everyday User", "d:Description": "Reviews, news, FAQ, and multi-region play information.", "topic": "Top/Arts/Movies/Home_Video/DVD/Multi-Region", "url": "http://www.dvd365.net/"} +{"d:Title": "DVDBeaver.com", "d:Description": "Graphic comparisons of releases, upcoming cover images, release calendar, and reviews.", "topic": "Top/Arts/Movies/Home_Video/DVD/Multi-Region", "url": "http://www.dvdbeaver.com/"} +{"d:Title": "DVDActive", "d:Description": "News, reviews, articles, interviews, contests, and easter eggs.", "topic": "Top/Arts/Movies/Home_Video/DVD/Multi-Region", "url": "http://www.dvdactive.com/"} +{"d:Title": "A Fistful of DVDs", "d:Description": "Spaghetti and Eurowestern DVD listings with disc information, covers, and screenshots.", "topic": "Top/Arts/Movies/Home_Video/DVD/Multi-Region", "url": "http://www.spaghettiwesterndvds.com/"} +{"d:Title": "DVD Reviewer", "d:Description": "News, features, interviews, software and hardware reviews, and forums.", "topic": "Top/Arts/Movies/Home_Video/DVD/Multi-Region", "url": "http://www.dvdreviewer.co.uk/"} +{"d:Title": "DVDfever.co.uk", "d:Description": "News, reviews, competitions, and interviews.", "topic": "Top/Arts/Movies/Home_Video/DVD/Multi-Region", "url": "http://dvd-fever.co.uk/"} +{"d:Title": "The Digital Bits", "d:Description": "Offers sneak peeks at upcoming DVD releases, and other DVD news and information.", "priority": "1", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.thedigitalbits.com/"} +{"d:Title": "DVD Movie Central", "d:Description": "Reviews, news, information, and contests.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.dvdmoviecentral.com/"} +{"d:Title": "DVD Review", "d:Description": "News, information, releases, reviews, links, and chat.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.dvdreview.com/"} +{"d:Title": "DVDCorner.Net", "d:Description": "DVD Reviews, news, links, and home video musings.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://dvdcorner.net/"} +{"d:Title": "DVD Movie Guide", "d:Description": "A weekly guide to DVD movie releases and reviews.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.dvdmg.com/"} +{"d:Title": "Horrordvds.com", "d:Description": "Reviews of horror DVD releases along with news, discussion forums, downloadable trailers, and screen shots.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.horrordvds.com/"} +{"d:Title": "DVD Talk", "d:Description": "Online DVD magazine and community with DVD news, reviews, columns, and interviews.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.dvdtalk.com/"} +{"d:Title": "DVD Verdict", "d:Description": "DVD and Blu-ray reviews and release dates.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.dvdverdict.com/"} +{"d:Title": "Disc Dish", "d:Description": "DVD and Blu-ray reviews, interviews, and giveaways.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_1", "url": "http://www.discdish.com/"} +{"d:Title": "DVD.net", "d:Description": "News, reviews, cover scans, and release schedule,", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_4", "url": "http://www.dvd.net.au/"} +{"d:Title": "Michael D's Region 4 DVD Info Page", "d:Description": "News, reviews, mailing list, region 1 and 4 comparisons, and censorship and glitch details.", "topic": "Top/Arts/Movies/Home_Video/DVD/Region_4", "url": "http://www.michaeldvd.com.au/"} +{"d:Title": "DVD Online", "d:Description": "Reviews, new releases, release calendar, trivia, forums and polls.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://dvdonline_2001.tripod.com/"} +{"d:Title": "MediaScreen", "d:Description": "DVD reviews, Audio/Video Glossary, and recommended websites.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://www.mediascreen.com/"} +{"d:Title": "DVDivas", "d:Description": "In-depth reviews of new releases as well as older films by various contributors. Reviews include film and soundtrack information.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://www.dvdivas.net/"} +{"d:Title": "DVD Review", "d:Description": "Alphabetical list of reviews. Reviews include synopses and pictures.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://dvd_review.tripod.com/"} +{"d:Title": "JackassCritics.com", "d:Description": "Reviews of recent mainstream and independent releases by several contributors.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://www.jackasscritics.com/"} +{"d:Title": "Curled Up with a Good DVD", "d:Description": "DVD reviews and release date information. Includes a selection of arthouse and international titles.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://www.curledupdvd.com/"} +{"d:Title": "DVD Classic Corner", "d:Description": "Reviews of Hollywood Golden Age films available on DVD.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://www.dvdclassicscorner.net/"} +{"d:Title": "DVD-Basen", "d:Description": "Contains links to hundreds of thousands of DVD reviews from various sources. Allows users to search within individual regions.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://www.dvd-basen.dk/"} +{"d:Title": "DVDwolf.com", "d:Description": "Reviews from several contributors divided into categories including AFI top lists, B-movies, biographies, and comic book-based films.", "topic": "Top/Arts/Movies/Home_Video/DVD/Reviews", "url": "http://www.dvdwolf.com/"} +{"d:Title": "Image Entertainment", "d:Description": "Films from many genres. Release calendar, catalog, and company profile.", "topic": "Top/Arts/Movies/Home_Video/DVD/Studios", "url": "http://www.image-entertainment.com/"} +{"d:Title": "Anchor Bay Entertainment", "d:Description": "Classic and critically acclaimed films. Library details, future releases, and company information.", "topic": "Top/Arts/Movies/Home_Video/DVD/Studios", "url": "http://www.anchorbayentertainment.com/"} +{"d:Title": "Blue Underground", "d:Description": "Dedicated to guilty pleasures. Future releases, trailers, news, and company information.", "topic": "Top/Arts/Movies/Home_Video/DVD/Studios", "url": "http://www.blue-underground.com/"} +{"d:Title": "Elite Entertainment", "d:Description": "Horror, science fiction, and cult films. Current and future release details and company information.", "topic": "Top/Arts/Movies/Home_Video/DVD/Studios", "url": "http://www.elitedisc.com/"} +{"d:Title": "Plexifilm", "d:Description": "Focused on lesser-known independent and foreign films. Release information, production services, and company details.", "topic": "Top/Arts/Movies/Home_Video/DVD/Studios", "url": "http://www.plexifilm.com/"} +{"d:Title": "The Criterion Collection", "d:Description": "Classic and contemporary films. Release information, essays, review links, and support.", "topic": "Top/Arts/Movies/Home_Video/DVD/Studios", "url": "http://www.criterion.com/"} +{"d:Title": "Laserdisc Database", "d:Description": "Comprehensive database of titles and players.", "topic": "Top/Arts/Movies/Home_Video/Laserdisc", "url": "http://www.lddb.com/"} +{"d:Title": "Laserdisc Information", "d:Description": "FAQ, reviews, forum, and links.", "topic": "Top/Arts/Movies/Home_Video/Laserdisc", "url": "http://laserdiscs.discdude.net/"} +{"d:Title": "Moviepropking", "d:Description": "Online gallery of original screen worn movie costumes and film used props.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://www.moviepropking.com/"} +{"d:Title": "Drive-In Trader", "d:Description": "Trade, sell, and share information on drive-in speakers and memorabilia.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://www.angelfire.com/tn/niftyfiftiestrader/index.html"} +{"d:Title": "Motion Picture Arts Gallery", "d:Description": "Website for the education, understanding and purchasing of motion picture art.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://www.mpagallery.com/"} +{"d:Title": "Paul Ivester's 16mm Film", "d:Description": "Features information about collecting 16mm films, projector repair and outdoor movies.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://www.paulivester.com/films/films.htm"} +{"d:Title": "Movie Memorabilia", "d:Description": "Pictures and descriptions of wardrobe items from various films.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://www.frontiernet.net/~rcowart/"} +{"d:Title": "Leo Fuchs Photography", "d:Description": "Photographs of memorable moments of Hollywood and its stars of the 1950s and 1960s.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://www.leofuchs.com/"} +{"d:Title": "Star Car Central", "d:Description": "Detailed descriptions, photographs, and trivia about building and driving film- and television-inspired vehicles such as the Batmobile, Christine, and the Scooby Van.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://starcarcentral.com/"} +{"d:Title": "Hollywood Movie Costumes and Props", "d:Description": "Weblog with descriptions and photographs for costumes, props, vehicles, and sets from a variety of films.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://hollywoodmoviecostumesandprops.blogspot.com/"} +{"d:Title": "Bolex Collector", "d:Description": "Resource for collectors and users of classic 8mm and 16mm Paillard-Bolex movie cameras, with history, photographs, and technical specifications of each model.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://www.bolexcollector.com/"} +{"d:Title": "Cinematographica", "d:Description": "Information about collecting vintage movie equipment, an alternative timeline for cinema history, list of equipment manufacturers, manuals, images, and links.", "topic": "Top/Arts/Movies/Memorabilia", "url": "http://wichm.home.xs4all.nl/cinemat.html"} +{"d:Title": "Movie Prop Forum", "d:Description": "Discussion forum for prop collectors. Topics include original and replica props as well as Star Trek, Star Wars, and horror collectibles.", "topic": "Top/Arts/Movies/Memorabilia/Chats_and_Forums", "url": "http://www.moviepropcollectors.com/"} +{"d:Title": "MoPo", "d:Description": "Information and discussion regarding movie memorabilia, hobby-related news and links.", "topic": "Top/Arts/Movies/Memorabilia/Chats_and_Forums", "url": "http://www.filmfan.com/"} +{"d:Title": "Film Collecting", "d:Description": "A mailing list for collectors of 8mm, Super8, 16mm, and 35mm films. Members only.", "topic": "Top/Arts/Movies/Memorabilia/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/16mmfilm/"} +{"d:Title": "Classic Images Magazine", "d:Description": "Information about film history, subscriptions, and online reviews of film related books.", "topic": "Top/Arts/Movies/Memorabilia/Magazines_and_E-zines", "url": "http://www.classicimages.com/"} +{"d:Title": "Classic and Vintage Film Posters", "d:Description": "Searchable directory, with reproductions of posters shown.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.filmsite.org/posters.html"} +{"d:Title": "Gallery of Surf Classics", "d:Description": "Gallery of classic surf movie posters and lobby cards from 60's.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.surfclassics.com/"} +{"d:Title": "Separate Cinema", "d:Description": "Art and history of African Americans in film. Information about poster archive, traveling poster exhibit and lecture series as well as licensing information.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://separatecinema.com/"} +{"d:Title": "Learn About Movie Posters", "d:Description": "Reference site about movie posters and related collectibles featuring quizzes, and general information.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.learnaboutmovieposters.com/"} +{"d:Title": "Studio C Restoration", "d:Description": "Specializing in restoration of all types of movie paper and other art and collectibles including: vintage movie posters, animation cels, art, collectibles and historic documents.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.atstudioc.com/"} +{"d:Title": "The Art of Poster", "d:Description": "Collection of Polish posters 1900-2000.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.theartofposter.com/"} +{"d:Title": "International Vintage Poster Dealer Association", "d:Description": "Official trade group site.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.ivpda.com/"} +{"d:Title": "The Drew Movie Poster Page", "d:Description": "Extensive image gallery, list of work, and information on the artist, Drew Struzan.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://home.scarlet.be/~bliek/"} +{"d:Title": "FreeFilmPosters.com", "d:Description": "Collection of vintage and modern film posters, organized alphabetically.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.freefilmposters.com/"} +{"d:Title": "Movie Posters Archive", "d:Description": "Movie posters listed alphabetically by title and by year.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.mposter.com/"} +{"d:Title": "Precision Restoration", "d:Description": "Provides restoration, preservation, reconstruction and repair services for movie posters.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://precisionrestoration.wordpress.com/"} +{"d:Title": "Kaiju Posters Database", "d:Description": "The Complete Reference Guide to Japanese Science Fiction Posters From Around the World", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://www.kaijuposters.com/"} +{"d:Title": "The Poster Patch", "d:Description": "All phases of poster restoration and linen and paper backing. Movie, travel, concert and other types of posters restored.", "topic": "Top/Arts/Movies/Memorabilia/Posters", "url": "http://sites.google.com/site/theposterpatch/"} +{"d:Title": "Fleischarchive.org", "d:Description": "Archive of Thorsten Fleisch, hosting scientific and educational silent films, encoded in divx format. Includes a title index. [German and English]", "topic": "Top/Arts/Movies/Multimedia", "url": "http://www.fleischarchive.org/"} +{"d:Title": "Internet Moving Images Archive", "d:Description": "From the Prelinger Archive, thousands of digitalized industrial, educational, travel, propaganda and other short films from 1903 to the 1970s available for free, online.", "topic": "Top/Arts/Movies/Multimedia", "url": "http://www.archive.org/details/prelinger"} +{"d:Title": "Audible Beauty", "d:Description": "Windows desktop sound events from Star Wars, Lord of the Rings, and Moulin Rouge.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.audiblebeauty.net/"} +{"d:Title": "The Sound Library", "d:Description": "WAV files from a collection of popular films.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://home7.swipnet.se/~w-72369/index.htm"} +{"d:Title": "The MovieWavs Page", "d:Description": "Site featuring many WAV files from an extensive list of movies.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.moviewavs.com/"} +{"d:Title": "Film Secrets - Movie Sound Page", "d:Description": "WAVs from a number of films.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://rivalquest.com/sounds/"} +{"d:Title": "Sound Gallery", "d:Description": "MP3 gallery with sounds available for download from a wide variety of movies.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.tk421.net/gallery/sounds/"} +{"d:Title": "Wav Central: Movies Section", "d:Description": "A large, searchable database of popular sounds from well over 150 movies.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://wavcentral.com/movies.htm"} +{"d:Title": "The Wav Surfer Sound Site", "d:Description": "Sound clips from a variety of movies.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.wavsurfer.com/"} +{"d:Title": "SoundBytes", "d:Description": "Many clips from Austin Powers, Spaceballs, Dumb and Dumber, Ace Ventura, Liar Liar, My Cousin Vinny, and Star Wars.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://soundbytes1.tripod.com/"} +{"d:Title": "The Daily .WAV", "d:Description": "Large collection of sound clips, primarily from film and television, with 1 new addition daily.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.dailywav.com/"} +{"d:Title": "Congo's Movie Sound Wav Files", "d:Description": "WAVs from American Pie, Full Metal Jacket and Monty Python films.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.angelfire.com/sk2/mysound/"} +{"d:Title": "Movie Sounds Central", "d:Description": "Sounds from a variety of films in WAV format.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.moviesoundscentral.com/"} +{"d:Title": "ReelWavs.com", "d:Description": "Large collection of WAV and MP3 files from both older and more recent films.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.reelwavs.com/"} +{"d:Title": "Matt's Movie Sounds", "d:Description": "A growing selection of sounds from movies, mainly in WAV and MP3 formats.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.mattsmoviesounds.co.uk/"} +{"d:Title": "The Sound Archive", "d:Description": "WAV sound files from various movies and television shows.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.thesoundarchive.com/"} +{"d:Title": "Wise Words by Cool Men", "d:Description": "WAVs of quotes from cool actors ranging from Steve Buscemi to Bruce Willis. Also features some other assorted sounds.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.coolmoviesounds.com/"} +{"d:Title": "Movie Sound Clips", "d:Description": "Sound clips from films arranged alphabetically by title. Formats include wav, MP3, OGG and FLAC.", "topic": "Top/Arts/Movies/Multimedia/Audio", "url": "http://www.moviesoundclips.net/"} +{"d:Title": "AnySkins Desktop Themes: Movies", "d:Description": "Alphabetized selection of movie-related desktop themes for Windows.", "topic": "Top/Arts/Movies/Multimedia/Desktop_Customization", "url": "http://anyskinsdt.ezthemes.com/pcenhance/dt/main.phtml?blank+Movies"} +{"d:Title": "Wizard Wallpaperz", "d:Description": "Collection of wallpaper base images for several film titles.", "topic": "Top/Arts/Movies/Multimedia/Desktop_Customization", "url": "http://www.angelfire.com/wizard/wallpaperzone/main.html"} +{"d:Title": "Newallpaper", "d:Description": "High-resolution wallpapers from a variety of films.", "topic": "Top/Arts/Movies/Multimedia/Desktop_Customization", "url": "http://www.newallpaper.com/"} +{"d:Title": "Movie Images", "d:Description": "Wallpapers, slideshows, and screenshots from various films.", "topic": "Top/Arts/Movies/Multimedia/Image_Galleries", "url": "http://movieimage2.tripod.com/"} +{"d:Title": "Moviewall", "d:Description": "Posters and wallpapers for a variety of films listed alphabetically.", "topic": "Top/Arts/Movies/Multimedia/Image_Galleries", "url": "http://moviewall.blogspot.com/"} +{"d:Title": "Motion Picture and Television Photo Archive", "d:Description": "Over one milllion images documenting the work of Hollywood's most outstanding still photographers.", "topic": "Top/Arts/Movies/Multimedia/Image_Galleries", "url": "http://www.mptvimages.com/"} +{"d:Title": "Black and White Movies", "d:Description": "Public domain black-and-white films available in streaming format and/or as torrents. Films are sorted alphabetically, by decade, and by genre.", "topic": "Top/Arts/Movies/Multimedia/Video", "url": "http://www.bnwmovies.com/"} +{"d:Title": "VnS Dictionary", "d:Description": "Collection of video clips from several films.", "topic": "Top/Arts/Movies/Multimedia/Video", "url": "http://vnsdictionary.tripod.com/vnsdictionary.html"} +{"d:Title": "Chasing the Frog", "d:Description": "Previews and news about upcoming films, reviews of theatrical releases, DVD information, top film lists, and a look at classic films.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.chasingthefrog.com/"} +{"d:Title": "Cinema.com", "d:Description": "Film portal. Showtimes, news, trailers, image galleries, film festivals, film schools, home video, ring tones, and related links.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.cinema.com/"} +{"d:Title": "Dark Horizons", "d:Description": "News, images, video clips and reviews of current and upcoming blockbuster films.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.darkhorizons.com/"} +{"d:Title": "Film Scouts", "d:Description": "Screens independent films and mainstream film trailers. Also includes film reviews, interviews, and articles.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.filmscouts.com/"} +{"d:Title": "KillerMovies.com", "d:Description": "Reviews, news, trailers, and discussion forums. User contributions welcome.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.killermovies.com/"} +{"d:Title": "MovieWeb", "d:Description": "Trailers, box office statistics, archive of film previews, interviews, and movie stills.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.movieweb.com/"} +{"d:Title": "Moviehole", "d:Description": "Movie news, interviews, and reviews. Also includes theater and video release information for Australia and the United States.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.moviehole.net/"} +{"d:Title": "The New York Times: Movies", "d:Description": "Movie reviews, nationwide showtimes, new releases, movie news and ticket purchase.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.nytimes.com/pages/movies/"} +{"d:Title": "JoBlo.com Movie Report", "d:Description": "Information, pictures, and news for several upcoming feature films.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.joblo.com/"} +{"d:Title": "NPR Topics: Movies", "d:Description": "Article feed from National Public Radio news programs.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.npr.org/rss/rss.php?id=1045"} +{"d:Title": "Talk About Films", "d:Description": "Discussions of foreign and independent films, downloadable as MP3 files or podcasts.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.talkaboutfilms.com/"} +{"d:Title": "JAM! Movies", "d:Description": "Movie news from a Canadian angle.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://rss.canoe.ca/Jam/Movies/home.xml"} +{"d:Title": "Film Fetish", "d:Description": "News, reviews of film and DVD, release schedules and calendars, contests, filmmaking and industry events, multimedia, polls, and links.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.filmfetish.com/"} +{"d:Title": "Lee's Movie Info", "d:Description": "Box office statistics and predictions, movie reviews, upcoming film previews, and message board.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.leesmovieinfo.net/"} +{"d:Title": "Topix: Cinema", "d:Description": "News about cinema, collected from various sources on the web.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.topix.com/rss/arts/cinema.xml"} +{"d:Title": "Filmjabber", "d:Description": "Film and DVD reviews sorted by grade, year, or title, previews of upcoming films, multimedia links, contests, and a news weblog.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.filmjabber.com/"} +{"d:Title": "The Movie Times", "d:Description": "Reviews, weblog, surveys, contests, and weekly box office reports with rankings.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.the-movie-times.com/"} +{"d:Title": "FirstShowing.net", "d:Description": "News, trailers, reviews, interviews, rumors, feature articles, release schedules, podcasts, and forum.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.firstshowing.net/"} +{"d:Title": "Movienewz.com", "d:Description": "News, reviews of films in theaters and on DVD, trailers, clips, image galleries, posters, and reports of new film screenings.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.movienewz.com/"} +{"d:Title": "Ioncinema.com", "d:Description": "News, reviews, previews and interviews for independent film, world cinema, documentary film and the world film festival scene.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.ioncinema.com/"} +{"d:Title": "MovieFreak", "d:Description": "Critical analysis of recent theatrical releases, DVDs, and Blu-ray discs. Also includes festival coverage, interviews and feature articles.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.moviefreak.com/"} +{"d:Title": "Screen Spotlight", "d:Description": "Film and DVD reviews, movie news, and Hollywood gossip.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.screenspotlight.com/"} +{"d:Title": "Flix66.com", "d:Description": "Reviews, trailers, film recommendations, and feature articles.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.flix66.com/"} +{"d:Title": "Adanx", "d:Description": "Mainstream casting news, soundtrack previews, posters, and film reviews.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.adanx.com/"} +{"d:Title": "Cinematic Happenings Under Development", "d:Description": "News, previews, reviews of films in theatrical release and on DVD, interviews, top lists, editorials, contests, original writing, forums, weblogs, and chat room.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://chud.com/"} +{"d:Title": "Pure Movies", "d:Description": "Reviews, interviews, competitions, trailers and academic articles.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.puremovies.co.uk/"} +{"d:Title": "Film Unlimited", "d:Description": "News, reviews, gossip, full UK listings from the Guardian and Observer.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.theguardian.com/film"} +{"d:Title": "Nuke the Fridge", "d:Description": "News, reviews including Blu-ray and DVD releases, interviews, and feature articles.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://nukethefridge.com/"} +{"d:Title": "IGN Movies", "d:Description": "Reviews, previews, podcast, top lists, films on DVD and Blu-ray, articles, news, trailers, release dates, video clips, message boards, and links.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.ign.com/movies"} +{"d:Title": "Yahoo! Movies", "d:Description": "Showtimes, trailers, reviews, articles, interviews, news, and gossip.", "topic": "Top/Arts/Movies/News_and_Media", "url": "https://www.yahoo.com/movies"} +{"d:Title": "USA Today: Movies", "d:Description": "Real-time resource for film news, reviews and showtimes.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.usatoday.com/life/movies/"} +{"d:Title": "Salon.com: Movies", "d:Description": "Feature articles, reviews, and previews, with a focus on independent film.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.salon.com/topic/movies/"} +{"d:Title": "Movie Insider", "d:Description": "Provides the film news with casting information, box office reports, release dates, articles, and links.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.movieinsider.com/"} +{"d:Title": "African Movie Star", "d:Description": "Provides reviews, interviews and pictures of actors and actresses from Africa.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://africanmoviestar.com/"} +{"d:Title": "The Movie Bit", "d:Description": "Featuring industry news, reviews, trailers and podcasts.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.themoviebit.com/"} +{"d:Title": "MovieNewsPlus", "d:Description": "Presents industry news, reviews and trailers.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://www.movienewsplus.com/"} +{"d:Title": "IndieWood HollyWoodn't", "d:Description": "Independent film news, reviews and interviews.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://indienyc.com/"} +{"d:Title": "The Cinemaholic", "d:Description": "Provides articles, reviews and opinion.", "topic": "Top/Arts/Movies/News_and_Media", "url": "http://thecinemaholic.com/"} +{"d:Title": "Flickreel", "d:Description": "Covers the latest film news, reviews, previews, interviews and trailers.", "topic": "Top/Arts/Movies/News_and_Media", "url": "https://www.flickreel.com/"} +{"d:Title": "Box Office Mojo", "d:Description": "Top 60 U.S. feature films for weekend, international weekend box office by country. All-time top grossing pictures and weekends, best single days and opening days. Actors and actresses rated by domestic gross.", "topic": "Top/Arts/Movies/News_and_Media/Box_Office_Reports", "url": "http://www.boxofficemojo.com/"} +{"d:Title": "Box Office Guru", "d:Description": "Database of box office statistics on motion pictures released from 1989 to the present, plus weekend preview and review. International grosses, monthly averages, all-time blockbusters.", "topic": "Top/Arts/Movies/News_and_Media/Box_Office_Reports", "url": "http://www.boxofficeguru.com/"} +{"d:Title": "Worldwide Box Office", "d:Description": "Domestic, overseas, and worldwide grosses of films released from 1900 to the present.", "topic": "Top/Arts/Movies/News_and_Media/Box_Office_Reports", "url": "http://www.worldwideboxoffice.com/"} +{"d:Title": "The Numbers", "d:Description": "Provides weekly, daily, and weekend box office data as well as box office totals for currently playing films worldwide.", "topic": "Top/Arts/Movies/News_and_Media/Box_Office_Reports", "url": "http://www.the-numbers.com/"} +{"d:Title": "Deadline", "d:Description": "Up to date news and charts on both the domestic and international box office.", "topic": "Top/Arts/Movies/News_and_Media/Box_Office_Reports", "url": "http://deadline.com/v/box-office/"} +{"d:Title": "Box Office Flops", "d:Description": "A growing movie guide and database that objectively compiles films that failed at the box office.", "topic": "Top/Arts/Movies/News_and_Media/Box_Office_Reports", "url": "http://www.boxofficeflops.com/"} +{"d:Title": "Film School Rejects", "d:Description": "News, reviews, previews, editorials, interviews, contests, and links.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.filmschoolrejects.com/"} +{"d:Title": "FlickDirect", "d:Description": "News, interviews, and profiles of upcoming films.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.flickdirect.com/"} +{"d:Title": "The Film Yap", "d:Description": "Reviews of mainstream and independent films in theaters and on DVD, interviews, feature commentary, podcasts, and a forum. Also includes Heartland Film Festival coverage.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.thefilmyap.com/"} +{"d:Title": "The Fan Carpet", "d:Description": "Reviews, previews, interviews, videos of fan reactions to currently playing theatrical releases.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.thefancarpet.com/"} +{"d:Title": "eFilmCritic.com", "d:Description": "Australia's movie magazine offering movie reviews by visitors to the site.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://efilmcritic.com/"} +{"d:Title": "15 Minutes", "d:Description": "An interview magazine, featuring interviews with actors, writers, and directors from the world of film in RealVideo format.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.zeldman.com/15/"} +{"d:Title": "Movie Magazine International", "d:Description": "Weekly, nationally-syndicated radio show provides a look at the film scene, including movie reviews, weekly trivia contests, and interviews with actors and directors.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.shoestring.org/mmihome.html"} +{"d:Title": "Film West Magazine", "d:Description": "Informative journal of Irish cinema including reviews, news, interviews from the world of film.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.iol.ie/~galfilm/filmwest"} +{"d:Title": "Slant Magazine", "d:Description": "Zine featuring reviews and articles about film and music.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.slantmagazine.com/"} +{"d:Title": "Boxoffice Magazine", "d:Description": "Online version of the print magazine. Film reviews, news, industry classifieds, back issues, subscription information, and links.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.boxoffice.com/"} +{"d:Title": "Films in Review", "d:Description": "Online version of the oldest film magazine in the United States. Background information, reviews, interviews, feature articles, as well as home entertainment reviews.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.filmsinreview.com/"} +{"d:Title": "Filmink Magazine", "d:Description": "Film news and gossip, movie reviews, video and DVD releases, Australian and international film industry information.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.filmink.com.au/"} +{"d:Title": "Cineaste Magazine", "d:Description": "Online version of the magazine focusing on the art and politics of cinema. Highlights of current and upcoming issues, subscription information, contributors, and links.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.cineaste.com/"} +{"d:Title": "Eclipse Magazine", "d:Description": "Reviews, polls, trailers and interviews.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.eclipsemagazine.com/"} +{"d:Title": "Inside Out Film", "d:Description": "Ezine with filmmaking resources, movie reviews, festival and local film news coverage, and links.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.iofilm.co.uk/"} +{"d:Title": "EyeForFilm", "d:Description": "UK-based online film magazine with news, reviews, feature articles, and festival coverage.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.eyeforfilm.co.uk/"} +{"d:Title": "Screen International", "d:Description": "Online version of the print magazine. Film industry news, movie reviews, feature articles, festival and awards coverage, production news, and special reports.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.screendaily.com/"} +{"d:Title": "Movie Mags", "d:Description": "Database with information on a variety of film-related magazines around the globe. Listings include publication dates, publisher names, origins, cover images, and links.", "priority": "1", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.moviemags.com/"} +{"d:Title": "Empire Online", "d:Description": "Online version of the print magazine provides news, reviews, feature articles, discussion groups, archives, search by title or text, and subscription details.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.empireonline.com/"} +{"d:Title": "The Moving Arts Film Journal", "d:Description": "Features news, reviews, commentary, and a podcast.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.themovingarts.com/"} +{"d:Title": "D+CFilm", "d:Description": "Covering film and filmmaking-related news in Devon and Cornwall, UK. News, feature articles, resources, festival coverage, and community.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://devon-cornwall-film.co.uk/"} +{"d:Title": "Businessofcinema.com", "d:Description": "Bollywood news, videos, photographs, star interviews, box office and business news.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.businessofcinema.com/"} +{"d:Title": "What Culture", "d:Description": "Reviews, feature articles, and discussion.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://whatculture.com/"} +{"d:Title": "Canoe.com: Movies", "d:Description": "Canadian and international movie news, articles, and reviews.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://jam.canoe.com/Movies/"} +{"d:Title": "The Moveable Fest", "d:Description": "Reviews, interviews and features from film festivals.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://www.moveablefest.com/"} +{"d:Title": "BZFilm", "d:Description": "Focuses on low-budget and non-Hollywood cinema. Includes news, film reviews and articles.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://bzfilm.com/"} +{"d:Title": "Film Inquiry", "d:Description": "Provides commentary on industry festivals, upcoming films, reviews and trailers.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://filminquiry.com/"} +{"d:Title": "Budomate", "d:Description": "Offers martial arts film news, trailers, reviews and interviews.", "topic": "Top/Arts/Movies/News_and_Media/Magazines_and_E-zines", "url": "http://budomate.com/"} +{"d:Title": "Comingsoon.net", "d:Description": "Cinema and retail release dates, trailers, reviews and news.", "topic": "Top/Arts/Movies/News_and_Media/Previews", "url": "http://www.comingsoon.net/"} +{"d:Title": "Before the Trailer", "d:Description": "Publicizes locations for films currently being made. Includes pictures, videos, news, and a weblog.", "topic": "Top/Arts/Movies/News_and_Media/Previews", "url": "http://www.beforethetrailer.com/"} +{"d:Title": "IMDb: New Movies Coming Soon", "d:Description": "Provides a snapshot of films already in theatres, or due to start. Links to the films' information profile and trailer.", "topic": "Top/Arts/Movies/News_and_Media/Previews", "url": "http://www.imdb.com/movies-coming-soon/"} +{"d:Title": "Movie-List", "d:Description": "Provides trailer downloads for upcoming and currently playing films. Also includes links to trailers for films no longer in theatrical release and forum.", "topic": "Top/Arts/Movies/News_and_Media/Previews/Trailers", "url": "http://www.movie-list.com/"} +{"d:Title": "Apple: Movie Trailers", "d:Description": "Provides trailers in Quicktime format for upcoming and currently playing films.", "topic": "Top/Arts/Movies/News_and_Media/Previews/Trailers", "url": "http://www.apple.com/trailers/"} +{"d:Title": "Jurassic Punk", "d:Description": "Collection of trailers for classic and modern films, including movies still in production.", "topic": "Top/Arts/Movies/News_and_Media/Previews/Trailers", "url": "http://www.jurassicpunk.com/"} +{"d:Title": "Video Detective", "d:Description": "Search movie and video previews by title, actor and genre.", "topic": "Top/Arts/Movies/News_and_Media/Previews/Trailers", "url": "http://www.videodetective.com/"} +{"d:Title": "Trailer Addict", "d:Description": "High-definition trailers, teasers, and featurettes in Flash format. Listings include brief film information and alternate trailer versions.", "topic": "Top/Arts/Movies/News_and_Media/Previews/Trailers", "url": "http://www.traileraddict.com/"} +{"d:Title": "Bandes Annonces", "d:Description": "English- and French-language trailers for upcoming and recently released films.", "topic": "Top/Arts/Movies/News_and_Media/Previews/Trailers", "url": "http://bandes-annonces.ca/"} +{"d:Title": "The Movie Blog", "d:Description": "Reviews, interviews, articles, and opinions on current film by John Campea, Rodney Brazeau, and Dan Crook.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.themovieblog.com/"} +{"d:Title": "Sergio Leone and the Infield Fly Rule", "d:Description": "Movie news, reviews, articles, and film criticism by Dennis Cozzalio.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://sergioleoneifr.blogspot.com/"} +{"d:Title": "CinemaFunk", "d:Description": "Reviews and critical analysis of current and past releases, as well as discussion of film industry events by Aaron Weiss.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.cinemafunk.com/"} +{"d:Title": "The Incredible Suit", "d:Description": "Humorous articles, industry rants, film reviews, and merchandise commentary by Neil Alcock.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://theincrediblesuit.blogspot.com/"} +{"d:Title": "FilmoFilia", "d:Description": "News, award show and festival coverage, image galleries, posters, interviews, and links to reviews. Several contributors.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.filmofilia.com/"} +{"d:Title": "MarketSaw", "d:Description": "News, interviews, reviews, and features focused on 3D cinema, by Jim Dorey and Michael Stat.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://marketsaw.blogspot.com/"} +{"d:Title": "We are Movie Geeks", "d:Description": "Reviews, previews, posters, festival coverage, and links. Several contributors.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://wearemoviegeeks.com/"} +{"d:Title": "Meet In the Lobby", "d:Description": "News, film commentary, filmmaker interviews, previews, and giveaways. By Norm Schrager and Allison S.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.meetinthelobby.com/"} +{"d:Title": "Film Misery", "d:Description": "Reviews, news, Oscar predictions, trivia contests, and film history. By Alex Carlson.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.filmmisery.com/"} +{"d:Title": "Think Mcfly Think", "d:Description": "Reviews, news, and box office reports, from several contributors.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://thinkmcflythink.squarespace.com/"} +{"d:Title": "It's Just Movies", "d:Description": "Offers news, interviews, reviews, and regular features looking at top five lists, trailers, and posters. Over a dozen regular contributors as well as guest writers.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://itsjustmovies.com/"} +{"d:Title": "HeyUGuys", "d:Description": "News, reviews, interviews, trailers, movie premiere coverage, photographs, and contests.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.heyuguys.com/"} +{"d:Title": "Burbanked", "d:Description": "Former Hollywood insider Alan Lopuszynski shares news, reviews and satire on the world of film.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://burbanked.tumblr.com/"} +{"d:Title": "Punch Drunk Critics", "d:Description": "Reviews and previews by pseudonymous users John, Travis, and DC Femella.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.punchdrunkcritics.com/"} +{"d:Title": "iFlicks", "d:Description": "News, reviews, and feature articles edited by Ivan Radford.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://iflicks.co.uk/"} +{"d:Title": "Mild Concern", "d:Description": "Reviews movies and film festivals.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://mildconcern.com/"} +{"d:Title": "Top10Films.co.uk", "d:Description": "Provides news, reviews, interviews and sections for eighties and British films.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.top10films.co.uk/"} +{"d:Title": "Deluxe Video Online", "d:Description": "Provides news, reviews and trailers. With a top ten list section and a double-dare section, where the authors watch 'bad' movies and review them.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://deluxevideoonline.org/"} +{"d:Title": "Film Cutting", "d:Description": "Features news, trailers and box office numbers. With film festival coverage.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://filmcutting.com/"} +{"d:Title": "Soreelflix", "d:Description": "Covers theater and DVD/Blu-ray releases, with release dates and reviews.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.soreelflix.com/"} +{"d:Title": "Following Films", "d:Description": "Film reviews, news and podcasts.", "topic": "Top/Arts/Movies/News_and_Media/Weblogs", "url": "http://www.followingfilms.com/"} +{"d:Title": "Mipcom", "d:Description": "International film and program market for television, video, cable and satellite in Cannes, France.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.mipcom.com/"} +{"d:Title": "Association of Film Commissioners International", "d:Description": "Network of film liaison professionals worldwide.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.afci.org/"} +{"d:Title": "Young Artists Awards", "d:Description": "A non-profit organization which awards scholarships to financially challenged and physically handicapped teens and younger individuals seeking a future in the entertainment industry.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.youngartistawards.org/"} +{"d:Title": "Northwest Film Center School of Film", "d:Description": "Regional media arts resource and service organization, based in the Portland Art Museum.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.nwfilm.org/"} +{"d:Title": "Motion Picture Association of America", "d:Description": "Includes information on ratings system for both movies and television, press releases, and legislation.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.mpaa.org/"} +{"d:Title": "Swiss Film Center", "d:Description": "National agency for promotion of long feature and documentary films. Site available in Dutch, English and French.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.swissfilms.ch/"} +{"d:Title": "Latin-American Cinemateca of Los Angeles", "d:Description": "Nonprofit viewer-sponsored film exhibition and cultural organization dedicated to supporting and cultivating every aspect of Latin American cinema.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.lacla.org/"} +{"d:Title": "American Film Institute", "d:Description": "Dedicated to identifying and training the next generation of film makers. Guide to workshops and schedule of exhibitions.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.afi.com/"} +{"d:Title": "Media Resource Centre", "d:Description": "South Australian organization providing support for local filmmakers. General information, membership details, support service details, and job opportunities.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.mrc.org.au/"} +{"d:Title": "Muse Film and Television", "d:Description": "Non-profit company which uses film and digital media to create films on the visual arts and culture. Background information, and synopses of films produced as well as projects in development.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.musefilm.org/"} +{"d:Title": "European Children's Film Association", "d:Description": "Organization for those interested in high quality films for children and young people.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.ecfaweb.org/"} +{"d:Title": "The Dove Foundation", "d:Description": "Suggestions, reviews and approval information on family-oriented movies and videos.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.dove.org/"} +{"d:Title": "Union of Bulgarian Film Makers", "d:Description": "Promotes the development of the film art and the audiovision in Bulgaria.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.filmmakersbg.org/ubfm-eng.htm"} +{"d:Title": "Cultural Industries: Cinema and audiovisual media", "d:Description": "UNESCO encourages the design of national or regional policies in the field of cinema and audio-visual, in order to create environments conducive to development.", "topic": "Top/Arts/Movies/Organizations", "url": "http://portal.unesco.org/culture/en/ev.php-URL_ID=31479&URL_DO=DO_TOPIC&URL_SECTION=-477.html"} +{"d:Title": "Australian Centre for the Moving Image (ACMI)", "d:Description": "Centre for the public exhibition, display and preservation of Australian and international screen content. Collections, exhibitions, and program information.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.acmi.net.au/"} +{"d:Title": "Nisi Masa", "d:Description": "European network of film associations of young professionals, students and enthusiasts, currently present in 19 countries. Organization overview, projects, media, news, and links.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.nisimasa.com/"} +{"d:Title": "Hollywood Connect", "d:Description": "Provides internet resources, workshops, seminars, and programs for Christians pursuing careers in the entertainment industry.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.hollywoodconnect.com/"} +{"d:Title": "Western Norway Film Commission", "d:Description": "Established in 2003 to attract film and television productions to Western Norway and to promote the international growth of the region's film industry. News, location scouting, company directory, crew, equipment, funding, event information, and links.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.wnfc.no/"} +{"d:Title": "Racebending", "d:Description": "A volunteer-run organization dedicated to encouraging fair treatment in film and television, especially regarding the hiring and portrayal of Americans of color.", "topic": "Top/Arts/Movies/Organizations", "url": "http://www.racebending.com/"} +{"d:Title": "Film-Historia Centre for Cinematic Research", "d:Description": "Endeavours to bring together international professionals from various branches of film studies. General information, seminars, and journal.", "topic": "Top/Arts/Movies/Organizations", "url": "http://pages.swcp.com/~jlcjm63/"} +{"d:Title": "National Film Board of Canada.", "d:Description": "Includes articles and downloads.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "https://www.nfb.ca/"} +{"d:Title": "ACFC WEst, Local 2020 CEP", "d:Description": "A technical film union with skilled and experienced craftspeople in departments from Accounting to Transportation. They specialize in pilots, TV Series, and MOW's.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.acfcwest.com/"} +{"d:Title": "Center for Independent Documentary", "d:Description": "Organization to assistant independent film and video makers with the production of documentaries.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.documentaries.org/"} +{"d:Title": "Sundance Institute", "d:Description": "Supports development of independent filmmakers, screenwriters, playwrights, composers and other film and theater artists.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.sundance.org/"} +{"d:Title": "National Screen Institute - Canada", "d:Description": "An organization that provides a continuum of career-long professional development for Canadian film and television industry professionals.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.nsi-canada.ca/"} +{"d:Title": "Steadicam Operators Association", "d:Description": "Directory of steadicam operators by state, message board, FAQs, workshops, links, and classifieds.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.steadicam-ops.com/"} +{"d:Title": "Spiritual Cinema Alliance", "d:Description": "A resource for filmmakers who want to get involved in the development of spiritually exalting films.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.spiritualcinemaalliance.org/"} +{"d:Title": "Visual Effects Society", "d:Description": "An organization for digital artists and visual effects technicians. Visual effects resources, tools, and information about membership.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.visualeffectssociety.com/"} +{"d:Title": "Society of Motion Picture and Television Engineers", "d:Description": "An organization for motion picture and television engineers. Resources, job opportunities, conference info, and information about membership.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.smpte.org/"} +{"d:Title": "Set Decorators Society of America", "d:Description": "A professional organization for decorators of film, and television. Membership info, SDSA resources, and a member directory.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.setdecorators.org/"} +{"d:Title": "Empowerment Project", "d:Description": "Provides support for independent producers, artists, activists and organizations working in video and other media.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.empowermentproject.org/"} +{"d:Title": "Independent Feature Project", "d:Description": "A non profit organization created to support the courageous work of artists and technicians working in independent film.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.ifp.org/"} +{"d:Title": "Visual Communications Online", "d:Description": "Promotes intercultural understanding through the creation, presentation, preservation, and support of Asian American independent media and film festivals.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.vconline.org/"} +{"d:Title": "Haltwhistle Film Project", "d:Description": "UK-based organization aims to advance and improve education and training through encouragement of involvement in multimedia.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.haltwhistlefp.co.uk/"} +{"d:Title": "National Association of Latino Independent Producers", "d:Description": "Professional membership organization that addresses the needs of Latino independent producers and promotes the advancement and development of Latino film and media arts.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.nalip.org/"} +{"d:Title": "Winnipeg Film Group", "d:Description": "An artist-run film education, production, distribution and exhibition center committed to enhancing the art of film.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.winnipegfilmgroup.com/"} +{"d:Title": "Armenian Film Foundation", "d:Description": "A non profit, educational and cultural organization dedicated to the documentation on film and video of Armenian heritage and life.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.armenianfilm.org/"} +{"d:Title": "IAC - The Film and Video Institute", "d:Description": "IAC is an international organization whose aims are to further interest and education in relation to all aspects of film and video making and associated visual arts.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.fvi.org.uk/"} +{"d:Title": "Motion Picture Association of Haiti", "d:Description": "Provides support for local filmmakers and actors. Photographs and videos, member social network, and upcoming events.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.mpah.org/"} +{"d:Title": "Tribeca Film Institute", "d:Description": "Nonprofit organization providing funding for filmmakers and promoting film as a medium for self-expression and social action. History, current and past programs, staff, contact information, and links.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.tribecafilminstitute.org/"} +{"d:Title": "Cinereach", "d:Description": "Nonprofit organization offering grants, awards, and a fellowship to films from underrepresented perspectives. Mission overview, staff, information about funding and past recipients, application details, and links.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.cinereach.org/"} +{"d:Title": "Northwest Film Forum (NWFF)", "d:Description": "Seattle, Washington based film arts organization, screening independently made and classic films. Also offers filmmaking classes for all ages.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.nwfilmforum.org/"} +{"d:Title": "Wis-Kino", "d:Description": "Devoted to filmmaking utilizing limited resources. Includes screening schedule, discussion forum and links to available resources. Madison, USA.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://wiskino.wordpress.com/"} +{"d:Title": "Directors Guild of Canada - BC District Council", "d:Description": "Background details, list of productions, upcoming events, and contact information.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.dgc.ca/bc/"} +{"d:Title": "Producers Guild - Power of Diversity", "d:Description": "Aims to promote diversity in the entertainment industry. Articles, staff, and links.", "topic": "Top/Arts/Movies/Organizations/Filmmaking", "url": "http://www.producersguild.org/?page=pga_diversity"} +{"d:Title": "The Canadian Society of Cinematographers", "d:Description": "Resource for film and video cinematographers on the art and craft of cinematography.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Cinematographers", "url": "http://www.csc.ca/"} +{"d:Title": "International Cinematographers Guild", "d:Description": "The IATSE Local 600 promotes the talents of its members and defends their financial interests and artistic rights.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Cinematographers", "url": "https://www.icg600.com/"} +{"d:Title": "Women in Film and Television - New York", "d:Description": "Nonprofit, professional membership organization for women working in the film and television industries in New York.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.nywift.org/"} +{"d:Title": "Women in Film and Television UK", "d:Description": "A membership organisation for women working in creative media in the UK.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wftv.org.uk/"} +{"d:Title": "Women In Film - Central Florida", "d:Description": "A nonprofit, professional membership organization for women working in the film and television industries.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.womeninfilmfl.org/"} +{"d:Title": "Women in Film Video", "d:Description": "Organization promoting women in the media in New England.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.womeninfilmvideo.org/"} +{"d:Title": "Women in Film and Television - Toronto", "d:Description": "Promotes opportunities for women in film and television in Toronto.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "https://www.wift.com/"} +{"d:Title": "Women in Film - Dallas", "d:Description": "Promotes and enhances the recognition of all professional women in the film and video industry.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wifdallas.org/"} +{"d:Title": "Women in Film and Television Vancouver", "d:Description": "Membership information, member directory, event listings, photographs, and links.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.womeninfilm.ca/"} +{"d:Title": "Women in Film&Video of Washington, DC", "d:Description": "Dedicated to advancing professionals working in screen-based media and related disciplines.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wifv.org/"} +{"d:Title": "Women In Film and Television - Atlanta", "d:Description": "Membership details, industry news, educational resources, information about members, and links.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wifta.org/"} +{"d:Title": "Women in Film and Television Houston", "d:Description": "Texas chapter of the non-profit, international organization. Board of directors, newsletter, membership application, news, and links.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wift-houston.org/"} +{"d:Title": "Women in Film - Seattle", "d:Description": "Women in Film is an organization of professional women committted to working with integrity in the art and business of film.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://womeninfilmseattle.org/"} +{"d:Title": "Women in Film", "d:Description": "A professional organization founded in Los Angeles in 1973 with the commitment to recognize, develop, and actively promote the unique visions of women in the global communications industry.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://womeninfilm.org/"} +{"d:Title": "Reel Women", "d:Description": "Non profit organization formed for the purposes of developing a permanent support system for women at all levels of experience in the film and video industries.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "https://www.facebook.com/ReelWomen/"} +{"d:Title": "Women in Film&Television - NSW", "d:Description": "A non profit organization for women in the film and television industries in Australia.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wiftnsw.org.au/"} +{"d:Title": "Bay Area Women In Film&Media", "d:Description": "A professional network of Bay Area women in film and media. San Francisco, CA.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.bawifm.org/"} +{"d:Title": "Utah Women in Film", "d:Description": "Provides opportunities, education, networking and mentoring programs for women filmmakers in the community.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.utwomeninfilm.com/"} +{"d:Title": "Palm Springs Women in Film&Television", "d:Description": "To advance women and men involved in the entertainment, communication, and media industries and attracting new jobs, investment and production to the Coachella Valley..", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.pswift.org/"} +{"d:Title": "Hawai\u02bbi Women in Filmmaking", "d:Description": "A nonprofit feminist organization committed to achieving gender equity in film making and other creative media arts.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.hawaiiwomeninfilmmaking.org/"} +{"d:Title": "Women in Film Chicago", "d:Description": "Dedicated to promoting members and contributing to the overall success of Chicago in, television, independent, and major film production.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wifchicago.net/"} +{"d:Title": "WiFT Louisiana", "d:Description": "WiFT LA hosts networking events called \"Alice Evenings\" to provide an opportunity to meet other professional women in the field.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wiftlouisiana.org/"} +{"d:Title": "Minnesota Women in Film and Television", "d:Description": "Sharing ideas and connections, and creating momentum to benefit careers.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://mnwift.org/"} +{"d:Title": "Kansas City Women in Film&TV", "d:Description": "Empowering, promoting and mentoring women in film and related careers.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.kcwift.com/"} +{"d:Title": "New Mexico Women in Film, TV&Media", "d:Description": "A New Mexico-based outreach network committed to the professional development and achievement of women in the film and television community.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.nmwif.com/"} +{"d:Title": "Women in Film Portland", "d:Description": "Elevating women in film through education, outreach and professional development.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://wifpdx.org/"} +{"d:Title": "Women in Film and Media Pittsburgh", "d:Description": "Dedicated to improving the status and portrayal of women in film, video and other screen based media", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wifmpit.org/"} +{"d:Title": "Nashville Women in Film and Television", "d:Description": "Events, awards and networking.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://wiftnashville.org/"} +{"d:Title": "Women in Film and Television in Nigeria", "d:Description": "A membership based non-profit organization to recognize and support the women working in Nigerian screen-based and other media.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "https://www.facebook.com/wiftin/"} +{"d:Title": "WIFT Mumbai", "d:Description": "To help in the development and achievement of women working in film, television and other screen based mediums.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://wift.co.in/"} +{"d:Title": "WIFT New Zealand", "d:Description": "An organisation to support growth and sustainability in the screen industries with a particular emphasis on equal opportunity and participation for women.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wiftnz.org.nz/"} +{"d:Title": "Women in Film and Television Alberta", "d:Description": "Promotes and assists the development of women in the film, video, multimedia and television industries.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wifta.ca/"} +{"d:Title": "Women in Film and Television in Sweden", "d:Description": "The Swedish chapter, Wift Sverige, was founded in Stockholm in 2003.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://www.wift.se/in-english/"} +{"d:Title": "Women in Film and Television Victoria", "d:Description": "Victoria, Australia based chapter.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "http://wiftvic.com.au/"} +{"d:Title": "Women in Film and Television South Africa", "d:Description": "WIFTSA is a network interested in the professional development and empowerment of women in the film and television industry.", "topic": "Top/Arts/Movies/Organizations/Filmmaking/Women_in_Film_and_Television", "url": "https://www.facebook.com/Women-in-Film-and-Television-South-Africa-WIFTSA-141217032567634/"} +{"d:Title": "Jersey Film Society", "d:Description": "Information about the club, details of the films showing in the current season, archive of reviews for past screenings, film posters and quizzes.", "topic": "Top/Arts/Movies/Organizations/Film_Societies", "url": "http://www.jerseyfilmsociety.com/"} +{"d:Title": "ANU Film Group", "d:Description": "Contains information about the club, and an extensive set of reviews of films shown in recent years. Based in Canberra, Australia", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Australia", "url": "http://www.anufg.org.au/"} +{"d:Title": "SPLODGE! Film Society", "d:Description": "Information and archives society's screenings in Melbourne.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Australia", "url": "http://splodge.homestead.com/"} +{"d:Title": "PRODOS Film Study Group", "d:Description": "Melbourne based film society. Specialises in screening and studying documentaries promoting \"free market principles, the history of ideas,the life and work of creative heroes\". Holds weekly meetings in Richmond (Melbourne, Victoria, Australia).", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Australia", "url": "http://instantworlddomination.com/"} +{"d:Title": "Smart Girls Film Club", "d:Description": "Watch and discuss films that focus on women and girls, and celebrate their struggles, achievements, talents, and history. Based in Melbourne, Victoria.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Australia", "url": "http://smartgirls.filmclub.org.au/"} +{"d:Title": "ACOFS", "d:Description": "Founded in 1949 ACOFS (Australian Council of Film Societies) is the peak national body of the Australian film society movement.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Australia", "url": "http://acofs.org.au/"} +{"d:Title": "National Film Board of Canada", "d:Description": "The NFB is a public agency that produces and distributes films and other audiovisual works which reflect Canada to Canadians and the rest of the world. Catalog of current and past productions, and online ordering for video tapes.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Canada", "url": "https://www.nfb.ca/"} +{"d:Title": "Metro Cinema Society", "d:Description": "Nonprofit society and charitable organization committed to the promotion of Canadian and International independent film and video. Edmonton, Alberta.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Canada", "url": "http://www.metrocinema.org/"} +{"d:Title": "Shuswap Film Society", "d:Description": "A non-profit group screening contemporary world cinema in Kelowna and Salmon Arm, British Columbia, Canada.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Canada", "url": "http://www.shuswapfilm.net/"} +{"d:Title": "Sunshine Coast Film Society", "d:Description": "Non-profit film organization located at the Heritage Playhouse in Gibsons BC.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Canada", "url": "http://www.scfs.ca/"} +{"d:Title": "First Weekend Club", "d:Description": "Non-profit organization that strives to build audiences for Canadian films. History and background, sponsorship, information about films screened so far, filmmaker interviews, selections currently screening and on DVD, membership details, community, news, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Canada", "url": "http://www.firstweekendclub.ca/"} +{"d:Title": "Children's Film Society, India", "d:Description": "Information about India's children's film and festival activities.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/India", "url": "http://cfsindia.org/"} +{"d:Title": "Canterbury Film Society", "d:Description": "Background information and program details for the society.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/New_Zealand", "url": "http://www.canterburyfilmsociety.org.nz/"} +{"d:Title": "Norwegian Federation of Film Societies", "d:Description": "Profile of the society and list of members.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Norway", "url": "http://www.filmklubb.no/?id=885"} +{"d:Title": "Singapore Film Society", "d:Description": "Information about organization which promotes the appreciation of film as a medium of both art and entertainment.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/Singapore", "url": "http://www.sfs.org.sg/"} +{"d:Title": "Abingdon College and District Film Society", "d:Description": "Provides a full programme and details of next screening, membership and organisation information and downloadable programme notes and members' reactions for the films already shown.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.abfilms.org.uk/"} +{"d:Title": "Blandford Forum Film Society", "d:Description": "Provides information on membership and the current programme, along with an archive of the previous season.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.blandfordfilm.org/"} +{"d:Title": "FLIX - Loughborough Students Film Society", "d:Description": "Provides details of the next screening and a screening schedule, alongside membership, history, technical information and society news.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.flix.org.uk/"} +{"d:Title": "Winchester Film Society", "d:Description": "Showing independent world cinema. Includes news, current programme, membership details and a discussion forum.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.winchesterfilmsociety.co.uk/"} +{"d:Title": "Edinburgh University Film Society", "d:Description": "Holds weekly meetings and regular film showings. Features detailed information about the society, joining information, film reviews, mailing list, and forum.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.eufs.org.uk/"} +{"d:Title": "Chester Film Society", "d:Description": "Membership-based society who show films in the 16mm format, using original dialogue with subtitles for the foreign films. Includes performances information and membership details.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.chesterfilmfans.co.uk/"} +{"d:Title": "Thanet Film Society", "d:Description": "Based in Broadstairs, Kent. Includes news, film listings, the history of the society, and reviews of DVDs.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.thanetfilm.co.uk/"} +{"d:Title": "Haverfordwest Film Society", "d:Description": "Includes programme, links, contact information, and admission rates. Operates from the Merlin Theatre, Pembrokeshire College.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.haverfordwest-film-society.org.uk/"} +{"d:Title": "Petherton Picture Show", "d:Description": "A film club based in South Petherton with monthly screenings. Film list, directions, tickets, news, ground rules, and contact information.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.pethertonpictureshow.com/"} +{"d:Title": "Film Club at the Roxy", "d:Description": "Film society based in Ulverston, Cumbria. Programme, reviews, archive, history, contact details, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.filmclubattheroxy.org/"} +{"d:Title": "Reel People Film Society", "d:Description": "Background information and history, awards, events, people, projects, and links. Kilmersdon, Somerset.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.reelpeople.org.uk/"} +{"d:Title": "Union Films", "d:Description": "Southampton University Students' Union film society shows over 70 films a year in digital surround sound, on a big screen, in a several hundred-seat capacity theatre. Includes a searchable film database, news, reviews and society information.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://uf.susu.org/"} +{"d:Title": "Robinson College Film Society, Cambridge", "d:Description": "A group showing a varied selection of films in the Robinson College auditorium. Includes descriptions of the current films, a schedule, and location information.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.srcf.ucam.org/robfilms/"} +{"d:Title": "Fecken Odeon Cinema Society", "d:Description": "Based in a Worcestershire village, specialising in classic films. Provides information on the organisation, current programme schedule, details of the next screening and membership information.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.feckenodeon.co.uk/"} +{"d:Title": "University of Sheffield Film Unit", "d:Description": "A volunteer organization that runs the cinema in the Sheffield University Student's Union. Includes upcoming films for the week and the term, general information, and special events.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.filmunit.org.uk/"} +{"d:Title": "The Open Film Society", "d:Description": "Provides a wide selection of films in each season from September to May. Includes announcements, general information, membership details, and a programme schedule. Milton Keynes.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.openfilmsociety.co.uk/"} +{"d:Title": "Churchill MCR Film Society", "d:Description": "Presents films in the Wolfson Hall at Churchill College. Includes details of the films showing at the cinema, with a plot summary of each.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.chu.cam.ac.uk/societies/filmclub/"} +{"d:Title": "Napier University Film Society", "d:Description": "Organization based in Edinburgh with weekly meetings. General information, history, events, membership details, locations, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://nufs.wordpress.com/"} +{"d:Title": "Chelmsford Film Club", "d:Description": "Showing arthouse and foreign films. Provides information on the society and the current season of screening with film summaries.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.chelmsford-filmclub.co.uk/"} +{"d:Title": "Bradford on Avon Film Society", "d:Description": "Newsletter, full programme and archive. Wiltshire.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.boafs.org.uk/"} +{"d:Title": "Edinburgh Film Guild", "d:Description": "Latest news and details of up and coming events, full listings of films, gallery of stills and details on how to join the guild.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.edinburghfilmguild.org.uk/"} +{"d:Title": "Harrogate Film Society", "d:Description": "Established in 1955 by film enthusiasts; aims to screen a wide range of films which may not achieve wide distribution. Includes theatre location, programme details, membership information, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.harrogatefilmsociety.co.uk/"} +{"d:Title": "Bridport Film Society", "d:Description": "Aims to introduce to a broader audience films that cannot be seen in local commercial cinemas. Overview, venue information, programme, membership details, film archive, contacts, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.bridportfilmsociety.co.uk/"} +{"d:Title": "Heartland Film Society", "d:Description": "Established in 2001. Aims to bring cinema experience closer to Aberfeldy and to promote Scottish filmmaking. Overview, programme, membership information, events, contact information, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://heartlandfilmsociety.org.uk/"} +{"d:Title": "Woking's New Cinema Club", "d:Description": "Includes current programme, past programme with ratings, membership and location details.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.wncc.org.uk/"} +{"d:Title": "Bath University Film Society", "d:Description": "Screens a range of classic films. Schedule and upcoming events.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.bathstudent.com/socs/societies/filmsoc/"} +{"d:Title": "Cheltenham Film Society", "d:Description": "Large membership based organization that shows recent foreign (English subtitles) films, and some lesser known UK/US films. Includes details about the society, where they meet, the films they show, and their history.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.cheltfilmsoc.org.uk/"} +{"d:Title": "St John's Picturehouse", "d:Description": "A student-run cinema based in St John's College, Cambridge University, showing films that are a mixture of old and new, blockbuster and less well known. Includes a termcard of current films, theatre location, and member information.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.stjohnspicturehouse.org.uk/"} +{"d:Title": "Pontardawe Film Club", "d:Description": "Information on past and present screenings, newsletter, and links. Based in Swansea, Wales.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "http://www.pontardawefilm.com/"} +{"d:Title": "Warwick Student Cinema", "d:Description": "Cinema and film club for students of the University Of Warwick and their guests. Includes news, FAQ, rotas, technical information, meeting minutes, gallery, and member's area.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_Kingdom", "url": "https://warwick.film/"} +{"d:Title": "San Francisco Cinematheque", "d:Description": "Showcases experimental film and video", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.sfcinematheque.org/"} +{"d:Title": "Austin Film Society", "d:Description": "Resource for Texas filmmakers. Exhibition programs, calendar, and a listing of the Society's services and programs for artists.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.austinfilm.org/"} +{"d:Title": "Denver Film Society", "d:Description": "Offering information on online ticket purchase, membership and events.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.denverfilm.org/"} +{"d:Title": "Sarasota Film Society", "d:Description": "Florida theatre offering membership for movie viewing and a schedule of attractions.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.filmsociety.org/"} +{"d:Title": "Stanford Film Society", "d:Description": "Features a calendar of events and information on submitting an entry.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.stanford.edu/group/sfs/"} +{"d:Title": "Yale Film Society", "d:Description": "Features a schedule of screenings and information on each movie.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.yale.edu/yfs/"} +{"d:Title": "Rehoboth Independent Film Society", "d:Description": "Events held throughout the year, culminating in the film festival in November.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.rehobothfilm.com/"} +{"d:Title": "The Washington Psychotronic Film Society", "d:Description": "Frequently updated schedule, movie archives and search engine.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.wpfs.org/"} +{"d:Title": "University of Washington.edu Film Appreciation Club", "d:Description": "Provides resources for independent film makers, weekly movie reviews, and links to movie-related pages.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://students.washington.edu/film/"} +{"d:Title": "Imaginative Cinema Society", "d:Description": "Film club dedicated to the viewing and discussion of Horror, Science Fiction and Fantasy films.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.icsfilm.net/"} +{"d:Title": "Film Societies in the United States of America", "d:Description": "Directory of links provided.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.mptv.com/htm/filmsocieties.htm"} +{"d:Title": "Tallahassee Film Society", "d:Description": "Membership details, mailing list, resources, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.tallahasseefilms.com/"} +{"d:Title": "FLICS International Cinema Society", "d:Description": "Screening foreign language and independent American films in Bakersfield, California since 1982. Subscription details, policies, schedule, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.flics.org/"} +{"d:Title": "Omaha Film Event", "d:Description": "Information about film events produced by Bruce Crawford. Upcoming and past events, news, the producer, sponsors, and links.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.omahafilmevent.com/"} +{"d:Title": "Green Bay Film Society", "d:Description": "Not-for-profit community group bringing international and independent films to northeastern Wisconsin. Overview and film schedule.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.uwgb.edu/gbfilm/"} +{"d:Title": "University Film and Video Association", "d:Description": "Promotes interest in the making and teaching of film and video. Articles, news, conferences, programs, and contact information, as well as board members and school associations.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.ufva.org/"} +{"d:Title": "The Psychotronic Film Society", "d:Description": "Features a schedule of films and an online gift shop. Also offers reviews on movies.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.psychotronic.info/"} +{"d:Title": "Olympia Film Society, Washington", "d:Description": "Offering information on events, schedule and history.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.olyfilm.org/"} +{"d:Title": "Cleveland Film Society", "d:Description": "Promotes and presents artistically and culturally significant films.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.clevelandfilm.org/"} +{"d:Title": "Coastside Film Society", "d:Description": "Provides a venue for viewing of independent films, emphasizing works produced by local filmmakers.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://hmbfilm.org/"} +{"d:Title": "Feminale Films", "d:Description": "San Francisco Bay-Area women's film collective that seeks to promote local women filmmakers and their art.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.feminalefilms.com/"} +{"d:Title": "Case Western Reserve University Film Society", "d:Description": "Offering information on schedules, prices, cast and location.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://films.case.edu/"} +{"d:Title": "San Francisco Film Society", "d:Description": "Fosters appreciation for film, video and other moving-image media, and preserves and disseminates knowledge about them as powerful means both of aesthetic expression and of cultural understanding.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.sffs.org/"} +{"d:Title": "Worcester Polytechnic Institute Lens and Lights Club", "d:Description": "Offering different types of motion picture projection and information on film laws.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://lnl.wpi.edu/"} +{"d:Title": "Macon Film Guild", "d:Description": "Screens recently released works of contemporary independent American and foreign directors. Macon, Georgia.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://maconfilmguild.org/"} +{"d:Title": "Political Film Society", "d:Description": "Members are allowed to cast ballots to nominate feature films. Also features movie reviews.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.polfilms.com/"} +{"d:Title": "Philadelphia Film Society", "d:Description": "Information about area film festivals sponsored by the non-profit organization.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.filmadelphia.org/"} +{"d:Title": "Alex Film Society", "d:Description": "Information about the Glendale, California theater. Includes history, photos and schedule.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.alexfilmsociety.org/"} +{"d:Title": "Tropic Cinema", "d:Description": "Dedicated to exhibiting and promoting film as an art. Home of the Tropic Cinema Theater, and Key West Film Institute. Key West, Florida.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://tropiccinema.com/"} +{"d:Title": "Brown Film Society", "d:Description": "Film showings weekly.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://students.brown.edu/BFS/"} +{"d:Title": "Film Society of Minneapolis St. Paul", "d:Description": "Non-profit organization dedicated to fostering an active and living appreciation of the film arts in the Twin Cities and greater Minnesota.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://mspfilm.org/"} +{"d:Title": "Ragtag Cinema", "d:Description": "Independent and foreign films in downtown Columbia, Mo, since the beginning of 1998. Venues include the Blue Note Theater, the Missouri Theater and the Ragtag Cafe.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.ragtagcinema.org/"} +{"d:Title": "Film Society KC", "d:Description": "Fosters appreciation of cinema and animation through film festivals and recognition of Kansas City's cinematic artists.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.filmsocietykc.com/"} +{"d:Title": "Film Society of Lincoln Center", "d:Description": "Home to the New York Film Festival, New Directors/New Films, and the Chaplin Award Gala. Showing movies in the Walter Reade Theater and Elinor Bunin Munroe Film Center.", "topic": "Top/Arts/Movies/Organizations/Film_Societies/United_States", "url": "http://www.filmlinc.org/"} +{"d:Title": "Alexander's Favorite Disney Movies", "d:Description": "Looks at more than a dozen of Disney's animated classics, from the perspective of both a toddler and his parents.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.leaptoad.com/ahp/disney.shtml"} +{"d:Title": "Elf's Page", "d:Description": "Features personal pictures and wav files from favorite movies.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://jodums.tripod.com/elfspage/"} +{"d:Title": "Sumesh's web page", "d:Description": "Personal Indian movie site featuring list of favorite Indian movies and personal information.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://sumesh_menon.tripod.com/"} +{"d:Title": "The Bloomies", "d:Description": "Best films from 1900 to present, according to Robert Bloom.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.thebloomies.com/"} +{"d:Title": "Golden Patty Awards", "d:Description": "Chili-n-Willie host their own version of the Academy Awards, with user nominations.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.softcom.net/users/chili/goldenpatties/"} +{"d:Title": "The Larry Page: Film", "d:Description": "Features a top 100 films of all time list along with lists of best films by year released according to Lary.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.thelarrypage.com/film.html"} +{"d:Title": "CineMagic", "d:Description": "Several reviews, movie bloopers, and trivia.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.jonie.snn.gr/"} +{"d:Title": "MichaelGorman.net", "d:Description": "Personal blog of the aspiring screenwriter. Posts consists of movie reviews, and general commentary.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.michaelgorman.net/"} +{"d:Title": "Countdown to Corruption", "d:Description": "Personal site with reviews and pictures for films including Godfather II, Snatch, and Fight Club.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://seetosee.tripod.com/"} +{"d:Title": "John is a Movie Addict", "d:Description": "Weblog with film synopses, celebrity profiles, and photographs.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://john-is-a-movieaddict.blogspot.com/"} +{"d:Title": "Hollywood Greats and Blockbuster Films", "d:Description": "Personal thoughts by Tim Rees on a number of Hollywood actors, actresses, and filmmakers.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.movie-greats.co.uk/"} +{"d:Title": "Movie Mania", "d:Description": "Personal site with information about favorite movies including Top Gun, Pretty Woman, and First Knight.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://webspace.webring.com/people/oh/hullabaloo_228/Movies.html"} +{"d:Title": "Eclectric Dragonfly", "d:Description": "Features a selection of video stills and sound clips from various films. Also includes commentary on some favorite films.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://www.alicia-logic.com/capspages/dragonfly.asp"} +{"d:Title": "Impossibly Funky", "d:Description": "Weblog providing reviews and industry articles.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://impossiblefunky.blogspot.co.uk/"} +{"d:Title": "Ways of Seeing", "d:Description": "A weblog written by a Turkish man with musings and his opinions on the best films of the year.", "topic": "Top/Arts/Movies/Personal_Pages", "url": "http://blog.waysofseeing.org/"} +{"d:Title": "Movie Quotes", "d:Description": "Alphabetized listing of films for which quotations are available.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.angelfire.com/oh/quotations/moviequotes.html"} +{"d:Title": "The Movie Quotes Site", "d:Description": "Alphabetized quotes, quizzes. Visitor submissions welcomed.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.moviequotes.com/"} +{"d:Title": "Fun Wavs Movie Quotes", "d:Description": "Quotes with corresponding sound files.", "topic": "Top/Arts/Movies/Quotations", "url": "http://funwavs.com/"} +{"d:Title": "Wikiquote", "d:Description": "Film quotes with links to additional movie information.", "topic": "Top/Arts/Movies/Quotations", "url": "http://en.wikiquote.org/wiki/List_of_films"} +{"d:Title": "Movie Quote Trivia", "d:Description": "Movie quote trivia quizzes organized by topic and rated for difficulty.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.funtrivia.com/quizzes/movies/which_movie/which_movie_-_difficult_10.html"} +{"d:Title": "SubZin", "d:Description": "Returns list of films with quotations containing specified keywords, and displays the time at which the lines were said in each film.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.subzin.com/"} +{"d:Title": "Movie Quotes of the Day", "d:Description": "Daily quotation newsletter.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.moviequotesoftheday.com/"} +{"d:Title": "The Movie Quotes", "d:Description": "Database of user-submitted quotations, arranged by genre, title, and year.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.themoviequotes.com/"} +{"d:Title": "Movie Quote Database", "d:Description": "Database of over 37,000 movie quotations, arranged alphabetically by film title. Listings include breakdowns by character.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.moviequotedb.com/"} +{"d:Title": "Reel Life Wisdom", "d:Description": "Inspirational film quotations arranged into categories such as courage, freedom, love, and health. May also be sorted by film, year, or actor.", "topic": "Top/Arts/Movies/Quotations", "url": "http://www.reellifewisdom.com/"} +{"d:Title": "Killer Movie Reviews", "d:Description": "Reviews of mostly independent films, as well as streaming audio of interviews with filmmakers.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.killermoviereviews.com/"} +{"d:Title": "Stomp Tokyo", "d:Description": "Reviews of films from a variety of genres.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.stomptokyo.com/"} +{"d:Title": "Man Who Viewed Too Much", "d:Description": "Mike D'Angelo's reviews of current and past mainstream, independent, and foreign films.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.panix.com/~dangelo/"} +{"d:Title": "Cranky Critic", "d:Description": "Review based strictly on their entertainment value. Cranky rates movies by the cost of a ticket.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.crankycritic.com/"} +{"d:Title": "Movies and More Movies", "d:Description": "Archived reviews of movies in theaters and on video.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.i-reviewmovies.com/"} +{"d:Title": "Nitrate Online", "d:Description": "Current and archived film reviews with a focus on independent and art cinema.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.nitrateonline.com/"} +{"d:Title": "Cinematter", "d:Description": "Reviews of movies released since 1995. Includes a theatrical and video release dates database.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.cinematter.com/"} +{"d:Title": "The Flick Filosopher", "d:Description": "Reviews of current and classic films, including every best picture Oscar winner.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.flickfilosopher.com/"} +{"d:Title": "Waffle Movies", "d:Description": "Reviews of independent films as well as big studio pictures that deserve a second chance on video.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.wafflemovies.com/"} +{"d:Title": "The Reel McCoy", "d:Description": "Movie reviews by Patrick McCoy.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.the-reel-mccoy.com/"} +{"d:Title": "BigSpeeg's Movie Reviews", "d:Description": "Reviews of new and classic films, as well as video rentals. Also includes interviews, polls, forums, and links.", "topic": "Top/Arts/Movies/Reviews", "url": "http://bigspeegs124.tripod.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Reviews of films from various genres. Also includes celebrity profiles.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.crazy4cinema.com/"} +{"d:Title": "The Movie Boy", "d:Description": "Theatrical release and DVD reviews by Dustin Putnam.", "topic": "Top/Arts/Movies/Reviews", "url": "http://themovieboy.com/"} +{"d:Title": "Ninth Symphony Films", "d:Description": "Reviews for a variety of films starting from the year 2000.", "topic": "Top/Arts/Movies/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/home.html"} +{"d:Title": "Video Reviews by Joan Ellis", "d:Description": "Film and video reviews listed alphabetically.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.joanellis.com/"} +{"d:Title": "Movie Martyr", "d:Description": "Reviews and criticism by Jeremy Heilman, with a focus on foreign and independent cinema.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.moviemartyr.com/"} +{"d:Title": "Movie Habit", "d:Description": "Offers information and reviews on a range of films.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.moviehabit.com/"} +{"d:Title": "Film Freak Central", "d:Description": "Reviews of current theatrical and DVD releases.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.filmfreakcentral.net/"} +{"d:Title": "A Fistful of Reviews", "d:Description": "Includes reviews of contemporary and classic movies and essays on film themes [requires Flash plugin].", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.afistfulofreviews.com/"} +{"d:Title": "Ross Anthony's Hollywood Report Card", "d:Description": "Movie reviews and interviews.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.rossanthony.com/"} +{"d:Title": "Movie Gazette", "d:Description": "Reviews of new cinema and DVD releases with a special focus on the UK.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.movie-gazette.com/"} +{"d:Title": "Qwipster's Movie Reviews", "d:Description": "Film reviews by Vince Leo, specializing in current releases as well as classics, from the mainstream to the obscure.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.qwipster.net/"} +{"d:Title": "Reviews On The Side", "d:Description": "Reviews of various films, alphabetically indexed.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.reviewsontheside.com/"} +{"d:Title": "Harvey's Movie Reviews", "d:Description": "Reviews with an academic slant by a film studies teacher from Ireland.", "topic": "Top/Arts/Movies/Reviews", "url": "http://homepage.eircom.net/~obrienh/"} +{"d:Title": "Reel Film Reviews", "d:Description": "Reviews by David Nusair.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.reelfilm.com/"} +{"d:Title": "The Cinematheque", "d:Description": "Reviews and lists with a slant toward world cinema and arthouse films.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.thecinematheque.com/"} +{"d:Title": "NPR Columns: Movie Reviews", "d:Description": "Reviews from the National Public Radio.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.npr.org/rss/rss.php?id=4467349"} +{"d:Title": "Apollo Movie Guide", "d:Description": "Contests, ratings, reviews, and links.", "topic": "Top/Arts/Movies/Reviews", "url": "http://apolloguide.com/"} +{"d:Title": "Five Dollar Popcorn", "d:Description": "Reviews and ratings of theater and DVD releases. Includes a rating guide.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.5dollarpopcorn.com/"} +{"d:Title": "Celluloid Dreams", "d:Description": "Reviews of classic films as well as movies in current release worldwide. Also includes several director biographies, quizzes and links.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.celluloiddreams.co.uk/"} +{"d:Title": "Craiger's Cinema Corner", "d:Description": "Reviews of contemporary and classic films by film critic Craig J. Koban.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.craigerscinemacorner.com/"} +{"d:Title": "Movierapture", "d:Description": "Reviews by Keith Allen, listed in alphabetical order and by rating.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.movierapture.com/"} +{"d:Title": "The Film Atheist", "d:Description": "Film reviews from a non-religious perspective. Also includes a rating explanation and a message board.", "topic": "Top/Arts/Movies/Reviews", "url": "http://filmatheist.com/"} +{"d:Title": "The Stop Button", "d:Description": "Reviews by Andrew Wickliffe. Includes silent movies, avant-garde titles and foreign films.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.thestopbutton.com/"} +{"d:Title": "Young Actress Reviews", "d:Description": "Reviews of movies with notable young actress performances, with screenshots.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.youngactressreviews.org/"} +{"d:Title": "Reel Views", "d:Description": "Offers movie reviews and criticism by James Berardinelli.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.reelviews.net/"} +{"d:Title": "The Sobering Conclusion", "d:Description": "Reviews and ratings by Hungry Hank.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.soberingconclusion.com/"} +{"d:Title": "Combustible Celluloid", "d:Description": "Reviews of new and older movies, including films on DVD, by Jeffrey M. Anderson.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.combustiblecelluloid.com/"} +{"d:Title": "The Movie Scene", "d:Description": "Film reviews by Andrew Webb, listed alphabetically.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.themoviescene.co.uk/"} +{"d:Title": "Deep Focus", "d:Description": "Reviews and production details, listed alphabetically, by genre, or by letter grade.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.deep-focus.com/"} +{"d:Title": "Movie Reviews by Edwin Jahiel", "d:Description": "Alphabetical index of reviews, including many foreign films. Also features Cannes festival diaries and a cinephile shopping list.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.edwinjahiel.com/"} +{"d:Title": "Cinema Scene", "d:Description": "Collection of movie reviews by David Perry.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.cinema-scene.com/"} +{"d:Title": "My Two Cents", "d:Description": "Capsule and full reviews of current and past films, as well as essays, top lists, and related links.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.kamranweb.com/mytwocents/"} +{"d:Title": "Cinema Crazed", "d:Description": "Reviews of mainstream and independent films by Felix Vasquez, indexed alphabetically. Also includes monthly film picks and a forum.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.cinema-crazed.com/"} +{"d:Title": "Aggressive-Voice: Movie Reviews", "d:Description": "Full and capsule reviews of films released from 2002 to early 2006, by Scott Spicciati.", "topic": "Top/Arts/Movies/Reviews", "url": "http://aggressive-voice.com/moviereviews.html"} +{"d:Title": "Feed My Ego", "d:Description": "Reviews by Scott Ventura from 1997 to 2003. Archived alphabetically and by MPAA rating.", "topic": "Top/Arts/Movies/Reviews", "url": "http://feedmyego.com/movies/"} +{"d:Title": "Films Graded", "d:Description": "Brian Koller reviews a variety of films, listed alphabetically, by year, or by genre.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.filmsgraded.com/"} +{"d:Title": "Gerald Peary", "d:Description": "Film reviews, interviews, and essays. Also includes the chronicle of a project examining fictional works that include film critics as characters.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.geraldpeary.com/"} +{"d:Title": "Haro Online", "d:Description": "Reviews of mainstream, foreign, and independent movies.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.haro-online.com/"} +{"d:Title": "Houston Press Online: Film", "d:Description": "Full and capsule reviews of current and past films.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.houstonpress.com/movies/reviews"} +{"d:Title": "Movieman's Guide to the Movies", "d:Description": "Reviews of films in theaters, on DVD, and on Blu-ray, by Brian Oliver.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.moviemansguide.com/"} +{"d:Title": "QNetwork", "d:Description": "Film and DVD reviews by James Kendrick, indexed alphabetically.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.qnetwork.com/?page=reviews"} +{"d:Title": "Reeling: The Movie Review Show", "d:Description": "Produced by Robin and Laura Clifford at the Malden, Massachusetts cable access television station, MATV, since March 16, 1991. Includes review archive, top ten lists, and some festival coverage.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.reelingreviews.com/"} +{"d:Title": "The Independent Critic", "d:Description": "Reviews of mainstream and independent features and short film commentary by Richard Propes.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.theindependentcritic.com/"} +{"d:Title": "Need to Vent", "d:Description": "Reviews in traditional and haiku format by Robert A. Nowotny.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.needtovent.com/"} +{"d:Title": "Cinema de Merde", "d:Description": "Reviews of films from various genres, with a focus on horror and gay content.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.cinemademerde.com/"} +{"d:Title": "Matt's Movie Reviews", "d:Description": "Critiques of new and classic films by Matthew Pejkovic.", "topic": "Top/Arts/Movies/Reviews", "url": "http://mattsmoviereviews.net/"} +{"d:Title": "The Movies Made Do It", "d:Description": "Over two thousand reviews browsable by genre; listings include basic film information and posters. Also features top lists created by users and a film-guessing game based on screenshots.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.moviesmademe.com/"} +{"d:Title": "The Movie Report", "d:Description": "Reviews of films in theaters and on DVD by Michael Dequina, sorted by title or release date. Also includes interviews, award show and festival coverage, film spotlights, and links.", "topic": "Top/Arts/Movies/Reviews", "url": "http://themoviereport.com/"} +{"d:Title": "Hollywood and Fine", "d:Description": "Reviews by Marshall Fine.", "topic": "Top/Arts/Movies/Reviews", "url": "http://hollywoodandfine.com/"} +{"d:Title": "Deep Focus Review", "d:Description": "Reviews of new and classic movies by Brian Eggert. Also features previews and scholarly articles.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.deepfocusreview.com/"} +{"d:Title": "Scott's Movie Reviews", "d:Description": "Alphabetized collection of links to the author's reviews in various online locales.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.moviemantz.com/"} +{"d:Title": "Scene-Stealers", "d:Description": "Plain-text and video reviews by Eric Melin and J.D. Warnock. Also includes thematic top ten lists and film-related giveaways.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.scene-stealers.com/"} +{"d:Title": "Double Feature", "d:Description": "Weekly podcast hosted by Eric Ingrum and Michael Koester. Two films are reviewed and discussed in each program.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.doublefeatureshow.com/"} +{"d:Title": "Rob's Movie Vault", "d:Description": "Reviews of current and past movies by Rob Gonsalves, organized alphabetically.", "topic": "Top/Arts/Movies/Reviews", "url": "http://robsmovievault.wordpress.com/"} +{"d:Title": "Chicago Sun-Times: Roger Ebert", "d:Description": "Full and capsule film reviews from the acclaimed critic. Also includes film festival details, interviews, and essays.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.rogerebert.com/"} +{"d:Title": "Ozus' World Movie Reviews", "d:Description": "Alphabetical archive of both full and capsule reviews from various eras. Includes a film noir section.", "topic": "Top/Arts/Movies/Reviews", "url": "http://homepages.sover.net/~ozus/"} +{"d:Title": "Mark Reviews Movies", "d:Description": "Film reviews and criticism by Mark Dujsik.", "topic": "Top/Arts/Movies/Reviews", "url": "http://www.markreviewsmovies.com/"} +{"d:Title": "And You Call Yourself a Scientist", "d:Description": "Film reviews listed alphabetically and by genre, including science fiction, fantasy, cult films, disaster movies, and slasher/serial killer features.", "topic": "Top/Arts/Movies/Reviews/By_Genre", "url": "http://www.aycyas.com/"} +{"d:Title": "Cult Reviews", "d:Description": "Cult and horror movie reviews, shock endings, interviews, an introduction to horror, and a small selection of public domain films. Also includes material from the Brussels International Fantastic Film Festival and Offscreen Film Festival.", "topic": "Top/Arts/Movies/Reviews/By_Genre", "url": "http://www.cultreviews.com/"} +{"d:Title": "Cinesploitation", "d:Description": "Weblog focusing on exploitation, grindhouse, horror, and cult films.", "topic": "Top/Arts/Movies/Reviews/By_Genre", "url": "http://www.cinesploitation.com/"} +{"d:Title": "Karmavore", "d:Description": "Examines the portrayal of women in film. Includes features about certains types of females, as well as reviews searchable by type, actor or title.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.karmavore.com/"} +{"d:Title": "Movie Review Time by Schahara", "d:Description": "Alphabetized collection of short reviews with a 10-point rating system.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.schahara.com/"} +{"d:Title": "Filmbeat", "d:Description": "Reviews of movies currently in theatres and video stores.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://filmbeatcc.tripod.com/"} +{"d:Title": "The Movie Critique", "d:Description": "Reviews of movies available on video. Rating scale based on entertainment value, not technique.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://djdamatopack.tripod.com/"} +{"d:Title": "Reel Life Review", "d:Description": "Offers short reviews on new theatrical releases, videos, and international films. Includes a special section on Hong Kong films.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.reellifereview.com/"} +{"d:Title": "Baboon Video", "d:Description": "Brief reviews of recent video releases.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.angelfire.com/movies/baboonvideo/"} +{"d:Title": "The Movie Geek", "d:Description": "Reviews of over 1200 movies by Chris Balay. Each review includes partial cast list and ability to submit comments.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.themoviegeek.com/"} +{"d:Title": "Four Word Film Review", "d:Description": "Allows users to review films using only 4 words. Searchable alphabetized archive.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.fwfr.com/"} +{"d:Title": "The Movie Minute", "d:Description": "Film reviews by television and radio critic Joanna Langfield.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.themovieminute.com/"} +{"d:Title": "The Movie Pundit", "d:Description": "Movie reviews and commentary.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.moviepundit.com/"} +{"d:Title": "Scott's Movie Comments", "d:Description": "Archive of one-paragraph reviews by Scott Larson.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.scottsmovies.com/"} +{"d:Title": "Films42", "d:Description": "Brief reviews of films that couples can enjoy together.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.films42.com/"} +{"d:Title": "Atkinson on Film", "d:Description": "Brief reviews of mainstream and independent films by psychiatry professor Roland Atkinson.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.atkinsononfilm.com/"} +{"d:Title": "The Entertainment Review", "d:Description": "Provides capsule reviews for contemporary movies, and rates classic films. Also includes columns by contributing users. Readers are encouraged to submit their own reviews.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.angelfire.com/film/wer2/final.htm"} +{"d:Title": "Skinnyboy Film Reviews", "d:Description": "Reviews of recent films in alphabetical order.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.angelfire.com/film/skinnyboyreviews/"} +{"d:Title": "Kaplan vs Kaplan", "d:Description": "Offer opinions on the merits of spending money for a first-run release, with brief commentary from a husband's or wife's point of view.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.kaplanvskaplan.com/"} +{"d:Title": "Movie Views", "d:Description": "Current and archived film reviews and related links. Also features a reader comments section.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://bcoliveraz.tripod.com/"} +{"d:Title": "Weird Video Rentals", "d:Description": "Listings of videos that are usually overlooked by video renters, as well as reviews of independent and unusual films.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.oddfilms.com/"} +{"d:Title": "Couple O' Sentences Movie Reviews", "d:Description": "Reviews of hundreds of movies consisting of two or three sentences each.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.angelfire.com/film/cosmoviereviews/"} +{"d:Title": "Pulse Cinema", "d:Description": "Brief looks at some action and horror movies.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.pulsecinema.0catch.com/"} +{"d:Title": "NuReel.com", "d:Description": "Searchable collection of current and past reviews by several contributors.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://keaproductionsdv.com/"} +{"d:Title": "Poffy The Cucumber's Movie Mania", "d:Description": "Humorous ratings and reviews from a rebel vegetable.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.poffysmoviemania.com/"} +{"d:Title": "Films 96", "d:Description": "Reviews and ratings of movies since 1996 to 2002, arranged by year.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.films96.com/"} +{"d:Title": "Chicago Reader", "d:Description": "Searchable database of capsule reviews for thousands of films.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://onfilm.chicagoreader.com/search/briefs"} +{"d:Title": "Dimspace Movie Page", "d:Description": "Good movies are reviewed in haiku form, bad films in limerick form. User contributions welcome.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.dimspace.com/movie/"} +{"d:Title": "Nightcoaster's Film Reviews", "d:Description": "Collection of one-paragraph film reviews in alphabetical order.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.nightcoaster.com/showtimes/reviews.htm"} +{"d:Title": "Movie Ticket Stubs", "d:Description": "Each short review is accompanied by a photograph of the author's ticket stub.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://movieticketstubs.wordpress.com/"} +{"d:Title": "1 Minute Film Review", "d:Description": "Weblog with reviews organized by genre.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://1minutefilmreview.blogspot.com/"} +{"d:Title": "3 Lines About...", "d:Description": "Weblog with three-sentence reviews by Michael Teger, organized by genre, decade, and lead.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://3linesabout.blogspot.com/"} +{"d:Title": "Films in Haiku", "d:Description": "Reviews of films in haiku format.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://filmsinhaiku.blogspot.com/"} +{"d:Title": "Don Ignacio's Movie Reviews", "d:Description": "Brief reviews by Michael C. Lawrence, arranged in alphabetical order. Each review includes a letter rating.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://donignacio.tripod.com/"} +{"d:Title": "Domeheid", "d:Description": "Reviews of films in a variety of genres by Christopher Whalen.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://www.domeheid.com/"} +{"d:Title": "Fast Film Reviews", "d:Description": "Weblog with one-paragraph reviews by Mark Hobin sortable by genre and year of release.", "topic": "Top/Arts/Movies/Reviews/Capsule", "url": "http://fastfilmreviews.com/"} +{"d:Title": "Flixster", "d:Description": "A community where users share film reviews and ratings [free registration required].", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.flixster.com/"} +{"d:Title": "Movie Review Query Engine", "d:Description": "Online directory of movie reviews.", "priority": "1", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.mrqe.com/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Features the consensus opinion of professional critics from across the nation.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.rottentomatoes.com/"} +{"d:Title": "Review Guy Online", "d:Description": "A collection of film reviews. Includes ability to submit user reviews, links, and a message board.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.reviewguyonline.com/"} +{"d:Title": "Cinema Review Magazine", "d:Description": "Offers movie reviews focusing on content and moviegoer opinions. Allows users to make informed choices about seeing a film.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.cinemareview.com/"} +{"d:Title": "AboutFilm.com", "d:Description": "Archived and recent film reviews. Includes yearly \"best of\" lists.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.aboutfilm.com/"} +{"d:Title": "CineScene", "d:Description": "Current and past reviews accompanied by film stills.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.cinescene.com/"} +{"d:Title": "CrocoPuffs MovieSite", "d:Description": "Includes list of recent film reviews and alphabetical and ranked lists of archived reviews. Includes rating system information and reviewer profiles.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.crocopuffs.com/"} +{"d:Title": "Hollywood Bitchslap", "d:Description": "[Strong language warning]. Reviews of current and older films. Includes short reviews submitted by users.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://hollywoodbitchslap.com/"} +{"d:Title": "Welcome to Soundstage", "d:Description": "Includes a collection of film reviews by various authors, a top 100 films list, and a mailing list.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.soundstage.iwarp.com/"} +{"d:Title": "Reviewmaster", "d:Description": "Features A to Z reviews, film release dates, and top ten lists.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.angelfire.com/movies/reviewmaster/"} +{"d:Title": "Movie Vault", "d:Description": "Current and archived reviews, industry news, interviews, and related links.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.movie-vault.com/"} +{"d:Title": "Moviepie.com", "d:Description": "Searchable database of mainstream, independent and foreign film reviews.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.moviepie.com/"} +{"d:Title": "Projections", "d:Description": "Reviews and ratings of a variety of general and limited release films. Recent release, alphabetical list, and top 10 lists available.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.projections-movies.com/"} +{"d:Title": "Three Movie Buffs", "d:Description": "Reviews of theatre and video films, box office charts, movie news, and other related information.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.threemoviebuffs.com/"} +{"d:Title": "Two For The Show", "d:Description": "Film reviews by Phil and Carol Fuoco.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.moviereviewers.com/"} +{"d:Title": "About-Movies.com", "d:Description": "Archive of film reviews sorted by year.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.about-movies.com/"} +{"d:Title": "Smart-Popcorn.com", "d:Description": "Provides reviews and ratings for films based on two scales: artistic merit and entertainment value.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.smart-popcorn.com/"} +{"d:Title": "Frank's Reel Movie Reviews", "d:Description": "Movie reviews and ratings, as well as film news and trivia.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.franksreelreviews.com/"} +{"d:Title": "Bucket Reviews", "d:Description": "Reviews from two contributors, indexed alphabetically and by rating. Also includes box office results, lists of newly released films, message board, and links.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.bucketreviews.com/"} +{"d:Title": "The Movie Compound", "d:Description": "Reviews of current releases as well as classic films. Includes message boards.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.moviecompound.com/"} +{"d:Title": "Mooviees.com", "d:Description": "Features review quotes and links to professional movie critics.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.mooviees.com/"} +{"d:Title": "Movie Review Index", "d:Description": "Extensive online movie review database.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.moviereviewindex.com/"} +{"d:Title": "Richmond Reviewers", "d:Description": "Films reviewed in the form of a discussion between two critics.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.richmondreviewers.com/"} +{"d:Title": "The World of KJ", "d:Description": "Film, DVD and trailer reviews.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.worldofkj.com/"} +{"d:Title": "Moofies", "d:Description": "Reviews of films in theatrical release and on DVD.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://moofies.com/"} +{"d:Title": "Critics.net", "d:Description": "Includes archives, staff profiles with their rating systems and top 10 lists.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://critics.emphasys.com/"} +{"d:Title": "The Flick Chicks", "d:Description": "Movie reviews by a circle of female critics.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.theflickchicks.com/"} +{"d:Title": "The New York Times: Movies", "d:Description": "Archive of the newspaper's film reviews, including all reviews since 1960 and selected reviews going back to 1910 [free registration required].", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://movies.nytimes.com/ref/movies/reviews/index.html"} +{"d:Title": "Commentary Track", "d:Description": "Reviews with a primary focus on new US releases. Other features are reviews of older films and a weekly DVD recommendation.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://commentarytrack.com/"} +{"d:Title": "Film Forward", "d:Description": "Reviews of independent, foreign, documentary, and arthouse films releases theatrically and on DVD.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.film-forward.com/"} +{"d:Title": "FilmCrave.com", "d:Description": "User-driven portal for full and capsule reviews. Also includes member-created top lists, cross-referenced from film listings.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.filmcrave.com/"} +{"d:Title": "Where the Long Tail Ends", "d:Description": "Reviews of smaller genre and independent films. Also includes themed film-watching podcasts.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://wherethelongtailends.com/"} +{"d:Title": "The Critical Critics", "d:Description": "Reviews of cinematic releases arranged by genre, as well as a selection of top-ten lists.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.thecriticalcritics.com/"} +{"d:Title": "Movie Buffs", "d:Description": "Reviews of mainstream films. Invites reader contributions.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://moviebuffs.com/"} +{"d:Title": "ScreenJabber", "d:Description": "Independent film and DVD reviews by several bloggers.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://screenjabber.com/"} +{"d:Title": "Movie and Film Review", "d:Description": "Weblog with reviews arranged by genre and reviewer. Also includes a guide to writing reviews.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.moviefilmreview.com/"} +{"d:Title": "Bitter Balcony", "d:Description": "Reviews of mainstream releases by JAS and John Rojas as well as site users. Also look at upcoming and underrated films.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.bitterbalcony.com/"} +{"d:Title": "The Scorecard Review", "d:Description": "Specialized reviews with categories breaking down each major section of a film, judged on a scale of 0-10.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://thescorecardreview.com/"} +{"d:Title": "Review Centre: Film Reviews", "d:Description": "Consumer-submitted reviews and ratings, subdivided by genre.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.reviewcentre.com/consumer_reviews18.html"} +{"d:Title": "That Cow Reviews", "d:Description": "User-submitted reviews of current and past movies.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://reviews.thatcow.com/"} +{"d:Title": "You Think Movies", "d:Description": "User ratings and comments on a variety of films. Registered users are able to add their favorite movies to their profile.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.youthink.com/movies.cfm"} +{"d:Title": "FilmDude", "d:Description": "Reviews from several anonymous contributors sorted by genre, festival, and star rating.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://www.filmdude.com.au/"} +{"d:Title": "AllReaders.com", "d:Description": "Movie review database with multiple search criteria. Reviews contributed by site visitors.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://allreaders.com/"} +{"d:Title": "Mutant Reviewers From Hell", "d:Description": "Reviews of films along with recommendations for similar films.", "topic": "Top/Arts/Movies/Reviews/Hubs", "url": "http://mutantreviewers.wordpress.com/"} +{"d:Title": "147Film", "d:Description": "Movie reviews with a touch of humor.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.esatclear.ie/~onefourseven/index.html"} +{"d:Title": "Cinemastuff", "d:Description": "Provides a library of humorous film commentary, reviews, articles, and links. Also contains other movie-related information.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://cinemastuff.8m.com/"} +{"d:Title": "Hortense Vasucchi's Movie Reviews", "d:Description": "Sardonic film reviews from an enthusiast. Features recommendations and related links.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://members.tripod.com/hortense_vasucchi/"} +{"d:Title": "Vert A Go Go Reviews", "d:Description": "Current and archived reviews of mainstream and DVD releases.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://members.tripod.com/~judy_funnie84/"} +{"d:Title": "Objective Movie Reviews", "d:Description": "Collection of reviews by Dave Fischer. Reviews do not always reflect actual subject matter of the films they are about.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.cca.org/woc/movies"} +{"d:Title": "The Bizarre Movie Reviewer", "d:Description": "A lunatic reviews very strange movies, based on how many shots of tequila it would take to consider the movies normal.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://bizarre-movie-reviewer.8m.com/"} +{"d:Title": "Holy-wood: Christian Entertainment Reviews", "d:Description": "Several mock film reviews from the Landover Baptist Church, an online parody on organized religion.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.bettybowers.com/holywood.html"} +{"d:Title": "TheOneliner.com", "d:Description": "Gives one-line summations and detailed reviews of current and back-catalogue films and DVDs.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.theoneliner.com/"} +{"d:Title": "Movie Autopsy Guild", "d:Description": "Reviews from the point of view of a cranky grandmother.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.mrsgiggles.com/movies/index.html"} +{"d:Title": "Margy and Jeremy - Movie Reviews", "d:Description": "Husband and wife review the movies they see together.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.margyandjeremy.com/moviereviews.html"} +{"d:Title": "Jeffrey Dugong, B.A. - Celluloid Visionary", "d:Description": "Pretentiously ill-informed reviews (in weblog form) of past and current releases by self-aggrandising critic Dugong", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://jeffreydugong.blogspot.com/"} +{"d:Title": "Choking on Popcorn", "d:Description": "Movie reviews for new theatrical and DVD releases as well as older movies. User comments and discussion welcome.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://chokingonpopcorn.com/popcorn/"} +{"d:Title": "The Editing Room", "d:Description": "Movie ratings and humorous \"reviews\" in the form of satirical abridged scripts.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.the-editing-room.com/"} +{"d:Title": "Things In Movies That Bug Me", "d:Description": "Weblog with a selection of reviews by pseudonymous author Rich, focusing on his pet peeves.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://filmbugs.blogspot.com/"} +{"d:Title": "FilmBender", "d:Description": "Anonymous weblog with reviews of theatrical and DVD releases.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.filmbender.com/"} +{"d:Title": "Cheesy Movie Night", "d:Description": "Reviews of bad films including trivia, quotations, and basic film information. Also includes a discussion forum.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.cheesymovienight.com/"} +{"d:Title": "Back Row Reviews", "d:Description": "Sardonic reviews of theatrical releases by James Dawson.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.wastedtalents.com/brindex.html"} +{"d:Title": "NeedCoffee.com: Movie Reviews", "d:Description": "Archive of current and older film reviews by Widgett.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.needcoffee.com/html/movies.htm"} +{"d:Title": "The Filthy Critic", "d:Description": "Sarcastic reviews listed alphabetically.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://www.filthycritic.com/"} +{"d:Title": "The Weekly Blurb", "d:Description": "Hollywood spin doctor-style reactions to mainstream films.", "topic": "Top/Arts/Movies/Reviews/Humorous", "url": "http://theweeklyblurb.tumblr.com/"} +{"d:Title": "Critics.com", "d:Description": "Each film's page features a list of syndicated critics' ratings of the film, links to their reviews, as well as quotes from two critics with opposing views.", "topic": "Top/Arts/Movies/Reviews/Ratings", "url": "http://www.critics.com/"} +{"d:Title": "Matchstick Movie Finder", "d:Description": "Requests 5 titles to generate a list of 10 related films, based on the preferences of other users and the extent to which the titles are related.", "topic": "Top/Arts/Movies/Reviews/Ratings", "url": "http://matchstick.com/movies/"} +{"d:Title": "Film Affinity", "d:Description": "Allows users to rate movies and find people with similar tastes [registration required].", "topic": "Top/Arts/Movies/Reviews/Ratings", "url": "http://www.filmaffinity.com/"} +{"d:Title": "The Film Pie", "d:Description": "Assigns grades to films released from 1996 to present.", "topic": "Top/Arts/Movies/Reviews/Ratings", "url": "http://www.thefilmpie.com/"} +{"d:Title": "Ebert and Roeper Thumbs Summary", "d:Description": "Sortable listing of films reviewed on the television program \"At the Movies with Ebert and Roeper\" until August 2008.", "topic": "Top/Arts/Movies/Reviews/Ratings", "url": "http://kplusb.org/ebertroeper/"} +{"d:Title": "Movie Lens", "d:Description": "Users must rate some movies they have watched before. Based on the results, users get a personal rating prediction for other films. [Login required]", "topic": "Top/Arts/Movies/Reviews/Ratings", "url": "https://movielens.org/"} +{"d:Title": "Flickchart", "d:Description": "Allows users to rank movies and create personalized movie lists.", "topic": "Top/Arts/Movies/Reviews/Top_Lists", "url": "http://www.flickchart.com/"} +{"d:Title": "The Golden Raspberry Award Foundation", "d:Description": "Presenters of \"The Razzie Awards,\" saluting the worst that Hollywood has to offer each year.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films", "url": "http://www.razzies.com/"} +{"d:Title": "The Bad Movie Report", "d:Description": "Reviews of bad movies from the 60's to 80's. Includes a memoir on making a bad movie.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films", "url": "http://www.stomptokyo.com/badmoviereport/"} +{"d:Title": "Bad Movie Night", "d:Description": "Reviews of favorite bad movies.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films", "url": "http://www.hit-n-run.com/entrance.html"} +{"d:Title": "It's a Bad, Bad, Bad, Bad Movie", "d:Description": "Lists and reviews bad movies, using a rating system of one to five turkeys. Allows users to contribute their own reviews.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films", "url": "http://www.rinkworks.com/badmovie/"} +{"d:Title": "Movies That Suck", "d:Description": "Reviews of mainstream movies that suck, with a humorous twist.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films", "url": "http://www.moviesthatsuck.com/"} +{"d:Title": "MovieSucktastic", "d:Description": "Podcasts and video reviews of bad films by S. Michael Wilson and Joseph Guida.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films", "url": "http://www.moviesucktastic.com/"} +{"d:Title": "Jabootu's Bad Movie Dimension", "d:Description": "Bad movies skewered, roasted and savored like a fine porterhouse.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films/Worst_Films", "url": "http://www.jabootu.com/"} +{"d:Title": "WWWF Grudge Match: Worst Picture Award", "d:Description": "Reviews of five films voted as worst by users.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films/Worst_Films", "url": "http://www.grudge-match.com/History/worstpicture.shtml"} +{"d:Title": "The Agony Booth", "d:Description": "In-depth reviews of bad movies, with humorously captioned screencaps.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films/Worst_Films", "url": "http://www.agonybooth.com/"} +{"d:Title": "IMDb: Bottom 100", "d:Description": "The bottom 100 movies as voted by users of the Internet Movie Database.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Bad_Films/Worst_Films", "url": "http://www.imdb.com/chart/bottom"} +{"d:Title": "The Greatest Films", "d:Description": "Reviews and commentary (with actual film dialogue) of hundreds of the best Hollywood/American films.", "priority": "1", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Good_Films", "url": "http://www.filmsite.org/"} +{"d:Title": "Film Lore", "d:Description": "The top ten films of all time as voted by the readers of Empire film magazine. Film and cast information, images, and trailers.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Good_Films", "url": "http://www.angelfire.com/film/filmlore/"} +{"d:Title": "The Phi Phenomenon", "d:Description": "A study of film taste that combines data from many best-film lists to form a consensus list (of over 2000 titles) of the greatest films of all time.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Good_Films", "url": "http://www.phi-phenomenon.org/"} +{"d:Title": "The World's Best Films", "d:Description": "Includes lists of award winners, genre bests, top foreign films, best by year, and viewer ranks.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Good_Films", "url": "http://worldsbestfilms.blogspot.com/"} +{"d:Title": "Only Good Movies", "d:Description": "Searchable database of film reviews by Shane Rivers. Includes top lists by genre and film quality, plus a weblog with feature articles.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Good_Films", "url": "http://onlygoodmovies.com/"} +{"d:Title": "IMDb: Top 250", "d:Description": "Top 250 movies as voted by users of the Internet Movie Database.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Good_Films", "url": "http://www.imdb.com/chart/top"} +{"d:Title": "Roger Ebert's The Great Movies", "d:Description": "New reviews of movie classics.", "topic": "Top/Arts/Movies/Reviews/Top_Lists/Good_Films", "url": "http://www.rogerebert.com/great-movies"} +{"d:Title": "Welcome to Hell", "d:Description": "Glenn Walker's weblog of film reviews.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.monsura.blogspot.com/"} +{"d:Title": "The Film Chair", "d:Description": "Current and archived reviews by Dan Stasiewski.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.thefilmchair.com/"} +{"d:Title": "Reel Times: Reflections on Cinema", "d:Description": "Critic Mark Pfeiffer's reviews and commentary on current and classic cinema.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://reeltimes.blogspot.com/"} +{"d:Title": "Real Movie Review", "d:Description": "Reviews by pseudonymous contributors \"Manhattan Movie Maniac\" and \"Cajun Carl\". Also includes quotations and trivia.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.realmoviereview.com/"} +{"d:Title": "Groucho Reviews", "d:Description": "Film reviews by Peter Canavese of theatrical and home video releases, as well as occasional interviews and features.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.grouchoreviews.com/"} +{"d:Title": "Zola's Movie Pics", "d:Description": "Film reviews by pseudonymous author \"Zola\" categorized by genre and rating.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://zolasmoviepics.blogspot.com/"} +{"d:Title": "Maxim\u2019s Movie Reviews and Opinions", "d:Description": "Reviews by pseudonymous author Maxim. Arranged by genre and film's country of origin.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.maximovieblog.com/"} +{"d:Title": "80s Films", "d:Description": "Reviews and commentary on films from the 1980s, by pseudonymous author Soren. Arranged by genre.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://80sfilms.blogspot.com/"} +{"d:Title": "Desuko Movie Spot", "d:Description": "Reviews with screenshots and links to video clips, by pseudonymous author \"Desuko\".", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://desukomoviespot.blogspot.com/"} +{"d:Title": "Great Movie Reviews", "d:Description": "Reviews of European and Indian films by pseudonymous author Ankyuk.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://indmoviereview.blogspot.com/"} +{"d:Title": "Only the Cinema", "d:Description": "Ed Howard's reviews with a particular emphasis on non-American, experimental, and classic Hollywood films. Includes a listing of reviews by director.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://seul-le-cinema.blogspot.com/"} +{"d:Title": "The Small Town Critic", "d:Description": "Reviews by independently syndicated critic Coop Cooper.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.smalltowncritic.com/"} +{"d:Title": "Movies that Make You Think", "d:Description": "Reviews of world cinema by Jugu Abraham.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://moviessansfrontiers.blogspot.com/"} +{"d:Title": "J and H Movie Reviews", "d:Description": "Reviews and commentary by a pseudonymous couple.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://jandhmoviereviews.blogspot.com/"} +{"d:Title": "Dain's Movie Reviews", "d:Description": "Reviews of independent and documentary features by Dain Binder.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.dainsmoviereviews.com/"} +{"d:Title": "Jonk Heap", "d:Description": "Reviews by Jonathan Kiefer.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://jonathankiefer.com/"} +{"d:Title": "Cinema Autopsy", "d:Description": "Film reviews, criticism and discussion by Thomas Caldwell.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://blog.cinemaautopsy.com/"} +{"d:Title": "Cine Moi", "d:Description": "A collection of reviews by Dennis Toth originally done for The Columbus Guardian and DreamWatch.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://cinemoireviews.blogspot.com/"} +{"d:Title": "The Canadian Cinephile", "d:Description": "Reviews by Jordan Richardson with a slight focus on independent and foreign film.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://canadiancinephile.com/"} +{"d:Title": "Movies about Girls", "d:Description": "Reviewing lowball pop-culture and teen sex comedies from the 70s and 80s. Includes screenshots and video clips.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.moviesaboutgirls.com/"} +{"d:Title": "Alt Film Guide", "d:Description": "Reviews of new and old films, with film-related news and commentary by Andre Soares.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.altfg.com/blog/"} +{"d:Title": "Bonjour Tristesse", "d:Description": "Showcasing contemporary and classic foreign, independent, and cult cinema.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.bonjourtristesse.net/"} +{"d:Title": "Pissed off Geek", "d:Description": "Movie, book and game review site written by fans of the genre with a unique view on what they write.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.pissedoffgeek.com/"} +{"d:Title": "Neil Young's Film Lounge", "d:Description": "Home of Neil Young's film reviews, interviews, and short stories and poetry..", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.jigsawlounge.co.uk/film/"} +{"d:Title": "The Non-Review", "d:Description": "A mix of humor and movie reviews.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.thenonreview.com/"} +{"d:Title": "The Film Sufi", "d:Description": "Film reviews from around the globe with focus on films from Iran and other parts of Asia.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.filmsufi.com/"} +{"d:Title": "Scribblings of a Cinema-obsessed Mind", "d:Description": "Reviews of obscure films by Aditya Gokhale categorized by genre, country, language, and decade.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://gokhaleaditya.blogspot.in/"} +{"d:Title": "Passion for Movies", "d:Description": "Reviews of old and new films from across the globe by Arun Kumar.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://movieretrospect.blogspot.in/"} +{"d:Title": "The Movie Waffler", "d:Description": "Movie blog covering reviews, interviews, and other writings by Eric Hillis", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.themoviewaffler.com/"} +{"d:Title": "A Potpourri of Vestiges", "d:Description": "Reviews, criticism, and commentary on films from across the globe with focus on Bollywood.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.apotpourriofvestiges.com/"} +{"d:Title": "Sodas&Popcorn", "d:Description": "Reviews of the latest movie releases in Nigeria and beyond.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.sodasandpopcorn.com/"} +{"d:Title": "Classic Film&TV Cafe", "d:Description": "Reviews of classic films and television series from the silent era to the 1980s.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.classicfilmtvcafe.com/"} +{"d:Title": "Rambling Film", "d:Description": "Movie blog covering reviews, views and writings related to movies, actors, and the industry", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://ramblingfilm.blogspot.com/"} +{"d:Title": "MovieBoozer", "d:Description": "Movie blog with film and television reviews arranged by genre", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://movieboozer.com/"} +{"d:Title": "Movie Reviews Simbasible", "d:Description": "Reviews of new and old movies with focus on animated films.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://www.simbasible.com/"} +{"d:Title": "Silver in a Haystack", "d:Description": "Movie reviews of classic films available at the Internet Archive (https://archive.org/).", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://silverinahaystack.wordpress.com/"} +{"d:Title": "Following Films", "d:Description": "Movie blog featuring movie reviews, news and interviews by Christopher Maynard.", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "http://christophermaynard.blogspot.com/"} +{"d:Title": "The Rhubarb Corner", "d:Description": "Reviews by Devora Kotseva", "topic": "Top/Arts/Movies/Reviews/Weblogs", "url": "https://therhubarbcorner.wordpress.com/"} +{"d:Title": "Cinema Clock", "d:Description": "Canada movie guide with showtimes and upcoming movies.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.cinemaclock.com/"} +{"d:Title": "Fandango", "d:Description": "Find showtimes for any movie in any theater in the US and purchase tickets online.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.fandango.com/"} +{"d:Title": "Now Running", "d:Description": "Provides showtimes, reviews, and previews for Indian movies in the United States.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.nowrunning.com/"} +{"d:Title": "Moviefix", "d:Description": "Showtimes for films currently screening in Australia. Also includes synopses, trailers, reviews, and future films' release dates.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.yourmovies.com.au/"} +{"d:Title": "Moviefone", "d:Description": "Movie previews, reviews, trailers and local showtimes for current flicks and DVD releases.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.moviefone.com/"} +{"d:Title": "Movie Clock", "d:Description": "Displays data sorted by US city or alphabetically by film title.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.movieclock.com/"} +{"d:Title": "Captionfish", "d:Description": "Allows searching for open- and rear-window captioned films currently showing in US theaters.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.captionfish.com/"} +{"d:Title": "QwikFlicks", "d:Description": "Provides showtimes and maps for cinemas worldwide.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.qwikflicks.com/"} +{"d:Title": "Deaf Access Films", "d:Description": "Lists showtimes and theater information for captioned and subtitled films, as well as films in American Sign Language.", "topic": "Top/Arts/Movies/Showtimes", "url": "http://www.deafaccessfilms.com/"} +{"d:Title": "Soundtrack Magazine", "d:Description": "Reviews, composer profiles, and interviews.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.filmmuziek.com/"} +{"d:Title": "Film Score Monthly", "d:Description": "Online magazine for motion picture and television music fans.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.filmscoremonthly.com/"} +{"d:Title": "SoundtrackNet", "d:Description": "Includes news, reviews, and composers.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.soundtrack.net/"} +{"d:Title": "Soundtrack Collector", "d:Description": "Movie soundtrack database. Includes classifieds, forums, and information and resources on collecting.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.soundtrackcollector.com/"} +{"d:Title": "The Art of Foley", "d:Description": "A tutorial designed to educate and inspire those interested in the craft of movie sound effects.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.marblehead.net/foley/"} +{"d:Title": "Monstrous Movie Music", "d:Description": "Soundtrack label specializing in film music from classic science fiction, fantasy, and horror movies. Reviews of compilations, composers, film listing, and links.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.mmmrecordings.com/"} +{"d:Title": "Tracksounds", "d:Description": "Full and encapsulate soundtrack reviews, composer interviews, special tributes, visitor polls, and contests.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.tracksounds.com/"} +{"d:Title": "Original Soundtrack", "d:Description": "Soundtrack listings arranged alphabetically and by composer.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.originalsoundtrack.net/"} +{"d:Title": "What-song", "d:Description": "Database of songs played in a variety of films, along with their timelines. Indexed by film title and performer name.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://www.what-song.com/"} +{"d:Title": "Soundtrack Geek", "d:Description": "Weblog with articles and reviews by J\u00f8rn Tillnes.", "topic": "Top/Arts/Movies/Soundtracks", "url": "http://soundtrackgeek.com/"} +{"d:Title": "Filmtracks Modern Soundtrack Reviews", "d:Description": "Reviews of recent motion picture soundtracks, tributes to modern composers, and information about film music CD collectibles.", "topic": "Top/Arts/Movies/Soundtracks/Reviews", "url": "http://www.filmtracks.com/"} +{"d:Title": "Mfiles - Film Music and Soundtracks", "d:Description": "Soundtrack reviews, biographies of film composers, film music oscars and other articles and features about the art of film music.", "topic": "Top/Arts/Movies/Soundtracks/Reviews", "url": "http://www.mfiles.co.uk/film-music.htm"} +{"d:Title": "Moviescore.net", "d:Description": "Reviews of movie and television soundtracks, as well as film music compilations.", "topic": "Top/Arts/Movies/Soundtracks/Reviews", "url": "http://www.moviescore.net/"} +{"d:Title": "Score Sounds", "d:Description": "Score and soundtrack reviews, sortable by composer or film title.", "topic": "Top/Arts/Movies/Soundtracks/Reviews", "url": "http://scoresounds.tripod.com/"} +{"d:Title": "Trav's Soundtrack Reviews", "d:Description": "Reviews of film and television soundtrack CDs from Travers' personal collection.", "topic": "Top/Arts/Movies/Soundtracks/Reviews", "url": "http://users.tpg.com.au/adsly0so/"} +{"d:Title": "Universal Pictures", "d:Description": "Details of current and future releases, including cast lists and synopses, with links to trailers.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.universalpictures.com/"} +{"d:Title": "Miramax Films", "d:Description": "Information on current and future films, with trailers, cast lists and synopses.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.miramax.com/"} +{"d:Title": "RKO Pictures", "d:Description": "Founded in 1929, it is one of the oldest continuously operating studios. Produced many classics including: Citizen Kane and It's a Wonderful Life.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.rko.com/"} +{"d:Title": "Revelations Entertainment", "d:Description": "Independent production company of Morgan Freeman. Films include 'Under Suspicion', 'Circle William' and the upcoming 'Rendezvous with Rama'.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.revelationsent.com/"} +{"d:Title": "Sony Pictures - Movies", "d:Description": "Official movie site. Provides information on upcoming and current releases, and corporate information.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.sonypictures.com/movies/"} +{"d:Title": "Spyglass Entertainment", "d:Description": "Provides details of upcoming releases, recent releases and past projects; contains company information and contact details.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.spyglassentertainment.com/"} +{"d:Title": "Serendipity Point Films", "d:Description": "Includes a studio profile, biography of founders, list of films and contact information.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.serendipitypoint.com/"} +{"d:Title": "Focus Films", "d:Description": "The specialty films unit of Universal Pictures. With information on past, present and future releases.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.focusfeatures.com/"} +{"d:Title": "Focus Features", "d:Description": "Films, articles, interviews, multimedia, weblogs, and links.", "topic": "Top/Arts/Movies/Studios", "url": "http://www.filminfocus.com/"} +{"d:Title": "Fox Movies", "d:Description": "Provides details of upcoming films and links to films already produced. Official site.", "topic": "Top/Arts/Movies/Studios/20th_Century_Fox", "url": "http://www.foxmovies.com/"} +{"d:Title": "Wikipedia - 20th Century Fox", "d:Description": "Encyclopedia article provides history and notable films for the American film studio.", "topic": "Top/Arts/Movies/Studios/20th_Century_Fox", "url": "http://en.wikipedia.org/wiki/20th_Century_Fox"} +{"d:Title": "Fox Home Entertainment", "d:Description": "New video and DVD releases and television shows from Twentieth Century Fox Home Entertainment.", "topic": "Top/Arts/Movies/Studios/20th_Century_Fox", "url": "http://www.foxhome.com/"} +{"d:Title": "Fox Studios Australia", "d:Description": "Major Fox film studio. It is also home to an entertainment centre for food, shops, bars, cinemas and markets.", "topic": "Top/Arts/Movies/Studios/20th_Century_Fox", "url": "http://www.foxstudiosaustralia.com/"} +{"d:Title": "Fox Studios", "d:Description": "Provides production facilities and services for film, television and related entertainment industries.", "topic": "Top/Arts/Movies/Studios/20th_Century_Fox", "url": "http://www.foxstudios.com/"} +{"d:Title": "Fox Searchlight Pictures", "d:Description": "The official website of Fox Searchlight Pictures includes information about Searchlight's current and upcoming movie releases.", "topic": "Top/Arts/Movies/Studios/20th_Century_Fox", "url": "http://www.foxsearchlight.com/"} +{"d:Title": "Wikipedia - DreamWorks", "d:Description": "Encyclopedia article about the American film studio. Includes company overview and feature films.", "topic": "Top/Arts/Movies/Studios/DreamWorks", "url": "http://en.wikipedia.org/wiki/DreamWorks"} +{"d:Title": "DreamWorks SKG", "d:Description": "Official site with company information and details of current and past productions.", "topic": "Top/Arts/Movies/Studios/DreamWorks", "url": "http://www.dreamworksanimation.com/"} +{"d:Title": "Hammer Film Mailing List", "d:Description": "Mailing list and message boards dedicated to the discussion of cult British horror movies released by Hammer Films.", "topic": "Top/Arts/Movies/Studios/Hammer_Films", "url": "http://groups.yahoo.com/group/hammerfilm/"} +{"d:Title": "Hammer Web", "d:Description": "Official site for the producers of Dracula, Frankenstein and other film classics. News, interviews and searchable film database.", "topic": "Top/Arts/Movies/Studios/Hammer_Films", "url": "http://www.hammerfilms.com/"} +{"d:Title": "Beatles Reference Library: Harrison Judgement", "d:Description": "Articles on the sale of Handmade Films and related suit of George Harrison against his manager.", "topic": "Top/Arts/Movies/Studios/Handmade_Films", "url": "http://www.beatlesagain.com/breflib/handmade.html"} +{"d:Title": "Screenonline: Handmade Films", "d:Description": "Short history.", "topic": "Top/Arts/Movies/Studios/Handmade_Films", "url": "http://www.screenonline.org.uk/film/id/499846/"} +{"d:Title": "Metro-Goldwyn-Mayer Studios Inc", "d:Description": "Independent, privately-held motion picture, television, home video, and theatrical production and distribution company.", "topic": "Top/Arts/Movies/Studios/Metro-Goldwyn-Mayer", "url": "http://www.mgm.com/"} +{"d:Title": "Non-Stick MGM Cartoon Page", "d:Description": "Dedicated to the history of animation. Includes a searchable cartoon database, photographs of directors with lists of their productions, dates of the first appearance of classic characters and a bibliography.", "topic": "Top/Arts/Movies/Studios/Metro-Goldwyn-Mayer", "url": "http://www.nonstick.com/mgm/"} +{"d:Title": "Wikipedia - Metro-Goldwyn-Mayer", "d:Description": "Encyclopedia article covers the studio's history and notable films.", "topic": "Top/Arts/Movies/Studios/Metro-Goldwyn-Mayer", "url": "http://en.wikipedia.org/wiki/MGM"} +{"d:Title": "Paramount Pictures", "d:Description": "Feature film production and distribution, video and DVD worldwide distribution, and production of programs for television broadcast and syndication.", "topic": "Top/Arts/Movies/Studios/Paramount_Pictures", "url": "http://www.paramount.com/"} +{"d:Title": "Wikipedia - Paramount Pictures", "d:Description": "Encyclopedia article covering the studio's history, notable films and logo.", "topic": "Top/Arts/Movies/Studios/Paramount_Pictures", "url": "http://en.wikipedia.org/wiki/Paramount_Pictures"} +{"d:Title": "Paramount Pictures, UK", "d:Description": "Offers information on UK and Ireland movie releases. Includes movie news and exclusive trailers.", "topic": "Top/Arts/Movies/Studios/Paramount_Pictures", "url": "https://www.facebook.com/ParamountPicturesUK"} +{"d:Title": "Sony Pictures", "d:Description": "Information about the large number of movies and television programs produced by Sony Pictures.", "topic": "Top/Arts/Movies/Studios/Sony_Pictures", "url": "http://www.sonypictures.com/"} +{"d:Title": "Wikipedia - Columbia Pictures", "d:Description": "Encyclopedia article offering he history and selected filmography of the American division of Sony Pictures.", "topic": "Top/Arts/Movies/Studios/Sony_Pictures", "url": "http://en.wikipedia.org/wiki/Columbia_Pictures"} +{"d:Title": "Universal Studios", "d:Description": "Information about Universal Studio's movies, television shows, video games and theme parks.", "topic": "Top/Arts/Movies/Studios/Universal_Studios", "url": "http://www.universalstudios.com/"} +{"d:Title": "The View Askewniverse", "d:Description": "Official site with information, multimedia, discussion, and merchandise.", "topic": "Top/Arts/Movies/Studios/View_Askew", "url": "http://www.viewaskew.com/"} +{"d:Title": "Mouse Clubhouse", "d:Description": "Historian Scott Wolf's collection of original interviews with studio insiders.", "topic": "Top/Arts/Movies/Studios/Walt_Disney_Pictures", "url": "http://www.mouseclubhouse.com/"} +{"d:Title": "Ultimate Disney", "d:Description": "Comprehensive guide to Disney and other DVDs with daily news and detailed DVD and Blu-ray reviews, release schedule, forums.", "topic": "Top/Arts/Movies/Studios/Walt_Disney_Pictures", "url": "http://www.ultimatedisney.com/"} +{"d:Title": "Warner Home Video", "d:Description": "Official site with video and DVD information as well as official sites for The Iron Giant, Looney Tunes, Batman Beyond, Scooby-Doo and Animaniacs.", "topic": "Top/Arts/Movies/Studios/Warner_Bros.", "url": "http://www.warnervideo.com/"} +{"d:Title": "Warner Bros. Studios", "d:Description": "News, movies, TV listings, movie trailers, movie clips, showtimes, box office, animated cartoons, and company information.", "topic": "Top/Arts/Movies/Studios/Warner_Bros.", "url": "http://www.warnerbros.com/"} +{"d:Title": "National Association of Theatre Owners", "d:Description": "Worldwide trade organization.", "topic": "Top/Arts/Movies/Theaters", "url": "http://www.natoonline.org/"} +{"d:Title": "The Mad Cornish Projectionist", "d:Description": "Dedicated to projectors, projectionists and the cinema industry.", "topic": "Top/Arts/Movies/Theaters", "url": "http://www.madcornishprojectionist.co.uk/"} +{"d:Title": "Rivest's Ultimate List of Movie Theaters", "d:Description": "Lists indoor and drive-in theaters in the United States and Canada. The theater details are in downloadable Excel spreadsheets.", "topic": "Top/Arts/Movies/Theaters", "url": "http://www.movie-theatre.org/"} +{"d:Title": "Cineman In the Back Row", "d:Description": "Worldwide underground and mainstream theater information and links. Also includes film festival details, movie sound files, and movie industry links.", "topic": "Top/Arts/Movies/Theaters", "url": "http://www.inthebackrow.com/"} +{"d:Title": "Cinema Sightlines", "d:Description": "A place for moviegoers to seek out and share feedback on specific theaters. Theater spotlights, articles, an etiquette guide, and discussion forum.", "topic": "Top/Arts/Movies/Theaters", "url": "http://cinemasightlines.com/"} +{"d:Title": "MovieSneak - The Movie Hopper's Guide", "d:Description": "Former movie theater employee explains how to sneak into movies and theater hop without getting caught.", "topic": "Top/Arts/Movies/Theaters", "url": "http://webspace.webring.com/people/sm/moviesneak/"} +{"d:Title": "DriveinMovie.com", "d:Description": "Guide to drive-in movie theatres of North America, plus historical notes, news, schedules, links and resources.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters", "url": "http://www.driveinmovie.com/"} +{"d:Title": "Drive-INventor", "d:Description": "The history ofthe drive-in theater and how inventor Richard Hollingshead combined his two interests, cars and movies.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters", "url": "http://inventors.about.com/library/weekly/aa980121.htm"} +{"d:Title": "Drive In Theater", "d:Description": "Dedicated to the keeping the American drive-in alive, features links, photos, and a listing of the few remaining drive-ins left.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters", "url": "http://www.driveintheater.com/"} +{"d:Title": "United Drive-In Theatre Owners Association", "d:Description": "U.D.I.T.O.A. is a trade group for drive-in theatre owners, with information for those who wish to own one. News, FAQs, statistics, and member list.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters", "url": "http://www.driveintheatre-ownersassociation.org/"} +{"d:Title": "Starlite Drive In Theatres", "d:Description": "Chain with locations in a dozen US states as well as in Ontario and British Columbia, Canada. Addresses, admission prices, and schedules.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters", "url": "http://www.starlitedriveintheatre.com/"} +{"d:Title": "The Drive-In Theater WebRing", "d:Description": "Sites about drive-in theaters.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters", "url": "http://www.webring.org/hub?ring=dit2000"} +{"d:Title": "Drive-ins.com", "d:Description": "A collection of drive-in theater information and resources including showtimes, history, pictures, interactive timeline, statistics.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters", "url": "http://drive-ins.com/"} +{"d:Title": "Heddon Greta Drive-in", "d:Description": "Ozoner located near Maitland, New South Wales.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Australia", "url": "http://www.drive-in.com.au/"} +{"d:Title": "Drive-ins Downunder", "d:Description": "A tribute to drive-in theaters in Australia and around the world.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Australia", "url": "http://www.drive-insdownunder.com.au/"} +{"d:Title": "Yatala Drive-In Theatre", "d:Description": "Drive-in located in Yatala, Queensland. Photos, show times, and ticket prices.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Australia", "url": "http://yataladrive-in.com.au/"} +{"d:Title": "Dromana 3 Drive-In", "d:Description": "Two screen drive-in located in Dromana, a suburb of Melbourne, Victoria. Monthly schedule.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Australia", "url": "http://dromanadrivein.com.au/"} +{"d:Title": "Hillcrest Drive In", "d:Description": "Schedules, history and photos of this ozoner located in Surrey.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/British_Columbia", "url": "http://members.shaw.ca/twilightdrivein/"} +{"d:Title": "The Starlight Drive-In", "d:Description": "Located in Enderby, BC. 20 minutes from Salmon Arm and Vernon.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/British_Columbia", "url": "http://www.starlightdrivein.ca/"} +{"d:Title": "Valley Drive In Theatre", "d:Description": "Drive-in theatre located in Cambridge. Coming attractions, snack bar menu, ticket prices.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Nova_Scotia", "url": "http://www.valleydrivein.com/"} +{"d:Title": "5 Drive-in Theatre", "d:Description": "Canada's largest drive-in theatre. Located in Oakville. Movie listings, movie previews, 50's music, contests, a history of the drive-in and a games section.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.5drivein.com/"} +{"d:Title": "Mustang Drive-In", "d:Description": "Located in London. Includes showtimes, prices and coming attractions.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.mustangdrive-in.com/"} +{"d:Title": "Port Elmsley Drive-In", "d:Description": "Located in Port Elmsley, Ontario. Includes showtimes, prices and coming attractions.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.portelmsleydrivein.com/"} +{"d:Title": "Mustang Drive-In", "d:Description": "Located in Picton. Includes showtimes, ticket prices, current and coming attractions.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.thechequesinthemail.com/"} +{"d:Title": "Mustang Drive-In", "d:Description": "Drive-in theatre located in Guelph, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.mustangdriveinguelph.ca/"} +{"d:Title": "Lindsay Drive-In Theatre", "d:Description": "Lindsay drive-in. Includes what's showing, prices, and what's for sale at the snackateria.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.lindsaydrivein.ca/"} +{"d:Title": "Sunset Barrie Drive-in", "d:Description": "Provides titles playing, movie times. Located in Barrie.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.sunsetbarrie.com/"} +{"d:Title": "Starlite Drive-In", "d:Description": "Located in Stoney Creek.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.starlitehamilton.com/"} +{"d:Title": "Stardust Drive-In Theatre", "d:Description": "Located in Newmarket. Open April-October. Current listings.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.stardustnewmarket.com/"} +{"d:Title": "Havelock Family Drive In", "d:Description": "Located in Havelock. Includes current movies, price and showtimes.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.havelockdrivein.com/"} +{"d:Title": "Port Hope Drive-In Theatre", "d:Description": "Open Friday - Sunday only. Port Hope, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.porthopedrivein.com/"} +{"d:Title": "Canview Drive In", "d:Description": "Two drive-in theatres in Ontario. One in Midland and the other in Fonthill.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.canviewdrivein.com/"} +{"d:Title": "Starlite Drive-In", "d:Description": "Located in Grand Bend, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.starlitedriveintheatre.com/GrandBend.html"} +{"d:Title": "Muskoka Drive-In Theatre", "d:Description": "Located in Gravenhurst, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://muskokadrivein.com/"} +{"d:Title": "Hanover Drive-In", "d:Description": "Location map and coming attractions. Hanover, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.movielinks.ca/hanover-drive-in"} +{"d:Title": "Kingston Family Funworld - Drive-In", "d:Description": "Located in Kingston, Ontario. Seasonal drive-in, go-karts and mini putt.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://funworldpark.com/drive-in/"} +{"d:Title": "Owen Sound Drive In", "d:Description": "Show starts at dusk, seasonal. Owen Sound, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://owensounddrivein.ca/"} +{"d:Title": "Skylight Drive-In", "d:Description": "Located in Pembroke, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.skylightdrivein.com/"} +{"d:Title": "Boonies Drive-In Theatre", "d:Description": "Located in Tilbury, Ontario.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.thebooniesdrivein.com/"} +{"d:Title": "The Docks - Drive In Movies", "d:Description": "Drive-in movies, driving range and mini putt available. Polson Pier, Toronto.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Ontario", "url": "http://www.thedocks.com/movies/"} +{"d:Title": "Brackley Drive-In Theatre", "d:Description": "Summer drive-in located in Winsloe. Includes showtimes, coupons, prices and history of the drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/Canada/Prince_Edward_Island", "url": "http://www.drivein.ca/"} +{"d:Title": "Traveling drive-in movietheater", "d:Description": "USA's first portable Drive-in movie theater. Available for rental for corporate, city, and private events.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States", "url": "http://www.mobiledriveintheater.com/"} +{"d:Title": "Drive-In Theatres of the Mid-Atlantic", "d:Description": "A comprehensive guide to the drive-ins of Maryland, Delaware, Virginia and eastern West Virginia, both those still in operation and those lost to history.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States", "url": "http://www.driveins.org/"} +{"d:Title": "Argo Drive-In", "d:Description": "Showtimes and the current attraction for the Argo Drive-In in Argo, Alabama.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Alabama", "url": "http://www.argodrive-in.com/"} +{"d:Title": "Stone Drive-In", "d:Description": "Viewing times and directions to this Mountain View drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Arkansas", "url": "http://www.ediblewildplant.com/stonedrivein/"} +{"d:Title": "Mission Tiki Drive-In Theatres", "d:Description": "Multiple screen drive-in located in Montclair with a tiki theme. Current and coming attractions, pictures, snack bar menu, and swap meet information.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/California", "url": "http://www.missiontiki.com/"} +{"d:Title": "Southern California Drive-In Movie Society", "d:Description": "Appreciation society for southern California drive-ins. News, pictures, old drive-in videos.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/California", "url": "http://www.socaldims.com/"} +{"d:Title": "Carload.com", "d:Description": "The Colorado Drive-In Theater Guide. News, links, and other things relating to the drive-in experience In Colorado.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Colorado", "url": "http://www.carload.com/"} +{"d:Title": "Mansfield Drive-In Theater and Marketplace", "d:Description": "Drive-in theatre and flea market. Located in Mansfield.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Connecticut", "url": "http://www.mansfielddrivein.com/"} +{"d:Title": "Silver Moon Drive-In", "d:Description": "Drive-in located in Lakeland. Has a history of the drive-in, snack bar information, and showtimes.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Florida", "url": "http://silvermoondrivein.com/"} +{"d:Title": "Swan Drive-In", "d:Description": "Drive-in located in Blue Ridge. Has a history of the drive-in and local tourism information.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Georgia", "url": "http://www.swan-drive-in.com/"} +{"d:Title": "Starlight 6 Drive-In Theatres", "d:Description": "Six screen drive-in located in Atlanta.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Georgia", "url": "http://www.starlightdrivein.com/"} +{"d:Title": "Jesup Twin Drive-In", "d:Description": "The oldest drive-in in Georgia, located in Jesup.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Georgia", "url": "http://www.jesupdrivein.com/"} +{"d:Title": "Spud Drive-In", "d:Description": "Watch a movie in the shadows of the Grand Tetons Mountains. Located in Driggs.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Idaho", "url": "http://www.spuddrivein.com/"} +{"d:Title": "Parma MotorVU Drive-In Theatre", "d:Description": "Drive-in located in Parma. Showtimes, prices, and maps.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Idaho", "url": "http://www.parmamotorvu.com/"} +{"d:Title": "Route 66 Drive In", "d:Description": "Restored and reopened drive-in located along historic Route 66 in Springfield. Single screen drive-in with double features nightly.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Illinois", "url": "http://www.route66-drivein.com/"} +{"d:Title": "Harvest Moon Drive In", "d:Description": "Gibson City drive-in theater. Coming attractions, event calendar, snack bar prices, and a history of the drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Illinois", "url": "http://www.harvestmoondrivein.com/"} +{"d:Title": "Cascade Drive-In", "d:Description": "Drive-in located in West Chicago.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Illinois", "url": "http://www.cascadedrivein.com/"} +{"d:Title": "Sky View", "d:Description": "Drive-in located in Belleville, with seasonal operations. Historical photo tour.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Illinois", "url": "http://www.skyview-drive-in.com/"} +{"d:Title": "Cinema 67", "d:Description": "Drive-in located in Spencer. See pictures of the drive-in and what is available at the concession stand.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Indiana", "url": "http://www.cinema67.com/"} +{"d:Title": "Tri-Way Drive-In Theatre", "d:Description": "Official site for the facility in Plymouth. Includes showtimes, history, a mailing list, and tips on drive-in etiquette.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Indiana", "url": "http://www.triwaydrivein.com/"} +{"d:Title": "Huntington Twin Drive-In", "d:Description": "Official site for this drive-in includes showtimes, concession menu, and photographs. Located in Huntington.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Indiana", "url": "http://www.goodrichqualitytheaters.com/indiana/huntingtontwindrivein/"} +{"d:Title": "Boulevard Drive-In", "d:Description": "Drive-In using digital sound. Located in Kansas City.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Kansas", "url": "http://www.boulevarddrivein.com/"} +{"d:Title": "Starlite Drive-In", "d:Description": "Wichita drive-in. What's showing, pricing information, and a history of the drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Kansas", "url": "http://www.starlitefun.com/"} +{"d:Title": "Judy Drive-In", "d:Description": "Drive-in theatre located in Mt. Sterling.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Kentucky", "url": "http://www.judydrivein.com/"} +{"d:Title": "Franklin Drive-In", "d:Description": "Small drive-in located in Franklin. Show information and prices.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Kentucky", "url": "http://www.franklindrive-in.com/"} +{"d:Title": "Calvert Drive-In Theatre", "d:Description": "Admission prices, snack bar menu, photos, and a short history of this Calvert City drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Kentucky", "url": "http://www.calvertdrivein.com/"} +{"d:Title": "27 Twin Drive-In", "d:Description": "Drive-in located in Somerset. Has a short history of the drive-in phenomenon.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Kentucky", "url": "http://www.27drivein.com/"} +{"d:Title": "Skowhegan Drive-in", "d:Description": "Information on opening dates and what's playing.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Maine", "url": "http://skowhegandrivein.tripod.com/index1.html"} +{"d:Title": "Bengies Drive-In Theatre", "d:Description": "Drive-in with the biggest movie theatre screen on the East Coast. Located in Baltimore.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Maryland", "url": "http://www.bengies.com/"} +{"d:Title": "Mendon Twin Drive-In", "d:Description": "Showtimes and prices for this twin-ozoner. Located in Mendon.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Massachusetts", "url": "http://www.mendondrivein.com/"} +{"d:Title": "Cherry Bowl Drive-In", "d:Description": "Drive-in that also includes a miniature golf course, a batting cage, and a diner. Located in Honor.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Michigan", "url": "http://www.cherrybowldrivein.com/"} +{"d:Title": "The Capri Drive-in", "d:Description": "Drive-in theatre located in Coldwater. Showtimes, house rules, history, media clips, local information, press, mailing list, and links.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Michigan", "url": "http://www.capridrive-in.com/"} +{"d:Title": "Getty Drive-In", "d:Description": "Drive-in located in Muskegon. Showtimes, prices, and a map to the drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Michigan", "url": "http://www.celebrationcinema.com/?pid=30010"} +{"d:Title": "Drive-in Theatres", "d:Description": "Part of a Michigan cultural history website. Theater listings include photographs and scanned press articles.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Michigan", "url": "http://www.waterwinterwonderland.com/drivein.aspx"} +{"d:Title": "Starlite Drive-In Theatre", "d:Description": "Drive-In located in Litchfield west of the Twin Cities. Has show schedules and other information.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Minnesota", "url": "http://www.starlitemovies.com/"} +{"d:Title": "Sky-Vu Drive In Theatre", "d:Description": "Warren. Shows movies every night in summer except Monday. Admission prices, map, what's playing (with trailer), upcoming features.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Minnesota", "url": "http://www.skyvumovies.com/"} +{"d:Title": "Vali-Hi Drive-In", "d:Description": "Lake Elmo outdoor movie theater. Shows a triple feature every night. Concessions, arcade. Address, showtimes, what's playing, admission information.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Minnesota", "url": "http://www.valihi.com/"} +{"d:Title": "Long Drive-In Theatre", "d:Description": "Long Prairie. Shows double features on weekends April through October. Location, admission prices, special events, what's playing, rules.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Minnesota", "url": "http://www.thelongdrivein.com/"} +{"d:Title": "Macon Drive-In Theatre", "d:Description": "Current and coming attractions, concession prices and theatres history. Located in Macon", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Missouri", "url": "http://www.macondrive-in.com/"} +{"d:Title": "66 Drive-In Theater", "d:Description": "Listing seasonal shows and showtimes. Located in Carthage.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Missouri", "url": "http://66drivein.com/"} +{"d:Title": "TK Drive-in and Theater", "d:Description": "Neligh drive-in and indoor theaters. List of movies showing and contact information.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Nebraska", "url": "http://www.nelighdriveintheater.com/"} +{"d:Title": "Milford Drive-In Theater", "d:Description": "The page shows the current features, links to descriptions, approximate start times, local weather forecast and offers general theater information. Located in Milford.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_Hampshire", "url": "http://www.milforddrivein.com/"} +{"d:Title": "Delsea Drive-in Theatre", "d:Description": "Only drive-in theater in New Jersey. Located in Vineland. Snack bar menu, coming attractions.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_Jersey", "url": "http://delseadrive-in.com/"} +{"d:Title": "Charcoal Corral and Silver Twin Lake Drive-In", "d:Description": "Western New York's source for great chargrilled food and entertainment. Restaurant and drive-in combo. Located in Perry.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_York", "url": "http://charcoalcorral.com/"} +{"d:Title": "Midway Drive-In Theatre", "d:Description": "Central New York's only drive-in movie theatre. Located in Minetto.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_York", "url": "http://www.midwaydrivein.com/"} +{"d:Title": "56 Auto Drive-in", "d:Description": "Drive-in located in Masena.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_York", "url": "http://www.jscinemas.com/56auto.html"} +{"d:Title": "The Transit Drive In", "d:Description": "Features current movies and showtimes. Located in Lockport.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_York", "url": "http://www.transitdrivein.com/"} +{"d:Title": "Ozoner 29&El Rancho Drive-In Theatres", "d:Description": "Drive-in theatres located in Broadalbin and Palatine Bridge. Showtimes, menu prices, and coming attractions.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_York", "url": "http://elranchoandozoner29.tripod.com/"} +{"d:Title": "Portville Drive-In", "d:Description": "New York state's largest outdoor screen. Coming attractions, snack bar menu. Located in Olean.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/New_York", "url": "http://www.portvilledrivein.com/"} +{"d:Title": "Eden Drive-In", "d:Description": "Drive-in located in Eden. Showtimes, prices, and other information about this Piedmont drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/North_Carolina", "url": "http://www.dailynetworks.com/edendrivein/"} +{"d:Title": "Holiday Drive-In Theatre", "d:Description": "Hamilton drive-in. Take a photo tour of the Holiday.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Ohio", "url": "http://www.holidayautotheatre.com/"} +{"d:Title": "Aut-O-Rama Twin Drive-In Theatre", "d:Description": "Movie listings, times and ratings for first run movies. Pictures of the drive-in, employees, patrons, and special events. Located in North Ridgeville.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Ohio", "url": "http://autoramadrivein.com/"} +{"d:Title": "Funflick.com", "d:Description": "Official site for both the Mayfield Road Drive-in near Chardon and the Midway Twin Drive-In west of Ravenna. Includes showtimes, tips on drive-in courtesy, and concession stand menu.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Ohio", "url": "http://www.funflick.com/"} +{"d:Title": "Blue Sky Drive-In Theater", "d:Description": "Single screen outdoor theater located in Wadsworth. Open since 1947. Concession information, coming attraction, flea market, directions.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Ohio", "url": "http://www.blueskydrive-in.com/"} +{"d:Title": "Magic City Drive-In Theater", "d:Description": "Dual screen outdoor theater located in Barberton. Open since 1953. Concession information, coming attractions, photo gallery.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Ohio", "url": "http://www.magiccitydrive-in.com/"} +{"d:Title": "Tower Drive-In", "d:Description": "Drive-in theatre in Poteau. Includes showtimes, a look at the snackbar, and a short history of the theatre.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Oklahoma", "url": "http://www.towerdrivein.com/"} +{"d:Title": "99W Drive-In", "d:Description": "Has current film and movie information for this drive-in in Newberg. Showtimes and prices also listed.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Oregon", "url": "http://www.99w.com/"} +{"d:Title": "Motor Vu Drive In", "d:Description": "Official site of the drive in theatre located in Dallas. Includes current showtimes, facilities and pricing.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Oregon", "url": "http://www.dallasmotorvu.com/"} +{"d:Title": "Becky's Drive-In", "d:Description": "Berlinsville drive-in theater. Has a history of the theater and pictures.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Pennsylvania", "url": "http://www.beckysdi.com/"} +{"d:Title": "The Galaxy&Moonlite Drive-In Theatres", "d:Description": "Movie listings, times, dates and special events for the Galaxy in Vandergrift and the Moonlite in Brookville.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Pennsylvania", "url": "http://www.lipumatheatres.com/"} +{"d:Title": "Shankweiler's Drive-In Theatre", "d:Description": "America's oldest drive-in theatre. Opened in 1934 by Wilson Shankweiler in Orefield and still providing family entertainment and continuing the tradition of a popular American culture.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Pennsylvania", "url": "http://www.shankweilers.com/"} +{"d:Title": "Dependable Drive-In Theatre", "d:Description": "Four screen outdoor drive-in theater in Moon Township. Ticket prices, coming attractions, concession stand prices, newsletter.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Pennsylvania", "url": "http://www.dependabledrivein.com/"} +{"d:Title": "Brownsville Drive-In", "d:Description": "Drive-in located in Brownsville. Also has a miniature golf course.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Pennsylvania", "url": "http://www.tjent.com/bdi/bdi.php"} +{"d:Title": "Monetta Drive-In Theatre", "d:Description": "Driving directions, weather forecast, movie timeclock and upcoming shows for this drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/South_Carolina", "url": "http://www.thebigmo.com/"} +{"d:Title": "Luxury 5 Cinemas", "d:Description": "Mitchell drive-in. Showtimes, prices, theater information.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/South_Dakota", "url": "http://www.loganmovie.com/theatre/luxury/showtimes.asp?theatre=Luxury"} +{"d:Title": "Stardust Drive-In Theatre", "d:Description": "Watertown, Tennessee. Current movies, coming attractions, photos, and other details.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Tennessee", "url": "http://www.stardustdrivein.com/"} +{"d:Title": "Sparta Drive-In", "d:Description": "Drive-in theater in Sparta. Announcements of current and upcoming movies and photos of the facility, past and present.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Tennessee", "url": "http://www.spartadrivein.com/"} +{"d:Title": "Stars and Stripes Drive-in Theatre", "d:Description": "Located in Lubbock. Film information, showtimes, concessions, image gallery, and memorabilia.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Texas", "url": "http://www.driveinusa.com/"} +{"d:Title": "Motor-Vu Drive-In and Swap Meet", "d:Description": "Riverdale drive-in and flea market. Movie schedule and news about the swap meet.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Utah", "url": "http://www.motorvu.com/"} +{"d:Title": "Sunset Drive-In Theatre", "d:Description": "Vermont's only 4-screen drive-in movie theatre. Double features at every screen and a well-stocked snack bar. Colchester.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Vermont", "url": "http://www.sunsetdrivein.com/"} +{"d:Title": "Hull's Drive-In", "d:Description": "Non-profit community drive-in, located near Lexington.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Virginia", "url": "http://www.hullsdrivein.com/"} +{"d:Title": "Park Place Drive-In", "d:Description": "Marion drive-in which also has batting cages, miniature golf, and an arcade. Coming attractions, history, message board.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Virginia", "url": "http://www.parkplacedrivein.com/"} +{"d:Title": "Moonlite Theatre", "d:Description": "Abingdon drive-in. Ticket prices, concession information, FAQ.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Virginia", "url": "http://www.driveins.org/va-abingdon-moonlite.htm"} +{"d:Title": "Family Drive-In", "d:Description": "Stephens City drive-in. Showtimes and coming attractions.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Virginia", "url": "http://www.thefamilydriveintheatre.com/"} +{"d:Title": "Rodeo Drive In Theatre", "d:Description": "Washington state's largest family-owned outdoor theatre. Located in Port Orchard.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Washington", "url": "http://www.rodeodrivein.com/"} +{"d:Title": "Highway 18 Outdoor Theatre", "d:Description": "Drive-in theatre located in Jefferson.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Wisconsin", "url": "http://www.highway18.com/"} +{"d:Title": "Wisconsin Drive-in Theaters - An Evening Under The Stars", "d:Description": "Book project on history of Wisconsin drive-ins. Photo gallery and video of the 41 Twin screen being torn down.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Wisconsin", "url": "http://www.drive-inthruwisconsin.com/"} +{"d:Title": "Big Sky Drive-In Theatre", "d:Description": "Twin screen drive-in located near Wisconsin Dells. What's showing, snack bar menu, and photos.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Wisconsin", "url": "http://www.bigskydrivein.com/"} +{"d:Title": "Stardust Drive-In Theater", "d:Description": "Outdoor theater located in Chetek. Showtimes, concessions, coming events, and a photo gallery.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Wisconsin", "url": "http://www.stardustdriveinmovie.com/"} +{"d:Title": "Keno Drive-in", "d:Description": "Information on Kenosha drive-in.", "topic": "Top/Arts/Movies/Theaters/Drive-In_Theaters/United_States/Wisconsin", "url": "http://www.kenodrivein.net/"} +{"d:Title": "Cinema Treasures", "d:Description": "Guide to classic movie theaters. News, photos, theater listings.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters", "url": "http://www.cinematreasures.org/"} +{"d:Title": "Silver Screens", "d:Description": "Movie theaters: yesterday, today and tomorrow, small and big, in Europe (especially France) and the United States.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters", "url": "http://www.silverscreens.com/main.html"} +{"d:Title": "Inox Movies", "d:Description": "Theaters in Pune, Kolkata, Vadodara and Mumbai, India.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters", "url": "http://www.inoxmovies.com/"} +{"d:Title": "Redcliffe Picture Palace", "d:Description": "Small cinema showing predominantly early Australian film. Also houses a small museum of early movie equipment and an extensive film archive of early Australian film. Located in Redcliffe, Queensland.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Australia", "url": "http://members.optushome.com.au/picturepalace"} +{"d:Title": "Hayden Orpheum Picture Palace", "d:Description": "Opulent movie palace located in Sydney. Includes show times, previews, and links to trailers.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Australia", "url": "http://www.orpheum.com.au/"} +{"d:Title": "Cronulla Cinemas", "d:Description": "Multiplex cinema located in Cronulla, New South Wales.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Australia", "url": "http://www.cronullacinemas.com.au/"} +{"d:Title": "Beverly Hills Cinemas", "d:Description": "Movie information for this multiplex cinema in Beverly Hills, New South Wales.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Australia", "url": "http://www.beverlyhillscinemas.com.au/"} +{"d:Title": "Glenbrook Theatre", "d:Description": "Includes session times, coming attractions, reviews and links. Located in the lower Blue Mountains at Glenbrook, New South Wales.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Australia", "url": "http://www.glenbrookcinema.com.au/"} +{"d:Title": "Hoyts Cinemas", "d:Description": "Movie information and session times.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Australia", "url": "http://www.hoyts.com.au/"} +{"d:Title": "The Astor Theatre", "d:Description": "Complete program, reviews and other information for this grand old cinema in Windsor, Australia.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Australia", "url": "http://www.astortheatre.net.au/"} +{"d:Title": "The Uptown Stage and Screen", "d:Description": "Movie theatre showing art films in Calgary.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Alberta", "url": "http://www.theuptown.com/"} +{"d:Title": "Empress Theatre", "d:Description": "Live theatre, live music, and first run movies played at the historic Empress Theatre. Staged plays featuring historical and uniquely western Canadian stories and entertainment. Fort MacLeod.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Alberta", "url": "http://www.macleodempress.com/"} +{"d:Title": "The Blinding Light", "d:Description": "100 seat microcinema located in Vancouver specializing in alternative, underground and obscure film and video screenings.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/British_Columbia", "url": "http://www.blindinglight.com/"} +{"d:Title": "Victoria Movies", "d:Description": "Showtimes for theaters in the Vancouver Island area. Also includes movie listings, ticket prices, summaries, top 10.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/British_Columbia", "url": "http://victoriamovies.com/"} +{"d:Title": "Vernon Towne Cinema", "d:Description": "Bargain cinema located in Vernon. Attraction information, history of the cinema, current and historical photos.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/British_Columbia", "url": "http://www.vernoncinema.com/"} +{"d:Title": "Cottonwood 4 Cinemas", "d:Description": "Includes movie information and showtimes.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/British_Columbia", "url": "http://www.cottonwood4cinemas.ca/"} +{"d:Title": "The Roxy Theatre", "d:Description": "Community run movie theatre located in Neepawa. Background information, showtimes, prices, and directions.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Manitoba", "url": "http://www.neepawaroxy.ca/"} +{"d:Title": "Trio Entertainment - Base Theatre", "d:Description": "Oromocto theatre open on weekend nights. Theatre details, prices, and movies currently playing.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/New_Brunswick", "url": "http://www.basetheatre.com/"} +{"d:Title": "Bloor Cinema", "d:Description": "Vintage movie house in Toronto.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://bloorcinema.com/"} +{"d:Title": "The Regent Theatre", "d:Description": "A small theatre that plays full length feature films and hosts live theatre performances. Provides links to local information and weather, future events and other local attractions. Picton.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://www.theregenttheatre.org/"} +{"d:Title": "Stratford Cinemas", "d:Description": "Up-to-date movie information for this multiplex theatre located in Stratford.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://www.stratfordcinemas.com/"} +{"d:Title": "The Historic O'Brien Theatres", "d:Description": "Modern cinemas in historic buildings in Arnprior and Renfrew, showing mainstream as well as art films. Current features, pricing, upcoming movies, contests, and special offers.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://www.obrientheatre.com/"} +{"d:Title": "Ottawa Family Cinema", "d:Description": "Shows family movies on the big screen to residents of Ottawa.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://www.familycinema.ca/"} +{"d:Title": "Mayfair Theatre", "d:Description": "Ottawa independent film theatre.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://mayfairtheatre.ca/"} +{"d:Title": "Revue Cinema", "d:Description": "Community-run, not-for-profit cinema in a heritage theatre. Events, special programming details, schedule, directions, pricing, facility rental information, and links. Toronto.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://revuecinema.ca/"} +{"d:Title": "Norwood Theatre", "d:Description": "Bracebridge, Muskoka. Offering current movies in one of three cinemas nightly or matinee.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://www.norwoodtheatre.com/"} +{"d:Title": "The Screening Room", "d:Description": "Alternative cinema, showing art, foreign, and repertory films. Located in Kingston.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Ontario", "url": "http://screeningroomkingston.com/"} +{"d:Title": "City Cinema", "d:Description": "Charlottetown, Prince Edward Island.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Prince_Edward_Island", "url": "http://citycinema.net/"} +{"d:Title": "Soo Theatre", "d:Description": "Downtown Weyburn theater.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Saskatchewan", "url": "http://www.weyburn.net/soo/"} +{"d:Title": "Landmark Cinemas", "d:Description": "Movie listings for the Yukon and Qwanlin multiplex cinemas serving downtown Whitehorse. Includes synopsis, show times and rates.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Canada/Yukon", "url": "http://www.landmarkcinemas.com/"} +{"d:Title": "Cathay Theatre", "d:Description": "A single screen theatre in Ipoh, Perak. Showtimes, history, and pictures of this classic theatre.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Malaysia", "url": "http://bb88.tripod.com/"} +{"d:Title": "Cinema Context", "d:Description": "Karel Dibbets explores the theaters, the people, the companies, and the visitors of Dutch cinemas from 1896 to the present. Large list of cinemas with opening dates, some with architect.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/Netherlands", "url": "http://www.cinemacontext.nl/"} +{"d:Title": "Metro Cinemas", "d:Description": "Located in Moray Place, Dunedin. Features screening times, prices and coming attractions.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/New_Zealand", "url": "http://www.metrocinema.co.nz/"} +{"d:Title": "Downtown Cinema 8", "d:Description": "Features screening times, coming attractions, ticket prices, and enquiries. Palmerston, New Zealand.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/New_Zealand", "url": "http://www.dtcinemas.co.nz/"} +{"d:Title": "Lido Cinema", "d:Description": "Situated in the heart of Epsom, this cinema offers a varied mix of films.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/New_Zealand", "url": "http://www.lido.co.nz/"} +{"d:Title": "State Cinemas", "d:Description": "Movie schedule, ticket prices and movie links.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/New_Zealand", "url": "http://www.statecinemas.co.nz/"} +{"d:Title": "Embassy and Paramount Cinemas", "d:Description": "Two theatres owned by De Luxe Cinemas Ltd. and based in Wellington, New Zealand. Showtimes.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/New_Zealand", "url": "http://www.eventcinemas.co.nz/cinema/the-embassy"} +{"d:Title": "LABIA Screen 1, 2, 3&MNET4", "d:Description": "Multiplex movie theatre in the Gardens, Cape Town.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/South_Africa", "url": "http://www.labia.co.za/"} +{"d:Title": "Screen Cinemas", "d:Description": "Cinemas in London, Reigate, Winchester, Walton-on-Thames and Oxted. Includes location information, trailers, show times, seating plans and events guide.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_Kingdom", "url": "http://www.everymancinema.com/"} +{"d:Title": "Orion Cinemas", "d:Description": "Locations in Burgess Hill and Wrexham. Includes film times and booking information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_Kingdom", "url": "http://www.orioncinema.com/"} +{"d:Title": "Picture House Woolton", "d:Description": "Liverpool's oldest cinema. Venue history, tribute articles by employees and patrons, photograph tour, and programme.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_Kingdom", "url": "http://www.wooltoncinema.co.uk/"} +{"d:Title": "Movies At", "d:Description": "Locations serving Dundrum and Swords. Showtimes, venue details, competitions, prices, concessions, event bookings, and links.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_Kingdom", "url": "http://www.movies-at.ie/"} +{"d:Title": "Alabama Theatre", "d:Description": "Birmingham landmark, with an exciting calendar of movies and other events.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Alabama", "url": "http://www.alabamatheatre.com/"} +{"d:Title": "Capri Theatre", "d:Description": "Non-profit Montgomery movie theater.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Alabama", "url": "http://www.capritheatre.org/"} +{"d:Title": "Anchorage Movies", "d:Description": "Theater details, movie listings, and showtimes.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Alaska", "url": "http://www.anchoragemovies.com/"} +{"d:Title": "Phoenix Area Movie Theaters", "d:Description": "Addresses and phone numbers for theaters in the Phoenix metro area.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Arizona", "url": "http://phoenix.about.com/library/blmovies.htm"} +{"d:Title": "Carmike Cinema 7", "d:Description": "Multiplex movie theatre in North Little Rock.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Arkansas", "url": "http://central-ar-carmike.tripod.com/"} +{"d:Title": "San Francisco Cinematheque", "d:Description": "Premiere showcase for personal and experimental film and video in San Francisco.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.sfcinematheque.org/"} +{"d:Title": "Green Valley Cinema", "d:Description": "Current movies and showtimes, upcoming movies, directions, prices, and specials. Watsonville.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.greenvalleycinema.com/"} +{"d:Title": "Crest Theatre", "d:Description": "Enjoy a movie, live music or comedy show in the beautifully restored Crest Theatre, Sacramento's last intact movie palace.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.thecrest.com/"} +{"d:Title": "Nickelodeon Theatre", "d:Description": "Since 1969, the Nickelodeon Theatre has been a movie buff's haven. Here the offbeat, the rare, the non-commercial films are lovingly presented. In Santa Cruz.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.thenick.com/"} +{"d:Title": "Renaissance Rialto", "d:Description": "Four renovated movie palaces. Showtimes, directions, and a picture gallery of these classic movie houses.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://renaissancerialto.com/"} +{"d:Title": "Camera Cinemas", "d:Description": "Independent art theaters in the San Jose area.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.cameracinemas.com/"} +{"d:Title": "Roxie Cinema", "d:Description": "San Francisco arthouse cinema.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.roxie.com/"} +{"d:Title": "Camelot Theaters", "d:Description": "Three-screen movie house located in Palm Springs, specializing in art, foreign, retrospective, and independent film features. Current and upcoming films, photographs, technical specifications, and related information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.camelottheatres.com/"} +{"d:Title": "Cinema West Theatres", "d:Description": "Lists showtimes for theatres in Angels Camp, Cloverdale, Fairfax, Fortuna, Los Banos, Sebastopol, Sonoma, Tiburon, and Willits.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.cinemawest.com/"} +{"d:Title": "California Film Institute", "d:Description": "Non-profit organization supporting film-related projects in Mill Valley, including the Rafael Film Center theaters.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.cafilm.org/"} +{"d:Title": "Niles Essanay Silent Film Museum", "d:Description": "Information about the museum, Essanay films and movie schedule.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.nilesfilmmuseum.org/"} +{"d:Title": "The Palm Theatre", "d:Description": "A solar-powered theatre that showcases independent, foreign, arthouse, and mainstream cinema. Schedule, upcoming movies, weekly specials, contact information, and links. San Luis Obispo.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://www.thepalmtheatre.com/"} +{"d:Title": "Oaks Theater", "d:Description": "Popular movie theater in upscale Berkeley neighborhood.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "http://cinematreasures.org/theaters/1856"} +{"d:Title": "The El Capitan Theatre", "d:Description": "Hollywood's first home of spoken drama. Opened in 1926. Located on Hollywood Boulevard. Showtimes and a history of the theatre.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/California", "url": "https://elcapitantheatre.com/"} +{"d:Title": "Grand Theater of Rocky Ford", "d:Description": "History of the building, news, upcoming events, and featured movies.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Colorado", "url": "http://www.angelfire.com/film/rfgrand/"} +{"d:Title": "Speakeasy Movie Theatre", "d:Description": "Theatre showing avant-garde films in Breckenridge. Showtimes, directions, and news about the art gallery.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Colorado", "url": "http://www.speakeasymovies.com/"} +{"d:Title": "Bantam Cinema", "d:Description": "Connecticut's oldest continuously operated movie house. Located in Bantam.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Connecticut", "url": "http://www.bantamcinema.com/"} +{"d:Title": "Cinestudio", "d:Description": "Premiere films, classics, and World Cinema in a circa 1930's movie house. Located on the campus of Trinity College.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Connecticut", "url": "http://www.cinestudio.org/"} +{"d:Title": "Real Art Ways", "d:Description": "Calendar of visual arts exhibits, cinema screenings and live arts events.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Connecticut", "url": "http://www.realartways.org/"} +{"d:Title": "Gilson Cafe and Cinema", "d:Description": "Schedule of current films, show times, policies and information on gift certificates.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Connecticut", "url": "http://www.gilsoncafecinema.com/"} +{"d:Title": "The Garde Arts Center", "d:Description": "Performing arts theater showing vintage films on a single-screen.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Connecticut", "url": "http://www.gardearts.org/"} +{"d:Title": "State Theater", "d:Description": "Single screen theater built in 1947 showing second-run films at a reduced price. Stamford.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Connecticut", "url": "http://cinematreasures.org/theaters/430"} +{"d:Title": "Theatre N at Nemours", "d:Description": "Independent film cinema in Wilmington. Schedule, ticket prices, news, and Wilmington movie theatre history.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Delaware", "url": "http://theatren.net/"} +{"d:Title": "Enzian Theater", "d:Description": "A full-time, non-for-profit alternative cinema. Maitland.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Florida", "url": "http://www.enzian.org/"} +{"d:Title": "Tampa Theatre", "d:Description": "A historic theatre located in downtown Tampa.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Florida", "url": "http://www.tampatheatre.org/"} +{"d:Title": "Cinema Paradiso", "d:Description": "Official cinema of the Fort Lauderdale International Film Festival. Operates year round.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Florida", "url": "http://www.fliff.com/"} +{"d:Title": "Spotlight Theatres", "d:Description": "Information on first run theaters with Covington and Riverdale locations.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Georgia", "url": "http://www.spotlighttheatres.com/"} +{"d:Title": "The Flicks!", "d:Description": "The Flicks theater providing alternative films in Boise. Video store and cafe also.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Idaho", "url": "http://www.theflicksboise.com/"} +{"d:Title": "WOW7 Cinemas", "d:Description": "WOW7 Cinemas is located in Sandwich, Illinois.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Illinois", "url": "http://www.wow7.com/"} +{"d:Title": "Music Box Theatre", "d:Description": "Classic movie house built in 1929. Located in Chicago.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Illinois", "url": "http://www.musicboxtheatre.com/"} +{"d:Title": "3 Penny Cinema", "d:Description": "Showtimes of features, ticket prices, coming attractions, and poster sale database. Chicago.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Illinois", "url": "http://www.3pennycinema.com/"} +{"d:Title": "Brew&View", "d:Description": "Chicago's place to have a brew and view your favorite movies.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Illinois", "url": "http://www.brewview.com/"} +{"d:Title": "Lincoln Theatre", "d:Description": "Located in Belleville. Showtimes, history, special events, photographs, and related information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Illinois", "url": "http://www.lincolntheatre-belleville.com/"} +{"d:Title": "Wildey Theatre", "d:Description": "Historic opera house built in 1909 in Edwardsville. Contains history, architecture, restoration, memorabilia and videos.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Illinois", "url": "http://www.wildeytheatre.com/"} +{"d:Title": "Lorraine Theatre", "d:Description": "Movie house built in 1922. Hoopeston.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Illinois", "url": "http://s125815319.onlinehome.us/LorraineTheaters/"} +{"d:Title": "Indiana Movie Theaters and Showtimes", "d:Description": "Listing of Indiana movie theaters with corporate website links, city showtime links, addresses, and phone numbers.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Indiana", "url": "http://www.nightcoaster.com/showtimes/cityshows.htm"} +{"d:Title": "New Strand Theatre", "d:Description": "Independently owned movie theater in West Liberty.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Iowa", "url": "http://www.newstrand.com/"} +{"d:Title": "The Waverly Palace Theatre", "d:Description": "Showtimes, ticket and concession prices, and contact information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Iowa", "url": "http://www.waverlypalace.com/"} +{"d:Title": "Watts Theatre", "d:Description": "Small theater showing first-run movies in Osage.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Iowa", "url": "http://www.wattstheatre.com/"} +{"d:Title": "Collins Road Theatres", "d:Description": "Independently and locally owned theatre showing independent and second run features in Cedar Rapids. Showtimes, prices, upcoming movies, address, and map.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Iowa", "url": "http://www.collinsroadtheatres.com/"} +{"d:Title": "Liberty Hall", "d:Description": "Live concerts and art-house films plus a video store. Located in Lawrence.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Kansas", "url": "http://www.libertyhall.net/"} +{"d:Title": "The Grand Theatre", "d:Description": "Movie times and directions to the theatre complex, plus online ticket purchasing. New Orleans.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Louisiana", "url": "http://www.thegrandtheatre.com/"} +{"d:Title": "Eveningstar Cinema", "d:Description": "Located in downtown Brunswick for more than 20 years. Showing high quality film entertainment, and now with digital movies.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Maine", "url": "http://www.eveningstarcinema.com/"} +{"d:Title": "Railroad Square Cinema", "d:Description": "Waterville. Check site for film schedules, reviews and film festivals.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Maine", "url": "http://www.railroadsquarecinema.com/"} +{"d:Title": "Temple Cinema", "d:Description": "Temple Cinema in downtown Houlton offers first-run movies.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Maine", "url": "http://www.templemovies.com/"} +{"d:Title": "The Colonial Theatre", "d:Description": "Art Deco independent three screen movie theatre in Belfast.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Maine", "url": "http://www.colonialtheater.com/"} +{"d:Title": "Kilduff's Maryland Movie Theatre Survey", "d:Description": "A survey of movie theatres in Maryland built between 1900 and 1950. Photographs, building dates and descriptions.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Maryland", "url": "http://www.kilduffs.com/"} +{"d:Title": "Triton Entertainment Services", "d:Description": "Operates theatres in Cambridge and Easton.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Maryland", "url": "http://www.tritonmovies.com/"} +{"d:Title": "Route One Cinema Pub", "d:Description": "Second run features at reduced prices. Located in North Attleboro.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Massachusetts", "url": "http://www.cinema-pub.com/"} +{"d:Title": "Studio Cinema", "d:Description": "See what's playing now at Studio Cinema in Belmont.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Massachusetts", "url": "http://www.studiocinema.com/"} +{"d:Title": "Coolidge Corner Theatre", "d:Description": "In Brookline. The Boston area's only non-profit independent movie house, showing great old and new movies the way they were meant to be seen: on a large screen.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Massachusetts", "url": "http://www.coolidge.org/"} +{"d:Title": "New Art Cinemas", "d:Description": "Cape Cod movie theatre that offers a wide range of mainstream and art house films in the center of Provincetown.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Massachusetts", "url": "http://www.ptownarthouse.com/"} +{"d:Title": "West Newton Cinema", "d:Description": "Shows mainly foreign and independent movies. Contains history of the theater, a weekly newsletter and a schedule.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Massachusetts", "url": "http://www.westnewtoncinema.com/"} +{"d:Title": "Brattle Theatre", "d:Description": "Specializes in repertory format showings of classic, cutting-edge, foreign, and art-house films. Schedules, details, and online ticketing. Located in Cambridge.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Massachusetts", "url": "http://www.brattlefilm.org/"} +{"d:Title": "The Magic Bag Theater", "d:Description": "Old time elegant movie theatre. Located in Ferndale.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Michigan", "url": "http://www.themagicbag.com/"} +{"d:Title": "Michigan Theater", "d:Description": "Historic movie house located in Ann Arbor.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Michigan", "url": "http://www.michtheater.org/"} +{"d:Title": "Vickers Theatre", "d:Description": "A turn of the century film house, lovingly and uniquely restored into an intimate art house. Three Oaks.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Michigan", "url": "http://www.vickerstheatre.com/"} +{"d:Title": "Emagine", "d:Description": "Locations in Novi, Canton, Woodhaven, and Birch Run. Showtimes, gift cards, tickets, events, and directions.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Michigan", "url": "http://www.emagine-entertainment.com/"} +{"d:Title": "The Bay Theatre", "d:Description": "Movie Theater in Suttons Bay showing blockbuster movies, live performances and concerts.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Michigan", "url": "http://www.thebaytheatre.com/"} +{"d:Title": "Quarry Cinema", "d:Description": "Cold Spring. First-run movie theater. Showtimes this week, coming attractions, ticket price information, map, employment opportunities.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Minnesota", "url": "http://quarrycinema.com/"} +{"d:Title": "Cozy Theatre", "d:Description": "Wadena. Twin-screen movie theatre. Show times, what's playing, coming attractions, and a history of the theatre.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Minnesota", "url": "http://www.cozytheatre.com/"} +{"d:Title": "Midway Cinema 9", "d:Description": "Alexandria. Seven screens with Dolby digital stereo, full concession bar. Web site features complete movie schedule.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Minnesota", "url": "http://www.midwaycinema9.com/"} +{"d:Title": "St Anthony Main Theatre", "d:Description": "Independently owned theatre screens current mainstream features. Program, upcoming movies, contact information, and links. Minneapolis.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Minnesota", "url": "http://www.stanthonymaintheatre.com/"} +{"d:Title": "Marion Twin Cinemas", "d:Description": "Showtimes and prices for this complex in Columbia.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Mississippi", "url": "http://cinematreasures.org/theaters/9063"} +{"d:Title": "Downtown Cinema 8", "d:Description": "New 8-plex theater located in Kirksville, replacing the Petite 3 Cinema. Showtimes and film details.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Missouri", "url": "http://www.downtowncinema8.com/"} +{"d:Title": "Macon Cinema", "d:Description": "Currently playing and upcoming films, and some photographs. Located on Highway 63 in Macon.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Missouri", "url": "http://www.maconcinema.com/"} +{"d:Title": "Ronnies Drive-In", "d:Description": "A drive in movie revival done indoors using classic 1950s cars modified to make diner booths. Saint Louis.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Missouri", "url": "http://wwwgarage.com/stl/ronnies.html"} +{"d:Title": "Wilton Town Hall Theatre", "d:Description": "Historic movie theater built in 1886. Located in Wilton.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_Hampshire", "url": "http://www.wiltontownhalltheatre.com/"} +{"d:Title": "Cinema 8", "d:Description": "Movie times, entertainment news and directions to Londonderry location.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_Hampshire", "url": "http://www.filmsinfo.com/"} +{"d:Title": "The Music Hall", "d:Description": "Classic movie house with single screen. Information on film times and other events. Portsmouth.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_Hampshire", "url": "http://www.themusichall.org/"} +{"d:Title": "Chunky's Cinema Pub", "d:Description": "Dinner theater venue with locations in Nashua and Pelham screens current mainstream features. Program, upcoming movies, menu, information about booking special functions, mailing lists, prices, and links.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_Hampshire", "url": "http://www.chunkys.com/"} +{"d:Title": "Atlantic Cinema 5", "d:Description": "Current and upcoming features, special \"date nights\", and information on holding events at the cinema. Located in Atlantic Highlands.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_Jersey", "url": "http://www.atlanticcinemas.com/"} +{"d:Title": "Film Forum", "d:Description": "Nonprofit house for independent premieres and repertory programming. Located in Manhattan. Schedule, tickets, upcoming releases, and background information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "http://filmforum.org/"} +{"d:Title": "Quad Cinema -- New York City", "d:Description": "Movies and films--alternative, independent, underground, foreign--in Greenwich Village, the center of culture and art in New York City.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "http://www.quadcinema.com/"} +{"d:Title": "Screening Room Cinema Cafe", "d:Description": "The Screening Room Cinema Cafe in Amherst. A digital video theatre. Showtimes and rental information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "http://www.screeningroom.net/page14.html"} +{"d:Title": "Jacob Burns Film Center", "d:Description": "Non-profit cultural arts center dedicated to presenting the best of independent, documentary, and world cinema. Located in Pleasantville. Current and upcoming films, showtimes, tickets, news, and related information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "http://www.burnsfilmcenter.org/"} +{"d:Title": "Cinemart Cinemas and Cafe", "d:Description": "Independent movie theatre located in Forest Hills, Queens.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "http://www.cinemartcinemas.com/"} +{"d:Title": "Sayville Cinemas", "d:Description": "Links to showtimes, prices, birthday booking details, directions, and contact information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "http://sayvillecinemas.com/"} +{"d:Title": "IFC Center", "d:Description": "New York City cinema showing independent film and classic movies. Coming attractions, menu, and merchandise for sale.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "http://www.ifccenter.com/"} +{"d:Title": "Spectrum 8 Theatres", "d:Description": "A locally owned, independent movie theater committed to showing the best first-run independent, foreign and Hollywood films. Albany.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/New_York", "url": "https://www.landmarktheatres.com/albany-ny/spectrum-8-theatres/"} +{"d:Title": "Raleighwood Cinema Grill", "d:Description": "Enjoy dinner and a movie. Located in Raleigh.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/North_Carolina", "url": "http://www.raleighwoodmovies.com/"} +{"d:Title": "Rockingham Theatre", "d:Description": "Oldest indoor theatre in Rockingham County. On the National Historic Register. Theatre uses Dolby Surround Sound. Located in Reidsville.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/North_Carolina", "url": "http://www.dailynetworks.com/therock"} +{"d:Title": "Omni Cinemas 8", "d:Description": "Showtimes, upcoming movies, trivia game, and mailing list. Located in Fayetteville.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/North_Carolina", "url": "http://www.omnicinemas.com/"} +{"d:Title": "Carolina Theatre", "d:Description": "Greensboro performing arts center offering theatrical productions, concerts and films. Event calendar, membership information and ticket information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/North_Carolina", "url": "http://www.carolinatheatre.com/"} +{"d:Title": "Fargo Theatre", "d:Description": "Features art house cinema and live performances. Schedule and general information. Fargo.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/North_Dakota", "url": "http://fargotheatre.org/"} +{"d:Title": "Curts Theatre", "d:Description": "Movie pass details, coupon offer, showtimes, film synopses, and related links. Located in Rolla.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/North_Dakota", "url": "http://www.curtstheatre.com/"} +{"d:Title": "Drexel Movie Theatres", "d:Description": "Movie theatres showing independent, foreign and commercial cinema. Columbus, Ohio.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Ohio", "url": "http://www.drexel.net/"} +{"d:Title": "Mariemont Theatre", "d:Description": "Located in downtown Mariemont, offers the best in art films, foreign films, and independent films.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Ohio", "url": "http://www.mariemonttheatre.com/"} +{"d:Title": "Esquire Theatre", "d:Description": "The Esquire Theatre offers movies from the mainstream to foreign films. In Cincinnati.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Ohio", "url": "http://www.esquiretheatre.com/"} +{"d:Title": "Cleveland Cinemas", "d:Description": "Featuring showtime information, film descriptions for current presentations and coming attractions at the Cedar Lee Theatre and Tower City Cinemas.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Ohio", "url": "http://www.clevelandcinemas.com/"} +{"d:Title": "Studio 35 Cinema", "d:Description": "Columbus movie theater offering online schedule, menu, and a history of the theater.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Ohio", "url": "http://www.studio35.com/"} +{"d:Title": "Little Art Theatre", "d:Description": "Lists current movies, upcoming releases, calendars, and film descriptions. Yellow Springs.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Ohio", "url": "http://www.littleart.com/"} +{"d:Title": "The Cla-Zel Theatre", "d:Description": "First-run movies, plus a variety of events, musicians and performers throughout the year. Bowling Green.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Ohio", "url": "http://cinematreasures.org/theaters/453"} +{"d:Title": "Heritage Park Theatres", "d:Description": "Theaters in Altus, Chickasha, Elk City,and Weatherford. Showtimes, ticket prices, upcoming movies, and FAQ.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oklahoma", "url": "http://www.oktheatres.com/"} +{"d:Title": "Gentry Cinema", "d:Description": "Historic theater in Checotah. Currently playing movies, venue history, and contact information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oklahoma", "url": "http://www.gentrycinema.com/"} +{"d:Title": "Hollywood Theatre", "d:Description": "Portland's non-profit historic movie theater, bringing you neighborhood entertainment.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oregon", "url": "http://www.hollywoodtheatre.org/"} +{"d:Title": "Granada Theatre", "d:Description": "Current showtimes for the Granada Theatre in La Grande.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oregon", "url": "http://www.lagrandemovies.com/"} +{"d:Title": "Bijou Arts Cinemas", "d:Description": "Alternative movie theater located in Eugene.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oregon", "url": "http://www.bijou-cinemas.com/"} +{"d:Title": "Cinema 21", "d:Description": "An independent art house movie theater in Portland, showing a mixture of independent, foreign, and classic films.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oregon", "url": "http://www.cinema21.com/"} +{"d:Title": "The Academy Theater", "d:Description": "Venue history, show times, concessions, directions, event bookings, information about babysitting services, and links. Portland.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oregon", "url": "http://www.academytheaterpdx.com/"} +{"d:Title": "Fox Theatre", "d:Description": "Indoor movie theater offers schedule, show times, rates, and movie synopses.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Oregon", "url": "http://www.wvi.com/~starcinema/dallas/"} +{"d:Title": "County Theater", "d:Description": "A non-profit community based movie theater. Doylestown.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Pennsylvania", "url": "http://www.countytheater.com/"} +{"d:Title": "Dietrich Theater", "d:Description": "First-run, foreign, independent, and classic films. Located in Tunkhannock.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Pennsylvania", "url": "http://www.dietrichtheater.com/"} +{"d:Title": "Ambler Theater", "d:Description": "Non-profit community based movie theater. Located in Ambler.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Pennsylvania", "url": "http://www.amblertheater.org/"} +{"d:Title": "The Roxy Theatre", "d:Description": "Art Deco theatre located in Northampton, featuring movies and live entertainment. Information on movies now playing and coming soon, live shows, history of the theatre, maps, gift shop, image gallery, and information about the theatre organ.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Pennsylvania", "url": "http://roxytheaternorthampton.com/"} +{"d:Title": "Strand Theatre", "d:Description": "Community movie theatre located in Kutztown.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Pennsylvania", "url": "http://www.kutztownstrand.com/"} +{"d:Title": "Avon Cinema", "d:Description": "Single screen Art Deco theater in Providence showing independent films.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Rhode_Island", "url": "http://www.avoncinema.com/"} +{"d:Title": "Cable Car Cinema and Cafe", "d:Description": "Twin screen cinema showing art films, with comfy chairs, couches and food service.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Rhode_Island", "url": "http://www.cablecarcinema.com/"} +{"d:Title": "Stadium Theatre Performing Arts", "d:Description": "Showing classic films as well as concerts and shows. Woonsocket.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Rhode_Island", "url": "http://www.stadiumtheatre.com/"} +{"d:Title": "American Cinema Grill", "d:Description": "Movie theater and bar in Charleston; find out about event hosting, show times, and contacts.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/South_Carolina", "url": "http://www.pphgcharleston.com/venues/view/american_theater"} +{"d:Title": "Northern Hills Cinema", "d:Description": "Current features and coming attractions. Spearfish.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/South_Dakota", "url": "http://www.nhcinema.com/"} +{"d:Title": "Bryant Cinema", "d:Description": "Located in Bryant. Showtimes and prices.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/South_Dakota", "url": "http://www.bryantcinema.com/"} +{"d:Title": "State 123 Theater", "d:Description": "Movie complex. Includes show listings, coming attractions and times. Pierre.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/South_Dakota", "url": "http://pierretheatre.com/"} +{"d:Title": "Roxy 8 Movie Theater", "d:Description": "Located in Dickson, Tennessee. Schedules, movie trailers, theatre events, online ticket sales, entertainment news and message boards.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Tennessee", "url": "http://www.roxymovietheatre.com/"} +{"d:Title": "Aurora Picture Show", "d:Description": "Non-profit art center for film, video and new media located in Houston.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Texas", "url": "http://www.aurorapictureshow.org/"} +{"d:Title": "Alamo Drafthouse Cinema", "d:Description": "Located in downtown Austin; serving drinks and dinner with the movies. Includes calendar and reviews of all films scheduled, plus merchandise and guestbook.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Texas", "url": "http://www.drafthouse.com/"} +{"d:Title": "Paramount Theatre", "d:Description": "This historic theatre, located in Abilene, was built in 1930. The Paramount offers classic films, as well as live productions.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Texas", "url": "http://www.paramount-abilene.org/"} +{"d:Title": "IMAX Theater San Antonio", "d:Description": "Located in the heart of downtown San Antonio where it features 70mm movie attractions on a 6 story tall screen.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Texas", "url": "https://www.amctheatres.com/movie-theatres/san-antonio/amc-rivercenter-11-with-alamo-imax"} +{"d:Title": "Tower Theatre", "d:Description": "Salt Lake City theatre offers independent, arthouse, and foreign film features, as well as home video rentals.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Utah", "url": "http://www.towertheatre.com/"} +{"d:Title": "UtahTheaters.info", "d:Description": "Information on past and present movie theaters in the state of Utah.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Utah", "url": "http://utahtheaters.info/"} +{"d:Title": "Megaplex 17", "d:Description": "Multiplex movie theatre at Jordan Commons.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Utah", "url": "http://www.megaplextheatres.com/"} +{"d:Title": "Latchis Theatre", "d:Description": "Showtimes provided for cinema in Brattleboro.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Vermont", "url": "http://www.latchis.com/"} +{"d:Title": "Lyric Theatre", "d:Description": "Blacksburg theater featuring film, live plays, dance, music, and lectures. Online tour of the theater, with details on the recent renovation.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.thelyric.com/"} +{"d:Title": "Naro Expanded Cinema", "d:Description": "Historical movie theatre located in the historical Ghent neighborhood of Norfolk.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.narocinema.com/"} +{"d:Title": "Commodore Theatre", "d:Description": "Portsmouth theatre and restaurant. Historical information and ticket prices.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.commodoretheatre.com/"} +{"d:Title": "The Grandin Theatre", "d:Description": "Roanoke's only locally owned and operated movie theatre.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.grandintheatre.com/"} +{"d:Title": "York River Crossing Cinemas", "d:Description": "Multiplex cinema in Gloucester Point.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.yrccinemas.com/"} +{"d:Title": "Cinema Arts Theatre", "d:Description": "Fairfax movie theatre.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.cinemaartstheatre.com/"} +{"d:Title": "The Byrd Theatre", "d:Description": "Includes pictures, facts, past events, about this Richmond theatre's Wurlitzer organ, and technical information.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://members.tripod.com/~g_cowardin/byrd/"} +{"d:Title": "Jefferson Theater", "d:Description": "Discount movie theater in Charlottesville.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.jeffersontheater.com/"} +{"d:Title": "Abingdon Cinemall", "d:Description": "Multiplex theater in Abingdon. Currently playing movies with synopses and showtimes, ticket prices, directions, technical specifications, foreign and arthouse film details.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.cinemall.com/"} +{"d:Title": "The Buchanan Theatre", "d:Description": "Botetourt County's oldest standing theater shows first run movies and live performances. Theater history, schedule, guestbook and newsletter. Located in Buchanan.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.buchanantheatre.com/"} +{"d:Title": "University Mall Theatres", "d:Description": "Multiplex cinema located in Fairfax. Showtimes, ticket prices, directions.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.universitymalltheatres.com/"} +{"d:Title": "The Paramount Theater", "d:Description": "Historic theater in Charlottesville presenting movies, concerts, and live theatrical performances. News, theater history, online ticket orders.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.theparamount.net/"} +{"d:Title": "Roseland Theatre", "d:Description": "Single screen theatre in Onancock. Coming attractions, short history, and concession prices.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.roselandonancock.com/"} +{"d:Title": "Arlington Cinema N Drafthouse", "d:Description": "Movie theater in Arlington with live performances and comedians. Schedule, FAQs and a newsletter.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.arlingtondrafthouse.com/"} +{"d:Title": "Grundy Community Center", "d:Description": "Three screen movie theatre located in downtown Grundy. Coming attractions and movie prices.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.grundycommunitycenter.org/"} +{"d:Title": "The Tally-Ho Theatre", "d:Description": "Historic theatre in old town Leesburg.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.tallyholeesburg.com/"} +{"d:Title": "Visulite Cinemas", "d:Description": "Twin movie theaters located in downtown Staunton. Coming attractions, ticket prices, and a newsletter.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://www.visulitecinemas.com/"} +{"d:Title": "Cinema Cafe", "d:Description": "Movie theater located in Virginia Beach.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Virginia", "url": "http://cinemacafe.com/"} +{"d:Title": "Seattle Cinerama", "d:Description": "The nation's newest, most technologically advanced and most ADA-compliant movie theatre. Originally opened in 1963, and more than 30-years later was rescued from demolition and renovated. Can show 35mm, 70mm - and soon - original Cinerama format films using the three-projector system. Seattle.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://www.cinerama.com/"} +{"d:Title": "Big Picture Luxury Cinema", "d:Description": "Luxury theater and lounge. First-class theater shows classic movies, popular tv shows, and satellite-cast sporting events. Seattle.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://www.thebigpicture.net/"} +{"d:Title": "The Grand Cinema", "d:Description": "Movie house located in Tacoma.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://www.grandcinema.com/"} +{"d:Title": "Lincoln Theatre", "d:Description": "Features independent and foreign films, as well as local stage productions. Located in Mount Vernon.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://www.lincolntheatre.org/"} +{"d:Title": "Blue Mouse E-Marquee", "d:Description": "Washington's oldest theater, located in Tacoma. Includes showtimes, Rocky Horror Picture Show details, contact information, map, and links.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://www.bluemousetheatre.com/"} +{"d:Title": "Grand Illusion Cinema", "d:Description": "Intimate theater in Seattle showcasing independent and world cinema.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://www.grandillusioncinema.org/"} +{"d:Title": "Sunset Theatre&Video", "d:Description": "Sunset Theatre and Video is a small theatre and video store in Connell.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://www.sunsettheatre.com/"} +{"d:Title": "Roxy Theatre", "d:Description": "The historic Roxy Theatre in Eatonville near Seattle and Mount Rainier.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington", "url": "http://cinematreasures.org/theaters/1552"} +{"d:Title": "Avalon Theatre", "d:Description": "Historic theater built in 1923 and reopened in 2003 by the non-profit Avalon Theatre Project. Includes information about what's playing and the history of the theater.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Washington,_DC", "url": "http://www.theavalon.org/"} +{"d:Title": "Bonham Theatre and Video", "d:Description": "Sauk-Prairie's movie theatre and video rental.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Wisconsin", "url": "http://www.bonhamsp.com/"} +{"d:Title": "The Timbers Theatres", "d:Description": "Located in Siren. Showtimes, prices, and mailing list.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Wisconsin", "url": "http://www.timberstheatres.com/"} +{"d:Title": "New Richmond Theatre", "d:Description": "Digital theatres and curved screens. Handicapped accessible. New Richmond.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Wisconsin", "url": "http://www.newrichmondtheatre.com/"} +{"d:Title": "Micon Cinemas", "d:Description": "Movie listings for the theaters including the Gemini drive-in.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Wisconsin", "url": "https://www.miconcinemas.com/"} +{"d:Title": "Mariner Theatre", "d:Description": "Theatre located in Marinette featuring Dolby surround and DTS surround sound.", "topic": "Top/Arts/Movies/Theaters/Indoor_Theaters/United_States/Wisconsin", "url": "http://www.marinertheater.com/"} +{"d:Title": "Open Air Cinema Kamari", "d:Description": "Movie program, information about Santorini Island and the annual summer Jazz festival. Santorini, Greece.", "topic": "Top/Arts/Movies/Theaters/Open_Air_Theaters", "url": "http://www.cinekamari.gr/"} +{"d:Title": "Outdoor Cinema Network", "d:Description": "Information on their locations and films scheduled for showings.", "topic": "Top/Arts/Movies/Theaters/Open_Air_Theaters", "url": "http://www.outdoorcinema.net/"} +{"d:Title": "Cinema West Theatres", "d:Description": "Check showtimes and promotional information for Northern California chain.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.cinemawest.com/"} +{"d:Title": "Cinemark Theatres", "d:Description": "Headquartered in Plano, Texas with theater locations throughout the U.S. and Latin America. Purchase advance tickets, get showtimes and movie information for Cinemark, Century, Cin\u00e9Arts and Tinseltown.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.cinemark.com/"} +{"d:Title": "Landmark Theatres", "d:Description": "Landmark Theatres is America's largest theatre circuit dedicated to independent film, foreign language cinema, restored classics and documentaries.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.landmarktheatres.com/"} +{"d:Title": "Starplex Cinemas", "d:Description": "Provides theater information and movie showtimes for the Starplex Cinemas chain.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.starplexcinemas.com/"} +{"d:Title": "Classic Cinemas", "d:Description": "Movies and showtimes for multiple theatres in suburban Chicago and northern Illinois. Also has histories for Classic Cinemas restored theatres and special events listing.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.classiccinemas.com/"} +{"d:Title": "Moviehouse Cinemas", "d:Description": "Contains showtimes for all their cinemas in Northern Ireland. Has information on new movies, weekly competitions, and reviews of current movies.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.moviehouse.co.uk/"} +{"d:Title": "Laemmle Theatres", "d:Description": "Laemmle Theaters is the premiere art house chain in Los Angeles. A family owned business, spanning three generations dedicated to bringing quality foreign film and quality American independent film to the Los Angeles community.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.laemmle.com/"} +{"d:Title": "Hoyts Cinemas New Zealand", "d:Description": "Theatre chain in New Zealand. Includes movie information, and a history of the chain.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.hoyts.co.nz/"} +{"d:Title": "Circuit Empire", "d:Description": "Lebanese cinema circuit with 65 screens at 16 multiplexes all over Lebanon. Includes movies showing, times, ticket prices, coming attractions, and background history. Contains online version of their \"Movie Guide\" magazine.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.circuit-empire.com.lb/"} +{"d:Title": "Ster-Kinekor Cinemas", "d:Description": "South Africa cinema chain. What's showing at their drive-ins and indoor cinemas.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.sterkinekor.com/"} +{"d:Title": "Marcus Theatres", "d:Description": "Their mission is \"To Create Magical Movie Memories.\" Operating 500 screens in Ohio, Wisconsin, Illinois, and Minnesota.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.marcustheatres.com/"} +{"d:Title": "Patriot Cinemas, Inc.", "d:Description": "New England based cinema chain; listing current show schedules, directions, history, and general information about all of its theaters.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.patriotcinemas.com/"} +{"d:Title": "Entertainment Cinemas", "d:Description": "Features movie showtimes, new releases, and theater locations for chain in Massachusetts and Connecticut.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.entertainmentcinemas.com/"} +{"d:Title": "Tanjong Golden Village Cinemas", "d:Description": "Multiplex theatre operator in Malaysia.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.tgv.com.my/"} +{"d:Title": "Dickinson Theatres", "d:Description": "Features showtimes and theatre information, ticket details, services, movie content, and gift certificates.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.dtmovies.com/"} +{"d:Title": "The Reel Theaters", "d:Description": "With locations in Idaho, Oregon, and Utah. Showtimes, theater details, ticket prices, and related links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.reeltheatre.com/"} +{"d:Title": "Nova Cinemas", "d:Description": "Locations across the United States Midwest. Company information, showtimes, and previews.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.novacinemas.com/"} +{"d:Title": "Chakeres Theatres", "d:Description": "The oldest independent motion picture exhibitor in Ohio and Kentucky. Listing of theatres, directions, and showtimes.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.chakerestheatres.com/"} +{"d:Title": "UltraStar Luxury Movie Theaters", "d:Description": "Theater locations and showtimes, currently playing films, and company information.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.ultrastarmovies.com/"} +{"d:Title": "Carmike Cinemas", "d:Description": "National movie theater operator headquartered in Columbus, Georgia. Corporate information, movie synopses, showtimes, and location search.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.carmike.com/"} +{"d:Title": "Century Theatres", "d:Description": "National chain headquartered in San Rafael, California, with over 850 screens in 11 states. Corporate information, showtimes, location details, tickets, specials, and links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.centurytheatres.com/"} +{"d:Title": "Wehrenberg Theatres", "d:Description": "The oldest family-operated chain in the United States. Location details, films currently showing, company history, and showtimes.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://wehrenberg.com/"} +{"d:Title": "Galaxy Theatres", "d:Description": "Movie listings, showtimes, tickets, and directions.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.galaxytheatres.com/"} +{"d:Title": "Village Cinemas", "d:Description": "Several dozen theaters located throughout Australia. Showtimes, tickets, and synopses of currently playing and upcoming films.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.villagecinemas.com.au/"} +{"d:Title": "M.E. Theatres", "d:Description": "Listings and showtimes for the Ada, Elder, and Kenton Theatres, and the HI-Road Drive In theatres of Ohio.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.metheatres.com/"} +{"d:Title": "AMC Theatres", "d:Description": "Movie listings, show times, previews and advance ticket purchase.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.amctheatres.com/"} +{"d:Title": "Dendy Cinemas", "d:Description": "Chain with locations in Brisbane, Byron Bay, Canberra, and Sydney. Film listings, schedules, directions, ticket prices, news, events, and related links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.dendy.com.au/"} +{"d:Title": "Reading Cinemas", "d:Description": "Fourteen locations open across Australia and New Zealand. Currently playing and upcoming films, showtimes by location, and corporate information [Requires Flash].", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.readingcinemas.com.au/"} +{"d:Title": "Vue Cinemas", "d:Description": "Multiplex cinema chain. Cinema locator, online reservations and corporate section. Based in the United Kingdom.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.myvue.com/"} +{"d:Title": "Caledonian Cinemas", "d:Description": "Scottish group, featuring forthcoming films, competitions and links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.caledoniancinemas.co.uk/"} +{"d:Title": "Cineworld Cinemas", "d:Description": "Chain with 43 sites across the UK and Ireland. Currently playing films, UK cinema details by region, and corporate information and services.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.cineworld.co.uk/"} +{"d:Title": "Odeon Cinemas", "d:Description": "Provides programme and facility details for venues, as well as film previews, online booking, news, competitions and company information.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.odeon.co.uk/"} +{"d:Title": "Showcase Cinemas", "d:Description": "Features movie guide, special events page and details of show times.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.showcasecinemas.co.uk/"} +{"d:Title": "Fridley Theaters", "d:Description": "A family-owned chain serving most of Iowa and part of Nebraska. Includes theater addresses and showtimes for various cities and towns.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.fridleytheatres.com/"} +{"d:Title": "Angel Leisure Cinemas", "d:Description": "Cinemas in southwestern United Kingdom. Trailers, showtimes, gossip and links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.palacedevizes.co.uk/"} +{"d:Title": "The Cinemas", "d:Description": "Schedule, previews and descriptions for Aruba theaters.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.thecinemas.aw/"} +{"d:Title": "Regal Entertainment Group", "d:Description": "Chain operating hundreds of multiplex theatres in the United States. Showtimes, gift card sales, newsletter.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.regmovies.com/"} +{"d:Title": "Omniplex Cinemas", "d:Description": "Ireland-based chain. Cinema listings with showtimes, downloadable Flash video trailers, competitions, and links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.omniplex.ie/"} +{"d:Title": "Nu Metro Cinemas", "d:Description": "Information and ticket-booking portal for this South African chain. Film listings include trailers and synopses.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.numetro.co.za/"} +{"d:Title": "Coming Attraction Theatres", "d:Description": "Several locations on the North coast of the United States. Showtimes, upcoming movies, ratings FAQ, prices, deals, and links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.catheatres.com/"} +{"d:Title": "Harkins Theatres", "d:Description": "Privately owned movie theater chain with locations throughout the Southwestern United States.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.harkinstheatres.com/"} +{"d:Title": "Allen Theatres", "d:Description": "Features new movies, reviews, and release dates for chain based in New Mexico with 21 theaters and 74 screens.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.allentheatresinc.com/"} +{"d:Title": "National Amusements, Inc.", "d:Description": "Operators of Showcase and Multiplex Cinemas and other chains. 1300 screens in the USA, UK, and Latin America.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.showcasecinemas.com/"} +{"d:Title": "Goodrich Quality Theaters", "d:Description": "Theater showtimes as well as current and upcoming movie descriptions. Also includes career opportunities, company information, and links.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.goodrichqualitytheaters.com/"} +{"d:Title": "Showplace Theatres", "d:Description": "Independent theatre chain in Illinois, Minnesota, and New Jersey. Features movie showtimes and location.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://showplaceicon.com/"} +{"d:Title": "Bow Tie Cinemas", "d:Description": "Chatham, Connecticut-based chain. Showtimes, film details, trailers, and contact information.", "topic": "Top/Arts/Movies/Theaters/Theater_Chains", "url": "http://www.bowtiecinemas.com/"} +{"d:Title": "Cinetext - film&philosophy", "d:Description": "Internet forum for cinema studies addressing students, researchers, scholars, and anyone with an interest in the thoughtful exploration of cinema, film, and television.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://cinetext.philo.at/"} +{"d:Title": "Culture Court", "d:Description": "A review of film, books, and media arts. Includes features and reviews of selected works.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.culturecourt.com/"} +{"d:Title": "Strictly Film School", "d:Description": "An evolving analysis journal of landmark cinema, examining universal themes, symbols and imagery, historical and artistic genres.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.filmref.com/"} +{"d:Title": "Brian W. Fairbanks", "d:Description": "Reviews and essays on film criticism by the entertainment editor at the Paris Woman Journal.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.angelfire.com/oh2/writer/"} +{"d:Title": "Chronicle Of A Passion", "d:Description": "Film reviews and commentary by Steve Erickson, with a concentration on foreign film.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://home.earthlink.net/~steevee/"} +{"d:Title": "Senses of Cinema", "d:Description": "An online film journal devoted to the serious and eclectic discussion of cinema, of art, independent and experimental cinemas.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.sensesofcinema.com/"} +{"d:Title": "Essays on the Craft of Dramatic Writing", "d:Description": "Essays that review films from a storytelling point of view.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.storyispromise.com/wmovies.htm"} +{"d:Title": "Film Trip", "d:Description": "Commentary on various movie genres, along with film recommendations.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://members.tripod.com/mcrae_tony/"} +{"d:Title": "Metaphilm", "d:Description": "Reviews films of artistic and independent variety, highlighting content and context. List of films as well as feature articles.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.metaphilm.com/"} +{"d:Title": "Clio's Eye", "d:Description": "Essays by scholars, guests, and students, reviewing and discussing films and other media from an historical perspective.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://clioseye.sfasu.edu/"} +{"d:Title": "Is It A Book: Cinema", "d:Description": "A discussion of nonlinear narrative in film.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.philobiblon.com/isitabook/cinema/"} +{"d:Title": "International Federation of Film Critics", "d:Description": "Organization with 46 member countries. Includes film news, festival reports, and resources on film criticism.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.fipresci.org/"} +{"d:Title": "Keyframe.org", "d:Description": "Attepts to answer the question of whether the digital age is affecting traditional cinema.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.keyframe.org/"} +{"d:Title": "Philosophical Films", "d:Description": "Interpretation of films by using philosophical texts and vice versa.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://faculty.frostburg.edu/phil/forum/forum15.htm"} +{"d:Title": "Recent Movies and TV Programs: Signs of the Future?", "d:Description": "The Revelation 13 web site discusses how movies relate to prophecies of world events, and how movies can help us understand the chaotic world events today.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.revelation13.net/movie.html"} +{"d:Title": "'Alliwell That Ends Well", "d:Description": "Brief analysis of films by many directors.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://cmulrooney.tripod.com/alliwell.html"} +{"d:Title": "Classic Movies-Related Articles", "d:Description": "Repository of articles which originally appeared as newsgroup postings but which stand on their own as arguments or elucidations.", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://articles.dhwritings.com/"} +{"d:Title": "No, But I Read The Movie", "d:Description": "\"Great books into films, or films from great auteurs... film lovers, make up your critical minds!\" By Richard C. Walls [Metro Times Detroit].", "topic": "Top/Arts/Movies/Theory_and_Criticism", "url": "http://www.metrotimes.com/detroit/no-but-i-read-the-movie/Content?oid=2169959"} +{"d:Title": "Smelik - Feminist Film Theory", "d:Description": "An introduction to the discipline. Covers classical narrative structure, a feminist counter-cinema, feminine look and subjectivity, and raises issues relating to gay and lesbian cinema, race, masculinity, and queer theory. Includes bibliography.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Feminist", "url": "http://www.let.uu.nl/womens_studies/anneke/filmtheory.html"} +{"d:Title": "Multiple Voices in Feminist Film Criticism", "d:Description": "Description of a book that offers a comprehensive survey of the work done in the discipline over the last two decades.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Feminist", "url": "http://www.upress.umn.edu/Books/C/carson_multiple.html"} +{"d:Title": "Women's Studies Database - Film Reviews", "d:Description": "Selection of full-text feminist film reviews. Part of the Women's Studies Database at the University of Maryland.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Feminist", "url": "http://mith.umd.edu/WomensStudies/FilmReviews/"} +{"d:Title": "Camera Obscura", "d:Description": "Feminist perspectives on film, television and visual media. Includes full text of the journal, general and submission information.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Feminist", "url": "http://muse.jhu.edu/journal/35"} +{"d:Title": "Kinoeye", "d:Description": "A fortnightly internet journal of film in the new Europe.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.kinoeye.org/"} +{"d:Title": "Senses of Cinema", "d:Description": "An online film journal devoted to the serious and eclectic discussion of cinema, of art, independent and experimental cinemas.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.sensesofcinema.com/"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "A popular-academic hybrid of movie analysis, history, and commentary written by curdled critics and excitable academics.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.brightlightsfilm.com/"} +{"d:Title": "Jump Cut", "d:Description": "Online journal on film and other contemporary media. Seeks to recognize media in social and political context in relation to class, race, and gender. Includes archive and index of past issues.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.ejumpcut.org/"} +{"d:Title": "Images Film Journal", "d:Description": "Articles about movies, with an emphasis on the visual language of cinema. Includes studies of Westerns, film noir, serials, horror, stardom, and Alfred Hitchcock.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.imagesjournal.com/"} +{"d:Title": "Kinema", "d:Description": "A journal for film and audiovisual media. Selected articles on film theory as well as information about related books and film festivals.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.kinema.uwaterloo.ca/"} +{"d:Title": "Latent Image Film Journal", "d:Description": "Written by Emerson College students, explores the medium of film through reviews, criticisms, theory, and opinions.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://pages.emerson.edu/organizations/fas/latent_image"} +{"d:Title": "Millennium Film Journal", "d:Description": "List of issues since 1978 to present, with selected articles.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://mfj-online.org/"} +{"d:Title": "Film Philosophy", "d:Description": "Online journal dedicated to serious debate about film. Also a discussion salon and extensive list of related links.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.film-philosophy.com/"} +{"d:Title": "Film Quarterly", "d:Description": "Quarterly published by University of California Press. Subscription information, sample issue, FAQ, and links.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.filmquarterly.org/"} +{"d:Title": "Literature Film Quarterly", "d:Description": "Focuses upon problems of adapting and transforming fiction and drama into film. Editors, back issues index, subscription information, and upcoming events.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.salisbury.edu/lfq/"} +{"d:Title": "Wide Angle", "d:Description": "Examines topics ranging from international cinema to the history and aesthetics of film; each issue focuses on a specific theme. General information and selected articles.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://muse.jhu.edu/journals/wide_angle/"} +{"d:Title": "Screening the Past", "d:Description": "International journal of visual media and history, with a heavy focus on cinema. Includes editorial policies, submission information, and full text with searchable archive.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.latrobe.edu.au/screeningthepast/"} +{"d:Title": "Canadian Journal of Film Studies", "d:Description": "Current issue's abstracts, past issues' index, editorial information, and order form.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.filmstudies.ca/CJFS_completeINDEX.htm"} +{"d:Title": "Journal of Religion and Film", "d:Description": "Examines religion as it is portrayed in film.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://digitalcommons.unomaha.edu/jrf/"} +{"d:Title": "Framework: The Journal of Cinema and Media", "d:Description": "International scholarly film journal. Full issues and archives online.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Journals", "url": "http://www.frameworknow.com/"} +{"d:Title": "Forum for the Psychoanalytic Study of Film", "d:Description": "Interdisciplinary organization for people interested in psychoanalysis and film. The group publishes a magazine and hosts screenings and conferences.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Psychoanalytic", "url": "http://www.cyberpsych.org/filmforum/"} +{"d:Title": "Kinoeye - If Freud Made Films", "d:Description": "Interview with the festival chair following the 1st European Psychoanalytic Film Festival held in London, United Kingdom.", "topic": "Top/Arts/Movies/Theory_and_Criticism/Psychoanalytic", "url": "http://www.kinoeye.org/01/08/schneider08.php"} +{"d:Title": "IMDb : 1-2-3-Go! (1941)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/1-2-3-Go", "url": "http://www.imdb.com/title/tt0033304/"} +{"d:Title": "Greatest Films: 10", "d:Description": "Tim Dirks reviews the film.", "topic": "Top/Arts/Movies/Titles/1/10", "url": "http://www.filmsite.org/ten.html"} +{"d:Title": "TV Guide Online: 10", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/1/10", "url": "http://www.tvguide.com/movies/10/119936"} +{"d:Title": "Movies.com: 10", "d:Description": "Synopsis, news, images and reviews.", "topic": "Top/Arts/Movies/Titles/1/10", "url": "http://www.movies.com/10/m42410"} +{"d:Title": "Hollywood Bitchslap: 10", "d:Description": "Review along with viewer ratings and comments.", "topic": "Top/Arts/Movies/Titles/1/10", "url": "http://www.hollywoodbitchslap.com/review.php?movie=1911"} +{"d:Title": "IMDb : 10", "d:Description": "Full cast and crew, user comments, awards information, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/1/10", "url": "http://www.imdb.com/title/tt0078721/"} +{"d:Title": "Moviefone: 10,000 B.C.", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and news.", "topic": "Top/Arts/Movies/Titles/1/10,000_BC", "url": "http://www.moviefone.com/movie/10000-bc/23948/main"} +{"d:Title": "Rotten Tomatoes: 10,000 B.C.", "d:Description": "Synopsis, cast list, photos, trailer, news, showtimes and forum.", "topic": "Top/Arts/Movies/Titles/1/10,000_BC", "url": "http://www.rottentomatoes.com/m/10000_bc/"} +{"d:Title": "IMDb: 10,000 BC", "d:Description": "Synopsis, images, trailers, trivia, cast and crew.", "topic": "Top/Arts/Movies/Titles/1/10,000_BC", "url": "http://www.imdb.com/title/tt0443649/"} +{"d:Title": "IGN Movies: 10,000 B.C.", "d:Description": "News, photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/1/10,000_BC", "url": "http://www.ign.com/movies/10000-bc/theater-774705"} +{"d:Title": "MovieWeb: 10,000 B.C. (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/1/10,000_BC", "url": "http://movieweb.com/movie/10000-b-c/"} +{"d:Title": "IMDb : 1000 Convicts and a Woman (1971)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/1000_Convicts_and_a_Woman", "url": "http://www.imdb.com/title/tt0066731/"} +{"d:Title": "IMDb - Thousand Pieces of Gold (1991)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/1/1000_Pieces_of_Gold", "url": "http://imdb.com/title/tt0100774/"} +{"d:Title": "The New York Times: 1000 Pieces of Gold", "d:Description": "Review by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/1/1000_Pieces_of_Gold", "url": "http://www.nytimes.com/1991/09/27/movies/review-film-chinese-girl-sold-into-slavery-in-old-west.html"} +{"d:Title": "Chicago Sun-Times: 1000 Pieces of Gold", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/1/1000_Pieces_of_Gold", "url": "http://www.rogerebert.com/reviews/thousand-pieces-of-gold-1991"} +{"d:Title": "IMDb : 1000 Shapes of a Female (1963)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/1000_Shapes_of_a_Female", "url": "http://www.imdb.com/title/tt0056795/"} +{"d:Title": "IMDb : 1001 Arabian Nights (1959)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/1001_Arabian_Nights", "url": "http://www.imdb.com/title/tt0052527/"} +{"d:Title": "IMDb : 1001 Nights (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/1001_Nights", "url": "http://www.imdb.com/title/tt0193688/"} +{"d:Title": "IMDb : 1002 AD Khajoraho", "d:Description": "Production details and director information.", "topic": "Top/Arts/Movies/Titles/1/1002_AD_Khajoraho", "url": "http://www.imdb.com/title/tt0154098/"} +{"d:Title": "IMDb: 100 Girls (2000)", "d:Description": "Cast, crew, reviews, plot summary and related links.", "topic": "Top/Arts/Movies/Titles/1/100_Girls", "url": "http://imdb.com/title/tt0214388/"} +{"d:Title": "IMDb : 100% Service (1931)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/100_Percent_Service", "url": "http://www.imdb.com/title/tt0021579/"} +{"d:Title": "IMDb : 100 Pigmies and Andy Panda (1940)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/100_Pigmies_and_Andy_Panda", "url": "http://www.imdb.com/title/tt0146394/"} +{"d:Title": "IMDb : 100 Proof (1997)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/100_Proof", "url": "http://www.imdb.com/title/tt0124268/"} +{"d:Title": "IMDb : 100 Rifles (1969)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/100_Rifles", "url": "http://www.imdb.com/title/tt0063970/"} +{"d:Title": "All-Reviews.com - 101 Dalmations", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/1/101_Dalmatians_-_1996", "url": "http://www.all-reviews.com/videos-3/101-dalmatians.htm"} +{"d:Title": "IMDb: 101 Dalmatians (1996)", "d:Description": "Includes user comments and ratings, cast, plot outline and film information.", "topic": "Top/Arts/Movies/Titles/1/101_Dalmatians_-_1996", "url": "http://www.imdb.com/title/tt0115433/"} +{"d:Title": "Metacritic.com: 101 Reykjavik", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/1/101_Reykjavik", "url": "http://www.metacritic.com/movie/101-reykjavik"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/1/102_Dalmatians", "url": "http://www.all-reviews.com/videos-2/102-dalmatians.htm"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/1/102_Dalmatians", "url": "http://www.haro-online.com/movies/102dalmations.html"} +{"d:Title": "Rotten Tomatoes: 102 Dalmatians (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/1/102_Dalmatians", "url": "http://www.rottentomatoes.com/m/102_dalmatians/"} +{"d:Title": "IMDb: 102 Dalmatians (2000)", "d:Description": "Cast and crew credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/1/102_Dalmatians", "url": "http://www.imdb.com/title/tt0211181/"} +{"d:Title": "Allreaders 102 Dalmatians Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/1/102_Dalmatians", "url": "http://allreaders.com/movie-review-summary/102-dalmatians-4951"} +{"d:Title": "Rogerebert.com: Ten from Your Show of Shows", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/1/10_from_Your_Show_of_Shows", "url": "http://www.rogerebert.com/reviews/ten-from-your-show-of-shows-1973"} +{"d:Title": "IMDb : 10 from Your Show of Shows (1973)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/10_from_Your_Show_of_Shows", "url": "http://www.imdb.com/title/tt0069663/"} +{"d:Title": "British Horror Films: 10 Rillington Place", "d:Description": "Review which looks at plot especially the shocking features of it.", "topic": "Top/Arts/Movies/Titles/1/10_Rillington_Place", "url": "http://www.britishhorrorfilms.co.uk/rillington.shtml"} +{"d:Title": "MMI Movie Review: 10 Rillington Place", "d:Description": "Review includes plot, real life story behind the film and realism in the film.", "topic": "Top/Arts/Movies/Titles/1/10_Rillington_Place", "url": "http://www.shoestring.org/mmi_revs/10-rillington-place.html"} +{"d:Title": "TVGuide: 10 Rillington Place", "d:Description": "Synopsis, cast, reviews, ratings, trailers and video clips.", "topic": "Top/Arts/Movies/Titles/1/10_Rillington_Place", "url": "http://www.tvguide.com/movies/10-rillington-place/119950/"} +{"d:Title": "Rotten Tomatoes: 10 Rillington Place", "d:Description": "Synopsis, reviews, ratings, cast and credits.", "topic": "Top/Arts/Movies/Titles/1/10_Rillington_Place", "url": "http://www.rottentomatoes.com/m/10_rillington_place/"} +{"d:Title": "MRQE: 10 Rillington Place", "d:Description": "Reviews and ratings from around the world.", "topic": "Top/Arts/Movies/Titles/1/10_Rillington_Place", "url": "http://www.mrqe.com/movie_reviews/10-rillington-place-m100028982"} +{"d:Title": "IMDb : 10 Rillington Place (1971)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/10_Rillington_Place", "url": "http://www.imdb.com/title/tt0066730/"} +{"d:Title": "Qwipster's Movie Reviews: 10 Things I Hate About You", "d:Description": "Humorous review followed by a mock top 10 list.", "topic": "Top/Arts/Movies/Titles/1/10_Things_I_Hate_About_You", "url": "http://www.qwipster.net/10thingsihate.htm"} +{"d:Title": "All-Reviews.com: 10 Things I Hate About You", "d:Description": "Collection of reviews by various contributors.", "topic": "Top/Arts/Movies/Titles/1/10_Things_I_Hate_About_You/Reviews", "url": "http://www.all-reviews.com/videos/ten-things-hate.htm"} +{"d:Title": "IMDb: 11'09''01 - September 11", "d:Description": "Cast, credits, and production information. With user comments.", "topic": "Top/Arts/Movies/Titles/1/11'09''01_-_September_11", "url": "http://www.imdb.com/title/tt0328802/"} +{"d:Title": "The Rest Is Silence", "d:Description": "A review by Christos Tsiolkas for Senses of Cinema.", "topic": "Top/Arts/Movies/Titles/1/11'09''01_-_September_11", "url": "http://sensesofcinema.com/2003/feature-articles/sept_11/"} +{"d:Title": "IMDb : 11 Harrowhouse (1974)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/11_Harrowhouse", "url": "http://www.imdb.com/title/tt0071080/"} +{"d:Title": "IMDb : 125 Rooms of Comfort (1974)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/125_Rooms_of_Comfort", "url": "http://www.imdb.com/title/tt0177472/"} +{"d:Title": "Fox Searchlight: 127 Hours", "d:Description": "Official site with trailer, videos, synopsis, image gallery, cast and crew information, and \"Wall of Inspiration.\"", "topic": "Top/Arts/Movies/Titles/1/127_Hours", "url": "http://www.foxsearchlight.com/127hours/"} +{"d:Title": "Teach with Movies - 12 Angry Men", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/1/12_Angry_Men_-_1957", "url": "http://www.teachwithmovies.org/guides/12-angry-men.html"} +{"d:Title": "TV Guide Online: 12 Angry Men", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/1/12_Angry_Men_-_1957", "url": "http://www.tvguide.com/movies/12-angry-men/121377"} +{"d:Title": "IMDb - 12 Angry Men (1957)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/1/12_Angry_Men_-_1957", "url": "http://www.imdb.com/title/tt0050083/"} +{"d:Title": "Christian Spotlight: 12 Angry Men", "d:Description": "A review of the film focusing on Christian standards and implications of the film.", "topic": "Top/Arts/Movies/Titles/1/12_Angry_Men_-_1997", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/12angrymen.html"} +{"d:Title": "IMDb: 12 Angry Men", "d:Description": "Cast and credit information, plus reviews submitted by users.", "topic": "Top/Arts/Movies/Titles/1/12_Angry_Men_-_1997", "url": "http://www.imdb.com/title/tt0118528/"} +{"d:Title": "Nick Amato: 12 Angry Men", "d:Description": "A very negative review of the film. Negativity is due to a comparison to the original which makes up most of the review.", "topic": "Top/Arts/Movies/Titles/1/12_Angry_Men_-_1997", "url": "http://www.imdb.com/reviews/135/13588.html"} +{"d:Title": "IMDb : 12 Days in July (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/12_Days_in_July", "url": "http://www.imdb.com/title/tt0191753/"} +{"d:Title": "12 Monkeys FAQ", "d:Description": "A list of plot questions, with detailed answers.", "priority": "1", "topic": "Top/Arts/Movies/Titles/1/12_Monkeys", "url": "http://www.scifi.sk/12Monkeys/faq.html"} +{"d:Title": "12 Monkeys: Dreams Facts", "d:Description": "A detailed introduction and collected interviews.", "topic": "Top/Arts/Movies/Titles/1/12_Monkeys", "url": "http://www.smart.co.uk/dreams/monkfact.htm"} +{"d:Title": "12 Monkeys Quotes", "d:Description": "Selected quotations from the film.", "topic": "Top/Arts/Movies/Titles/1/12_Monkeys", "url": "http://www.generationterrorists.com/quotes/12_monkeys.html"} +{"d:Title": "The Daily Script - 12 Monkeys", "d:Description": "Original screenplay by David and Janet Peoples.", "topic": "Top/Arts/Movies/Titles/1/12_Monkeys", "url": "http://www.dailyscript.com/scripts/twelve_monkeys.html"} +{"d:Title": "Mutant Reviewers From Hell: 12 Monkeys", "d:Description": "Movie review and trivia.", "topic": "Top/Arts/Movies/Titles/1/12_Monkeys", "url": "http://mutantreviewers.wordpress.com/2011/10/20/twelve-monkeys-retro-review/"} +{"d:Title": "IMDb: 12 Monkeys", "d:Description": "Film cast and crew information. Includes comments submitted by users.", "topic": "Top/Arts/Movies/Titles/1/12_Monkeys", "url": "http://www.imdb.com/title/tt0114746/"} +{"d:Title": "Rotten Tomatoes: 12 Rounds", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/1/12_Rounds", "url": "http://www.rottentomatoes.com/m/12_rounds/"} +{"d:Title": "IGN: 12 Rounds", "d:Description": "News, preview, photos, videos, and a review (Rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/1/12_Rounds", "url": "http://www.ign.com/movies/12-rounds/theater-14235763"} +{"d:Title": "The Boston Globe: 12 Rounds", "d:Description": "Review, by Tom Russo: \"As one of the WWE's marquee pro wrestlers, John Cena is some actor. As a straight actor... he's a great wrestler.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/1/12_Rounds", "url": "http://archive.boston.com/ae/movies/articles/2009/03/28/12_rounds/"} +{"d:Title": "A.V. Club: 12 Rounds", "d:Description": "Review, by Nathan Rabin: \"There's something strangely charming about films that are all artifice, explosions, and naked calculation. 12 Rounds is at least honest trash: It never pretends to be anything other than manic schlock.\" [Rating: C+]", "topic": "Top/Arts/Movies/Titles/1/12_Rounds", "url": "http://www.avclub.com/review/12-rounds-25844"} +{"d:Title": "IMDb : 13th Floor, The (1988)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13th_Floor,_The", "url": "http://www.imdb.com/title/tt0094591/"} +{"d:Title": "IMDb - 13th Hour, The (1947)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13th_Hour,_The", "url": "http://www.imdb.com/title/tt0039127/"} +{"d:Title": "TV Guide Online: The 13th Letter", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/1/13th_Letter,_The", "url": "http://www.tvguide.com/movies/13th-letter/126033"} +{"d:Title": "IMDb : 13th Letter, The (1951)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13th_Letter,_The", "url": "http://www.imdb.com/title/tt0043251/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/1/13th_Warrior,_The", "url": "http://the-editing-room.com/13thwarrior.html"} +{"d:Title": "IMDb - The 13th Warrior (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/1/13th_Warrior,_The", "url": "http://www.imdb.com/title/tt0120657/"} +{"d:Title": "All-Reviews.com - 13th Warrior", "d:Description": "Compiled review", "topic": "Top/Arts/Movies/Titles/1/13th_Warrior,_The/Reviews", "url": "http://www.all-reviews.com/videos/thirteenth-warrior.htm"} +{"d:Title": "HARO Online - The 13th Warrior", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/1/13th_Warrior,_The/Reviews", "url": "http://www.haro-online.com/movies/13th_warrior.html"} +{"d:Title": "Filmtracks: The 13th Warrior", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/1/13th_Warrior,_The/Reviews", "url": "http://www.filmtracks.com/titles/13th_warrior.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews '13 Conversations About One Thing'. Includes a couple photographs.", "topic": "Top/Arts/Movies/Titles/1/13_Conversations_About_One_Thing", "url": "http://www.haro-online.com/movies/13_conversations.html"} +{"d:Title": "DVDWolf: 13 Conversations About One Thing", "d:Description": "Jan Lisa Huttner's review of the film.", "topic": "Top/Arts/Movies/Titles/1/13_Conversations_About_One_Thing", "url": "http://www.dvdwolf.com/Reviews/T/Thirteen_Conversations_About_One_Thing.html"} +{"d:Title": "All-Reviews.com: 13 Conversations About One Thing", "d:Description": "Several reviews of the movie.", "topic": "Top/Arts/Movies/Titles/1/13_Conversations_About_One_Thing", "url": "http://www.all-reviews.com/videos-4/13-conversations-about-one-thing.htm"} +{"d:Title": "13 Conversations About One Thing (2001)", "d:Description": "Cast and crew summary, user comments, and related details from IMDb.", "topic": "Top/Arts/Movies/Titles/1/13_Conversations_About_One_Thing", "url": "http://www.imdb.com/title/tt0268690/"} +{"d:Title": "IMDb : 13 East Street (1952)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13_East_Street", "url": "http://www.imdb.com/title/tt0149555/"} +{"d:Title": "IMDb : 13 Fighting Men (1960)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13_Fighting_Men", "url": "http://www.imdb.com/title/tt0053558/"} +{"d:Title": "IMDb : 13 Floors (1991)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13_Floors", "url": "http://www.imdb.com/title/tt0196305/"} +{"d:Title": "IMDb : 13 Frightened Girls (1963)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13_Frightened_Girls", "url": "http://www.imdb.com/title/tt0056796/"} +{"d:Title": "HARO Online: 13 Going on 30", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/1/13_Going_On_30", "url": "http://www.haro-online.com/movies/13_going_on_30.html"} +{"d:Title": "IMDb: 13 Going On 30 (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/1/13_Going_On_30", "url": "http://www.imdb.com/title/tt0337563/"} +{"d:Title": "Rotten Tomatoes: 13 Going on 30", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/1/13_Going_On_30", "url": "http://www.rottentomatoes.com/m/13_going_on_30/"} +{"d:Title": "Mooviees: 13 Going On 30", "d:Description": "Features photos, trailers, reviews, cast overview, synopsis and movie info.", "topic": "Top/Arts/Movies/Titles/1/13_Going_On_30", "url": "http://www.mooviees.com/7041-13-going-on-30/movie"} +{"d:Title": "Chicago Sun-Times: 13 Going On 30", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/1/13_Going_On_30", "url": "http://www.rogerebert.com/reviews/13-going-on-30-2004"} +{"d:Title": "IMDb : 13 Lead Soldiers (1948)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13_Lead_Soldiers", "url": "http://www.imdb.com/title/tt0040063/"} +{"d:Title": "IMDb : 13 Men and a Gun (1938)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13_Men_and_a_Gun", "url": "http://www.imdb.com/title/tt0133325/"} +{"d:Title": "IMDb.com: 13 Moons (2002)", "d:Description": "Cast, crew and production details.", "topic": "Top/Arts/Movies/Titles/1/13_Moons", "url": "http://imdb.com/title/tt0276744/"} +{"d:Title": "IMDb : 13 West Street (1962)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/13_West_Street", "url": "http://www.imdb.com/title/tt0055718/"} +{"d:Title": "IMDb: 1408 (2007)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/1/1408", "url": "http://www.imdb.com/title/tt0450385/"} +{"d:Title": "Rotten Tomatoes: 1408", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/1/1408", "url": "http://www.rottentomatoes.com/m/1408/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the reception, production, cast, and references to the number 13.", "topic": "Top/Arts/Movies/Titles/1/1408", "url": "http://en.wikipedia.org/wiki/1408_(film)"} +{"d:Title": "Grave Concerns: 1408", "d:Description": "Review of the film by Lynda Dale MacLean.", "topic": "Top/Arts/Movies/Titles/1/1408", "url": "http://www.graveconcernsezine.com/index.php?option=com_content&task=view&id=757&Itemid=206"} +{"d:Title": "MovieWeb: 1408", "d:Description": "Summary, reviews, synopsis, videos, photographs, box office data, and news.", "topic": "Top/Arts/Movies/Titles/1/1408", "url": "http://movieweb.com/movie/1408/"} +{"d:Title": "IGN Movies: 1408", "d:Description": "News, photos, videos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/1/1408", "url": "http://www.ign.com/movies/1408/theater-779083"} +{"d:Title": "Filmtracks - 1492 - Conquest of Paradise", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Movies/Titles/1/1492_Conquest_of_Paradise", "url": "http://www.filmtracks.com/titles/1492.html"} +{"d:Title": "Chicago Tribunge: 1492: Conquest Of Paradise", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/1/1492_Conquest_of_Paradise", "url": "http://www.rogerebert.com/reviews/1492-conquest-of-paradise-1992"} +{"d:Title": "IMDb : 1492: Conquest of Paradise (1992)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/1492_Conquest_of_Paradise", "url": "http://www.imdb.com/title/tt0103594/"} +{"d:Title": "IMDb : 14 Up in America (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/14_Up_in_America", "url": "http://www.imdb.com/title/tt0190212/"} +{"d:Title": "Urban Cinefile Feature: 15 Amore", "d:Description": "Includes images, multimedia, film details, reviews and synopsis.", "topic": "Top/Arts/Movies/Titles/1/15_Amore", "url": "http://www.urbancinefile.com.au/home/article_view.asp?Article_ID=3801&Section=Reviews"} +{"d:Title": "15 Amore (1998)", "d:Description": "IMDb : Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/15_Amore", "url": "http://www.imdb.com/title/tt0184178/"} +{"d:Title": "HARO Online", "d:Description": "Very short review.", "topic": "Top/Arts/Movies/Titles/1/15_Minutes_-_2001", "url": "http://www.haro-online.com/movies/15_minutes.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/1/15_Minutes_-_2001", "url": "http://www.all-reviews.com/videos-2/15-minutes.htm"} +{"d:Title": "Ninth Symphony Films: 15 Minutes", "d:Description": "Review which gives a two star rating and looks at flaws in the film, cinematography and acting.", "topic": "Top/Arts/Movies/Titles/1/15_Minutes_-_2001", "url": "http://regencylady.tripod.com/site/filmreviews/15minutes.html"} +{"d:Title": "Rotten Tomatoes: 15 Minutes (2001)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/1/15_Minutes_-_2001", "url": "http://www.rottentomatoes.com/m/15_minutes/?rtp=1"} +{"d:Title": "Metacritic.com - 15 Minutes", "d:Description": "Quotes and links to reviews along with meta-ratings.", "topic": "Top/Arts/Movies/Titles/1/15_Minutes_-_2001", "url": "http://www.metacritic.com/movie/15-minutes"} +{"d:Title": "IMDb: 15 Minutes (2001)", "d:Description": "Cast and crew list, production information, and other details.", "topic": "Top/Arts/Movies/Titles/1/15_Minutes_-_2001", "url": "http://www.imdb.com/title/tt0179626/"} +{"d:Title": "IMDb: 16 Blocks (2006)", "d:Description": "Includes cast and crew, user reviews, plot summary, and photographs.", "topic": "Top/Arts/Movies/Titles/1/16_Blocks", "url": "http://www.imdb.com/title/tt0450232/"} +{"d:Title": "Rotten Tomatoes - 16 Blocks", "d:Description": "Reviews, general movie information, trailer, and synopsis.", "topic": "Top/Arts/Movies/Titles/1/16_Blocks", "url": "http://www.rottentomatoes.com/m/16_blocks/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/1/16_Blocks", "url": "http://www.haro-online.com/movies/16blocks.html"} +{"d:Title": "Rogerebert.com - 16 Blocks", "d:Description": "Movie review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/1/16_Blocks", "url": "http://www.rogerebert.com/reviews/16-blocks-2006"} +{"d:Title": "IMDb : 16 Fathoms Deep (1948)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/16_Fathoms_Deep", "url": "http://www.imdb.com/title/tt0040797/"} +{"d:Title": "TV Guide Online: 1776", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/1/1776", "url": "http://www.tvguide.com/movies/1776/117328"} +{"d:Title": "Mutant Reviewers From Hell: 1776", "d:Description": "Movie review and trivia.", "topic": "Top/Arts/Movies/Titles/1/1776", "url": "http://mutantreviewers.wordpress.com/2012/07/04/1776-retro-review/"} +{"d:Title": "IMDb: 1776", "d:Description": "Plot summary, cast and crew, user comments, reviews, awards information, quotes, trivia, and related links.", "topic": "Top/Arts/Movies/Titles/1/1776", "url": "http://www.imdb.com/title/tt0068156/"} +{"d:Title": "IMDb : 17 and Under (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/17_and_Under", "url": "http://www.imdb.com/title/tt0178168/"} +{"d:Title": "IMDb : 18", "d:Description": "Full cast and crew, synopsis, trivia, and related links.", "topic": "Top/Arts/Movies/Titles/1/18", "url": "http://www.imdb.com/title/tt0118530/"} +{"d:Title": "FilmScouts: 187", "d:Description": "Production information, synopsis, filmmaker and cast biographies, and video clips.", "topic": "Top/Arts/Movies/Titles/1/187", "url": "http://www.filmscouts.com/scripts/film.cfm?film=187"} +{"d:Title": "IMDb: One Eight Seven", "d:Description": "Includes user ratings and comments, taglines, plot outline, cast and credits.", "topic": "Top/Arts/Movies/Titles/1/187", "url": "http://www.imdb.com/title/tt0118531/"} +{"d:Title": "IMDb: 1871", "d:Description": "Cast and crew, release dates, and user ratings of the film.", "topic": "Top/Arts/Movies/Titles/1/1871", "url": "http://www.imdb.com/title/tt0098963/"} +{"d:Title": "Washington Post: '18 Again'", "d:Description": "Rita Kempley looks at the plot and argues that the director did not do a good job.", "topic": "Top/Arts/Movies/Titles/1/18_Again", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/18againpgkempley_a0ca1d.htm"} +{"d:Title": "IMDb : 18 Again! (1988)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/18_Again", "url": "http://www.imdb.com/title/tt0094593/"} +{"d:Title": "Chicago Sun Times: 18 Again", "d:Description": "Roger Ebert looks at the plot and argues that of three films with the same premise, 18 Again is not the best.", "topic": "Top/Arts/Movies/Titles/1/18_Again", "url": "http://www.rogerebert.com/reviews/18-again-1988"} +{"d:Title": "IMDb : 18 Minutes (1935)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/18_Minutes", "url": "http://www.imdb.com/title/tt0026028/"} +{"d:Title": "IMDb : 18 Minutes in Albuquerque (1994)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/18_Minutes_in_Albuquerque", "url": "http://www.imdb.com/title/tt0202772/"} +{"d:Title": "IMDb : 18 Shades of Dust (1999)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/18_Shades_of_Dust", "url": "http://www.imdb.com/title/tt0119028/"} +{"d:Title": "TV Guide Online: 1918", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/1/1918", "url": "http://www.tvguide.com/movies/1918/126312"} +{"d:Title": "IMDb: 1918", "d:Description": "Full cast and crew, plot summary, soundtrack details, and related links.", "topic": "Top/Arts/Movies/Titles/1/1918", "url": "http://www.imdb.com/title/tt0088645/"} +{"d:Title": "TV Guide Online: 1919", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/1/1919", "url": "http://www.tvguide.com/movies/1919/126318"} +{"d:Title": "IMDb: 1919", "d:Description": "Cast and crew, production details, related literature, user comments, and links.", "topic": "Top/Arts/Movies/Titles/1/1919", "url": "http://www.imdb.com/title/tt0088646/"} +{"d:Title": "DVD Savant:1941", "d:Description": "In-depth review of the director's cut DVD by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/1/1941", "url": "http://www.dvdtalk.com/dvdsavant/s681941.html"} +{"d:Title": "eFilmCritic - 1941", "d:Description": "Collection of reviews for the film from various contributors.", "topic": "Top/Arts/Movies/Titles/1/1941", "url": "http://www.efilmcritic.com/review.php?movie=1913"} +{"d:Title": "IMDb: 1941", "d:Description": "Cast and crew, production details, reviews, synopsis, quotes, trivia, mistakes, and related links.", "topic": "Top/Arts/Movies/Titles/1/1941", "url": "http://www.imdb.com/title/tt0078723/"} +{"d:Title": "'1969' (R)", "d:Description": "\"...aimless drama, its purpose and promise lost...\" By Rita Kempley [Washington Post].", "topic": "Top/Arts/Movies/Titles/1/1969", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/1969.htm"} +{"d:Title": "IMDb: 1969", "d:Description": "Cast and crew, production details, reviews, awards information, quotes, and related links.", "topic": "Top/Arts/Movies/Titles/1/1969", "url": "http://www.imdb.com/title/tt0094594/"} +{"d:Title": "1984 Review", "d:Description": "Review from The Times (1956), as well as photos from the film and related links.", "topic": "Top/Arts/Movies/Titles/1/1984_-_1956", "url": "http://www.pleasence.com/1984/1984-1.html"} +{"d:Title": "IMDb: 1984 (1956)", "d:Description": "Cast and crew, production details, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/1/1984_-_1956", "url": "http://www.imdb.com/title/tt0048918/"} +{"d:Title": "Filmtracks: 1984", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/1/1984_-_1984", "url": "http://www.filmtracks.com/titles/1984.html"} +{"d:Title": "IMDb: Nineteen Eighty-Four (1984)", "d:Description": "Full cast and crew for the film, synopsis, awards information, trivia, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/1/1984_-_1984", "url": "http://www.imdb.com/title/tt0087803/"} +{"d:Title": "IMDB : 1988: The Remake (1977)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/1/1988_-_The_Remake", "url": "http://www.imdb.com/title/tt0075609/"} +{"d:Title": "IMDb: 1999", "d:Description": "Cast and crew, production details, reviews, and related links.", "topic": "Top/Arts/Movies/Titles/1/1999", "url": "http://www.imdb.com/title/tt0147802/"} +{"d:Title": "Silent Era: 20,000 Leagues Under the Sea", "d:Description": "Review of the DVD release of the film. Praises the technical quality of the film, while critical of some plot elements.", "topic": "Top/Arts/Movies/Titles/2/20,000_Leagues_Under_the_Sea_-_1916", "url": "http://www.silentera.com/video/twentyThousandLeaguesHV.html"} +{"d:Title": "IMDb: 20,000 Leagues Under the Sea (1916)", "d:Description": "Complete cast and crew credits. Includes comments submitted by users.", "topic": "Top/Arts/Movies/Titles/2/20,000_Leagues_Under_the_Sea_-_1916", "url": "http://www.imdb.com/title/tt0006333/"} +{"d:Title": "20,000 Leagues Under the Sea", "d:Description": "Review and rating (3 out of 4 stars) from All-Reviews.com.", "topic": "Top/Arts/Movies/Titles/2/20,000_Leagues_Under_the_Sea_-_1954", "url": "http://www.all-reviews.com/videos-4/20000-leagues-under-sea.htm"} +{"d:Title": "Twenty Thousand Leagues Under The Sea", "d:Description": "Fan site with multimedia, production information, Disneyland exhibits, and blueprints for modelmakers.", "topic": "Top/Arts/Movies/Titles/2/20,000_Leagues_Under_the_Sea_-_1954", "url": "http://www.20k.com/"} +{"d:Title": "IMDb: 20,000 Leagues Under the Sea (1954)", "d:Description": "Cast and crew information, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/2/20,000_Leagues_Under_the_Sea_-_1954", "url": "http://www.imdb.com/title/tt0046672/"} +{"d:Title": "Kubrick 2001: The Space Odyssey Explained", "d:Description": "A multimedia presentation designed to explain the meanings of the four parts of the film. Requires the Macromedia Flash plug-in.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://www.kubrick2001.com/"} +{"d:Title": "2001: A Space Odyssey Program", "d:Description": "The original cinema program for the 1968 release of 2001. Includes some crew biographies and several movie images.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://www.visual-memory.co.uk/2001/"} +{"d:Title": "2001: A Space Odyssey", "d:Description": "Official Warner Brothers site. Fast facts and FAQs, authoritative full cast and crew credits, and a tribute essay by Anthony Frewin, assistant to Stanley Kubrick.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://kubrickfilms.warnerbros.com/video_detail/2001/"} +{"d:Title": "Underman's 2001", "d:Description": "Extensive information on the film, including FAQs, transcripts, artwork, events, and several original essays.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://www.underview.com/2001.html"} +{"d:Title": "Kubrick's Frankenstein: HAL in 2001: A Space Odyssey", "d:Description": "A detailed analysis by John Thurman of the Frankenstein motif in Kubrick's film, including borrowings from James Whale's 1931 original. Includes screenshots from the film.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://cineprism.wordpress.com/2007/12/11/kubrick%E2%80%99s-frankenstein-hal-in-2001-a-space-odyssey/"} +{"d:Title": "IMDb: 2001: A Space Odyssey (1968)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://www.imdb.com/title/tt0062622/"} +{"d:Title": "Britmovie - 2001: A Space Odyssey", "d:Description": "Plot synopsis, Real Media trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://www.britmovie.co.uk/films/2001-A-Space-Odyssey_1968/listType/alpha"} +{"d:Title": "2001 And Beyond The Infinite", "d:Description": "Critical essay and interpretation of '2001: A Space Odyssey.'", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey", "url": "http://www.modemac.com/cgi-bin/wiki.pl/2001_and_Beyond_the_Infinite"} +{"d:Title": "2001: Credits", "d:Description": "A full list of cast and crew (expanded from the original credits), from Warner Brothers.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Cast_and_Crew", "url": "http://kubrickfilms.warnerbros.com/video_detail/2001/2001Credits.html"} +{"d:Title": "Talking with Con Pederson", "d:Description": "An interview with one of the movie's special effects supervisors.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Cast_and_Crew", "url": "http://www.awn.com/mag/issue4.03/4.03pages/moritzpederson.php3"} +{"d:Title": "Turning Sci-fi into Fact", "d:Description": "A news interview with Harry Lange, a 2001 production designer, about his work on the film. [BBC News]", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Cast_and_Crew", "url": "http://news.bbc.co.uk/1/hi/uk/1247163.stm"} +{"d:Title": "HAL9000 Simulators", "d:Description": "Files for making your Windows or Macintosh desktop look like HAL.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Characters/HAL_9000", "url": "http://www.tbid.com/toybox/pg/hal9000.html"} +{"d:Title": "HAL Corporation", "d:Description": "A HAL 9000 interface and basic information about the computer.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Characters/HAL_9000", "url": "http://dosruiz.tripod.com/hal.html"} +{"d:Title": "2001: A Space Odyssey (A Shrine)", "d:Description": "A few HAL sounds in au format, instructions to the zero gravity toilet, and 2001-related cartoons.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Fan_Pages", "url": "http://www.ee.ryerson.ca/~elf/aso/"} +{"d:Title": "2001: A Space Odyssey", "d:Description": "Information on the film's story and music, by Robert C. Cumbow.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Fan_Pages", "url": "http://members.tripod.com/~odyssey_2001/"} +{"d:Title": "2001: A Personal Odyssey", "d:Description": "Information on topics such as HAL, Discovery, pods, and the centrifuge. Includes a small glossary and links.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Fan_Pages", "url": "http://fpadv.tripod.com/"} +{"d:Title": "Greatest Films", "d:Description": "An extensive review, synopsis, and discussion of the film, by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "http://www.filmsite.org/twot.html"} +{"d:Title": "All-Reviews.com", "d:Description": "A small assortment of reviews.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "http://www.all-reviews.com/videos/spaceodyssey.htm"} +{"d:Title": "Cosmopolis", "d:Description": "A review of Leonard F. Wheat's book on a triple allegory in 2001, a movie that \"tells three hidden stories: Homer's The Odyssey; a spoofy tale based on science-fiction writer Arthur C. Clarke's idea of man-machine symbiosis; and Friedrich Nietzsche's Thus Spake Zarathustra.\"", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "http://www.cosmopolis.ch/english/cosmo9/kubrick2001.htm"} +{"d:Title": "New York Times", "d:Description": "A very positive evaluation, published the day after the movie opened in 1968. Free registration required.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "http://www.nytimes.com/library/film/040468kubrick-2001.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "A dozen reviews and reactions from critics. Includes some general movie information.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "http://www.rottentomatoes.com/m/1000085-2001_a_space_odyssey/"} +{"d:Title": "The Sci-Fi Movie Page: 2001 - A Space Odyssey", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "http://www.scifimoviepage.com/septpick.html"} +{"d:Title": "Ebert's Great Movies", "d:Description": "Commentary and analysis from film critic Roger Ebert. \"The genius is not in how much Stanley Kubrick does in '2001: A Space Odyssey,' but in how little.\"", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "http://www.rogerebert.com/reviews/great-movie-2001-a-space-odyssey-1968"} +{"d:Title": "Future Movies", "d:Description": "A positive review of the remastered DVD.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Reviews", "url": "https://www.futuremovies.co.uk/reviews/2001-a-space-odyssey/nik-huggins"} +{"d:Title": "2001: A Scale Odyssey", "d:Description": "Illustrated reviews of scale models of the 2001 spacecraft.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Odyssey/Spacecraft", "url": "http://www.starshipmodeler.com/2001/2001int.htm"} +{"d:Title": "IMDb: 2001 - A Space Travesty (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/2/2001_-_A_Space_Travesty", "url": "http://www.imdb.com/title/tt0157262/"} +{"d:Title": "NY Rock: 200 Cigarettes", "d:Description": "Detailed, negative review of the film, by critic Brian Farrelly.", "topic": "Top/Arts/Movies/Titles/2/200_Cigarettes", "url": "http://www.nyrock.com/movies/200cigs.htm"} +{"d:Title": "All Reviews: 200 Cigarettes", "d:Description": "Compiled reviews of the film.", "topic": "Top/Arts/Movies/Titles/2/200_Cigarettes", "url": "http://www.all-reviews.com/videos/cigarettes.htm"} +{"d:Title": "IMDb: 200 Cigarettes", "d:Description": "Comprehensive cast and crew credits. Includes a synopsis of the film, and comments submitted by users.", "topic": "Top/Arts/Movies/Titles/2/200_Cigarettes", "url": "http://www.imdb.com/title/tt0137338/"} +{"d:Title": "Sun Times: 200 Cigarettes", "d:Description": "Negative review of the film, by critic Roger Ebert.", "topic": "Top/Arts/Movies/Titles/2/200_Cigarettes", "url": "http://www.rogerebert.com/reviews/200-cigarettes-1999"} +{"d:Title": "IMDb: 2010 (1984)", "d:Description": "Lists cast and crew credits. Includes reviews submitted by users.", "topic": "Top/Arts/Movies/Titles/2/2010", "url": "http://www.imdb.com/title/tt0086837/"} +{"d:Title": "SmartCine: Movie Review", "d:Description": "Film review by Cine Marcos", "topic": "Top/Arts/Movies/Titles/2/2012", "url": "http://www.smartcine.com/2012_review.html"} +{"d:Title": "2012: Who Will Survive", "d:Description": "Official site. Includes the trailer, synopsis, sweepstakes and showtimes.", "topic": "Top/Arts/Movies/Titles/2/2012", "url": "http://www.whowillsurvive2012.com/"} +{"d:Title": "IMDb: 2012", "d:Description": "Photos, showtimes, cast and crew, reviews and plot summary.", "topic": "Top/Arts/Movies/Titles/2/2012", "url": "http://www.imdb.com/title/tt1190080/"} +{"d:Title": "IMDb: 2046", "d:Description": "Cast, crew, reviews, and plot summary.", "topic": "Top/Arts/Movies/Titles/2/2046", "url": "http://www.imdb.com/title/tt0212712/"} +{"d:Title": "Wikipedia: 2046", "d:Description": "Cast listing, international release dates, and extensive music credits.", "topic": "Top/Arts/Movies/Titles/2/2046", "url": "http://en.wikipedia.org/wiki/2046_(movie)"} +{"d:Title": "Sony Pictures Classics: 2046", "d:Description": "Official site from Sony with trailer, review excerpts, synopsis, and links", "topic": "Top/Arts/Movies/Titles/2/2046", "url": "http://www.sonyclassics.com/2046/main.html"} +{"d:Title": "BeyondHollywood.com", "d:Description": "Movie review, cast info, and picture gallery.", "topic": "Top/Arts/Movies/Titles/2/2046", "url": "http://www.beyondhollywood.com/2046-2004-movie-review/"} +{"d:Title": "All Reviews: 20 Dates", "d:Description": "Collected reviews of the film.", "topic": "Top/Arts/Movies/Titles/2/20_Dates", "url": "http://www.all-reviews.com/videos/twenty-dates.htm"} +{"d:Title": "IMDb: 20 Dates", "d:Description": "Crew and cast information, synopsis, trailer, and viewer comments.", "topic": "Top/Arts/Movies/Titles/2/20_Dates", "url": "http://www.imdb.com/title/tt0138987/"} +{"d:Title": "Sun Times: 20 Dates", "d:Description": "Negative review of the film by critic Roger Ebert. Critical of Berkowitz's performance.", "topic": "Top/Arts/Movies/Titles/2/20_Dates", "url": "http://www.rogerebert.com/reviews/20-dates-1999"} +{"d:Title": "Ragnarock Productions: 21", "d:Description": "Official \"21\" film site. Includes cast and crew credits, news regarding the film, and multimedia downloads.", "topic": "Top/Arts/Movies/Titles/2/21_-_2000", "url": "http://21themovie.com/home.htm"} +{"d:Title": "IMDb: 21 (2000)", "d:Description": "Cast and crew credits. Includes comments submitted by users.", "topic": "Top/Arts/Movies/Titles/2/21_-_2000", "url": "http://www.imdb.com/title/tt0224451/"} +{"d:Title": "Rotten Tomatoes: 21", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, and review links.", "topic": "Top/Arts/Movies/Titles/2/21_-_2008", "url": "http://www.rottentomatoes.com/m/10009192-21/"} +{"d:Title": "MovieWeb: 21", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/2/21_-_2008", "url": "http://movieweb.com/movie/21/"} +{"d:Title": "IGN Movies: 21", "d:Description": "News, preview, photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/2/21_-_2008", "url": "http://www.ign.com/movies/21/theater-496269"} +{"d:Title": "HARO Online: 21 Grams", "d:Description": "Contains review and movie stills.", "topic": "Top/Arts/Movies/Titles/2/21_Grams", "url": "http://www.haro-online.com/movies/21grams.html"} +{"d:Title": "1MovieSearch.com: 21 Grams", "d:Description": "Summary and review.", "topic": "Top/Arts/Movies/Titles/2/21_Grams", "url": "http://www.1moviesearch.com/movies/21_grams.htm"} +{"d:Title": "Mooviees!: 21 Grams", "d:Description": "Features photographs, trailers, reviews, cast overview and synopsis.", "topic": "Top/Arts/Movies/Titles/2/21_Grams", "url": "http://www.mooviees.com/2379-21-grams/movie"} +{"d:Title": "Rotten Tomatoes: 21 Grams", "d:Description": "Includes movie stills, reviews, trailer, news, cast and crew.", "topic": "Top/Arts/Movies/Titles/2/21_Grams", "url": "http://www.rottentomatoes.com/m/21_grams/"} +{"d:Title": "Yahoo! Movies: 21 Grams", "d:Description": "Includes synopsis, trailers, production images, cast and credits.", "topic": "Top/Arts/Movies/Titles/2/21_Grams", "url": "http://movies.yahoo.com/movie/21-grams/"} +{"d:Title": "Internet Movie Database: 21 Grams", "d:Description": "Contains plot outline, image gallery, trailer and news articles.", "topic": "Top/Arts/Movies/Titles/2/21_Grams", "url": "http://www.imdb.com/title/tt0315733/"} +{"d:Title": "Future Movies: 21 Grams", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/2/21_Grams", "url": "https://www.futuremovies.co.uk/reviews/21-grams/nik-huggins"} +{"d:Title": "HARO Online: 24 Hour Party People", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/2/24_Hour_Party_People", "url": "http://www.haro-online.com/movies/24hour_party_people.html"} +{"d:Title": "Rotten Tomatoes: 24 Hour Party People", "d:Description": "Links to reviews, photos, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/2/24_Hour_Party_People", "url": "http://www.rottentomatoes.com/m/24_hour_party_people/"} +{"d:Title": "British Film Locations: 24 Hour Party People", "d:Description": "Listing of filming locations for the movie, with maps and additional information.", "topic": "Top/Arts/Movies/Titles/2/24_Hour_Party_People", "url": "http://www.british-film-locations.com/24-Hour-Party-People-2002"} +{"d:Title": "IMDb: 24 Hour Party People", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/2/24_Hour_Party_People", "url": "http://www.imdb.com/title/tt0274309/"} +{"d:Title": "Metacritic: 24 Hour Party People", "d:Description": "Provides quotes from and links to film reviews and an overall score.", "topic": "Top/Arts/Movies/Titles/2/24_Hour_Party_People", "url": "http://www.metacritic.com/movie/24-hour-party-people"} +{"d:Title": "IMDb: The 24 Hour Woman", "d:Description": "Comprehensive cast and crew credits. Includes reviews submitted by users.", "topic": "Top/Arts/Movies/Titles/2/24_Hour_Woman,_The", "url": "http://www.imdb.com/title/tt0138279/"} +{"d:Title": "Nitrate Online - 25th Hour", "d:Description": "Cynthia Fuchs remarks in her review that this is \"an uncommonly resonant film.\"", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://www.nitrateonline.com/2002/r25thhour.html"} +{"d:Title": "All-Reviews.com - 25th Hour", "d:Description": "Reader-submitted review, rating.", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://www.all-reviews.com/videos-5/25th-hour.htm"} +{"d:Title": "HARO Online: 25th Hour", "d:Description": "A plot synopsis and brief review of the film.", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://www.haro-online.com/movies/25th_hour.html"} +{"d:Title": "Cinema Gotham: 25th Hour Spike Lee Interview", "d:Description": "Interview with the director about the film and his New York roots.", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://www.dvdtalk.com/cinemagotham/archives/001006.html"} +{"d:Title": "About.com: 25th Hour", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://homevideo.about.com/library/weekly/aafpr051503.htm"} +{"d:Title": "Rotten Tomatoes: 25th Hour", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://www.rottentomatoes.com/m/25th_hour/"} +{"d:Title": "IMDb: 25th Hour", "d:Description": "Cast and crew information, synopsis and comments.", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://www.imdb.com/title/tt0307901/"} +{"d:Title": "Metacritic: 25th Hour", "d:Description": "Provides quotes from and links to a variety of reviews of \"25 Hour,\" plus cast information and an overall score.", "topic": "Top/Arts/Movies/Titles/2/25th_Hour", "url": "http://www.metacritic.com/movie/25th-hour"} +{"d:Title": "IMDb: 27 Dresses (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/2/27_Dresses", "url": "http://www.imdb.com/title/tt0988595/"} +{"d:Title": "Rotten Tomatoes: 27 Dresses", "d:Description": "Synopsis, cast list, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/2/27_Dresses", "url": "http://www.rottentomatoes.com/m/27_dresses/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, production, critical reception, and box office results.", "topic": "Top/Arts/Movies/Titles/2/27_Dresses", "url": "http://en.wikipedia.org/wiki/27_Dresses"} +{"d:Title": "USA Today: 27 Dresses", "d:Description": "Review, by Claudia Puig: \"27 Dresses is like one of the many bridesmaid dresses featured in the film: frothy, predictable and over the top.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/2/27_Dresses", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-01-17-27-dresses_N.htm"} +{"d:Title": "MovieWeb: 27 Dresses (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/2/27_Dresses", "url": "http://movieweb.com/movie/27-dresses/"} +{"d:Title": "IMDb: 28 Days", "d:Description": "Detailed cast and crew credits. Includes comments submitted by users.", "topic": "Top/Arts/Movies/Titles/2/28_Days", "url": "http://www.imdb.com/title/tt0191754/"} +{"d:Title": "Allreaders: 28 Days", "d:Description": "Detailed analysis of the film, focuses on characterization, structural development and thematic elements.", "topic": "Top/Arts/Movies/Titles/2/28_Days", "url": "http://allreaders.com/movie-review-summary/28-days-4941"} +{"d:Title": "All Reviews: 28 Days", "d:Description": "Collected reviews of the film.", "topic": "Top/Arts/Movies/Titles/2/28_Days/Reviews", "url": "http://www.all-reviews.com/videos/days-28.htm"} +{"d:Title": "HaroOnline: 28 Days", "d:Description": "Negative review of the film. Very critical of Susannah Grant's script.", "topic": "Top/Arts/Movies/Titles/2/28_Days/Reviews", "url": "http://www.haro-online.com/movies/28days.html"} +{"d:Title": "PopMatters: 28 Days", "d:Description": "Comprehensive review of the film by critic Cynthia Fuchs. Critical of the film's plot and treatment of its subject matter.", "topic": "Top/Arts/Movies/Titles/2/28_Days/Reviews", "url": "http://popmatters.com/film/reviews/t/28-days.html"} +{"d:Title": "Rotten Tomatoes: 28 Days", "d:Description": "Compiled reviews from top critics. Also Includes news, trailers, screen captures and synopsis.", "topic": "Top/Arts/Movies/Titles/2/28_Days/Reviews", "url": "http://www.rottentomatoes.com/m/28_days/"} +{"d:Title": "Metacritic.com: 28 Days", "d:Description": "A cross-section of reviews from top critics, ranked by review score.", "topic": "Top/Arts/Movies/Titles/2/28_Days/Reviews", "url": "http://www.metacritic.com/movie/28-days"} +{"d:Title": "IMDb - 28 Days Later", "d:Description": "Contains cast and crew details, quotes, goofs, pictures, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/2/28_Days_Later_Series/28_Days_Later", "url": "http://www.imdb.com/title/tt0289043/"} +{"d:Title": "Future Movies: 28 Days Later", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/2/28_Days_Later_Series/28_Days_Later", "url": "https://www.futuremovies.co.uk/reviews/28-days-later/matt-mcallister"} +{"d:Title": "BeyondHollywood.com", "d:Description": "Movie review, rating, cast, and picture gallery.", "topic": "Top/Arts/Movies/Titles/2/28_Days_Later_Series/28_Days_Later", "url": "http://www.beyondhollywood.com/28-days-later-2002-movie-review/"} +{"d:Title": "Rotten Tomatoes: 28 Weeks Later", "d:Description": "Review links, synopsis, critical consensus, mistakes, production notes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/2/28_Days_Later_Series/28_Weeks_Later", "url": "http://www.rottentomatoes.com/m/28_weeks_later/"} +{"d:Title": "IMDb: 28 Weeks Later (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/2/28_Days_Later_Series/28_Weeks_Later", "url": "http://www.imdb.com/title/tt0463854/"} +{"d:Title": "IGN Movies: 28 Weeks Later", "d:Description": "Reviews, news, photos, videos, and box office data.", "topic": "Top/Arts/Movies/Titles/2/28_Days_Later_Series/28_Weeks_Later", "url": "http://www.ign.com/movies/28-weeks-later/theater-820981"} +{"d:Title": "MovieWeb: 28 Weeks Later", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/2/28_Days_Later_Series/28_Weeks_Later", "url": "http://movieweb.com/movie/28-weeks-later/"} +{"d:Title": "Rotten Tomatoes: 2 Days in the Valley", "d:Description": "Large compilation of film reviews. Critic's opinions are compiled to produce a \"freshness\" rating for the film.", "topic": "Top/Arts/Movies/Titles/2/2_Days_in_the_Valley", "url": "http://www.rottentomatoes.com/m/2_days_in_the_valley/"} +{"d:Title": "2 Days in the Valley", "d:Description": "Official site from MGM pictures. Contains synopsis and credit information.", "topic": "Top/Arts/Movies/Titles/2/2_Days_in_the_Valley", "url": "http://www.mgm.com/title_title.php?title_star=TWODAYSI"} +{"d:Title": "IMDB : 3:10 to Yuma (1957)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma", "url": "http://www.imdb.com/title/tt0050086/"} +{"d:Title": "IMDb: 3:10 to Yuma - 2007", "d:Description": "Features include full cast and crew, external reviews, release dates and discussion board.", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma_-_2007", "url": "http://www.imdb.com/title/tt0381849/"} +{"d:Title": "First Pics From 3:10 To Yuma", "d:Description": "First official images released from Lionsgate\u2019s upcoming western, 3:10 to Yuma.", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma_-_2007", "url": "http://www.cinemablend.com/new/First-Pics-From-3-10-To-Yuma-4981.html"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, production, and critical reception.", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma_-_2007", "url": "http://en.wikipedia.org/wiki/3:10_to_Yuma_(2007_film)"} +{"d:Title": "Yahoo Movies: 3:10 to Yuma", "d:Description": "Offers theatrical trailer in multiple format options.", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma_-_2007", "url": "https://www.yahoo.com/movies/film/310-to-yuma-2007"} +{"d:Title": "IGN Movies: 3:10 to Yuma", "d:Description": "Photos, videos, news, message board, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma_-_2007", "url": "http://www.ign.com/movies/310-to-yuma-2007/theater-568835"} +{"d:Title": "Movie Forums - 3:10 to Yuma", "d:Description": "Chris Bowyer gives the film 4 out of 5 stars in his review.", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma_-_2007", "url": "http://www.movieforums.com/reviews/1081599-310-to-yuma.html"} +{"d:Title": "MovieWeb: 3:10 to Yuma", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/3/3'10_to_Yuma_-_2007", "url": "http://movieweb.com/movie/310-to-yuma/"} +{"d:Title": "IMDB : 3-Ring Wing-Ding (1968)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3-Ring_Wing-Ding", "url": "http://www.imdb.com/title/tt0062624/"} +{"d:Title": "IMDb - Three Way (2004)", "d:Description": "Synopsis, cast and crew information, user comments.", "topic": "Top/Arts/Movies/Titles/3/3-Way", "url": "http://www.imdb.com/title/tt0368343/"} +{"d:Title": "IMDB : 30, Still Single: Contemplating Suicide (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/30,_Still_Single_-_Contemplating_Suicide", "url": "http://www.imdb.com/title/tt0190217/"} +{"d:Title": "ICv2 News - '300' Movie Nears Completion", "d:Description": "Information on shooting schedules, possible release date, and director Zach Snyder's vision of bringing the Frank Miller comic book to the big screen.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.icv2.com/articles/home/7997.html"} +{"d:Title": "300 Blogs about Frank Miller's \"300\"", "d:Description": "Provides multi-lingual news and journal entries focusing on both the comic book and upcoming movie regarding the 480 B.C. Battle of Thermopylae.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://300blogs.blogspot.com/"} +{"d:Title": "IMDb: \"300\"", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.imdb.com/title/tt0416449/"} +{"d:Title": "IndieLondon: 300 Preview", "d:Description": "300 is a Greek epic based on Frank Miller\u2019s graphic novel of the same name. By Jack Foley.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.indielondon.co.uk/Film-Review/300-preview"} +{"d:Title": "Just Jared: \u2018300\u2032 Movie Stills", "d:Description": "Offers high-resolution image gallery, brief commentary and movie trailer.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.justjared.com/2007/02/07/300-movie-stills/#more-1184"} +{"d:Title": "RottenTomatoes: 300", "d:Description": "Includes reviews, movie details, trailer, poster, photos, news, articles and forum.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.rottentomatoes.com/m/300/"} +{"d:Title": "BoxOfficeMojo: 300", "d:Description": "Offers box office data, related news articles, link to comprehensive review, image gallery, viewer grades, trailers in various formats including high-definition and movies of similar style.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.boxofficemojo.com/movies/?id=300.htm"} +{"d:Title": "True Artwork on Big Screen - 300", "d:Description": "One of the definitions of Artwork is \u2018that which having been done intentionally is capable of producing the sentiments and expressions aimed at by the artist\u2019. By Pareen.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://pareen.wordpress.com/2007/04/20/true-artwork-on-big-screen-300/"} +{"d:Title": "IndieLondon: 300", "d:Description": "Offers trailer, clips, podcats and cast interviews in a variety of formats.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.indielondon.co.uk/Film-Review/300-watch-clips-and-podcasts"} +{"d:Title": "300 Quotes", "d:Description": "Features quotes and viewer rating system from the Zack Snyder film.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.reelmovienews.com/quotes/movies/300/"} +{"d:Title": "300 on Yahoo Movies", "d:Description": "Offers exclusive clips from the film, production stills, cast lists and message board.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://movies.yahoo.com/movie/300/"} +{"d:Title": "SoundtrackNet : Pick Of The Week - 300", "d:Description": "300 is among Tyler Bates' best work. The impressive scale of the score, incorporating large orchestra and choir with soloists and electric elements, blends together well to create a modern, yet ethnic sound, well-suited for the heroes in the film.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.soundtrack.net/content/article/?id=220"} +{"d:Title": "300: Film Database", "d:Description": "Offers film information, production stills, movie posters, plot summary and full library of video teasers, trailers, video journals and television spots.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://www.comingsoon.net/movie/300-2007"} +{"d:Title": "300 in Fifteen Minutes", "d:Description": "Blogger Cleolinda Jones offers a humorous parody on the movie, \"300\".", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://m15m.livejournal.com/14864.html?style=mine"} +{"d:Title": "Zack Snyder on Directing 300", "d:Description": "Interview with the director covers production timing, historical discrepancies, plans for the DVD, acting challenges, and the music. [Movieweb.com]", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://movieweb.com/zack-snyder-on-directing-300/"} +{"d:Title": "Warner Backs Frank Miller's \"300\"", "d:Description": "Commentary addresses film adaptation, storyboard treatment and the casting of Gerard Butler.", "topic": "Top/Arts/Movies/Titles/3/300", "url": "http://movieweb.com/warner-backs-frank-millers-300/"} +{"d:Title": "Filmstalker: 300", "d:Description": "As soon as the film begins you can see the superb style, the colours, the effects of the skies, everything makes it look like a stylised comic, and it looks glorious. Review by Richard Brunton.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.filmstalker.co.uk/archives/2007/02/300.html"} +{"d:Title": "\"300\" - The CBR Review", "d:Description": "Every moment is a moment. Not one second is wasted. In this fashion, \"300\" is relentless and will leave its viewers exhausted, because they will have lived it. By Andy Khouri.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=9659"} +{"d:Title": "300 Is Screened", "d:Description": "The movie has very similar quality as Sin City.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://superherohype.com/news/300news.php?id=4654"} +{"d:Title": "Mark Cronan: \"300\" Movie Review", "d:Description": "Advanced screening focus group participant calls the film \"a chest thumping, dirty, writhing mass of violence at times\".", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://markcronan.livejournal.com/33814.html"} +{"d:Title": "Shadows on the Wall: 300", "d:Description": "While very good, Butler plays every scene full-power, bellowing his dialog and flexing his oiled muscles manfully while brandishing an enormous sword. By Rich Cline.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.shadowsonthewall.co.uk/07/threhund.htm"} +{"d:Title": "CinemaBlend: 300", "d:Description": "Snyder\u2019s take on the film is a fantasy, the way the battle would have looked in the minds of the Greeks, as they tell the story of the 300\u2019s sacrifice. By Josh Tyler.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.cinemablend.com/reviews/300-2149.html"} +{"d:Title": "DVDWolf.com: 300 Review", "d:Description": "In my top 10 most anticipated films of 2007, I put 300 at #4. By Jay Clarke.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.dvdwolf.com/templates/dsp_movie.php?u_movieid=74632#Review"} +{"d:Title": "Why Women Should Go See 300", "d:Description": "For women, the entire movie is eye candy. By Alex Billington.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.firstshowing.net/2007/03/07/why-women-should-go-see-300/"} +{"d:Title": "Movie Review: 300", "d:Description": "The overblown 300 slices, dices and largely decimates any sign of intelligent life in a computer-generated, music video-styled monster mash that calls itself a movie. By Scott Holleran.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://boxofficemojo.com/reviews/?id=2267&p=l.htm"} +{"d:Title": "ReelingReviews: 300", "d:Description": "The result is a solid mix of live action and computer animation. By Robin and Laura Clifford.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.reelingreviews.com/300.htm"} +{"d:Title": "CSMonitor.com: '300' is Geek Mythology", "d:Description": "Everything is overscaled in this film adaptation of Frank Miller's graphic novel. By Peter Rainer.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.csmonitor.com/2007/0309/p14s01-almo.html"} +{"d:Title": "MovieBoy Review, The: 300", "d:Description": "\"300\" is all flash and no feeling. By Dustin Putman.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.themovieboy.com/reviews/t/07_300.htm"} +{"d:Title": "Cranky Critic Movie Reviews: 300", "d:Description": "Cool history beautifully adapting the graphic novel. By Chuck Schwartz.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.crankycritic.com/archive07/300.html"} +{"d:Title": "CNN.com: Review '300' Far From Perfect", "d:Description": "It's not so much the body count or even the blood lust that's disturbing. It's that the film, with its macho militarism, seems out of step in a war-weary time. By Tom Charity.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://edition.cnn.com/2007/SHOWBIZ/Movies/03/09/review.300/"} +{"d:Title": "300 Online Press Events Are Good", "d:Description": "Zach Synder, writer Frank Miller and actors Gerard Butler, Lena Headey and Rodrigo Santoro answer questions presented by online bloggers and podcasters. By Brian Cronin.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://goodcomics.comicbookresources.com/2007/03/19/300-online-press-events-are-good/"} +{"d:Title": "Film School Rejects: 300 Gets A Standing Ovation in Berlin", "d:Description": "Article covering the World opening night in Berlin, Germany. Includes photos. By Neil Miller.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.filmschoolrejects.com/news/300-gets-a-standing-ovation-in-berlin.php"} +{"d:Title": "Numerical Superiority", "d:Description": "Led by Leonidas, a band of devoted warriors take their positions at the Hot Gates knowing they may never come back.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.asianjournal.com/?c=107&a=18389"} +{"d:Title": "TheStar.com: Sparta? No. This Is Madness", "d:Description": "The battle of Thermopylae was real, but how real is 300? Ephraim Lytle, assistant professor of hellenistic history at the University of Toronto offers his view.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.thestar.com/article/190493"} +{"d:Title": "IndieLondon: 300 - Review", "d:Description": "Make no mistake. It\u2019s a testosterone-driven, graphically violent spectacle that sweeps you along with its sheer bloody-minded determination to entertain.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.indielondon.co.uk/Film-Review/300-review"} +{"d:Title": "DVD Talk Review: 300", "d:Description": "Rather than jumping right into what doesn't work with 300, let me say what does work. By David Walker.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.dvdtalk.com/reviews/26884/300/"} +{"d:Title": "Slant Magazine - Film Review: 300", "d:Description": "A cold synthetic invention, 300 catches the eye but leaves the heart indifferent\u2014devoid of meaning, to be sure, but, more detrimentally, devoid of feeling. By Nick Schager.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.slantmagazine.com/film/review/three-hundred"} +{"d:Title": "ReelViews: 300", "d:Description": "300 may not offer masterful storytelling in a conventional sense, but it's hard to beat as a spectacle and that makes it worthwhile viewing for all but the most squeamish of potential audience members. By James Berardinelli.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.reelviews.net/reelviews/300"} +{"d:Title": "FlickFilosopher.com: 300", "d:Description": "Director Zack Snyder takes a far, far older tale and reconnects us to it in a way that reminds us of the power of myth and should, if approached with a wary, knowing eye, remind us how that power has always been used to serve other, less entertaining purposes as well. By MaryAnn Johanson.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.flickfilosopher.com/2007/03/300-review.html"} +{"d:Title": "ComingSoon.net: 300", "d:Description": "Not content with merely bringing the visuals of Frank Miller's amazing historic epic to the screen verbatim, Zack Snyder actually improves upon them, using his equally sick imagination and a solid cast to sell this amazing story of the mighty Spartans. By Todd Gilchrist.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.comingsoon.net/movies/reviews/19031-300-2"} +{"d:Title": "Slate Magazine: A Movie Only a Spartan Could Love", "d:Description": "If 300, the new battle epic based on the graphic novel by Frank Miller and Lynn Varley, had been made in Germany in the mid-1930s, it would be studied today alongside The Eternal Jew as a textbook example of how race-baiting fantasy and nationalist myth can serve as an incitement to total war. By Dana Stevens.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews", "url": "http://www.slate.com/articles/arts/movies/2007/03/a_movie_only_a_spartan_could_love.html"} +{"d:Title": "DVD Review: 300", "d:Description": "\"300\" is dazzling visually, but the film does come up short on substance; even just a bit more character development would have made the film more engaging.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews/DVD", "url": "http://www.currentfilm.com/dvdreviews9/300dvd.html"} +{"d:Title": "300: Special Edition", "d:Description": "Possibly the biggest cinematic disappointment we\u2019ll see in 2007, 300 takes an interesting premise and turns the material into laughable schlock. By Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews/DVD", "url": "http://www.dvdmg.com/300.shtml"} +{"d:Title": "Qnetwork: 300", "d:Description": "Anyone who thinks beyond the digitally-enhanced surface of 300 and the accompanying exhilaration it often creates might feel slightly sick at having just swallowed what may be the most impressive bit of fascist fantasy worship since World War II. By James Kendrick.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews/DVD", "url": "http://www.qnetwork.com/?page=review&id=1851"} +{"d:Title": "DVD Talk Review: 300: 2-Disc Special Edition", "d:Description": "Aggressive, atmospheric and over-the-top, 300 should please action fans and followers of Frank Miller's work. By Randy Miller III.", "topic": "Top/Arts/Movies/Titles/3/300/Reviews/DVD", "url": "http://www.dvdtalk.com/reviews/29298/300-2-disc-special-edition/"} +{"d:Title": "All-Reviews.com", "d:Description": "Offers multiple reviews for the film.", "topic": "Top/Arts/Movies/Titles/3/3000_Miles_to_Graceland", "url": "http://www.all-reviews.com/videos-2/3000-miles-graceland.htm"} +{"d:Title": "HARO Online", "d:Description": "Offers a review of the film.", "topic": "Top/Arts/Movies/Titles/3/3000_Miles_to_Graceland", "url": "http://www.haro-online.com/movies/3000_miles_to_graceland.html"} +{"d:Title": "Ninth Symphony Films: 3000 Miles to Graceland", "d:Description": "Negative review which looks at the failing points of the film.", "topic": "Top/Arts/Movies/Titles/3/3000_Miles_to_Graceland", "url": "http://regencylady.tripod.com/site/filmreviews/3000milestograceland.html"} +{"d:Title": "3000 Miles To Graceland", "d:Description": "Includes lyrics to the songs on the soundtrack for this film.", "topic": "Top/Arts/Movies/Titles/3/3000_Miles_to_Graceland", "url": "http://3000milestograceland.tripod.com/3000milestograceland.html"} +{"d:Title": "Metacritic.com: 3000 Miles to Graceland", "d:Description": "A links to other reviews from movie critics with a summary score.", "topic": "Top/Arts/Movies/Titles/3/3000_Miles_to_Graceland", "url": "http://www.metacritic.com/movie/3000-miles-to-graceland"} +{"d:Title": "IMDB : 300 Spartans, The (1962)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/300_Spartans,_The", "url": "http://www.imdb.com/title/tt0055719/"} +{"d:Title": "IMDB : 300 Year Weekend, The (1971)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/300_Year_Weekend,_The", "url": "http://www.imdb.com/title/tt0066734/"} +{"d:Title": "TV Guide Online: 30 Days", "d:Description": "A review by Maitland McDonagh along with cast and credits.", "topic": "Top/Arts/Movies/Titles/3/30_Days", "url": "http://www.tvguide.com/movies/30-days/134785"} +{"d:Title": "IMDB : 30 Days (1999)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/30_Days", "url": "http://www.imdb.com/title/tt0175414/"} +{"d:Title": "IMDB : 30 Foot Bride of Candy Rock, The (1959)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/30_Foot_Bride_of_Candy_Rock,_The", "url": "http://www.imdb.com/title/tt0052529/"} +{"d:Title": "IMDB : 30 Is a Dangerous Age, Cynthia (1968)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/30_Is_a_Dangerous_Age,_Cynthia", "url": "http://www.imdb.com/title/tt0062625/"} +{"d:Title": "IMDb - 30 Million Dollar Rush [Heng cai san qian wan] (1985)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/3/30_Million_Dollar_Rush", "url": "http://www.imdb.com/title/tt0089270/"} +{"d:Title": "IMDB : 30 Years of Fun (1963)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/30_Years_of_Fun", "url": "http://www.imdb.com/title/tt0056799/"} +{"d:Title": "Rotten Tomatoes: 30 Years to Life", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/3/30_Years_to_Life", "url": "http://www.rottentomatoes.com/m/30_years_to_life/"} +{"d:Title": "IMDb: 30 Years to Life", "d:Description": "Cast and crew, synopsis, reviews, technical details, awards information, and related links.", "topic": "Top/Arts/Movies/Titles/3/30_Years_to_Life", "url": "http://www.imdb.com/title/tt0273048/"} +{"d:Title": "Metacritic.com: 30 Years to Life", "d:Description": "Provides quotes from and links to reviews of the film, with an overall rating.", "topic": "Top/Arts/Movies/Titles/3/30_Years_to_Life", "url": "http://www.metacritic.com/movie/30-years-to-life"} +{"d:Title": "IMDB : 35 Aside (1995)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/35_Aside", "url": "http://www.imdb.com/title/tt0112259/"} +{"d:Title": "IMDB : 35 Miles from Normal (1997)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/35_Miles_from_Normal", "url": "http://www.imdb.com/title/tt0134271/"} +{"d:Title": "IMDb: 35 Up (1991)", "d:Description": "Full cast and crew for the film, plot summary, and comments.", "topic": "Top/Arts/Movies/Titles/3/35_Up", "url": "http://www.imdb.com/title/tt0101254/"} +{"d:Title": "IMDB : 364 Girls a Year (1996)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/364_Girls_a_Year", "url": "http://www.imdb.com/title/tt0115440/"} +{"d:Title": "TV Guide Online: 365 Nights In Hollywood", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/3/365_Nights_in_Hollywood", "url": "http://www.tvguide.com/movies/365-nights-hollywood/120466"} +{"d:Title": "IMDB : 365 Nights In Hollywood (1934)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/365_Nights_in_Hollywood", "url": "http://www.imdb.com/title/tt0024808/"} +{"d:Title": "IMDB : 36 Chowringhee Lane (1981)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/36_Chowringhee_Lane", "url": "http://www.imdb.com/title/tt0081968/"} +{"d:Title": "IMDB : 36 Hours (1954)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/36_Hours_-_1954", "url": "http://www.imdb.com/title/tt0046411/"} +{"d:Title": "TV Guide Online: 36 Hours", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/3/36_Hours_-_1964", "url": "http://www.tvguide.com/movies/36-hours/126043"} +{"d:Title": "IMDb: 36 Hours (1964)", "d:Description": "Full cast and crew for the film, plot summary, and comments.", "topic": "Top/Arts/Movies/Titles/3/36_Hours_-_1964", "url": "http://www.imdb.com/title/tt0057809/"} +{"d:Title": "iMDB : 36 Hours to Kill (1936)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/36_Hours_to_Kill", "url": "http://www.imdb.com/title/tt0027247/"} +{"d:Title": "IMDB : 37 Stories About Leaving Home (1997)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/37_Stories_About_Leaving_Home", "url": "http://www.imdb.com/title/tt0130435/"} +{"d:Title": "Greatest Films: The Thirty-Nine Steps", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/3/39_Steps,_The", "url": "http://www.filmsite.org/thirt.html"} +{"d:Title": "Scotland the Movie Location Guide - The 39 Steps", "d:Description": "Scottish filming locations for The 39 Steps", "topic": "Top/Arts/Movies/Titles/3/39_Steps,_The", "url": "http://www.scotlandthemovie.com/movies/fsteps1.html"} +{"d:Title": "IMDB : 39 Steps, The (1935)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/39_Steps,_The", "url": "http://www.imdb.com/title/tt0026029/"} +{"d:Title": "IMDb: 3 -The Dale Earnhardt Story (2004)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/3/3_-_The_Dale_Earnhardt_Story", "url": "http://www.imdb.com/title/tt0401088/"} +{"d:Title": "3: The Dale Earnhardt Story - Wikipedia", "d:Description": "Production details and notes about this TV movie.", "topic": "Top/Arts/Movies/Titles/3/3_-_The_Dale_Earnhardt_Story", "url": "http://en.wikipedia.org/wiki/3:_The_Dale_Earnhardt_Story"} +{"d:Title": "3: The Dale Earnhardt Story - 7M Pictures", "d:Description": "DVD Review by Kevin Carr.", "topic": "Top/Arts/Movies/Titles/3/3_-_The_Dale_Earnhardt_Story", "url": "http://www.7mpictures.com/inside/reviews/3dvd_review.htm"} +{"d:Title": "ESPN - 3", "d:Description": "Official site with credits, photo gallery, event time line, and downloads. [Flash]", "topic": "Top/Arts/Movies/Titles/3/3_-_The_Dale_Earnhardt_Story", "url": "http://www.espn.com/three/"} +{"d:Title": "IMDB : 3 A.M. (1975)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_AM", "url": "http://www.imdb.com/title/tt0194635/"} +{"d:Title": "IMDB : 3 Beauties and a Maid (1982)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Beauties_and_a_Maid", "url": "http://www.imdb.com/title/tt0131205/"} +{"d:Title": "IMDB : 3 Days (1984)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Days", "url": "http://www.imdb.com/title/tt0149561/"} +{"d:Title": "IMDB : 3 Days of Rain (1999)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Days_of_Rain", "url": "http://www.imdb.com/title/tt0162838/"} +{"d:Title": "IMDB : 3 Dumb Clucks (1937)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Dumb_Clucks", "url": "http://www.imdb.com/title/tt0029665/"} +{"d:Title": "IMDB : 3 Godfathers (1948)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Godfathers", "url": "http://www.imdb.com/title/tt0040064/"} +{"d:Title": "IMDB : 3 Little Ninjas and the Lost Treasure (1990)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Little_Ninjas_and_the_Lost_Treasure", "url": "http://www.imdb.com/title/tt0098965/"} +{"d:Title": "IMDB : 3 Men and a Little Lady (1990)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Men_and_a_Little_Lady", "url": "http://www.imdb.com/title/tt0098966/"} +{"d:Title": "IMDB : 3 Ninjas Knuckle Up (1995)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Ninjas", "url": "http://www.imdb.com/title/tt0112255/"} +{"d:Title": "IMDB : 3 Ninjas Kick Back (1994)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Ninjas", "url": "http://www.imdb.com/title/tt0109015/"} +{"d:Title": "IMDB : 3 Ninjas (1992)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Ninjas", "url": "http://www.imdb.com/title/tt0103596/"} +{"d:Title": "IMDB : 3 Ninjas: High Noon at Mega Mountain (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Ninjas", "url": "http://www.imdb.com/title/tt0118539/"} +{"d:Title": "IMDB : 3 Nuts in Search of a Bolt (1964)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Nuts_in_Search_of_a_Bolt", "url": "http://www.imdb.com/title/tt0057808/"} +{"d:Title": "PopMatters - 3 Strikes", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/3/3_Strikes", "url": "http://popmatters.com/film/reviews/t/three-strikes.html"} +{"d:Title": "Rotten Tomatoes - 3 Strikes", "d:Description": "Reviews, previews, production notes, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/3/3_Strikes", "url": "http://www.rottentomatoes.com/m/3_strikes/"} +{"d:Title": "3 Strikes", "d:Description": "Official site offering cast and crew, synopsis, and downloads.", "topic": "Top/Arts/Movies/Titles/3/3_Strikes", "url": "http://www.mgm.com/title_title.php?title_star=THREESTK"} +{"d:Title": "Metacritic.com: 3 Strikes", "d:Description": "A cross-section of reviews from movie critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/3/3_Strikes", "url": "http://www.metacritic.com/movie/3-strikes"} +{"d:Title": "IMDb - 3 Strikes", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/3/3_Strikes", "url": "http://www.imdb.com/title/tt0199290/"} +{"d:Title": "Yahoo! Movies: 3 Strikes", "d:Description": "Film information, synopsis, DVD details, trailer, credits, user reviews, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/3/3_Strikes", "url": "https://www.yahoo.com/movies/film/3-strikes"} +{"d:Title": "TV Guide Online: 3 Women", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/3/3_Women", "url": "http://www.tvguide.com/movies/3-women/120502"} +{"d:Title": "IMDB : 3 Women (1977)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Women", "url": "http://www.imdb.com/title/tt0075612/"} +{"d:Title": "IMDB : 3 Worlds of Gulliver, The (1960)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/3/3_Worlds_of_Gulliver,_The", "url": "http://www.imdb.com/title/tt0053882/"} +{"d:Title": "IMDb: 40 (1999)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/4/40", "url": "http://www.imdb.com/title/tt0291695/"} +{"d:Title": "IMDb: Quatre cents coups, Les (1959)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/4/400_Blows,_The", "url": "http://www.imdb.com/title/tt0053198/"} +{"d:Title": "Chicago Sun-Times: The 400 Blows", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/4/400_Blows,_The", "url": "http://www.rogerebert.com/reviews/great-movie-the-400-blows-1959"} +{"d:Title": "HARO Online: 40 Days and 40 Nights", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/4/40_Days_and_40_Nights", "url": "http://www.haro-online.com/movies/40days_40nights.html"} +{"d:Title": "All-Reviews.com: 40 Days and 40 Nights", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/4/40_Days_and_40_Nights", "url": "http://all-reviews.com/videos-4/40-days-40-nights.htm"} +{"d:Title": "Rotten Tomatoes: 40 Days and 40 Nights", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/4/40_Days_and_40_Nights", "url": "http://www.rottentomatoes.com/m/40_days_and_40_nights/"} +{"d:Title": "IMDb: 40 Days and 40 Nights (2002)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/4/40_Days_and_40_Nights", "url": "http://www.imdb.com/title/tt0243736/"} +{"d:Title": "Metacritic.com: 40 Days and 40 Nights", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/4/40_Days_and_40_Nights", "url": "http://www.metacritic.com/movie/40-days-and-40-nights"} +{"d:Title": "All-Reviews.com: 48 Hours", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/4/48_Hrs._Series/48_Hrs.", "url": "http://www.all-reviews.com/videos-4/48-hours.htm"} +{"d:Title": "Rotten Tomatoes: 48 Hrs.", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/4/48_Hrs._Series/48_Hrs.", "url": "http://www.rottentomatoes.com/m/48_hrs/"} +{"d:Title": "IMDb: 48 Hrs. (1982)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/4/48_Hrs._Series/48_Hrs.", "url": "http://www.imdb.com/title/tt0083511/"} +{"d:Title": "Rotten Tomatoes: Another 48 Hrs.", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/4/48_Hrs._Series/Another_48_Hrs.", "url": "http://www.rottentomatoes.com/m/another_48_hrs/"} +{"d:Title": "TV Guide Online: Another 48 Hours", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/4/48_Hrs._Series/Another_48_Hrs.", "url": "http://www.tvguide.com/movies/48-hrs/128030"} +{"d:Title": "IMDb: Another 48 Hrs. (1990)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/4/48_Hrs._Series/Another_48_Hrs.", "url": "http://www.imdb.com/title/tt0099044/"} +{"d:Title": "Rotten Tomatoes: The 4th Floor", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/4/4th_Floor,_The", "url": "http://www.rottentomatoes.com/m/4th_floor/"} +{"d:Title": "IMDb - The 4th Floor (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/4/4th_Floor,_The", "url": "http://www.imdb.com/title/tt0167752/"} +{"d:Title": "Rotten Tomatoes: 4 Months, 3 Weeks and 2 Days", "d:Description": "Synopsis, cast members, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/4/4_Months,_3_Weeks_and_2_Days", "url": "http://www.rottentomatoes.com/m/4_months_3_weeks_and_2_days/"} +{"d:Title": "Time: 4 Months, 3 Weeks and 2 Days", "d:Description": "Review, by Richard Corliss: \"One of the strongest movies in recent years.\"", "topic": "Top/Arts/Movies/Titles/4/4_Months,_3_Weeks_and_2_Days", "url": "http://www.time.com/time/arts/article/0,8599,1709046,00.html"} +{"d:Title": "Wall Street Journal: 4 Months, 3 Weeks and 2 Days", "d:Description": "Review, by Joe Morgenstern: \"Elegantly crafted, brilliantly acted film.\"", "topic": "Top/Arts/Movies/Titles/4/4_Months,_3_Weeks_and_2_Days", "url": "http://www.wsj.com/articles/SB120121992778015271"} +{"d:Title": "USA Today: 4 Months, 3 Weeks and 2 Days", "d:Description": "Review, by Claudia Puig: \"Depressing and gut-wrenching, but always powerful and gripping.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/4/4_Months,_3_Weeks_and_2_Days", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-01-31-4-months_N.htm"} +{"d:Title": "Moviefone", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/4/4_Months,_3_Weeks_and_2_Days", "url": "https://www.moviefone.com/movie/4-months-3-weeks-and-2-days/31045/main/"} +{"d:Title": "MovieWeb: 4 Months, 3 Weeks and 2 Days", "d:Description": "Summary, reviews, photos, videos, synopsis, and box office data.", "topic": "Top/Arts/Movies/Titles/4/4_Months,_3_Weeks_and_2_Days", "url": "http://movieweb.com/movie/4-months-3-weeks-and-2-days/"} +{"d:Title": "Rotten Tomatoes: The 5,000 Fingers of Dr. T", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/5/5,000_Fingers_of_Dr._T,_The", "url": "http://www.rottentomatoes.com/m/5000_fingers_of_dr_t/"} +{"d:Title": "IMDb: The 5,000 Fingers of Dr. T. (1953)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/5/5,000_Fingers_of_Dr._T,_The", "url": "http://www.imdb.com/title/tt0045464/"} +{"d:Title": "Tumblr: 500 Days of Summer", "d:Description": "Aggregator of images, links to articles, and blog posts.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://500daysofsummer.tumblr.com/rss"} +{"d:Title": "500 Days of Summer", "d:Description": "Official site with an image gallery and links to reviews of the movie.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://www.foxsearchlight.com/500daysofsummer/"} +{"d:Title": "The 500 Days of Summer Blog", "d:Description": "A fan weblog dedicated to the film. Photographs, links to videos, news, interviews, and related links.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://www.500days.com/"} +{"d:Title": "IMDb: (500) Days of Summer", "d:Description": "Synopsis, full credits, production details, technical specifications, quotations, trivia, reviews, soundtrack information, box office data, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://www.imdb.com/title/tt1022603/"} +{"d:Title": "The Sydney Morning Herald: (500) Days of Summer", "d:Description": "Review of the film by Paul Byrnes. \"It's a more grown-up rom-com [...] and tolerably fresh.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://www.smh.com.au/news/entertainment/film/film-reviews/500-days-of-summer/2009/09/18/1253208992216.html"} +{"d:Title": "Wikipedia: (500) Days of Summer", "d:Description": "Film overview, plot outline, details about the film's audience reception, soundtrack listing, and related links.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://en.wikipedia.org/wiki/(500)_Days_of_Summer"} +{"d:Title": "Rotten Tomatoes: 500 Days of Summer", "d:Description": "Synopsis, photographs, rating, user comments, and links to reviews.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://www.rottentomatoes.com/m/500_days_of_summer/"} +{"d:Title": "Box Office Mojo: (500) Days of Summer", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://www.boxofficemojo.com/movies/?id=500daysofsummer.htm"} +{"d:Title": "The Scorecard Review: (500) Days of Summer", "d:Description": "Review by Jeff Bayer, focusing on specific aspects of the film such as acting, plot, and cinematography. Includes a soundtrack review and links.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://thescorecardreview.com/film-reviews/2009/07/23/500-days-of-summer/4021"} +{"d:Title": "(500) Days of Summer Soundtrack", "d:Description": "Official site with track list and videos.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://www.500daysmusic.com/"} +{"d:Title": "Apple: 500 Days of Summer", "d:Description": "Trailer for the film.", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer", "url": "http://trailers.apple.com/trailers/fox_searchlight/500daysofsummer/"} +{"d:Title": "The Chutry Experiment: (500) Days of Summer", "d:Description": "Review by Chuck Tryon. \"...an effective rejoinder to some of the worst cliches of romantic comedy, at least until the film\u2019s final sequence.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.chutry.wordherders.net/wp/?p=2223"} +{"d:Title": "Opening night: Breezy tale of a love that didn't last", "d:Description": "Review by Steven Rea [Philadelphia Inquirer]. \"...an engagingly breezy tale about a guy with a broken heart and the girl who broke it.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.philly.com/philly/entertainment/movies/20090326_Opening_night__Breezy_tale_of_a_love_that_didn_t_last.html"} +{"d:Title": "'Days Of Summer': Ephron For The Hipster Set", "d:Description": "Review by Nathan Lee [NPR]. \"...audiences may well grow bored with the relentless emo-chic of these two privileged, self-involved hotties, acting out their twee little dramas and faux-naif antics.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.npr.org/templates/story/story.php?storyId=106480238"} +{"d:Title": "Christian Science Monitor: 500 Days of Summer", "d:Description": "Review by Peter Rainer. \"...old goods in new wrapping.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.csmonitor.com/2009/0717/p17s04-almo.html"} +{"d:Title": "Love is strange: '(500) Days of Summer'", "d:Description": "Review by Shawn Levy [Portland Oregonian]. \"The film does a lovely job of balancing emotional clarity, formal trickery, pop sweetness, and heartfelt narrative.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://blog.oregonlive.com/madaboutmovies/2009/07/love_is_strange_500_days_of_su.html"} +{"d:Title": "New York Daily News: 500 Days of Summer", "d:Description": "Review by Joe Neumaier. \"This quirky movie gets that perception isn't always reality.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.nydailynews.com/entertainment/movies/2009/07/17/2009-07-17_500_days_of_summer_.html"} +{"d:Title": "New Orleans Times-Picayune: 500 Days of Summer", "d:Description": "Review by Mike Scott. \"...one of the most satisfying movies of the summer. \"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.nola.com/movies/index.ssf/2009/07/500_days_of_summer_is_easy_to.html"} +{"d:Title": "New York Post : (500) Days of Summer", "d:Description": "Review by Lou Lumenick. \"It's the oldest bittersweet story in the book, of course, but [...] Marc Webb approaches his feature debut with great confidence, flair and a minimum of schmaltz.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.nypost.com/p/entertainment/movies/bittersweet_symphony_dHYmAYL3jBkPmlug2EqmIP"} +{"d:Title": "Time: 500 Days of Summer", "d:Description": "Review by Richard Corliss. \"...snazz, schmaltz, an iPod's worth of pop melodies spanning five decades and two of Hollywood's most attractive young stars...\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.time.com/time/arts/article/0,8599,1911108,00.html"} +{"d:Title": "Chicago Reader: (500) Days of Summer", "d:Description": "Review by J.R. Jones. \"Visually witty, flawlessly played romantic comedy.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.chicagoreader.com/chicago/MovieTimes?film=977544"} +{"d:Title": "San Francisco Chronicle: (500) Days of Summer", "d:Description": "Review by David Wiegand. \"...irresistible feel-good movie about love gone bad.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2009/07/16/MV9E18NBAS.DTL&type=movies"} +{"d:Title": "Washington Post: 500 Days of Summer", "d:Description": "Review by Desson Thomson. \"A movie that sidesteps the Pollyanna pornography of Happily Ever After. \"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.washingtonpost.com/gog/movies/500-days-of-summer,1156299.html"} +{"d:Title": "Rolling Stone: (500) Days of Summer", "d:Description": "Review by Peter Travers. \"This knockout of a movie expertly blends bliss [...] and the blues [...].\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.rollingstone.com/movies/reviews/500-days-of-summer-20090716"} +{"d:Title": "Salon.com: (500) Days of Summer", "d:Description": "Review by Stephanie Zacharek. \"...everything that's wrong, on the surface, with \"(500) Days of Summer\" pales in light of everything that's going on beneath its surface.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.salon.com/2009/07/17/500_days_summer/"} +{"d:Title": "Chicago Sun-Times: 500 Days of Summer", "d:Description": "Review by Roger Ebert. \"Here is a rare movie that begins by telling us how it will end and is about how the hero has no idea why.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.rogerebert.com/reviews/500-days-of-summer-2009"} +{"d:Title": "For Gordon-Levitt and Deschanel, Love Still Hurts in (500) Days of Summer", "d:Description": "Review by Robert Wilonsky [Village Voice]. \"It's more like a love story in a blender. What is unexpected is the sincerity beneath the modest conceit that, yup, love hurts.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.villagevoice.com/film/for-gordon-levitt-and-deschanel-love-still-hurts-in-500-days-of-summer-6391379"} +{"d:Title": "Austin Chronicle: (500) Days of Summer", "d:Description": "Review by Kimberley Jones. \"A funny, seductive, and surprisingly honest dramatization of the ways we snooker ourselves into incompatible love.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.austinchronicle.com/calendar/film/2009-07-24/500-days-of-summer/"} +{"d:Title": "The Globe and Mail: (500) Days of Summer", "d:Description": "Review by Liam Lacey. \"The film really only feels vital when it focuses on the pain of rejection. \"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.theglobeandmail.com/arts/500-days-of-summer/article788147/"} +{"d:Title": "Entertainment Weekly: (500) Days of Summer", "d:Description": "Review by Owen Gleiberman. \"[...] like a mood ring cued to the ups, downs, and confusions of modern love.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.ew.com/article/2009/07/31/500-days-summer"} +{"d:Title": "Bask in the warmth of delightful '(500) Days of Summer'", "d:Description": "Review by Claudia Puig [USA Today]. \"...may be the movie that best captures a contemporary romantic sensibility.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2009-07-16-500-days-of-summer_N.htm"} +{"d:Title": "A.V. Club: (500) Days of Summer", "d:Description": "Review by Scott Tobias. \"The film winds up in a no-man\u2019s land between Hollywood and something real.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.avclub.com/review/500-days-of-summer-30422"} +{"d:Title": "Boston Globe: (500) Days of Summer", "d:Description": "Review by Ty Burr. \"It\u2019s an \u201cAnnie Hall\u2019\u2019 for the iPod generation: \u00fcber-designed, pleasing to the touch, making up in generic sweetness what it lacks in bite.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://archive.boston.com/ae/movies/articles/2009/07/17/500_days_of_summer_is_a_charmer/"} +{"d:Title": "Slate: (500) Days of Summer", "d:Description": "Review by Dana Stevens. \"If only some sharp-eyed script editor had run 500 Days through the de-sappifying machine, it could have been the first great romantic comedy of 2009.\"", "topic": "Top/Arts/Movies/Titles/5/500_Days_of_Summer/Reviews", "url": "http://www.slate.com/articles/arts/movies/2009/07/500_days_of_summer.html"} +{"d:Title": "Sony Pictures: 50 First Dates", "d:Description": "Official site with production notes, synopsis, trailer, pictures, games, character profiles and downloads. [Requires Flash.]", "topic": "Top/Arts/Movies/Titles/5/50_First_Dates", "url": "http://www.sonypictures.com/movies/50firstdates/index.html"} +{"d:Title": "Internet Movie Database: 50 First Dates (2004)", "d:Description": "Credits, pictures, reviews, technical details and summary.", "topic": "Top/Arts/Movies/Titles/5/50_First_Dates", "url": "http://imdb.com/title/tt0343660/"} +{"d:Title": "HARO Online: 50 First Dates", "d:Description": "Haro's mostly unfavorable review.", "topic": "Top/Arts/Movies/Titles/5/50_First_Dates", "url": "http://www.haro-online.com/movies/50first_dates.html"} +{"d:Title": "About.com: 50 First Dates", "d:Description": "News, cast interviews, and multimedia.", "topic": "Top/Arts/Movies/Titles/5/50_First_Dates", "url": "http://romanticmovies.about.com/cs/fiftyfirstkisses/"} +{"d:Title": "All-Reviews.com: 50 First Dates", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/5/50_First_Dates", "url": "http://www.all-reviews.com/videos-5/50-first-dates.htm"} +{"d:Title": "Chicago Sun-Times: 50 First Dates", "d:Description": "Roger Ebert's mostly favorable review. Three out of five stars.", "topic": "Top/Arts/Movies/Titles/5/50_First_Dates", "url": "http://www.rogerebert.com/reviews/50-first-dates-2004"} +{"d:Title": "HARO Online: Formula 51", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/5/51st_State,_The", "url": "http://www.haro-online.com/movies/formula51.html"} +{"d:Title": "Jackson the Best Ingredient in Crude 'Formula 51'", "d:Description": "Review by William Arnold: \"...never quite jams into gear, and the script's overload of gross humor never finds the proper vein to rise above the distasteful.\" [Seattle Post-Intelligencer]", "topic": "Top/Arts/Movies/Titles/5/51st_State,_The", "url": "http://www.seattlepi.com/ae/movies/article/Jackson-the-best-ingredient-in-crude-Formula-51-1098679.php"} +{"d:Title": "Chicago Sun-Times: Formula 51", "d:Description": "Roger Ebert's review: \"...not a comedy so much as a farce, grabbing desperately for funny details wherever possible.\"", "topic": "Top/Arts/Movies/Titles/5/51st_State,_The", "url": "http://www.rogerebert.com/reviews/formula-51-2002"} +{"d:Title": "Internet Movie Database: 51st State, The (2001)", "d:Description": "Synopsis, credits, reviews, soundtrack listing, trivia, promotional information, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/5/51st_State,_The", "url": "http://www.imdb.com/title/tt0227984/"} +{"d:Title": "Salon.com: Formula 51", "d:Description": "Review by Jeff Stark and quotations from the film.", "topic": "Top/Arts/Movies/Titles/5/51st_State,_The", "url": "http://www.salon.com/topic/movies/?x"} +{"d:Title": "Drugs, Guns, Car Chases and a Chemist in a Kilt", "d:Description": "A.O. Scott's review: \"...the problem with the mayhem in \"Formula 51\" is not that it's offensive, but that it's boring.\" [New York Times]", "topic": "Top/Arts/Movies/Titles/5/51st_State,_The", "url": "http://www.nytimes.com/2002/10/18/movies/film-review-drugs-guns-car-chases-and-a-chemist-in-a-kilt.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/5/54", "url": "http://crazy4cinema.com/Review/FilmsF/f_54.html"} +{"d:Title": "IMDb : 54 (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/5/54", "url": "http://www.imdb.com/title/tt0120577/"} +{"d:Title": "5 Branded Women (1960)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/5/5_Branded_Women", "url": "http://www.imdb.com/title/tt0053561/"} +{"d:Title": "IMDb : 5 Card Stud (1968)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/5/5_Car_Stud", "url": "http://www.imdb.com/title/tt0062626/"} +{"d:Title": "IMDb : 5 Dead on the Crimson Canvas (1996)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/5/5_Dead_on_the_Crimson_Canvas", "url": "http://www.imdb.com/title/tt0126909/"} +{"d:Title": "IMDb : 5 Fingers (1952)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/5/5_Fingers", "url": "http://www.imdb.com/title/tt0044314/"} +{"d:Title": "IMDb: The '60s", "d:Description": "Plot summary, cast and crew information, user comments, and message board.", "topic": "Top/Arts/Movies/Titles/6/'60s,_The", "url": "http://www.imdb.com/title/tt0169528/"} +{"d:Title": "San Francisco Chronicle: The 60s", "d:Description": "John Carman says the 1960s resist NBC's cliched depiction.", "topic": "Top/Arts/Movies/Titles/6/'60s,_The", "url": "http://www.sfgate.com/entertainment/article/1960s-Resist-NBC-s-Cliched-Depiction-2948420.php"} +{"d:Title": "HARO Online", "d:Description": "Review with a couple photographs.", "topic": "Top/Arts/Movies/Titles/6/6th_Day,_The", "url": "http://www.haro-online.com/movies/6th_day.html"} +{"d:Title": "All-Reviews.com: The 6th Day", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/6/6th_Day,_The", "url": "http://www.all-reviews.com/videos-2/sixth-day.htm"} +{"d:Title": "PopMatters", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/6/6th_Day,_The", "url": "http://popmatters.com/film/reviews/s/6th-day.html"} +{"d:Title": "Rotten Tomatoes: The 6th Day", "d:Description": "Reviews, synopsis, media predictions.", "topic": "Top/Arts/Movies/Titles/6/6th_Day,_The", "url": "http://www.rottentomatoes.com/m/sixth_day/"} +{"d:Title": "Yahoo Movies: The 6th Day", "d:Description": "Greg's preview of the film", "topic": "Top/Arts/Movies/Titles/6/6th_Day,_The", "url": "http://movies.yahoo.com/movie/the-6th-day/"} +{"d:Title": "Metacritic.com: 6th Day, The", "d:Description": "Includes a list of links to reviews.", "topic": "Top/Arts/Movies/Titles/6/6th_Day,_The", "url": "http://www.metacritic.com/movie/the-6th-day"} +{"d:Title": "IMDb - The 6th Day (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/6/6th_Day,_The", "url": "http://www.imdb.com/title/tt0216216/"} +{"d:Title": "IMDb - 7-9-13 (1934)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/7/7-9-13", "url": "http://www.imdb.com/title/tt0124270/"} +{"d:Title": "IMDb - 7 calles (1981)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/7/7_calles", "url": "http://www.imdb.com/title/tt0081969/"} +{"d:Title": "IMDb - 7 dnej s russkoj krasavitsej (1994)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/7/7_dnej_s_russkoj_krasavitsej", "url": "http://www.imdb.com/title/tt0189332/"} +{"d:Title": "IMDb - 7 Star Grand Mantis (1983)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/7/7_Star_Grand_Mantis", "url": "http://www.imdb.com/title/tt0199293/"} +{"d:Title": "IMDb - 7 Women (1966)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/7/7_Women", "url": "http://www.imdb.com/title/tt0060050/"} +{"d:Title": "TV Guide: Seven Women", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/7/7_Women", "url": "http://www.tvguide.com/movies/seven-women/review/117324/"} +{"d:Title": "IMDb: 800 Heroes (Ba bai zhuang shi) (1980)", "d:Description": "Cast information.", "topic": "Top/Arts/Movies/Titles/8/800_Heroes", "url": "http://www.imdb.com/title/tt0075713/"} +{"d:Title": "IMDb: 84 Charing Cross Road", "d:Description": "Plot outline, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/8/84_Charing_Cross_Road", "url": "http://www.imdb.com/title/tt0090570/"} +{"d:Title": "Allreaders Review: 84 Charing Cross Road", "d:Description": "Review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/8/84_Charing_Cross_Road", "url": "http://allreaders.com/movie-review-summary/84-charing-cross-road-3898"} +{"d:Title": "Rotten Tomatoes: 88 Minutes", "d:Description": "Synopsis, cast members, photos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/8/88_Minutes", "url": "http://www.rottentomatoes.com/m/88_minutes/"} +{"d:Title": "Slant Magazine: 88 Minutes", "d:Description": "Review, by Nick Schager: \"For sheer silliness, nothing in 88 Minutes tops the fact that Witt's English ex-con husband boasts the ridiculously fanciful name Guy LaForge, presumably because `Fakey McMake-Believe` was already taken.\" [Rating: 0.5 out of 4]", "topic": "Top/Arts/Movies/Titles/8/88_Minutes", "url": "http://www.slantmagazine.com/film/review/88-minutes"} +{"d:Title": "MovieWeb: 88 Minutes (2008)", "d:Description": "Summary, reviews, synopsis, videos, photographs, and news.", "topic": "Top/Arts/Movies/Titles/8/88_Minutes", "url": "http://movieweb.com/movie/88-minutes/"} +{"d:Title": "All-Reviews.com - 8mm", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://www.all-reviews.com/videos/8mm.htm"} +{"d:Title": "Filmtracks: 8mm", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://www.filmtracks.com/titles/8mm.html"} +{"d:Title": "Daily Script: 8 MM", "d:Description": "1997 draft of the film's script by Andrew Kevin Walker.", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://www.dailyscript.com/scripts/eight-millimeter.html"} +{"d:Title": "Rotten Tomatoes: 8MM", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://www.rottentomatoes.com/m/8mm/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://the-editing-room.com/8mm.html"} +{"d:Title": "IMDb - 8MM (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://www.imdb.com/title/tt0134273/"} +{"d:Title": "Chicago Sun-Times: 8mm", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://www.rogerebert.com/reviews/8mm-1999"} +{"d:Title": "Allreaders: 8MM", "d:Description": "An analysis of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://allreaders.com/movie-review-summary/8mm-4355"} +{"d:Title": "The Flick Filosopher | 8MM", "d:Description": "Review and discussion of the film", "topic": "Top/Arts/Movies/Titles/8/8mm", "url": "http://www.flickfilosopher.com/1999/08/8mm-review.html"} +{"d:Title": "Rotten Tomatoes: 8 Heads in a Duffel Bag", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/8/8_Heads_in_a_Duffel_Bag", "url": "http://www.rottentomatoes.com/m/8_heads_in_a_duffel_bag/"} +{"d:Title": "IMDb: 8 Heads in a Duffel Bag (1997)", "d:Description": "Plot summary, cast information, pictures, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/8/8_Heads_in_a_Duffel_Bag", "url": "http://www.imdb.com/title/tt0118541/"} +{"d:Title": "All-Reviews.com: 8 Mile", "d:Description": "Several reviews of the movie.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://www.all-reviews.com/videos-5/8-mile.htm"} +{"d:Title": "HARO Online: 8 Mile", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://www.haro-online.com/movies/8mile.html"} +{"d:Title": "8 Mile DVD Review", "d:Description": "A review by Ivana Redwine [About.com]. Includes a list of DVD features.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://homevideo.about.com/library/weekly/aafpr032603.htm"} +{"d:Title": "Rotten Tomatoes: 8 Mile", "d:Description": "Film information, photographs, the trailer, and a discussion forum for the film.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://www.rottentomatoes.com/m/8_mile/"} +{"d:Title": "Moviefone: 8 Mile", "d:Description": "Reviews, feature articles, synopsis, and ticket information.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://www.moviefone.com/movie/8-mile/10948/main"} +{"d:Title": "IMDb: 8 Mile", "d:Description": "Plot outline, cast and crew information, and trailer.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://www.imdb.com/title/tt0298203/"} +{"d:Title": "Contactmusic.com: 8 Mile", "d:Description": "Features production notes along with pictures.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://www.contactmusic.com/pages/8milex12x12x02"} +{"d:Title": "Future Movies: 8 Mile", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "https://www.futuremovies.co.uk/reviews/8-mile/jay-richardson"} +{"d:Title": "Metacritic: 8 Mile", "d:Description": "Provides quotes from and links to reviews of the film, with viewer comments.", "topic": "Top/Arts/Movies/Titles/8/8_Mile", "url": "http://www.metacritic.com/movie/8-mile"} +{"d:Title": "TV Guide Online: 8 Seconds", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/8/8_Seconds", "url": "http://www.tvguide.com/movies/8-seconds/129813"} +{"d:Title": "IMDb - 8 Seconds (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/8/8_Seconds", "url": "http://www.imdb.com/title/tt0109021/"} +{"d:Title": "HARO Online: 8 Women", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/8/8_Women", "url": "http://www.haro-online.com/movies/8women.html"} +{"d:Title": "IMDb: 8 femmes", "d:Description": "Plot synopsis, cast and crew, reviews, related films, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/8/8_Women", "url": "http://www.imdb.com/title/tt0283832/"} +{"d:Title": "Metacritic: 8 Women", "d:Description": "Quotes from and links to reviews of the film, with film information and an overall rating.", "topic": "Top/Arts/Movies/Titles/8/8_Women", "url": "http://www.metacritic.com/movie/8-women"} +{"d:Title": "HARO Online - 8\u00bd Women", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/8/8\u00bd_Women", "url": "http://www.haro-online.com/movies/812women.html"} +{"d:Title": "Pop Matters: 8 1/2 Women", "d:Description": "Synopsis and review.", "topic": "Top/Arts/Movies/Titles/8/8\u00bd_Women", "url": "http://www.popmatters.com/film/reviews/e/8women.html"} +{"d:Title": "Rotten Tomatoes: 8\u00bd Women", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/8/8\u00bd_Women", "url": "http://www.rottentomatoes.com/m/8_12_women/?critic=columns"} +{"d:Title": "Metacritic.com: 8 1/2 Women", "d:Description": "A collection of reviews from top critics.", "topic": "Top/Arts/Movies/Titles/8/8\u00bd_Women", "url": "http://www.metacritic.com/movie/8-women-2000"} +{"d:Title": "IMDb - 8\u00bd Women (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/8/8\u00bd_Women", "url": "http://www.imdb.com/title/tt0154443/"} +{"d:Title": "IMDb - 90\u00b0 South (1933)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/9/90\u00b0_South", "url": "http://www.imdb.com/title/tt0219466/"} +{"d:Title": "IMDb: 97 Brooks (2002)", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Movies/Titles/9/97_Brooks", "url": "http://www.imdb.com/title/tt0250181/"} +{"d:Title": "9 Songs (2004)", "d:Description": "Cast details, reviews, and plot outline from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/9/9_Songs", "url": "http://www.imdb.com/title/tt0411705/"} +{"d:Title": "All-Reviews.com - A.I.: Artificial Intelligence", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.all-reviews.com/videos-3/ai-artificial-intelligence.htm"} +{"d:Title": "A.I. Artificial Intelligence", "d:Description": "General information on the film and its cast and crew, downloads of the trailers and an introduction to the Evan Chan mystery.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.angelfire.com/movies/aithemovie/"} +{"d:Title": "Ninth Symphony Films: A.I.", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://regencylady.tripod.com/site/filmreviews/ai.html"} +{"d:Title": "About.com Review - \"A.I. Artificial Intelligence\"", "d:Description": "Ivana Redwine reviews \"A.I. Artificial Intelligence\" on DVD.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://homevideo.about.com/library/weekly/aafpr031302.htm"} +{"d:Title": "Cold Bacon - A.I.", "d:Description": "Unsigned review.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.coldbacon.com/movies/ai.html"} +{"d:Title": "The A.I.: Artificial Intelligence Fanfiction Online Anthology", "d:Description": "Fanfiction classified by character and the film's timeframe.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://mechahuggermr.tripod.com/"} +{"d:Title": "Filmtracks: A.I. Artificial Intelligence", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.filmtracks.com/titles/ai.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.rottentomatoes.com/m/ai_artificial_intelligence/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.imdb.com/title/tt0212720/"} +{"d:Title": "KillerMovies: A.I. - Artificial Intelligence", "d:Description": "Features the latest news, trailers, and cast information.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.killermovies.com/a/aiartificialintelligence/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/A.I.", "url": "http://www.metacritic.com/movie/artificial-intelligence-ai"} +{"d:Title": "Cloudmakers", "d:Description": "Guide to the game, with articles, step by step solutions and links to media coverage of the phenomenon. Includes archives of the game's sites.", "priority": "1", "topic": "Top/Arts/Movies/Titles/A/A.I./Evan_Chan_Mystery", "url": "http://www.cloudmakers.org/"} +{"d:Title": "Yahoo! Groups: Cloudmakers", "d:Description": "Discussion of the puzzle. Moderated and unmoderated versions.", "topic": "Top/Arts/Movies/Titles/A/A.I./Evan_Chan_Mystery", "url": "http://groups.yahoo.com/group/cloudmakers"} +{"d:Title": "Guardian: Inside AI's Web of Intrigue", "d:Description": "Brief introduction to the film's mystery promotional campaign. By Craig McGill.", "topic": "Top/Arts/Movies/Titles/A/A.I./Evan_Chan_Mystery", "url": "http://www.theguardian.com/technology/2001/may/17/film.internet"} +{"d:Title": "Screen It: Abandon", "d:Description": "Synopsis, cast and crew, review, and ratings of interest to parents.", "topic": "Top/Arts/Movies/Titles/A/Abandon", "url": "http://www.screenit.com/movies/2002/abandon.html"} +{"d:Title": "All-Reviews.com: Abandon", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Abandon", "url": "http://www.all-reviews.com/videos-5/abandon.htm"} +{"d:Title": "Rotten Tomatoes: Abandon", "d:Description": "Quotes from and links to reviews, synopsis, multimedia, box office details, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Abandon", "url": "http://www.rottentomatoes.com/m/abandon/"} +{"d:Title": "Yahoo! Movies: Abandon", "d:Description": "Synopsis, cast and credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/A/Abandon", "url": "http://movies.yahoo.com/movie/abandon/"} +{"d:Title": "About.com: Abandon", "d:Description": "Interviews with the cast, premiere photographs, movie trailer, production photos, and links.", "topic": "Top/Arts/Movies/Titles/A/Abandon", "url": "http://movies.about.com/od/abandon/"} +{"d:Title": "IMDb: Abandon", "d:Description": "Synopsis, cast and crew, reviews, film recommendations, multimedia, promotional information, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/A/Abandon", "url": "http://www.imdb.com/title/tt0267248/"} +{"d:Title": "HARO Online: Abandon", "d:Description": "Review and rating by Haro, with pictures.", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://www.haro-online.com/movies/abandon.html"} +{"d:Title": "Reeling Reviews: Abandon", "d:Description": "Robin and Laura Clifford review the film.", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://www.reelingreviews.com/abandon.htm"} +{"d:Title": "Entertain Your Brain: Abandon", "d:Description": "Review by Shawn McKenzie.", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://www.entertainyourbrain.com/abandonrev.htm"} +{"d:Title": "Abandon Leaves Audience in Dark", "d:Description": "Review by Jon Niccum [Lawrence Journal World].", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://www2.ljworld.com/news/2002/oct/18/abandon_leaves_audience/"} +{"d:Title": "Chicago Sun-Times: Abandon", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://www.rogerebert.com/reviews/abandon-2002"} +{"d:Title": "Film Abandons Thrills", "d:Description": "Louis B. Hobson from the Calgary Sun reviews the film.", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://jam.canoe.com/Movies/Reviews/A/Abandon/"} +{"d:Title": "Movie Mom: Abandon", "d:Description": "Review for parents by Nell Minow.", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://www.beliefnet.com/columnists/moviemom/2002/12/abandon.html"} +{"d:Title": "She Has Beauty, Brains and a Stalker", "d:Description": "Review of the film by Elvis Mitchell [New York Times - free registration required].", "topic": "Top/Arts/Movies/Titles/A/Abandon/Reviews", "url": "http://www.nytimes.com/2002/10/18/movies/film-review-she-has-it-all-beauty-brains-a-stalker.html"} +{"d:Title": "Yahoo! Movies: Aberdeen", "d:Description": "Synopsis, cast and credits, reviews and photographs.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen", "url": "http://movies.yahoo.com/movie/aberdeen/"} +{"d:Title": "IMDb: Aberdeen (2000)", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen", "url": "http://www.imdb.com/title/tt0168446/"} +{"d:Title": "Boston Phoenix: Aberdeen", "d:Description": "Review by Peter Keough.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.bostonphoenix.com/boston/movies/trailers/documents/02102223.htm"} +{"d:Title": "Jigsaw Lounge: Aberdeen", "d:Description": "Review and rating by Neil Young.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.jigsawlounge.co.uk/film/aberdeen.html"} +{"d:Title": "Ninth Symphony Films: Aberdeen", "d:Description": "Review by Kelsey Wyatt.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/aberdeen.html"} +{"d:Title": "Rotten Tomatoes: Aberdeen", "d:Description": "Links to reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.rottentomatoes.com/m/aberdeen/"} +{"d:Title": "Deseret News: Aberdeen", "d:Description": "Review and rating by Jeff Vice.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.deseretnews.com/article/700002880/Aberdeen.html"} +{"d:Title": "Iofilm: Aberdeen", "d:Description": "Review and rating by the Wolf.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.iofilm.co.uk/films/a/aberdeen.shtml"} +{"d:Title": "Seattle Post-Intelligencer: Aberdeen", "d:Description": "Review by Sean Axmaker.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.seattlepi.com/ae/movies/article/Aberdeen-takes-a-frightening-journey-into-1083947.php"} +{"d:Title": "Metacritic.com: Aberdeen", "d:Description": "Collection of reviews for the film from several sources.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.metacritic.com/movie/aberdeen"} +{"d:Title": "Eric D. Snider: Aberdeen", "d:Description": "Review and grade by Eric D. Snider.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.ericdsnider.com/movies/aberdeen/"} +{"d:Title": "DVD Talk: Aberdeen", "d:Description": "DVD review and rating by Holly E. Ordway.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.dvdtalk.com/reviews/3908/aberdeen/"} +{"d:Title": "Slant Magazine: Aberdeen", "d:Description": "Review and rating by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://www.slantmagazine.com/film/review/aberdeen"} +{"d:Title": "Pittsburgh Post Gazette: Aberdeen", "d:Description": "Review and rating by Ron Weiskind.", "topic": "Top/Arts/Movies/Titles/A/Aberdeen/Reviews", "url": "http://old.post-gazette.com/movies/20010920aberdeen0921fnp6.asp"} +{"d:Title": "TV Guide Online: Aberration", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Aberration", "url": "http://www.tvguide.com/movies/aberration/133540/"} +{"d:Title": "IMDb: Aberration (1997)", "d:Description": "Cast and crew, viewer comments, and plot outline. Links to related material.", "topic": "Top/Arts/Movies/Titles/A/Aberration", "url": "http://www.imdb.com/title/tt0123371/"} +{"d:Title": "The DVD Journal: The Abominable Dr. Phibes", "d:Description": "Mark Bourne's review: \"If Se7en and Hannibal are grand opera, The Abominable Dr. Phibes is Gilbert and Sullivan.\" 3 stars.", "topic": "Top/Arts/Movies/Titles/A/Abominable_Dr._Phibes,_The", "url": "http://www.dvdjournal.com/reviews/a/abominabledrphibes.shtml"} +{"d:Title": "IMDb: The Abominable Dr. Phibes (1971)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Abominable_Dr._Phibes,_The", "url": "http://www.imdb.com/title/tt0066740/"} +{"d:Title": "AllReaders.com Review - The Abominable Dr. Phibes", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Vincent Price film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Abominable_Dr._Phibes,_The", "url": "http://allreaders.com/movie-review-summary/the-abominable-dr-phibes-3783"} +{"d:Title": "HARO Online: About Adam", "d:Description": "Includes a review of the film.", "topic": "Top/Arts/Movies/Titles/A/About_Adam", "url": "http://www.haro-online.com/movies/about_adam.html"} +{"d:Title": "Metacritic.com: About Adam", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/About_Adam", "url": "http://www.metacritic.com/movie/about-adam"} +{"d:Title": "IMDb: About Adam (2000)", "d:Description": "Includes a plot outline, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/A/About_Adam", "url": "http://www.imdb.com/title/tt0199314/"} +{"d:Title": "All-Reviews.com: About a Boy", "d:Description": "Review of the movie by Dustin Putman, with links to other reviews.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.all-reviews.com/videos-4/about-a-boy.htm"} +{"d:Title": "HARO Online: About a Boy", "d:Description": "Review of the film with still photographs.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.haro-online.com/movies/about_a_boy.html"} +{"d:Title": "Ninth Symphony Films: About a Boy", "d:Description": "Review of the film with photographs, synopsis, and related links.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://regencylady.tripod.com/site/filmreviews/aboutaboy.html"} +{"d:Title": "About a Boy", "d:Description": "Fanpage picture gallery.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.angelfire.com/celeb2/celebrityfaces2/aboutboy.html"} +{"d:Title": "About.com: About a Boy", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://homevideo.about.com/library/weekly/aafpr012203.htm"} +{"d:Title": "Entertain Your Brain: About a Boy", "d:Description": "Positive review by Shawn McKenzie.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.entertainyourbrain.com/aboutaboyrev.htm"} +{"d:Title": "Rotten Tomatoes: About a Boy", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.rottentomatoes.com/m/about_a_boy/"} +{"d:Title": "Metacritic.com: About A Boy", "d:Description": "Provides quotes from and links to several reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.metacritic.com/movie/about-a-boy"} +{"d:Title": "IMDb: About a Boy (2002)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.imdb.com/title/tt0276751/"} +{"d:Title": "Contactmusic.com - About a Boy", "d:Description": "Presents storyline description and pictures.", "topic": "Top/Arts/Movies/Titles/A/About_a_Boy", "url": "http://www.contactmusic.com/pages/aboutaboyx24x04x02"} +{"d:Title": "The 80's Movies Rewind: About Last Night...", "d:Description": "Includes movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/A/About_Last_Night...", "url": "http://www.fast-rewind.com/alnight.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/About_Last_Night...", "url": "http://www.imdb.com/title/tt0090583/"} +{"d:Title": "Roger Ebert - About Last Night...", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/About_Last_Night...", "url": "http://www.rogerebert.com/reviews/about-last-night----1986"} +{"d:Title": "Movie - List: About Schmidt", "d:Description": "QuickTime trailer for the film.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt", "url": "http://www.movie-list.com/trailers.php?id=aboutschmidt"} +{"d:Title": "Internet Movie Database: About Schmidt", "d:Description": "Synopsis, cast and crew, production and distribution information, user ratings and comments, reviews, trivia, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt", "url": "http://www.imdb.com/title/tt0257360/"} +{"d:Title": "About Schmidt", "d:Description": "Official site for the Jack Nicholson movie. Includes trailer and information on the cast, crew and film plot.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt", "url": "http://www.warnerbros.com/about-schmidt"} +{"d:Title": "Ninth Symphony Films: About Schmidt", "d:Description": "Review and rating by Kelsey Wyatt.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/aboutschmidt.html"} +{"d:Title": "Hollywood Report Card: About Schmidt", "d:Description": "Review and grade by Ross Anthony.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://rossanthony.com/A/aboutschmidt.shtml"} +{"d:Title": "BBC: About Schmidt", "d:Description": "Review with rating and photos by Nev Pierce.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.bbc.co.uk/films/2003/01/03/about_schmidt_2003_review.shtml"} +{"d:Title": "Moviecrazed: About Schmidt", "d:Description": "Review by Guy Flatley.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.moviecrazed.com/guyreviews/about_scmidt.htm"} +{"d:Title": "Lee's Movie Info: About Schmidt", "d:Description": "Reviews by Lee Tistaert and Todd Heustess.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.leesmovieinfo.net/MovieReviews/SchmidtLee.php"} +{"d:Title": "Reviewguy Online: About Schmidt", "d:Description": "Review and rating by Sean Chandler.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.reviewguyonline.com/RGaboutschmidt.html"} +{"d:Title": "Shadows on the Wall: About Schmidt", "d:Description": "Review and rating by Rich Cline.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.shadowsonthewall.co.uk/swabosch.htm"} +{"d:Title": "About.com: DVD Review About Schmidt", "d:Description": "Ivana Redwine reviews About Schmidt on DVD.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://homevideo.about.com/cs/drama/gr/About_SchmidtDV.htm"} +{"d:Title": "All-Reviews.com - About Schmidt", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://all-reviews.com/videos-5/about-schmidt.htm"} +{"d:Title": "HARO Online: About Schmidt", "d:Description": "Mongoose reviews the film, focusing on the direction and Jack Nicholson's performance.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.haro-online.com/movies/about_schmidt.html"} +{"d:Title": "Rotten Tomatoes: About Schmidt", "d:Description": "Reviews, news, photos, the trailer, and a public forum", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.rottentomatoes.com/m/about_schmidt/"} +{"d:Title": "Lobos Movie Reviews: About Schmidt", "d:Description": "Review and rating by Judith Wolfe.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://judithwolfe.com/lobosmoviereviews/reviews/aboutschmidt.html"} +{"d:Title": "About Schmidt London Movie Review", "d:Description": "Review and rating by Matthew Turner.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.viewlondon.co.uk/films/about-schmidt-film-review-3686.html"} +{"d:Title": "Chicago Sun-Times: About Schmidt", "d:Description": "Review and rating by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.rogerebert.com/reviews/about-schmidt-2002"} +{"d:Title": "Future Movies - About Schmidt", "d:Description": "Review by Matt McAllister (positive).", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.futuremovies.co.uk/reviews/about-schmidt/matt-mcallister"} +{"d:Title": "Slant Magazine: About Schmidt", "d:Description": "Review and rating by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/A/About_Schmidt/Reviews", "url": "http://www.slantmagazine.com/film/review/about-schmidt"} +{"d:Title": "All-Reviews.com: Above Suspicion", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/A/Above_Suspicion_-_1995", "url": "http://www.all-reviews.com/videos-4/above-suspicion.htm"} +{"d:Title": "IMDb: Above the Rim (1994)", "d:Description": "Includes a plot summary, cast list, trailer, and message board.", "topic": "Top/Arts/Movies/Titles/A/Above_the_Rim", "url": "http://www.imdb.com/title/tt0109035/"} +{"d:Title": "IMDb: Absence of the Good (1999) (TV)", "d:Description": "Contains user reviews and a cast list.", "topic": "Top/Arts/Movies/Titles/A/Absence_of_the_Good", "url": "http://www.imdb.com/title/tt0198279/"} +{"d:Title": "Absolute Beginners", "d:Description": "Listing of songs on the soundtrack album.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Beginners", "url": "http://www.teenagewildlife.com/music/st/AB/Title.html"} +{"d:Title": "Rotten Tomatoes: Absolute Beginners", "d:Description": "Reviews, articles, and forum.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Beginners", "url": "http://www.rottentomatoes.com/m/absolute_beginners/?critic=columns"} +{"d:Title": "IMDb: Absolute Beginners", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Beginners", "url": "http://www.imdb.com/title/tt0090585/"} +{"d:Title": "Allreaders.com: Absolute Beginners", "d:Description": "Review and character analysis.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Beginners", "url": "http://allreaders.com/movie-review-summary/absolute-beginners-11225"} +{"d:Title": "Absolute Power", "d:Description": "MetroActive Movies - Review.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Power", "url": "http://www.metroactive.com/papers/metro/02.13.97/absolute-power-9707.html"} +{"d:Title": "All-Reviews.com - Absolute Power", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Power", "url": "http://all-reviews.com/videos-4/absolute-power.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Power", "url": "http://www.imdb.com/title/tt0118548/"} +{"d:Title": "Movieweb", "d:Description": "Review, pictures.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Power", "url": "http://www.movieweb.com/movie/absolute-power"} +{"d:Title": "AllReaders.com Review - Absolute Power", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Clint Eastwood film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Absolute_Power", "url": "http://allreaders.com/movie-review-summary/absolute-power-6948"} +{"d:Title": "All-Reviews.com: The Abyss", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/A/Abyss,_The", "url": "http://www.all-reviews.com/videos-4/abyss.htm"} +{"d:Title": "Crazy for Cinema: Abyss", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Abyss,_The", "url": "http://crazy4cinema.com/Review/FilmsA/f_abyss.html"} +{"d:Title": "The Abyss [lindseybrigman]", "d:Description": "Collection of clips from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/A/Abyss,_The", "url": "http://lindseybrigman.tripod.com/abyssmovieclips.html"} +{"d:Title": "Filmtracks: The Abyss", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Abyss,_The", "url": "http://www.filmtracks.com/titles/abyss.html"} +{"d:Title": "IMDb: The Abyss (1989)", "d:Description": "Cast and crew links, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Abyss,_The", "url": "http://www.imdb.com/title/tt0096754/"} +{"d:Title": "IMDb: Accepted", "d:Description": "Overview, cast, crew, trivia, goofs and quotes.", "topic": "Top/Arts/Movies/Titles/A/Accepted", "url": "http://www.imdb.com/title/tt0384793/"} +{"d:Title": "Smart-Popcorn: Accepted", "d:Description": "Review by Sean Kernan with pictures.", "topic": "Top/Arts/Movies/Titles/A/Accepted", "url": "http://www.smart-popcorn.com/reviews/1419/"} +{"d:Title": "EW.com: Accepted", "d:Description": "Review by Scott Brown. Includes a picture.", "topic": "Top/Arts/Movies/Titles/A/Accepted", "url": "http://www.ew.com/article/2006/08/16/accepted"} +{"d:Title": "ComingSoon.net: Accepted", "d:Description": "Review by Joshua Starnes. \"It probably plays well to young people still struggling to find their own identity, but it's oh so empty.\"", "topic": "Top/Arts/Movies/Titles/A/Accepted", "url": "http://www.comingsoon.net/movies/reviews/16015-accepted-2"} +{"d:Title": "TV Guide Online: Accident", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Accident", "url": "http://www.tvguide.com/movies/accident/100644/"} +{"d:Title": "IMDb: Accident (1967)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/A/Accident", "url": "http://www.imdb.com/title/tt0061328/"} +{"d:Title": "Rotten Tomatoes: The Accidental Husband", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Accidental_Husband,_The", "url": "http://www.rottentomatoes.com/m/accidental_husband/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, and mistakes.", "topic": "Top/Arts/Movies/Titles/A/Accidental_Husband,_The", "url": "http://en.wikipedia.org/wiki/The_Accidental_Husband"} +{"d:Title": "BBC: The Accidental Husband", "d:Description": "Review, by Stella Papamichael: \"The prognosis: a total emotional block.\" [Score: 2 out of 5]", "topic": "Top/Arts/Movies/Titles/A/Accidental_Husband,_The", "url": "http://www.bbc.co.uk/films/2008/02/25/the_accidental_husband_2008_review.shtml"} +{"d:Title": "MovieWeb: The Accidental Husband (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/A/Accidental_Husband,_The", "url": "http://www.movieweb.com/movie/the-accidental-husband"} +{"d:Title": "Ace Ventura Movie Series", "d:Description": "Both movies reviewed and related to various other films.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series", "url": "http://www.twisted-helices.com/ramblings/movies/ace_ventura.html"} +{"d:Title": "Jim Carrey Online", "d:Description": "Information, review, soundtrack, and multimedia.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_Pet_Detective", "url": "http://www.jimcarreyonline.com/movies/aceventura1.html"} +{"d:Title": "All-Reviews.com - Ace Ventura Pet Detective", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_Pet_Detective", "url": "http://all-reviews.com/videos-4/ace-ventura-pet-detective.htm"} +{"d:Title": "IMDb: Ace Ventura: Pet Detective (1994)", "d:Description": "Cast and crew information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_Pet_Detective", "url": "http://www.imdb.com/title/tt0109040/"} +{"d:Title": "Sun-Times: Ace Ventura: Pet Detective", "d:Description": "Roger Ebert reviews the film.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_Pet_Detective", "url": "http://www.rogerebert.com/reviews/ace-ventura-pet-detective-1994"} +{"d:Title": "TV Guide Online: Ace Ventura: Pet Detective", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_Pet_Detective", "url": "http://www.tvguide.com/movies/ace-ventura-pet-detective/129792/"} +{"d:Title": "Jim Carrey Online", "d:Description": "Information, multimedia, review, and soundtrack.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_When_Nature_Calls", "url": "http://www.jimcarreyonline.com/movies/aceventura2.html"} +{"d:Title": "Ace Ventura, a Tribal Dance And a Hunt for a Sacred Bat", "d:Description": "Critique with MPAA rating advice and a partial list of the leading cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_When_Nature_Calls", "url": "http://www.nytimes.com/1995/11/10/movies/film-review-ace-ventura-a-tribal-dance-and-a-hunt-for-a-sacred-bat.html"} +{"d:Title": "IMDb: Ace Ventura: When Nature Calls (1995)", "d:Description": "Cast and crew links, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_When_Nature_Calls", "url": "http://www.imdb.com/title/tt0112281/"} +{"d:Title": "Movieweb.com: Ace Ventura: When Nature Calls", "d:Description": "Reviews, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/A/Ace_Ventura_Series/Ace_Ventura_-_When_Nature_Calls", "url": "http://www.movieweb.com/movie/ace-ventura-when-nature-calls"} +{"d:Title": "IMDb: Acid House, The", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Acid_House", "url": "http://www.imdb.com/title/tt0122515/"} +{"d:Title": "Big Movie Zone: Across the Sea of Time", "d:Description": "Film information, video clips, reviews, and links.", "topic": "Top/Arts/Movies/Titles/A/Across_the_Sea_of_Time", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=47"} +{"d:Title": "Across the Sea of Time", "d:Description": "Review of the film by Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/A/Across_the_Sea_of_Time", "url": "http://www.nytimes.com/library/film/across_the_sea_of_time.html"} +{"d:Title": "SoundtrackCollector: Across The Sea Of Time", "d:Description": "Track listing and label information for the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/A/Across_the_Sea_of_Time", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=8548"} +{"d:Title": "IMDb: Across the Sea of Time", "d:Description": "Synopsis, cast and crew, user comments, release information, and links.", "topic": "Top/Arts/Movies/Titles/A/Across_the_Sea_of_Time", "url": "http://www.imdb.com/title/tt0112286/"} +{"d:Title": "IMDb: The Actors", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/A/Actors,_The", "url": "http://www.imdb.com/title/tt0307919/"} +{"d:Title": "Adam's Rib (1949)", "d:Description": "At-A-Glance Film Reviews.", "topic": "Top/Arts/Movies/Titles/A/Adam's_Rib_-_1949", "url": "http://www.rinkworks.com/movies/m/adams.rib.1949.shtml"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Adam's_Rib_-_1949", "url": "http://crazy4cinema.com/Review/FilmsA/f_adams_rib.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Adam's_Rib_-_1949", "url": "http://www.imdb.com/title/tt0041090/"} +{"d:Title": "TV Guide Online: Adam's Rib", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/A/Adam's_Rib_-_1990", "url": "http://www.tvguide.com/movies/adams-rib/127298/"} +{"d:Title": "IMDb: Rebro Adama (1990)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/A/Adam's_Rib_-_1990", "url": "http://www.imdb.com/title/tt0100465/"} +{"d:Title": "Adaptation", "d:Description": "Official site with trailers, synopsis, video clips, interviews, and information on the cast and Susan Orlean. [Requires Flash.]", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.sonypictures.com/movies/adaptation/"} +{"d:Title": "Regarding: Adaptation", "d:Description": "Weblog at Susan Orlean's site with news and links about the film.", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.susanorlean.com/adaptation/"} +{"d:Title": "HARO Online - Adaptation", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.haro-online.com/movies/adaptation.html"} +{"d:Title": "All-Reviews.com - Adaptation", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.all-reviews.com/videos-5/adaptation.htm"} +{"d:Title": "Filmtracks: Adaptation", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.filmtracks.com/titles/adaptation.html"} +{"d:Title": "NYTimes.com: Adaptation", "d:Description": "Review by A.O. Scott.", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.nytimes.com/2002/12/06/movies/film-review-forever-obsessing-about-obsession.html"} +{"d:Title": "Internet Movie Database: Adaptation (2002)", "d:Description": "Cast listing, synopsis, user reviews, trivia, trailers, pictures, and box office information.", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.imdb.com/title/tt0268126/"} +{"d:Title": "History vs Hollywood - Adaptation", "d:Description": "Explores the real people behind the film, with story, interviews with the cast and crew. Also includes film script in PDF format and links to trailers.", "topic": "Top/Arts/Movies/Titles/A/Adaptation", "url": "http://www.historyvshollywood.com/reelfaces/adaptation.php"} +{"d:Title": "The Unofficial Addams Family Web Site", "d:Description": "Credits and plot outlines for two of the films in the series, as well as image galleries.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies", "url": "http://www.addamsfamily.com/movie.html"} +{"d:Title": "DVD MovieGuide: The Addams Family and Addams Family Values", "d:Description": "Colin Jacobson reviews both films on DVD.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies", "url": "http://www.dvdmg.com/addamsfamilyandvalues.shtml"} +{"d:Title": "Washington Post: The Addams Family", "d:Description": "Joe Brown reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theaddamsfamilypg13brown_a0add4.htm"} +{"d:Title": "Washington Post: The Addams Family", "d:Description": "Review of the film by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theaddamsfamilypg13kempley_a0a280.htm"} +{"d:Title": "BBC Films: The Addams Family", "d:Description": "Review by Almar Haflidason, cast list, and user ratings.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.bbc.co.uk/films/2000/09/19/addams_family_review.shtml"} +{"d:Title": "Cinema Laser: The Addams Family", "d:Description": "Review of the film's DVD by Derek M. Germano.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.thecinemalaser.com/dvd_reviews/addams-family-dvd.htm"} +{"d:Title": "A Guide to Current DVD: The Addams Family", "d:Description": "Review of the film and the DVD, as well as ratings of the disc's features.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.currentfilm.com/dvdreviews/addamsfamilydvd.html"} +{"d:Title": "Deseret News: The Addams Family", "d:Description": "Review by Chris Hicks.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.deseretnews.com/article/700000028/Addams-Family-The.html"} +{"d:Title": "Mutant Reviewers from Hell: The Addams Family", "d:Description": "Review, ratings of the film's cult status, quotes, trivia, and links.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://mutantreviewers.wordpress.com/2011/09/28/the-addams-family-retro-review/"} +{"d:Title": "Hollywood Bitchslap: The Addams Family", "d:Description": "Review, ratings, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.hollywoodbitchslap.com/review.php?movie=873"} +{"d:Title": "IMDb: The Addams Family", "d:Description": "Film information, cast and crew list, trivia, technical details, promotional information, reviews, and links.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.imdb.com/title/tt0101272/"} +{"d:Title": "Chicago Sun-Times: The Addams Family", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.rogerebert.com/reviews/the-addams-family-1991"} +{"d:Title": "Austin Chronicle: Addams Family, The", "d:Description": "Marc Savlov reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://www.austinchronicle.com/calendar/film/1991-11-29/139222/"} +{"d:Title": "AllReaders.com Review - The Addams Family", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family,_The", "url": "http://allreaders.com/movie-review-summary/the-addams-family-7076"} +{"d:Title": "A.V. Club: Addams Family Reunion", "d:Description": "Review by Nathan Rabin.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Reunion", "url": "http://www.avclub.com/review/addams-family-reunion-18631"} +{"d:Title": "Washington Post: Addams Family Values", "d:Description": "Desson Howe reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/addamsfamilyvaluespg13howe_a0b011.htm"} +{"d:Title": "Cinema Laser: Addams Family Values", "d:Description": "Review of the film on DVD by Derek M. Germano.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.thecinemalaser.com/dvd_reviews/addams-family-values-dvd.htm"} +{"d:Title": "A Guide to Current DVD: Addams Family Values", "d:Description": "Review of the film and its DVD, as well as ratings of DVD features.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.currentfilm.com/dvdreviews/addamsvaluesdvd.html"} +{"d:Title": "Kids-in-mind: Addams Family Values", "d:Description": "Evaluation of the film's suitability for younger audiences.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.kids-in-mind.com/A/addams_family_values_1993__241.htm"} +{"d:Title": "ChildCare Action Project Report: Addams Family Values", "d:Description": "Evaluation of the film from the point of view of Christian morality.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.capalert.com/capreports/addfamvals/"} +{"d:Title": "Deseret News: Addams Family Values", "d:Description": "Review by Chris Hicks.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.deseretnews.com/article/700000027/Addams-Family-Values.html"} +{"d:Title": "Mutant Reviewers from Hell: Addams Family Values", "d:Description": "Review, ratings of the film's cult appeal, trivia, and quotations.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://mutantreviewers.wordpress.com/2011/09/27/addams-family-values-retro-review/"} +{"d:Title": "Hollywood Bitchslap: Addams Family Values", "d:Description": "Reviews, ratings, and comments by various contributors.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.hollywoodbitchslap.com/review.php?movie=824"} +{"d:Title": "IMDb: Addams Family Values", "d:Description": "Synopsis, full cast and crew, reviews, production and distribution details, technical information, trivia, and links.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.imdb.com/title/tt0106220/"} +{"d:Title": "Chicago Sun-Times: Addams Family Values", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.rogerebert.com/reviews/addams-family-values-1993"} +{"d:Title": "Austin Chronicle: Addams Family Values", "d:Description": "Review of the movie by Marc Savlov.", "topic": "Top/Arts/Movies/Titles/A/Addams_Family_Movies/Addams_Family_Values", "url": "http://www.austinchronicle.com/calendar/film/1993-11-26/138982/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Addicted_to_Love", "url": "http://www.all-reviews.com/videos-2/addicted-to-love.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Addicted_to_Love", "url": "http://crazy4cinema.com/Review/FilmsA/f_addicted.html"} +{"d:Title": "Addicted to Love", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/A/Addicted_to_Love", "url": "http://www.warnerbros.com/addicted-love"} +{"d:Title": "HARO Online: Adored - Diary of a Male Porn Star", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Adored_-_Diary_of_a_Male_Porn_Star", "url": "http://www.haro-online.com/movies/adored.html"} +{"d:Title": "The New York Times: Adored - Diary of a Male Porn Star", "d:Description": "Review by Dave Kehr.", "topic": "Top/Arts/Movies/Titles/A/Adored_-_Diary_of_a_Male_Porn_Star", "url": "http://www.nytimes.com/2004/05/28/movies/film-in-review-adored-diary-of-a-male-porn-star.html"} +{"d:Title": "Rotten Tomatoes: Adored - Diary of a Male Porn Star", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/A/Adored_-_Diary_of_a_Male_Porn_Star", "url": "http://www.rottentomatoes.com/m/adored_diary_of_a_porn_star/"} +{"d:Title": "IMDb: L'avventura", "d:Description": "Plot summary, cast listing, user reviews and other details.", "topic": "Top/Arts/Movies/Titles/A/Adventure,_The", "url": "http://www.imdb.com/title/tt0053619/"} +{"d:Title": "Images: L'Avventura", "d:Description": "A DVD review.", "topic": "Top/Arts/Movies/Titles/A/Adventure,_The", "url": "http://www.imagesjournal.com/issue10/reviews/avventura/text.htm"} +{"d:Title": "About.com Pick of the Week: L'avventura", "d:Description": "Ivana Redwine reviews the DVD.", "topic": "Top/Arts/Movies/Titles/A/Adventure,_The", "url": "http://homevideo.about.com/library/weekly/aafpr103101.htm"} +{"d:Title": "Rotten Tomatoes: Adventureland", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Adventureland", "url": "http://www.rottentomatoes.com/m/adventureland/"} +{"d:Title": "IGN: Adventureland", "d:Description": "News, videos, photos, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/A/Adventureland", "url": "http://www.ign.com/movies/adventureland/theater-958634"} +{"d:Title": "ReelViews: Adventureland", "d:Description": "Review, by James Berardinelli: \"The result is a sharp, insightful, charming motion picture.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/A/Adventureland", "url": "http://www.reelviews.net/reelviews/adventureland"} +{"d:Title": "TV Guide: Adventureland", "d:Description": "Review, by Cammila Albertson: \"Delightful because it's intensely sincere.\"", "topic": "Top/Arts/Movies/Titles/A/Adventureland", "url": "http://www.tvguide.com/movies/adventureland/review/296964/"} +{"d:Title": "The Boston Globe: Adventureland", "d:Description": "Review, by Wesley Morris: \"Harmless enough, but \"indie comedy\" sounds like something better seen at Urban Outfitters than at a movie theater.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/A/Adventureland", "url": "http://archive.boston.com/ae/movies/articles/2009/04/03/a_fairly_safe_ride/?camp=pm"} +{"d:Title": "Crazy for Cinema: Adventures in Babysitting", "d:Description": "Short review of the film.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://crazy4cinema.com/Review/FilmsA/adventures_babysitting.html"} +{"d:Title": "The 80's Movies Rewind: Adventures In Babysitting", "d:Description": "Includes movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://www.fast-rewind.com/aibaby.htm"} +{"d:Title": "Washington Post: Adventures in Babysitting", "d:Description": "Review by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/adventuresinbabysittingpgkempley_a0ca75.htm"} +{"d:Title": "DVD MovieGuide: Adventures in Babysitting", "d:Description": "Colin Jacobson reviews the film's DVD.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://www.dvdmg.com/adventuresinbabysitting.shtml"} +{"d:Title": "A Guide to Current DVD: Adventures in Babysitting", "d:Description": "Review of the film and its DVD, with ratings.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://www.currentfilm.com/dvdreviews/advinbabysittingdvd.html"} +{"d:Title": "Mutant Reviewers from Hell: Adventures in Babysitting", "d:Description": "Synopsis, several reviews, ratings of the film's cult appeal, and trivia.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://mutantreviewers.wordpress.com/2011/09/23/adventures-in-babysitting-retro-review-2/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://www.imdb.com/title/tt0092513/"} +{"d:Title": "Roger Ebert - Adventures in Babysitting", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Adventures_in_Babysitting", "url": "http://www.rogerebert.com/reviews/adventures-in-babysitting-1987"} +{"d:Title": "The Banzai Institute", "d:Description": "Official site featuring news, an active forum and comments from director W.D. Richter and Buckaroo himself.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Buckaroo_Banzai_Across_the_8th_Dimension,_The", "url": "http://www.banzai-institute.com/"} +{"d:Title": "Buckaroo Banzai FAQ", "d:Description": "Frequently Asked Questions about Buckaroo Banzai, a sci-fi movie from 1984 that has achieved cult status.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Buckaroo_Banzai_Across_the_8th_Dimension,_The", "url": "http://www.figmentfly.com/bb/bbindex.shtml"} +{"d:Title": "Buckaroo Banzai and the Hong Kong Cavaliers", "d:Description": "Fan site for the character - includes background information, film details, and links.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Buckaroo_Banzai_Across_the_8th_Dimension,_The", "url": "http://www.angelfire.com/weird2/myzerack/"} +{"d:Title": "Apache's Fan Fiction: Buckaroo Banzai", "d:Description": "Fan fiction about how Buckaroo met Rawhide and brought the idea of the Institute together.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Buckaroo_Banzai_Across_the_8th_Dimension,_The", "url": "http://www.halfaft.com/indexpages/bbanzaiindex.htm"} +{"d:Title": "The Banzai Institute World Watch Webring", "d:Description": "For fans of the film \"The Adventures of Buckaroo Banzai: Across the 8th Dimension.\"", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Buckaroo_Banzai_Across_the_8th_Dimension,_The", "url": "http://www.webring.org/hub?ring=buckaroobanzai"} +{"d:Title": "IMDb: Adventures of Buckaroo Banzai", "d:Description": "Cast, credits, reviews and additional information.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Buckaroo_Banzai_Across_the_8th_Dimension,_The", "url": "http://www.imdb.com/title/tt0086856/"} +{"d:Title": "Adventures of Don Juan", "d:Description": "IMDb listing with full cast and crew, synopsis, reviews, and recommendations.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Don_Juan", "url": "http://www.imdb.com/title/tt0040076/"} +{"d:Title": "HARO Online: The Adventures of Felix", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Felix,_The", "url": "http://www.haro-online.com/movies/adventures_of_felix.html"} +{"d:Title": "Metacritic.com: The Adventures of Felix", "d:Description": "Quotes from and links to reviews of the film from various sources.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Felix,_The", "url": "http://www.metacritic.com/movie/the-adventures-of-felix"} +{"d:Title": "IMDb: The Adventures of Ford Fairlane (1990)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Ford_Fairlane,_The", "url": "http://www.imdb.com/title/tt0098987/"} +{"d:Title": "AllReaders.com Review - The Adventures of Ford Fairlane", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Andrew Dice Clay film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Ford_Fairlane,_The", "url": "http://allreaders.com/movie-review-summary/the-adventures-of-ford-fairlane-7127"} +{"d:Title": "HARO Online: The Adventures of Pluto Nash", "d:Description": "Review of the film by Haro, and pictures.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.haro-online.com/movies/adventures_of_pluto_nash.html"} +{"d:Title": "Screen It: The Adventures of Pluto Nash", "d:Description": "Detailed review broken down by categories of interest to parents.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.screenit.com/movies/2002/the_adventures_of_pluto_nash.html"} +{"d:Title": "3BlackChicks.com: The Adventures of Pluto Nash", "d:Description": "Review and plot outline by Rose Cooper, including comments on the ethnic content of the film.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.3blackchicks.com/2002reviews/bamspluto.html"} +{"d:Title": "Entertain Your Brain: The Adventures of Pluto Nash", "d:Description": "Review by Shawn McKenzie.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.entertainyourbrain.com/adventuresofplutonashrev.htm"} +{"d:Title": "Nitrate Online: The Adventures of Pluto Nash", "d:Description": "Gregory Avery reviews the film.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.nitrateonline.com/2002/rpluto.html"} +{"d:Title": "Shadows on the Wall: The Adventures of Pluto Nash", "d:Description": "Review by Rich Cline and reader comments.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.shadowsonthewall.co.uk/swadvplu.htm"} +{"d:Title": "All-Reviews.com: The Adventures of Pluto Nash", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.all-reviews.com/videos-4/adventures-pluto-nash.htm"} +{"d:Title": "Rotten Tomatoes: The Adventures of Pluto Nash", "d:Description": "Quotes from and links to reviews of the film. Also includes synopsis, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.rottentomatoes.com/m/adventures_of_pluto_nash/"} +{"d:Title": "Cinema Blend: The Adventures of Pluto Nash", "d:Description": "Review and still images.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.cinemablend.com/reviews/The-Adventures-of-Pluto-Nash-320.html"} +{"d:Title": "eFilmCritic: The Adventures of Pluto Nash", "d:Description": "Reviews and comments by various contributors.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.efilmcritic.com/review.php?movie=4707"} +{"d:Title": "IMDb: The Adventures of Pluto Nash", "d:Description": "Synopsis, cast and crew, reviews, viewer comments, trivia, related films, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.imdb.com/title/tt0180052/"} +{"d:Title": "The Adventures of Pluto Nash", "d:Description": "Official site for the film. Synopsis, cast and crew, image gallery, downloads, and interactive games [requires Flash].", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Pluto_Nash,_The", "url": "http://www.warnerbros.com/adventures-pluto-nash"} +{"d:Title": "Fun Trivia: Adventures of Priscilla, Queen of the Deset, The", "d:Description": "Two trivia quizzes.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The", "url": "http://www.funtrivia.com/quizzes/movies/movies_a-c/the_adventures_of_priscilla.html"} +{"d:Title": "IMDb: Adventures of Priscilla, Queen of the Desert, The", "d:Description": "Includes reviews, cast, plot summary, awards, quotes, trivia and soundtrack listing.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The", "url": "http://www.imdb.com/title/tt0109045/"} +{"d:Title": "Washington Post: Adventures of Priscilla, Queen of the Desert, The", "d:Description": "Review by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theadventuresofpriscillarkempley_a0b37e.htm"} +{"d:Title": "Washington Post: Adventures of Priscilla, Queen of the Desert, The", "d:Description": "Review by Desson Howe.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theadventuresofpriscillarhowe_a0b37f.htm"} +{"d:Title": "Deseret Morning News: Adventures of Priscilla, Queen of the Desert, The", "d:Description": "Review by Chris Hicks.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The/Reviews", "url": "http://www.deseretnews.com/article/700000036/Adventures-of-Priscilla-Queen-of-the-Desert-The.html"} +{"d:Title": "RogerEbert.com: Adventures of Priscilla, Queen of the Desert, The", "d:Description": "In-depth review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-adventures-of-priscilla-queen-of-the-desert-1994"} +{"d:Title": "SF Gate: Adventures of Priscilla, Queen of the Desert, The", "d:Description": "Review by Mick LaSalle.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The/Reviews", "url": "http://www.sfgate.com/movies/article/A-Lighthearted-Priscilla-3037761.php"} +{"d:Title": "AllReaders.com: Adventures of Priscilla, Queen of the Desert, The", "d:Description": "Reviews and information on setting, theme and structure.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Priscilla,_Queen_of_the_Desert,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-adventures-of-priscilla-queen-of-4347"} +{"d:Title": "PopMatters - The Adventures of Rocky and Bullwinkle", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://popmatters.com/film/reviews/a/adventures-of-rocky.html"} +{"d:Title": "HARO Online - The Adventures of Rocky and Bullwinkle", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://www.haro-online.com/movies/adventures_of_rocky_and_bullwinkle.html"} +{"d:Title": "All-Reviews.com - The Adventures of Rocky and Bullwinkle", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://www.all-reviews.com/videos/rocky-and-bullwinkle.htm"} +{"d:Title": "Rotten Tomatoes - The Adventures of Rocky and Bullwinkle (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://www.rottentomatoes.com/m/adventures_of_rocky_and_bullwinkle/"} +{"d:Title": "Hokey Smoke", "d:Description": "Pictures, sounds, and history of the film. Must download moose and squirrel.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://bullwinkle.toonzone.net/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://www.imdb.com/title/tt0131704/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://www.metacritic.com/movie/the-adventures-of-rocky-bullwinkle"} +{"d:Title": "Filmbug - The Adventures of Rocky and Bullwinkle", "d:Description": "Summary, facts, cast, and links.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://www.filmbug.com/asin/B00003CXJA"} +{"d:Title": "AllReaders.com Bullwinkle and Rocky Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Adventures_of_Rocky_and_Bullwinkle,_The", "url": "http://allreaders.com/movie-review-summary/the-adventures-of-rocky-and-bullwinkle-4964"} +{"d:Title": "IMDb: The Advocate (The Hour of the Pig)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Advocate,_The", "url": "http://www.imdb.com/title/tt0107146/"} +{"d:Title": "Chicago Sun-Times: The Advocate", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/A/Advocate,_The", "url": "http://www.rogerebert.com/reviews/the-advocate-1994"} +{"d:Title": "IMDb - Aerial Slide at Coney Island, The (1897)", "d:Description": "Synopsis, production information.", "topic": "Top/Arts/Movies/Titles/A/Aerial_Slide_at_Coney_Island,_The", "url": "http://www.imdb.com/title/tt0217236/"} +{"d:Title": "Icon Movies: Ae Fond Kiss", "d:Description": "Official UK and Ireland site for the film. Includes trailer and review quotes.", "topic": "Top/Arts/Movies/Titles/A/Ae_Fond_Kiss", "url": "http://www.iconmovies.co.uk/aefondkiss/"} +{"d:Title": "IMDB: Ae Fond Kiss", "d:Description": "Plot outline and cast credits.", "topic": "Top/Arts/Movies/Titles/A/Ae_Fond_Kiss", "url": "http://www.imdb.com/title/tt0380366/"} +{"d:Title": "Future Movies: Ae Fond Kiss", "d:Description": "Complimentary review including photographs.", "topic": "Top/Arts/Movies/Titles/A/Ae_Fond_Kiss", "url": "http://www.futuremovies.co.uk/reviews/ae-fond-kiss/michelle-thomas"} +{"d:Title": "La Plume Noire: The Affair of the Necklace", "d:Description": "Movie review by Fred Thom.", "topic": "Top/Arts/Movies/Titles/A/Affair_of_the_Necklace,_The", "url": "http://www.plume-noire.com/movies/reviews/theaffairofthenecklace.html"} +{"d:Title": "HARO Online", "d:Description": "Haro rates it \"Okay.\"", "topic": "Top/Arts/Movies/Titles/A/Affair_of_the_Necklace,_The", "url": "http://www.haro-online.com/movies/affair_of_the_necklace.html"} +{"d:Title": "Metacritic.com: Affair of the Necklace", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/A/Affair_of_the_Necklace,_The", "url": "http://www.metacritic.com/movie/the-affair-of-the-necklace"} +{"d:Title": "An Affair to Remember", "d:Description": "CaryGrant.net - Review by Helen Fredericks.", "topic": "Top/Arts/Movies/Titles/A/Affair_to_Remember,_An", "url": "http://www.carygrant.net/reviews/affair.html"} +{"d:Title": "AllReaders.com Review - An Affair to Remember", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Cary Grant, Deborah Kerr, Cathleen Nesbitt, and Neva Patterson.", "topic": "Top/Arts/Movies/Titles/A/Affair_to_Remember,_An", "url": "http://allreaders.com/movie-review-summary/an-affair-remember-4351"} +{"d:Title": "All-Reviews.com - Affliction", "d:Description": "Collection of reviews and facts about the movie.", "topic": "Top/Arts/Movies/Titles/A/Affliction", "url": "http://www.all-reviews.com/videos/affliction.htm"} +{"d:Title": "PopMatters", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Affliction", "url": "http://popmatters.com/film/reviews/a/affliction.html"} +{"d:Title": "IMDb: Affliction", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Affliction", "url": "http://www.imdb.com/title/tt0118564/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Affliction", "url": "http://www.metacritic.com/movie/affliction"} +{"d:Title": "Greatest Films: The African Queen", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/A/African_Queen,_The", "url": "http://www.filmsite.org/afri.html"} +{"d:Title": "Teach with Movies: The African Queen", "d:Description": "Review of the film as a learning tool.", "topic": "Top/Arts/Movies/Titles/A/African_Queen,_The", "url": "http://www.teachwithmovies.org/guides/african-queen.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/African_Queen,_The", "url": "http://crazy4cinema.com/Review/FilmsA/f_african_queen.html"} +{"d:Title": "All-Reviews.com - The African Queen", "d:Description": "A 3/4 star review of the film.", "topic": "Top/Arts/Movies/Titles/A/African_Queen,_The", "url": "http://www.all-reviews.com/videos-4/african-queen.htm"} +{"d:Title": "ReelClassics: The African Queen", "d:Description": "Credits, synopsis, review, photographs, downloads, and related links.", "topic": "Top/Arts/Movies/Titles/A/African_Queen,_The", "url": "http://www.reelclassics.com/Movies/African/african.htm"} +{"d:Title": "IMDb: The African Queen", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/African_Queen,_The", "url": "http://www.imdb.com/title/tt0043265/"} +{"d:Title": "TV Guide Online: The African Queen", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/African_Queen,_The", "url": "http://www.tvguide.com/movies/the-african-queen/123380/"} +{"d:Title": "IMDb: Afterglow (1997)", "d:Description": "Cast and crew links, synopsis, and viewer comments.", "topic": "Top/Arts/Movies/Titles/A/Afterglow", "url": "http://www.imdb.com/title/tt0118566/"} +{"d:Title": "IMDb: Aftershock (1990)", "d:Description": "Full cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/A/Aftershock_-_1990", "url": "http://www.imdb.com/title/tt0098996/"} +{"d:Title": "TV Guide Online: Aftershock", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Aftershock_-_1990", "url": "http://www.tvguide.com/movies/aftershock/128063/"} +{"d:Title": "TV Guide Online: After Dark, My Sweet", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/After_Dark_My_Sweet", "url": "http://www.tvguide.com/movies/dark-sweet/128022/"} +{"d:Title": "HARO Online - After Life", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/After_Life", "url": "http://www.haro-online.com/movies/after_life.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/After_Life", "url": "http://www.imdb.com/title/tt0165078/"} +{"d:Title": "AllReaders.com Review - After The Fox", "d:Description": "Analytical review of the plot, setting, theme, and structure of the peter Sellers film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/After_the_Fox", "url": "http://allreaders.com/movie-review-summary/after-the-fox-4171"} +{"d:Title": "After the Rain", "d:Description": "The Official Site for up-to-date information on Edgebound Production's newest student film.", "topic": "Top/Arts/Movies/Titles/A/After_the_Rain", "url": "http://ebpaftertherain.tripod.com/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Apres Vous\". Includes rating, photos, and links to related movies.", "topic": "Top/Arts/Movies/Titles/A/After_You", "url": "http://www.haro-online.com/movies/apres_vous.html"} +{"d:Title": "IMDb: Apr\u00e8s vous... (2003)", "d:Description": "Cast and crew, comments, and trailer.", "topic": "Top/Arts/Movies/Titles/A/After_You", "url": "http://www.imdb.com/title/tt0344604/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Against_All_Odds", "url": "http://www.imdb.com/title/tt0086859/"} +{"d:Title": "HARO Online: Against the Ropes", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Against_the_Ropes", "url": "http://www.haro-online.com/movies/against_the_ropes.html"} +{"d:Title": "IMDb: Against the Ropes (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/A/Against_the_Ropes", "url": "http://www.imdb.com/title/tt0312329/"} +{"d:Title": "Rotten Tomatoes: Against the Ropes", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/A/Against_the_Ropes", "url": "http://www.rottentomatoes.com/m/against_the_ropes/"} +{"d:Title": "Chicago Sun-Times: Against the Ropes", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/A/Against_the_Ropes", "url": "http://www.rogerebert.com/reviews/against-the-ropes-2004"} +{"d:Title": "HARO Online: Agent Cody Banks", "d:Description": "Review and photographs.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks", "url": "http://www.haro-online.com/movies/agent_cody_banks.html"} +{"d:Title": "BBC Films: Agent Cody Banks", "d:Description": "Review and rating by James Russell.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks", "url": "http://www.bbc.co.uk/films/2003/06/23/agent_cody_banks_2003_review.shtml"} +{"d:Title": "Christian Science Monitor: Agent Cody Banks", "d:Description": "Review and rating by David Sterritt.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks", "url": "http://www.csmonitor.com/2003/0314/p15s02-almo.html"} +{"d:Title": "Rotten Tomatoes: Agent Cody Banks", "d:Description": "Reviews, synopsis, trailer, photographs, and news.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks", "url": "http://www.rottentomatoes.com/m/agent_cody_banks/"} +{"d:Title": "Yahoo! Movies: Agent Cody Banks", "d:Description": "Notes, credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks", "url": "http://movies.yahoo.com/movie/agent-cody-banks/"} +{"d:Title": "Internet Movie Database: Agent Cody Banks", "d:Description": "Cast and crew details, plot summary, goofs, trivia, photographs, and links.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks", "url": "http://www.imdb.com/title/tt0313911/"} +{"d:Title": "HARO Online: Agent Cody Banks 2 - Destination London", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks_2_-_Destination_London", "url": "http://www.haro-online.com/movies/agent_cody_banks2.html"} +{"d:Title": "IMDb: Agent Cody Banks 2 - Destination London (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks_2_-_Destination_London", "url": "http://www.imdb.com/title/tt0358349/"} +{"d:Title": "Rotten Tomatoes: Agent Cody Banks 2 - Destination London", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks_2_-_Destination_London", "url": "http://www.rottentomatoes.com/m/agent_cody_banks_2_destination_london/"} +{"d:Title": "Chicago Sun-Times: Agent Cody Banks 2 - Destination London", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/A/Agent_Cody_Banks_Series/Agent_Cody_Banks_2_-_Destination_London", "url": "http://www.rogerebert.com/reviews/agent-cody-banks-2-destination-london-2004"} +{"d:Title": "IMDb: Agent One-Half (2005)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/A/Agent_One-Half", "url": "http://www.imdb.com/title/tt0430878/"} +{"d:Title": "Brian Bero Official Website: Agent One-Half", "d:Description": "Production information and poster.", "topic": "Top/Arts/Movies/Titles/A/Agent_One-Half", "url": "http://www.brianbero.com/agentonehalf_2.html"} +{"d:Title": "Agent One Half", "d:Description": "Official website with synopsis, cast information, and production art.", "topic": "Top/Arts/Movies/Titles/A/Agent_One-Half", "url": "http://www.agentonehalf.com/"} +{"d:Title": "All-Reviews.com - The Age of Innocence", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Age_of_Innocence,_The", "url": "http://www.all-reviews.com/videos-3/age-of-innocence.htm"} +{"d:Title": "IMDb: The Age of Innocence (1993)", "d:Description": "Cast listing, plot summary, viewer comments and rating, quotes, awards and nominations.", "topic": "Top/Arts/Movies/Titles/A/Age_of_Innocence,_The", "url": "http://imdb.com/title/tt0106226/"} +{"d:Title": "Allreaders Review - The Age of Innocence", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/A/Age_of_Innocence,_The", "url": "http://allreaders.com/movie-review-summary/the-age-of-innocence-4417"} +{"d:Title": "PopMatters - Agnes Browne", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Agnes_Browne", "url": "http://popmatters.com/film/reviews/a/agnes-browne.html"} +{"d:Title": "IMDb: Agnes Browne", "d:Description": "Cast and credits, plot, and trivia.", "topic": "Top/Arts/Movies/Titles/A/Agnes_Browne", "url": "http://www.imdb.com/title/tt0160509/"} +{"d:Title": "Roger Ebert - Agnes Browne", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Agnes_Browne", "url": "http://www.rogerebert.com/reviews/agnes-browne-2000"} +{"d:Title": "Metacritic.com: Agnes Browne", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Agnes_Browne", "url": "http://www.metacritic.com/movie/agnes-browne"} +{"d:Title": "Agnes of God", "d:Description": "Review of the film by Peter Reiher.", "topic": "Top/Arts/Movies/Titles/A/Agnes_of_God", "url": "http://fmg-www.cs.ucla.edu/ficus-members/reiher/reviews/agnes.html"} +{"d:Title": "SoundtrackCollector: Agnes Of God", "d:Description": "Soundtrack details for the film, with track listing and various available covers.", "topic": "Top/Arts/Movies/Titles/A/Agnes_of_God", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=5573"} +{"d:Title": "Currentfilm: Agnes of God", "d:Description": "Review of the movie and its DVD.", "topic": "Top/Arts/Movies/Titles/A/Agnes_of_God", "url": "http://www.currentfilm.com/dvdreviews4/agnesofgoddvd.html"} +{"d:Title": "Need Coffee: Agnes of God", "d:Description": "DVD cover photograph and review by Dindrane.", "topic": "Top/Arts/Movies/Titles/A/Agnes_of_God", "url": "http://www.needcoffee.com/2003/12/07/agnes-of-god-dvd-review/"} +{"d:Title": "Internet Movie Database: Agnes of God", "d:Description": "Synopsis, cast and crew, company credits, user ratings, awards, quotes, related films, release information, and links.", "topic": "Top/Arts/Movies/Titles/A/Agnes_of_God", "url": "http://www.imdb.com/title/tt0088683/"} +{"d:Title": "Chicago Sun-Times: Agnes of God", "d:Description": "Review of the movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Agnes_of_God", "url": "http://www.rogerebert.com/reviews/agnes-of-god-1985"} +{"d:Title": "IMDb: Aimee Semple McPherson (2004)", "d:Description": "Cast, quotes, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Aimee_Semple_McPherson", "url": "http://www.imdb.com/title/tt0384798/"} +{"d:Title": "Aimee Semple McPherson", "d:Description": "Official site about this independent film about the 1920s female faith healer, directed by Richard Rossi. News, comments, plot line, and words from the director about why he made the film and how it all started.", "topic": "Top/Arts/Movies/Titles/A/Aimee_Semple_McPherson", "url": "http://www.aimeesemplemcphersonmovie.com/"} +{"d:Title": "The 80's Movies Rewind: Airplane!", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/A/Airplane", "url": "http://www.fast-rewind.com/airplan.htm"} +{"d:Title": "IMDb: Airplane!", "d:Description": "Includes reviews, cast, plot summary, awards, quotes, trivia and goofs.", "topic": "Top/Arts/Movies/Titles/A/Airplane", "url": "http://www.imdb.com/title/tt0080339/"} +{"d:Title": "Reel Film Reviews: Airplane!", "d:Description": "Review by David Nusair.", "topic": "Top/Arts/Movies/Titles/A/Airplane", "url": "http://www.reelfilm.com/airplane.htm"} +{"d:Title": "NPR: 'Airplane!' at 25 - The Disaster Movie That Wasn't", "d:Description": "NPR's \"All Things Considered\" reviews the impacts of the film on its 25th anniversary in an interview with Jerry Zucker, David Zucker, and Jim Abrahams.", "topic": "Top/Arts/Movies/Titles/A/Airplane", "url": "http://www.npr.org/templates/story/story.php?storyId=4735876"} +{"d:Title": "Allreaders.com: Airplane!", "d:Description": "Reviews and information on setting and theme.", "topic": "Top/Arts/Movies/Titles/A/Airplane", "url": "http://allreaders.com/movie-review-summary/airplane-3563"} +{"d:Title": "IMDb: Air Bud (1997)", "d:Description": "Full cast and crew information, user comments, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Air_Bud", "url": "http://www.imdb.com/title/tt0118570/"} +{"d:Title": "TV Guide Online: Air Bud", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Air_Bud", "url": "http://www.tvguide.com/movies/air-bud/132440/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Air_Force_One", "url": "http://crazy4cinema.com/Review/FilmsA/f_airforce.html"} +{"d:Title": "All-Reviews.com - Air Force One", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/A/Air_Force_One", "url": "http://www.all-reviews.com/videos/air-force-one.htm"} +{"d:Title": "IMDb: Air Force One (1997)", "d:Description": "Cast and Crew information, plot summary, and user reviews.", "topic": "Top/Arts/Movies/Titles/A/Air_Force_One", "url": "http://www.imdb.com/title/tt0118571/"} +{"d:Title": "TV Guide Online: Air Force One", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Air_Force_One", "url": "http://www.tvguide.com/movies/air-force/132266/"} +{"d:Title": "IMDb: The Air Up There (1994)", "d:Description": "Plot summary, cast and crew information, viewer comments.", "topic": "Top/Arts/Movies/Titles/A/Air_Up_There,_The", "url": "http://www.imdb.com/title/tt0109067/"} +{"d:Title": "The Air Up There : Review", "d:Description": "Movie review of the Paul Glazer film \"The Air Up There\" as published in the Austin Chronicle.", "topic": "Top/Arts/Movies/Titles/A/Air_Up_There,_The", "url": "http://www.austinchronicle.com/calendar/film/1994-01-07/the-air-up-there/"} +{"d:Title": "AllReaders.com: The Air Up There", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Air_Up_There,_The", "url": "http://allreaders.com/movie-review-summary/the-air-up-there-4524"} +{"d:Title": "TV Guide Online: The Air Up There", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Air_Up_There,_The", "url": "http://www.tvguide.com/movies/air/129266/"} +{"d:Title": "IMDb: Alamo, The (1960)", "d:Description": "Includes cast and crew, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Alamo,_The_-_1960", "url": "http://imdb.com/title/tt0053580/"} +{"d:Title": "AllReaders.com Review - The Alamo", "d:Description": "Analytical review of the plot, setting, theme, and structure of the John Wayne film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Alamo,_The_-_1960", "url": "http://allreaders.com/movie-review-summary/the-alamo-4151"} +{"d:Title": "KillerMovies - The Alamo", "d:Description": "News articles and details on the upcoming movie.", "topic": "Top/Arts/Movies/Titles/A/Alamo,_The_-_2004", "url": "http://www.killermovies.com/a/thealamo/"} +{"d:Title": "Internet Movie Database: Alamo, The (2004)", "d:Description": "Synopsis, cast and crew, trivia, production and distribution information, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/Alamo,_The_-_2004", "url": "http://imdb.com/title/tt0318974/"} +{"d:Title": "HARO Online: The Alamo", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Alamo,_The_-_2004", "url": "http://www.haro-online.com/movies/alamo.html"} +{"d:Title": "All-Reviews.com: The Alamo", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Alamo,_The_-_2004", "url": "http://www.all-reviews.com/videos-5/alamo.htm"} +{"d:Title": "Awesome Stories: The Alamo", "d:Description": "The historical background of the film.", "topic": "Top/Arts/Movies/Titles/A/Alamo,_The_-_2004", "url": "https://www.awesomestories.com/asset/view/Alamo-The"} +{"d:Title": "Washington Post - Alan&Naomi", "d:Description": "Review by Rita Kempley (positive)", "topic": "Top/Arts/Movies/Titles/A/Alan_and_Naomi", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/alannaomipgkempley_a0a295.htm"} +{"d:Title": "Deseret News - Alan and Naomi", "d:Description": "Review by Chris Hicks (positive).", "topic": "Top/Arts/Movies/Titles/A/Alan_and_Naomi", "url": "http://www.deseretnews.com/article/700000050/Alan--Naomi.html"} +{"d:Title": "IMDb - Alan and Naomi (1992)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Alan_and_Naomi", "url": "http://www.imdb.com/title/tt0103640/combined"} +{"d:Title": "Austin Chronicle - Alan&Naomi", "d:Description": "Review by Kathleen Maher (negative).", "topic": "Top/Arts/Movies/Titles/A/Alan_and_Naomi", "url": "http://www.austinchronicle.com/calendar/film/1992-04-24/138817/"} +{"d:Title": "Cinebooks Database - Alan and Naomi", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Alan_and_Naomi", "url": "http://www.tvguide.com/movies/alan-naomi/128766/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Alaska", "url": "http://www.all-reviews.com/videos-2/alaska.htm"} +{"d:Title": "KillerMovies: Alexander", "d:Description": "Film information and news articles.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://www.killermovies.com/a/alexander/"} +{"d:Title": "Rotten Tomatoes: Alexander", "d:Description": "Movie information, trailers, posters, photos, news, and forum.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://www.rottentomatoes.com/m/alexander/"} +{"d:Title": "Alexander", "d:Description": "Fansite with movie news, images, downloads and forum.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://www.alexander-the-great.co.uk/"} +{"d:Title": "About: Alexander", "d:Description": "Movie synopsis with links to interviews, behind-the-scenes info, and credits.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://romanticmovies.about.com/od/alexander/"} +{"d:Title": "HARO Online: Alexander", "d:Description": "Film review with images.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://www.haro-online.com/movies/alexander.html"} +{"d:Title": "Mooviees! - Alexander", "d:Description": "Features photos, wallpapers, trailers, review links, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://www.mooviees.com/7068-alexander/movie"} +{"d:Title": "Yahoo! Movies: Alexander", "d:Description": "Features movie credits, production notes and a message board.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://movies.yahoo.com/movie/alexander/"} +{"d:Title": "IMDb: Alexander", "d:Description": "Film database with cast and crew details, filming locations, links and a message board.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "http://www.imdb.com/title/tt0346491/combined"} +{"d:Title": "Awesome Stories: Alexander The Great", "d:Description": "Tells the story behind the movie with links to pictures of the real people and places.", "topic": "Top/Arts/Movies/Titles/A/Alexander", "url": "https://www.awesomestories.com/asset/view/Alexander-the-Great0"} +{"d:Title": "Rotten Tomatoes: Alexandra", "d:Description": "Synopsis, cast members, showtimes, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Alexandra", "url": "http://www.rottentomatoes.com/m/10008234-alexandra/"} +{"d:Title": "Village Voice: Alexandra", "d:Description": "Review, by J. Hoberman: \"Spare yet tactile, a mysterious mixture of lightness and gravity, Alexander Sokurov's Alexandra is founded on contradiction. Musing on war in general and the Russian occupation of Chechnya in particular, this is a movie in which combat is never shown.\"", "topic": "Top/Arts/Movies/Titles/A/Alexandra", "url": "http://www.villagevoice.com/film/sokurovs-alexandra-army-of-one-6394623"} +{"d:Title": "MovieWeb: Alexandra (2008)", "d:Description": "Summary, reviews, synopsis, and photos.", "topic": "Top/Arts/Movies/Titles/A/Alexandra", "url": "http://movieweb.com/movie/alexandra/"} +{"d:Title": "HARO Online: Alex and Emma", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Alex_and_Emma", "url": "http://www.haro-online.com/movies/alex_and_emma.html"} +{"d:Title": "All-Reviews.com - Alex and Emma", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Alex_and_Emma", "url": "http://www.all-reviews.com/videos-5/alex-and-emma.htm"} +{"d:Title": "IMDb: Alex and Emma (2003)", "d:Description": "Cast, crew, reviews, plot summary, viewer comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/A/Alex_and_Emma", "url": "http://www.imdb.com/title/tt0318283/"} +{"d:Title": "Awesome Stories: Alex and Emma", "d:Description": "Provides the historical background of the movie which is based on the life of Fyodor Dostoevsky and his novel, \"The Gambler.\"", "topic": "Top/Arts/Movies/Titles/A/Alex_and_Emma", "url": "https://www.awesomestories.com/asset/view/Alex-and-Emma"} +{"d:Title": "Internet Movie Database: Alferd Packer: The Musical", "d:Description": "Plot summary, reviews, cast and crew, user comments and ratings, quotations, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/Alferd_Packer_-_The_Musical", "url": "http://imdb.com/title/tt0115819/"} +{"d:Title": "Cannibal! The Musical", "d:Description": "Official site with pictures, sounds, videos, interviews, trivia and links.", "topic": "Top/Arts/Movies/Titles/A/Alferd_Packer_-_The_Musical", "url": "http://www.cannibalthemusical.net/"} +{"d:Title": "IMDb: Alfie", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/A/Alfie_-_1966", "url": "http://www.imdb.com/title/tt0060086/"} +{"d:Title": "The Internet Movie Database (IMDb): Alfie", "d:Description": "Cast and crew listings, technical details, pictures and user comments.", "topic": "Top/Arts/Movies/Titles/A/Alfie_-_2004", "url": "http://imdb.com/title/tt0375173/"} +{"d:Title": "Alfie", "d:Description": "Haro-online reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Alfie_-_2004", "url": "http://www.haro-online.com/movies/alfie.html"} +{"d:Title": "HARO Online: Ali", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://www.haro-online.com/movies/ali.html"} +{"d:Title": "All-Reviews.com: Ali", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://all-reviews.com/videos-4/ali.htm"} +{"d:Title": "About.com: Ali", "d:Description": "Review of the film by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://homevideo.about.com/library/weekly/aafpr042502.htm"} +{"d:Title": "Ninth Symphony Films: Ali", "d:Description": "Review of the film with a synopsis, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://regencylady.tripod.com/site/filmreviews/ali.html"} +{"d:Title": "Ali", "d:Description": "Review by Scott Manning, with reader comments.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://www.scottmanning.com/archives/000408.php"} +{"d:Title": "Rotten Tomatoes: Ali", "d:Description": "Links to movie reviews, synopsis, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://www.rottentomatoes.com/m/ali/"} +{"d:Title": "IMDb: Ali (2001)", "d:Description": "Cast and crew information, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://www.imdb.com/title/tt0248667/"} +{"d:Title": "Metacritic.com: Ali", "d:Description": "Quotes from and links to several reviews of the film.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://www.metacritic.com/movie/ali"} +{"d:Title": "EW.com: Ali", "d:Description": "Review of the film by Daniel Fierman with user comments.", "topic": "Top/Arts/Movies/Titles/A/Ali", "url": "http://www.ew.com/article/2002/01/04/ali"} +{"d:Title": "HARO Online: Alias Betty", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.haro-online.com/movies/alias_betty.html"} +{"d:Title": "Jigsaw Lounge: Betty Fisher and Other Stories", "d:Description": "Review of the film by Neil Young.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.jigsawlounge.co.uk/film/bettyfisher.html"} +{"d:Title": "BBC Films: Betty Fisher and Other Stories", "d:Description": "Review by Laura Bushell, pictures, and links.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.bbc.co.uk/films/2002/06/05/betty_fisher_and_other_stories_2002_review.shtml"} +{"d:Title": "Shadows on the Wall: Betty Fisher and Other Stories", "d:Description": "Review by Rich Cline; user comments welcome.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.shadowsonthewall.co.uk/swbetfis.htm"} +{"d:Title": "CineScene: Alias Betty", "d:Description": "Shari L. Rosenblum reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.cinescene.com/shari/aliasbetty.htm"} +{"d:Title": "Christian Science Monitor: Alias Betty", "d:Description": "Review and commentary by David Sterritt.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.csmonitor.com/2002/0913/p16s01-almo.html"} +{"d:Title": "All-Reviews.com: Alias Betty", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.all-reviews.com/videos-5/alias-betty.htm"} +{"d:Title": "Rotten Tomatoes: Alias Betty", "d:Description": "Quotes from and links to reviews of the film, with synopsis and discussion forum.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.rottentomatoes.com/m/alias_betty/"} +{"d:Title": "Guardian Unlimited Film: Betty Fisher and Other Stories", "d:Description": "Ratings and reviews for the film.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.theguardian.com/film/movie/90713/betty-fisher-et-autres-histoires"} +{"d:Title": "IMDb: Betty Fisher et autres histoires", "d:Description": "Cast and crew, synopsis, reviews, user comments, pictures, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.imdb.com/title/tt0269329/"} +{"d:Title": "Metacritic: Alias Betty", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.metacritic.com/movie/alias-betty"} +{"d:Title": "Phase 9: Betty Fisher and Other Stories", "d:Description": "Film information, synopsis and review by Dr. Kuma.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.phase9.tv/movie-reviews/bettyfisherandotherstories.shtml"} +{"d:Title": "EW.com: Alias Betty", "d:Description": "Review by Lisa Schwarzbaum.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.ew.com/article/2002/09/25/alias-betty"} +{"d:Title": "TV Guide Online: Alias Betty", "d:Description": "Film information, review, and credits.", "topic": "Top/Arts/Movies/Titles/A/Alias_Betty", "url": "http://www.tvguide.com/movies/alias-betty/135342/"} +{"d:Title": "IMDb: Alice's Restaurant (1969)", "d:Description": "Cast and crew links, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/A/Alice's_Restaurant", "url": "http://www.imdb.com/title/tt0064002/"} +{"d:Title": "AllReaders.com - Alice Doesn't Live Here Anymore", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Ellen Burstyn, Valerie Curtin, Jodie Foster, Lelia Goldoni, Harvey Keitel, Kris Kristofferson, and Diane Ladd.", "topic": "Top/Arts/Movies/Titles/A/Alice_Doesn't_Live_Here_Anymore", "url": "http://allreaders.com/movie-review-summary/alice-doesn-t-live-here-anymore-4763"} +{"d:Title": "Alice In Wonderland", "d:Description": "CaryGrant.net - Review by Gael Sweeney.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_1933", "url": "http://www.carygrant.net/reviews/alice.html"} +{"d:Title": "Wikipedia: Alice in Wonderland (2010 film)", "d:Description": "Provides plot, cast overview, production and soundtrack information.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://en.wikipedia.org/wiki/Alice_in_Wonderland_(2010_film)"} +{"d:Title": "IMDb: Alice in Wonderland (2010)", "d:Description": "Photographs, user ratings, cast and crew, reviews, plot summary and trailers.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://www.imdb.com/title/tt1014759/"} +{"d:Title": "Entertainment Spectrum: Alice In Wonderland", "d:Description": "Review of the movie by Keith Cohen.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://entertainmentspectrum.com/index/movies/1044/aliceinwonderland.html"} +{"d:Title": "Cinemalogue: Alice in Wonderland", "d:Description": "Film review by Rubin Safaya, with readers' comments.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://www.cinemalogue.com/2010/03/05/alice-in-wonderland/"} +{"d:Title": "The Aisle Seat: Alice in Wonderland", "d:Description": "Movie review by Mike McGranaghan.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://www.aisleseat.com/wonderland.htm"} +{"d:Title": "Telegraph.co.uk: Tim Burton and Johnny Depp interview for Alice In Wonderland", "d:Description": "Mark Salisbury interviews Tim Burton and Johnny Depp on the set of the new 3D film.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://www.telegraph.co.uk/culture/film/starsandstories/7205720/Tim-Burton-and-Johnny-Depp-interview-for-Alice-In-Wonderland.html"} +{"d:Title": "RogerEbert.com: Alice in Wonderland", "d:Description": "Roger Ebert reviews the new fantasy film.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://www.rogerebert.com/reviews/alice-in-wonderland-2010"} +{"d:Title": "Disney: Alice in Wonderland", "d:Description": "Official site from Walt Disney Pictures.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://movies.disney.com/alice-in-wonderland-2010"} +{"d:Title": "Rotten Tomatoes: Alice in Wonderland", "d:Description": "Movie reviews and trailers for the 2010 film.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://www.rottentomatoes.com/m/1221547-alice_in_wonderland/"} +{"d:Title": "Blast - Comic-Con 2009: Tim Burton talks Wonderland", "d:Description": "The director talks about his latest epic.", "topic": "Top/Arts/Movies/Titles/A/Alice_in_Wonderland_-_2010", "url": "http://blastmagazine.com/entertainment/tim-burton-talks-wonderland/"} +{"d:Title": "Fantastic Movie Musings and Ramblings: The Alien Factor (1977)", "d:Description": "Short review by Dave Sindelar.", "topic": "Top/Arts/Movies/Titles/A/Alien_Factor,_The", "url": "http://www.scifilm.org/musing358.html"} +{"d:Title": "IMDb - Alien Factor, The (1977)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Alien_Factor,_The", "url": "http://www.imdb.com/title/tt0075656/"} +{"d:Title": "When Aliens Meet Angelenos", "d:Description": "Review by Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/A/Alien_Nation", "url": "http://www.nytimes.com/1988/10/07/movies/review-film-when-aliens-meet-angelenos.html"} +{"d:Title": "IMDb: Alien Nation (1988)", "d:Description": "Cast and crew information, synopsis, and comments from viewers.", "topic": "Top/Arts/Movies/Titles/A/Alien_Nation", "url": "http://www.imdb.com/title/tt0094631/"} +{"d:Title": "AliensCollection.com", "d:Description": "A reference library for collectors of toys, models, books, props, and other Alien related items.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series", "url": "http://www.alienscollection.com/"} +{"d:Title": "Alien Collectors Homeworld", "d:Description": "Showcase of rare and unique toys and merchandise from the Aliens movies.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series", "url": "http://www.xenomorph.org/"} +{"d:Title": "Kirk's Alien Page", "d:Description": "Fan site with plot of the movies, pictures, some sounds and the life cycle of the Alien.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series", "url": "http://www.angelfire.com/al/ALIENS1/index.html"} +{"d:Title": "Derek's Alien Page", "d:Description": "Fan site with script, cast, mistakes, pictures and facts behind the scenes from each movie,", "topic": "Top/Arts/Movies/Titles/A/Alien_Series", "url": "http://www.angelfire.com/me/dcdalien/index.html"} +{"d:Title": "Craig's Alien Page", "d:Description": "Fan site with pictures of the different Aliens.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series", "url": "http://www.angelfire.com/az/Oz420/"} +{"d:Title": "Arin's Alien Lair", "d:Description": "Fan site with story line, pictures, behind the scenes, trailers, and memorabilia. Covers all four movies.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series", "url": "http://thedaarkone.tripod.com/main.html"} +{"d:Title": "Movie Quotes Site: Alien", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien", "url": "http://www.moviequotes.com/archive/titles/20.html"} +{"d:Title": "All-Reviews.com: Alien", "d:Description": "Review by Dragan Antulov : '[...] the best horror film of all times'. 4 star out of 4.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien", "url": "http://www.all-reviews.com/videos-3/alien.htm"} +{"d:Title": "HARO Online: Alien", "d:Description": "Haro reviews the 20th anniversary director's cut of the film.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien", "url": "http://www.haro-online.com/movies/alien_directors_cut.html"} +{"d:Title": "IMDb: Alien (1979)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot outline, user comments, and links.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien", "url": "http://www.imdb.com/title/tt0078748/"} +{"d:Title": "Sci-Fi Movie Page: Alien", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien", "url": "http://www.scifimoviepage.com/alien.html"} +{"d:Title": "Jurassic Punk: Alien", "d:Description": "A collection of 9 video clips in QuickTime format. With trailers and scenes from the movie.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien", "url": "http://www.jurassicpunk.com/movies/alien.shtml"} +{"d:Title": "Movie Quotes Site: Aliens", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Aliens", "url": "http://www.moviequotes.com/archive/titles/22.html"} +{"d:Title": "All-Reviews.com: Aliens", "d:Description": "Review by Dragan Antulov : '[...] the best science fiction film ever made'. 4 star out of 4.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Aliens", "url": "http://www.all-reviews.com/videos-3/aliens.htm"} +{"d:Title": "Filmtracks: Aliens", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Aliens", "url": "http://www.filmtracks.com/titles/aliens.html"} +{"d:Title": "IMDb: Aliens (1986)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot outline, user comments, and links.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Aliens", "url": "http://www.imdb.com/title/tt0090605/"} +{"d:Title": "Replica Underground Props", "d:Description": "Devoted to building, collecting, showing off, and learning all that can be known about the pulse rifle from the movie series.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Aliens", "url": "http://www.m41a.com/"} +{"d:Title": "The Sci-Fi Movie Page: Aliens", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Aliens", "url": "http://www.scifimoviepage.com/novpick.html"} +{"d:Title": "Chicago Sun Times: Aliens", "d:Description": "Very positive review by Roger Ebert. Covers the film and production in great detail.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Aliens", "url": "http://www.rogerebert.com/reviews/aliens-1986"} +{"d:Title": "Filmtracks: Alien 3", "d:Description": "A critical review of Elliot Goldenthal's soundtrack for the Alien 3 movie. Includes a track listing.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_3", "url": "http://www.filmtracks.com/titles/alien3.html"} +{"d:Title": "All-Reviews.com: Alien 3", "d:Description": "Review by Steve Rhodes: 'I give it a thumbs down recommendation'. 1 star out of 4.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_3", "url": "http://www.all-reviews.com/videos-3/alien3.htm"} +{"d:Title": "IMDb: Alien 3 (1992)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot summary, user comments, and links.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_3", "url": "http://www.imdb.com/title/tt0103644/"} +{"d:Title": "The Sci-Fi Movie Page: Alien 3", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_3", "url": "http://www.scifimoviepage.com/aug99pik.html"} +{"d:Title": "Jurassic Punk: Alien 3", "d:Description": "A collection of 9 video clips in QuickTime format. With trailers and scenes from the movie.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_3", "url": "http://www.jurassicpunk.com/movies/alien3.shtml"} +{"d:Title": "Movie Quotes Site: Alien Resurrection", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_Resurrection", "url": "http://www.moviequotes.com/archive/titles/21.html"} +{"d:Title": "All-Reviews.com: Alien Resurrection", "d:Description": "Several reviews of the movie with ratings between 1 and 2.5 out of 5.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_Resurrection", "url": "http://all-reviews.com/videos-4/alien-resurrection.htm"} +{"d:Title": "IMDb: Alien Resurrection (1997)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_Resurrection", "url": "http://www.imdb.com/title/tt0118583/"} +{"d:Title": "MovieWeb: Alien Resurrection", "d:Description": "A brief review with cast list, links, trailer, and a collection of still images.", "topic": "Top/Arts/Movies/Titles/A/Alien_Series/Alien_Resurrection", "url": "http://www.movieweb.com/movie/alien-resurrection"} +{"d:Title": "IMDb: Aliens vs Predator - Requiem", "d:Description": "Synopsis, trailers, images, trivia, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Aliens_vs._Predator_-_Requiem", "url": "http://www.imdb.com/title/tt0758730/"} +{"d:Title": "Rotten Tomatoes: Aliens vs. Predator - Requiem", "d:Description": "Pictures, clips, reviews, synopsis and ratings.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Aliens_vs._Predator_-_Requiem", "url": "http://www.rottentomatoes.com/m/avp2/"} +{"d:Title": "Movies.com: Aliens vs. Predator: Requiem", "d:Description": "Synopsis, pictures, reviews, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Aliens_vs._Predator_-_Requiem", "url": "http://www.movies.com/aliens-vs.-predator:-requiem/m60008"} +{"d:Title": "Movieweb.com: Aliens vs. Predator - Requiem", "d:Description": "Pictures, video clips, synopsis and reviews.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Aliens_vs._Predator_-_Requiem", "url": "http://www.movieweb.com/movie/aliens-vs-predator-requiem"} +{"d:Title": "MRQE: Aliens vs. Predator - Requiem", "d:Description": "Reviews and ratings from around the world.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Aliens_vs._Predator_-_Requiem", "url": "http://www.mrqe.com/movie_reviews/avpr-aliens-vs-predator-requiem-m100069305"} +{"d:Title": "Alien vs Predator 2", "d:Description": "Fan site with information on the movie, games and books.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Alien_vs._Predator", "url": "http://www.alienvspredator2.com/"} +{"d:Title": "IMDb: Alien Vs. Predator (2004)", "d:Description": "Synopsis, trailer, user comments, and message board.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Alien_vs._Predator", "url": "http://www.imdb.com/title/tt0370263/"} +{"d:Title": "Rotten Tomatoes: Alien vs. Predator", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Alien_vs._Predator", "url": "http://www.rottentomatoes.com/m/alien_vs_predator/"} +{"d:Title": "HARO Online: Alien vs. Predator", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Alien_vs._Predator", "url": "http://www.haro-online.com/movies/alien_vs_predator.html"} +{"d:Title": "UGO: Alien vs. Predator", "d:Description": "A news hub for the movie.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Alien_vs._Predator", "url": "http://avp.ugo.com/"} +{"d:Title": "Filmtracks: Alien vs. Predator", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Alien_vs._Predator", "url": "http://www.filmtracks.com/titles/alien_predator.html"} +{"d:Title": "IGN Filmforce: Alien Vs. Predator", "d:Description": "Movie news, previews and behind the scenes information.", "topic": "Top/Arts/Movies/Titles/A/Alien_vs._Predator_Series/Alien_vs._Predator", "url": "http://www.ign.com/"} +{"d:Title": "All-Reviews.com: Alive", "d:Description": "Review of the film by Brian Koller.", "topic": "Top/Arts/Movies/Titles/A/Alive", "url": "http://www.all-reviews.com/videos-4/alive.htm"} +{"d:Title": "IMDb: Alive", "d:Description": "Synopsis, cast and crew, production details, reviews, awards information, user comments and ratings, trivia, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/A/Alive", "url": "http://www.imdb.com/title/tt0106246/"} +{"d:Title": "IMDb: Indian Summer", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Alive_and_Kicking", "url": "http://www.imdb.com/title/tt0116631/"} +{"d:Title": "Internet Movie Database: Ali G Indahouse", "d:Description": "Synopsis, production information, cast and crew, user comments and ratings, quotes, soundtrack listing, merchandising details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/Ali_G_Indahouse", "url": "http://imdb.com/title/tt0284837/"} +{"d:Title": "BBCi - Ali G Indahouse", "d:Description": "Film information, review by Neil Smith, interviews, and links.", "topic": "Top/Arts/Movies/Titles/A/Ali_G_Indahouse", "url": "http://www.bbc.co.uk/films/2002/03/12/alig_in_da_house_2002_review.shtml"} +{"d:Title": "Iofilm: Ali G Indahouse", "d:Description": "Negative review of the film.", "topic": "Top/Arts/Movies/Titles/A/Ali_G_Indahouse", "url": "http://www.iofilm.co.uk/films/a/ali_g_indahouse.shtml"} +{"d:Title": "Sound America: Psycho", "d:Description": "Tom Woodward reviews the film and its Region 2 DVD.", "topic": "Top/Arts/Movies/Titles/A/Ali_G_Indahouse", "url": "http://www.dvdanswers.com/index.php?r=0&s=2&c=553"} +{"d:Title": "Ali G Indahouse", "d:Description": "Official site with film information, synopsis, and a behind the scenes video.", "topic": "Top/Arts/Movies/Titles/A/Ali_G_Indahouse", "url": "http://www.aligindahousedvd.com/"} +{"d:Title": "Guardian: Ali G Indahouse", "d:Description": "Film information, reviews, user ratings, related news articles, and links.", "topic": "Top/Arts/Movies/Titles/A/Ali_G_Indahouse", "url": "http://www.theguardian.com/film/movie/91536/ali.g.in.da.house"} +{"d:Title": "Allseitig reduzierte Pers\u00f6nlichkeit - Redupers, Die (1978)", "d:Description": "Cast, crew, reviews and plot summary from IMDB.", "topic": "Top/Arts/Movies/Titles/A/All-Round_Reduced_Personality,_The", "url": "http://www.imdb.com/title/tt0077150/"} +{"d:Title": "Bright Lights Film Journal: The Alley Cats", "d:Description": "Review: \"Radley Metzger's 'The Alley Cats' (1966) is an artful, sexy, and satisfying effort that manages to amuse and engage more than three decades after its release.\"", "topic": "Top/Arts/Movies/Titles/A/Alley_Cats,_The", "url": "http://brightlightsfilm.com/alley-cats-radley-metzger-1966-dvd/"} +{"d:Title": "Greatest Films: All About Eve (1950)", "d:Description": "Detailed review by Tim Dirks, synopsis and discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.filmsite.org/alla.html"} +{"d:Title": "Crazy for Cinema: All About Eve (1950)", "d:Description": "Review of a movie \"so evil in points it could have been directed by Hitchcock.\" Rated 4 stars (\"film heaven\").", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://crazy4cinema.com/Review/FilmsA/f_about_eve.html"} +{"d:Title": "Moviediva: All About Eve", "d:Description": "Background information on the movie.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.moviediva.com/MD_root/reviewpages/MDAllAboutEve.htm"} +{"d:Title": "All-Reviews.com: All About Eve", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.all-reviews.com/videos-4/all-about-eve.htm"} +{"d:Title": "At-A-Glance Film Reviews: All About Eve (1950)", "d:Description": "Review by Samuel Stoddard of one of the best films of all time. Rated 5/5.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.rinkworks.com/movies/m/all.about.eve.1950.shtml"} +{"d:Title": "The Literature&Culture of the American 1950s: All About Eve", "d:Description": "Brief review by Al Filreis, Professor of English at the University of Pennsylvania.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.writing.upenn.edu/~afilreis/50s/all-about-eve-blurb.html"} +{"d:Title": "Reel Classics: All About Eve (1950)", "d:Description": "Detailed review, with stills. Memorable quotes.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.reelclassics.com/Movies/AllEve/alleve.htm"} +{"d:Title": "IMDb: All About Eve (1950)", "d:Description": "Cast and credits, plot synopses, viewer comments and rating, awards and nominations, capsule review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.imdb.com/title/tt0042192/"} +{"d:Title": "Roger Ebert: All About Eve", "d:Description": "Full length review, part of a series on his hand-picked \"great movies.\"", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.rogerebert.com/reviews/great-movie-all-about-eve-1950"} +{"d:Title": "AllMovie: All About Eve", "d:Description": "Plot synopsis, brief review by Rebecca Flint, lists of similar and related works, credits, and awards.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.allmovie.com/movie/all-about-eve-v1546"} +{"d:Title": "All the World's a Stage", "d:Description": "The Flick Filosopher (MaryAnn Johanson) had two good excuses to see \"All About Eve\": it won the Oscar for Best Picture in 1950, and it is on the American Film Institute's Top 100 list. Review recommends seeing the film more than once.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.flickfilosopher.com/1999/02/all-about-eve-review.html"} +{"d:Title": "The Austin Chronicle Movie Guide: All About Eve (1950)", "d:Description": "Stephen Macmillan Moser's review of a movie \"as wicked and sophisticated as they come.\"", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.austinchronicle.com/calendar/film/1999-06-04/all-about-eve/"} +{"d:Title": "AllReaders.com: All About Eve", "d:Description": "Very brief review by Jenna Evans.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://allreaders.com/movie-review-summary/all-about-eve-3593"} +{"d:Title": "TV Guide Online: All About Eve", "d:Description": "Review of \"the consummate backstage story,\" cast and credits. Rated 5/5.", "topic": "Top/Arts/Movies/Titles/A/All_About_Eve", "url": "http://www.tvguide.com/movies/eve/111326/"} +{"d:Title": "PopMatters - All About My Mother", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/A/All_About_My_Mother", "url": "http://popmatters.com/film/reviews/a/all-about-my-mother2.html"} +{"d:Title": "PopMatters", "d:Description": "Review of All About My Mother", "topic": "Top/Arts/Movies/Titles/A/All_About_My_Mother", "url": "http://popmatters.com/film/reviews/a/all-about-my-mother.html"} +{"d:Title": "HARO Online - All About My Mother", "d:Description": "Mongoose rates the movie: pretty good.", "topic": "Top/Arts/Movies/Titles/A/All_About_My_Mother", "url": "http://www.haro-online.com/movies/all_about_my_mother.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/All_About_My_Mother", "url": "http://www.imdb.com/title/tt0185125/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/All_About_My_Mother", "url": "http://www.metacritic.com/movie/all-about-my-mother"} +{"d:Title": "All About the Benjamins (2002):", "d:Description": "Review by Cynthia Fuchs. \"Relies heavily on the considerable chemistry between Epps and Cube: sometimes it's just fun to watch them entertain one another...\" [PopMatters]", "topic": "Top/Arts/Movies/Titles/A/All_About_the_Benjamins", "url": "http://popmatters.com/film/reviews/a/all-about-the-benjamins.html"} +{"d:Title": "HARO Online: All About The Benjamins", "d:Description": "Review of the film by Haro. Includes some photographs from the film.", "topic": "Top/Arts/Movies/Titles/A/All_About_the_Benjamins", "url": "http://www.haro-online.com/movies/all_about_the_benjamins.html"} +{"d:Title": "All-Reviews.com: All About the Benjamins", "d:Description": "Review and rating of the film by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/A/All_About_the_Benjamins", "url": "http://www.all-reviews.com/videos-4/all-about-benjamins.htm"} +{"d:Title": "IMDb: All About the Benjamins (2002)", "d:Description": "Cast and crew details, user comments, and plot summary.", "topic": "Top/Arts/Movies/Titles/A/All_About_the_Benjamins", "url": "http://www.imdb.com/title/tt0278295/"} +{"d:Title": "Metacritic.com: All About The Benjamins", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/A/All_About_the_Benjamins", "url": "http://www.metacritic.com/movie/all-about-the-benjamins"} +{"d:Title": "All-Reviews.com: All or Nothing", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/All_or_Nothing_-_2002", "url": "http://www.all-reviews.com/videos-5/all-or-nothing.htm"} +{"d:Title": "HARO Online - All or Nothing", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/All_or_Nothing_-_2002", "url": "http://www.haro-online.com/movies/all_or_nothing.html"} +{"d:Title": "Internet Movie Database: All or Nothing (2002)", "d:Description": "Cast and crew, synopsis, reviews, viewer ratings and comments, promotional information, technical specifications, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/All_or_Nothing_-_2002", "url": "http://imdb.com/title/tt0286261/"} +{"d:Title": "Yahoo! Movies: All or Nothing (2002)", "d:Description": "Synopsis, preview information, review summaries, credits, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/A/All_or_Nothing_-_2002", "url": "http://movies.yahoo.com/movie/all-or-nothing-2002/"} +{"d:Title": "IMDb: All Over Again (2000)", "d:Description": "Cast and crew information, user comments, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/All_Over_Again", "url": "http://www.imdb.com/title/tt0222705/"} +{"d:Title": "HARO Online: All Over the Guy", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/All_Over_the_Guy", "url": "http://www.haro-online.com/movies/all_over_the_guy.html"} +{"d:Title": "Metacritic.com", "d:Description": "Quotes from and links to reviews of the film from several sources.", "topic": "Top/Arts/Movies/Titles/A/All_Over_the_Guy", "url": "http://www.metacritic.com/movie/all-over-the-guy"} +{"d:Title": "Greaest Films: All Quiet on the Western Front", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/A/All_Quiet_on_the_Western_Front", "url": "http://www.filmsite.org/allq.html"} +{"d:Title": "Teach with Movies - All Quiet on the Western Front", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/A/All_Quiet_on_the_Western_Front", "url": "http://www.teachwithmovies.org/guides/all-quiet-on-the-western-front.html"} +{"d:Title": "IMDb: All Quiet on the Western Front", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/A/All_Quiet_on_the_Western_Front", "url": "http://www.imdb.com/title/tt0020629/"} +{"d:Title": "All That Heaven Allows", "d:Description": "Review by Jon Robertson.", "topic": "Top/Arts/Movies/Titles/A/All_That_Heaven_Allows", "url": "http://www.dvdtimes.co.uk/content.php?contentid=3638"} +{"d:Title": "All That Heaven Allows (1955)", "d:Description": "Plot summary, credits, and user comments and rating.", "topic": "Top/Arts/Movies/Titles/A/All_That_Heaven_Allows", "url": "http://www.imdb.com/title/tt0047811/"} +{"d:Title": "IMDb: All the King's Men", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/A/All_the_King's_Men", "url": "http://www.imdb.com/title/tt0041113/"} +{"d:Title": "The Flick Filosopher: All the King's Men", "d:Description": "MaryAnn Johanson's review: \"it's very contemporary, as fresh today as it was half a century ago.\"", "topic": "Top/Arts/Movies/Titles/A/All_the_King's_Men", "url": "http://www.flickfilosopher.com/1999/02/all-the-kings-men-review.html"} +{"d:Title": "IMDb: All the King's Men - 2006", "d:Description": "Includes full cast and crew, trivia, photos, filming locations, news articles and discussion board.", "topic": "Top/Arts/Movies/Titles/A/All_the_King's_Men_-_2006", "url": "http://www.imdb.com/title/tt0405676/"} +{"d:Title": "Washingtonpost.com: All the President's Men", "d:Description": "Includes plot summary, background on the real story beind the film, speculation on the identity of Deep Throat, notes on Washington area locations seen in the movie, memorable scenes and lines, other information, and links.", "topic": "Top/Arts/Movies/Titles/A/All_the_President's_Men", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/features/dcmovies/allthepresidentsmen.htm"} +{"d:Title": "WashingtonPost.com: Journalism's Finest 2 Hours and 16 Minutes", "d:Description": "Review of the videotape version of the movie.", "topic": "Top/Arts/Movies/Titles/A/All_the_President's_Men", "url": "http://www.washingtonpost.com/wp-srv/national/longterm/watergate/stories/ringle.htm"} +{"d:Title": "Learning Guide to All The President's Men", "d:Description": "Information for parents and teachers interested in using the movie as an educational resource.", "topic": "Top/Arts/Movies/Titles/A/All_the_President's_Men", "url": "http://www.teachwithmovies.org/guides/all-the-presidents-men.html"} +{"d:Title": "All-Reviews.com - All the Pretty Horses", "d:Description": "Collection of reviews of the film.", "topic": "Top/Arts/Movies/Titles/A/All_the_Pretty_Horses", "url": "http://www.all-reviews.com/videos-2/all-pretty-horses.htm"} +{"d:Title": "PopMatters - All the Pretty Horses", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/All_the_Pretty_Horses", "url": "http://popmatters.com/film/reviews/a/all-the-pretty-horses.html"} +{"d:Title": "Rotten Tomatoes - All The Pretty Horses", "d:Description": "Reviews, synopsis, media predictions.", "topic": "Top/Arts/Movies/Titles/A/All_the_Pretty_Horses", "url": "http://www.rottentomatoes.com/m/all_the_pretty_horses/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/All_the_Pretty_Horses", "url": "http://www.metacritic.com/movie/all-the-pretty-horses"} +{"d:Title": "IMDb: All the Pretty Horses", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/A/All_the_Pretty_Horses", "url": "http://www.imdb.com/title/tt0149624/"} +{"d:Title": "AllReaders.com Pretty Horses Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/All_the_Pretty_Horses", "url": "http://allreaders.com/movie-review-summary/all-the-pretty-horses-3957"} +{"d:Title": "HARO Online: All The Queen's Men", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/A/All_the_Queen's_Men", "url": "http://www.haro-online.com/movies/all_the_queens_men.html"} +{"d:Title": "Internet Movie Database: All the Queen's Men", "d:Description": "Overview, cast and crew, synopsis, reviews, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/A/All_the_Queen's_Men", "url": "http://www.imdb.com/title/tt0252223/"} +{"d:Title": "IMDb: All the Rage (1999)", "d:Description": "Cast and crew links, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/A/All_the_Rage", "url": "http://www.imdb.com/title/tt0176426/"} +{"d:Title": "HARO Online - All The Real Girls", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/All_the_Real_Girls", "url": "http://www.haro-online.com/movies/all_the_real_girls.html"} +{"d:Title": "Rotten Tomatoes: All the Real Girls", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/A/All_the_Real_Girls", "url": "http://www.rottentomatoes.com/m/all_the_real_girls/"} +{"d:Title": "IMDb - All the Real Girls (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/All_the_Real_Girls", "url": "http://www.imdb.com/title/tt0299458/"} +{"d:Title": "IMDb - Alma's Rainbow (1994)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Alma's_Rainbow", "url": "http://www.imdb.com/title/tt0109085/"} +{"d:Title": "Atlyrics.com: Almost Famous", "d:Description": "Collection of quotes from the film.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://www.atlyrics.com/quotes/a/almostfamous.html"} +{"d:Title": "HARO Online: Almost Famous", "d:Description": "Review of the film and plot summary.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://www.haro-online.com/movies/almost_famous.html"} +{"d:Title": "All-Reviews.com: Almost Famous", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://www.all-reviews.com/videos-2/almost-famous.htm"} +{"d:Title": "PopMatters: Almost Famous", "d:Description": "Review of the film by Mike Ward.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://popmatters.com/film/reviews/a/almost-famous2.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://crazy4cinema.com/Review/FilmsA/f_almost_famous.html"} +{"d:Title": "Rotten Tomatoes: Almost Famous (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://www.rottentomatoes.com/m/almost_famous/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://www.metacritic.com/movie/almost-famous"} +{"d:Title": "IMDb: Almost Famous", "d:Description": "Cast and crew, plot summary, reviews, and links.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://www.imdb.com/title/tt0181875/"} +{"d:Title": "AllReaders.com Almost Famous Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Almost_Famous", "url": "http://allreaders.com/movie-review-summary/almost-famous-3617"} +{"d:Title": "All-Reviews.com: Along Came a Spider", "d:Description": "Offers reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://www.all-reviews.com/videos-3/along-came-spider.htm"} +{"d:Title": "PopMatters", "d:Description": "Detailed review of the film.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://popmatters.com/film/reviews/a/along-came-a-spider.html"} +{"d:Title": "Haro Online: Along Came a Spider", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://www.haro-online.com/movies/along_came_a_spider.html"} +{"d:Title": "Ninth Symphony Films: Along Came a Spider", "d:Description": "Review of the film with photographs, a synopsis, and related links.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://regencylady.tripod.com/site/filmreviews/alongcameaspider.html"} +{"d:Title": "Rotten Tomatoes - Along Came A Spider", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://www.rottentomatoes.com/m/along_came_a_spider/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://www.imdb.com/title/tt0164334/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://www.metacritic.com/movie/along-came-a-spider"} +{"d:Title": "Yahoo Movies: Along Came a Spider", "d:Description": "Synopsis, film overview, credits, trailer, reviews, ratings, photographs, and message board.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "https://www.yahoo.com/movies/film/along-came-a-spider"} +{"d:Title": "AllReaders.com Review - Along Came a Spider", "d:Description": "Detailed analysis of the Morgan Freeman film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_a_Spider", "url": "http://allreaders.com/movie-review-summary/along-came-spider-5543"} +{"d:Title": "HARO Online: Along Came Polly", "d:Description": "Includes a review and photos.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_Polly", "url": "http://www.haro-online.com/movies/along_came_polly.html"} +{"d:Title": "All-Reviews.com: Along Came Polly", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_Polly", "url": "http://www.all-reviews.com/videos-5/along-came-polly.htm"} +{"d:Title": "Mountain Xpress Movie Review", "d:Description": "Ken Hanke's review: \"The film's central problem is that it's not surprising. The story to this 90-minute movie can be - and has already been - told in two-and-a-half minutes.\"", "topic": "Top/Arts/Movies/Titles/A/Along_Came_Polly", "url": "http://mountainx.com/movies/reviews/alongcamepolly-php/"} +{"d:Title": "IMDb: Along Came Polly", "d:Description": "Includes cast and crew, summary, and screen captures.", "topic": "Top/Arts/Movies/Titles/A/Along_Came_Polly", "url": "http://www.imdb.com/title/tt0343135/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Includes movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/A/Always", "url": "http://www.fast-rewind.com/always.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Always", "url": "http://www.imdb.com/title/tt0096794/"} +{"d:Title": "Teach with Movies: Amadeus", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/A/Amadeus", "url": "http://www.teachwithmovies.org/guides/amadeus.html"} +{"d:Title": "All-Reviews.com: Amadeus", "d:Description": "Review of the film by Pedro Sena.", "topic": "Top/Arts/Movies/Titles/A/Amadeus", "url": "http://www.all-reviews.com/videos-4/amadeus.htm"} +{"d:Title": "The World of Amadeus", "d:Description": "Fan site with synopsis, character and cast information, photographs, awards, trivia, and links [requires Flash].", "topic": "Top/Arts/Movies/Titles/A/Amadeus", "url": "http://twist14.tripod.com/amadeus/"} +{"d:Title": "Rotten Tomatoes: Amadeus", "d:Description": "Quotes from and links to reviews of the film from various sources. Also includes a synopsis as well as cast and crew lists.", "topic": "Top/Arts/Movies/Titles/A/Amadeus", "url": "http://www.rottentomatoes.com/m/amadeus/"} +{"d:Title": "IMDb: Amadeus", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Amadeus", "url": "http://www.imdb.com/title/tt0086879/"} +{"d:Title": "Metacritic.com: Amadeus", "d:Description": "Quotes from and links to reviews of the film, with an overall rating score.", "topic": "Top/Arts/Movies/Titles/A/Amadeus", "url": "http://www.metacritic.com/movie/amadeus"} +{"d:Title": "TV Guide Online: Amadeus", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Amadeus", "url": "http://www.tvguide.com/movies/amadeus/112419/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Amateur", "url": "http://www.all-reviews.com/videos-3/amateur.htm"} +{"d:Title": "TV Guide Online: Amateur", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Amateur", "url": "http://www.tvguide.com/movies/amateur/130398/"} +{"d:Title": "IMDb: The Amati Girls (2000)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/A/Amati_Girls,_The", "url": "http://www.imdb.com/title/tt0213446/"} +{"d:Title": "Metacritic.com: Amati Girls", "d:Description": "Quotes from and links to several reviews of the film.", "topic": "Top/Arts/Movies/Titles/A/Amati_Girls,_The", "url": "http://www.metacritic.com/movie/the-amati-girls"} +{"d:Title": "Amazing Adventure", "d:Description": "CaryGrant.net - Review by Debbie Dunlap.", "topic": "Top/Arts/Movies/Titles/A/Amazing_Adventure", "url": "http://www.carygrant.net/reviews/amazing.html"} +{"d:Title": "Liketelevision: The Amazing Adventure", "d:Description": "Synopsis and streaming video of the film.", "topic": "Top/Arts/Movies/Titles/A/Amazing_Adventure", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=225&format=movie&theme=guide"} +{"d:Title": "IMDb: The Amazing Quest of Ernest Bliss", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Amazing_Adventure", "url": "http://www.imdb.com/title/tt0027286/"} +{"d:Title": "All-Reviews.com - The Amazing Panda Adventure", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Amazing_Panda_Adventure,_The", "url": "http://www.all-reviews.com/videos-3/amazing-panda-adventure.htm"} +{"d:Title": "Ambush", "d:Description": "Official site for the war epic. Includes a synopsis, photographs, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/A/Ambush_-_1999", "url": "http://www.roguearts.com/ambush/"} +{"d:Title": "IMDb: Rukaj\u00e4rven tie", "d:Description": "Synopsis, cast and crew, trailer, reviews, and links.", "topic": "Top/Arts/Movies/Titles/A/Ambush_-_1999", "url": "http://www.imdb.com/title/tt0162625/"} +{"d:Title": "Metacritic.com: Ambush", "d:Description": "Quotes from and links to reviews, as well as user comments.", "topic": "Top/Arts/Movies/Titles/A/Ambush_-_1999", "url": "http://www.metacritic.com/movie/ambush"} +{"d:Title": "Nitrate Online Review: Amelie", "d:Description": "Reviewer Carrie Gorringe says that Am\u00e9lie is a gentle, witty examination of the choices that everyone must eventually make.", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://www.nitrateonline.com/2001/ramelie.html"} +{"d:Title": "HARO Online: Amelie", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://www.haro-online.com/movies/amelie.html"} +{"d:Title": "Ninth Symphony Films - Amelie", "d:Description": "Review of the film which the writer states is \"a sweet and sometimes witty film.\"", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://regencylady.tripod.com/site/filmreviews/amelie.html"} +{"d:Title": "About.com: Amelie", "d:Description": "Review of the DVD by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://homevideo.about.com/library/weekly/aafpr072402.htm"} +{"d:Title": "IMDb: Fabuleux destin d'Am\u00e9lie Poulain, Le", "d:Description": "Cast and credit information.", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://imdb.com/title/tt0211915/"} +{"d:Title": "Rotten Tomatoes: Amelie", "d:Description": "Links to movie reviews, synopsis, cast information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://www.rottentomatoes.com/m/amelie/"} +{"d:Title": "Smart Popcorn: Fabuleux destin d'Am\u00e9lie Poulain, Le", "d:Description": "Detailed review by Thom Stricklin. \"Who would've expected a film whose tagline is 'She'll change your life' could live up to its promise?\"", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://www.smart-popcorn.com/reviews/91/"} +{"d:Title": "Metacritic.com: Amelie", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/A/Amelie", "url": "http://www.metacritic.com/movie/amelie"} +{"d:Title": "HARO Online", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Amen._-_2002", "url": "http://www.haro-online.com/movies/amen.html"} +{"d:Title": "BBC - Amen", "d:Description": "Review by Neil Smith (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Amen._-_2002", "url": "http://www.bbc.co.uk/films/2002/07/04/amen_2002_review.shtml"} +{"d:Title": "rec.arts.movies.reviews - Amen. (2002)", "d:Description": "Review by Susan Granger (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Amen._-_2002", "url": "http://www.imdb.com/reviews/340/34015.html"} +{"d:Title": "rec.arts.movies.reviews - Amen. (2002)", "d:Description": "Review by Harvey S. Karten (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Amen._-_2002", "url": "http://www.imdb.com/reviews/332/33292.html"} +{"d:Title": "IMDb - Amen. (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Amen._-_2002", "url": "http://www.imdb.com/title/tt0280653/"} +{"d:Title": "A.V. Club: Amen", "d:Description": "Review by Scott Tobias (predominantly negative).", "topic": "Top/Arts/Movies/Titles/A/Amen._-_2002", "url": "http://www.avclub.com/review/amen-12143"} +{"d:Title": "TV Guide Online: Holy Silence", "d:Description": "Review by Ken Fox (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Amen._-_2002", "url": "http://www.tvguide.com/movies/amen/136376/"} +{"d:Title": "Rotten Tomatoes: America's Sweethearts", "d:Description": "Links to previews and reviews on other sites, photos, and a trailer.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts", "url": "http://www.rottentomatoes.com/m/americas_sweethearts/"} +{"d:Title": "Moviefone: America's Sweethearts", "d:Description": "Provides a brief summary, preview, and additional reviews for interested eyes.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts", "url": "http://www.moviefone.com/movie/americas-sweethearts/9823/main"} +{"d:Title": "Metacritic.com: America's Sweethearts", "d:Description": "A brief summary with links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts", "url": "http://www.metacritic.com/movie/americas-sweethearts"} +{"d:Title": "IMDb: America's Sweethearts (2001)", "d:Description": "Outlines general information including movie genre, plot, and user comments.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts", "url": "http://www.imdb.com/title/tt0265029/"} +{"d:Title": "All-Reviews.com - America's Sweethearts", "d:Description": "A general average rating of America's Sweethearts and review of the movie based on 4 different reviewers.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts/Reviews", "url": "http://www.all-reviews.com/videos-3/americas-sweethearts.htm"} +{"d:Title": "Haro Online", "d:Description": "A mixed review of the movie. Mentions both the film's strengths and weaknesses.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts/Reviews", "url": "http://www.haro-online.com/movies/americas_sweethearts.html"} +{"d:Title": "Media Circus: America's Sweethearts", "d:Description": "Movie review by Anthony Leong, with pictures.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts/Reviews", "url": "http://www.mediacircus.net/americassweethearts.html"} +{"d:Title": "Ninth Synphony Films: America's Sweethearts", "d:Description": "Movie review, pictures, and links.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/americassweethearts.html"} +{"d:Title": "Cineclub.com: America's Sweethearts", "d:Description": "Review of the film accompanied by star rankings on several scales.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts/Reviews", "url": "http://www.cineclub.de/e/movies/2001/americas_sweethearts.html"} +{"d:Title": "Chicago Sun-Times: America's Sweethearts", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts/Reviews", "url": "http://www.rogerebert.com/reviews/americas-sweethearts-2001"} +{"d:Title": "AllReaders.com Review - America's Sweethearts", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Julia Robert's film, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/America's_Sweethearts/Reviews", "url": "http://allreaders.com/movie-review-summary/americas-sweethearts-7055"} +{"d:Title": "IMDb: Americanese (2006)", "d:Description": "Photo gallery, plot summary, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/A/Americanese", "url": "http://www.imdb.com/title/tt0402763/"} +{"d:Title": "Rotten Tomatoes: Americanese", "d:Description": "Review links, synopsis, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Americanese", "url": "http://www.rottentomatoes.com/m/americanese/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the background, plot, cast list, and film festivals.", "topic": "Top/Arts/Movies/Titles/A/Americanese", "url": "http://en.wikipedia.org/wiki/Americanese"} +{"d:Title": "Internet Movie Database: Americano", "d:Description": "Synopsis, cast and crew, filming locations, and links.", "topic": "Top/Arts/Movies/Titles/A/Americano", "url": "http://imdb.com/title/tt0368571/"} +{"d:Title": "HARO Online: American Adobo", "d:Description": "Review of the film by Mongoose. Includes some photographs from the film.", "topic": "Top/Arts/Movies/Titles/A/American_Adobo", "url": "http://www.haro-online.com/movies/american_adobo.html"} +{"d:Title": "Metacritic.com: American Adobo", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/A/American_Adobo", "url": "http://www.metacritic.com/movie/american-adobo"} +{"d:Title": "Commodore Films LLC", "d:Description": "Offering film from the Sundance Institute, musical space western, The American Astronaut.", "topic": "Top/Arts/Movies/Titles/A/American_Astronaut,_The", "url": "http://www.americanastronaut.com/"} +{"d:Title": "HARO Online: The American Astronaut", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/American_Astronaut,_The", "url": "http://www.haro-online.com/movies/american_astronaut.html"} +{"d:Title": "IMDb: American Astronaut, The (2000)", "d:Description": "Includes a plot outline, user review, and cast list.", "topic": "Top/Arts/Movies/Titles/A/American_Astronaut,_The", "url": "http://www.imdb.com/title/tt0243759/"} +{"d:Title": "Metacritic.com: The American Astronaut", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/A/American_Astronaut,_The", "url": "http://www.metacritic.com/movie/the-american-astronaut"} +{"d:Title": "The Movie Spoiler", "d:Description": "The ending of the film American Beauty starring Kevin Spacey and Annette Bening.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.themoviespoiler.com/Spoilers/americanbeauty/americanbeauty.html"} +{"d:Title": "American Beauty Fan Site", "d:Description": "Site includes critic reviews, photo galleries, cast biographies, message board and guest book.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.angelfire.com/ri/americanbeauty/"} +{"d:Title": "Hollywood on Location (1999) - American Beauty", "d:Description": "The addresses and names of locations used in the film, \"American Beauty\".", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.seeing-stars.com/Locations/1999Locations1.shtml"} +{"d:Title": "American Beauty Official Site", "d:Description": "Official Dreamworks web site. Trailer, reviews, and credits.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.dreamworks.com/ab/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.rottentomatoes.com/m/american_beauty/"} +{"d:Title": "American Beauty", "d:Description": "Location details and trivia alongside screen captures.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.filminamerica.com/Movies/AmericanBeauty/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://the-editing-room.com/americanbeauty.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.imdb.com/title/tt0169547/"} +{"d:Title": "A.V. Club: American Beauty", "d:Description": "Interview with the director and screenwriter.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://www.avclub.com/article/american-beauty-13619"} +{"d:Title": "AllReaders.com", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie plus links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty", "url": "http://allreaders.com/movie-review-summary/american-beauty-3571"} +{"d:Title": "All-Reviews.com Movie Review", "d:Description": "This film works at many levels: stinging social commentary, comedy and interesting piece of drama.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty/Reviews", "url": "http://www.all-reviews.com/videos/americanbeauty.htm"} +{"d:Title": "Cold Bacon - American Beauty", "d:Description": "Review by Sam Mendes.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty/Reviews", "url": "http://www.coldbacon.com/movies/americanbeauty.html"} +{"d:Title": "HARO Online - American Beauty", "d:Description": "Haro rates the movie: Really good.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty/Reviews", "url": "http://www.haro-online.com/movies/american_beauty.html"} +{"d:Title": "Plume-Noire: American Beauty", "d:Description": "Review by Fred Thom.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty/Reviews", "url": "http://www.plume-noire.com/movies/reviews/america.html"} +{"d:Title": "Review: American Beauty", "d:Description": "Metaphorical Overkill", "topic": "Top/Arts/Movies/Titles/A/American_Beauty/Reviews", "url": "http://tech.mit.edu/V119/N44/American_Beauty.44a.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/American_Beauty/Reviews", "url": "http://www.metacritic.com/movie/american-beauty"} +{"d:Title": "Breathtaking `Beauty'", "d:Description": "Review of the film by Edward Guthmann. \"Terrific cast, director Mendes find truth and depth in suburban drama.\"", "topic": "Top/Arts/Movies/Titles/A/American_Beauty/Reviews", "url": "http://www.sfgate.com/movies/article/Breathtaking-Beauty-Terrific-cast-director-2906645.php"} +{"d:Title": "All-Reviews.com - American Buffalo", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/American_Buffalo", "url": "http://www.all-reviews.com/videos-3/american-buffalo.htm"} +{"d:Title": "Rotten Tomatoes: An American Carol", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/A/American_Carol,_An", "url": "http://www.rottentomatoes.com/m/an_american_carol/"} +{"d:Title": "LA Weekly", "d:Description": "Review, by Scott Foundas: \"Astonishingly inept alleged satire.\"", "topic": "Top/Arts/Movies/Titles/A/American_Carol,_An", "url": "http://www.laweekly.com/film/movie-reviews-an-american-carol-beverly-hills-chihuahua-flash-of-genius-2156079"} +{"d:Title": "HARO Online: American Chai", "d:Description": "Review by Mongoose with still pictures from the film.", "topic": "Top/Arts/Movies/Titles/A/American_Chai", "url": "http://www.haro-online.com/movies/american_chai.html"} +{"d:Title": "Metacritic.com: American Chai", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/A/American_Chai", "url": "http://www.metacritic.com/movie/american-chai"} +{"d:Title": "All-Reviews.com - American Dreamer", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/American_Dreamer", "url": "http://www.all-reviews.com/videos-3/american-dreamer.htm"} +{"d:Title": "IMDb: American Dreamer", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/American_Dreamer", "url": "http://www.imdb.com/title/tt0086886/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/A/American_Flyers", "url": "http://www.fast-rewind.com/amflyers.htm"} +{"d:Title": "American Flyers (1985)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/A/American_Flyers", "url": "http://www.imdb.com/title/tt0088707/"} +{"d:Title": "Greatest Films: American Graffiti", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/A/American_Graffiti_Series/American_Graffiti", "url": "http://www.filmsite.org/amerg.html"} +{"d:Title": "All-Reviews.com: American Graffiti", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/A/American_Graffiti_Series/American_Graffiti", "url": "http://www.all-reviews.com/videos-4/american-graffiti.htm"} +{"d:Title": "IMDb: American Graffiti (1973)", "d:Description": "Offers cast, crew, plot outline, trivia, and goofs.", "topic": "Top/Arts/Movies/Titles/A/American_Graffiti_Series/American_Graffiti", "url": "http://www.imdb.com/title/tt0069704/"} +{"d:Title": "TV Guide Online: American Graffiti", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/American_Graffiti_Series/American_Graffiti", "url": "http://www.tvguide.com/movies/american-graffiti/113418/"} +{"d:Title": "IMDb: More American Graffiti (1979)", "d:Description": "Offers cast, crew, plot outline, goofs, and trivia.", "topic": "Top/Arts/Movies/Titles/A/American_Graffiti_Series/More_American_Graffiti", "url": "http://www.imdb.com/title/tt0079576/"} +{"d:Title": "TV Guide Online: More American Graffiti", "d:Description": "2 star review with synopsis, cast/crew credits, and background information.", "topic": "Top/Arts/Movies/Titles/A/American_Graffiti_Series/More_American_Graffiti", "url": "http://www.tvguide.com/movies/american-graffiti/106697/"} +{"d:Title": "CNN", "d:Description": "Article entitled: \"Bemuscled Norton takes on a heavy 'History' lesson\".", "topic": "Top/Arts/Movies/Titles/A/American_History_X", "url": "http://www.cnn.com/SHOWBIZ/Movies/9810/29/amer.history.x/index.html"} +{"d:Title": "Yahoo! Movies - American History X", "d:Description": "Synopsis, cast, user reviews, awards, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/A/American_History_X", "url": "http://movies.yahoo.com/movie/american-history-x/"} +{"d:Title": "The Internet Movie Database", "d:Description": "Cast list, credits and information, plot summary, trivia, business information, poster and video clips.", "topic": "Top/Arts/Movies/Titles/A/American_History_X", "url": "http://www.imdb.com/title/tt0120586/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/American_History_X", "url": "http://www.metacritic.com/movie/american-history-x"} +{"d:Title": "AllReaders.com American History X Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Edward Norton and Edward Furlong, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/American_History_X", "url": "http://allreaders.com/movie-review-summary/american-history-x-3949"} +{"d:Title": "Yahoo Groups: AHX", "d:Description": "\"AHX\" mailing list.", "topic": "Top/Arts/Movies/Titles/A/American_History_X/Chats_and_Forums", "url": "http://groups.yahoo.com/group/AHX/"} +{"d:Title": "Yahoo Groups: American History X", "d:Description": "\"American History X\" mailing list.", "topic": "Top/Arts/Movies/Titles/A/American_History_X/Chats_and_Forums", "url": "http://groups.yahoo.com/group/americanhistoryX/"} +{"d:Title": "Yahoo! Groups", "d:Description": "A message board.", "topic": "Top/Arts/Movies/Titles/A/American_History_X/Chats_and_Forums", "url": "http://groups.yahoo.com/group/americanhistoryx2/"} +{"d:Title": "CNN", "d:Description": "\"'American History X' too black and white\".", "topic": "Top/Arts/Movies/Titles/A/American_History_X/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9810/30/review.american.history.x/"} +{"d:Title": "Chicago Sun Times - American History X", "d:Description": "By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/American_History_X/Reviews", "url": "http://www.rogerebert.com/reviews/american-history-x-1998"} +{"d:Title": "Greatest Films: An American In Paris", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/A/American_in_Paris,_An", "url": "http://www.filmsite.org/amer.html"} +{"d:Title": "IMDb: An American in Paris", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/A/American_in_Paris,_An", "url": "http://www.imdb.com/title/tt0043278/"} +{"d:Title": "AllReaders.com Review - An American in Paris", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Gene Kelly film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/American_in_Paris,_An", "url": "http://allreaders.com/movie-review-summary/an-american-in-paris-4268"} +{"d:Title": "TV Guide Online: American in Paris, An", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/American_in_Paris,_An", "url": "http://www.tvguide.com/movies/american-paris/113421/"} +{"d:Title": "American Movie official site", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/A/American_Movie", "url": "http://www.americanmovie.com/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/American_Movie", "url": "http://www.metacritic.com/movie/american-movie"} +{"d:Title": "Allreaders American Movie Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/American_Movie", "url": "http://allreaders.com/movie-review-summary/american-movie-4356"} +{"d:Title": "Shade.ca: American Nightmare", "d:Description": "Synopsis, review, and film recommendations.", "topic": "Top/Arts/Movies/Titles/A/American_Nightmare", "url": "http://www.shade.ca/getarticle.asp?i=324"} +{"d:Title": "All-Reviews.com - American Outlaws", "d:Description": "Reviews of the movie by Steve Rhodes (2 of 4). Also links to user reviews.", "topic": "Top/Arts/Movies/Titles/A/American_Outlaws", "url": "http://www.all-reviews.com/videos-3/american-outlaws.htm"} +{"d:Title": "HARO Online: American Outlaws", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/American_Outlaws", "url": "http://www.haro-online.com/movies/american_outlaws.html"} +{"d:Title": "Ninth Symphony Films: American Outlaws", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/American_Outlaws", "url": "http://regencylady.tripod.com/site/filmreviews/americanoutlaws.html"} +{"d:Title": "PopMatters Film: American Outlaws", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/A/American_Outlaws", "url": "http://popmatters.com/film/reviews/a/american-outlaws.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites and photos.", "topic": "Top/Arts/Movies/Titles/A/American_Outlaws", "url": "http://www.rottentomatoes.com/m/american_outlaws/"} +{"d:Title": "Metacritic.com: American Outlaws", "d:Description": "Information and a cross-section of reviews from the movie critics. Overall rating of 22% (mostly unfavorable).", "topic": "Top/Arts/Movies/Titles/A/American_Outlaws", "url": "http://www.metacritic.com/movie/american-outlaws"} +{"d:Title": "IMDb: American Outlaws (2001)", "d:Description": "Includes a plot summary, trailers, and a cast list.", "topic": "Top/Arts/Movies/Titles/A/American_Outlaws", "url": "http://www.imdb.com/title/tt0244000/"} +{"d:Title": "The American Pie Neighborhood", "d:Description": "A look at a neighborhood in Long Beach, California, where some of the films were shot.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series", "url": "http://www.seeing-stars.com/Locations/AmericanPie.shtml"} +{"d:Title": "American Pie", "d:Description": "Official series website. Multimedia, cast biographies, articles, and message board.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series", "url": "https://www.uphe.com/movies/american-pie"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the movie: Pretty Good.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie", "url": "http://www.haro-online.com/movies/american_pie.html"} +{"d:Title": "All-Reviews.com- American Pie (1999)", "d:Description": "Collection of movie reviews and related information.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie", "url": "http://www.all-reviews.com/videos/americanpie.htm"} +{"d:Title": "American Pie - Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to more reviews, articles, interviews, pictures, and trailers.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie", "url": "http://www.rottentomatoes.com/m/american_pie/"} +{"d:Title": "RollingStone.com - American Pie", "d:Description": "Review and short synopsis.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie", "url": "http://www.rollingstone.com/movies/reviews/american-pie-19990101"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie", "url": "http://www.metacritic.com/movie/american-pie"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie", "url": "http://www.imdb.com/title/tt0163651/"} +{"d:Title": "AllReaders.com American Pie Review", "d:Description": "Analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie", "url": "http://allreaders.com/movie-review-summary/american-pie-5761"} +{"d:Title": "PopMatters Film: American Pie 2", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie_2", "url": "http://popmatters.com/film/reviews/a/american-pie-2.html"} +{"d:Title": "HARO Online: American Pie 2", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie_2", "url": "http://www.haro-online.com/movies/american_pie2.html"} +{"d:Title": "About.com Review", "d:Description": "Ivana Redwine reviews \"American Pie 2.\"", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie_2", "url": "http://homevideo.about.com/library/weekly/aafpr011702.htm"} +{"d:Title": "All-Reviews.com: American Pie 2", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie_2", "url": "http://www.all-reviews.com/videos-3/american-pie-two.htm"} +{"d:Title": "Ninth Symphony Films: American Pie 2", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie_2", "url": "http://regencylady.tripod.com/site/filmreviews/americanpie2.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites, and photos.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie_2", "url": "http://www.rottentomatoes.com/m/american_pie_2/"} +{"d:Title": "Metacritic.com:American Pie 2", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Pie_2", "url": "http://www.metacritic.com/movie/american-pie-2"} +{"d:Title": "KillerMovies - American Wedding", "d:Description": "Features news headlines, plot summary, and image gallery.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Wedding", "url": "http://www.killermovies.com/a/americanpie3/"} +{"d:Title": "PopEntertainment.com: American Wedding", "d:Description": "Critic Alex Diamond has mixed feelings about the third film in the 'American Pie' trilogy.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Wedding", "url": "http://www.popentertainment.com/americanwedding.htm"} +{"d:Title": "IMDb - American Wedding", "d:Description": "Features cast and crew details, quotes, photos, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Wedding", "url": "http://www.imdb.com/title/tt0328828/"} +{"d:Title": "Chicago Sun-Times: American Wedding", "d:Description": "Roger Ebert's take on the third film of the series.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Wedding", "url": "http://www.rogerebert.com/reviews/american-wedding-2003"} +{"d:Title": "Future Movies: American Wedding", "d:Description": "Review by Ed Colley. Includes photos.", "topic": "Top/Arts/Movies/Titles/A/American_Pie_Series/American_Wedding", "url": "http://www.futuremovies.co.uk/reviews/american-pie-3-american-wedding/ed-colley"} +{"d:Title": "HARO Online - American Pimp", "d:Description": "Review of the documentary film.", "topic": "Top/Arts/Movies/Titles/A/American_Pimp", "url": "http://www.haro-online.com/movies/american_pimp.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/American_Pimp", "url": "http://www.imdb.com/title/tt0179074/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/American_Pimp", "url": "http://www.metacritic.com/movie/american-pimp"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/American_President,_The", "url": "http://www.all-reviews.com/videos-2/american-president.htm"} +{"d:Title": "PopMatters", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://popmatters.com/film/reviews/a/american-psycho.html"} +{"d:Title": "HARO Online - American Psycho", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://www.haro-online.com/movies/american_psycho.html"} +{"d:Title": "All-Reviews.com - American Psycho (2000)", "d:Description": "Collection of movie reviews and movie facts", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://www.all-reviews.com/videos/american-psycho.htm"} +{"d:Title": "Rotten Tomatoes - American Psycho", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://www.rottentomatoes.com/m/american_psycho/"} +{"d:Title": "Box Office Mojo - American Psycho", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://www.boxofficemojo.com/movies/?id=americanpsycho.htm"} +{"d:Title": "RollingStone.com - American Psycho", "d:Description": "Review and short synopsis.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://www.rollingstone.com/movies/reviews/american-psycho-20000414"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://www.imdb.com/title/tt0144084/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://www.metacritic.com/movie/american-psycho"} +{"d:Title": "Bright Lights Film Journal: American Psycho", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://brightlightsfilm.com/american-psycho-looks-kill-dude-wouldnt-need-blade/"} +{"d:Title": "Allreaders American Psycho Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/American_Psycho", "url": "http://allreaders.com/movie-review-summary/american-psycho-4349"} +{"d:Title": "HARO Online: An American Rhapsody", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/A/American_Rhapsody,_An", "url": "http://www.haro-online.com/movies/american_rhapsody.html"} +{"d:Title": "All-Reviews.com: An American Rhapsody", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/A/American_Rhapsody,_An", "url": "http://www.all-reviews.com/videos-3/american-rhapsody.htm"} +{"d:Title": "IMDb: An American Rhapsody", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/A/American_Rhapsody,_An", "url": "http://www.imdb.com/title/tt0221799/"} +{"d:Title": "Metacritic.com: An American Rhapsody", "d:Description": "Collection of links to reviews of the film from various sources.", "topic": "Top/Arts/Movies/Titles/A/American_Rhapsody,_An", "url": "http://www.metacritic.com/movie/an-american-rhapsody"} +{"d:Title": "HARO Online - American Splendor", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/A/American_Splendor", "url": "http://www.haro-online.com/movies/american_splendor.html"} +{"d:Title": "All-Reviews.com - American Splendor", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/American_Splendor", "url": "http://all-reviews.com/videos-5/american-splendor.htm"} +{"d:Title": "Rotten Tomatoes: American Splendor (2003)", "d:Description": "Collected reviews from critics and audiences, plus trailers, stills, links to news articles and interviews, and related resources.", "topic": "Top/Arts/Movies/Titles/A/American_Splendor", "url": "http://www.rottentomatoes.com/m/american_splendor/"} +{"d:Title": "Future Movies: American Splendor", "d:Description": "Review by Adrian Mackinder.", "topic": "Top/Arts/Movies/Titles/A/American_Splendor", "url": "http://www.futuremovies.co.uk/reviews/american-splendor/adrian-mackinder"} +{"d:Title": "IMDb - American Splendor (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/American_Splendor", "url": "http://www.imdb.com/title/tt0305206/"} +{"d:Title": "Silent Era: The American Venus", "d:Description": "Information on the film's survival status, with references.", "topic": "Top/Arts/Movies/Titles/A/American_Venus,_The", "url": "http://www.silentera.com/PSFL/data/A/AmericanVenus1926.html"} +{"d:Title": "IMDb: The American Venus", "d:Description": "Cast and crew, technical specifications, and film recommendations.", "topic": "Top/Arts/Movies/Titles/A/American_Venus,_The", "url": "http://www.imdb.com/title/tt0016603/"} +{"d:Title": "All-Reviews.com - American Virgin", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/American_Virgin", "url": "http://www.all-reviews.com/videos-2/american-virgin.htm"} +{"d:Title": "About.com: An American Werewolf in London", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/A/American_Werewolf_Series/American_Werewolf_in_London,_An", "url": "http://homevideo.about.com/library/weekly/aa100301a.htm"} +{"d:Title": "The 80's Movies Rewind: An American Werewolf in London", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/A/American_Werewolf_Series/American_Werewolf_in_London,_An", "url": "http://www.fast-rewind.com/amwerewolf.htm"} +{"d:Title": "IMDb: An American Werewolf in London (1981)", "d:Description": "Cast and crew links, synopsis, and viewer comments.", "topic": "Top/Arts/Movies/Titles/A/American_Werewolf_Series/American_Werewolf_in_London,_An", "url": "http://www.imdb.com/title/tt0082010/"} +{"d:Title": "IMDb: American Werewolf in Paris (1997)", "d:Description": "Cast and crew information, user comments, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/American_Werewolf_Series/American_Werewolf_in_Paris,_An", "url": "http://www.imdb.com/title/tt0118604/"} +{"d:Title": "All-Reviews.com: Amistad", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/A/Amistad", "url": "http://www.all-reviews.com/videos/amistad.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Amistad", "url": "http://crazy4cinema.com/Review/FilmsA/f_amistad.html"} +{"d:Title": "IMDb: Amistad", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Amistad", "url": "http://www.imdb.com/title/tt0118607/"} +{"d:Title": "TV Guide Online: Amistad", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Amistad", "url": "http://www.tvguide.com/movies/amistad/132733/"} +{"d:Title": "CBUB Fights: Amityville versus Overlook", "d:Description": "Contest to see which one of these two evil houses would be the first to take out all nine members of the Brady Bunch family.", "topic": "Top/Arts/Movies/Titles/A/Amityville_Horror,_The", "url": "http://www.electricferret.com/fights/amity.htm"} +{"d:Title": "IMDb: The Amityville Horror (1979)", "d:Description": "Cast and credits, user comments, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Amityville_Horror,_The", "url": "http://www.imdb.com/title/tt0078767/"} +{"d:Title": "IMDb: Among Giants", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Among_Giants", "url": "http://www.imdb.com/title/tt0122906/"} +{"d:Title": "TV Guide Online: Among Giants", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Among_Giants", "url": "http://www.tvguide.com/movies/giants/133499/"} +{"d:Title": "About.com: Amores Perros", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/A/Amores_Perros", "url": "http://homevideo.about.com/library/weekly/aafpr010902.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Amores_Perros", "url": "http://www.metacritic.com/movie/amores-perros"} +{"d:Title": "IMDb: Amores perros (2000)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Amores_Perros", "url": "http://www.imdb.com/title/tt0245712/"} +{"d:Title": "IMDb - Amour Infinity (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Amour_Infinity", "url": "http://www.imdb.com/title/tt0305211/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Amy.\"", "topic": "Top/Arts/Movies/Titles/A/Amy", "url": "http://www.haro-online.com/movies/amy.html"} +{"d:Title": "Amy", "d:Description": "Film information, review, awards, production notes, cast and crew, bibliography, and related links.", "topic": "Top/Arts/Movies/Titles/A/Amy", "url": "http://amy1997cascadefilms.tripod.com/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Amy", "url": "http://www.metacritic.com/movie/amy"} +{"d:Title": "HARO Online: Amy's Orgasm", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/A/Amy's_Orgasm", "url": "http://www.haro-online.com/movies/amys_orgasm.html"} +{"d:Title": "All-Reviews.com: Amy's Orgasm", "d:Description": "Review of the movie by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/A/Amy's_Orgasm", "url": "http://www.all-reviews.com/videos-4/amys-orgasm.htm"} +{"d:Title": "Rotten Tomatoes: Amy's O", "d:Description": "Quotes from and links to reviews, synopsis, cast and crew, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/A/Amy's_Orgasm", "url": "http://www.rottentomatoes.com/m/amys_o/"} +{"d:Title": "Metacritic: Amy's Orgasm", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/A/Amy's_Orgasm", "url": "http://www.metacritic.com/movie/amys-orgasm"} +{"d:Title": "IMDb: Amy's Orgasm", "d:Description": "Synopsis, cast and crew, reviews, awards, viewer comments, technical specifications, photographs, trailer, and links.", "topic": "Top/Arts/Movies/Titles/A/Amy's_Orgasm", "url": "http://www.imdb.com/title/tt0280424/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anaconda", "url": "http://www.all-reviews.com/videos-2/anaconda.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anaconda", "url": "http://crazy4cinema.com/Review/FilmsA/f_anaconda.html"} +{"d:Title": "IMDb: Anaconda (1997)", "d:Description": "Cast and crew links, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anaconda", "url": "http://www.imdb.com/title/tt0118615/"} +{"d:Title": "TV Guide Online: Anaconda", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anaconda", "url": "http://www.tvguide.com/movies/anaconda/131294/"} +{"d:Title": "IMDb: Anacondas - The Hunt for the Blood Orchid (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anacondas_-_The_Hunt_for_the_Blood_Orchid", "url": "http://www.imdb.com/title/tt0366174/"} +{"d:Title": "Rotten Tomatoes: Anacondas -The Hunt for the Blood Orchid", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anacondas_-_The_Hunt_for_the_Blood_Orchid", "url": "http://www.rottentomatoes.com/m/anacondas_the_hunt_for_the_blood_orchid/"} +{"d:Title": "HARO Online: Anacondas - The Hunt for the Blood Orchid", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anacondas_-_The_Hunt_for_the_Blood_Orchid", "url": "http://www.haro-online.com/movies/anacondas_blood_orchid.html"} +{"d:Title": "Filmtracks: Anacondas - The Hunt for the Blood Orchid", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anacondas_-_The_Hunt_for_the_Blood_Orchid", "url": "http://www.filmtracks.com/titles/anacondas.html"} +{"d:Title": "Chicago Sun-Times: Anacondas - The Hunt for the Blood Orchid", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/A/Anaconda_Series/Anacondas_-_The_Hunt_for_the_Blood_Orchid", "url": "http://www.rogerebert.com/reviews/anacondas-the-hunt-for-the-blood-orchid-2004"} +{"d:Title": "HARO Online: Analyze That", "d:Description": "Haro reviews the movie. Includes related links and stills.", "topic": "Top/Arts/Movies/Titles/A/Analyze_That", "url": "http://www.haro-online.com/movies/analyze_that.html"} +{"d:Title": "All-Reviews.com - Analyze That", "d:Description": "Reader-submitted review, rating.", "topic": "Top/Arts/Movies/Titles/A/Analyze_That", "url": "http://www.all-reviews.com/videos-5/analyze-that.htm"} +{"d:Title": "Nitrate Online: Analyze That", "d:Description": "Critic Gregory Avery explains why he walked out of the movie.", "topic": "Top/Arts/Movies/Titles/A/Analyze_That", "url": "http://www.nitrateonline.com/2002/ranalyze.html"} +{"d:Title": "IMDb: Analyze That (2002)", "d:Description": "Synopsis, cast and crew, reviews, technical specifications, multimedia, related films, message board, and links.", "topic": "Top/Arts/Movies/Titles/A/Analyze_That", "url": "http://imdb.com/title/tt0289848/"} +{"d:Title": "Filmtracks: Analyze That", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Analyze_That", "url": "http://www.filmtracks.com/titles/analyze_that.html"} +{"d:Title": "Metacritic.com: Analyze That", "d:Description": "Quotes from and links to reviews of the film, with viewer comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/A/Analyze_That", "url": "http://www.metacritic.com/movie/analyze-that"} +{"d:Title": "All-Reviews.com - Analyze This (1999)", "d:Description": "Collection of reviews and facts about the movie", "topic": "Top/Arts/Movies/Titles/A/Analyze_This", "url": "http://www.all-reviews.com/videos/analyze-this.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Analyze_This", "url": "http://crazy4cinema.com/Review/FilmsA/f_analyze.html"} +{"d:Title": "A Wry Look at the Days When a Don Was a Don", "d:Description": "Critique by Jim Shepard [New York Times].", "topic": "Top/Arts/Movies/Titles/A/Analyze_This", "url": "http://www.nytimes.com/1999/04/04/movies/film-a-wry-look-at-the-days-when-a-don-was-a-don.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Analyze_This", "url": "http://www.imdb.com/title/tt0122933/"} +{"d:Title": "IMDb: Anatomy of a Murder (1959)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Anatomy_of_a_Murder", "url": "http://www.imdb.com/title/tt0052561/"} +{"d:Title": "AllReaders.com: Anatomy of a Murder", "d:Description": "Review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/A/Anatomy_of_a_Murder", "url": "http://allreaders.com/movie-review-summary/anatomy-murder-6257"} +{"d:Title": "Guide for Anchoress", "d:Description": "Synopsis, cast, and list of things to think about while watching the film. From Boston University Christianity dept.", "topic": "Top/Arts/Movies/Titles/A/Anchoress", "url": "http://people.bu.edu/dklepper/RN212/anchoress.html"} +{"d:Title": "Rotten Tomatoes: Anchoress", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/A/Anchoress", "url": "http://www.rottentomatoes.com/m/anchoress/?critic=columns"} +{"d:Title": "IMDb: Anchoress", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Anchoress", "url": "http://www.imdb.com/title/tt0106271/"} +{"d:Title": "IMDb: Anchorman: The Legend of Ron Burgundy", "d:Description": "Includes film overview, cast information, user comments and message board.", "topic": "Top/Arts/Movies/Titles/A/Anchorman_-_The_Legend_of_Ron_Burgundy", "url": "http://www.imdb.com/title/tt0357413/"} +{"d:Title": "Wikipedia: Anchorman: The Legend of Ron Burgundy", "d:Description": "Encyclopedia article covers characters, box office information and trivia for the American comedy film which was released on July 9, 2004.", "topic": "Top/Arts/Movies/Titles/A/Anchorman_-_The_Legend_of_Ron_Burgundy", "url": "http://en.wikipedia.org/wiki/Anchorman:_The_Legend_of_Ron_Burgundy"} +{"d:Title": "Rotten Tomatoes: Anchorman: The Legend of Ron Burgundy", "d:Description": "Provides reviews, synopsis, credits, trailers, photographs and box office numbers.", "topic": "Top/Arts/Movies/Titles/A/Anchorman_-_The_Legend_of_Ron_Burgundy", "url": "http://www.rottentomatoes.com/m/anchorman/"} +{"d:Title": "Anchorman 2", "d:Description": "Movie trailers, DVD information, cast biographies and \"fun stuff\".", "topic": "Top/Arts/Movies/Titles/A/Anchorman_-_The_Legend_of_Ron_Burgundy", "url": "http://www.anchormanmovie.com/"} +{"d:Title": "RogerEbert.com: Anchorman - The Legend of Ron Burgundy", "d:Description": "Film review, cast and credits by Roger Ebert. July 9, 2004.", "topic": "Top/Arts/Movies/Titles/A/Anchorman_-_The_Legend_of_Ron_Burgundy", "url": "http://www.rogerebert.com/reviews/anchorman-the-legend-of-ron-burgundy-2004"} +{"d:Title": "IMDb - Anchors Aweigh (1945)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Anchors_Aweigh", "url": "http://www.imdb.com/title/tt0037514/"} +{"d:Title": "Cinebooks Database - Anchors Aweigh", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/A/Anchors_Aweigh", "url": "http://www.tvguide.com/movies/anchors-aweigh/113467/"} +{"d:Title": "Movieprop.com - Andersonville", "d:Description": "Review and essay about the Turner Original Movie based on the real life struggles of Union Soldiers imprisoned in the Andersonville Prison Camp which claimed 12,912 lives to starvation and murder.", "topic": "Top/Arts/Movies/Titles/A/Andersonville", "url": "http://movieprop.com/tvandmovie/reviews/andersonville.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Andersonville", "url": "http://www.imdb.com/title/tt0115097/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Andre", "url": "http://www.all-reviews.com/videos-2/andre.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Andromeda_Strain", "url": "http://www.all-reviews.com/videos-3/andromeda-strain.htm"} +{"d:Title": "IMDb: The Andromeda Strain (1971)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Andromeda_Strain", "url": "http://www.imdb.com/title/tt0066769/"} +{"d:Title": "Rotten Tomatoes - And God Created Woman (1987)", "d:Description": "Reviews and ratings from critics and audiences plus trailer, movie details, cast and crew information, poster, photographs and news articles.", "topic": "Top/Arts/Movies/Titles/A/And_God_Created_Woman", "url": "http://www.rottentomatoes.com/m/1000915-and_god_created_woman/"} +{"d:Title": "IMDb - And God Created Woman (1988)", "d:Description": "Cast, crew, reviews, plot summary, user comments and showtimes.", "topic": "Top/Arts/Movies/Titles/A/And_God_Created_Woman", "url": "http://www.imdb.com/title/tt0092559/"} +{"d:Title": "Roger Ebert - And God Created Woman", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/A/And_God_Created_Woman", "url": "http://www.rogerebert.com/reviews/and-god-created-woman-1988"} +{"d:Title": "Yahoo! Movies - And God Created Woman (1988)", "d:Description": "Provides news, photos, trailers and reviews.", "topic": "Top/Arts/Movies/Titles/A/And_God_Created_Woman", "url": "https://www.yahoo.com/movies/film/and-god-created-woman-1988"} +{"d:Title": "And Then There Were None (1945)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/And_Then_There_Were_None_-_1945", "url": "http://www.imdb.com/title/tt0037515/"} +{"d:Title": "DVD Review - And Then There Were None", "d:Description": "John Larsen reviews this \"gripping tale of ten strangers who have been summoned by a mysterious host.\"", "topic": "Top/Arts/Movies/Titles/A/And_Then_There_Were_None_-_1945", "url": "http://www.lightviews.com/andthentherewerenone.htm"} +{"d:Title": "And Then There Were None (Ren\u00e9 Clair) 1945", "d:Description": "Review by Jeremy Heilman of \"one of the supreme suspense films\".", "topic": "Top/Arts/Movies/Titles/A/And_Then_There_Were_None_-_1945", "url": "http://www.moviemartyr.com/1945/andthentherewerenone.htm"} +{"d:Title": "And Then There Were None (1945)", "d:Description": "Review by Jeff Ulmer of the DVD version of the \"well acted and skillfully directed\" film.", "topic": "Top/Arts/Movies/Titles/A/And_Then_There_Were_None_-_1945", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=2749"} +{"d:Title": "Rotten Tomatoes - And the Band Played On", "d:Description": "Reviews, movie information, trailer, interviews, articles, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/And_the_Band_Played_On", "url": "http://www.rottentomatoes.com/m/and_the_band_played_on/"} +{"d:Title": "IMDb.com - And the Band Played On", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/And_the_Band_Played_On", "url": "http://www.imdb.com/title/tt0106273/"} +{"d:Title": "AllMovie: And The Band Played On", "d:Description": "Cast/crew information, 3 star review, synopsis, and other recommendations.", "topic": "Top/Arts/Movies/Titles/A/And_the_Band_Played_On", "url": "http://www.allmovie.com/movie/and-the-band-played-on-v2221"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/And_the_Ship_Sails_On", "url": "http://www.imdb.com/title/tt0087188/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Angel's_Dance", "url": "http://www.imdb.com/title/tt0159995/"} +{"d:Title": "IMDb: Angel-A (2005)", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/A/Angel-A", "url": "http://www.imdb.com/title/tt0473753/"} +{"d:Title": "MovieWeb: Angel-A (2007)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/A/Angel-A", "url": "http://www.movieweb.com/movie/angel-a"} +{"d:Title": "Rotten Tomatoes: Angel-A", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Angel-A", "url": "http://www.rottentomatoes.com/m/angela-2007/"} +{"d:Title": "IGN Movies: Angel-A", "d:Description": "Photos, videos, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/A/Angel-A", "url": "http://www.ign.com/movies/angel-a/theater-881098"} +{"d:Title": "USA Today: Angel-A", "d:Description": "Review, by Claudia Puig: \"Angel-A has whiffs of It's a Wonderful Life and Wim Wenders' Wings of Desire. The overwrought ending is pretty sentimental stuff. But Debbouze is a talent we will surely see more of on screen, and Francophiles will enjoy the evocative monochromatic view of Paris.\"", "topic": "Top/Arts/Movies/Titles/A/Angel-A", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-05-24-angel-a_N.htm"} +{"d:Title": "PopMatters - The Poetry of Poverty", "d:Description": "Review essay by Renee Scolaro Rathke.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://popmatters.com/film/reviews/a/angelas-ashes.html"} +{"d:Title": "HARO Online - Angela's Ashes", "d:Description": "A review of the movie by Haro. - \"Angela's Ashes is an inspiring movie\"", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.haro-online.com/movies/angelas_ashes.html"} +{"d:Title": "All-Reviews.com - Angela's Ashes", "d:Description": "Reader-contributed reviews, signed.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.all-reviews.com/videos/angelas-ashes.htm"} +{"d:Title": "Shrubbery - Angela's Ashes", "d:Description": "Review by Jason Morrison (mixed), rating.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://theshrubbery.com/0300/movie3.html"} +{"d:Title": "Urban Cinefile - Angela's Ashes", "d:Description": "Reader-contributed reviews, signed.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.urbancinefile.com.au/home/article_view.asp?Article_ID=3591&Section=Reviews"} +{"d:Title": "Washington Post - Angela's Ashes - No Spark of Life", "d:Description": "Review by Rita Kempley (predominantly negative).", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.washingtonpost.com/wp-srv/entertainment/movies/reviews/angelasasheskempley.htm"} +{"d:Title": "Movie Magazine International - Angela's Ashes", "d:Description": "Review by Casey McCabe (negative).", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.shoestring.org/mmi_revs/angelas-ashes.html"} +{"d:Title": "Xiibaro: Angela's Ashes", "d:Description": "Predominantly negative review by David Perry, with rating.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://xiibaro.hypermart.net/archive/02/04.html#Angela"} +{"d:Title": "TalkTalk - Angela's Ashes", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/angelas-ashes/1583"} +{"d:Title": "IMDb - Angela's Ashes (1999)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.imdb.com/title/tt0145653/"} +{"d:Title": "Flick Filosopher - Oscar Baiting", "d:Description": "Review by MaryAnn Johanson (negative).", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.flickfilosopher.com/2000/01/angelas-ashes-review.html"} +{"d:Title": "World Socialist Web Site - Too Much of a Chocolate Box Depiction", "d:Description": "Review by Liz Smith (predominantly negative)", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.wsws.org/en/articles/2000/02/ange-f01.html"} +{"d:Title": "San Francisco Chronicle - It's All Downhill in Ashes", "d:Description": "Review by Mick LaSalle (negative), rating.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.sfgate.com/movies/article/It-s-All-Downhill-in-Ashes-Irish-family-s-2782052.php"} +{"d:Title": "Chicago Sun-Times - Angela's Ashes", "d:Description": "Review by Roger Ebert (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.rogerebert.com/reviews/angelas-ashes-2000"} +{"d:Title": "San Francisco Examiner - Ashes Strictly by the Book", "d:Description": "Review by Walter Addiego (positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.sfgate.com/news/article/Ashes-Strictly-by-the-book-3078616.php"} +{"d:Title": "Chicago Reader - Angela's Ashes", "d:Description": "Review by Lisa Alspector (negative).", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.chicagoreader.com/chicago/angelas-ashes/Film?oid=1049823"} +{"d:Title": "Cinebooks Database - The Luck of the Irish", "d:Description": "Review by Maitland McDonagh (mixed), rating.", "topic": "Top/Arts/Movies/Titles/A/Angela's_Ashes", "url": "http://www.tvguide.com/movies/angelas-ashes/134275/"} +{"d:Title": "All-Reviews.com - Angels and Insects", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Angels_and_Insects", "url": "http://www.all-reviews.com/videos-3/angels-and-insects.htm"} +{"d:Title": "IMDb: Angels and Insects", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/A/Angels_and_Insects", "url": "http://www.imdb.com/title/tt0112365/"} +{"d:Title": "AllReaders.com Angels and Insects Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies. Starring Kristin Scott Thomas and Patsy Kensit.", "topic": "Top/Arts/Movies/Titles/A/Angels_and_Insects", "url": "http://allreaders.com/movie-review-summary/angels-and-insects-3801"} +{"d:Title": "Angels in the Outfield (1994)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/A/Angels_in_the_Outfield_-_1994", "url": "http://www.imdb.com/title/tt0109127/"} +{"d:Title": "Angels With Dirty Faces (1938)", "d:Description": "Angels With Dirty Faces (1938) film review and detailed description by Tom Dirks.", "topic": "Top/Arts/Movies/Titles/A/Angels_with_Dirty_Faces", "url": "http://www.filmsite.org/ange.html"} +{"d:Title": "IMDb: Angle Baby (1995)", "d:Description": "Cast and crew information, synopsis, and viewer reviews.", "topic": "Top/Arts/Movies/Titles/A/Angel_Baby_-_1995", "url": "http://www.imdb.com/title/tt0112362/"} +{"d:Title": "TV Guide Online: Angel Baby", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Angel_Baby_-_1995", "url": "http://www.tvguide.com/movies/angel-baby/131725/"} +{"d:Title": "IMDb - Angel Comes to Brooklyn, An (1945)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Angel_Comes_to_Brooklyn,_An", "url": "http://www.imdb.com/title/tt0037516/"} +{"d:Title": "Cinebooks Database - An Angel Comes to Brooklyn", "d:Description": "Unsigned review (predominantly negative) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/A/Angel_Comes_to_Brooklyn,_An", "url": "http://www.tvguide.com/movies/angel-comes-brooklyn/114482/"} +{"d:Title": "All-Reviews.com: Angel Eyes", "d:Description": "Review of the film by Steve Rhodes, with links to other reviews.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.all-reviews.com/videos-3/angel-eyes.htm"} +{"d:Title": "Haro Online: Angel Eyes", "d:Description": "Plot summary and review.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.haro-online.com/movies/angel_eyes.html"} +{"d:Title": "The Movie Spoiler: Angel Eyes", "d:Description": "Full plot of the film.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.themoviespoiler.com/Spoilers/angeleyes.html"} +{"d:Title": "Ninth Symphony Films: Angel Eyes", "d:Description": "Postive review which looks at themes, promotional adverts, acting and production design.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://regencylady.tripod.com/site/filmreviews/angeleyes.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.metacritic.com/movie/angel-eyes"} +{"d:Title": "Chicago Sun-Times: Angel Eyes", "d:Description": "Review, cast and rating. Review written by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.rogerebert.com/reviews/angel-eyes-2001"} +{"d:Title": "AllMovie: Angel Eyes", "d:Description": "Includes plot synopsis, review, cast, production credits, rating, and list of related movies.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.allmovie.com/movie/angel-eyes-v242621"} +{"d:Title": "The Austin Chronicle Movie Guide: Angel Eyes", "d:Description": "Review by Marrit Ingman who gave the film two stars and focused on the plot and themes of the movie.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.austinchronicle.com/calendar/film/2001-05-18/141133/"} +{"d:Title": "TV Guide Online Movie Database: Angel Eyes", "d:Description": "Includes film details, review by Maitland McDonagh and cast and crew list.", "topic": "Top/Arts/Movies/Titles/A/Angel_Eyes_-_2001", "url": "http://www.tvguide.com/movies/angel-eyes/135194/"} +{"d:Title": "Washington Post: Angel Heart", "d:Description": "Review looking at how the film scares and the mystery at the centre of the plot.", "topic": "Top/Arts/Movies/Titles/A/Angel_Heart", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/angelheartrkempley_a0caba.htm"} +{"d:Title": "IMDb: Angel Heart (1987)", "d:Description": "Provide cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/A/Angel_Heart", "url": "http://www.imdb.com/title/tt0092563/"} +{"d:Title": "Chicago Sun-Times: Angel Heart", "d:Description": "Critic Robert Ebert gives a positive review, looking at the performances from Mickey Rourke and Lisa Bonet, as well as how the film related to the director's previous work.", "topic": "Top/Arts/Movies/Titles/A/Angel_Heart", "url": "http://www.rogerebert.com/reviews/angel-heart-1987"} +{"d:Title": "Cinebooks Database - Angel Heart", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/A/Angel_Heart", "url": "http://www.tvguide.com/movies/angel-heart/114485/"} +{"d:Title": "Like Television - Angel on my Shoulder", "d:Description": "Broadband version of the film, starring Paul Muni, Anne Baxter, and Claude Rains.", "topic": "Top/Arts/Movies/Titles/A/Angel_on_My_Shoulder", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=252&format=movie&theme=guide"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Angel_on_My_Shoulder", "url": "http://www.imdb.com/title/tt0038300/"} +{"d:Title": "HARO Online: Anger Management", "d:Description": "Review and photographs.", "topic": "Top/Arts/Movies/Titles/A/Anger_Management", "url": "http://www.haro-online.com/movies/anger_management.html"} +{"d:Title": "Rotten Tomatoes: Anger Management", "d:Description": "Reviews, cast and crew, synopsis, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/A/Anger_Management", "url": "http://www.rottentomatoes.com/m/1121649-anger_management/"} +{"d:Title": "Yahoo! Movies: Anger Management", "d:Description": "Synopsis, cast and credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/A/Anger_Management", "url": "http://movies.yahoo.com/movie/anger-management/"} +{"d:Title": "IMDb - Anger Management", "d:Description": "Presents cast and crew details, trivia, goofs, quotes, photos, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/A/Anger_Management", "url": "http://www.imdb.com/title/tt0305224/"} +{"d:Title": "Washington Post - Angie", "d:Description": "Review by Richard Harrington (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/angierharrington_a0abb1.htm"} +{"d:Title": "Amazon.com - Customer Reviews - Angie", "d:Description": "Multiple reviews (mixed), some signed.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.amazon.com/exec/obidos/tg/stores/detail/-/video/6303153321/customer-reviews/"} +{"d:Title": "Deseret News - Angie", "d:Description": "Review by Chris Hicks (mixed), rating.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.deseretnews.com/article/700000084/Angie.html"} +{"d:Title": "The Tech - Davis' Acting Realistic but Cannot Overcome Bad Script", "d:Description": "Review by Gretchen Koot (predominantly negative).", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://tech.mit.edu/V114/N13/angie.13a.html"} +{"d:Title": "RollingStone.com - Angie", "d:Description": "Review by Peter Travers (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.rollingstone.com/movies/reviews/angie-19940304"} +{"d:Title": "IMDb - Angie (1994)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.imdb.com/title/tt0109129/"} +{"d:Title": "rec.arts.movies.reviews - Angie (1994)", "d:Description": "Review by Mark Takacs (mixed), rating.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.imdb.com/reviews/24/2440.html"} +{"d:Title": "Chicago Sun-Times - Angie", "d:Description": "Review by Roger Ebert (predominantly negative), rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.rogerebert.com/reviews/angie-1994"} +{"d:Title": "Austin Chronicle Movie Guide - Angie", "d:Description": "Review by Marjorie Baumgarten (predominantly negative), rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.austinchronicle.com/calendar/film/1994-03-04/138893/"} +{"d:Title": "Cinebooks Database - Angie", "d:Description": "Review by Brandon Trenz (mixed), rating.", "topic": "Top/Arts/Movies/Titles/A/Angie", "url": "http://www.tvguide.com/movies/angie/129821/"} +{"d:Title": "All-Reviews.com", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Animal,_The", "url": "http://www.all-reviews.com/videos-3/animal.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Animal,_The", "url": "http://www.haro-online.com/movies/animal.html"} +{"d:Title": "Ninth Symphony Films: The Animal", "d:Description": "Review of the film, synopsis, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/A/Animal,_The", "url": "http://regencylady.tripod.com/site/filmreviews/theanimal.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Animal,_The", "url": "http://www.rottentomatoes.com/m/1108185-animal/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Animal,_The", "url": "http://www.metacritic.com/movie/the-animal"} +{"d:Title": "Greatest Films: Animal Crackers", "d:Description": "Detailed review, synopsis, and discussion of the film.", "topic": "Top/Arts/Movies/Titles/A/Animal_Crackers", "url": "http://www.filmsite.org/anim.html"} +{"d:Title": "The Marx Brothers: Animal Crackers", "d:Description": "Review of the movie, plus plot line, cast, and information on a technicolor clip of the rehearsal.", "topic": "Top/Arts/Movies/Titles/A/Animal_Crackers", "url": "http://www.marx-brothers.org/watching/movie_detail.htm?movie_id=4&title=Animal+Crackers&rel=1930&picture=ac.jpg"} +{"d:Title": "Animal Crackers (1930)", "d:Description": "Plot summary, cast list, and reviews at the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/Animal_Crackers", "url": "http://www.imdb.com/title/tt0020640/"} +{"d:Title": "Rotten Tomatoes: Animal Factory", "d:Description": "Includes plot summary, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory", "url": "http://www.rottentomatoes.com/m/animal_factory/"} +{"d:Title": "Internet Movie Database: Animal Factory", "d:Description": "Includes summary, trailer, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory", "url": "http://www.imdb.com/title/tt0204137/"} +{"d:Title": "Hollywood Bitchslap: Animal Factory", "d:Description": "Review and rating by Johnny Clay.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://hollywoodbitchslap.com/review.php?movie=4508"} +{"d:Title": "BBC Films: Animal Factory", "d:Description": "Review and rating by Jamie Russell.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.bbc.co.uk/films/2003/06/19/animal_factory_2003_review.shtml"} +{"d:Title": "iofilm: Animal Factory", "d:Description": "Review and rating by Mostic.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.iofilm.co.uk/fm/a/animal_factory_2000.shtml"} +{"d:Title": "Kamera.co.uk: Animal Factory", "d:Description": "Review by Chris Wiegand.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.kamera.co.uk/reviews_extra/animalfactory.php"} +{"d:Title": "ShowBIZ Data: Animal Factory", "d:Description": "Review and rating by Lesley Jacobs.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.showbizdata.com/contacts/pickrevs.cfm?id=80"} +{"d:Title": "ViewLondon: Animal Factory", "d:Description": "Review and rating by Matthew Turner.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.viewlondon.co.uk/films/animal-factory-film-review-4303.html"} +{"d:Title": "digitallyOBSESSED.com: Animal Factory", "d:Description": "DVD review by Dan Lopez.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=868"} +{"d:Title": "Guardian Unlimited: Animal Factory", "d:Description": "Reviews and ratings by Peter Bradshaw and Philip French.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.theguardian.com/film/movie/86275/animal.factory"} +{"d:Title": "DVD Talk: Animal Factory", "d:Description": "DVD review and rating by Gil Jawetz.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://www.dvdtalk.com/reviews/1494/animal-factory/"} +{"d:Title": "Ozus' World Movie Reviews: Animal Factory", "d:Description": "Review and rating by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/A/Animal_Factory/Reviews", "url": "http://homepages.sover.net/~ozus/animalfactory.htm"} +{"d:Title": "IMDb: Animal Farm (1999)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Animal_Farm", "url": "http://www.imdb.com/title/tt0204824/"} +{"d:Title": "All-Reviews.com: Animal House", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/A/Animal_House", "url": "http://www.all-reviews.com/videos-4/animal-house.htm"} +{"d:Title": "Rotten Tomatoes: Animal House", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/A/Animal_House", "url": "http://www.rottentomatoes.com/m/national_lampoons_animal_house/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Animal_House", "url": "http://www.imdb.com/title/tt0077975/"} +{"d:Title": "Allreaders Animal House Spotlight", "d:Description": "Very detailed analysis and discussion of the movie. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Animal_House", "url": "http://allreaders.com/movie-review-summary/national-lampoons-animal-house-3894"} +{"d:Title": "All-Reviews.com- Anna and the King (1999)", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://www.all-reviews.com/videos/anna-and-king.htm"} +{"d:Title": "PopMatters - Anna and the King", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://popmatters.com/film/reviews/a/anna-and-the-king.html"} +{"d:Title": "HARO Online - Anna and the King", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://www.haro-online.com/movies/anna_and_the_king.html"} +{"d:Title": "Filmtracks: Anna and the King", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://www.filmtracks.com/titles/anna_king.html"} +{"d:Title": "Anna and the King (1999) - Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://www.rottentomatoes.com/m/1093611-anna_and_the_king/"} +{"d:Title": "Box Office Mojo: Anna and the King", "d:Description": "Box office data for Anna and the King since day one.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://www.boxofficemojo.com/movies/?id=annaandtheking.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://www.metacritic.com/movie/anna-and-the-king"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://www.imdb.com/title/tt0166485/"} +{"d:Title": "AllReaders.com Anna and the King Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Anna_and_the_King", "url": "http://allreaders.com/movie-review-summary/anna-and-the-king-4507"} +{"d:Title": "IMDb - Anna di Brooklyn (1958)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Anna_di_Brooklyn", "url": "http://www.imdb.com/title/tt0051363/"} +{"d:Title": "Cinebooks Database - Anna of Brooklyn", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/A/Anna_di_Brooklyn", "url": "http://www.tvguide.com/movies/anna-brooklyn/114543/"} +{"d:Title": "IMDb: On na ma dut lin na (1998)", "d:Description": "Cast and crew, reviews, and plot summary.", "topic": "Top/Arts/Movies/Titles/A/Anna_Magdalena", "url": "http://imdb.com/title/tt0159251/"} +{"d:Title": "Chinese Cinemas.org: Anna Magdalena:", "d:Description": "Review by Shelly Kraicer.", "topic": "Top/Arts/Movies/Titles/A/Anna_Magdalena", "url": "http://www.chinesecinemas.org/anna.html"} +{"d:Title": "All-Reviews.com - Anne Frank Remembered", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Anne_Frank_Remembered", "url": "http://www.all-reviews.com/videos-3/anne-frank-remembered.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, synopsis, reviews, and other details.", "topic": "Top/Arts/Movies/Titles/A/Anne_Frank_Remembered", "url": "http://www.imdb.com/title/tt0112373/"} +{"d:Title": "Chicago Sun-Times - Anne Frank Remembered", "d:Description": "Review by well-known film critic Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Anne_Frank_Remembered", "url": "http://www.rogerebert.com/reviews/anne-frank-remembered-1996"} +{"d:Title": "DVD Savant: Annie Get Your Gun", "d:Description": "Detailed review of the much-anticipated DVD release of \"Annie Get Your Gun,\" by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/A/Annie_Get_Your_Gun", "url": "http://www.dvdtalk.com/dvdsavant/s167annie.html"} +{"d:Title": "The Cinema Laser: Annie Get Your Gun", "d:Description": "Review of the DVD release, by Derek M. Germano.", "topic": "Top/Arts/Movies/Titles/A/Annie_Get_Your_Gun", "url": "http://www.thecinemalaser.com/dvd_reviews/annie-get-your-gun-dvd.htm"} +{"d:Title": "DVD Talk: Annie Get Your Gun", "d:Description": "Review by Brian R. Boisvert of the DVD release. Highly recommends the picture for any fan of movie musicals.", "topic": "Top/Arts/Movies/Titles/A/Annie_Get_Your_Gun", "url": "http://www.dvdtalk.com/reviews/1328/annie-get-your-gun/"} +{"d:Title": "IMDb: Annie Get Your Gun (1950)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/A/Annie_Get_Your_Gun", "url": "http://www.imdb.com/title/tt0042200/"} +{"d:Title": "A.V. Club: Annie Get Your Gun", "d:Description": "Review of this film with a troubled history, by Keith Phipps.", "topic": "Top/Arts/Movies/Titles/A/Annie_Get_Your_Gun", "url": "http://www.avclub.com/review/annie-get-your-gun-20263"} +{"d:Title": "Greatest Films: Annie Hall", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/A/Annie_Hall", "url": "http://www.filmsite.org/anni.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Annie_Hall", "url": "http://www.all-reviews.com/videos-2/annie-hall.htm"} +{"d:Title": "IMDb: Annie Hall", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Annie_Hall", "url": "http://www.imdb.com/title/tt0075686/"} +{"d:Title": "Allreaders Annie Hall Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Annie_Hall", "url": "http://allreaders.com/movie-review-summary/annie-hall-4495"} +{"d:Title": "TV Guide Online: Annie Hall", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Annie_Hall", "url": "http://www.tvguide.com/movies/annie-hall/114560/"} +{"d:Title": "IMDb: The Annihilators", "d:Description": "Synopsis, cast and crew, reviews, and links.", "topic": "Top/Arts/Movies/Titles/A/Annihilators,_The", "url": "http://www.imdb.com/title/tt0088729/"} +{"d:Title": "All-Reviews.com - Anniversary Party", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Anniversary_Party,_The", "url": "http://www.all-reviews.com/videos-3/anniversary-party.htm"} +{"d:Title": "HARO Online", "d:Description": "Mongooose reviews \"The Anniversary Party.\"", "topic": "Top/Arts/Movies/Titles/A/Anniversary_Party,_The", "url": "http://www.haro-online.com/movies/anniversary_party.html"} +{"d:Title": "PopMatters: The Anniversary Party", "d:Description": "Movie review by Kirsten Markson.", "topic": "Top/Arts/Movies/Titles/A/Anniversary_Party,_The", "url": "http://popmatters.com/film/reviews/a/anniversary-party.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Anniversary_Party,_The", "url": "http://www.metacritic.com/movie/the-anniversary-party"} +{"d:Title": "IMDB: Another Country (1984)", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Another_Country", "url": "http://www.imdb.com/title/tt0086904/"} +{"d:Title": "Shock Cinema: Another State of Mind", "d:Description": "Overview and review.", "topic": "Top/Arts/Movies/Titles/A/Another_State_of_Mind", "url": "http://www.shockcinemamagazine.com/another.html"} +{"d:Title": "IMDB: Another State of Mind", "d:Description": "Credits, brief review, and links.", "topic": "Top/Arts/Movies/Titles/A/Another_State_of_Mind", "url": "http://www.imdb.com/title/tt0198307/"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/A/Antitrust", "url": "http://www.haro-online.com/movies/antitrust.html"} +{"d:Title": "PopMatters - Antitrust", "d:Description": "Review of the film with national showtimes.", "topic": "Top/Arts/Movies/Titles/A/Antitrust", "url": "http://popmatters.com/film/reviews/a/antitrust.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/A/Antitrust", "url": "http://www.all-reviews.com/videos-2/antitrust.htm"} +{"d:Title": "Ninth Symphony Films: Antitrust", "d:Description": "Review of the film with related links, photographs, and a synopsis.", "topic": "Top/Arts/Movies/Titles/A/Antitrust", "url": "http://regencylady.tripod.com/site/filmreviews/antitrust.html"} +{"d:Title": "IMDb: Anti-Trust (2001)", "d:Description": "Cast and crew links and plot outline.", "topic": "Top/Arts/Movies/Titles/A/Antitrust", "url": "http://www.imdb.com/title/tt0218817/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Antitrust", "url": "http://www.metacritic.com/movie/antitrust"} +{"d:Title": "Allreaders Review - Antitrust", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Antitrust", "url": "http://allreaders.com/movie-review-summary/antitrust-4432"} +{"d:Title": "HARO Online - Antwone Fisher", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Antwone_Fisher", "url": "http://www.haro-online.com/movies/antwone_fisher.html"} +{"d:Title": "All-Reviews.com - Antwone Fisher", "d:Description": "Reviews of the movie. [Rated 3 out of 4.]", "topic": "Top/Arts/Movies/Titles/A/Antwone_Fisher", "url": "http://all-reviews.com/videos-5/antwone-fisher.htm"} +{"d:Title": "IMDb - Antwone Fisher (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Antwone_Fisher", "url": "http://www.imdb.com/title/tt0168786/"} +{"d:Title": "History vs Hollywood - Antwone Fisher", "d:Description": "Explores the real people featured in the film's story. Includes review, photographs, and trailer.", "topic": "Top/Arts/Movies/Titles/A/Antwone_Fisher", "url": "http://www.historyvshollywood.com/reelfaces/antwonefisher.php"} +{"d:Title": "Awesome Stories: Antwone Fisher", "d:Description": "Tells the story behind the movie with links to pictures of the real people and places.", "topic": "Top/Arts/Movies/Titles/A/Antwone_Fisher", "url": "https://www.awesomestories.com/asset/view/Antwone-Fisher"} +{"d:Title": "Internet Movie Database: Standard Time", "d:Description": "Synopsis, cast and crew, user ratings and comments, release dates, and links.", "topic": "Top/Arts/Movies/Titles/A/Anything_but_Love", "url": "http://imdb.com/title/tt0265803/"} +{"d:Title": "HARO Online: Anything But Love", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Anything_but_Love", "url": "http://www.haro-online.com/movies/anything_but_love.html"} +{"d:Title": "KillerMovies.com: Anything Else", "d:Description": "Film information and articles.", "topic": "Top/Arts/Movies/Titles/A/Anything_Else", "url": "http://www.killermovies.com/a/anythingelse/"} +{"d:Title": "About.com: Anything Else", "d:Description": "Photographs, credits, and links.", "topic": "Top/Arts/Movies/Titles/A/Anything_Else", "url": "http://romanticmovies.about.com/cs/anythingelse/"} +{"d:Title": "All-Reviews.com - Anything Else", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Anything_Else", "url": "http://all-reviews.com/videos-5/anything-else.htm"} +{"d:Title": "HARO Online: Anything Else", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Anything_Else", "url": "http://www.haro-online.com/movies/anything_else.html"} +{"d:Title": "IMDb: Anything Else", "d:Description": "Synopsis, cast and crew, production details, and links.", "topic": "Top/Arts/Movies/Titles/A/Anything_Else", "url": "http://www.imdb.com/title/tt0313792/"} +{"d:Title": "IMDb entry (1927)", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Anything_Once", "url": "http://www.imdb.com/title/tt0017634/"} +{"d:Title": "HARO Online - Anywhere But Here", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/A/Anywhere_But_Here", "url": "http://www.haro-online.com/movies/anywhere_but_here.html"} +{"d:Title": "All-Reviews.com: Anywhere But Here", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/A/Anywhere_But_Here", "url": "http://all-reviews.com/videos-4/anywhere-but-here.htm"} +{"d:Title": "Rotten Tomatoes: Anywhere But Here", "d:Description": "Reviews, news, articles, previews, and trailers of the movie.", "topic": "Top/Arts/Movies/Titles/A/Anywhere_But_Here", "url": "http://www.rottentomatoes.com/m/anywhere_but_here/"} +{"d:Title": "Box Office Mojo: Anywhere But Here", "d:Description": "Box office coverage for Anywhere But Here since day one.", "topic": "Top/Arts/Movies/Titles/A/Anywhere_But_Here", "url": "http://www.boxofficemojo.com/movies/?id=anywherebuthere.htm"} +{"d:Title": "The Editing Room: Anywhere But Here", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/A/Anywhere_But_Here", "url": "http://the-editing-room.com/anywherebuthere.html"} +{"d:Title": "Metacritic.com: Anywhere But Here", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Anywhere_But_Here", "url": "http://www.metacritic.com/movie/anywhere-but-here"} +{"d:Title": "IMDb: Anywhere But Here", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Anywhere_But_Here", "url": "http://www.imdb.com/title/tt0149691/"} +{"d:Title": "PopMatters", "d:Description": "Review by Cynthia Fuchs. \"Holy mackerel - that is football!\"", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://popmatters.com/film/reviews/a/any-given-sunday.html"} +{"d:Title": "PopMatters", "d:Description": "Review by Tobias Peterson.", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://popmatters.com/film/reviews/a/any-given-sunday2.html"} +{"d:Title": "HARO Online - Any Given Sunday", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://www.haro-online.com/movies/any_given_sunday.html"} +{"d:Title": "All-Reviews.com - Any Given Sunday", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://www.all-reviews.com/videos/any-given-sunday.htm"} +{"d:Title": "Any Given Sunday - Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, video clips, pictures and trailers.", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://www.rottentomatoes.com/m/any_given_sunday/"} +{"d:Title": "Box Office Mojo: Any Given Sunday", "d:Description": "Box office data for Any Given Sunday since day one. Includes Oliver Stone's box office track record.", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://www.boxofficemojo.com/movies/?id=anygivensunday.htm"} +{"d:Title": "IMDb: Any Given Sunday", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://www.imdb.com/title/tt0146838/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Any_Given_Sunday", "url": "http://www.metacritic.com/movie/any-given-sunday"} +{"d:Title": "IMDb: An American Crime (2007)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/A/An_American_Crime", "url": "http://www.imdb.com/title/tt0802948/"} +{"d:Title": "Rotten Tomatoes: An American Crime", "d:Description": "Synopsis, credits, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/A/An_American_Crime", "url": "http://www.rottentomatoes.com/m/american_crime/"} +{"d:Title": "MovieWeb: An American Crime (2007)", "d:Description": "Summary, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/A/An_American_Crime", "url": "http://www.movieweb.com/movie/an-american-crime"} +{"d:Title": "Greatest Films: The Apartment", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/A/Apartment,_The", "url": "http://www.filmsite.org/apar.html"} +{"d:Title": "IMDb: The Apartment", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apartment,_The", "url": "http://www.imdb.com/title/tt0053604/"} +{"d:Title": "Allreaders Review - The Apartment", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Apartment,_The", "url": "http://allreaders.com/movie-review-summary/the-apartment-3798"} +{"d:Title": "TV Guide Online: Apartment, The", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apartment,_The", "url": "http://www.tvguide.com/movies/apartment/123875/"} +{"d:Title": "IMDb: Apartment Zero", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Apartment_Zero", "url": "http://www.imdb.com/title/tt0094667/"} +{"d:Title": "TV Guide Online: Apartment Zero", "d:Description": "Movie review, rating, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/A/Apartment_Zero", "url": "http://www.tvguide.com/movies/apartment-zero/127599/"} +{"d:Title": "Greatest Films: Apocalypse Now", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Now", "url": "http://www.filmsite.org/apoc.html"} +{"d:Title": "PopMatters: Apocalypse Now Redux", "d:Description": "Review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Now", "url": "http://popmatters.com/film/reviews/a/apocalypse-now-redux2.html"} +{"d:Title": "Chicago Reader: Then and Now: Apocalypse Now Redux", "d:Description": "Long review, discusses both the original version and the new release.", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Now", "url": "http://www.chicagoreader.com/movies/archives/2001/0108/010817.html"} +{"d:Title": "Links.net: Apocalypse Now", "d:Description": "Movie review and links.", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Now", "url": "http://www.links.net/dox/flix/apocalypsenow/"} +{"d:Title": "IMDb: Apocalypse Now", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Now", "url": "http://www.imdb.com/title/tt0078788/"} +{"d:Title": "Metacritic.com - Apocalypse Now", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Now", "url": "http://www.metacritic.com/movie/apocalypse-now"} +{"d:Title": "TV Guide Online: Apocalypse Now", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Now", "url": "http://www.tvguide.com/movies/apocalypse/123880/"} +{"d:Title": "IMDb : Apocalypse III: Tribulation (1999)", "d:Description": "Full cast and crew for the film and company credits from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/Apocalypse_Series/Apocalypse_III_-_Tribulation", "url": "http://www.imdb.com/title/tt0202236/"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Apollo_13", "url": "http://www.all-reviews.com/videos-2/apollo-13.htm"} +{"d:Title": "Apollo 13 (1995)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/A/Apollo_13", "url": "http://www.imdb.com/title/tt0112384/"} +{"d:Title": "Metacritic.com: Apollo 13", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/A/Apollo_13", "url": "http://www.metacritic.com/movie/apollo-13"} +{"d:Title": "All-Reviews.com - The Apostle", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/A/Apostle,_The", "url": "http://www.all-reviews.com/videos/apostle.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apostle,_The", "url": "http://www.imdb.com/title/tt0118632/"} +{"d:Title": "TV Guide Online: The Apostle", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apostle,_The", "url": "http://www.tvguide.com/movies/apostle/132845/"} +{"d:Title": "Rotten Tomatoes: Appaloosa", "d:Description": "Synopsis, cast members, showtimes, trailer, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Appaloosa", "url": "http://www.rottentomatoes.com/m/10008785-appaloosa/"} +{"d:Title": "IGN: Appaloosa", "d:Description": "News, photos, videos, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/A/Appaloosa", "url": "http://www.ign.com/movies/appaloosa/theater-853511"} +{"d:Title": "USA Today: Appaloosa", "d:Description": "Review, by Claudia Puig: \"Harris is a major asset in a film that is entertaining but somewhat unfocused and occasionally badly cast.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/A/Appaloosa", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-09-18-appaloosa_N.htm"} +{"d:Title": "ReelViews: Appaloosa", "d:Description": "Review, by James Berardinelli: \"Westerns often take themselves seriously and, while Appaloosa is no \"Blazing Saddles,\" there's a refreshing vein of understated humor running throughout the production.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/A/Appaloosa", "url": "http://www.reelviews.net/reelviews/appaloosa"} +{"d:Title": "TV Guide: Appaloosa", "d:Description": "Review, by Maitland McDonagh: \"Played for Maverick-like comedy, the film might have coasted on Harris and Mortensen's dialogue. But played straight it's both dull and preposterous.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/A/Appaloosa", "url": "http://www.tvguide.com/movies/appaloosa/review/295333/"} +{"d:Title": "Internet Movie Database: Apple, The", "d:Description": "Synopsis, cast and crew, production information, user comments and ratings, trivia, and links.", "topic": "Top/Arts/Movies/Titles/A/Apple,_The_-_1980", "url": "http://imdb.com/title/tt0080380/"} +{"d:Title": "B-Notes - The Apple (1980)", "d:Description": "Description and analysis of the film, with screen captures.", "topic": "Top/Arts/Movies/Titles/A/Apple,_The_-_1980", "url": "http://www.jabootu.com/acolytes/bnotes/apple.htm"} +{"d:Title": "April's Shower (2003)", "d:Description": "Cast and crew details, plot summary, and trailer from IMDb.com.", "topic": "Top/Arts/Movies/Titles/A/April's_Shower", "url": "http://www.imdb.com/title/tt0325007/"} +{"d:Title": "Nitrate Online", "d:Description": "Interview with director Bryan Singer; several reviews also.", "topic": "Top/Arts/Movies/Titles/A/Apt_Pupil", "url": "http://www.nitrateonline.com/faptpupil.html"} +{"d:Title": "All-Reviews.com - Apt Pupil", "d:Description": "Collection of reviews and facts about the movie", "topic": "Top/Arts/Movies/Titles/A/Apt_Pupil", "url": "http://www.all-reviews.com/videos/apt-pupil.htm"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/A/Apt_Pupil", "url": "http://the-editing-room.com/aptpupil.html"} +{"d:Title": "IMDb: Apt Pupil", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apt_Pupil", "url": "http://www.imdb.com/title/tt0118636/"} +{"d:Title": "TV Guide Online: Apt Pupil", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Apt_Pupil", "url": "http://www.tvguide.com/movies/apt-pupil/133096/"} +{"d:Title": "IMDb - Aquamarine (2006)", "d:Description": "Cast and crew, reviews, plot summary and trailer.", "topic": "Top/Arts/Movies/Titles/A/Aquamarine", "url": "http://www.imdb.com/title/tt0429591/"} +{"d:Title": "Yahoo Movies - Aquamarine", "d:Description": "Provides critic and user reviews, showtimes, cast and credits, and trailer.", "topic": "Top/Arts/Movies/Titles/A/Aquamarine", "url": "http://movies.yahoo.com/movie/aquamarine/"} +{"d:Title": "Rogerebert.com - Aquamarine", "d:Description": "Review of the 2006 film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Aquamarine", "url": "http://www.rogerebert.com/reviews/aquamarine-2006"} +{"d:Title": "Rotten Tomatoes - Aquamarine", "d:Description": "Includes reviews, box office numbers, cast and crew, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Aquamarine", "url": "http://www.rottentomatoes.com/m/aquamarine/"} +{"d:Title": "All-Reviews.com: Ararat", "d:Description": "Review by Harvey Karten; three out of four stars.", "topic": "Top/Arts/Movies/Titles/A/Ararat", "url": "http://www.all-reviews.com/videos-5/ararat.htm"} +{"d:Title": "HARO Online: Ararat", "d:Description": "Mongoose's review. \"Egoyan has some lofty goals, but is not quite able to reach them with 'Ararat.'\" Rated \"not that good.\"", "topic": "Top/Arts/Movies/Titles/A/Ararat", "url": "http://www.haro-online.com/movies/ararat.html"} +{"d:Title": "Rotten Tomatoes: Ararat", "d:Description": "Quotes from and links to reviews, photographs, trailer, and discussion forum.", "topic": "Top/Arts/Movies/Titles/A/Ararat", "url": "http://www.rottentomatoes.com/m/ararat/"} +{"d:Title": "Metacritic: Ararat", "d:Description": "Quotes from and links to reviews, with viewer comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/A/Ararat", "url": "http://www.metacritic.com/movie/ararat"} +{"d:Title": "All-Reviews.com: Arctic Blue", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/A/Arctic_Blue", "url": "http://www.all-reviews.com/videos-4/arctic-blue.htm"} +{"d:Title": "IMDb: Arctic Blue", "d:Description": "Synopsis, cast and crew, reviews, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/A/Arctic_Blue", "url": "http://www.imdb.com/title/tt0106303/"} +{"d:Title": "Digitally Obsessed: Arctic Blue", "d:Description": "Review of the film and the technical specifications of its DVD by Dan Heaton.", "topic": "Top/Arts/Movies/Titles/A/Arctic_Blue", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1885"} +{"d:Title": "Arena (1991)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/A/Arena", "url": "http://www.imdb.com/title/tt0101366/"} +{"d:Title": "TV Guide Online: Arena", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/A/Arena", "url": "http://www.tvguide.com/movies/arena/review/128271/"} +{"d:Title": "HARO Online: Are We There Yet?", "d:Description": "Review and rating, a couple pictures, and links to related reviews.", "topic": "Top/Arts/Movies/Titles/A/Are_We_There_Yet", "url": "http://www.haro-online.com/movies/are_we_there_yet.html"} +{"d:Title": "Ice Cube's Rocky Road Trip", "d:Description": "\"...I started out this journey actually liking children. By the end of the movie, I wasn't so sure.\" Review by Michael O'Sullivan. [Washington Post]", "topic": "Top/Arts/Movies/Titles/A/Are_We_There_Yet", "url": "http://www.washingtonpost.com/wp-dyn/articles/A23759-2005Jan20.html"} +{"d:Title": "Are We There Yet? [2005] [PG] - 3.4.4", "d:Description": "Kids-In-Mind rates the film in more detail.", "topic": "Top/Arts/Movies/Titles/A/Are_We_There_Yet", "url": "http://www.kids-in-mind.com/a/arewethereyet.htm"} +{"d:Title": "IMDb: Are We There Yet? (2005)", "d:Description": "Cast and crew listing, plot summary, user comments, and trailer.", "topic": "Top/Arts/Movies/Titles/A/Are_We_There_Yet", "url": "http://www.imdb.com/title/tt0368578/"} +{"d:Title": "Are We There Yet?", "d:Description": "\"Ice Cube is an effortlessly likable actor, which presents two problems for [the film].\" By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Are_We_There_Yet", "url": "http://www.rogerebert.com/reviews/are-we-there-yet-2005"} +{"d:Title": "IMDb: Aria (1987)", "d:Description": "Synopsis, cast and crew, awards, external reviews, trivia and viewer comments.", "topic": "Top/Arts/Movies/Titles/A/Aria", "url": "http://www.imdb.com/title/tt0092580/"} +{"d:Title": "Chicago Sun-Times: Aria", "d:Description": "Synopsis and review.", "topic": "Top/Arts/Movies/Titles/A/Aria", "url": "http://www.rogerebert.com/reviews/aria-1988"} +{"d:Title": "TV Guide Online: Aria", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Aria", "url": "http://www.tvguide.com/movies/aria/127351/"} +{"d:Title": "Arise, My Love", "d:Description": "Plot review from Sanderson Beck.", "topic": "Top/Arts/Movies/Titles/A/Arise,_My_Love", "url": "http://www.san.beck.org/MM/1940/AriseMyLove.html"} +{"d:Title": "Arise, My Love (1940)", "d:Description": "Cast, crew and memorable quotes from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/Arise,_My_Love", "url": "http://www.imdb.com/title/tt0032220/"} +{"d:Title": "AllMovie: Arise, My Love", "d:Description": "Plot synopsis, credits, and awards.", "topic": "Top/Arts/Movies/Titles/A/Arise,_My_Love", "url": "http://www.allmovie.com/movie/arise-my-love-v83924"} +{"d:Title": "HARO Online - Arlington Road", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Arlington_Road", "url": "http://www.haro-online.com/movies/arlington_road.html"} +{"d:Title": "All-Reviews.com - Arlington Road", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Arlington_Road", "url": "http://www.all-reviews.com/videos/arlington-road.htm"} +{"d:Title": "Arlington Road: Rotten Tomatoes", "d:Description": "Reviews, news, articles, and previews.", "topic": "Top/Arts/Movies/Titles/A/Arlington_Road", "url": "http://www.rottentomatoes.com/m/arlington_road/"} +{"d:Title": "IMDb: Arlington Road", "d:Description": "Cast and credits, plot outline, and trivia.", "topic": "Top/Arts/Movies/Titles/A/Arlington_Road", "url": "http://www.imdb.com/title/tt0137363/"} +{"d:Title": "Roger Ebert - Arlington Road", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Arlington_Road", "url": "http://www.rogerebert.com/reviews/arlington-road-1999"} +{"d:Title": "100% Armageddon", "d:Description": "Fan site with pictures and sound clips, quotes, a quiz, cast information, and links to related sites.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://www.angelfire.com/bc/armageddon07/"} +{"d:Title": "Josh's Countdown Armageddon Page", "d:Description": "A brief fan page with movie pictures, cast and crew information, and links to related sites.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://members.tripod.com/~countdown_armageddon/index.html"} +{"d:Title": "Phil Plait's Bad Astronomy: Armageddon", "d:Description": "The site analyzes Armageddon's sub-comic book level astronomy science.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://www.badastronomy.com/bad/movies/armpitageddon.html"} +{"d:Title": "Movie Quotes Site: Armageddon", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://www.moviequotes.com/archive/titles/855.html"} +{"d:Title": "Filmtracks: Armageddon", "d:Description": "A review of Trevor Rabin's soundtrack for the Armageddon movie. Includes a track listing.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://www.filmtracks.com/titles/armageddon.html"} +{"d:Title": "All-Reviews.com - Armageddon", "d:Description": "A collection of different reviews of the movie. Overall rating: 2.5 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://www.all-reviews.com/videos/armageddon.htm"} +{"d:Title": "IMDb: Armageddon (1998)", "d:Description": "The Internet Movie Database's coverage includes cast, crew and plot information, plus numerous links.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://www.imdb.com/title/tt0120591/"} +{"d:Title": "Armageddon Webring", "d:Description": "A list of sites devoted to the story, characters, and cast of the movie.", "topic": "Top/Arts/Movies/Titles/A/Armageddon", "url": "http://www.webring.org/hub?ring=armageddonmovie"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Around_the_Fire", "url": "http://www.imdb.com/title/tt0139030/"} +{"d:Title": "Teach with Movies", "d:Description": "Learning guide for Around the World in 80 Days.", "topic": "Top/Arts/Movies/Titles/A/Around_the_World_in_80_Days_-_1956", "url": "http://www.teachwithmovies.org/guides/around-the-world-in-80-days.html"} +{"d:Title": "The Flick Filosopher: Around the World in 80 Days", "d:Description": "MaryAnn Johanson's review: \"There's not really much of a plot, and the characters are little more than cardboard, but the whole point of this movie is to linger with Fogg and Passepartout as they drink in the beautiful countryside and exotic cities as they float languorously by.\"", "topic": "Top/Arts/Movies/Titles/A/Around_the_World_in_80_Days_-_1956", "url": "http://www.flickfilosopher.com/1999/02/around-the-world-in-80-days-review.html"} +{"d:Title": "IMDb: Around the World in Eighty Days", "d:Description": "Plot outline, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/A/Around_the_World_in_80_Days_-_1956", "url": "http://www.imdb.com/title/tt0048960/"} +{"d:Title": "IMDb: Around the World in 80 Days (2004)", "d:Description": "Offers cast, crew, plot outline, trivia, and quotes.", "topic": "Top/Arts/Movies/Titles/A/Around_the_World_in_80_Days_-_2004", "url": "http://www.imdb.com/title/tt0327437/"} +{"d:Title": "Around the World in 80 Days (2004)", "d:Description": "Official site with information, cast, crew, downloads, video, and games.", "topic": "Top/Arts/Movies/Titles/A/Around_the_World_in_80_Days_-_2004", "url": "http://movies.disney.com/around-the-world-in-80-days"} +{"d:Title": "IMDb: The Arrival (1990)", "d:Description": "Cast and crew information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Arrival,_The_-_1990", "url": "http://www.imdb.com/title/tt0099059/"} +{"d:Title": "TV Guide Online: The Arrival", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/A/Arrival,_The_-_1990", "url": "http://www.tvguide.com/movies/arrival/118194/"} +{"d:Title": "All-Reviews.com - The Arrival", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/A/Arrival,_The_-_1996", "url": "http://www.all-reviews.com/videos-3/arrival.htm"} +{"d:Title": "IMDb: The Arrival (1996)", "d:Description": "Cast and crew, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/A/Arrival,_The_-_1996", "url": "http://www.imdb.com/title/tt0115571/"} +{"d:Title": "Roger Ebert - The Arrival", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/A/Arrival,_The_-_1996", "url": "http://www.rogerebert.com/reviews/the-arrival-1996"} +{"d:Title": "Arsenic and Old Lace", "d:Description": "CaryGrant.net - Review by Heather Doughty.", "topic": "Top/Arts/Movies/Titles/A/Arsenic_and_Old_Lace", "url": "http://www.carygrant.net/reviews/arsenic.html"} +{"d:Title": "Arsenic and Old Lace (1944)", "d:Description": "At-A-Glance Film Reviews.", "topic": "Top/Arts/Movies/Titles/A/Arsenic_and_Old_Lace", "url": "http://www.rinkworks.com/movies/m/arsenic.and.old.lace.1944.shtml"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Arsenic_and_Old_Lace", "url": "http://crazy4cinema.com/Review/FilmsA/f_arsenic.html"} +{"d:Title": "Red Carpet Movies - Arsenic and Old Lace", "d:Description": "Review (positive) by Laura Loveday, rating.", "topic": "Top/Arts/Movies/Titles/A/Arsenic_and_Old_Lace", "url": "http://www.angelfire.com/ct3/redcarpetmovies/movie/arsenicandoldlace.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Arsenic_and_Old_Lace", "url": "http://www.imdb.com/title/tt0036613/"} +{"d:Title": "AllReaders.com Arsenic and Old Lace Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/Arsenic_and_Old_Lace", "url": "http://allreaders.com/movie-review-summary/arsenic-and-old-lace-4189"} +{"d:Title": "Cinebooks Database - Arsenic and Old Lace", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Arsenic_and_Old_Lace", "url": "http://www.tvguide.com/movies/arsenic-old-lace/124889/"} +{"d:Title": "TV Guide Online: Artemisia", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/A/Artemisia", "url": "http://www.tvguide.com/movies/artemisia/132745/"} +{"d:Title": "HARO Online - The Art of War", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/A/Art_of_War_-_2000", "url": "http://www.haro-online.com/movies/art_of_war.html"} +{"d:Title": "All-Reviews.com: The Art of War", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/A/Art_of_War_-_2000", "url": "http://www.all-reviews.com/videos/art-of-war.htm"} +{"d:Title": "PopMatters: Art of War", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/A/Art_of_War_-_2000", "url": "http://popmatters.com/film/reviews/a/art-of-war.html"} +{"d:Title": "Rotten Tomatoes: The Art of War (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Art_of_War_-_2000", "url": "http://www.rottentomatoes.com/m/1099604-art_of_war/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Art_of_War_-_2000", "url": "http://www.metacritic.com/movie/the-art-of-war"} +{"d:Title": "IMDb: Art of War", "d:Description": "Cast and crew information, ratings, plot summary, and links.", "topic": "Top/Arts/Movies/Titles/A/Art_of_War_-_2000", "url": "http://www.imdb.com/title/tt0160009/"} +{"d:Title": "The Asphalt Jungle", "d:Description": "Synopsis and review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/A/Asphalt_Jungle,_The", "url": "http://www.filmsite.org/asph.html"} +{"d:Title": "All-Reviews.com: Asphalt Jungle", "d:Description": "Review of the film by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/A/Asphalt_Jungle,_The", "url": "http://www.all-reviews.com/videos-4/asphalt-jungle.htm"} +{"d:Title": "The Asphalt Jungle (1950)", "d:Description": "Photographs, dialogue, synopsis and review from Christina Lui and Karl Hill.", "topic": "Top/Arts/Movies/Titles/A/Asphalt_Jungle,_The", "url": "http://www.eskimo.com/~noir/ftitles/asphalt/"} +{"d:Title": "The Asphalt Jungle", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/Asphalt_Jungle,_The", "url": "http://www.imdb.com/title/tt0042208/"} +{"d:Title": "TV Guide Online: Asphalt Jungle, The", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Asphalt_Jungle,_The", "url": "http://www.tvguide.com/movies/asphalt-jungle/125878/"} +{"d:Title": "Hollywood Bitchslap: Assassination Tango", "d:Description": "Film information, review, and ratings.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.hollywoodbitchslap.com/review.php?movie=7207"} +{"d:Title": "Screen It!: Assassination Tango", "d:Description": "Synopsis, cast and crew, and breakdown of the film's content by categories of interest to parents.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.screenit.com/movies/2003/assassination_tango.html"} +{"d:Title": "Rotten Tomatoes: Assassination Tango", "d:Description": "Links to reviews, synopsis, trailer, photographs, cast and crew.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.rottentomatoes.com/m/assassination_tango/"} +{"d:Title": "Crime Boss Hires a Killer With a Sentimental Side", "d:Description": "Review by Stephen Holden [The New York Times].", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.nytimes.com/2003/03/28/movies/film-review-crime-boss-hires-a-killer-with-a-sentimental-side.html"} +{"d:Title": "Yahoo! Movies: Assassination Tango", "d:Description": "Synopsis, cast and credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://movies.yahoo.com/movie/assassination-tango/"} +{"d:Title": "Internet Movie Database: Assassination Tango", "d:Description": "Synopsis, cast and crew, reviews, user ratings, production information, merchandising details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.imdb.com/title/tt0283897/"} +{"d:Title": "Ozus' World: Assassination Tango", "d:Description": "Dennis Schwartz gives the film a positive review.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://homepages.sover.net/~ozus/assassinationtango.htm"} +{"d:Title": "Chicago Sun-Times: Assassination Tango", "d:Description": "Review of the movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.rogerebert.com/reviews/assassination-tango-2003"} +{"d:Title": "Duvall's gravity weighs on 'Tango'", "d:Description": "Mick LaSalle reviews the movie [The San Francisco Chronicle].", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.sfgate.com/movies/article/Duvall-s-gravity-weighs-on-Tango-Actor-s-2624516.php"} +{"d:Title": "Metacritic: Assassination Tango", "d:Description": "Quotes from and links to reviews, user comments, film information, and an overall rating.", "topic": "Top/Arts/Movies/Titles/A/Assassination_Tango", "url": "http://www.metacritic.com/movie/assassination-tango"} +{"d:Title": "HARO Online: Assault on Precinct 13", "d:Description": "Review and photograph.", "topic": "Top/Arts/Movies/Titles/A/Assault_on_Precinct_13", "url": "http://www.haro-online.com/movies/assault_precinct13.html"} +{"d:Title": "AllReaders.com Review - Assault on Precinct 13", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Assault_on_Precinct_13", "url": "http://allreaders.com/movie-review-summary/assault-on-precinct-13-3736"} +{"d:Title": "HARO Online: Assisted Living", "d:Description": "Mongoose reviews \"Assisted Living.\" Review, rating, and a couple pictures.", "topic": "Top/Arts/Movies/Titles/A/Assisted_Living", "url": "http://www.haro-online.com/movies/assisted_living.html"} +{"d:Title": "IMDb: Assisted Living (2003)", "d:Description": "Cast and crew, user reviews, plot summary, and trailer.", "topic": "Top/Arts/Movies/Titles/A/Assisted_Living", "url": "http://www.imdb.com/title/tt0361322/"} +{"d:Title": "IMDb: Ast\u00e9rix et Ob\u00e9lix contre C\u00e9sar (1999)", "d:Description": "Plot summary, cast and crew list.", "topic": "Top/Arts/Movies/Titles/A/Asterix_and_Obelix_vs._Caesar", "url": "http://www.imdb.com/title/tt0133385/"} +{"d:Title": "TV Guide Online: Asteroid", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Asteroid", "url": "http://www.tvguide.com/movies/asteroid/132757/"} +{"d:Title": "HARO Online - The Astronaut's Wife", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/A/Astronaut's_Wife,_The", "url": "http://www.haro-online.com/movies/astronauts_wife.html"} +{"d:Title": "All-Reviews.com- The Astronaut's Wife (1999)", "d:Description": "Brief review and facts about the movie.", "topic": "Top/Arts/Movies/Titles/A/Astronaut's_Wife,_The", "url": "http://www.all-reviews.com/videos/astronautswife.htm"} +{"d:Title": "The Astronaut's Wife - Rotten Tomatoes", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/A/Astronaut's_Wife,_The", "url": "http://www.rottentomatoes.com/m/astronauts_wife/"} +{"d:Title": "Box Office Mojo: Astronaut's Wife, The", "d:Description": "Box office data for The Astronaut's Wife since day one. Includes a comparison with other Johnny Depp movies.", "topic": "Top/Arts/Movies/Titles/A/Astronaut's_Wife,_The", "url": "http://www.boxofficemojo.com/movies/?id=astronautswife.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Astronaut's_Wife,_The", "url": "http://www.imdb.com/title/tt0138304/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Astronaut's_Wife,_The", "url": "http://www.metacritic.com/movie/the-astronauts-wife"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/As_Good_as_It_Gets", "url": "http://crazy4cinema.com/Review/FilmsA/f_asgoodas.html"} +{"d:Title": "All-Reviews.com: As Good as it Gets", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/A/As_Good_as_It_Gets", "url": "http://www.all-reviews.com/videos/as-good-as-it-gets.htm"} +{"d:Title": "As Good As It Gets Movie Clips", "d:Description": "Collection of clips from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/A/As_Good_as_It_Gets", "url": "http://movieclips10.tripod.com/asgoodasitgets.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/As_Good_as_It_Gets", "url": "http://www.imdb.com/title/tt0119822/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/As_Good_as_It_Gets", "url": "http://www.metacritic.com/movie/as-good-as-it-gets"} +{"d:Title": "AllReaders.com Review - As Good As It Gets", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/A/As_Good_as_It_Gets", "url": "http://allreaders.com/movie-review-summary/as-good-as-it-gets-5245"} +{"d:Title": "TV Guide Online: As Good As It Gets", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/A/As_Good_as_It_Gets", "url": "http://www.tvguide.com/movies/good-gets/132715/"} +{"d:Title": "Nick Davis' Movie Archives - L'Atalante", "d:Description": "Review by Nick Davis (positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Atalante,_L'", "url": "http://www.nicksflickpicks.com/atalante.html"} +{"d:Title": "Movie Mirrors - L'Atalante", "d:Description": "Review by Sanderson Beck (positive).", "topic": "Top/Arts/Movies/Titles/A/Atalante,_L'", "url": "http://www.san.beck.org/MM/1934/Atalante.html"} +{"d:Title": "IMDb - Atalante, L' (1934)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Atalante,_L'", "url": "http://www.imdb.com/title/tt0024844/"} +{"d:Title": "Chicago Sun-Times - L'Atalante", "d:Description": "Review by Roger Ebert (positive).", "topic": "Top/Arts/Movies/Titles/A/Atalante,_L'", "url": "http://www.rogerebert.com/reviews/great-movie-latalante-1934"} +{"d:Title": "Cinebooks Database - L'Atalante", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/A/Atalante,_L'", "url": "http://www.tvguide.com/movies/latalante/103908/"} +{"d:Title": "All-Reviews.com: Atlantic City", "d:Description": "Review of the film by Walter Frith.", "topic": "Top/Arts/Movies/Titles/A/Atlantic_City_-_1980", "url": "http://all-reviews.com/videos-4/atlantic-city.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Atlantis_Falling", "url": "http://www.imdb.com/title/tt0213464/"} +{"d:Title": "IMDb: Atonement (2007)", "d:Description": "Photo gallery, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/A/Atonement", "url": "http://www.imdb.com/title/tt0783233/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing locations, casting, plot, and box office data.", "topic": "Top/Arts/Movies/Titles/A/Atonement", "url": "http://en.wikipedia.org/wiki/Atonement_(film)"} +{"d:Title": "MovieWeb: Atonement (2007)", "d:Description": "Summary, reviews, synopsis, photos, videos, and news.", "topic": "Top/Arts/Movies/Titles/A/Atonement", "url": "http://www.movieweb.com/movie/atonement"} +{"d:Title": "Atrapados at IMDb.com", "d:Description": "The site of the award-winning Argentinian short film at the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/Atrapados", "url": "http://www.imdb.com/title/tt0231194/"} +{"d:Title": "Badmovies.org - Attack of the Crab Monsters", "d:Description": "Review, character list and descriptions, plot, sound clips, pictures and scenes from the film.", "topic": "Top/Arts/Movies/Titles/A/Attack_of_the_Crab_Monsters", "url": "http://www.badmovies.org/movies/crabmonsters/"} +{"d:Title": "Attack of the Crab Monsters Lobby", "d:Description": "Pictures of rare lobby cards from science fiction classic.", "topic": "Top/Arts/Movies/Titles/A/Attack_of_the_Crab_Monsters", "url": "http://www.autographsmovieposters.com/crabmonsters.html"} +{"d:Title": "IMDb.com - Attack of the Crab Monsters (1957)", "d:Description": "Cast, credits, production information, plot outline, user comments.", "topic": "Top/Arts/Movies/Titles/A/Attack_of_the_Crab_Monsters", "url": "http://www.imdb.com/title/tt0050147/"} +{"d:Title": "IMDb: Attack of the Killer Tomatoes! (1978)", "d:Description": "Full cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/A/Attack_of_the_Killer_Tomatoes", "url": "http://www.imdb.com/title/tt0080391/"} +{"d:Title": "Media Circus: Attack the Gas Station", "d:Description": "Review with images and links.", "topic": "Top/Arts/Movies/Titles/A/Attack_the_Gas_Station", "url": "http://www.mediacircus.net/atgs.html"} +{"d:Title": "BeyondHollywood.com: Attack on the Gas Station", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/A/Attack_the_Gas_Station", "url": "http://www.beyondhollywood.com/attack-on-the-gas-station-1999-movie-review/"} +{"d:Title": "IMDB - The Attic Expeditions (2001)", "d:Description": "Includes cast and crew listings, reviews, plot summary, comments, discussion, taglines, trailers and photos.", "topic": "Top/Arts/Movies/Titles/A/Attic_Expeditions,_The", "url": "http://imdb.com/title/tt0118652/"} +{"d:Title": "The Attic Expeditions", "d:Description": "Includes links to reviews, cast and crew listings and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Attic_Expeditions,_The", "url": "http://www.rottentomatoes.com/m/attic_expeditions/"} +{"d:Title": "IMDb: Attraction (2000)", "d:Description": "Cast and crew information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Attraction_-_2000", "url": "http://www.imdb.com/title/tt0238627/"} +{"d:Title": "Att d\u00f6da ett barn (1953)", "d:Description": "Cast, credits, production notes.", "topic": "Top/Arts/Movies/Titles/A/Att_d\u00f6da_ett_barn_-_1953", "url": "http://imdb.com/title/tt0382550/"} +{"d:Title": "Att d\u00f6da ett barn (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/Att_d\u00f6da_ett_barn_-_2003", "url": "http://imdb.com/title/tt0331430/"} +{"d:Title": "IMDb - At Coney Island (1912)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/A/At_Coney_Island", "url": "http://www.imdb.com/title/tt0002034/"} +{"d:Title": "Crazy for Cinema: At First Sight", "d:Description": "Review of the film with cast information and pictures.", "topic": "Top/Arts/Movies/Titles/A/At_First_Sight", "url": "http://crazy4cinema.com/Review/FilmsA/f_at_first.html"} +{"d:Title": "All-Reviews.com - At First Sight", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/At_First_Sight", "url": "http://www.all-reviews.com/videos/at-first-sight.htm"} +{"d:Title": "At First Sight", "d:Description": "Official site with plot, cast, downloads and character information.", "topic": "Top/Arts/Movies/Titles/A/At_First_Sight", "url": "http://www.mgm.com/title_title.php?title_star=SIGHTUNS"} +{"d:Title": "The Editing Room: At First Sight", "d:Description": "A parody script of the film.", "topic": "Top/Arts/Movies/Titles/A/At_First_Sight", "url": "http://www.the-editing-room.com/atfirstsight.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/At_Long_Last_Love", "url": "http://www.imdb.com/title/tt0072665/"} +{"d:Title": "Rotten Tomatoes: August", "d:Description": "Synopsis, cast members, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/A/August", "url": "http://www.rottentomatoes.com/m/august/"} +{"d:Title": "TV Guide: August", "d:Description": "Review, by Ken Fox: \"Stylish, well acted drama.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/A/August", "url": "http://www.tvguide.com/movies/august/review/293496/"} +{"d:Title": "Rotten Tomatoes: August Evening", "d:Description": "Synopsis, cast members, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/A/August_Evening", "url": "http://www.rottentomatoes.com/m/august_evening/"} +{"d:Title": "New York Post: August Evening", "d:Description": "Review, by V.A. Musetto: \"Pedro Castaneda, a nonprofessional appearing in his first film, and Veronica Loren tug at your heartstrings with their portrayals of the lead characters.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/A/August_Evening", "url": "http://nypost.com/2008/09/05/some-enchanted-evening-in-sw-texas/"} +{"d:Title": "Village Voice: August Evening", "d:Description": "Review, by Aaron Hillis: \"Perhaps Eska didn't have to write all of his characters into overlapping crossroads of crisis, but he's more nuanced than overt, and his cast (especially Loren and the nonprofessional Castaneda) sells it.\"", "topic": "Top/Arts/Movies/Titles/A/August_Evening", "url": "http://www.villagevoice.com/film/august-evening-6390707"} +{"d:Title": "Entertainment Weekly: August Evening", "d:Description": "Review, by Lisa Schwarzbaum: \"The filmmaker of August Evening creates a succession of quiet, elliptical scenes that accrue into an affecting big picture of family ties and immigrant experience.\" [Grade: B+]", "topic": "Top/Arts/Movies/Titles/A/August_Evening", "url": "http://www.ew.com/article/2008/09/10/august-evening"} +{"d:Title": "Austin Powers Personality Test", "d:Description": "Humorous test to determine which characters' personalities users possess.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series", "url": "http://www.matthewbarr.co.uk/powers/"} +{"d:Title": "The Austin Powers site of the new millennium", "d:Description": "Features pictures, sounds, and downloads.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series", "url": "http://www.angelfire.com/on/AustinPowers/"} +{"d:Title": "Unofficial Austin Powers Website", "d:Description": "Pictures and plot information.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series", "url": "http://www.angelfire.com/de/doktorevil/"} +{"d:Title": "Austin Powers Network", "d:Description": "Archived news, reviews, image gallery, sounds, and links.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series", "url": "http://www.apnetwork.8m.com/"} +{"d:Title": "Austin Powers Shag-o-meter", "d:Description": "Online Austin Powers game.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series", "url": "http://www.deeplake.com/content/austinpowers/austinpowers.shtml"} +{"d:Title": "Site of Strange Origins", "d:Description": "Pictures, trivia, and personality quiz.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series", "url": "http://www.angelfire.com/ri/crazycrapathon/"} +{"d:Title": "Austin Powers - Rotten Tomatoes", "d:Description": "Synopsis of reviews.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_-_International_Man_of_Mystery", "url": "http://www.rottentomatoes.com/m/austin_powers_international_man_of_mystery/"} +{"d:Title": "IMDB: Austin Powers: International Man of Mystery (1997)", "d:Description": "Information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_-_International_Man_of_Mystery", "url": "http://www.imdb.com/title/tt0118655/"} +{"d:Title": "AllReaders.com Review - Austin Powers", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Mike Myers and Elizabeth Hurley, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_-_International_Man_of_Mystery", "url": "http://allreaders.com/movie-review-summary/austin-powers-6742"} +{"d:Title": "HARO Online - Austin Powers 2 -- The Spy Who Shagged Me", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_2_-_The_Spy_Who_Shagged_Me", "url": "http://www.haro-online.com/movies/austin_powers.html"} +{"d:Title": "All-Reviews.com - Austin Powers: The Spy Who Shagged Me", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_2_-_The_Spy_Who_Shagged_Me", "url": "http://www.all-reviews.com/videos/austin-powers-2.htm"} +{"d:Title": "Austin Powers: The Spy Who Shagged Me- Rotten Tomatoes", "d:Description": "Reviews, previews, media predictions, star bios and profiles, news, links to other Austin Powers sites.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_2_-_The_Spy_Who_Shagged_Me", "url": "http://www.rottentomatoes.com/m/austin_powers_the_spy_who_shagged_me/"} +{"d:Title": "Daily Box Office - Austin Powers: The Spy Who Shagged Me", "d:Description": "Box office coverage for The Spy Who Shagged Me since day one. Includes detailed breakdown of the data, international box office, and compariosns to other sequels and the first Austin Powers.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_2_-_The_Spy_Who_Shagged_Me", "url": "http://www.boxofficemojo.com/movies/?id=austinpowers2.htm"} +{"d:Title": "International House of Austin Powers: The Spy Who Shagged Me", "d:Description": "News, photos, posters, articles, and the trailers.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_2_-_The_Spy_Who_Shagged_Me", "url": "http://thespywhoshaggedme.tripod.com/"} +{"d:Title": "Austin Powers: The Spy Who Shagged Me (1999)", "d:Description": "Information from the Internet Movie Database for Austin Powers: The Spy Who Shagged Me (1999)", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_2_-_The_Spy_Who_Shagged_Me", "url": "http://www.imdb.com/title/tt0145660/"} +{"d:Title": "Killer Movies: Austin Powers in Goldmember", "d:Description": "Synopsis, multimedia, and related news.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_3_-_Goldmember", "url": "http://www.killermovies.com/a/austinpowersingoldmember/"} +{"d:Title": "HARO Online: Austin Powers in Goldmember", "d:Description": "Haro's review: \"...the sort of lightweight piffle that is funny for the moment, and loses steam quickly after the viewing.\"", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_3_-_Goldmember", "url": "http://www.haro-online.com/movies/austin_powers_goldmember.html"} +{"d:Title": "All-Reviews.com: Austin Powers in Goldmember", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_3_-_Goldmember", "url": "http://www.all-reviews.com/videos-4/austin-powers-in-goldmember.htm"} +{"d:Title": "About.com: Austin Powers in Goldmember", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_3_-_Goldmember", "url": "http://homevideo.about.com/library/weekly/aafpr121102.htm"} +{"d:Title": "Rotten Tomatoes: Austin Powers in Goldmember", "d:Description": "Trailers, photographs, synopsis, news articles, and a public forum.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_3_-_Goldmember", "url": "http://www.rottentomatoes.com/m/austin_powers_in_goldmember/"} +{"d:Title": "Metacritic.com: Austin Powers in Goldmember", "d:Description": "Quotes from and links to reviews of the film, as well as user comments.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Austin_Powers_3_-_Goldmember", "url": "http://www.metacritic.com/movie/austin-powers-in-goldmember"} +{"d:Title": "Dr. Evil's Secret Lair", "d:Description": "Videos, sounds, pictures, screensavers, fonts, themes, and music.", "topic": "Top/Arts/Movies/Titles/A/Austin_Powers_Series/Characters/Dr._Evil", "url": "http://www.angelfire.com/movies/drevilslair/index.html"} +{"d:Title": "Rotten Tomatoes: Australia", "d:Description": "Synopsis, cast members, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/A/Australia", "url": "http://www.rottentomatoes.com/m/australia/"} +{"d:Title": "MovieWeb.com: Australia (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/A/Australia", "url": "http://www.movieweb.com/movie/australia"} +{"d:Title": "IMDb: Australia", "d:Description": "Film database including cast and crew details, filming locations, links and a message board.", "topic": "Top/Arts/Movies/Titles/A/Australia", "url": "http://www.imdb.com/title/tt0455824/"} +{"d:Title": "IGN: Australia", "d:Description": "News, photos, videos, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/A/Australia", "url": "http://www.ign.com/movies/australia/theater-741698"} +{"d:Title": "Sony Pictures Classics: Auto Focus", "d:Description": "Official site for the film, with cast and crew information, synopsis, press kit, a letter from Bob Crane's son, trivia, production notes, multimedia, and links [requires Flash].", "topic": "Top/Arts/Movies/Titles/A/Auto_Focus", "url": "http://www.sonyclassics.com/autofocus/"} +{"d:Title": "HARO Online: Auto Focus", "d:Description": "Mongoose analyzes the film.", "topic": "Top/Arts/Movies/Titles/A/Auto_Focus", "url": "http://www.haro-online.com/movies/auto_focus.html"} +{"d:Title": "All-Reviews.com: Auto Focus", "d:Description": "Reader-submitted review, rating.", "topic": "Top/Arts/Movies/Titles/A/Auto_Focus", "url": "http://www.all-reviews.com/videos-4/auto-focus.htm"} +{"d:Title": "Popentertainment.com: Auto Focus", "d:Description": "Critic Jay S. Jacobs has mixed feelings about the Bob Crane biographical picture.", "topic": "Top/Arts/Movies/Titles/A/Auto_Focus", "url": "http://www.popentertainment.com/autofocus.htm"} +{"d:Title": "BBC Films: Review - Auto Focus", "d:Description": "This real-life story follows TV star Bob Crane from happy family man to sex-obsessed has-been.", "topic": "Top/Arts/Movies/Titles/A/Auto_Focus", "url": "http://www.bbc.co.uk/films/2003/02/13/auto_focus_2003_review.shtml"} +{"d:Title": "IMDb: Auto Focus", "d:Description": "Synopsis, cast and crew, reviews, technical information, promotional details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/A/Auto_Focus", "url": "http://www.imdb.com/title/tt0298744/"} +{"d:Title": "Chicago Sun-Times: Auto Focus", "d:Description": "Roger Ebert's take on the Greg Kinnear / Willem DaFoe movie.", "topic": "Top/Arts/Movies/Titles/A/Auto_Focus", "url": "http://www.rogerebert.com/reviews/auto-focus-2002"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/A/Autumn_in_New_York", "url": "http://www.haro-online.com/movies/autumn_in_new_york.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/A/Autumn_in_New_York", "url": "http://www.all-reviews.com/videos-3/autumn-in-new-york.htm"} +{"d:Title": "PopMatters: Autumn in New York", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/A/Autumn_in_New_York", "url": "http://popmatters.com/film/reviews/a/autumn-in-new-york.html"} +{"d:Title": "Rotten Tomatoes: Autumn in New York (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/A/Autumn_in_New_York", "url": "http://www.rottentomatoes.com/m/autumn_in_new_york/"} +{"d:Title": "Autumn In New York", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/A/Autumn_in_New_York", "url": "http://www.mgm.com/title_title.php?title_star=AUTUMNNY"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Autumn_in_New_York", "url": "http://www.metacritic.com/movie/autumn-in-new-york"} +{"d:Title": "IMDb: Autumn in New York", "d:Description": "Cast and credits, goofs, quotes and a plot summary.", "topic": "Top/Arts/Movies/Titles/A/Autumn_in_New_York", "url": "http://www.imdb.com/title/tt0174480/"} +{"d:Title": "Qiuyue (1992)", "d:Description": "Full cast and crew for the film (also known as Autumn Moon), company credits, external reviews, plot summary, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/A/Autumn_Moon", "url": "http://www.imdb.com/title/tt0105198/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/A/Autumn_Tale", "url": "http://www.metacritic.com/movie/autumn-tale"} +{"d:Title": "Cannes: Avalon", "d:Description": "Introduction, image, quotes, and cast list.", "topic": "Top/Arts/Movies/Titles/A/Avalon", "url": "http://www.festival-cannes.fr/en/archives/ficheFilm/id/1100081/year/2001.html"} +{"d:Title": "IMDB: Avalon", "d:Description": "Credits, information, and reviews.", "topic": "Top/Arts/Movies/Titles/A/Avalon", "url": "http://www.imdb.com/title/tt0267287/"} +{"d:Title": "Official Site: Avatar", "d:Description": "Synopsis, trailers, videos, images, cast, game and music downloads.", "topic": "Top/Arts/Movies/Titles/A/Avatar", "url": "http://www.avatarmovie.com/"} +{"d:Title": "IMDb: Avatar", "d:Description": "Synopsis, pictures, trailers, news articles, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Avatar", "url": "http://www.imdb.com/title/tt0499549/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/A/Avengers,_The_-_1998", "url": "http://crazy4cinema.com/Review/FilmsA/f_avengers.html"} +{"d:Title": "All-Reviews.com: The Avengers", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/A/Avengers,_The_-_1998", "url": "http://www.all-reviews.com/videos-4/avengers.htm"} +{"d:Title": "Rotten Tomatoes: The Avengers", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/A/Avengers,_The_-_1998", "url": "http://www.rottentomatoes.com/m/1083461-avengers/"} +{"d:Title": "IMDb: The Avengers", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Avengers,_The_-_1998", "url": "http://www.imdb.com/title/tt0118661/"} +{"d:Title": "TV Guide Online: The Avengers", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Avengers,_The_-_1998", "url": "http://www.tvguide.com/movies/avengers/133150/"} +{"d:Title": "The Avengers", "d:Description": "Marvel's official site for the movie includes videos, character profiles, downloads, pictures, production notes and soundtrack album preview.", "topic": "Top/Arts/Movies/Titles/A/Avengers,_The_-_2012", "url": "http://marvel.com/avengers_movie/"} +{"d:Title": "Wikipedia: The Avengers", "d:Description": "Plot, cast and production details.", "topic": "Top/Arts/Movies/Titles/A/Avengers,_The_-_2012", "url": "http://en.wikipedia.org/wiki/The_Avengers_(2012_film)"} +{"d:Title": "Avenging Force (1986)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/A/Avenging_Force", "url": "http://www.imdb.com/title/tt0090678/"} +{"d:Title": "TV Guide Online: Avenging Force", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Avenging_Force", "url": "http://www.tvguide.com/movies/avenging-force/125981/"} +{"d:Title": "Internet Movie Database: Aviator, The (2004)", "d:Description": "Synopsis, cast and crew, related films, production information, and links.", "topic": "Top/Arts/Movies/Titles/A/Aviator,_The_-_2004", "url": "http://imdb.com/title/tt0338751/"} +{"d:Title": "HARO Online: The Aviator", "d:Description": "Mongoose reviews and rates the movie, related links, and photos.", "topic": "Top/Arts/Movies/Titles/A/Aviator,_The_-_2004", "url": "http://www.haro-online.com/movies/aviator.html"} +{"d:Title": "Future Movies:The Aviator", "d:Description": "Positive review by Michelle Thomas.", "topic": "Top/Arts/Movies/Titles/A/Aviator,_The_-_2004", "url": "http://www.futuremovies.co.uk/reviews/the-aviator/michelle-thomas"} +{"d:Title": "Bright Lights Film Journal: Howard Hughes and Hollywood", "d:Description": "Discussion of the biographical elements that interested director Martin Scorsese.", "topic": "Top/Arts/Movies/Titles/A/Aviator,_The_-_2004", "url": "http://brightlightsfilm.com/nice-town-ill-take-it-howard-hughes-and-hollywood/"} +{"d:Title": "IMDb: Awfully Big Adventure, An", "d:Description": "Plot summary, cast and crew information, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/A/Awfully_Big_Adventure,_An", "url": "http://www.imdb.com/title/tt0112427/"} +{"d:Title": "AllReaders.com Review - An Awfully Big Adventure", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Alan Rickman and Hugh Grant, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/A/Awfully_Big_Adventure,_An", "url": "http://allreaders.com/movie-review-summary/an-awfully-big-adventure-3705"} +{"d:Title": "The Awful Truth (1937)", "d:Description": "Cary Grant.net - Review by Donna Moore.", "topic": "Top/Arts/Movies/Titles/A/Awful_Truth,_The", "url": "http://www.carygrant.net/reviews/awful.html"} +{"d:Title": "Greatest Films: The Awful Truth", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/A/Awful_Truth,_The", "url": "http://www.filmsite.org/awfu.html"} +{"d:Title": "IMDb: The Awful Truth", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Awful_Truth,_The", "url": "http://www.imdb.com/title/tt0028597/"} +{"d:Title": "TV Guide Online: The Awful Truth", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/A/Awful_Truth,_The", "url": "http://www.tvguide.com/movies/awful-truth/101587/"} +{"d:Title": "'Ayn Rand: A Sense of Life:' A View of the Philosopher", "d:Description": "The documentary is slavish and pedantic. Review by Janet Maslin in the New York Times. Requires free registration.", "topic": "Top/Arts/Movies/Titles/A/Ayn_Rand_-_A_Sense_of_Life", "url": "http://www.nytimes.com/library/film/021398rand-film-review.html"} +{"d:Title": "Movie Magazine International: Ayn Rand: A Sense of Life", "d:Description": "Review by Andrea Chase.", "topic": "Top/Arts/Movies/Titles/A/Ayn_Rand_-_A_Sense_of_Life", "url": "http://www.shoestring.org/mmi_revs/aynrand.html"} +{"d:Title": "Documentary Helps Make Sense of Rand's Life", "d:Description": "Review by Mick LaSalle, in the San Francisco Chronicle. Ayn Rand was quite an interesting character, and the clips of her are worth seeing, although the tone of this film begins to grate.", "topic": "Top/Arts/Movies/Titles/A/Ayn_Rand_-_A_Sense_of_Life", "url": "http://www.sfgate.com/movies/article/Documentary-Helps-Make-Sense-of-Rand-s-Life-3007020.php"} +{"d:Title": "IMDb: Ayn Rand: A Sense of Life (1997)", "d:Description": "Credits, reader reviews and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/A/Ayn_Rand_-_A_Sense_of_Life", "url": "http://www.imdb.com/title/tt0118662/"} +{"d:Title": "HARO Online - B. Monkey", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/B._Monkey", "url": "http://www.haro-online.com/movies/b_monkey.html"} +{"d:Title": "IMDb : B. Monkey", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/B._Monkey", "url": "http://www.imdb.com/title/tt0120594/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/B._Monkey", "url": "http://www.metacritic.com/movie/b-monkey"} +{"d:Title": "TV Guide Online: B. Monkey", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/B._Monkey", "url": "http://www.tvguide.com/movies/b-monkey/132232/"} +{"d:Title": "HARO Online: Baadasssss!", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Baadasssss", "url": "http://www.haro-online.com/movies/baadasssss.html"} +{"d:Title": "IMDb: Baadasssss (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Baadasssss", "url": "http://www.imdb.com/title/tt0367790/"} +{"d:Title": "Rotten Tomatoes: Baadasssss!", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Baadasssss", "url": "http://www.rottentomatoes.com/m/baadasssss/"} +{"d:Title": "Chicago Sun-Times: Baadasssss", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Baadasssss", "url": "http://www.rogerebert.com/reviews/baadasssss-2004"} +{"d:Title": "Judy Garland Database: Babes in Arms", "d:Description": "Credits, production notes, memorable lines, song information, and critical response.", "topic": "Top/Arts/Movies/Titles/B/Babes_in_Arms", "url": "http://www.jgdb.com/arms.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Babes_in_Arms", "url": "http://www.imdb.com/title/tt0031066/"} +{"d:Title": "Judy Garland Database: Babes on Broadway", "d:Description": "Credits, memorable lines, song information, notes, and critical reaction.", "topic": "Top/Arts/Movies/Titles/B/Babes_on_Broadway", "url": "http://www.jgdb.com/babes.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Babes_on_Broadway", "url": "http://www.imdb.com/title/tt0034485/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Babe_Series/Babe", "url": "http://www.all-reviews.com/videos-2/babe.htm"} +{"d:Title": "IMDb: Babe (1995)", "d:Description": "Includes an overview, credits, awards, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/B/Babe_Series/Babe", "url": "http://www.imdb.com/title/tt0112431/"} +{"d:Title": "TV Guide Online: Babe: Pig In The City", "d:Description": "Includes movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Babe_Series/Babe_-_Pig_in_the_City", "url": "http://www.tvguide.com/movies/babe-pig-city/133653/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Baby-Sitters_Club,_The", "url": "http://www.imdb.com/title/tt0112435/"} +{"d:Title": "All-Reviews.com - Babyfever", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Babyfever", "url": "http://www.all-reviews.com/videos-3/babyfever.htm"} +{"d:Title": "IMDb: Babyfever (1994)", "d:Description": "Includes cast and crew, user comments, film details and external reviews.", "topic": "Top/Arts/Movies/Titles/B/Babyfever", "url": "http://www.imdb.com/title/tt0109191/"} +{"d:Title": "Chicago Sun Times: Babyfever", "d:Description": "Roger Ebert looks at the plot, film style and director.", "topic": "Top/Arts/Movies/Titles/B/Babyfever", "url": "http://www.rogerebert.com/reviews/babyfever-1994"} +{"d:Title": "Uncarved: Babylon", "d:Description": "Articles, credits, production information, pictures, soundtrack information, and links.", "topic": "Top/Arts/Movies/Titles/B/Babylon_-_1980", "url": "http://www.uncarved.org/dub/babylon/"} +{"d:Title": "IMDb: Babylon (1980)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Babylon_-_1980", "url": "http://www.imdb.com/title/tt0080406/"} +{"d:Title": "Screenonline: Babylon (1980)", "d:Description": "Review by Ann Ogidi.", "topic": "Top/Arts/Movies/Titles/B/Babylon_-_1980", "url": "http://www.screenonline.org.uk/film/id/475379/"} +{"d:Title": "Rotten Tomatoes: Babylon A.D.", "d:Description": "Photos, videos, news, synopsis, cast members, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Babylon_A.D.", "url": "http://www.rottentomatoes.com/m/babylon_ad/"} +{"d:Title": "TV Guide: Babylon A.D.", "d:Description": "Review, by Maitland McDonagh: \"Rich with atmosphere but too similar to films ranging from \"Children of Men\" to \"Doomsday\" to carve out its own distinctive niche.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Babylon_A.D.", "url": "http://www.tvguide.com/movies/babylon-ad/review/292575/"} +{"d:Title": "IGN: Babylon A.D.", "d:Description": "Photos, videos, news, message board, and a review (rating: 1 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Babylon_A.D.", "url": "http://www.ign.com/movies/babylon-ad/theater-756859"} +{"d:Title": "MovieWeb: Babylon A.D. (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Babylon_A.D.", "url": "http://movieweb.com/movie/babylon-a-d/"} +{"d:Title": "ReelViews: Babylon A.D.", "d:Description": "Review, by James Berardinelli: \"The lackluster acting and horrendous dialogue don't help.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Babylon_A.D.", "url": "http://www.reelviews.net/reelviews/babylon-a-d"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Babymother", "url": "http://www.metacritic.com/movie/babymother"} +{"d:Title": "HARO Online: Baby Boy", "d:Description": "Review looks at the director, moral of the film and plot.", "topic": "Top/Arts/Movies/Titles/B/Baby_Boy", "url": "http://www.haro-online.com/movies/baby_boy.html"} +{"d:Title": "All-Reviews.com - Baby Boy", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Baby_Boy", "url": "http://www.all-reviews.com/videos-3/baby-boy.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Baby_Boy", "url": "http://www.rottentomatoes.com/m/baby_boy/"} +{"d:Title": "IMDb: Baby Boy (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Baby_Boy", "url": "http://www.imdb.com/title/tt0255819/"} +{"d:Title": "Chicago Sun-Times: Baby Boy", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Baby_Boy", "url": "http://www.rogerebert.com/reviews/baby-boy-2001"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Baby_Boy", "url": "http://www.metacritic.com/movie/baby-boy"} +{"d:Title": "All-Reviews.com - Baby Geniuses", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/B/Baby_Geniuses", "url": "http://www.all-reviews.com/videos/baby-geniuses.htm"} +{"d:Title": "IMDb - Baby Geniuses", "d:Description": "Includes cast and crew lists, a plot summary, awards, reviews, and other details.", "topic": "Top/Arts/Movies/Titles/B/Baby_Geniuses", "url": "http://www.imdb.com/title/tt0118665/"} +{"d:Title": "Roger Ebert - Baby Geniuses", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Baby_Geniuses", "url": "http://www.rogerebert.com/reviews/baby-geniuses-1999"} +{"d:Title": "TV Guide Online: Baby Geniuses", "d:Description": "Includes movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Baby_Geniuses", "url": "http://www.tvguide.com/movies/baby-geniuses/133214/"} +{"d:Title": "Rotten Tomatoes: Baby Mama", "d:Description": "Synopsis, cast members, photos, videos, showtimes, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Baby_Mama", "url": "http://www.rottentomatoes.com/m/baby_mama/"} +{"d:Title": "Cinema Blend: Baby Mama", "d:Description": "Preview, by Josh Tyler: \"Tina\u2019s strength has always been as a writer, not necessarily as a performer. She\u2019s funny because the words she\u2019s saying are more often than not hers, or at least something she had a hand in.\"", "topic": "Top/Arts/Movies/Titles/B/Baby_Mama", "url": "http://www.cinemablend.com/previews/Baby-Mama-2438.html"} +{"d:Title": "Fandango: Baby Mama", "d:Description": "Overview, trailer, photo gallery, cast, and crew.", "topic": "Top/Arts/Movies/Titles/B/Baby_Mama", "url": "http://www.fandango.com/babymama_110106/movieoverview"} +{"d:Title": "IGN Movies: Baby Mama", "d:Description": "Photos, videos, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Baby_Mama", "url": "http://www.ign.com/movies/baby-mama/theater-14225768"} +{"d:Title": "News Blaze: Baby Mama", "d:Description": "Review, by Prairie Miller: \"Baby Mama is a cut above all those SNL knockoff disasters that have transitioned poorly from the small screen to the plexes.\" [Rating: 3 stars]", "topic": "Top/Arts/Movies/Titles/B/Baby_Mama", "url": "http://newsblaze.com/entertainment/movie-reviews/baby-mama-movie-review_4495/"} +{"d:Title": "USA Today: Baby Mama", "d:Description": "Review, by Claudia Puig: \"Though the competition hasn't exactly been stiff, Fey and Poehler may well be the best female comedy duo since Lucy and Ethel.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Baby_Mama", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-04-24-baby-mama_N.htm"} +{"d:Title": "The Bachelor - Official", "d:Description": "Includes story, cast information, the trailer, and a store.", "topic": "Top/Arts/Movies/Titles/B/Bachelor,_The", "url": "http://www.bachelormovie.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bachelor,_The", "url": "http://crazy4cinema.com/Review/FilmsB/f_bachelor.html"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for The Bachelor since day one.", "topic": "Top/Arts/Movies/Titles/B/Bachelor,_The", "url": "http://www.boxofficemojo.com/movies/?id=bachelor.htm"} +{"d:Title": "IMDb - The Bachelor", "d:Description": "Includes an overview, awards, reviews, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Bachelor,_The", "url": "http://www.imdb.com/title/tt0120596/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bachelor,_The", "url": "http://www.metacritic.com/movie/the-bachelor"} +{"d:Title": "dOc DVD Review: Bachelor Party (1984)", "d:Description": "Dan Lopez's review of the DVD version: \"Fans of the movie (and you know who you are) should really pick this disc up.\"", "topic": "Top/Arts/Movies/Titles/B/Bachelor_Party", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1294"} +{"d:Title": "All-Reviews.com - Backbeat", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Backbeat", "url": "http://www.all-reviews.com/videos-3/backbeat.htm"} +{"d:Title": "IMDb: Backbeat (1993)", "d:Description": "Includes cast and crew, plot summary, user comments, external reviews, awards, promotional material and film information.", "topic": "Top/Arts/Movies/Titles/B/Backbeat", "url": "http://www.imdb.com/title/tt0106339/"} +{"d:Title": "Fast-Rewind: Back To School", "d:Description": "Includes plot outline, cast and crew, trivia and links.", "topic": "Top/Arts/Movies/Titles/B/Back_to_School", "url": "http://www.fast-rewind.com/backtoschool.htm"} +{"d:Title": "IMDb: Back to School", "d:Description": "Information about cast and crew, location, synopsis and links.", "topic": "Top/Arts/Movies/Titles/B/Back_to_School", "url": "http://www.imdb.com/title/tt0090685/"} +{"d:Title": "Chicago Sun-Times: Back to School", "d:Description": "Roger Ebert reviews the Rodney Dangerfield comedy.", "topic": "Top/Arts/Movies/Titles/B/Back_to_School", "url": "http://www.rogerebert.com/reviews/back-to-school-1986"} +{"d:Title": "Eric's Back to the Future&DeLorean Page", "d:Description": "About the Las Vegas ride, cast information, details about the movies, and links.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://www.angelfire.com/tn/88mph/"} +{"d:Title": "Kevin's Back To The Future Page", "d:Description": "Pictures, interesting facts.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://www.angelfire.com/ky2/bttf/"} +{"d:Title": "The Back to the Future Tour", "d:Description": "Guide to Back to the Future filming locations on the internet.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://www.bigwaste.com/bttf"} +{"d:Title": "Back to the Future", "d:Description": "BTTF IV rumors, cut scenes, photos, homages, character profiles, interactive stories, fan fiction, links.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://www.kristensheley.com/bttf/"} +{"d:Title": "Back to the Future", "d:Description": "Message board for discussion of the movie series and general chat.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://s7.invisionfree.com/back_to_the_future/index.php"} +{"d:Title": "Seeing Stars in Hollywood: Back to the Future Filming Locations", "d:Description": "Pictures, addresses, and descriptions of locations where the movie was filmed.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://www.seeing-stars.com/Locations/BTTF-Map.shtml"} +{"d:Title": "The Sci-Fi Movie Page: Back to the Future series", "d:Description": "Review of the VCD collection.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://www.scifimoviepage.com/dvd/back.html"} +{"d:Title": "Back to the Future", "d:Description": "News, fan fiction, and message board.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "http://www.backtothefuture.com/"} +{"d:Title": "Future Movies: Back To The Future Trilogy", "d:Description": "Nik Huggins' review of the DVD box set.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series", "url": "https://www.futuremovies.co.uk/reviews/back-to-the-future-trilogy/nik-huggins"} +{"d:Title": "All-Reviews.com: Back to the Future", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series/Back_to_the_Future_Part_I", "url": "http://www.all-reviews.com/videos-4/back-to-future.htm"} +{"d:Title": "All-Reviews.com: Back to the Future Part II", "d:Description": "Review of the movie by Jerry Saravia.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series/Back_to_the_Future_Part_II", "url": "http://www.all-reviews.com/videos-4/back-to-future-part-2.htm"} +{"d:Title": "IMDb: Back to the Future Part II", "d:Description": "Cast and crew information, plot summary, user ratings, and trailer.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series/Back_to_the_Future_Part_II", "url": "http://www.imdb.com/title/tt0096874/"} +{"d:Title": "All-Reviews.com: Back to the Future Part III", "d:Description": "Review of the movie by Jerry Saravia.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series/Back_to_the_Future_Part_III", "url": "http://www.all-reviews.com/videos-4/back-to-future-part-3.htm"} +{"d:Title": "IMDb: Back to the Future Part III (1990)", "d:Description": "Cast and crew details, trailer, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Back_to_the_Future_Series/Back_to_the_Future_Part_III", "url": "http://www.imdb.com/title/tt0099088/"} +{"d:Title": "All-Reviews.com: Badlands", "d:Description": "Review and rating of the film by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Badlands", "url": "http://www.all-reviews.com/videos-4/badlands.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Badlands", "url": "http://www.imdb.com/title/tt0069762/"} +{"d:Title": "TV Guide Online: Badlands", "d:Description": "Includes review, plot, cast, and pictures.", "topic": "Top/Arts/Movies/Titles/B/Badlands", "url": "http://www.tvguide.com/movies/badlands/102613/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bad_as_I_Wanna_Be", "url": "http://www.imdb.com/title/tt0140829/"} +{"d:Title": "IMDb - Bad Boys", "d:Description": "Includes a cast and crew list, a plot summary, reviews, and other details.", "topic": "Top/Arts/Movies/Titles/B/Bad_Boys_-_1983", "url": "http://www.imdb.com/title/tt0085210/"} +{"d:Title": "IMDb: Bad Boys (1995)", "d:Description": "Cast, information and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bad_Boys_Series/Bad_Boys", "url": "http://www.imdb.com/title/tt0112442/combined"} +{"d:Title": "TV Guide Online: Bad Boys", "d:Description": "Includes review, plot, cast, and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bad_Boys_Series/Bad_Boys", "url": "http://www.tvguide.com/movies/bad-boys/130502/"} +{"d:Title": "Internet Movie Database: Bad Boys II", "d:Description": "Synopsis, cast and crew, user ratings and comments, reviews, quotes, trivia, related films, production information, merchandising details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Bad_Boys_Series/Bad_Boys_2", "url": "http://imdb.com/title/tt0172156/"} +{"d:Title": "KillerMovies: Bad Boys 2", "d:Description": "Plot synopsis, image gallery, links to trailers, and related news articles.", "topic": "Top/Arts/Movies/Titles/B/Bad_Boys_Series/Bad_Boys_2", "url": "http://www.killermovies.com/b/badboys2/"} +{"d:Title": "All-Reviews.com - Bad Boys 2", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bad_Boys_Series/Bad_Boys_2", "url": "http://www.all-reviews.com/videos-5/bad-boys-two.htm"} +{"d:Title": "Imdb - Bad Boy Bubby", "d:Description": "Complete cast and crew listing, user comments on the movie, plot summary and links to external reviews.", "topic": "Top/Arts/Movies/Titles/B/Bad_Boy_Bubby", "url": "http://www.imdb.com/title/tt0106341/"} +{"d:Title": "HARO Online", "d:Description": "Review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/B/Bad_Company_-_2002", "url": "http://www.haro-online.com/movies/bad_company.html"} +{"d:Title": "All-Reviews.com- Bad Company", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/B/Bad_Company_-_2002", "url": "http://all-reviews.com/videos-4/bad-company.htm"} +{"d:Title": "Rotten Tomatoes: Bad Company", "d:Description": "Quotes from and links to reviews from various sources, synopsis, cast and crew, photographs, trailer, box office statistics, and forum.", "topic": "Top/Arts/Movies/Titles/B/Bad_Company_-_2002", "url": "http://www.rottentomatoes.com/m/1114548-bad_company/?critic=columns"} +{"d:Title": "Internet Movie Database: Bad Company", "d:Description": "Cast and crew listing, plot outline, reviews, quotes, technical specifications, pictures, multimedia, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/B/Bad_Company_-_2002", "url": "http://www.imdb.com/title/tt0280486/"} +{"d:Title": "Metacritic.com: Bad Company", "d:Description": "Provides quotes from and links to reviews, user comments, and an overall score.", "topic": "Top/Arts/Movies/Titles/B/Bad_Company_-_2002", "url": "http://www.metacritic.com/movie/bad-company"} +{"d:Title": "AllReaders.com Review - Bad Day at Black Rock", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Spencer Tracy film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bad_Day_at_Black_Rock", "url": "http://allreaders.com/movie-review-summary/bad-day-at-black-rock-4348"} +{"d:Title": "IMDb: Bad Education (La Mala Educaci\u00f3n) (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Bad_Education", "url": "http://www.imdb.com/title/tt0275491/"} +{"d:Title": "Rotten Tomatoes: Bad Education", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Bad_Education", "url": "http://www.rottentomatoes.com/m/bad_education/"} +{"d:Title": "Bad Education", "d:Description": "Haro-online reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Bad_Education", "url": "http://www.haro-online.com/movies/bad_education.html"} +{"d:Title": "Future Movies: Bad Education", "d:Description": "Review by Lizzie Bruce, with pictures.", "topic": "Top/Arts/Movies/Titles/B/Bad_Education", "url": "https://www.futuremovies.co.uk/reviews/bad-education/lizzie-bruce"} +{"d:Title": "All-Reviews.com: Bad Lieutenant", "d:Description": "Review and rating of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Bad_Lieutenant", "url": "http://www.all-reviews.com/videos-4/bad-lieutenant.htm"} +{"d:Title": "Allreaders Review - Bad Lieutenant", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bad_Lieutenant", "url": "http://allreaders.com/movie-review-summary/bad-lieutenant-6933"} +{"d:Title": "TV Guide Online: Bad Lieutenant", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Bad_Lieutenant", "url": "http://www.tvguide.com/movies/bad-lieutenant/128739/"} +{"d:Title": "IMDB: Bad Moon (1996)", "d:Description": "Contains reviews, cast information, and movie information.", "topic": "Top/Arts/Movies/Titles/B/Bad_Moon", "url": "http://www.imdb.com/title/tt0115610/"} +{"d:Title": "Allreaders.com: The Bad News Bears", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Bad_News_Bears,_The", "url": "http://allreaders.com/movie-review-summary/the-bad-news-bears-5439"} +{"d:Title": "IMDb - Bad One, The (1930)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bad_One,_The", "url": "http://www.imdb.com/title/tt0020663/"} +{"d:Title": "Cinebooks Database - The Bad One", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/B/Bad_One,_The", "url": "http://www.tvguide.com/movies/bad/102603/"} +{"d:Title": "Rotten Tomatoes: Bad Santa", "d:Description": "Includes synopsis, news, trailers, movie stills, cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Bad_Santa", "url": "http://www.rottentomatoes.com/m/bad_santa/"} +{"d:Title": "Yahoo! Movies: Bad Santa", "d:Description": "Contains synopsis, trailer, production images, cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bad_Santa", "url": "http://movies.yahoo.com/movie/bad-santa/"} +{"d:Title": "Internet Movie Database: Bad Santa", "d:Description": "Contains plot summary, trailer, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Bad_Santa", "url": "http://www.imdb.com/title/tt0307987/"} +{"d:Title": "All-Reviews.com: Bad Santa", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bad_Santa/Reviews", "url": "http://www.all-reviews.com/videos-5/bad-santa.htm"} +{"d:Title": "HARO Online: Bad Santa", "d:Description": "Features review and movie stills.", "topic": "Top/Arts/Movies/Titles/B/Bad_Santa/Reviews", "url": "http://www.haro-online.com/movies/bad_santa.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes cast and crew list, awards, reviews, plot summary, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Bad_Seed,_The", "url": "http://www.imdb.com/title/tt0048977/"} +{"d:Title": "TV Guide Online: Bad Seed, The", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Bad_Seed,_The", "url": "http://www.tvguide.com/movies/bad-seed/102604/"} +{"d:Title": "Badmovies.org: Bad Taste", "d:Description": "Review, interview, and multimedia from the film by Peter Jackson.", "topic": "Top/Arts/Movies/Titles/B/Bad_Taste", "url": "http://www.badmovies.org/movies/badtaste/"} +{"d:Title": "Baise Moi - The Movie", "d:Description": "Trailer, synopsis, history, and background information.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.baise-moi.co.uk/"} +{"d:Title": "Kamera.co.uk - Baise Moi", "d:Description": "Paul Clarke reviews the movie directed by Virginie Despentes and Coralie Trinh Thi.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.kamera.co.uk/reviews_extra/baise_moi.php"} +{"d:Title": "The Age - A Few Complaints Sank Baise-Moi", "d:Description": "Sophie Douez writes about banning of Baise-Moi in Australia.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.theage.com.au/articles/2002/05/28/1022569769914.html"} +{"d:Title": "Sydney Morning Herald - Few Viewers Complained About Baise-Moi", "d:Description": "Article states that Chief censor Des Clark admits in a Senate estimates committee hearing that only few people who saw the French movie complained.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.smh.com.au/articles/2002/05/28/1022243329424.html"} +{"d:Title": "The Age - Lumiere May Challenge Baise-Moi Ban", "d:Description": "Article by Andrew Webster writing about a possible challenge to the ban on Baise-Moi, planned by the manager of Melbourne's Lumiere cinema.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.theage.com.au/articles/2002/05/12/1021002414702.html"} +{"d:Title": "Sydney Morning Herald - Cinema Interruptus", "d:Description": "Article states that police stopped screenings of the sexually explicit French film Bais Moi at two Sydney cinemas.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.smh.com.au/articles/2002/05/13/1021002417475.html"} +{"d:Title": "Guardian Unlimited - Australian Censors Revoke Baise-Moi Release Decision", "d:Description": "Article discussing the film censorhip by the Australian Office of Film and Literature Classification.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.theguardian.com/film/2002/may/10/filmcensorship.australia"} +{"d:Title": "The Observer - The Story Behind Baise-Moi", "d:Description": "Alix Sharkey writes about the history of the story of sisters on a sex-and-murder spree.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.theguardian.com/film/2002/apr/14/filmcensorship.features"} +{"d:Title": "IMDb: Baise-Moi (2000)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.imdb.com/title/tt0249380/"} +{"d:Title": "Metacritic.com: Baise-Moi", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Baise-Moi", "url": "http://www.metacritic.com/movie/rape-me"} +{"d:Title": "HARO Online", "d:Description": "Includes review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bait_-_2000", "url": "http://www.haro-online.com/movies/bait.html"} +{"d:Title": "PopMatters - Bait", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bait_-_2000", "url": "http://popmatters.com/film/reviews/b/bait.html"} +{"d:Title": "Rotten Tomatoes - Bait", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Bait_-_2000", "url": "http://www.rottentomatoes.com/m/1100039-bait/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bait_-_2000", "url": "http://www.imdb.com/title/tt0211938/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bait_-_2000", "url": "http://www.metacritic.com/movie/bait"} +{"d:Title": "HARO Online - Ballistic: Ecks vs. Sever", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Ballistic_-_Ecks_vs._Sever", "url": "http://www.haro-online.com/movies/ballistic.html"} +{"d:Title": "JoBlo's Movie Emporium: Ballistic: Ecks vs Sever", "d:Description": "Provides details about the film's coverage at the San Diego Comic Convention in 2002, as well as trivia about the actors.", "topic": "Top/Arts/Movies/Titles/B/Ballistic_-_Ecks_vs._Sever", "url": "http://www.joblo.com/sandiegocon2002/con7.htm"} +{"d:Title": "All-Reviews.com - Ballistic: Ecks vs. Sever", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Ballistic_-_Ecks_vs._Sever", "url": "http://all-reviews.com/videos-4/ballistic-ecks-vs-sever.htm"} +{"d:Title": "IMDb - Ballistic: Ecks vs. Sever", "d:Description": "Cast and crew, synopsis, reviews, film recommendations, quotes, trivia, soundtrack listing, related films, production information, promotional details, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/B/Ballistic_-_Ecks_vs._Sever", "url": "http://www.imdb.com/title/tt0308208/"} +{"d:Title": "Ballistic: Ecks vs. Sever", "d:Description": "Official site with synopsis, image gallery, poster, trailer, and mailing list. Flash required to access character profiles, storyboards, costume designs, video clips, downloads, and games.", "topic": "Top/Arts/Movies/Titles/B/Ballistic_-_Ecks_vs._Sever", "url": "http://www.warnerbros.com/ballistic-ecks-vs-sever/"} +{"d:Title": "IMDb: Balls of Fury (2007)", "d:Description": "Photo gallery, plot outlines, cast list, quotes, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Balls_of_Fury", "url": "http://www.imdb.com/title/tt0424823/"} +{"d:Title": "Rotten Tomatoes: Balls of Fury", "d:Description": "Reviews, synopsis, critical consensus, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Balls_of_Fury", "url": "http://www.rottentomatoes.com/m/balls_of_fury/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, rating, and reception.", "topic": "Top/Arts/Movies/Titles/B/Balls_of_Fury", "url": "http://en.wikipedia.org/wiki/Balls_of_Fury"} +{"d:Title": "Chicago Sun-Times: Balls of Fury", "d:Description": "Review, by Roger Ebert: \"The first movie combining Ping-Pong and kung-fu and co-starring Maggie Q. How many could there be?\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Balls_of_Fury", "url": "http://www.rogerebert.com/reviews/balls-of-fury-2007"} +{"d:Title": "IGN Movies: Balls of Fury", "d:Description": "News, message board, photos, videos, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Balls_of_Fury", "url": "http://www.ign.com/movies/balls-of-fury/theater-842116"} +{"d:Title": "MovieWeb: Balls of Fury (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/B/Balls_of_Fury", "url": "http://movieweb.com/movie/balls-of-fury/"} +{"d:Title": "Boston Globe: Balls of Fury", "d:Description": "Review, by Mark Feeney: \"The movie flaunts its ridiculousness and offers a relentless string of jokes about blindness, groin-bashing, and bodily odors.\"", "topic": "Top/Arts/Movies/Titles/B/Balls_of_Fury", "url": "http://archive.boston.com/ae/movies/articles/2007/08/29/jokes_with_an_over_the_top_spin/?camp=pm"} +{"d:Title": "IMDb: Balseros (2002)", "d:Description": "Cast, crew, reviews, summary, comments, discussion, awards and nominations, box office, release dates, locations and photographs.", "topic": "Top/Arts/Movies/Titles/B/Balseros", "url": "http://www.imdb.com/title/tt0321376/"} +{"d:Title": "Boston Phoenix: Balseros", "d:Description": "Review by Peter Keough.", "topic": "Top/Arts/Movies/Titles/B/Balseros", "url": "http://www.bostonphoenix.com/boston/movies/trailers/documents/03681294.asp"} +{"d:Title": "Slant Magazine: Balseros", "d:Description": "Review by Ed Gonzales.", "topic": "Top/Arts/Movies/Titles/B/Balseros", "url": "http://www.slantmagazine.com/film/review/balseros"} +{"d:Title": "TV Guide: Crossings", "d:Description": "Review by Ken Fox.", "topic": "Top/Arts/Movies/Titles/B/Balseros", "url": "http://www.tvguide.com/movies/balseros/136893/"} +{"d:Title": "Ozus' World Movie Reviews: Balseros", "d:Description": "Review by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/B/Balseros", "url": "http://homepages.sover.net/~ozus/balseros.htm"} +{"d:Title": "San Francisco Chronicle: Balseros", "d:Description": "Review by Jonathan Curiel.", "topic": "Top/Arts/Movies/Titles/B/Balseros", "url": "http://www.sfgate.com/movies/article/FILM-CLIPS-Also-opening-today-2814174.php"} +{"d:Title": "All-Reviews.com- Bamboozled", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/B/Bamboozled", "url": "http://www.all-reviews.com/videos-2/bamboozled.htm"} +{"d:Title": "HARO Online", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bamboozled", "url": "http://www.haro-online.com/movies/bamboozled.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film and an interview with director Spike Lee.", "topic": "Top/Arts/Movies/Titles/B/Bamboozled", "url": "http://popmatters.com/film/reviews/b/bamboozled.html"} +{"d:Title": "Rotten Tomatoes: Bamboozled", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Bamboozled", "url": "http://www.rottentomatoes.com/m/bamboozled/"} +{"d:Title": "IMDb: Bamboozled", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/B/Bamboozled", "url": "http://www.imdb.com/title/tt0215545/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bamboozled", "url": "http://www.metacritic.com/movie/bamboozled"} +{"d:Title": "IMDb: Bikur Ha-Tizmoret (2007)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Band's_Visit,_The", "url": "http://www.imdb.com/title/tt1032856/"} +{"d:Title": "Rotten Tomatoes: The Band's Visit", "d:Description": "Synopsis, cast list, showtimes, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Band's_Visit,_The", "url": "http://www.rottentomatoes.com/m/bands_visit/"} +{"d:Title": "BBC: The Band's Visit", "d:Description": "Review, by Paul Arendt: \"The best reason to seek the film out is Sasson Gabai's lovely performance as Tewfic, the rigidly traditional band leader whose formal facade gradually crumbles beneath the gaze of Ronit Alkabez's lonely Jewish restaurateur.\" [Rating: 3 out of 5]", "topic": "Top/Arts/Movies/Titles/B/Band's_Visit,_The", "url": "http://www.bbc.co.uk/films/2007/11/05/the_bands_visit_2007_review.shtml"} +{"d:Title": "IMDb - Bandidas (2006)", "d:Description": "Synopsis, cast and crew information, user comments.", "topic": "Top/Arts/Movies/Titles/B/Bandidas", "url": "http://www.imdb.com/title/tt0416496/"} +{"d:Title": "Bandidas - Movie Review", "d:Description": "Review of the movie from contactmusic.com.", "topic": "Top/Arts/Movies/Titles/B/Bandidas", "url": "http://www.contactmusic.com/film/review/bandidas"} +{"d:Title": "HARO Online: Bandits", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bandits", "url": "http://www.haro-online.com/movies/bandits.html"} +{"d:Title": "All-Reviews.com: Bandits", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bandits", "url": "http://www.all-reviews.com/videos-3/bandits.htm"} +{"d:Title": "Ninth Symphony Films: Bandits", "d:Description": "Review of the film, synopsis, images, and related links.", "topic": "Top/Arts/Movies/Titles/B/Bandits", "url": "http://regencylady.tripod.com/site/filmreviews/bandits.html"} +{"d:Title": "Bandits", "d:Description": "Official site. Synopsis, cast and crew biographies, soundtrack information, and multimedia.", "topic": "Top/Arts/Movies/Titles/B/Bandits", "url": "http://www.mgm.com/title_title.php?title_star=OUTLAWS"} +{"d:Title": "Metacritic.com: Bandits", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bandits", "url": "http://www.metacritic.com/movie/bandits"} +{"d:Title": "Haro Online: The Banger Sisters", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Banger_Sisters,_The", "url": "http://www.haro-online.com/movies/banger_sisters.html"} +{"d:Title": "All-Reviews.com: The Banger Sisters", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Banger_Sisters,_The", "url": "http://all-reviews.com/videos-4/banger-sisters.htm"} +{"d:Title": "Popentertainment.com: The Banger Sisters", "d:Description": "Jay S. Jacobs' mostly positive assessment of the Goldie Hawn/Susan Sarandon comedy.", "topic": "Top/Arts/Movies/Titles/B/Banger_Sisters,_The", "url": "http://www.popentertainment.com/banger.htm"} +{"d:Title": "View London: The Banger Sisters", "d:Description": "A review by Matthew Turner of Bob Dolman's film, starring Goldie Hawn, Susan Sarandon and Geoffrey Rush.", "topic": "Top/Arts/Movies/Titles/B/Banger_Sisters,_The", "url": "http://www.viewlondon.co.uk/films/the-banger-sisters-film-review-3712.html"} +{"d:Title": "IMDb: The Banger Sisters", "d:Description": "Synopsis, cast and crew, reviews, soundtrack listing, trivia, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/B/Banger_Sisters,_The", "url": "http://www.imdb.com/title/tt0280460/"} +{"d:Title": "Chicago Sun-Times: The Banger Sisters", "d:Description": "Roger Ebert's take on the comedy starring Goldie Hawn and Susan Sarandon as aging groupies.", "topic": "Top/Arts/Movies/Titles/B/Banger_Sisters,_The", "url": "http://www.rogerebert.com/reviews/the-banger-sisters-2002"} +{"d:Title": "HARO Online: Bangkok Dangerous", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bangkok_Dangerous", "url": "http://www.haro-online.com/movies/bangkok_dangerous.html"} +{"d:Title": "IMDb: Bangkok Dangerous (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bangkok_Dangerous", "url": "http://www.imdb.com/title/tt0263101/"} +{"d:Title": "Metacritic.com: Bangkok Dangerous", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bangkok_Dangerous", "url": "http://www.metacritic.com/movie/bangkok-dangerous-2001"} +{"d:Title": "The New Yorker: Bangkok Dangerous", "d:Description": "Review, by Anthony Lane: \"Parts of Bangkok Dangerous, far from seeming unfamiliar or freshly stylized, offer nothing that you couldn't catch in an episode of 'CSI.'\"", "topic": "Top/Arts/Movies/Titles/B/Bangkok_Dangerous_-_2008", "url": "http://www.newyorker.com/arts/critics/cinema/2008/09/08/080908crci_cinema_lane"} +{"d:Title": "Rotten Tomatoes: Bangkok Dangerous", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Bangkok_Dangerous_-_2008", "url": "http://www.rottentomatoes.com/m/bangkok_dangerous/"} +{"d:Title": "IGN: Bangkok Dangerous", "d:Description": "Photos, videos, news, previews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Bangkok_Dangerous_-_2008", "url": "http://www.ign.com/movies/bangkok-dangerous/theater-14233929"} +{"d:Title": "MovieWeb: Bangkok Dangerous (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Bangkok_Dangerous_-_2008", "url": "http://movieweb.com/movie/bangkok-dangerous/"} +{"d:Title": "All-Reviews.com - The Bank", "d:Description": "Reader-contributed review, rating.", "topic": "Top/Arts/Movies/Titles/B/Bank,_The", "url": "http://www.all-reviews.com/videos-5/bank.htm"} +{"d:Title": "Rotten Tomatoes: The Bank", "d:Description": "Links to movie reviews and cast information.", "topic": "Top/Arts/Movies/Titles/B/Bank,_The", "url": "http://www.rottentomatoes.com/m/bank/"} +{"d:Title": "IMDb: The Bank (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bank,_The", "url": "http://www.imdb.com/title/tt0241223/"} +{"d:Title": "Metacritic.com: The Bank", "d:Description": "Quotes from and links to reviews of the movie, with an overall score.", "topic": "Top/Arts/Movies/Titles/B/Bank,_The", "url": "http://www.metacritic.com/movie/the-bank"} +{"d:Title": "Rotten Tomatoes: The Bank Job", "d:Description": "Synopsis, cast list, photos, news, showtimes, and review links.", "topic": "Top/Arts/Movies/Titles/B/Bank_Job,_The", "url": "http://www.rottentomatoes.com/m/1189844-the_bank_job/"} +{"d:Title": "IGN Movies: The Bank Job", "d:Description": "Photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Bank_Job,_The", "url": "http://www.ign.com/movies/the-bank-job/theater-14214148"} +{"d:Title": "MovieWeb: The Bank Job (2008)", "d:Description": "Reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Bank_Job,_The", "url": "http://movieweb.com/movie/the-bank-job/"} +{"d:Title": "Sina: Official Chinese site", "d:Description": "With music and flash animations.", "topic": "Top/Arts/Movies/Titles/B/Banquet,_The", "url": "http://ent.sina.com.cn/yeyan.html"} +{"d:Title": "IMDb: Ye yan", "d:Description": "Cast and crew, plot summary, filming locations, and forum.", "topic": "Top/Arts/Movies/Titles/B/Banquet,_The", "url": "http://www.imdb.com/title/tt0465676/"} +{"d:Title": "ComingSoon - The Banquet", "d:Description": "Cast, crew, facts and link to trailers.", "topic": "Top/Arts/Movies/Titles/B/Banquet,_The", "url": "http://www.comingsoon.net/movie/the-banquet"} +{"d:Title": "Internet Movie Database: Baraka", "d:Description": "Film information, production details, reviews, user comments and ratings, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://imdb.com/title/tt0103767/"} +{"d:Title": "Korova Multimedia: Baraka", "d:Description": "Review of the film by D.B. Spalding. \"...a profound celebration of each culture's, each individual's, personal experience of our universe.\"", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://www.korova.com/kmr95/kmr5034.htm"} +{"d:Title": "Baraka", "d:Description": "Review by Hal Hinson of the Washington Post. \"The film allows us to see the actual interconnectedness of all things in the world...\"", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/barakanrhinson_a0a88a.htm"} +{"d:Title": "Deseret News: Baraka", "d:Description": "Review of the film by Chris Hicks. \"...stands as a monumental achievement, whatever its flaws.\"", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://www.deseretnews.com/article/700000132/Baraka.html"} +{"d:Title": "DVD Times: Baraka", "d:Description": "Noel Megahey reviews the DVD version of the film. \"... a wonderful film and an astonishing viewing experience...\"", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://www.dvdtimes.co.uk/content/id/3553/baraka.html"} +{"d:Title": "MediaCircus: Baraka", "d:Description": "Anthony Leong reviews the documentary film. \"...a stunning visual essay on the relationship between Man and the Earth...\"", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://www.mediacircus.net/baraka.html"} +{"d:Title": "Baraka", "d:Description": "A review by Roger Ebert. \"...he movie has the power of a dream, from which we awaken, instead of a warning, to which we respond.\" [Chicago Sun-Times]", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://www.rogerebert.com/reviews/baraka-1993"} +{"d:Title": "TV Guide: Baraka", "d:Description": "Film information, credits, and review.", "topic": "Top/Arts/Movies/Titles/B/Baraka_-_1992", "url": "http://www.tvguide.com/movies/baraka/129380/"} +{"d:Title": "Bad Movie Reviews: Barbarella", "d:Description": "Movie review, sound clips, video clip, and pictures.", "topic": "Top/Arts/Movies/Titles/B/Barbarella", "url": "http://www.badmovies.org/movies/barbarella/"} +{"d:Title": "IMDb: Barbarella (1968)", "d:Description": "Plot outline, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Barbarella", "url": "http://www.imdb.com/title/tt0062711/"} +{"d:Title": "TV Guide Online: Barbarella", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Barbarella", "url": "http://www.tvguide.com/movies/barbarella/103460/"} +{"d:Title": "Screen It: Barbershop", "d:Description": "Detailed analysis of the film according to parental concerns.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop", "url": "http://www.screenit.com/movies/2002/barbershop.html"} +{"d:Title": "Rotten Tomatoes: Barbershop", "d:Description": "Synopsis, cast and crew, quotes from and links to reviews, box office data, and discussion forum.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop", "url": "http://www.rottentomatoes.com/m/barbershop/"} +{"d:Title": "Barbershop", "d:Description": "Official site with synopsis, cast and crew, production notes, image gallery, downloads, gallery of hairstyles, and a message board [requires Flash].", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop", "url": "http://www.mgm.com/title_title.php?title_star=BARBERSP"} +{"d:Title": "IMDb: Barbershop", "d:Description": "Synopsis, cast and crew, production and technical details, promotional information, trivia, bloopers, quotes, soundtrack listing, related films, reviews, and links.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop", "url": "http://www.imdb.com/title/tt0303714/"} +{"d:Title": "All-Reviews.com: Barbershop", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop/Reviews", "url": "http://www.all-reviews.com/videos-4/barbershop.htm"} +{"d:Title": "HARO Online: Barbershop", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop/Reviews", "url": "http://www.haro-online.com/movies/barbershop.html"} +{"d:Title": "3BlackChicks: Barbershop", "d:Description": "Reviews by Rose Cooper, Cassandra Henry, and Kamal Larsuel-Ulbricht.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop/Reviews", "url": "http://www.3blackchicks.com/2002reviews/bamsbarbershop.html"} +{"d:Title": "About.com: Barbershop", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop/Reviews", "url": "http://homevideo.about.com/library/weekly/aafpr010803.htm"} +{"d:Title": "Chicago Sun-Times: Barbershop", "d:Description": "Roger Ebert's review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop/Reviews", "url": "http://www.rogerebert.com/reviews/barbershop-2002"} +{"d:Title": "A.V. Club: Barbershop", "d:Description": "Review by Nathan Rabin. \"...sunny spirit, stellar supporting cast, and surprising sociological savvy.\"", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop/Reviews", "url": "http://www.avclub.com/review/barbershop-12436"} +{"d:Title": "Ice Cube's Barbershop Has Clear-Cut Hilarity", "d:Description": "Mick LaSalle reviews the movie for the San Francisco Chronicle.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop/Reviews", "url": "http://www.sfgate.com/movies/article/Ice-Cube-s-Barbershop-has-clear-cut-hilarity-2797612.php"} +{"d:Title": "HARO Online: Barbershop 2 - Back in Business", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop_2_-_Back_in_Business", "url": "http://www.haro-online.com/movies/barbershop2.html"} +{"d:Title": "IMDb: Barbershop 2 - Back in Business (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop_2_-_Back_in_Business", "url": "http://www.imdb.com/title/tt0337579/"} +{"d:Title": "Rotten Tomatoes: Barbershop 2 -: Back in Business", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop_2_-_Back_in_Business", "url": "http://www.rottentomatoes.com/m/barbershop_2_back_in_business/"} +{"d:Title": "Chicago Sun-Times: Barbershop 2 - Back in Business", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Barbershop_Series/Barbershop_2_-_Back_in_Business", "url": "http://www.rogerebert.com/reviews/barbershop-2-back-in-business-2004"} +{"d:Title": "Rotten Tomatoes: Barb Wire", "d:Description": "Includes reviews, movie synopsis, trailer, quotes, and photos.", "topic": "Top/Arts/Movies/Titles/B/Barb_Wire", "url": "http://www.rottentomatoes.com/m/barb_wire/"} +{"d:Title": "MovieWeb: Barb Wire", "d:Description": "Includes plot line, production notes, cast, movie stills, and trailer.", "topic": "Top/Arts/Movies/Titles/B/Barb_Wire", "url": "http://www.movieweb.com/movie/barb-wire"} +{"d:Title": "IMDb: Barb Wire", "d:Description": "Includes cast, crew, reviews, awards, plot summary, taglines, trailers, posters, and photos.", "topic": "Top/Arts/Movies/Titles/B/Barb_Wire", "url": "http://www.imdb.com/title/tt0115624/"} +{"d:Title": "All-Reviews.com - Barcelona", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Barcelona", "url": "http://www.all-reviews.com/videos-2/barcelona.htm"} +{"d:Title": "Barenaked in America (2000)", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/B/Barenaked_in_America", "url": "http://www.rottentomatoes.com/m/barenaked_in_america/"} +{"d:Title": "Barenaked in America (1999)", "d:Description": "Information, poster image, credits, and news.", "topic": "Top/Arts/Movies/Titles/B/Barenaked_in_America", "url": "http://www.imdb.com/title/tt0211219/"} +{"d:Title": "TV Guide Online: Baron Blood", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Baron_Blood", "url": "http://www.tvguide.com/movies/baron-blood/103494/"} +{"d:Title": "Coldbacon.com", "d:Description": "Review of Barry Lyndon.", "topic": "Top/Arts/Movies/Titles/B/Barry_Lyndon", "url": "http://www.coldbacon.com/movies/barrylyndon.html"} +{"d:Title": "IMDb: Barry Lyndon", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Barry_Lyndon", "url": "http://www.imdb.com/title/tt0072684/"} +{"d:Title": "TV Guide Online: Barry Lyndon", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Barry_Lyndon", "url": "http://www.tvguide.com/movies/barry-lyndon/103507/"} +{"d:Title": "All-Reviews.com: Bartleby", "d:Description": "Review of the movie by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/B/Bartleby_-_2001", "url": "http://www.all-reviews.com/videos-4/bartleby.htm"} +{"d:Title": "HARO Online: Bartleby", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/B/Bartleby_-_2001", "url": "http://www.haro-online.com/movies/bartleby.html"} +{"d:Title": "Bartleby (2001)", "d:Description": "Cast and credits, viewer comments and rating, plot summary.", "topic": "Top/Arts/Movies/Titles/B/Bartleby_-_2001", "url": "http://www.imdb.com/title/tt0230025/"} +{"d:Title": "Metacritic.com: Bartleby", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/B/Bartleby_-_2001", "url": "http://www.metacritic.com/movie/bartleby"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Barton_Fink", "url": "http://www.imdb.com/title/tt0101410/"} +{"d:Title": "TV Guide Online: Barton Fink", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Barton_Fink", "url": "http://www.tvguide.com/movies/barton-fink/128623/"} +{"d:Title": "Barton Fink", "d:Description": "A transcribed version of the Coen brothers screenplay in text format.", "topic": "Top/Arts/Movies/Titles/B/Barton_Fink", "url": "http://broknstone.tripod.com/fink.txt"} +{"d:Title": "MRQE: BASEketball", "d:Description": "Directory of reviews.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://www.mrqe.com/lookup?%5EBASEketball+(1998)"} +{"d:Title": "Marty's Review of BASEketball", "d:Description": "Brief review.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://sppolls.8m.com/mbball.htm"} +{"d:Title": "New York Times Review", "d:Description": "A very thorough and accurate review of the movie. Requires free registration.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://www.nytimes.com/library/film/073198ball-film-review.html"} +{"d:Title": "Cranky Critic review", "d:Description": "A very thorough review of BASEketball by the Cranky Critic.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://www.crankycritic.com/archive98/baseketball.html"} +{"d:Title": "Screen It Review", "d:Description": "BASEketball in an in-depth review. Very detailed.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://www.screenit.com/movies/1998/baseketball.html"} +{"d:Title": "We Were Extras in BASEketball", "d:Description": "Behind the scenes information from two participants in the movie.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://www.dumptv.com/mattrey/extras.html"} +{"d:Title": "Dumpsterpiece Theatre: BASEketball Psyche-outs", "d:Description": "A list of silly phrases from the film.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://www.dumptv.com/mattrey/baseketball_psycheouts.html"} +{"d:Title": "Internet Movie Database: BASEketball", "d:Description": "Credits, multimedia, and review.", "topic": "Top/Arts/Movies/Titles/B/BASEketball", "url": "http://www.imdb.com/title/tt0131857/"} +{"d:Title": "Sony Pictures - Basic", "d:Description": "Official site with plot summary, image gallery, and movie trailers.", "topic": "Top/Arts/Movies/Titles/B/Basic", "url": "http://www.sonypictures.com/movies/basic/"} +{"d:Title": "IMDb - Basic (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Basic", "url": "http://www.imdb.com/title/tt0264395/"} +{"d:Title": "Contactmusic.com: Basic", "d:Description": "Cast biographies and production notes.", "topic": "Top/Arts/Movies/Titles/B/Basic", "url": "http://www.contactmusic.com/pages/basiccastx10x06x03"} +{"d:Title": "All-Reviews.com: Basic Instinct", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Basic_Instinct", "url": "http://www.all-reviews.com/videos-4/basic-instinct.htm"} +{"d:Title": "AllReaders.com Basic Instinct Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Basic_Instinct", "url": "http://allreaders.com/movie-review-summary/basic-instinct-4319"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Basket,_The", "url": "http://www.metacritic.com/movie/the-basket"} +{"d:Title": "All-Reviews.com: The Basketball Diaries", "d:Description": "Review of the film by Scott Kalvert.", "topic": "Top/Arts/Movies/Titles/B/Basketball_Diaries,_The", "url": "http://www.all-reviews.com/videos-4/basketball-diaries.htm"} +{"d:Title": "The Basketball Diaries", "d:Description": "Review by Roger Ebert, brief cast listing, and rating information.", "topic": "Top/Arts/Movies/Titles/B/Basketball_Diaries,_The", "url": "http://www.rogerebert.com/reviews/the-basketball-diaries-1995"} +{"d:Title": "Urban Cinefile: Basquiat", "d:Description": "Combines cast list, plot synopsis and several short reviews.", "topic": "Top/Arts/Movies/Titles/B/Basquiat", "url": "http://www.urbancinefile.com.au/home/view.asp?a=85&s=Reviews"} +{"d:Title": "IMDB - Basquiat", "d:Description": "Complete listing of cast, crew, user reviews, external reviews and miscellaneous sites.", "topic": "Top/Arts/Movies/Titles/B/Basquiat", "url": "http://www.imdb.com/title/tt0115632/"} +{"d:Title": "Darren Aronofsky Online- Batman: Year One", "d:Description": "A page with accurate news and information about the upcoming movie.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series", "url": "http://aronofksy.tripod.com/batmany1.html"} +{"d:Title": "Batman: The Dark Knight", "d:Description": "Information about the four movies. Cast and crew, production design, soundtracks, synopses, scripts, reviews, picture galleries, and games.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series", "url": "http://www.angelfire.com/film/batman/"} +{"d:Title": "Batman On Film", "d:Description": "News and updates about the Batman films. Also includes reviews, commentary, and message forum. [May load slowly due to JavaScript.]", "topic": "Top/Arts/Movies/Titles/B/Batman_Series", "url": "http://www.batman-on-film.com/"} +{"d:Title": "Batman Movie Online", "d:Description": "Fan page with behind the scenes photos, articles, Topps movie cards, lost scenes, fan art, and message board.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series", "url": "http://www.batman-online.com/"} +{"d:Title": "All-Reviews.com: Batman", "d:Description": "A review of Tim Burton's original Batman movie.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman", "url": "http://www.all-reviews.com/videos-2/batman.htm"} +{"d:Title": "Filmtracks: Batman", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman", "url": "http://www.filmtracks.com/titles/batman.html"} +{"d:Title": "IMDb: Batman", "d:Description": "The Internet Movie Database includes cast and crew credits, plot outline, user comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman", "url": "http://www.imdb.com/title/tt0096895/"} +{"d:Title": "British Film Locations: Batman", "d:Description": "Filming locations for the movie with addresses, photographs, and maps.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman", "url": "http://www.british-film-locations.com/Batman-1989"} +{"d:Title": "Roger Ebert on Movies: Batman", "d:Description": "A review of Tim Burton's Batman.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman", "url": "http://www.rogerebert.com/reviews/batman-1989"} +{"d:Title": "AllReaders.com Batman Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman", "url": "http://allreaders.com/movie-review-summary/batman-1966-3791"} +{"d:Title": "All-Reviews.com: Batman&Robin", "d:Description": "Reviews of the fourth Batman film.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_and_Robin", "url": "http://www.all-reviews.com/videos-2/batman-and-robin.htm"} +{"d:Title": "Filmtracks: Batman and Robin", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_and_Robin", "url": "http://www.filmtracks.com/titles/batman_robin.html"} +{"d:Title": "Roger Ebert on Movies: Batman&Robin", "d:Description": "Ebert takes a look at the most recent Batman film.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_and_Robin", "url": "http://www.rogerebert.com/reviews/batman-and-robin-1997"} +{"d:Title": "IMDb: Batman&Robin", "d:Description": "The Internet Movie Database includes cast overview, plot outline, user comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_and_Robin", "url": "http://www.imdb.com/title/tt0118688/"} +{"d:Title": "AllReaders.com Review - Batman And Robin", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring George Clooney, Arnold Schwarzenegger, and Chris O'Donnell.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_and_Robin", "url": "http://allreaders.com/movie-review-summary/batman-robin-6691"} +{"d:Title": "Internet Movie Data Base: Batman Begins", "d:Description": "Provides full cast and crew list, nominations and awards, external reviews, plot summary, trivia, filming locations, photo gallery, media clips and message board.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://www.imdb.com/title/tt0372784/"} +{"d:Title": "Mooviees! - Batman Begins", "d:Description": "Features photos, wallpapers, trailers, review links, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://www.mooviees.com/9010-batman-begins/movie"} +{"d:Title": "CanMag: Batman Begins", "d:Description": "Includes brief synopsis, cast list, links to trailers and television spots, hype meter rating, and related articles.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://www.canmag.com/movies.php?moviekey=batmanbegins"} +{"d:Title": "Batman On Film: Batman Begins Set Report", "d:Description": "Provides 5 part narrative description of the webmaster's visit to the set.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://www.batman-on-film.com/setreport.html"} +{"d:Title": "Batman Movie Trailer", "d:Description": "Media archive offers trailers and behind-the-scenes featurettes in three formats.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://www.batman-movies.com/batman-movie-trailer/"} +{"d:Title": "About - Batman Begins", "d:Description": "Provides review, image gallery, cast and crew, release details and related links.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://movies.about.com/od/batman/"} +{"d:Title": "BoxOfficeMojo: Batman Begins", "d:Description": "Offers box office details, articles, news and analysis.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://www.boxofficemojo.com/movies/?id=batmanbegins.htm"} +{"d:Title": "WarnerBrothers.com: Batman Begins", "d:Description": "Official movie site features , production notes, filmmaker information, photo gallery, video clips, interviews, merchandise and mobile game. Downloads include posters, desktop wallpapers, screensavers, buddy icons and windows media skin. Requires Flash for some areas.", "priority": "1", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins", "url": "http://www.warnerbros.com/batman-begins/index"} +{"d:Title": "Batman Begins", "d:Description": "Review includes a positive summary and photos.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.haro-online.com/movies/batman_begins.html"} +{"d:Title": "NPR : 'Batman Begins' Traces a Franchise's Beginnings", "d:Description": "Four minute audio review of \"Batman Begins\" by Bob Mondello.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.npr.org/templates/story/story.php?storyId=4705034"} +{"d:Title": "Blackfilm.com Reviews: Batman Begins", "d:Description": "Provides a comprehensive illustrated review of the film.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.blackfilm.com/20050617/reviews/batmanbegins.shtml"} +{"d:Title": "Lee's Movie Info - Batman Begins Review", "d:Description": "Batman Begins may please comic book fans, but for me, it still lacks that energy, excitement, style, and acting talent that made the Burton flicks so good. By Craig Younkin.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.leesmovieinfo.net/Article.php?a=734"} +{"d:Title": "Reeling: Batman Begins", "d:Description": "Batman Begins\u201d has intrigue, romance, shootouts, brawls, high tech gadgets, dark humor and loads of action.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.reelingreviews.com/batmanbegins.htm"} +{"d:Title": "Batman Begins", "d:Description": "Nolan achieves a rare balance between the necessary but minimal exposition scenes and the action sequences; the pace never slackens or loses its rhythm. Review by Jeremy C. Fox.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.pajiba.com/batman-begins.htm"} +{"d:Title": "Jackasscritics.com: Batman Begins", "d:Description": "It is stylistic, but not at the cost of the story, it is thrilling, but not at the cost of the characters, and it has resonance but not at the cost of a fun adventure.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.jackasscritics.com/movie.php?movie_key=676"} +{"d:Title": "Hybridmagazine.com: Batman Begins", "d:Description": "I could write another 1,365 celebratory words on this remarkable film. Review by Nathan Baran.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.hybridmagazine.com/films/0605/batman-begins.shtml"} +{"d:Title": "Ninth Symphony Films Review: Batman Begins", "d:Description": "Audiences are treated to strength in story, character, and production values. Includes small photo gallery. Review by Kelsey Wyatt.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/batmanbegins.html"} +{"d:Title": "The Dark Knight Deflates", "d:Description": "Christopher Nolan's \"Batman Begins\" is a lot like the car featured so prominently in the trailers: muscular, oversized, not particularly eloquent or imaginatively realized. Review by Noel Vera.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.bigomagazine.com/movies/NVbatman.html"} +{"d:Title": "Screen It Parental Review: Batman Begins", "d:Description": "Synopsis, cast and crew, and breakdown of the film's content by categories of interest to parents.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.screenit.com/movies/2005/batman_begins.html"} +{"d:Title": "Rolling Stone : Batman Begins : Review", "d:Description": "It's schizo entertainment. By Peter Travers. [June 15, 2005]", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.rollingstone.com/movies/reviews/batman-begins-20050615"} +{"d:Title": "Batman Begins", "d:Description": "Christian Bale has matured into an intense actor unafraid of embracing the physicality of his roles. Review by John Hayes.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://old.post-gazette.com/pg/05166/521489.stm"} +{"d:Title": "Mutant Reviewers from Hell: Batman Begins", "d:Description": "Batman Begins is a success.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://mutantreviewers.wordpress.com/2012/08/20/batman-begins-retro-review/"} +{"d:Title": "Salon: Batman Begins", "d:Description": "You know you're in trouble when a movie can't even get the Batmobile right. Review by Stephanie Zacharek.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.salon.com/2005/06/15/batman_begins/"} +{"d:Title": "RogerEbert.com Reviews - Batman Begins", "d:Description": "The acclaimed critic offers a comprehensive favorable review of the film, cast list and trailer.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.rogerebert.com/reviews/batman-begins-2005"} +{"d:Title": "Future Movies: Batman Begins", "d:Description": "Positive review of the film starring Christian Bale.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "https://www.futuremovies.co.uk/reviews/batman-begins/michelle-thomas"} +{"d:Title": "ComingSoon.net: Batman Begins", "d:Description": "The fantastic cast, good story, and reality-based comic characters make this movie a treat for both comic fans and general audiences. Reviewed by: Scott Chitwood.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews", "url": "http://www.comingsoon.net/movies/reviews/9914-batman-begins"} +{"d:Title": "BBC Movies: Review: Batman Begins DVD", "d:Description": "Provides insight on overall quality, technical information and extra features.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews/DVD", "url": "http://www.bbc.co.uk/films/2005/10/10/batman_begins_2005_dvd_review.shtml"} +{"d:Title": "DVD Talk: Review: Batman Begins - Deluxe Edition", "d:Description": "Comprehensive review which includes three hidden Easter eggs. Review by Francis Rizzo III.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Begins/Reviews/DVD", "url": "http://www.dvdtalk.com/reviews/17995/batman-begins-deluxe-edition/"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Forever", "url": "http://www.all-reviews.com/videos-2/batman-forever.htm"} +{"d:Title": "Filmtracks: Batman Forever", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Forever", "url": "http://www.filmtracks.com/titles/batman_forever.html"} +{"d:Title": "New Challenges for the Caped Crusader", "d:Description": "Critique with MPAA rating advice and a list of the movie's principal cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Forever", "url": "http://www.nytimes.com/1995/06/16/movies/film-review-batman-forever-new-challenges-for-the-caped-crusader.html"} +{"d:Title": "Roger Ebert on Movies: Batman Forever", "d:Description": "A review of the third Batman installment.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Forever", "url": "http://www.rogerebert.com/reviews/batman-forever-1995"} +{"d:Title": "IMDb: Batman Forever", "d:Description": "The Internet Movie Database includes cast overview, plot outline, user comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Forever", "url": "http://www.imdb.com/title/tt0112462/"} +{"d:Title": "AllReaders.com Review - Batman Forever", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Val Kilmer, Nicole Kidman, Jim Carrey, and Tommy Lee Jones.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Forever", "url": "http://allreaders.com/movie-review-summary/batman-forever-4744"} +{"d:Title": "The Daily Script: Batman Returns", "d:Description": "Shooting script for the film by Daniel Waters.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Returns", "url": "http://www.dailyscript.com/scripts/batman-returns_shooting.html"} +{"d:Title": "Filmtracks: Batman Returns", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Returns", "url": "http://www.filmtracks.com/titles/batman_returns.html"} +{"d:Title": "Roger Ebert on Movies: Batman Returns", "d:Description": "Ebert gives Burton's second Batman film a negative review.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Returns", "url": "http://www.rogerebert.com/reviews/batman-returns-1992"} +{"d:Title": "IMDb: Batman Returns", "d:Description": "The Internet Movie Database includes credits, plot outline, user comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Returns", "url": "http://www.imdb.com/title/tt0103776/"} +{"d:Title": "AllReaders.com Review - Batman Returns", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Michael Keaton, Danny DeVito, and Michelle Pfeiffer.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Batman_Returns", "url": "http://allreaders.com/movie-review-summary/batman-returns-3696"} +{"d:Title": "IMDb: The Dark Knight", "d:Description": "Offers news articles, cast list and discussion board.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The", "url": "http://www.imdb.com/title/tt0468569/"} +{"d:Title": "BoxOfficeMojo: The Dark Knight", "d:Description": "Offers box office data, related news articles, link to comprehensive review, image gallery, viewer grades, trailers in various formats including high-definition and movies of similar style.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The", "url": "http://www.boxofficemojo.com/movies/?id=darkknight.htm"} +{"d:Title": "WhySoSerious", "d:Description": "Part of the official Warner Bros. Online marketing strategy for \"The Dark Knight\".", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The", "url": "http://www.whysoserious.com/"} +{"d:Title": "JoBlo.com: The Dark Knight", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The", "url": "http://www.joblo.com/movies/database/2008/the-dark-knight/"} +{"d:Title": "MovieWeb: The Dark Knight", "d:Description": "Offers cast and crew information, plot summary, posters and related details.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The", "url": "http://movieweb.com/movie/the-dark-knight/"} +{"d:Title": "About.com: The Dark Knight - Movie Review for Parents", "d:Description": "Offers film summary, parental guide, content overview including violence, nudity, profanity, behavior and scary scenes.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The", "url": "http://movies.about.com/od/thedarkknight/a/darkknight70408.htm"} +{"d:Title": "The Dark Knight", "d:Description": "Official Warner Bros. offers synopsis, photo gallery, downloads, video and trailer.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The", "url": "http://www.warnerbros.com/dark-knight"} +{"d:Title": "Dark Knight Changes and Mainstays", "d:Description": "Rumors for The Dark Knight continue to trickle onto the internet.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.cinemablend.com/new/Dark-Knight-Changes-and-Mainstays-3202.html"} +{"d:Title": "Batman Dented?", "d:Description": "The latest name to be thrown into the mix to play the split persona villain is none other than Crash star Ryan Phillippe. By Darren Bermingham.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.cinemablend.com/new/Batman-Dented-3073.html"} +{"d:Title": "Heath Ledger Allegedly Offered Part As The Joker", "d:Description": "Heath Ledger is set to play The Joker in the Batman Begins sequel. The Australian actor was apparently officially offered the much sought after role.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.starpulse.com/news/index.php/2006/07/24/heath_ledger_allegedly_offered_park_as_t"} +{"d:Title": "Batman Sequel Taken Over By Joker?", "d:Description": "Wouldn\u2019t that be a really unique way to approach a Batman Begins sequel? Make Joker the star, and Batman an ancillary figure stalking and haunting him? By Josh Tyler.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.cinemablend.com/new/Batman-Sequel-Taken-Over-By-Joker-2949.html"} +{"d:Title": "William Fichtner Shows Loyalty to \"Dark Knight\"", "d:Description": "Blades of Glory star William Fichtner has signed on to play a Gotham City bank manager in The Dark Knight.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.aceshowbiz.com/news/view/00008500.html"} +{"d:Title": "Ain't It Cool News: Let's try this again... Is this The Joker?", "d:Description": "Until this is confirmed by Warner Bros, it will still be a rumor, a potential image, but this fits with the word I've been hearing out of this particular production. Includes Joker make-up test photo.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.aintitcool.com/node/32386"} +{"d:Title": "Tankboy: Pictures From The Batman Set", "d:Description": "Offers a small sampling of images from an undisclosed location.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://tankboyprime.blogspot.com/2007/06/pictures-from-batman-set.html"} +{"d:Title": "Autoblog: First Look At Joker's Clown Car In New Dark Knight Film", "d:Description": "Heath Ledger will play the Joker to Christian Bale's Batman but he unfortunately gets stuck with this very unimpressive (and very purple) truck that has no chance of outrunning the Tumbler. Includes photo. By John Neff.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.autoblog.com/2007/06/15/first-look-at-jokers-clown-car-in-new-dark-knight-film/"} +{"d:Title": "HollywoodChicago.com: Anthony Michael Hall in \u2018The Dark Knight\u2019 Revealed", "d:Description": "Article identifies the actors role in the upcoming film. Includes photos. By Adam Fendelman.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.hollywoodchicago.com/2007/08/scoop-anthony-michael-hall-in-dark.html"} +{"d:Title": "BBC News: Batman Special Effects Man Killed", "d:Description": "A special effects technician working on the new Batman film died when a truck crashed on a stunt test run.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/uk_news/england/surrey/7012317.stm"} +{"d:Title": "HollywoodChicago.com: Chicago Cell Phone Captures \u2018The Dark Knight\u2019 Batsuit: Film interviews from Chicago", "d:Description": "Sarah Piper Brown captures \u201cThe Dark Knight\u201d batsuit on a Chicago set. By Adam Fendelman.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.hollywoodchicago.com/2007/06/chicago-cell-phone-captures-christian.html"} +{"d:Title": "HollywoodChicago.com: On the Scene Video of \u2018The Dark Knight\u2019 Filming in Chicago", "d:Description": "Offers two pre-action sneak peek video clips filmed by Phil Tadros.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.hollywoodchicago.com/2007/06/on-scene-video-of-dark-knight-filming.html"} +{"d:Title": "Comic Book Resources: Latest \"The Dark Knight\" Trailer Online", "d:Description": "\"The Dark Knight\" trailer fans in select cities around the world got an early look at last week is finally available for everyone to see.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.comicbookresources.com/?page=article&id=16283"} +{"d:Title": "The Dark Knight: Hans Zimmer and James Newton Howard Interview", "d:Description": "Composers Hans Zimmer and James Newton Howard interview on their second Batman movie, The Dark Knight. Zimmer and Howard discuss writing the score to The Dark Knight. By Rebecca Murray.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://movies.about.com/od/thedarkknight/a/darkknight6308.htm"} +{"d:Title": "Comic Book ResourcesVisit The Dark Knight: CBR Set Report", "d:Description": "Observations from the Chicago set of \u201cThe Dark Knight,\u201d as well as a series of interviews with the film\u2019s cast and crew. Includes photos. By Andy Khouri.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.comicbookresources.com/?page=article&id=16879"} +{"d:Title": "Is The Dark Knight Headed for the Box Office Record Books?", "d:Description": "The Dark Knight has sold eight times the amount of tickets online as Spider-Man 3 did at the same point during its sales cycle \u2014 21 days prior to release. By Neil Miller.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.filmschoolrejects.com/news/is-the-dark-knight-headed-for-the-box-office-record-books.php"} +{"d:Title": "CinemaBlend: Twelve Dark Knight Clips", "d:Description": "Last week I asked whether covering dozens and dozens of clips from The Dark Knight and obsessing over every single, tiny, marginally related Dark Knight story might be overkill. By Josh Tyler.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.cinemablend.com/new/Twelve-Dark-Knight-Clips-9386.html"} +{"d:Title": "RopeofSilicon.com: Thomas and Roven, the Producers Talk 'Dark Knight'", "d:Description": "Names many people seem to forget are those of the producers and while at the press junket for The Dark Knight we spoke with Emma Thomas and Charles Roven, both producers of the film with plenty to say about the cast, the crew, the locations, the ups and the downs. By Brad Brevet.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.ropeofsilicon.com/article/thomas_and_roven_the_producers_talk_dark_knight"} +{"d:Title": "William Fichtner Has Joined 'The Dark Knight'", "d:Description": "Fichtner is best known as a mainstay in the Bruckheimer universe as the antsy astronaut who squares off against Bruce Willis in Armageddon. By Jessica Barnes 2007.04.20", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://blog.moviefone.com/2007/04/20/william-fichtner-has-joined-the-dark-knight"} +{"d:Title": "Superhero Hype: Video of the Dark Knight Filming", "d:Description": "Amateur footage of the Dark Knight filming in Chicago.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/93447-video-of-the-dark-knight-filming-today"} +{"d:Title": "EW: Inside 'The Dark Knight'", "d:Description": "Director Christopher Nolan and star Christian Bale return to Gotham City for a bold, brooding big-screen summer thrill ride, but all eyes will be on Heath Ledger as the Joker.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.ew.com/article/2008/07/06/exclusive-inside-dark-knight"} +{"d:Title": "Chris Nolan Clears Up Dark Knight Rumors", "d:Description": "As expected, he didn't give any major info out, but he did clear up a few rumors that have been floating around. By Steven Chupnick.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://movieweb.com/chris-nolan-clears-up-dark-knight-rumors/"} +{"d:Title": "MTV Movies Blog: Exclusive Videos, Photos: \u2018Dark Knight\u2019 Batpod and \u2018Speed Racer\u2019 Mach 5", "d:Description": "MTV acquires Batpod video and photo during NYC\u2019s Licensing Show. By Brian Jacks.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.mtv.com/news/2428774/exclusive-videosphotos-dark-knight-batpod-and-speed-racer-mach-5/"} +{"d:Title": "Dark Knight Spoilers: Harvey Dent\u2019s Press Conference Has a Major Reveal", "d:Description": "As most everyone on the planet knows, Rory\u2019s First Kiss is the undercover production title for the Batman Begins sequel, The Dark Knight. By Peter Sciretta.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.slashfilm.com/dark-knight-spoilers-harvey-dents-press-conference-has-a-major-reveal/"} +{"d:Title": "The Joker Revealed", "d:Description": "What first seemed like a poorly done internet release actually became viral, resulting in the unmasking of The Joker. By Peter Sciretta.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.slashfilm.com/the-joker-revealed/"} +{"d:Title": "SlashFilm: 10 Reasons Why I Loved The Dark Knight", "d:Description": "To me, The Dark Knight is an almost flawless cinematic experience. By Peter Sciretta.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.slashfilm.com/10-reasons-why-i-loved-the-dark-knight/"} +{"d:Title": "The Dark Knight Shoots For IMAX: Is This The Future of Cinema? | /Film", "d:Description": "Christopher Nolan plans to film four action sequences from The Dark Knight with IMAX cameras. While it\u2019s usual for 35mm shot films to be up-converted for an IMAX presentation, this is a first. By Peter Sciretta.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.slashfilm.com/the-dark-knight-shoots-for-imax-is-this-the-future-of-cinema/"} +{"d:Title": "/Film: The Dark Knight: Batman\u2019s New Suit", "d:Description": "It looks a lot more high tech and streamlined, which makes sense since this is essentially Batman: Year Two. Includes scanned image. By Peter Sciretta.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.slashfilm.com/the-dark-knight-batmans-new-suit/"} +{"d:Title": "Comic Book Resources: The Dark Knight", "d:Description": "\"The Dark Knight\" continues the ethos established in \"Batman Begins.\" By sticking to a \"real interpretation\" of the material and characters, mainstream audiences see the true spirit comics fans have always known them to contain. By Erik Amaya.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.cbr.com/the-dark-knight-the-cbr-review/"} +{"d:Title": "Dark Knight Set Reports Continue, The", "d:Description": "Reports, including spoilers, from the Chicago film location. Includes photo.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/93433-the-dark-knight-set-reports-continue"} +{"d:Title": "Superhero Hype: New Dark Knight Set Pics", "d:Description": "Scoopers 'Joel R.' and 'Shaun R.' have sent in more photos of the post office set for The Dark Knight in Chicago.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/93403-new-dark-knight-set-pics"} +{"d:Title": "Superherohype.com: Bale Doesn't Talk About The Dark Knight", "d:Description": "You would think we could get a few scoops from him about what to expect from the 2008 sequel The Dark Knight, right? By Heather Newgen.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/92031-bale-doesnt-talk-about-the-dark-knight"} +{"d:Title": "Superhero Hype: Producer Chuck Roven on The Dark Knight", "d:Description": "Producer Chuck Roven talks about Heath Ledger and the schedule for making the Batman Begins sequel.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/91709-producer-chuck-roven-on-the-dark-knight"} +{"d:Title": "New Dark Knight Set Report and Photos", "d:Description": "Includes commentary and image gallery.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/93475-new-dark-knight-set-report-and-photos"} +{"d:Title": "Joker Sets Fire to The Dark Knight Set, The", "d:Description": "A small fire broke out on the set of \"The Dark Knight\" today in the old post office's ventilation system. Includes photos and video clip.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/93483-the-joker-sets-fire-to-the-dark-knight-set"} +{"d:Title": "Anthony Michael Hall Joins The Dark Knight", "d:Description": "Anthony Michael Hall revealed that he has a role in Chris Nolan's The Dark Knight, currently filming for a July 18, 2008 release.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.comingsoon.net/movies/news/20539-anthony-michael-hall-joins-the-dark-knight"} +{"d:Title": "Superhero Hype: A Surprise Character on the Dark Knight Set", "d:Description": "Unofficial set report features photos, video and spoiler commentary.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/94115-a-surprise-character-on-the-dark-knight-set"} +{"d:Title": "\u2018Spawn\u2019 Star Takes A Gamble On \u2018Dark Knight\u2019 Role", "d:Description": "The role of \u201cGamble\u201d has officially gone to Michael Jai White, the muscular martial artist/stuntman/actor best known for roles in such films as \u201cSpawn\u201d and \u201cExit Wounds.\u201d By Larry Carroll.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.mtv.com/news/2428820/spawn-star-takes-a-gamble-on-dark-knight-role/"} +{"d:Title": "The Dark Knight Set Visit: Writer/Director Christopher Nolan", "d:Description": "During our visit to the set of The Dark Knight in Chicago, we had the opportunity to interview co-writer/director Christopher Nolan. By Scott Chitwood.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/96843-the-dark-knight-set-visit-writerdirector-christopher-nolan"} +{"d:Title": "The Dark Knight Set Visit: Crowley, Henning&Oldman", "d:Description": "While visiting the set of The Dark Knight, we had the chance to talk to Production Designer Nathan Crowley. He spoke about the Batmobile and the various Bat-gadgets, but in particular we were interested in hearing about the new vehicle \u2013 the Batpod. By Scott Chitwood.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/96853-the-dark-knight-set-visit-crowley-henning-oldman"} +{"d:Title": "Superhero Hype: Two-Face Clip From The Dark Knight!", "d:Description": "Check out the letters \"HA\" in \"GOTHAM\". See 'em moving? Yeah, now mouse over them and you'll notice something else. Part of The Dark Knight viral campaign.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/96873-two-face-clip-from-the-dark-knight"} +{"d:Title": "The Dark Knight Tops 4th Straight Weekend", "d:Description": "The Dark Knight topped the box office for a fourth straight weekend, adding an estimated $26 million for a massive domestic total of $441.5 million.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.comingsoon.net/movies/news/47848-the-dark-knight-tops-4th-straight-weekend"} +{"d:Title": "Latest on The Dark Knight Filming", "d:Description": "\"The coolest thing that I saw was that there was a schoolbus inside of the building. Again, I have no idea what it is going to be used for but I'm sure it has to do with a major action sequence.\" Includes photos. By Matthew J. [Apr 17th, 2007]", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight,_The/Articles_and_Interviews", "url": "http://www.superherohype.com/features/93379-update-latest-on-the-dark-knight-filming"} +{"d:Title": "IMDB - The Dark Knight Rises (2012)", "d:Description": "Offers news articles, cast list and discussion board.", "topic": "Top/Arts/Movies/Titles/B/Batman_Series/Dark_Knight_Rises,_The", "url": "http://www.imdb.com/title/tt1345836/"} +{"d:Title": "HARO Online - Bats", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Bats", "url": "http://www.haro-online.com/movies/bats.html"} +{"d:Title": "Bats - Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/B/Bats", "url": "http://www.rottentomatoes.com/m/bats/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for Bats since day one. Includes comparison with other creature features.", "topic": "Top/Arts/Movies/Titles/B/Bats", "url": "http://www.boxofficemojo.com/movies/?id=bats.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bats", "url": "http://www.imdb.com/title/tt0200469/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bats", "url": "http://www.metacritic.com/movie/bats"} +{"d:Title": "Lerma's Official Trash Battlefield Earth Page", "d:Description": "News and rumors about the film. Excerpts from reviews by more than 100 newspapers, magazines, broadcasters, and web sites.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth", "url": "http://www.lermanet.com/reference/BatEarthfaq.htm"} +{"d:Title": "Rotten Tomatoes: Battlefield Earth (2000)", "d:Description": "Synopsis, production notes, news, links to reviews.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth", "url": "http://www.rottentomatoes.com/m/battlefield_earth/"} +{"d:Title": "Battlefield Earth: The Abridged Script", "d:Description": "Parody of the John Travolta-Barry Pepper movie.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth", "url": "http://the-editing-room.com/battlefield.html"} +{"d:Title": "Box Office Mojo: Battlefield Earth", "d:Description": "Box office data for Battlefield Earth for the length of its first domestic run in theaters. Includes John Travolta's box office track record and a comparison with other universally reviled movies.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth", "url": "http://www.boxofficemojo.com/movies/?id=battlefieldearth.htm"} +{"d:Title": "The Battlefield Earth Contest", "d:Description": "Is there anything good about this movie? Anything at all? [Slashdot]", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth", "url": "http://features.slashdot.org/article.pl?sid=00/05/25/1436208"} +{"d:Title": "Yahoo! Movies: Battlefield Earth (2000)", "d:Description": "Plot summary, cast and credits, user reviews and rating, links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth", "url": "http://movies.yahoo.com/movie/battlefield-earth/"} +{"d:Title": "IMDb: Battlefield Earth: A Saga of the Year 3000 (2000)", "d:Description": "Cast and credits, viewer rating and comments, plot summary.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth", "url": "http://www.imdb.com/title/tt0185183/"} +{"d:Title": "Battlefield Earth Tops Razzies", "d:Description": "John Travolta's bomb was nominated for worst in eight of nine categories. [Associated Press]", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Articles_and_Interviews", "url": "http://www.cbsnews.com/news/ibattlefield-earth-i-tops-razzies/"} +{"d:Title": "All-Reviews.com: Battlefield Earth", "d:Description": "Three reviews of the film. Overall rating: 0 stars out of 4.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.all-reviews.com/videos/battlefield-earth.htm"} +{"d:Title": "\"Battlefield Earth\": Earth Capitulates in 9 Minutes to Mean Entrepreneurs from Space", "d:Description": "New York Times film review, by Elvis Mitchell. Requires free registration.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.nytimes.com/library/film/051200earth-film-review.html"} +{"d:Title": "Battlefield Earth Movie Review", "d:Description": "A review by Anthony Leong which originally appeared in the Australian science fiction media magazine \"Frontier.\" Includes stills.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.mediacircus.net/battlefieldearth.html"} +{"d:Title": "BBC: Battlefield Earth (2000)", "d:Description": "This film won the 'Golden Raspberry' award for worst film of 2000. It's not hard to see why. Review by Anwar Brett, credits. Rated 1/5. Also, a link to a review of the DVD release, which fares considerably better.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.bbc.co.uk/films/2001/02/16/battlefield_earth_2000_review.shtml"} +{"d:Title": "BMN Review: Battlefield Earth (2000)", "d:Description": "Review of the movie, by Roger M. Wilcox. On a scale of just how horrible this film is, he rates it 9.5/10.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=53498_rogermw"} +{"d:Title": "Christian Spotlight on Entertainment: Battlefield Earth", "d:Description": "Review of the migraine-inducing galactic dud. Has a moral rating (\"very offensive\") as well as a rating of 1.5/5 for moviemaking quality.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://christiananswers.net/spotlight/movies/2000/battlefieldearth.html"} +{"d:Title": "Cranky Critic Movie Reviews: Battlefield Earth", "d:Description": "Review of a slightly longer version of the movie than what made it to the screen. Tells how much the reviewer would be willing to pay to see it.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.crankycritic.com/archive00/battlefieldearth.html"} +{"d:Title": "Haro: Battlefield Earth", "d:Description": "Reviews and rates the film.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.haro-online.com/movies/battlefield_earth.html"} +{"d:Title": "Home Theater Info: Battlefield Earth", "d:Description": "Review of the movie and its transfer to DVD. Technically excellent transfer, but no storyline and a tremendous waste of talent.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.hometheaterinfo.com/battlefi.htm"} +{"d:Title": "Ken Begg: Battlefield Earth", "d:Description": "Lengthy, in-depth review, at Jabootu's Bad Movie Dimension. Includes stills, \"immortal dialogue,\" and brief quotes from other movie critics.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.jabootu.com/be.htm"} +{"d:Title": "Lianna Skywalker: Battlefield Earth", "d:Description": "Detailed review of this vanity project, at Jabootu's Bad Movie Dimension.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.jabootu.com/bels.htm"} +{"d:Title": "Escape from \"Battlefield Earth\"", "d:Description": "Desson Howe of the Washington Post calls this flick \"Ishtar of the Apes.\"", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.washingtonpost.com/wp-srv/entertainment/movies/reviews/battlefieldearthhowe.htm"} +{"d:Title": "\"Battlefield Earth\": A Vain Search for Terrestrial Intelligence", "d:Description": "Full-length opening weekend review by Rita Kempley in the Washington Post says that this film is \"breathtakingly awful in concept and execution.\"", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.washingtonpost.com/wp-srv/entertainment/movies/reviews/battlefieldearthkempley.htm"} +{"d:Title": "The DVD Journal: Battlefield Earth", "d:Description": "Full review by a fan of science fiction who was disappointed to find that the movie really is as bad as the critics say. Analysis of where it might have gone wrong.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.dvdjournal.com/reviews/b/battlefieldearth.shtml"} +{"d:Title": "Movie ram-blings: Battlefield Earth", "d:Description": "Short review says that the movie is okay as a cheesy time-killer.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.ram.org/ramblings/movies/battlefield_earth.html"} +{"d:Title": "Dustin's Review: Battlefield Earth (2000)", "d:Description": "Pronounces the movie \"a disaster of epic proportions.\" Rated 0/4.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.themovieboy.com/reviews/b/00_battlefieldearth.htm"} +{"d:Title": "Rambles: Battlefield Earth", "d:Description": "Chet Williamson points out a handful of good things about the film.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.rambles.net/battlefield_mirth.html"} +{"d:Title": "DVD Movie Central: Battlefield Earth", "d:Description": "A fan of John Travolta reviews the DVD, and rates the video, audio, features, and the film itself.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.dvdmoviecentral.com/ReviewsText/battlefield_earth.htm"} +{"d:Title": "Radio Free Movie Review: Battlefield Earth (2000)", "d:Description": "Review by Andrew Manning. Plot summary, quick rundown, what's good and bad about the film. Rated 1.5/10.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.radiofree.com/mov-revs/battlefi.shtml"} +{"d:Title": "It's a Bad, Bad, Bad, Bad Movie: Battlefield Earth (2000)", "d:Description": "The reviewer is now filled with curiosity as to whether the book is as bad as the movie. Rated 4.5/5 turkeys.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.rinkworks.com/badmovie/m/battlefield.earth.2000.shtml"} +{"d:Title": "The Four Word Film Review: Battlefield Earth (2000)", "d:Description": "Cast list, several very brief reviews, viewer rating of the film.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.fwfr.com/display.asp?ID=224"} +{"d:Title": "Sci-Fi Movie Page: Battlefield Earth", "d:Description": "The sheer awfulness of this movie has the reviewer indulging in conspiracy theories about who controls Hollywood. Rated half a star out of 5.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.scifimoviepage.com/battlefield.html"} +{"d:Title": "Epinions.com: Battlefield Earth", "d:Description": "Ordinary viewers review and rate the movie.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.epinions.com/reviews/mvie_mu-1097232"} +{"d:Title": "Deseret News: Battlefield Earth", "d:Description": "Review by Jeff Vice. \"Bad science-fiction has a new name [...]\"", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.deseretnews.com/article/700002485/Battlefield-Earth.html"} +{"d:Title": "Cult classic", "d:Description": "Review by Duncan Campbell in The Guardian dwells on the rumours about the relationship between Scientology and the film \"Battlefield Earth.\" Whatever the truth may be about that issue, the movie is surely one of the worst ever made.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.theguardian.com/culture/2000/may/31/artsfeatures3"} +{"d:Title": "Roger Ebert: Battlefield Earth", "d:Description": "Review of the film. Rated half a star out of four.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.rogerebert.com/reviews/battlefield-earth-2000"} +{"d:Title": "Plan Inane from Outer Space", "d:Description": "Rob Medich reviews \"Battlefield Earth,\" easily the worst movie of the year, if not the millennium.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://www.cbsnews.com/news/plan-inane-from-outer-space/"} +{"d:Title": "Pittsburgh Post-Gazette: Battlefield Earth", "d:Description": "A negative appraisal, by Ron Weiskind.", "topic": "Top/Arts/Movies/Titles/B/Battlefield_Earth/Reviews", "url": "http://old.post-gazette.com/movies/20000512battle4.asp"} +{"d:Title": "Bronenosets Potyomkin (1925)", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Battleship_Potemkin,_The", "url": "http://www.imdb.com/title/tt0015648/"} +{"d:Title": "TV Guide Online: Battleship Potemkin", "d:Description": "Includes movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Battleship_Potemkin,_The", "url": "http://www.tvguide.com/movies/battleship-potemkin/132140/"} +{"d:Title": "Battle Beyond the Stars - A Movie Ripe for Rediscovery", "d:Description": "Plot summary, cast and crew information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Battle_Beyond_the_Stars", "url": "http://geo_lit.tripod.com/index.html"} +{"d:Title": "AllReaders.com Battle of the Bulge Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Battle_of_the_Bulge", "url": "http://allreaders.com/movie-review-summary/battle-of-the-bulge-4817"} +{"d:Title": "Battle School", "d:Description": "Fan site with synopsis, character details, fan fiction, pictures, fan art, desktop wallpaper, and links.", "topic": "Top/Arts/Movies/Titles/B/Battle_Royale_Series/Battle_Royale", "url": "http://www.angelfire.com/film/battle_royale0/"} +{"d:Title": "Battle Royale review", "d:Description": "Battle Royale review from the Snowblood Rose section of Mandiapple.com", "topic": "Top/Arts/Movies/Titles/B/Battle_Royale_Series/Battle_Royale", "url": "http://www.mandiapple.com/snowblood/battleroyale.htm"} +{"d:Title": "Battle Royale Roleplay", "d:Description": "A message board dedicated to roleplaying.", "topic": "Top/Arts/Movies/Titles/B/Battle_Royale_Series/Battle_Royale", "url": "http://battleroyale12.proboards.com/"} +{"d:Title": "IMDB: Battle Royale", "d:Description": "Overview, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Battle_Royale_Series/Battle_Royale", "url": "http://www.imdb.com/title/tt0266308/"} +{"d:Title": "Internet Movie Database: Battle Royale II", "d:Description": "Synopsis, cast and crew, user ratings and comments, trivia, production information, and links.", "topic": "Top/Arts/Movies/Titles/B/Battle_Royale_Series/Battle_Royale_II", "url": "http://www.imdb.com/title/tt0338763/"} +{"d:Title": "Midnight Eye: Battle Royale II", "d:Description": "A review by Jasper Sharp: \"...both breathtakingly bold in audience targeting and potentially foolish in the way that it avails itself all too easily to all manner of criticism from outside.\"", "topic": "Top/Arts/Movies/Titles/B/Battle_Royale_Series/Battle_Royale_II", "url": "http://www.midnighteye.com/reviews/battle-royale-ii-requiem/"} +{"d:Title": "Snapshots from the Beach", "d:Description": "Includes anecdotes from extras and tourists who watched the making of Leonardo DiCaprio's The Beach.", "topic": "Top/Arts/Movies/Titles/B/Beach,_The", "url": "http://www.members.tripod.com/beachmovie/"} +{"d:Title": "Paradise Found", "d:Description": "This is a fansite dedicated to the exciting new film The Beach starring Leonardo DiCaprio. Check here for the latest news, updates, and a messageboard to share your feelings about the movie.", "topic": "Top/Arts/Movies/Titles/B/Beach,_The", "url": "http://www.angelfire.com/pa2/titanicbeach/"} +{"d:Title": "HARO Online - The Beach", "d:Description": "Haro rates the movie: okay", "topic": "Top/Arts/Movies/Titles/B/Beach,_The", "url": "http://www.haro-online.com/movies/beach.html"} +{"d:Title": "Rotten Tomatoes - The Beach", "d:Description": "Reviews, news, articles, previews, and trailers of The Beach.", "topic": "Top/Arts/Movies/Titles/B/Beach,_The", "url": "http://www.rottentomatoes.com/m/beach/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for The Beach since day one. Includes the box office track records of Danny Boyle and Leonardo DiCaprio.", "topic": "Top/Arts/Movies/Titles/B/Beach,_The", "url": "http://www.boxofficemojo.com/movies/?id=beachthe.htm"} +{"d:Title": "IMDb", "d:Description": "The Beach - Includes cast information, reviews, trailers, links, and forums.", "topic": "Top/Arts/Movies/Titles/B/Beach,_The", "url": "http://www.imdb.com/title/tt0163978/"} +{"d:Title": "Beaches", "d:Description": "Rita Kempley's review: \"...a bicoastal crowd-pleaser, a tenderhearted, two-hanky melodrama.\" [The Washington Post]", "topic": "Top/Arts/Movies/Titles/B/Beaches", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/beachespg13kempley_a09f9f.htm"} +{"d:Title": "RogerEbert.com - Beaches", "d:Description": "Roger Ebert reviews the movie: \"...gives us nothing that can't be spotted coming a mile down the road.\"", "topic": "Top/Arts/Movies/Titles/B/Beaches", "url": "http://www.rogerebert.com/reviews/beaches-1989"} +{"d:Title": "Internet Movie Database: Beaches", "d:Description": "Plot summary, cast and crew, user comments and ratings, reviews, quotations, trivia, soundtrack listing, production information, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/B/Beaches", "url": "http://www.imdb.com/title/tt0094715/"} +{"d:Title": "IMDb - Beach House (1979)", "d:Description": "Cast, credits, contact information.", "topic": "Top/Arts/Movies/Titles/B/Beach_House_-_1979", "url": "http://www.imdb.com/title/tt0078834/combined"} +{"d:Title": "All-Reviews.com: Bean", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bean", "url": "http://all-reviews.com/videos-4/bean.htm"} +{"d:Title": "IMDb: Bean", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bean", "url": "http://www.imdb.com/title/tt0118689/"} +{"d:Title": "Beat Angel", "d:Description": "An independent film about the spirit of Jack Kerouac who returns to Earth to renew the spirit of a burned out writer.", "topic": "Top/Arts/Movies/Titles/B/Beat_Angel", "url": "http://www.beatangel.com/"} +{"d:Title": "IMDb", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Beautician_and_the_Beast,_The", "url": "http://www.imdb.com/title/tt0118691/"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Beautiful", "url": "http://www.haro-online.com/movies/beautiful.html"} +{"d:Title": "PopMatters - Beautiful", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Beautiful", "url": "http://popmatters.com/film/reviews/b/beautiful.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beautiful", "url": "http://www.all-reviews.com/videos-2/beautiful.htm"} +{"d:Title": "Rotten Tomatoes - Beautiful (2000)", "d:Description": "Reviews, information, news, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Beautiful", "url": "http://www.rottentomatoes.com/m/1100122-beautiful/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Beautiful", "url": "http://www.imdb.com/title/tt0210567/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Beautiful", "url": "http://www.metacritic.com/movie/beautiful"} +{"d:Title": "IMDb: Beautiful Creatures (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Creatures", "url": "http://www.imdb.com/title/tt0221889/"} +{"d:Title": "Metacritic.com: Beautiful Creatures", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Creatures", "url": "http://www.metacritic.com/movie/beautiful-creatures"} +{"d:Title": "All-Reviews.com - Beautiful Girls", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Girls", "url": "http://www.all-reviews.com/videos-3/beautiful-girls.htm"} +{"d:Title": "IMDb - Beautiful Girls", "d:Description": "Includes an overview, awards, reviews, plot, quotes, and links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Girls", "url": "http://www.imdb.com/title/tt0115639/"} +{"d:Title": "ABeautifulMind.com", "d:Description": "Official site with synopsis, cast and crew biographies, historical background, and multimedia.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A", "url": "http://www.abeautifulmind.com/"} +{"d:Title": "Rotten Tomatoes: A Beautiful Mind", "d:Description": "Links to reviews, cast and crew information, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A", "url": "http://www.rottentomatoes.com/m/beautiful_mind/?rtp=1"} +{"d:Title": "Movie Mistakes: A Beautiful Mind", "d:Description": "A list of continuity errors in the film.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A", "url": "http://www.moviemistakes.com/film1797"} +{"d:Title": "MovieWeb: A Beautiful Mind", "d:Description": "Plot summary, review, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A", "url": "http://movieweb.com/movie/a-beautiful-mind/"} +{"d:Title": "AwesomeStories: A Beautiful Mind", "d:Description": "Historical background for the film in the form of a story, with links to relevant resources embedded into the text.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A", "url": "https://www.awesomestories.com/asset/view/A-Beautiful-Mind"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the film \"Pretty Good.\"", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://www.haro-online.com/movies/beautiful_mind.html"} +{"d:Title": "All-Reviews.com - A Beautiful Mind", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://all-reviews.com/videos-4/beautiful-mind.htm"} +{"d:Title": "ImagesJournal - A Beautiful Mind", "d:Description": "A four star review and gallery of stills from the film.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://www.imagesjournal.com/issue10/reviews/beautifulmind/"} +{"d:Title": "Ninth Symphony Films: A Beautiful Mind", "d:Description": "Review of the film, synopsis, images, and related links.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/abeautifulmind.html"} +{"d:Title": "Cosmopolis: A Beautiful Mind", "d:Description": "Louis Gerber reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://www.cosmopolis.ch/english/cosmo29/a_beautiful_mind.htm"} +{"d:Title": "A Beautiful Mind", "d:Description": "Review by Scott Manning, accompanied by film stills.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://www.scottmanning.com/archives/000436.php"} +{"d:Title": "NYTimes.com: A Beautiful Mind", "d:Description": "Review by A. O. Scott.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://www.nytimes.com/2001/12/21/movies/film-review-from-math-to-madness-and-back.html"} +{"d:Title": "A Beautiful Mind", "d:Description": "Read Roger Ebert's four star review of the film.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://www.rogerebert.com/reviews/a-beautiful-mind-2001"} +{"d:Title": "Metacritic.com: A Beautiful Mind", "d:Description": "Summary of over 25 published reviews of the film, with quotations and links.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://www.metacritic.com/movie/a-beautiful-mind"} +{"d:Title": "About.com: A Beautiful Mind", "d:Description": "Review of the Awards Edition DVD for the film.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Mind,_A/Reviews", "url": "http://movies.about.com/od/mrandmrssmith/a/mrssmith053005.htm"} +{"d:Title": "HARO Online - Beautiful People", "d:Description": "A review of the film by Mongoose", "topic": "Top/Arts/Movies/Titles/B/Beautiful_People", "url": "http://www.haro-online.com/movies/beautiful_people.html"} +{"d:Title": "IMDb: Beautiful People (1999)", "d:Description": "Synopsis, cast and crew, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_People", "url": "http://www.imdb.com/title/tt0159272/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_People", "url": "http://www.metacritic.com/movie/beautiful-people"} +{"d:Title": "Beautiful Thing Connection", "d:Description": "Web ring for sites related to the film.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Thing", "url": "http://www.cybercomm.nl/~btfannl/webring/index.html"} +{"d:Title": "IMDb: Beautiful Thing", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Thing", "url": "http://www.imdb.com/title/tt0115640/"} +{"d:Title": "TV Guide Online: Beautiful Thing", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Thing", "url": "http://www.tvguide.com/movies/beautiful-thing/131679/"} +{"d:Title": "Dutch Beautiful Thing Fanclub", "d:Description": "Membership information, IRC channel details, and film-related links.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Thing/Chats_and_Forums", "url": "http://www.cybercomm.nl/~btfannl/english/index.html"} +{"d:Title": "Movie Magazine International: Beautiful Thing", "d:Description": "Review of the film by Mary Weens.", "topic": "Top/Arts/Movies/Titles/B/Beautiful_Thing/Reviews", "url": "http://www.shoestring.org/mmi_revs/beauthing.html"} +{"d:Title": "Decent Films: Becket", "d:Description": "Positive review, ratings for artistic value and moral value, highly recommended for teens and adults.", "topic": "Top/Arts/Movies/Titles/B/Becket_-_1964", "url": "http://decentfilms.com/reviews/becket"} +{"d:Title": "IMDb: Becket (1964)", "d:Description": "Cast and crew, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/B/Becket_-_1964", "url": "http://www.imdb.com/title/tt0057877/"} +{"d:Title": "AllReaders.com: Becket", "d:Description": "Capsule review.", "topic": "Top/Arts/Movies/Titles/B/Becket_-_1964", "url": "http://allreaders.com/movie-review-summary/becket-4278"} +{"d:Title": "Don Ignacio's Movie Reviews: Becket (1964)", "d:Description": "Brief review by Michael Lawrence, gives it a grade of A-.", "topic": "Top/Arts/Movies/Titles/B/Becket_-_1964", "url": "http://donignacio.tripod.com/movbecket.html"} +{"d:Title": "IMDb: Becoming Jane (2007)", "d:Description": "Plot synopsis, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Becoming_Jane", "url": "http://www.imdb.com/title/tt0416508/"} +{"d:Title": "Rotten Tomatoes: Becoming Jane", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Becoming_Jane", "url": "http://www.rottentomatoes.com/m/becoming_jane/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the critical reception, cast, location, dances, costumes, quotes, awards, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Becoming_Jane", "url": "http://en.wikipedia.org/wiki/Becoming_Jane"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"The way all of this plays out is acted warmly by the principals, and Eigil Bryld's photography (of Ireland) makes England look breathtakingly green and inviting.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Becoming_Jane", "url": "http://www.rogerebert.com/reviews/becoming-jane-2007"} +{"d:Title": "IGN Movies: Becoming Jane", "d:Description": "Provides information, videos, and a review by Stax (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Becoming_Jane", "url": "http://www.ign.com/movies/becoming-jane/theater-903833"} +{"d:Title": "USA Today: Becoming Jane", "d:Description": "Review, by Claudia Puig: \"If one were to fuse the literary sensibility of Jane Austen with the fanciful imaginative license of `Shakespeare in Love,` what would emerge would likely be the charming tale Becoming Jane.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Becoming_Jane", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-08-02-becoming-jane_N.htm"} +{"d:Title": "MovieWeb: Becoming Jane", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/B/Becoming_Jane", "url": "http://movieweb.com/movie/becoming-jane/"} +{"d:Title": "All-Reviews.com: Bedazzled", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bedazzled_-_2000", "url": "http://www.all-reviews.com/videos-2/bedazzled.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Bedazzled_-_2000", "url": "http://www.haro-online.com/movies/bedazzled.html"} +{"d:Title": "Rotten Tomatoes - Bedazzled", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Bedazzled_-_2000", "url": "http://www.rottentomatoes.com/m/1101051-bedazzled/"} +{"d:Title": "IMDb: Bedazzled - 2000", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bedazzled_-_2000", "url": "http://www.imdb.com/title/tt0230030/"} +{"d:Title": "Rotten Tomatoes: Bedrooms and Hallways", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/B/Bedrooms_and_Hallways", "url": "http://www.rottentomatoes.com/m/bedrooms_and_hallways/"} +{"d:Title": "IMDb: Bedrooms and Hallways (1998)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bedrooms_and_Hallways", "url": "http://www.imdb.com/title/tt0126810/"} +{"d:Title": "The Bedroom Window", "d:Description": "Rita Kempley of the Washington Post brands the film \"a cockamamie mystery.\"", "topic": "Top/Arts/Movies/Titles/B/Bedroom_Window,_The_-_1987", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thebedroomwindowrkempley_a0cac6.htm"} +{"d:Title": "Internet Movie Database: Bedroom Window, The", "d:Description": "Plot summary, cast and crew, reviews, production information, distribution details, soundtrack listing, and links.", "topic": "Top/Arts/Movies/Titles/B/Bedroom_Window,_The_-_1987", "url": "http://www.imdb.com/title/tt0092627/"} +{"d:Title": "All-Reviews.com - Bed of Roses", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bed_of_Roses", "url": "http://www.all-reviews.com/videos-3/bed-of-roses.htm"} +{"d:Title": "AllReaders.com Bed of Roses Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Bed_of_Roses", "url": "http://allreaders.com/movie-review-summary/bed-of-roses-5155"} +{"d:Title": "IMDb: Beer (1985)", "d:Description": "Full cast information, synopsis, trailer, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Beer", "url": "http://www.imdb.com/title/tt0088781/"} +{"d:Title": "All-Reviews.com - Beethoven", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Beethoven_Series/Beethoven", "url": "http://www.all-reviews.com/videos-3/beethoven.htm"} +{"d:Title": "All-Reviews.com - Beethoven's 2nd", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beethoven_Series/Beethoven's_2nd", "url": "http://www.all-reviews.com/videos-3/beethovens-2nd.htm"} +{"d:Title": "All-Reviews.com: Beethoven's 4th", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/B/Beethoven_Series/Beethoven's_4th", "url": "http://all-reviews.com/videos-4/beethovens-4th.htm"} +{"d:Title": "IMDb: Beethoven's 4th", "d:Description": "Plot outline, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Beethoven_Series/Beethoven's_4th", "url": "http://www.imdb.com/title/tt0294345/"} +{"d:Title": "Filmtracks: Beetlejuice", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Beetlejuice", "url": "http://www.filmtracks.com/titles/beetlejuice.html"} +{"d:Title": "Before Night Falls", "d:Description": "Official site, including news, reviews, and awards won.", "topic": "Top/Arts/Movies/Titles/B/Before_Night_Falls", "url": "http://www.before-night-falls.com/"} +{"d:Title": "PopMatters - Before Night Falls", "d:Description": "Detailed review and analysis of the film along with nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/B/Before_Night_Falls", "url": "http://popmatters.com/film/reviews/b/before-night-falls.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Before_Night_Falls", "url": "http://www.metacritic.com/movie/before-night-falls"} +{"d:Title": "All-Reviews.com: Before Sunrise", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunrise", "url": "http://www.all-reviews.com/videos-2/before-sunrise.htm"} +{"d:Title": "IMDb: Before Sunrise", "d:Description": "Cast and crew, reviews, plot summary, discussion, taglines, trailer, awards and nominations, trivia, and links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunrise", "url": "http://www.imdb.com/title/tt0112471/"} +{"d:Title": "EFilmCritic.com: Before Sunrise", "d:Description": "Critic Chris Parry doesn't quite buy the romantic drama.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunrise", "url": "http://efilmcritic.com/review.php?movie=1947&reviewer=1"} +{"d:Title": "Chicago Sun-Times: Before Sunrise", "d:Description": "Roger Ebert's positive take on the movie.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunrise", "url": "http://www.rogerebert.com/reviews/before-sunrise-1995"} +{"d:Title": "San Francisco Chronicle: An Extraordinary Day Dawns \"Before Sunrise.\"", "d:Description": "Mick LaSalle calls the film \"not flashy, but it's quietly great.\"", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunrise", "url": "http://www.sfgate.com/movies/article/An-Extraordinary-Day-Dawns-Before-Sunrise-3334882.php"} +{"d:Title": "IMDb: Before Sunset (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "http://www.imdb.com/title/tt0381681/"} +{"d:Title": "Rotten Tomatoes: Before Sunset", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "http://www.rottentomatoes.com/m/before_sunset/"} +{"d:Title": "PopEntertainment.com: Before Sunset", "d:Description": "Jay S. Jacobs gives the film a positive review.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "http://www.popentertainment.com/beforesunset.htm"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "http://www.haro-online.com/movies/before_sunset.html"} +{"d:Title": "Chicago Sun-Times: Before Sunset", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "http://www.rogerebert.com/reviews/before-sunset-2004"} +{"d:Title": "Future Movies: Before Sunset", "d:Description": "Review by Michelle Thomas.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "https://www.futuremovies.co.uk/reviews/before-sunset/michelle-thomas"} +{"d:Title": "The New Yorker: Wanderers", "d:Description": "David Denby reviews the film about renewing love in Paris.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "http://www.newyorker.com/magazine/2004/07/05/wanderers"} +{"d:Title": "Boston Globe: French Kiss", "d:Description": "Ty Burr reviews the second \"Before Sunrise\" film.", "topic": "Top/Arts/Movies/Titles/B/Before_Sunrise_Series/Before_Sunset", "url": "http://archive.boston.com/ae/movies/articles/2004/07/02/french_kiss/"} +{"d:Title": "All-Reviews.com: Behind Enemy Lines", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Behind_Enemy_Lines", "url": "http://all-reviews.com/videos-4/behind-enemy-lines.htm"} +{"d:Title": "HARO Online: Behind Enemy Lines", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Behind_Enemy_Lines", "url": "http://www.haro-online.com/movies/behind_enemy_lines.html"} +{"d:Title": "The Movie Spoiler: Behind Enemy Lines", "d:Description": "Full plot of the film.", "topic": "Top/Arts/Movies/Titles/B/Behind_Enemy_Lines", "url": "http://www.themoviespoiler.com/Spoilers/behindenemy.html"} +{"d:Title": "Ninth Symphony Films: Behind Enemy Lines", "d:Description": "Review of the film, synopsis, images, and related links.", "topic": "Top/Arts/Movies/Titles/B/Behind_Enemy_Lines", "url": "http://regencylady.tripod.com/site/filmreviews/behindenemylines.html"} +{"d:Title": "Rotten Tomatoes: Behind Enemy Lines", "d:Description": "Links to reviews from the nation's top critics, cast and crew pages, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Behind_Enemy_Lines", "url": "http://www.rottentomatoes.com/m/1111645-behind_enemy_lines/?rtp=1"} +{"d:Title": "Metacritic.com: Behind Enemy Lines", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Behind_Enemy_Lines", "url": "http://www.metacritic.com/movie/behind-enemy-lines"} +{"d:Title": "IMDb: Behind the Mask: Rise of Leslie Vernon, The", "d:Description": "Gives cast, crew, photo gallery, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Behind_the_Mask_-_The_Rise_of_Leslie_Vernon", "url": "http://www.imdb.com/title/tt0437857/"} +{"d:Title": "Eric D. Snider: Behind the Mask: Rise of Leslie Vernon, The", "d:Description": "Review by Eric D. Snider. Includes cast list.", "topic": "Top/Arts/Movies/Titles/B/Behind_the_Mask_-_The_Rise_of_Leslie_Vernon", "url": "http://www.ericdsnider.com/movies/behind-the-mask-the-rise-of-leslie-vernon/"} +{"d:Title": "IMDb: Behind the Sun (Abril Despeda\u00e7ado) (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Behind_the_Sun", "url": "http://www.imdb.com/title/tt0291003/"} +{"d:Title": "Metacritic.com: Behind the Sun", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Behind_the_Sun", "url": "http://www.metacritic.com/movie/behind-the-sun"} +{"d:Title": "HARO Online: Beijing Bicycle", "d:Description": "Mongoose reviews the film, giving it a rating of pretty good. Looks at the portrayal of class struggle and changes in China.", "topic": "Top/Arts/Movies/Titles/B/Beijing_Bicycle", "url": "http://www.haro-online.com/movies/beijing_bicycle.html"} +{"d:Title": "IMDb: Beijing Bicycle", "d:Description": "Cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/B/Beijing_Bicycle", "url": "http://www.imdb.com/title/tt0276501/"} +{"d:Title": "Metacritic.com: Beijing Bicycle", "d:Description": "Reviews of the film from several sources.", "topic": "Top/Arts/Movies/Titles/B/Beijing_Bicycle", "url": "http://www.metacritic.com/movie/beijing-bicycle"} +{"d:Title": "Haro Online - Review", "d:Description": "Includes a review of the film.", "topic": "Top/Arts/Movies/Titles/B/Being_John_Malkovich", "url": "http://www.haro-online.com/movies/being_john_malkovich.html"} +{"d:Title": "Rotten Tomatoes : Being John Malkovich", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/B/Being_John_Malkovich", "url": "http://www.rottentomatoes.com/m/being_john_malkovich/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/B/Being_John_Malkovich", "url": "http://the-editing-room.com/beingjohnmalkovich.html"} +{"d:Title": "Box Office Mojo: Being John Malkovich", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/B/Being_John_Malkovich", "url": "http://www.boxofficemojo.com/movies/?id=beingjohnmalkovich.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Being_John_Malkovich", "url": "http://www.imdb.com/title/tt0120601/"} +{"d:Title": "AllReaders.com Review: Being John Malkovich", "d:Description": "Analysis of the John Cusack and Cameron Diaz film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Being_John_Malkovich", "url": "http://allreaders.com/movie-review-summary/being-john-malkovich-5837"} +{"d:Title": "A.V. Club: Being John Malkovich", "d:Description": "An interview with the star of the movie.", "topic": "Top/Arts/Movies/Titles/B/Being_John_Malkovich", "url": "http://www.avclub.com/article/john-malkovich-13810"} +{"d:Title": "Sony Pictures Classics: Being Julia", "d:Description": "Official site with synopsis, pictures, production notes, information on the cast and filmmakers, trailer and an essay on Maugham's novel \"Theatre.\" [Requires Flash 6.]", "topic": "Top/Arts/Movies/Titles/B/Being_Julia", "url": "http://www.sonyclassics.com/beingjulia/"} +{"d:Title": "The Internet Movie Database (IMDb): Being Julia", "d:Description": "Cast and crew listing, technical data, pictures and user comments.", "topic": "Top/Arts/Movies/Titles/B/Being_Julia", "url": "http://imdb.com/title/tt0340012/"} +{"d:Title": "HARO Online: Being Julia", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Being_Julia", "url": "http://www.haro-online.com/movies/being_julia.html"} +{"d:Title": "Being There - Peter Sellers' Masterpiece", "d:Description": "Images, script, brief introduction, and links.", "topic": "Top/Arts/Movies/Titles/B/Being_There", "url": "http://sarcasmalley.com/"} +{"d:Title": "Greatest Films - Being There (1979)", "d:Description": "Brief review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Being_There", "url": "http://www.filmsite.org/bein.html"} +{"d:Title": "All-Reviews.com: Being There", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/B/Being_There", "url": "http://all-reviews.com/videos-4/being-there.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Being_There", "url": "http://www.imdb.com/title/tt0078841/"} +{"d:Title": "AllReaders.com Being There Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Being_There", "url": "http://allreaders.com/movie-review-summary/being-there-4513"} +{"d:Title": "TV Guide Online: Being There", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Being_There", "url": "http://www.tvguide.com/movies/there/105154/"} +{"d:Title": "Digitally Obsessed - Bela Lugosi Meets a Brooklyn Gorilla (The Boys from Brooklyn) (1952)", "d:Description": "Review by Mark Zimmer (mixed) emphasizing DVD production values.", "topic": "Top/Arts/Movies/Titles/B/Bela_Lugosi_Meets_a_Brooklyn_Gorilla", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1665"} +{"d:Title": "IMDb - Bela Lugosi Meets a Brooklyn Gorilla (1952)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bela_Lugosi_Meets_a_Brooklyn_Gorilla", "url": "http://www.imdb.com/title/tt0044406/"} +{"d:Title": "Cinebooks Database - Bela Lugosi Meets a Brooklyn Gorilla", "d:Description": "Unsigned review (mixed) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Bela_Lugosi_Meets_a_Brooklyn_Gorilla", "url": "http://www.tvguide.com/movies/bela-lugosi-meets-brooklyn-gorilla/105155/"} +{"d:Title": "All-Reviews.com: The Believer", "d:Description": "Review of the movie by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/B/Believer,_The", "url": "http://www.all-reviews.com/videos-4/believer.htm"} +{"d:Title": "HARO Online: The Believer", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/B/Believer,_The", "url": "http://www.haro-online.com/movies/believer.html"} +{"d:Title": "Metacritic.com: The Believer", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/B/Believer,_The", "url": "http://www.metacritic.com/movie/the-believer"} +{"d:Title": "Chicago Reader - Bells Are Ringing", "d:Description": "Capsule review by Dave Kehr. \"Engaging entertainment, but far from Minnelli's peak.\"", "topic": "Top/Arts/Movies/Titles/B/Bells_Are_Ringing", "url": "http://www.chicagoreader.com/chicago/bells-are-ringing/Film?oid=1074173"} +{"d:Title": "IMDb - Bells Are Ringing (1960)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bells_Are_Ringing", "url": "http://www.imdb.com/title/tt0053645/combined"} +{"d:Title": "Cinebooks Database - Bells Are Ringing", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/B/Bells_Are_Ringing", "url": "http://www.tvguide.com/movies/bells-ringing/105947/"} +{"d:Title": "IMDb: Belly (1998)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Belly", "url": "http://www.imdb.com/title/tt0158493/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Beloved", "url": "http://www.imdb.com/title/tt0120603/"} +{"d:Title": "Roger Ebert - Beloved", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Beloved", "url": "http://www.rogerebert.com/reviews/beloved-1998"} +{"d:Title": "HARO Online: Below", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Below", "url": "http://www.haro-online.com/movies/below.html"} +{"d:Title": "IMDb: Below", "d:Description": "Cast and crew, synopsis, technical specifications, reviews, film recommendations, promotional detiails, and links.", "topic": "Top/Arts/Movies/Titles/B/Below", "url": "http://www.imdb.com/title/tt0276816/"} +{"d:Title": "Film Notes: Ben-Hur", "d:Description": "Detailed information on the production of MGM's first screen adaptation of the very successful play.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1925", "url": "http://www.albany.edu/writers-inst/webpages4/filmnotes/fnf98n5.html"} +{"d:Title": "Epinions.com: Ben-Hur--A Tale of Christ", "d:Description": "Ordinary viewers review and rate the movie.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1925", "url": "http://www.epinions.com/reviews/mvie_mu-1002008"} +{"d:Title": "IMDb: Ben-Hur (1925)", "d:Description": "Cast and crew, plot summary, viewer comments and rating, trivia.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1925", "url": "http://www.imdb.com/title/tt0016641/"} +{"d:Title": "Greatest Films: Ben-Hur (1959)", "d:Description": "In-depth review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.filmsite.org/benh.html"} +{"d:Title": "BBCi: Ben-Hur (1959)", "d:Description": "Cast list, review by Nick Hilditch, and viewer rating.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.bbc.co.uk/films/2001/04/09/ben_hur_1959_review.shtml"} +{"d:Title": "Christian Spotlight on Entertainment: Ben-Hur", "d:Description": "Short review by Kevin J. Burk. Has a moral rating, as well as a rating of cinematic quality. Highly recommends the film.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/rvu-benhur.html"} +{"d:Title": "The Cinema Laser: Ben-Hur", "d:Description": "Review of the movie's release on DVD. Includes stills which show off the widescreen format.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.thecinemalaser.com/dvd_reviews/ben-hur-dvd.htm"} +{"d:Title": "Home Theater Info: Ben Hur", "d:Description": "Review of the DVD. Rated 4/4.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.hometheaterinfo.com/benhur.htm"} +{"d:Title": "DVD Movie Guide: Ben-Hur (1959)", "d:Description": "Review of the DVD, by Colin Jacobson. Includes stills. Rated for picture, sound, and extras.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.dvdmg.com/benhur.shtml"} +{"d:Title": "Chicago Reader: Ben-Hur", "d:Description": "Dave Kehr's capsule review says that this film is a colossal bore, except for the famous chariot race.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://onfilm.chicagoreader.com/movies/capsules/00894_BEN_HUR.html"} +{"d:Title": "Epinions.com: Ben-Hur", "d:Description": "Ordinary viewers review and rate the 1959 movie.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.epinions.com/reviews/mvie_mu-1002007"} +{"d:Title": "eFilmCritic: Ben-Hur", "d:Description": "Reviewer Alexandre Paquin says that this plodding epic may be \"the dullest story ever told.\" Viewers disagree.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.efilmcritic.com/review.php?movie=3740"} +{"d:Title": "IMDb: Ben-Hur (1959)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.imdb.com/title/tt0052618/"} +{"d:Title": "TV Guide Online: Ben-Hur", "d:Description": "Includes review and cast list. Rated 4.5/5.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.tvguide.com/movies/ben-hur/105969/"} +{"d:Title": "Almighty Hollywood", "d:Description": "Review of the 1959 film version of Ben-Hur. And MaryAnn Johanson trades barbs with a reader.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://www.flickfilosopher.com/1999/02/ben-hur-review.html"} +{"d:Title": "Parent Previews: Ben-Hur (1959)", "d:Description": "Review by Kerry Bennett, aimed at parents. Overall grade: A-.", "topic": "Top/Arts/Movies/Titles/B/Ben-Hur_-_1959", "url": "http://parentpreviews.com/movie-reviews/film-info/ben-hur"} +{"d:Title": "BBC Films: Bend it Like Beckham", "d:Description": "Review, and cast and crew interviews and links.", "topic": "Top/Arts/Movies/Titles/B/Bend_It_Like_Beckham", "url": "http://www.bbc.co.uk/films/2002/03/19/bend_it_like_beckham_2002_review.shtml"} +{"d:Title": "Fox Searchlight Pictures: Bend it Like Beckham", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bend_It_Like_Beckham", "url": "http://www.foxsearchlight.com/benditlikebeckham/"} +{"d:Title": "All-Reviews - Bend It Like Beckham", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bend_It_Like_Beckham", "url": "http://all-reviews.com/videos-5/bend-it-like-beckham.htm"} +{"d:Title": "HARO Online: Bend it Like Beckham", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Bend_It_Like_Beckham", "url": "http://www.haro-online.com/movies/bend_it_like_beckham.html"} +{"d:Title": "About.com: Bend It Like Beckham", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/B/Bend_It_Like_Beckham", "url": "http://homevideo.about.com/cs/comedy1/fr/BndItLkBckDVDPK.htm"} +{"d:Title": "Rotten Tomatoes: Bend It Like Beckham", "d:Description": "Reviews and other movie info.", "topic": "Top/Arts/Movies/Titles/B/Bend_It_Like_Beckham", "url": "http://www.rottentomatoes.com/m/bend_it_like_beckham/"} +{"d:Title": "IMDb: Bend It Like Beckham", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bend_It_Like_Beckham", "url": "http://www.imdb.com/title/tt0286499/"} +{"d:Title": "Bend of the River (1952)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Bend_of_the_River", "url": "http://www.imdb.com/title/tt0044413/"} +{"d:Title": "DVD Savant Review - Bend of the River", "d:Description": "Glenn Erickson's review of the Borden Chase-penned story that \"packs a new kind of action into every reel.\"", "topic": "Top/Arts/Movies/Titles/B/Bend_of_the_River", "url": "http://www.dvdtalk.com/dvdsavant/s800bend.html"} +{"d:Title": "Bend of the River", "d:Description": "Turner Classic Movies look at the western with a \"psychological intensity that was quite startling\" for its time.", "topic": "Top/Arts/Movies/Titles/B/Bend_of_the_River", "url": "http://www.tcm.com/tcmdb/title/19428/Bend-of-the-River/"} +{"d:Title": "AllMovie: Bend of the River", "d:Description": "Synopsis, review, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/B/Bend_of_the_River", "url": "http://www.allmovie.com/movie/bend-of-the-river-v4808"} +{"d:Title": "IMDb: Benji - Off the Leash! (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Benji_-_Off_the_Leash", "url": "http://www.imdb.com/title/tt0315273/"} +{"d:Title": "HARO Online: Benji - Off the Leash", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Benji_-_Off_the_Leash", "url": "http://www.haro-online.com/movies/benji_off_the_leash.html"} +{"d:Title": "Rotten Tomatoes: Benji - Off the Leash!", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Benji_-_Off_the_Leash", "url": "http://www.rottentomatoes.com/m/1134850-benji_off_the_leash/"} +{"d:Title": "Chicago Sun-Times: Benji - Off the Leash!", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Benji_-_Off_the_Leash", "url": "http://www.rogerebert.com/reviews/benji-off-the-leash-2004"} +{"d:Title": "All-Reviews.com: Bent", "d:Description": "Review by Edward Johnson-Ott, with links to other reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bent", "url": "http://www.all-reviews.com/videos-4/bent.htm"} +{"d:Title": "Bent", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/B/Bent", "url": "http://www.mgm.com/title_title.php?title_star=BENT"} +{"d:Title": "IMDb: Bent", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bent", "url": "http://www.imdb.com/title/tt0118698/"} +{"d:Title": "TV Guide Online: Bent", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bent", "url": "http://www.tvguide.com/movies/bent/132472/"} +{"d:Title": "Beowulf (1999 Film)", "d:Description": "Internet Movie Database information.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_-_1999", "url": "http://www.imdb.com/title/tt0120604/"} +{"d:Title": "Rotten Tomatoes: Beowulf", "d:Description": "Synopsis, credits, photos, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_-_2007", "url": "http://www.rottentomatoes.com/m/beowulf/"} +{"d:Title": "IMDb: Beowulf (2007)", "d:Description": "Plot, photo gallery, cast list, trivia, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_-_2007", "url": "http://www.imdb.com/title/tt0442933/"} +{"d:Title": "Wikipedia: Beowulf (2007 film)", "d:Description": "Article with production information and a cast list.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_-_2007", "url": "http://en.wikipedia.org/wiki/Beowulf_(2007_film)"} +{"d:Title": "MovieWeb: Beowulf", "d:Description": "Synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_-_2007", "url": "http://movieweb.com/movie/beowulf/"} +{"d:Title": "IGN Movies: Beowulf", "d:Description": "Interview, news, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_-_2007", "url": "http://www.ign.com/movies/beowulf-2007/theater-41070"} +{"d:Title": "Beowulf and Grendel: Official Movie Site", "d:Description": "Features story information, shooting locations, image gallery, blogs, video interviews and interactive forum.", "priority": "1", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.beowulfandgrendel.com/"} +{"d:Title": "Grendel\u2019s Lair : Beowulf", "d:Description": "Plot synopsis, characters, discussion board, reference material and links for E-text versions of the literary work.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.grendel.org/grendel/beowulf.html"} +{"d:Title": "CanMag: Canadian Beowulf&Grendel Review", "d:Description": "In the prologue \u201cA Hate is Born\u201d, the first sight that catches your eye is an incredible view of a landscape and you are transported almost immediately into the Epic that is Beowulf.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.canmag.com/news/4/3/3384"} +{"d:Title": "Beowulf&Grendel : 2005 TIFF Update", "d:Description": "Based on the famed epic poem, Beowulf and Grendel tells the astonishingly dull and hopelessly irrelevant story of a legendary warrior named Beowulf who must hunt down and kill a murderous troll before it does any more damage. Review by David Nusair.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.reelfilm.com/tiff0509.htm#beowulf"} +{"d:Title": "Potty-Mouthed Dialogue Mars Scenically Stunning 'Beowulf&Grendel'", "d:Description": "Gerard Butler is a vision of unconflicted Viking charisma. By William Arnold.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.seattlepi.com/ae/movies/article/Potty-mouthed-dialogue-mars-scenically-stunning-1206301.php"} +{"d:Title": "Canoe-Jam: 'Beowulf&Grendel' A Brutish Epic", "d:Description": "'Beowulf and Grendel' is a ludicrous movie with mad Icelandic energy, severed body parts, lots of mead, grimy imagery and real frost on the characters' breath, reveals Jim Slotek in his review for the Toronto Sun.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://jam.canoe.com/Movies/2006/03/10/1480879.html"} +{"d:Title": "ComingSoon.net: Beowulf and Grendel", "d:Description": "Production information, crew and cast list, plot summary and trailer.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.comingsoon.net/movie/beowulf-grendel-2006"} +{"d:Title": "Tribute.ca: Beowulf and Grendel", "d:Description": "Offers a short synopsis, star bios and movie stills.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.tribute.ca/movies/beowulf-grendel/10115/"} +{"d:Title": "Beowulf Meets Girl; Girl Meets Troll. Eeew.", "d:Description": "It's intended as a cautionary tale about needless military intervention, as well as a commentary on the danger of making sweeping moral assumptions in foreign policy -- especially when dealing with trolls. The problem is, when you blur the moral lines of the Beowulf saga, you're left with nothing but a bunch of drunks in animal skins, scratching themselves. By Mick LaSalle.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.sfgate.com/movies/article/Beowulf-meets-girl-girl-meets-troll-Eeew-2493842.php"} +{"d:Title": "East Bay Times: Poignant Beast Makes 'Beowulf' Memorable", "d:Description": "Thought-provoking and poignant, the film was shot in Iceland with actors whose accents are, like the source material, often too dense to decipher.", "topic": "Top/Arts/Movies/Titles/B/Beowulf_and_Grendel", "url": "http://www.eastbaytimes.com/2006/06/30/poignant-beast-makes-beowulf-memorable/"} +{"d:Title": "IMDb: Beresina or The last Days of Switzerland (1999)", "d:Description": "Detailed credits, links to reviews of the black comedy.", "topic": "Top/Arts/Movies/Titles/B/Beresina_or_The_Last_Days_of_Switzerland", "url": "http://www.imdb.com/title/tt0204164/combined"} +{"d:Title": "IMDb: Besieged", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Besieged_-_1998", "url": "http://www.imdb.com/title/tt0149723/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Best in Show.\"", "topic": "Top/Arts/Movies/Titles/B/Best_in_Show", "url": "http://www.haro-online.com/movies/best_in_show.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Best_in_Show", "url": "http://crazy4cinema.com/Review/FilmsB/f_best_show.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Best_in_Show", "url": "http://www.all-reviews.com/videos-2/best-in-show.htm"} +{"d:Title": "Rotten Tomatoes - Best in Show (2000)", "d:Description": "Reviews, information on upcoming movies, news, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Best_in_Show", "url": "http://www.rottentomatoes.com/m/best_in_show/"} +{"d:Title": "About.com: Best in Show", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/B/Best_in_Show", "url": "http://homevideo.about.com/od/comedyreviews/a/BestinShowMovie.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Best_in_Show", "url": "http://www.metacritic.com/movie/best-in-show"} +{"d:Title": "AllReaders.com Best in Show Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Best_in_Show", "url": "http://allreaders.com/movie-review-summary/best-in-show-3559"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Best_Laid_Plans", "url": "http://www.metacritic.com/movie/best-laid-plans"} +{"d:Title": "Crazy for Cinema: The Best Little Whorehouse in Texas", "d:Description": "Review and partial cast list.", "topic": "Top/Arts/Movies/Titles/B/Best_Little_Whorehouse_in_Texas,_The", "url": "http://crazy4cinema.com/Review/FilmsB/f_best_little.html"} +{"d:Title": "IMDb: The Best Little Whorehouse in Texas", "d:Description": "Cast and crew, promotional information, trivia, film recommendations, and links.", "topic": "Top/Arts/Movies/Titles/B/Best_Little_Whorehouse_in_Texas,_The", "url": "http://www.imdb.com/title/tt0083642/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Best_Man,_The_-_1998", "url": "http://www.imdb.com/title/tt0133413/"} +{"d:Title": "Box Office Mojo: The Best Man", "d:Description": "Box office data for the film. Includes a comparison with other urban movies.", "topic": "Top/Arts/Movies/Titles/B/Best_Man,_The_-_1999", "url": "http://www.boxofficemojo.com/movies/?id=bestman.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Best_Man,_The_-_1999", "url": "http://www.imdb.com/title/tt0168501/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Best_Man,_The_-_1999", "url": "http://www.metacritic.com/movie/the-best-man"} +{"d:Title": "TV Guide Online: Best Man, The", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Best_Man,_The_-_1999", "url": "http://www.tvguide.com/movies/best-man/134209/"} +{"d:Title": "HARO Online: The Best Two Years", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Best_Two_Years,_The", "url": "http://www.haro-online.com/movies/best_two_years.html"} +{"d:Title": "IMDb: THe Best Two Years (2003)", "d:Description": "Cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Best_Two_Years,_The", "url": "http://www.imdb.com/title/tt0377038/"} +{"d:Title": "Rotten Tomatoes: The Best Two Years", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Best_Two_Years,_The", "url": "http://www.rottentomatoes.com/m/10003258-best_two_years/"} +{"d:Title": "Greatest Films - The Best Years of Our Lives", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.filmsite.org/besty.html"} +{"d:Title": "DVD Movie Guide: The Best Years of Our Lives", "d:Description": "Colin Jacobson reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.dvdmg.com/bestyears.shtml"} +{"d:Title": "The Cinema Laser: The Best Years of Our Lives", "d:Description": "Derek M. Germano's review of the DVD.", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.thecinemalaser.com/dvd_reviews/best-years-of-our-lives-dvd.htm"} +{"d:Title": "Savant Review: The Best Years of Our Lives", "d:Description": "Film information and review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.dvdtalk.com/dvdsavant/s171best.html"} +{"d:Title": "The Best Years of Our Lives, 1946", "d:Description": "Still images from the film, with comments.", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.angelfire.com/film/oscars/bestyears.htm"} +{"d:Title": "MGM Movie Database: The Best Years Of Our Lives", "d:Description": "Official site with synopsis, cast list, sound clips, and trailer.", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.mgm.com/title_title.do?title_star=BESTYEAR"} +{"d:Title": "Reel Classics: The Best Years of Our Lives (1946)", "d:Description": "Cast, crew, awards, articles, image credits.", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.reelclassics.com/Movies/BestYears/bestyears.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Best_Years_of_Our_Lives,_The", "url": "http://www.imdb.com/title/tt0036868/"} +{"d:Title": "TV Guide Online: Better Off Dead", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Better_Off_Dead", "url": "http://www.tvguide.com/movies/better-dead/130921/"} +{"d:Title": "Haro Online", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Better_Than_Chocolate/Reviews", "url": "http://www.haro-online.com/movies/better_than_chocolate.html"} +{"d:Title": "HARO Online: Better Than Sex", "d:Description": "Review of the film by Mongoose, as well as pictures.", "topic": "Top/Arts/Movies/Titles/B/Better_Than_Sex", "url": "http://www.haro-online.com/movies/better_than_sex.html"} +{"d:Title": "Metacritic.com: Better Than Sex", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Better_Than_Sex", "url": "http://www.metacritic.com/movie/better-than-sex"} +{"d:Title": "Home Theater Info: A Better Way to Die", "d:Description": "DVD cover and review of the film.", "topic": "Top/Arts/Movies/Titles/B/Better_Way_to_Die,_A", "url": "http://www.hometheaterinfo.com/abetter.htm"} +{"d:Title": "A Better Way to Die", "d:Description": "Synopsis, Region 4 DVD details and review, and a comparison with the Region 1 version of the disc.", "topic": "Top/Arts/Movies/Titles/B/Better_Way_to_Die,_A", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ReviewID=323"} +{"d:Title": "Internet Movie Database: Better Way to Die, A", "d:Description": "Synopsis, cast and crew, user comments and ratings, production information, distribution details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Better_Way_to_Die,_A", "url": "http://www.imdb.com/title/tt0168504/"} +{"d:Title": "Rotten Tomatoes: Beverly Hills Chihuahua", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Chihuahua", "url": "http://www.rottentomatoes.com/m/10008587-beverly_hills_chihuahua/"} +{"d:Title": "MovieWeb.com: Beverly Hills Chihuahua (2008)", "d:Description": "Summary, synopsis, reviews, photos, videos, and news.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Chihuahua", "url": "http://www.movieweb.com/movie/beverly-hills-chihuahua"} +{"d:Title": "USA Today: Beverly Hills Chihuahua", "d:Description": "Review, by Claudia Puig: \"Alpo is served with a burrito chaser in Beverly Hills Chihuahua, Disney's fish-out-of-water comedy in which the fish is \u2026 well, read the title.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Chihuahua", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-10-02-beverly-hills-chihuahua_N.htm"} +{"d:Title": "IGN: Beverly Hills Chihuahua", "d:Description": "Photos, videos, news, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Chihuahua", "url": "http://www.ign.com/movies/beverly-hills-chihuahua/theater-14225998"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Includes movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop", "url": "http://www.fast-rewind.com/bhcop.htm"} +{"d:Title": "All-Reviews.com: Beverly Hills Cop", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop", "url": "http://www.all-reviews.com/videos-4/beverly-hills-cop.htm"} +{"d:Title": "More Things: Beverly Hills Cop", "d:Description": "A consideration of the underlying implications of Axl Foley's police methods.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop", "url": "http://www.morethings.com/fan/beverly_hills_cop.htm"} +{"d:Title": "Seeing Stars: Beverly Hills Cop", "d:Description": "Information about the movie's filming locations with photographs, addresses and map links.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop", "url": "http://www.seeing-stars.com/Locations/BHCop1.shtml"} +{"d:Title": "IMDb: Beverly Hills Cop", "d:Description": "Includes plot outline, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop", "url": "http://www.imdb.com/title/tt0086960/"} +{"d:Title": "TV Guide Online: Beverly Hills Cop", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop", "url": "http://www.tvguide.com/movies/beverly-hills-cop/106026/"} +{"d:Title": "All-Reviews.com - Beverly Hills Cop III", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop_III", "url": "http://www.all-reviews.com/videos-3/beverly-hills-cop3.htm"} +{"d:Title": "IMDb: Beverly Hills Cop III", "d:Description": "Includes plot outline, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Cop_Series/Beverly_Hills_Cop_III", "url": "http://www.imdb.com/title/tt0109254/"} +{"d:Title": "IMDb: Beverly Hills Ninja", "d:Description": "The Internet Movie Database includes a plot summary, user comments and ratings, and cast credits.", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Ninja", "url": "http://www.imdb.com/title/tt0118708/"} +{"d:Title": "Beverly Hills Ninja", "d:Description": "Review by Walter Frith: \"The entire movie runs like a single handedly played out Three Stooges skit.\"", "topic": "Top/Arts/Movies/Titles/B/Beverly_Hills_Ninja", "url": "http://www.imdb.com/reviews/68/6857.html"} +{"d:Title": "IMDb - Beware Spooks! (1939)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Beware_Spooks", "url": "http://www.imdb.com/title/tt0031095/combined"} +{"d:Title": "Cinebooks Database - Beware, Spooks!", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/B/Beware_Spooks", "url": "http://www.tvguide.com/movies/beware-spooks/106034/"} +{"d:Title": "Internet Movie Database: Beyond Borders", "d:Description": "Synopsis, cast and crew list, reviews, user ratings and comments, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Beyond_Borders", "url": "http://imdb.com/title/tt0294357/"} +{"d:Title": "HARO Online: Beyond Borders", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Beyond_Borders", "url": "http://www.haro-online.com/movies/beyond_borders.html"} +{"d:Title": "All-Reviews.com - Beyond Borders", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beyond_Borders", "url": "http://www.all-reviews.com/videos-5/beyond-borders.htm"} +{"d:Title": "Filmtracks: Beyond Borders", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Beyond_Borders", "url": "http://www.filmtracks.com/titles/beyond_borders.html"} +{"d:Title": "TV Guide Online: Beyond Silence", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Beyond_Silence_-_1996", "url": "http://www.tvguide.com/movies/silence/133346/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Beyond_the_Mat", "url": "http://www.all-reviews.com/videos-3/beyond-the-mat.htm"} +{"d:Title": "Beyond the Mat - Rotten Tomatoes", "d:Description": "Reviews, box office, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Beyond_the_Mat", "url": "http://www.rottentomatoes.com/m/beyond_the_mat/"} +{"d:Title": "IMDb: Beyond the Mat (1999)", "d:Description": "Plot description, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Beyond_the_Mat", "url": "http://www.imdb.com/title/tt0218043/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Beyond_the_Mat", "url": "http://www.metacritic.com/movie/beyond-the-mat"} +{"d:Title": "IMDb: Beyond the Wall of Sleep", "d:Description": "Provides cast and crew details.", "topic": "Top/Arts/Movies/Titles/B/Beyond_the_Wall_of_Sleep", "url": "http://www.imdb.com/title/tt0279688/"} +{"d:Title": "Rotten Tomatoes: Be Kind Rewind", "d:Description": "Synopsis, cast list, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Be_Kind_Rewind", "url": "http://www.rottentomatoes.com/m/be_kind_rewind/"} +{"d:Title": "Cinema Blend: Be Kind Rewind", "d:Description": "Review, by Katey Rich: \"It\u2019s a sweet and simple story that aims to be no bigger than it is, a shaggy dog fable about dreams, community, and the transformative power of even the worst movies.\" [Rating: 4 out of 5]", "topic": "Top/Arts/Movies/Titles/B/Be_Kind_Rewind", "url": "http://www.cinemablend.com/reviews/Be-Kind-Rewind-2919.html"} +{"d:Title": "Moviefone", "d:Description": "Synopsis, trailer, showtimes, cast, crew, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Be_Kind_Rewind", "url": "http://www.moviefone.com/movie/be-kind-rewind/26095/main"} +{"d:Title": "MovieWeb: Be Kind Rewind (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Be_Kind_Rewind", "url": "http://movieweb.com/movie/be-kind-rewind/"} +{"d:Title": "IGN Movies: Be Kind Rewind", "d:Description": "Video, photos, message board, and news.", "topic": "Top/Arts/Movies/Titles/B/Be_Kind_Rewind", "url": "http://www.ign.com/movies/be-kind-rewind/theater-14214455"} +{"d:Title": "PopMatters", "d:Description": "Review of Bicentennial Man", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://popmatters.com/film/reviews/b/bicentennial-man.html"} +{"d:Title": "3 Black Chicks...Bicentennial Man", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://www.3blackchicks.com/bamsbicentennial.html"} +{"d:Title": "Haro Online - Bicentennial Man", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://www.haro-online.com/movies/bicentennial_man.html"} +{"d:Title": "All-Reviews.com - Bicentennial Man", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://www.all-reviews.com/videos/bicentennial-man.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Bicentennial Man - Includes reviews, news, articles, previews, and trailers of Bicentennial Man.", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://www.rottentomatoes.com/m/bicentennial_man/"} +{"d:Title": "Box Office Mojo: Bicentennial Man", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://www.boxofficemojo.com/movies/?id=bicentennialman.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://www.imdb.com/title/tt0182789/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bicentennial_Man", "url": "http://www.metacritic.com/movie/bicentennial-man"} +{"d:Title": "IMDb: Bichunmoo (2000)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bichunmoo", "url": "http://www.imdb.com/title/tt0278351/"} +{"d:Title": "IMDb - Ladri di biciclette (1948)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bicycle_Thieves", "url": "http://www.imdb.com/title/tt0040522/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/B/Big", "url": "http://www.fast-rewind.com/big.htm"} +{"d:Title": "Filmtracks: Big", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Big", "url": "http://www.filmtracks.com/titles/big.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes an overview, credits, awards, reviews, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Big", "url": "http://www.imdb.com/title/tt0094737/"} +{"d:Title": "TV Guide Online: Big", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Big", "url": "http://www.tvguide.com/movies/big/127065/"} +{"d:Title": "HARO Online: Biggie and Tupac", "d:Description": "Mongoose reviews the documentary.", "topic": "Top/Arts/Movies/Titles/B/Biggie_and_Tupac", "url": "http://www.haro-online.com/movies/biggie_and_tupac.html"} +{"d:Title": "IMDb: Biggie and Tupac", "d:Description": "Cast and crew, synopsis, reviews, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/B/Biggie_and_Tupac", "url": "http://www.imdb.com/title/tt0303356/"} +{"d:Title": "Metacritic.com: Big Bad Love", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/B/Big_Bad_Love", "url": "http://www.metacritic.com/movie/big-bad-love"} +{"d:Title": "Big Blue, The: Director's Cut - Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. News, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Big_Blue,_The", "url": "http://www.rottentomatoes.com/m/big_blue_the_directors_cut/"} +{"d:Title": "IMDb: Le Grand bleu (1988)", "d:Description": "Full cast information, synopsis, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Big_Blue,_The", "url": "http://www.imdb.com/title/tt0095250/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Big_Blue,_The", "url": "http://www.metacritic.com/movie/the-big-blue"} +{"d:Title": "AllReaders.com Big Blue Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Big_Blue,_The", "url": "http://allreaders.com/movie-review-summary/the-big-blue-3843"} +{"d:Title": "HARO Online: The Big Bounce", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Big_Bounce,_The", "url": "http://www.haro-online.com/movies/big_bounce.html"} +{"d:Title": "IMDb: The Big Bounce (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Big_Bounce,_The", "url": "http://www.imdb.com/title/tt0315824/"} +{"d:Title": "Rotten Tomatoes: The Big Bounce", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Big_Bounce,_The", "url": "http://www.rottentomatoes.com/m/big_bounce/"} +{"d:Title": "Chicago Sun-Times: The Big Bounce", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Big_Bounce,_The", "url": "http://www.rogerebert.com/reviews/the-big-bounce-2004"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Big_Brass_Ring,_The", "url": "http://www.imdb.com/title/tt0115675/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Big_Chill,_The", "url": "http://www.imdb.com/title/tt0085244/"} +{"d:Title": "TV Guide Online: Big Chill, The", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Big_Chill,_The", "url": "http://www.tvguide.com/movies/big-chill/106927/"} +{"d:Title": "The Big Clock (1948)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Big_Clock,_The", "url": "http://www.imdb.com/title/tt0040160/"} +{"d:Title": "The Big Clock (1948)", "d:Description": "Synopsis, review and photographs from the film.", "topic": "Top/Arts/Movies/Titles/B/Big_Clock,_The", "url": "http://www.eskimo.com/~noir/ftitles/bigclock/"} +{"d:Title": "The Big Clock - A Study in Fundamentals", "d:Description": "Review by Mike White.", "topic": "Top/Arts/Movies/Titles/B/Big_Clock,_The", "url": "http://www.3ammagazine.com/magazine/issue_1/articles/big_clock_1.html"} +{"d:Title": "The Big Clock", "d:Description": "Turner Classic Movies look at the film noir \"directed by John Farrow in an elegant style.\"", "topic": "Top/Arts/Movies/Titles/B/Big_Clock,_The", "url": "http://www.tcm.com/tcmdb/title/68644/The-Big-Clock/"} +{"d:Title": "The Big Combo", "d:Description": "DVD review noting \"the shadows captured by cinematographer John Alton's camera look ominous and threatening.\"", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://www.imagesjournal.com/issue09/reviews/bigcombo/"} +{"d:Title": "Savant Review - The Big Combo", "d:Description": "DVD review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://www.dvdtalk.com/dvdsavant/s112combo.html"} +{"d:Title": "The Big Combo", "d:Description": "DVD review by Stuart J. Kobak.", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://www.filmsondisc.com/DVDpages/big_combo.htm"} +{"d:Title": "The Big Combo (1955)", "d:Description": "Review by Roger Westcombe.", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://www.crimeculture.com/Contents/FilmReviews/BigCombo.htm"} +{"d:Title": "The Big Combo (1955)", "d:Description": "Cast, crew, plot summary and review from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://www.imdb.com/title/tt0047878/"} +{"d:Title": "AvRev: The Big Combo", "d:Description": "DVD review by Bill Warren. \"Not every video purchase has to put a home theater system to the test; this is simply a very good movie, well worth seeing, well worth owning.\"", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://www.avrev.com/dvd-movie-disc-reviews/mystery-suspense/big-combo-the.html"} +{"d:Title": "AllMovie: The Big Combo", "d:Description": "Synopsis, review, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://www.allmovie.com/movie/the-big-combo-v5400"} +{"d:Title": "The Big Combo", "d:Description": "Dennis Schwartz reviews this \"action-packed sleazy crime drama.\"", "topic": "Top/Arts/Movies/Titles/B/Big_Combo,_The", "url": "http://homepages.sover.net/~ozus/bigcombo.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Big_Country,_The", "url": "http://www.imdb.com/title/tt0051411/"} +{"d:Title": "AllReaders.com Review - The Big Country", "d:Description": "Analysis of the characters, plot, theme, and structure of the Gregory Peck and Charlton Heston film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Big_Country,_The", "url": "http://allreaders.com/movie-review-summary/the-big-country-6284"} +{"d:Title": "TV Guide Online: Big Country, The", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Big_Country,_The", "url": "http://www.tvguide.com/movies/big-country/106935/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Big Daddy - A collection of reviews from critics all around the country.", "topic": "Top/Arts/Movies/Titles/B/Big_Daddy", "url": "http://www.rottentomatoes.com/m/big_daddy/"} +{"d:Title": "Box Office Mojo: Big Daddy", "d:Description": "Box office coverage, with detailed breakdowns of the data and comparisons to other movies.", "topic": "Top/Arts/Movies/Titles/B/Big_Daddy", "url": "http://www.boxofficemojo.com/movies/?id=bigdaddy.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Big_Daddy", "url": "http://www.imdb.com/title/tt0142342/"} +{"d:Title": "All-Reviews.com: The Big Easy", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Big_Easy,_The", "url": "http://www.all-reviews.com/videos-4/big-easy.htm"} +{"d:Title": "All-Reviews.com - Big Eden", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Big_Eden", "url": "http://www.all-reviews.com/videos-3/big-eden.htm"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/B/Big_Eden", "url": "http://www.haro-online.com/movies/big_eden.html"} +{"d:Title": "Big Eden", "d:Description": "Official site. Cast, crew, photos, trailer, screenings and message boards.", "priority": "1", "topic": "Top/Arts/Movies/Titles/B/Big_Eden", "url": "http://www.bigeden.com/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Big_Eden", "url": "http://www.metacritic.com/movie/big-eden"} +{"d:Title": "Rotten Tomatoes: The Big Empty", "d:Description": "Includes synopsis, reviews, trailers, cast and image gallery.", "topic": "Top/Arts/Movies/Titles/B/Big_Empty,_The_-_2003", "url": "http://www.rottentomatoes.com/m/1127652-big_empty/"} +{"d:Title": "Yahoo! Movies: The Big Empty", "d:Description": "Contains synopsis, cast, image gallery, trailer, reviews and rating.", "topic": "Top/Arts/Movies/Titles/B/Big_Empty,_The_-_2003", "url": "http://movies.yahoo.com/movie/the-big-empty/"} +{"d:Title": "Internet Movie Database: The Big Empty", "d:Description": "Contains synopsis, trailer, cast and news.", "topic": "Top/Arts/Movies/Titles/B/Big_Empty,_The_-_2003", "url": "http://www.imdb.com/title/tt0321442/"} +{"d:Title": "Big Fat Liar", "d:Description": "Official site includes trailer and plot summary. Requires Flash.", "topic": "Top/Arts/Movies/Titles/B/Big_Fat_Liar", "url": "http://www.bigfatliarmovie.com/"} +{"d:Title": "HARO: Big Fat Liar", "d:Description": "Review looking at teenage actors and the blatant product placement in the film. Also includes some images.", "topic": "Top/Arts/Movies/Titles/B/Big_Fat_Liar", "url": "http://www.haro-online.com/movies/big_fat_liar.html"} +{"d:Title": "All-Reviews: Big Fat Liar", "d:Description": "Various reviews with star ratings.", "topic": "Top/Arts/Movies/Titles/B/Big_Fat_Liar", "url": "http://www.all-reviews.com/videos-4/big-fat-liar.htm"} +{"d:Title": "Rotten Tomatoes: Big Fat Liar", "d:Description": "Reviews, photos, trailer, synopsis, and discussion forum.", "topic": "Top/Arts/Movies/Titles/B/Big_Fat_Liar", "url": "http://www.rottentomatoes.com/m/big_fat_liar/"} +{"d:Title": "IMDb: Big Fat Liar", "d:Description": "Cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/B/Big_Fat_Liar", "url": "http://www.imdb.com/title/tt0265298/"} +{"d:Title": "Metacritic.com: Big Fat Liar", "d:Description": "Reviews of the film from several sources.", "topic": "Top/Arts/Movies/Titles/B/Big_Fat_Liar", "url": "http://www.metacritic.com/movie/big-fat-liar"} +{"d:Title": "Big Fish", "d:Description": "Official site by Sony Pictures. Contains synopsis and trailers.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://www.sonypictures.com/movies/bigfish/"} +{"d:Title": "HARO Online: Big Fish", "d:Description": "Review and movie snapshot.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://www.haro-online.com/movies/big_fish.html"} +{"d:Title": "All-Reviews.com: Big Fish", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://www.all-reviews.com/videos-5/big-fish.htm"} +{"d:Title": "Filmtracks: Big Fish", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://www.filmtracks.com/titles/big_fish.html"} +{"d:Title": "TEN Movies: Big Fish", "d:Description": "Review by Snider Rodrigues.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1060"} +{"d:Title": "Yahoo! Movies: Big Fish", "d:Description": "Contains synopsis, trailers, production images, cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://movies.yahoo.com/movie/big-fish/"} +{"d:Title": "Internet Movie Databas: Big Fish", "d:Description": "Includes plot summary, trailer, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://www.imdb.com/title/tt0319061/"} +{"d:Title": "Rotten Tomatoes: Big Fish", "d:Description": "Includes synopsis, trailers, image gallery and news.", "topic": "Top/Arts/Movies/Titles/B/Big_Fish", "url": "http://www.rottentomatoes.com/m/1127787-big_fish/"} +{"d:Title": "IMDB: Big Fisherman, The (1959)", "d:Description": "Cast, crew, plot summary, awards and nominations, and comments.", "topic": "Top/Arts/Movies/Titles/B/Big_Fisherman,_The", "url": "http://www.imdb.com/title/tt0052627/"} +{"d:Title": "AllReaders.com Review - The Big Green", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Steve Guttenberg, Olivia D'Abo, Jay O. Sanders, John Terry, Chauncey Leopardi, Patrick Renna, Jessie Robertson, Billy L. Sullivan, and Milt Oberman.", "topic": "Top/Arts/Movies/Titles/B/Big_Green,_The", "url": "http://allreaders.com/movie-review-summary/the-big-green-5419"} +{"d:Title": "The Big Heat (1953)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Big_Heat,_The", "url": "http://www.filmsite.org/bigh.html"} +{"d:Title": "The Big Heat (1953)", "d:Description": "Cast and credits from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Big_Heat,_The", "url": "http://www.imdb.com/title/tt0045555/"} +{"d:Title": "The Big Heat (1953)", "d:Description": "DVD review by Dan Heaton of \"one of the top film noir classics.\"", "topic": "Top/Arts/Movies/Titles/B/Big_Heat,_The", "url": "http://www.digitallyobsessed.com/showreview.php3?ID=2816"} +{"d:Title": "The Big Heat", "d:Description": "TV Guide Online review calls this a \"scalding face-full of harsh reality, courtesy Fritz Lang.\"", "topic": "Top/Arts/Movies/Titles/B/Big_Heat,_The", "url": "http://www.tvguide.com/movies/big-heat/106959/"} +{"d:Title": "AllMovie: The Big Heat", "d:Description": "Synopsis, review, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/B/Big_Heat,_The", "url": "http://www.allmovie.com/movie/the-big-heat-v5428"} +{"d:Title": "TV Guide Online: Big Hit, The", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Big_Hit,_The", "url": "http://www.tvguide.com/movies/big-hit/133098/"} +{"d:Title": "HARO Online - The Big Kahuna", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/B/Big_Kahuna,_The", "url": "http://www.haro-online.com/movies/big_kahuna.html"} +{"d:Title": "PopMatters - The Big Kahuna", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Big_Kahuna,_The", "url": "http://popmatters.com/film/reviews/b/big-kahuna.html"} +{"d:Title": "Rotten Tomatoes - The Big Kahuna", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Big_Kahuna,_The", "url": "http://www.rottentomatoes.com/m/big_kahuna/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Big_Kahuna,_The", "url": "http://www.imdb.com/title/tt0189584/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Big_Kahuna,_The", "url": "http://www.metacritic.com/movie/the-big-kahuna"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Big_Lebowski,_The", "url": "http://crazy4cinema.com/Review/FilmsB/f_lebowski.html"} +{"d:Title": "Lebowski Fest", "d:Description": "Celebrating all things Lebowski. Regional event schedules and archive of past events, photos, and online store.", "topic": "Top/Arts/Movies/Titles/B/Big_Lebowski,_The", "url": "http://www.lebowskifest.com/"} +{"d:Title": "IMDb", "d:Description": "The Big Lebowski - Includes an overview, credits, awards, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/B/Big_Lebowski,_The", "url": "http://www.imdb.com/title/tt0118715/"} +{"d:Title": "AllReaders.com Review - The Big Lebowski", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Jeff Bridges, John Goodman, Steve Buscemi, Julianne Moore, Peter Stormare, Flea, and P.S.Hoffman.", "topic": "Top/Arts/Movies/Titles/B/Big_Lebowski,_The", "url": "http://allreaders.com/movie-review-summary/the-big-lebowski-3887"} +{"d:Title": "TV Guide Online: Big Lebowski, The", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Big_Lebowski,_The", "url": "http://www.tvguide.com/movies/big-lebowski/132573/"} +{"d:Title": "PopMatters - Big Momma's House", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Big_Momma's_House", "url": "http://popmatters.com/film/reviews/b/big-mommas-house.html"} +{"d:Title": "HARO Online - Big Momma's House", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Big_Momma's_House", "url": "http://www.haro-online.com/movies/big_mommas_house.html"} +{"d:Title": "All-Reviews.com - Big Momma's House", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/B/Big_Momma's_House", "url": "http://www.all-reviews.com/videos/big-mommas-house.htm"} +{"d:Title": "Rotten Tomatoes - Big Momma's House", "d:Description": "Includes reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Big_Momma's_House", "url": "http://www.rottentomatoes.com/m/big_mommas_house/"} +{"d:Title": "Box Office Mojo - Big Momma's House", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/B/Big_Momma's_House", "url": "http://www.boxofficemojo.com/movies/?id=bigmommashouse.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Big_Momma's_House", "url": "http://www.imdb.com/title/tt0208003/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Big_Momma's_House", "url": "http://www.metacritic.com/movie/big-mommas-house"} +{"d:Title": "All-Reviews.com - Big Night", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Big_Night", "url": "http://www.all-reviews.com/videos-3/big-night.htm"} +{"d:Title": "Metacritic.com: Big Night", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Big_Night", "url": "http://www.metacritic.com/movie/big-night"} +{"d:Title": "IMDb: The Big Red One (1980)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Big_Red_One,_The", "url": "http://www.imdb.com/title/tt0080437/"} +{"d:Title": "Rotten Tomatoes: The Big Red One", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Big_Red_One,_The", "url": "http://www.rottentomatoes.com/m/big_red_one/"} +{"d:Title": "Rogerebert.com: The Big Red One", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/B/Big_Red_One,_The", "url": "http://www.rogerebert.com/reviews/the-big-red-one-1980"} +{"d:Title": "All-Reviews.com - The Big Squeeze", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Big_Squeeze,_The", "url": "http://www.all-reviews.com/videos-3/big-squeeze.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "The Big Tease - Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/B/Big_Tease,_The", "url": "http://www.rottentomatoes.com/m/big_tease/"} +{"d:Title": "IMDb - The Big Tease", "d:Description": "Includes an overview, credits, awards, reviews, quotes, taglines, and links.", "topic": "Top/Arts/Movies/Titles/B/Big_Tease,_The", "url": "http://www.imdb.com/title/tt0156639/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Big_Tease,_The", "url": "http://www.metacritic.com/movie/the-big-tease"} +{"d:Title": "Roger Ebert - The Big Tease", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Big_Tease,_The", "url": "http://www.rogerebert.com/reviews/the-big-tease-2000"} +{"d:Title": "Rotten Tomatoes: Big Trouble", "d:Description": "The movie guide includes links to reviews, photos, the trailer, and news about the film.", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble", "url": "http://www.rottentomatoes.com/m/1109942-big_trouble/"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the film \"Okay.\"", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble/Reviews", "url": "http://www.haro-online.com/movies/big_trouble.html"} +{"d:Title": "All-Reviews.com - Big Trouble", "d:Description": "Multiple reviews of the movie, averaging a 1/4 star rating.", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble/Reviews", "url": "http://www.all-reviews.com/videos-4/big-trouble.htm"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble/Reviews", "url": "http://www.metacritic.com/movie/big-trouble"} +{"d:Title": "The 80's Movies Rewind: Big Trouble in Little China", "d:Description": "Includes an overview, trivia, review, and links.", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble_in_Little_China", "url": "http://www.fast-rewind.com/btlc.htm"} +{"d:Title": "Wing Kong Exchange", "d:Description": "Features videos, pictures, sounds, music, forum for Big Trouble in Little China.", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble_in_Little_China", "url": "http://www.wingkong.net/"} +{"d:Title": "IMDb: Big Trouble in Little China", "d:Description": "Cast, crew, and other information on the film.", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble_in_Little_China", "url": "http://www.imdb.com/title/tt0090728/"} +{"d:Title": "AllReaders.com: Big Trouble in Little China", "d:Description": "Analysis of the Kurt Russell film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Big_Trouble_in_Little_China", "url": "http://allreaders.com/movie-review-summary/big-trouble-in-little-china-5721"} +{"d:Title": "IMDb: Biker Dreams", "d:Description": "Plot summary, cast, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Biker_Dreams", "url": "http://www.imdb.com/title/tt0169612/"} +{"d:Title": "HARO Online: Billabong Odyssey", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Billabong_Odyssey", "url": "http://www.haro-online.com/movies/billabong_odyssey.html"} +{"d:Title": "Internet Movie Database: Billabong Odyssey", "d:Description": "Cast and crew, production and distribution information, posters, and links.", "topic": "Top/Arts/Movies/Titles/B/Billabong_Odyssey", "url": "http://www.imdb.com/title/tt0379713/"} +{"d:Title": "TV Guide Online: Billy's Hollywood Screen Kiss", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Billy's_Hollywood_Screen_Kiss", "url": "http://www.tvguide.com/movies/billys-hollywood-screen-kiss/131253/"} +{"d:Title": "On-Line Reviews: Billy Elliot", "d:Description": "by Christian Leopold Shea.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://the-grand-panjandrum.tripod.com/billyelliot.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://www.haro-online.com/movies/billy_elliot.html"} +{"d:Title": "All-Reviews.com: Billy Elliot", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://www.all-reviews.com/videos/billy-elliot.htm"} +{"d:Title": "PopMatters", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://popmatters.com/film/reviews/b/billy-elliot.html"} +{"d:Title": "The Movie Spoiler - Billy Elliot", "d:Description": "Spoiler of the plot of the film.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://www.themoviespoiler.com/Spoilers/billyelliot.html"} +{"d:Title": "About.com: Billy Elliot", "d:Description": "Review of the film by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://homevideo.about.com/library/blpick042601.htm"} +{"d:Title": "Rotten Tomatoes: Billy Elliot", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://www.rottentomatoes.com/m/billy_elliot/"} +{"d:Title": "IMDb: Billy Eliot", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://www.imdb.com/title/tt0249462/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://www.metacritic.com/movie/billy-elliot"} +{"d:Title": "AllReaders.com Review - Billy Elliot", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies. The film stars Jamie Bell and Julie Walters.", "topic": "Top/Arts/Movies/Titles/B/Billy_Elliot", "url": "http://allreaders.com/movie-review-summary/billy-elliot-3974"} +{"d:Title": "Billy Jack", "d:Description": "Official site with movie information, chat room, store, and the political views and goals of Tom Laughlin.", "priority": "1", "topic": "Top/Arts/Movies/Titles/B/Billy_Jack", "url": "http://www.billyjack.com/"} +{"d:Title": "IMBd: Billy Jack", "d:Description": "Features cast, crew, reviews, plot summary, and message board.", "topic": "Top/Arts/Movies/Titles/B/Billy_Jack", "url": "http://imdb.com/title/tt0066832/combined"} +{"d:Title": "Badmovies: Billy Jack", "d:Description": "Includes review, video and sound clips, and images.", "topic": "Top/Arts/Movies/Titles/B/Billy_Jack", "url": "http://www.badmovies.org/movies/billyjack/"} +{"d:Title": "Rottentomatoes: Billy Jack", "d:Description": "Includes public reviews, professional reviews and articles, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Billy_Jack", "url": "http://www.rottentomatoes.com/m/billy_jack/"} +{"d:Title": "AllMovie: Billy Jack", "d:Description": "Synopsis, review, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/B/Billy_Jack", "url": "http://www.allmovie.com/movie/billy-jack-v5648"} +{"d:Title": "P&R: Billy Madison", "d:Description": "Trivia, sound downloads, pictures, and a live chat room.", "topic": "Top/Arts/Movies/Titles/B/Billy_Madison", "url": "http://www.angelfire.com/ma/madisonpr/"} +{"d:Title": "Internet Movie Database: Billy Madison (1995)", "d:Description": "Credits.", "topic": "Top/Arts/Movies/Titles/B/Billy_Madison", "url": "http://www.imdb.com/title/tt0112508/"} +{"d:Title": "Allreaders Billy Madison Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Billy_Madison", "url": "http://allreaders.com/movie-review-summary/billy-madison-5486"} +{"d:Title": "Billy Madison (1995) - 3.3.5", "d:Description": "Parents' review of the movie Billy Madison.", "topic": "Top/Arts/Movies/Titles/B/Billy_Madison/Reviews", "url": "http://www.kids-in-mind.com/B/billy_madison_1995__335.htm"} +{"d:Title": "Deseret News: Billy Madison", "d:Description": "Review of the film by Chris Hicks. \"But here, completely unrestrained and on-screen for nearly the entire film, Sandler is just gratingly obnoxious.\"", "topic": "Top/Arts/Movies/Titles/B/Billy_Madison/Reviews", "url": "http://www.deseretnews.com/article/700000190/Billy-Madison.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/B/Bill_and_Ted_Series/Bill_and_Ted's_Excellent_Adventure", "url": "http://www.fast-rewind.com/bill_ted.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits and plot information.", "topic": "Top/Arts/Movies/Titles/B/Bill_and_Ted_Series/Bill_and_Ted's_Excellent_Adventure", "url": "http://www.imdb.com/title/tt0096928/"} +{"d:Title": "Biohazardous", "d:Description": "Official site. Plot summary, cast and crew biographies, pictures, trailer, and links.", "topic": "Top/Arts/Movies/Titles/B/Biohazardous", "url": "http://www.moodudefilms.com/"} +{"d:Title": "IMDb: Biohazardous (2001)", "d:Description": "Cast information.", "topic": "Top/Arts/Movies/Titles/B/Biohazardous", "url": "http://www.imdb.com/title/tt0284907/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Birdcage,_The", "url": "http://crazy4cinema.com/Review/FilmsB/f_birdcage.html"} +{"d:Title": "All-Reviews.com - The Birdcage", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Birdcage,_The", "url": "http://www.all-reviews.com/videos-3/birdcage.htm"} +{"d:Title": "The Birdcage at MGM", "d:Description": "Includes video and audio clips.", "topic": "Top/Arts/Movies/Titles/B/Birdcage,_The", "url": "http://www.mgm.com/title_title.php?title_star=BIRDCAGE"} +{"d:Title": "IMDb - Birdcage, The", "d:Description": "Includes an overview, credits, awards, reviews, quotes, and other information from The Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Birdcage,_The", "url": "http://www.imdb.com/title/tt0115685/"} +{"d:Title": "Colditz Castle : The Birdmen", "d:Description": "Article and pictures showing the glider and castle which 1971 film was based on.", "topic": "Top/Arts/Movies/Titles/B/Birdmen,_The", "url": "http://www.colditz-4c.com/glider.htm#stop"} +{"d:Title": "IMDb.com - The Birdmen (1971)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Birdmen,_The", "url": "http://www.imdb.com/title/tt0066833/"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes a cast list, awards, reviews, plot summary, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Birds,_The", "url": "http://www.imdb.com/title/tt0056869/"} +{"d:Title": "AllReaders.com Review - The Birds", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Birds,_The", "url": "http://allreaders.com/movie-review-summary/the-birds-4183"} +{"d:Title": "IMDb - Bird of Paradise", "d:Description": "Information about the film.", "topic": "Top/Arts/Movies/Titles/B/Bird_of_Paradise_-_1951", "url": "http://www.imdb.com/title/tt0043343/"} +{"d:Title": "HARO Online: Birthday Girl", "d:Description": "Review of the film by Haro. Includes screen shots.", "topic": "Top/Arts/Movies/Titles/B/Birthday_Girl", "url": "http://www.haro-online.com/movies/birthday_girl.html"} +{"d:Title": "All-Reviews.com: Birthday Girl", "d:Description": "Review by Susan Granger, with links to other reviews of the film.", "topic": "Top/Arts/Movies/Titles/B/Birthday_Girl", "url": "http://www.all-reviews.com/videos-4/birthday-girl.htm"} +{"d:Title": "About Romantic Movies: Birthday Girl", "d:Description": "Review, production notes, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/B/Birthday_Girl", "url": "http://romanticmovies.about.com/cs/birthdaygirl/"} +{"d:Title": "Cosmopolis: Birthday Girl", "d:Description": "Review, pictures, and cast and crew biographies.", "topic": "Top/Arts/Movies/Titles/B/Birthday_Girl", "url": "http://www.cosmopolis.ch/english/cosmo34/birthday_girl.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Birthday_Girl", "url": "http://www.imdb.com/title/tt0188453/"} +{"d:Title": "Contactmusic.com: Birthday Girl", "d:Description": "Plot overview, multimedia, cast biographies, and production notes.", "topic": "Top/Arts/Movies/Titles/B/Birthday_Girl", "url": "http://www.contactmusic.com/pages/internetbridex17x06x02"} +{"d:Title": "Metacritic.com: Birthday Girl", "d:Description": "Quotes from and links to reviews of the film from several sources.", "topic": "Top/Arts/Movies/Titles/B/Birthday_Girl", "url": "http://www.metacritic.com/movie/birthday-girl"} +{"d:Title": "Birth", "d:Description": "Official site features a trailer, synopsis, images, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/B/Birth_-_2004", "url": "http://www.birthmovie.com/"} +{"d:Title": "IMDb: Birth (2004)", "d:Description": "Provides credits, plot outline, cast, and crew details.", "topic": "Top/Arts/Movies/Titles/B/Birth_-_2004", "url": "http://www.imdb.com/title/tt0337876/"} +{"d:Title": "Indielondon: Birth, Kidman booed at Venice (2004)", "d:Description": "Article concerning the controversy surrounding Kidman kissing a young boy in the film.", "topic": "Top/Arts/Movies/Titles/B/Birth_-_2004", "url": "http://www.indielondon.co.uk/film/birth_venice04.htm"} +{"d:Title": "BBC News: Kidman Fends off Movie Hostility", "d:Description": "Article detailing the films debut in Venice, and a press conference where Lauren Bacall dismissed Kidman as too young to be a 'legend'.", "topic": "Top/Arts/Movies/Titles/B/Birth_-_2004", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3640424.stm"} +{"d:Title": "Birth", "d:Description": "Haro-online reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Birth_-_2004", "url": "http://www.haro-online.com/movies/birth.html"} +{"d:Title": "All-Reviews.com: Birth", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Birth_-_2004", "url": "http://www.all-reviews.com/videos-5/birth.htm"} +{"d:Title": "Greatest Films - The Birth of a Nation (1915)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Birth_of_a_Nation,_The", "url": "http://www.filmsite.org/birt.html"} +{"d:Title": "The Birth of a Nation", "d:Description": "IMDb listing includes an overview, awards, reviews, quotes, trivia, and other details.", "topic": "Top/Arts/Movies/Titles/B/Birth_of_a_Nation,_The", "url": "http://www.imdb.com/title/tt0004972/"} +{"d:Title": "IMDb - The Bishop's Wife", "d:Description": "Includes a cast/crew list, awards, reviews, plot summary, quotes, and other details.", "topic": "Top/Arts/Movies/Titles/B/Bishop's_Wife,_The", "url": "http://www.imdb.com/title/tt0039190/"} +{"d:Title": "Polanski's Bitter Moon", "d:Description": "Lawrence Russell review.", "topic": "Top/Arts/Movies/Titles/B/Bitter_Moon", "url": "http://www.culturecourt.com/F/Noir/BitterMoon.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bitter_Moon", "url": "http://www.all-reviews.com/videos-3/bitter-moon.htm"} +{"d:Title": "Washingtonpost.com: Desson Howe", "d:Description": "Review of this 1994 film from Roman Polanski.", "topic": "Top/Arts/Movies/Titles/B/Bitter_Moon", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/bittermoonrhowe_a0b049.htm"} +{"d:Title": "IMDb: Bitter Moon", "d:Description": "Synopsis, cast and crew, and viewer comments.", "topic": "Top/Arts/Movies/Titles/B/Bitter_Moon", "url": "http://www.imdb.com/title/tt0104779/"} +{"d:Title": "Rogerebert.com - Bitter Moon", "d:Description": "Roger Ebert reviews Bitter Moon for the Sun Times.", "topic": "Top/Arts/Movies/Titles/B/Bitter_Moon", "url": "http://www.rogerebert.com/reviews/bitter-moon-1994"} +{"d:Title": "TV Guide Online: Bitter Moon", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bitter_Moon", "url": "http://www.tvguide.com/movies/bitter-moon/129817/"} +{"d:Title": "BBC Films: Blackball", "d:Description": "Synopsis, cast and crew, interviews, photographs, review, and reader comments.", "topic": "Top/Arts/Movies/Titles/B/Blackball", "url": "http://www.bbc.co.uk/films/2003/08/27/blackball_2003_review.shtml"} +{"d:Title": "Guardian Unlimited Film: Blackball", "d:Description": "Film information, reviews, articles, and related links.", "topic": "Top/Arts/Movies/Titles/B/Blackball", "url": "http://www.theguardian.com/film/movie/96646/blackball"} +{"d:Title": "Internet Movie Database: Blackball", "d:Description": "Synopsis, cast and crew, user comments and ratings, production information, trivia, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Blackball", "url": "http://www.imdb.com/title/tt0337879/"} +{"d:Title": "IMDb - Blackjack", "d:Description": "Includes an overview, credits, and taglines from The Internet Movie Database", "topic": "Top/Arts/Movies/Titles/B/Blackjack", "url": "http://www.imdb.com/title/tt0172180/"} +{"d:Title": "TV Guide Online: Blackjack", "d:Description": "Includes movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Blackjack", "url": "http://www.tvguide.com/movies/blackjack/133245/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Black_and_White_-_1998", "url": "http://www.imdb.com/title/tt0149898/"} +{"d:Title": "PopMatters - Black and White", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Black_and_White_-_2000", "url": "http://popmatters.com/film/reviews/b/black-and-white.html"} +{"d:Title": "Haro Online - Black and White", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Black_and_White_-_2000", "url": "http://www.haro-online.com/movies/black_and_white.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Black_and_White_-_2000", "url": "http://www.all-reviews.com/videos-3/black-and-white.htm"} +{"d:Title": "Rotten Tomatoes - Black and White", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Black_and_White_-_2000", "url": "http://www.rottentomatoes.com/m/1096182-black_and_white/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Black_and_White_-_2000", "url": "http://www.imdb.com/title/tt0165643/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Black_and_White_-_2000", "url": "http://www.metacritic.com/movie/black-and-white"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Black_Beauty", "url": "http://www.all-reviews.com/videos-2/black-beauty.htm"} +{"d:Title": "IMDb: Black Beauty (1994)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, trailer, film details and links.", "topic": "Top/Arts/Movies/Titles/B/Black_Beauty", "url": "http://imdb.com/title/tt0109279/"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "Short review: \"Features all manner of strangenesses from quasi-necrophilia to satanism to a man skinned alive in gruesome silhouette.\"", "topic": "Top/Arts/Movies/Titles/B/Black_Cat,_The_-_1934", "url": "http://www.brightlightsfilm.com/23/universalhorror.html#blackcat"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Black_Cat_White_Cat", "url": "http://www.imdb.com/title/tt0118843/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Black_Cat_White_Cat", "url": "http://www.metacritic.com/movie/black-cat-white-cat"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Black_Circle_Boys", "url": "http://www.imdb.com/title/tt0118730/"} +{"d:Title": "About.com: Black Hawk Down", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down", "url": "http://homevideo.about.com/library/weekly/aafpr062602.htm"} +{"d:Title": "BBC: Jingoism Jibe over Black Hawk Down", "d:Description": "\"Ridley Scott denies criticisms that his blockbuster about the war in Somalia is patriotic and jingoistic at the expense of the truth.\" By Jonathan Fryer.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down", "url": "http://news.bbc.co.uk/1/hi/world/africa/1773466.stm"} +{"d:Title": "IMDb: Black Hawk Down (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down", "url": "http://www.imdb.com/title/tt0265086/"} +{"d:Title": "Rotten Tomatoes - Black Hawk Down", "d:Description": "A collection of reviews from the nation's top critics, photos, trailers, and a public forum.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down", "url": "http://www.rottentomatoes.com/m/black_hawk_down/"} +{"d:Title": "Slate: What Black Hawk Down Leaves Out", "d:Description": "\"That Somalia raid really was more a debacle than a victory.\" By Mickey Kaus.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down", "url": "http://slate.com/?id=2060941"} +{"d:Title": "Awesome Stories: Black Hawk Down", "d:Description": "Provides the historical background behind the film, with links to online resources embedded throughout the text.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down", "url": "http://www.awesomestories.com/flicks/black-hawk"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the film \"Pretty Good.\"", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down/Reviews", "url": "http://www.haro-online.com/movies/black_hawk_down.html"} +{"d:Title": "Ninth Symphony Films: Black Hawk Down", "d:Description": "Review of the film, synopsis, images, and links.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/blackhawkdown.html"} +{"d:Title": "ScottManning.com: Black Hawk Down", "d:Description": "Scott A Manning takes a close look at this box office hit.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down/Reviews", "url": "http://www.scottmanning.com/archives/000442.php"} +{"d:Title": "Slashdot | Review: Black Hawk Down", "d:Description": "Review and discussion about the movie.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down/Reviews", "url": "http://features.slashdot.org/article.pl?sid=02/01/19/2350243"} +{"d:Title": "Metacritic.com: Black Hawk Down", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, and rating.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down/Reviews", "url": "http://www.metacritic.com/movie/black-hawk-down"} +{"d:Title": "Future Movies: Black Hawk Down", "d:Description": "Review including Nik Huggins.", "topic": "Top/Arts/Movies/Titles/B/Black_Hawk_Down/Reviews", "url": "https://www.futuremovies.co.uk/reviews/black-hawk-down/nik-huggins"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the film \"Pretty Bad.\"", "topic": "Top/Arts/Movies/Titles/B/Black_Knight", "url": "http://www.haro-online.com/movies/black_knight.html"} +{"d:Title": "Ninth Symphony Films: Black Knight", "d:Description": "A review of the movie with information and photos from the film.", "topic": "Top/Arts/Movies/Titles/B/Black_Knight", "url": "http://regencylady.tripod.com/site/filmreviews/blackknight.html"} +{"d:Title": "Rotten Tomatoes: Black Knight", "d:Description": "Links to reviews from the nation's top critics, photos, the trailer, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Black_Knight", "url": "http://www.rottentomatoes.com/m/black_knight/"} +{"d:Title": "Medieval Times for a Traveler Far From King Arthur's Court", "d:Description": "Critique with ratings advice and a list of the main cast and crew. By Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/B/Black_Knight", "url": "http://www.nytimes.com/2001/11/21/movies/film-review-medieval-times-for-a-traveler-far-from-king-arthur-s-court.html"} +{"d:Title": "IMDb: Black Knight", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Black_Knight", "url": "http://www.imdb.com/title/tt0265087/"} +{"d:Title": "Metacritic's Black Knight", "d:Description": "Provides professional and amateur reviews along with links to full reviews.", "topic": "Top/Arts/Movies/Titles/B/Black_Knight", "url": "http://www.metacritic.com/movie/black-knight"} +{"d:Title": "Haro Online - Black Mask", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Black_Mask", "url": "http://www.haro-online.com/movies/black_mask.html"} +{"d:Title": "Black Mask (1999) - Rotten Tomatoes", "d:Description": "Reviews of Black Mask from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/B/Black_Mask", "url": "http://www.rottentomatoes.com/m/black_mask/"} +{"d:Title": "IMDb: Black Mask (Hak Hap) (1996)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Black_Mask", "url": "http://www.imdb.com/title/tt0115693/"} +{"d:Title": "QNetwork: Black Narcissus (1947)", "d:Description": "James Kendrick's review, cast, and notes on the available formats.", "topic": "Top/Arts/Movies/Titles/B/Black_Narcissus", "url": "http://www.qnetwork.com/?page=review&id=115"} +{"d:Title": "iMDB: Black Narcissus (1947)", "d:Description": "Plot summary, user comments, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Black_Narcissus", "url": "http://www.imdb.com/title/tt0039192/"} +{"d:Title": "TV Guide Online: Black Narcissus", "d:Description": "Review, cast, and credits. Rated 5 stars.", "topic": "Top/Arts/Movies/Titles/B/Black_Narcissus", "url": "http://www.tvguide.com/movies/black-narcissus/108630/"} +{"d:Title": "IMDb: Black Sheep (2006/I)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Black_Sheep", "url": "http://www.imdb.com/title/tt0779982/"} +{"d:Title": "Rotten Tomatoes: Black Sheep", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Black_Sheep", "url": "http://www.rottentomatoes.com/m/black_sheep/"} +{"d:Title": "MovieWeb: Black Sheep (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Black_Sheep", "url": "http://movieweb.com/movie/black-sheep/"} +{"d:Title": "IGN Movies: Black Sheep", "d:Description": "Photos, videos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Black_Sheep", "url": "http://www.ign.com/movies/black-sheep/theater-893403"} +{"d:Title": "IMDb: Black Snake Moan", "d:Description": "Features cast list, pictures, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Black_Snake_Moan", "url": "http://www.imdb.com/title/tt0462200/"} +{"d:Title": "RogerEbert.com: Black Snake Moan", "d:Description": "Review of the 2007 film starring Samuel L. Jackson and Christina Ricci.", "topic": "Top/Arts/Movies/Titles/B/Black_Snake_Moan", "url": "http://www.rogerebert.com/reviews/black-snake-moan-2007"} +{"d:Title": "TV Guide Online: Black Snake Moan", "d:Description": "Contains the cast, a primarily postive review and a picture.", "topic": "Top/Arts/Movies/Titles/B/Black_Snake_Moan", "url": "http://www.tvguide.com/movies/black-snake-moan/286020/"} +{"d:Title": "Apple.com: Black Snake Moan", "d:Description": "Offers a trailer for the movie and a link to the official site.", "topic": "Top/Arts/Movies/Titles/B/Black_Snake_Moan", "url": "http://trailers.apple.com/trailers/paramount_vantage/blacksnakemoan/"} +{"d:Title": "All-Reviews.com: Black Widow", "d:Description": "Review of the film by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Black_Widow_-_1987", "url": "http://www.all-reviews.com/videos-4/black-widow.htm"} +{"d:Title": "IMDb: Black Widow", "d:Description": "Cast and crew, production details, reviews, synopsis, quotations, trivia, technical information, and related links.", "topic": "Top/Arts/Movies/Titles/B/Black_Widow_-_1987", "url": "http://www.imdb.com/title/tt0090738/"} +{"d:Title": "Blade Runner - The Replicant Site", "d:Description": "Has movie errors, cast, images, quotes, game section and discusses technology, curiosities and different versions of BR. Also has a large download section.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.blade-runner.it/"} +{"d:Title": "Trussel's EclectiCity: Blade Runner", "d:Description": "Includes a brief overview of the film's history, book information, poster/cover art, and copies of early screenplay drafts.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.trussel.com/f_blade.htm"} +{"d:Title": "BladeZone", "d:Description": "Site for the Blade Runner Fan Club. Articles, interviews, comics, images, sounds, music, exclusive interviews, fan fiction and online store. Has a section on the game.", "priority": "1", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.bladezone.com/"} +{"d:Title": "Official Blade Runner On-Line Magazine", "d:Description": "Based on collector's edition BR Souvenir Magazine. Focused on the movie, but also includes biographical information and the last interview Philip K. Dick gave before his death.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.devo.com/bladerunner/"} +{"d:Title": "Los Angeles, 2019", "d:Description": "Contains information about the film, cast, crew, and books. Also has pictures, music files, movie clips, icons, and fonts.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.tyrell-corporation.pp.se/"} +{"d:Title": "Bladerunner on Indra", "d:Description": "Fansite with focus on PKD, the creator of Bladerunner.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.indranet.com/potpourri/bladerunner/bladerunner.html"} +{"d:Title": "The Stevens Online World of Blade Runner", "d:Description": "Fanpage dedicated to both the game and the film. Synopsis, soundtrack information, cast and crew biographies, quotes, symbolism, errors, trivia, pictures, and game guide.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.users.globalnet.co.uk/~stvens/stvens_br.htm"} +{"d:Title": "Blade Runner Insight", "d:Description": "Analytical articles, image galleries, script, interviews, and links.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.br-insight.com/"} +{"d:Title": "Blade Runner: Filming Location", "d:Description": "A site focused on Bradbury Building and its role in the film. Also has some links to the director and starring cast.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.movie-locations.com/movies/b/bladerun.html"} +{"d:Title": "KippleZone", "d:Description": "Fan fiction, poems and music based on the movie and the novel that inspired it.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "https://sites.google.com/site/kipplezone/"} +{"d:Title": "The Blade Runner Webring", "d:Description": "For all sites (in any language) with Blade Runner related content, either for the movie or the game based on it.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.webring.org/hub?ring=bladerunner"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes cast/credits, awards, reviews, plot summary, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.imdb.com/title/tt0083658/"} +{"d:Title": "All Movie: Blade Runner", "d:Description": "Plot synopsis, short review by Lucia Bozzola, along with cast and production credits, awards and related movies.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner", "url": "http://www.allmovie.com/movie/blade-runner-v5994"} +{"d:Title": "Amedeo Felix's Essay: Reality Or Simulacra", "d:Description": "Reality Or Simulacra is an essay, by Amedeo Felix, exploring postmodernism and notions of reality through the film Blade Runner and the literature of Philip K Dick.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Articles_and_Interviews", "url": "http://www.amedeofelix.com/BladeRunner.html"} +{"d:Title": "The Dystopian World of Blade Runner: An Ecofeminist Perspective", "d:Description": "An exploration of the many themes including mastery of nature, mythical references, concequences of corporate control and the irony of it all.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Articles_and_Interviews", "url": "http://trumpeter.athabascau.ca/index.php/trumpet/article/viewFile/172/211"} +{"d:Title": "All-Reviews.com - Blade Runner", "d:Description": "Given (4/4 stars) by AlexI, with additional reviews at the bottom.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.all-reviews.com/videos/bladerunner.htm"} +{"d:Title": "Filmsite.org", "d:Description": "Detailed review, synopsis and discussion of the film by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.filmsite.org/blad.html"} +{"d:Title": "BBC - Films - Blade Runner", "d:Description": "Reviewed by Nick Cramp, given (5/5 stars).", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.bbc.co.uk/films/2001/02/07/blade_runner_1982_review.shtml"} +{"d:Title": "WashingtonPost.com", "d:Description": "Written by Desson Howe.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/bladerunnerrhowe_a0af01.htm"} +{"d:Title": "WashingtonPost.com", "d:Description": "Written by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/bladerunnerrkempley_a0a2e1.htm"} +{"d:Title": "The Sci-Fi Movie Page: Blade Runner", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.scifimoviepage.com/julypick.html"} +{"d:Title": "TV Guide Online: Blade Runner", "d:Description": "Includes review (4/5 stars), plot and cast list.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://movies.tvguide.com/blade-runner/109566"} +{"d:Title": "Hollywood Bitchslap", "d:Description": "Greg Muskewitz gives BR a B- and considers it \"never sufficiently compelling enough.\"", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.hollywoodbitchslap.com/review.php?movie=924&reviewer=1"} +{"d:Title": "Hollywood Bitchslap", "d:Description": "\"In my mind, the greatest sci-fi film of all time succeeds on many levels, not the least of which is subtlety.\" Written by BBReBozo.", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.hollywoodbitchslap.com/review.php?movie=924&reviewer=2"} +{"d:Title": "SunTimes.com - Blade Runner [Director's Cut]", "d:Description": "Written by Roger Ebert. He gives Blade Runner three stars and maintains his previous stance that \"the human story did not measure up to the special effects\".", "topic": "Top/Arts/Movies/Titles/B/Blade_Runner/Reviews", "url": "http://www.rogerebert.com/reviews/blade-runner-directors-cut-1992"} +{"d:Title": "The Bloodpack", "d:Description": "Fan tribute featuring the elite vampire crew member profiles, as well as photographs, cast lists, and synopses for both films.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series", "url": "http://bloodpacker.tripod.com/thebloodpack/"} +{"d:Title": "All-Reviews.com - Blade", "d:Description": "Compiled reviews of the film", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade", "url": "http://www.all-reviews.com/videos/blade.htm"} +{"d:Title": "VnS Dictionary: Blade", "d:Description": "Collection of short video outtakes from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade", "url": "http://movieclips121199.tripod.com/blade.html"} +{"d:Title": "Rotten Tomatoes: Blade", "d:Description": "Quotes from and links to reviews from various sources, plot synopsis, DVD and video information, and a public forum.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade", "url": "http://www.rottentomatoes.com/m/1083484-blade/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade", "url": "http://www.imdb.com/title/tt0120611/"} +{"d:Title": "KillerMovies - Blade 3", "d:Description": "News about the production for the upcoming sequel.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_-_Trinity", "url": "http://www.killermovies.com/b/blade3/"} +{"d:Title": "Blade - Trinity", "d:Description": "Haro-online reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_-_Trinity", "url": "http://www.haro-online.com/movies/blade_trinity.html"} +{"d:Title": "All-Reviews.com: Blade - Trinity", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_-_Trinity", "url": "http://www.all-reviews.com/videos-5/blade-trinity.htm"} +{"d:Title": "Killer Movies: Blade 2", "d:Description": "Synopsis, partial cast and crew list, and related news.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_2", "url": "http://www.killermovies.com/b/blade2/"} +{"d:Title": "Rotten Tomatoes: Blade II", "d:Description": "A collection of reviews from the nation's top critics, plus photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_2", "url": "http://www.rottentomatoes.com/m/blade_2_bloodhunt/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_2", "url": "http://www.imdb.com/title/tt0187738/"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the movie \"Okay.\"", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_2/Reviews", "url": "http://www.haro-online.com/movies/bladeii.html"} +{"d:Title": "Ninth Symphony Films: Blade 2", "d:Description": "Review of the film, synopsis, images, and related links.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_2/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/blade2.html"} +{"d:Title": "Shade.ca: Blade 2", "d:Description": "Synopsis, review, and film recommendations.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_2/Reviews", "url": "http://www.shade.ca/getarticle.asp?i=364"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from critics.", "topic": "Top/Arts/Movies/Titles/B/Blade_Series/Blade_2/Reviews", "url": "http://www.metacritic.com/movie/blade-ii"} +{"d:Title": "Haxan Films", "d:Description": "Producers of 'The Blair Witch Project.' Here's where the witch was born.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://www.haxan.com/"} +{"d:Title": "Bloody Handprints", "d:Description": "The Blair Witch Project site featuring character reviews, original graphics, sound, trivia game and links.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://faireydust.freeservers.com/"} +{"d:Title": "VnS Dictionary: Blair Witch Project", "d:Description": "Several outtakes from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://movieclips121199.tripod.com/blairwitch.html"} +{"d:Title": "The Blair Witch Project Homepage", "d:Description": "Fan site with pictures, videos, history, movie review, and a look at the dossier.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://david_l_mills.tripod.com/tbwp/index.html"} +{"d:Title": "The Blair Witch Project: Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of The Blair Witch Project.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://www.rottentomatoes.com/m/blair_witch_project/"} +{"d:Title": "Making of The Blair Witch Project - Into the Woods", "d:Description": "Eduardo Sanchez and Daniel Myrick talk about \"The Blair Witch Project\" in a interview with Austin Chronicle.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://www.austinchronicle.com/issues/vol18/issue46/screens.blairwitch.html"} +{"d:Title": "Box Office Mojo - The Blair Witch Project", "d:Description": "Box office coverage of The Blair Witch Project since day one. Includes comparisons with other horror and indie movies.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://www.boxofficemojo.com/movies/?id=blairwitchproject.htm"} +{"d:Title": "A.V. Club: The Blair Witch Project", "d:Description": "Interview with Dan Myrick and Eduardo Sanchez.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The", "url": "http://www.avclub.com/article/the-blair-witch-project-13607"} +{"d:Title": "The Blair Witch Rejects", "d:Description": "The official home of the feature length comedy spoof.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The/Humor", "url": "http://www.nitestar.com/blair-rejects.html"} +{"d:Title": "The Acadia Seatbelt Project", "d:Description": "'Blair Witch' parody about three students who disappear in the woods while on the trail of a killer seatbelt.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The/Humor", "url": "http://shunter2.tripod.com/"} +{"d:Title": "Haro Online: The Blair Witch Project", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The/Reviews", "url": "http://www.haro-online.com/movies/blair_witch_project.html"} +{"d:Title": "All-Reviews.com - Blair Witch Project (1999)", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The/Reviews", "url": "http://www.all-reviews.com/videos/blairwitchproject.htm"} +{"d:Title": "PopMatters: Blair Witch Project", "d:Description": "A detailed review of the film.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The/Reviews", "url": "http://popmatters.com/film/reviews/b/blair-witch.html"} +{"d:Title": "Shade.ca: The Blair Witch Project", "d:Description": "Synopsis, review, and film recommendations.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Blair_Witch_Project,_The/Reviews", "url": "http://www.shade.ca/getarticle.asp?i=208"} +{"d:Title": "Secrets of Esrever", "d:Description": "Dedicated to uncovering the meaning behind the secret images scattered throughout the DVD version of the film. Includes pictures with clues to the secret, explanations, and links.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Book_of_Shadows_-_Blair_Witch_2", "url": "http://secretofesrever.tripod.com/main.html"} +{"d:Title": "PopMatters: Blair Witch 2", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Book_of_Shadows_-_Blair_Witch_2", "url": "http://popmatters.com/film/reviews/b/blair-witch-2.html"} +{"d:Title": "Haro Online: Blair Witch 2", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Book_of_Shadows_-_Blair_Witch_2", "url": "http://www.haro-online.com/movies/book_of_shadows.html"} +{"d:Title": "All-Reviews.com: Book of Shadows: Blair Witch 2", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Book_of_Shadows_-_Blair_Witch_2", "url": "http://www.all-reviews.com/videos-2/book-of-shadows.htm"} +{"d:Title": "Rotten Tomatoes: Blair Witch 2 (Book of Shadows)", "d:Description": "Includes reviews, critical consensus, news, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Book_of_Shadows_-_Blair_Witch_2", "url": "http://www.rottentomatoes.com/m/book_of_shadows_blair_witch_2/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Book_of_Shadows_-_Blair_Witch_2", "url": "http://www.metacritic.com/movie/book-of-shadows-blair-witch-2"} +{"d:Title": "Filmbug - Blair Witch 2 Book of Shadows", "d:Description": "Summary, facts, cast, links, and merchandise.", "topic": "Top/Arts/Movies/Titles/B/Blair_Witch_Series/Book_of_Shadows_-_Blair_Witch_2", "url": "http://www.filmbug.com/asin/B00005NB8M"} +{"d:Title": "IMDb - Blank Check", "d:Description": "Includes an overview, credits, awards, reviews, quotes, and other information from The Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Blank_Check", "url": "http://www.imdb.com/title/tt0109287/"} +{"d:Title": "TV Guide Online: Blank Check", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Blank_Check", "url": "http://www.tvguide.com/movies/blank-check/129798/"} +{"d:Title": "All-Reviews.com - Blast from the Past", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/B/Blast_from_the_Past", "url": "http://www.all-reviews.com/videos/blast-from-past.htm"} +{"d:Title": "Blast From The Past", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/B/Blast_from_the_Past", "url": "http://www.blastmovie.com/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blast_from_the_Past", "url": "http://www.imdb.com/title/tt0124298/"} +{"d:Title": "TV Guide Online: Blast from the Past", "d:Description": "Includes movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Blast_from_the_Past", "url": "http://www.tvguide.com/movies/blast-past/133287/"} +{"d:Title": "BBC - The Blue Angel", "d:Description": "Review by Almar Haflidason (positive) with emphasis on DVD production values, rating.", "topic": "Top/Arts/Movies/Titles/B/Blaue_Engel,_Der", "url": "http://www.bbc.co.uk/films/2002/09/20/the_blue_angel_1930_dvd_review.shtml"} +{"d:Title": "Strictly Film School - Josef von Sternberg - Blaue Engel, Der", "d:Description": "Pseudonymously signed review essay.", "topic": "Top/Arts/Movies/Titles/B/Blaue_Engel,_Der", "url": "http://www.filmref.com/directors/dirpages/vsternberg.html"} +{"d:Title": "IMDb - Blaue Engel, Der (1930)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Blaue_Engel,_Der", "url": "http://www.imdb.com/title/tt0020697/"} +{"d:Title": "Chicago Sun-Times - The Blue Angel", "d:Description": "Review by Roger Ebert (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/B/Blaue_Engel,_Der", "url": "http://www.rogerebert.com/reviews/the-blue-angel-2001"} +{"d:Title": "Cinebooks Database: The Blue Angel", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/B/Blaue_Engel,_Der", "url": "http://www.tvguide.com/movies/blue-angel/116593/"} +{"d:Title": "Greatest Films - Blazing Saddles (1974)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Blazing_Saddles", "url": "http://www.filmsite.org/blaz.html"} +{"d:Title": "All-Reviews.com - Blazing Saddles", "d:Description": "Reader-contributed reviews, signed.", "topic": "Top/Arts/Movies/Titles/B/Blazing_Saddles", "url": "http://www.all-reviews.com/videos-4/blazing-saddles.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blazing_Saddles", "url": "http://www.imdb.com/title/tt0071230/"} +{"d:Title": "St. Petersburg Times: Bless the Child", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bless_the_Child", "url": "http://pqasb.pqarchiver.com/sptimes/main/doc/000000057847338.html?QDesc=%5Bsptimes%5D+Not+a+single+saving+grace+Series:+MOVIE+REVIEW&QCPP=http://pqasb.pqarchiver.com/sptimes/main/results.html%3FQryTxt%3Dscientology%26datetype%3D3%26from_month%3D07%26from_day%3D27%26from_year%3D2000%26to_month%3D08%26to_day%3D12%26to_year%3D2000%26from_month2%3D01%26from_day2%3D01%26from_year2%3D1987%26to_month2%3D12%26to_day2%3D31%26to_year2%3D1998%26By%3D%26Title%3D%26Sect%3DALL%26num%3D25&QVPID=&FrameName=doc&Args=site%3Dsptimes%26QDesc%3D%5Bsptimes%5D%2BNot%2Ba%2Bsingle%2Bsaving%2Bgrace%2BSeries:%2BMOVIE%2BREVIEW%26QVPID%3D%26ID%3Dsptimes%26FMT%3DFT%26FMTS%3DFT&QProd=&QIID=000000057847338"} +{"d:Title": "All-Reviews.com - Bless the Child", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/B/Bless_the_Child", "url": "http://www.all-reviews.com/videos/bless-the-child.htm"} +{"d:Title": "Rotten Tomatoes - Bless the Child", "d:Description": "Reviews, synopsis, media predictions.", "topic": "Top/Arts/Movies/Titles/B/Bless_the_Child", "url": "http://www.rottentomatoes.com/m/bless_the_child/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bless_the_Child", "url": "http://www.imdb.com/title/tt0163983/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bless_the_Child", "url": "http://www.metacritic.com/movie/bless-the-child"} +{"d:Title": "Rotten Tomatoes: Blindness", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Blindness", "url": "http://www.rottentomatoes.com/m/1188215-blindness/"} +{"d:Title": "MovieWeb: Blindness (2008)", "d:Description": "Summary, synopsis, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Blindness", "url": "http://www.movieweb.com/movie/blindness"} +{"d:Title": "Roger Ebert: Blindness", "d:Description": "Review, by Roger Ebert: \"Blindness is one of the most unpleasant, not to say unendurable, films I've ever seen.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Blindness", "url": "http://www.rogerebert.com/reviews/blindness-2008"} +{"d:Title": "IGN: Blindness", "d:Description": "Photos, videos, news, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Blindness", "url": "http://www.ign.com/movies/blindness/theater-893682"} +{"d:Title": "ReelViews: Blindness", "d:Description": "Review, by James Berardinelli: \"It engaged me throughout and I found the ending to be surprisingly hopeful.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Blindness", "url": "http://www.reelviews.net/reelviews/blindness"} +{"d:Title": "The New Yorker: Blindness", "d:Description": "Review, by Anthony Lane: \"The trouble with Blindness is that it\u2019s so preoccupied with shouldering this symbolic weight that it gradually forgets to tell a story--to keep faith with the directives of common sense.\"", "topic": "Top/Arts/Movies/Titles/B/Blindness", "url": "http://www.newyorker.com/magazine/2008/10/06/edge-of-dark"} +{"d:Title": "IMDb: Blind Date", "d:Description": "Includes cast and crew information, photo gallery and user comments.", "topic": "Top/Arts/Movies/Titles/B/Blind_Date", "url": "http://www.imdb.com/title/tt0092666/"} +{"d:Title": "Fast-Rewind: Blind Date", "d:Description": "Includes plot outline, trivia about the movie and visitor submissions.", "topic": "Top/Arts/Movies/Titles/B/Blind_Date", "url": "http://www.fast-rewind.com/blinddate.htm"} +{"d:Title": "Washington Post: Blind Date", "d:Description": "Critic Rita Kemply gives her analysis of the romantic comedy.", "topic": "Top/Arts/Movies/Titles/B/Blind_Date", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/blinddatepg13kempley_a0cab4.htm"} +{"d:Title": "Chicago Sun-Times: Blind Date", "d:Description": "Roger Ebert reviews the comedy.", "topic": "Top/Arts/Movies/Titles/B/Blind_Date", "url": "http://www.rogerebert.com/reviews/blind-date-1987"} +{"d:Title": "Rotten Tomatoes: Blind Dating", "d:Description": "Review links, synopsis, credits, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Blind_Dating", "url": "http://www.rottentomatoes.com/m/blind_dating/"} +{"d:Title": "IMDb: Blind Dating (2006)", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Blind_Dating", "url": "http://www.imdb.com/title/tt0454084/"} +{"d:Title": "MovieWeb: Blind Dating (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Blind_Dating", "url": "http://movieweb.com/movie/blind-dating/"} +{"d:Title": "HARO Online: Blind Shaft", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Blind_Shaft", "url": "http://www.haro-online.com/movies/blind_shaft.html"} +{"d:Title": "IMDb: Blind Shaft (Mang Jing) (2003)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Blind_Shaft", "url": "http://www.imdb.com/title/tt0351299/"} +{"d:Title": "Rotten Tomatoes: Blind Shaft", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Blind_Shaft", "url": "http://www.rottentomatoes.com/m/blind_shaft/"} +{"d:Title": "Gerald Peary: Blind Shaft", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blind_Shaft", "url": "http://www.geraldpeary.com/reviews/abc/blind-shaft.html"} +{"d:Title": "Sony Classics - Blind Spot: Hitler's Secretary", "d:Description": "Official website with trailer, film credits, synopsis, and production notes.", "topic": "Top/Arts/Movies/Titles/B/Blind_Spot_-_Hitler's_Secretary", "url": "http://www.sonyclassics.com/blindspot/"} +{"d:Title": "Im toten Winkel - Hitlers Sekret\u00e4rin (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Blind_Spot_-_Hitler's_Secretary", "url": "http://www.imdb.com/title/tt0311320/"} +{"d:Title": "All-Reviews.com - Blink", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blink", "url": "http://www.all-reviews.com/videos-3/blink.htm"} +{"d:Title": "Imdb - Bliss", "d:Description": "Plot synopsis, cast and crew list, and links to external reviews.", "topic": "Top/Arts/Movies/Titles/B/Bliss_-_1985", "url": "http://www.imdb.com/title/tt0088821/"} +{"d:Title": "Allreaders Review - Bliss", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bliss_-_1985", "url": "http://allreaders.com/movie-review-summary/bliss-1985-5668"} +{"d:Title": "All-Reviews.com - Bliss", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bliss_-_1997", "url": "http://www.all-reviews.com/videos-3/bliss.htm"} +{"d:Title": "The Blob Site", "d:Description": "Fan dedication to the film. Includes a picture tour of filming locations in Chester County, PA, supplemented by trivia.", "topic": "Top/Arts/Movies/Titles/B/Blob,_The", "url": "http://www.theblob.info/"} +{"d:Title": "IMDb - Blonde From Brooklyn, The (1945)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Blonde_from_Brooklyn", "url": "http://www.imdb.com/title/tt0037545/"} +{"d:Title": "Cinebooks Database - Blonde from Brooklyn", "d:Description": "Unsigned review (predominantly positive) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Blonde_from_Brooklyn", "url": "http://www.tvguide.com/movies/blonde-brooklyn/115689/"} +{"d:Title": "Blondie", "d:Description": "Biographies of Arthur Lake and Penny Singleton and photos from the series.", "topic": "Top/Arts/Movies/Titles/B/Blondie_Series", "url": "http://www.briansdriveintheater.com/blondie.html"} +{"d:Title": "Blondie (1938)", "d:Description": "Cast, crew and reviews from the Internet Movie Database of the first film in the series.", "topic": "Top/Arts/Movies/Titles/B/Blondie_Series", "url": "http://www.imdb.com/title/tt0029927/"} +{"d:Title": "At-A-Glance Film Reviews - Blondie", "d:Description": "Reviews of the twenty-eight films in the series.", "topic": "Top/Arts/Movies/Titles/B/Blondie_Series", "url": "http://rinkworks.com/movies/m/blondie.1938.shtml"} +{"d:Title": "Blondie - The Movie Series", "d:Description": "Photos, character biographies and sound clips from the series.", "topic": "Top/Arts/Movies/Titles/B/Blondie_Series", "url": "http://www.angelfire.com/tv2/rainbowcountry/blondie.html"} +{"d:Title": "Blondie (Film Series)", "d:Description": "Cast, crew and plot synopses of the twenty-eight films in the series.", "topic": "Top/Arts/Movies/Titles/B/Blondie_Series", "url": "http://www.allmovie.com/movie/blondie-film-series-v274911"} +{"d:Title": "IMDb", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Blood,_Guts,_Bullets,_and_Octane", "url": "http://www.imdb.com/title/tt0163984/"} +{"d:Title": "IMDb: Bloodhead (2003)", "d:Description": "Trailer, cast and crew information, user comments and message board.", "topic": "Top/Arts/Movies/Titles/B/Bloodhead", "url": "http://www.imdb.com/title/tt0328899/"} +{"d:Title": "IMDb: Bloodlust (1992)", "d:Description": "Contains cast, crew, plot, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Bloodlust_-_1992", "url": "http://www.imdb.com/title/tt0103841/"} +{"d:Title": "The Video Graveyard: Bloodlust", "d:Description": "Review by Chris Hartley. \"But it's still a low-scale melodramatic time that contains minimal excitement\u2026\"", "topic": "Top/Arts/Movies/Titles/B/Bloodlust_-_1992", "url": "http://www.thevideograveyard.com/b/bloodlust.html"} +{"d:Title": "DVD Talk: Bloodlust", "d:Description": "Review by Michael Zupan. \"Analyzing the aspects that make this film a whole, there's much to appreciate about it.\"", "topic": "Top/Arts/Movies/Titles/B/Bloodlust_-_1992", "url": "http://www.dvdtalk.com/reviews/24868/bloodlust/"} +{"d:Title": "Wikipedia: BloodRayne", "d:Description": "Offers story synopsis, response and trivia.", "topic": "Top/Arts/Movies/Titles/B/BloodRayne", "url": "http://en.wikipedia.org/wiki/Bloodrayne_(2005_movie)"} +{"d:Title": "IMDb: BloodRayne", "d:Description": "Offers cast and crew list as well as a photo gallery.", "topic": "Top/Arts/Movies/Titles/B/BloodRayne", "url": "http://www.imdb.com/title/tt0383222/"} +{"d:Title": "The Austin Chronicle: BloodRayne", "d:Description": "Review by Marjorie Baumgarten. Includes cast and a photo.", "topic": "Top/Arts/Movies/Titles/B/BloodRayne", "url": "http://www.austinchronicle.com/calendar/film/2006-01-06/bloodrayne/"} +{"d:Title": "MovieWeb: BloodRayne", "d:Description": "Offers cast, trailers, photos, and an interview.", "topic": "Top/Arts/Movies/Titles/B/BloodRayne", "url": "http://movieweb.com/movie/bloodrayne/"} +{"d:Title": "Movieprop.com: Bloodsport", "d:Description": "Character profiles, pictures, links, and a review.", "topic": "Top/Arts/Movies/Titles/B/Bloodsport_Series/Bloodsport", "url": "http://movieprop.com/tvandmovie/reviews/bloodsport.htm"} +{"d:Title": "IMDb.com: Bloodsport", "d:Description": "Cast and crew details, plot summary, quotes, awards, goofs, trailer, and links.", "topic": "Top/Arts/Movies/Titles/B/Bloodsport_Series/Bloodsport", "url": "http://www.imdb.com/title/tt0092675/"} +{"d:Title": "HARO Online: Bloody Sunday", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Bloody_Sunday", "url": "http://www.haro-online.com/movies/bloody_sunday.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/B/Bloody_Sunday", "url": "http://all-reviews.com/videos-5/bloody-sunday.htm"} +{"d:Title": "IMDb: Bloody Sunday", "d:Description": "Cast and crew, synopsis, reviews, forum, awards, promotional information, production details, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/B/Bloody_Sunday", "url": "http://www.imdb.com/title/tt0280491/"} +{"d:Title": "IMDb: Blood and Wine (1996)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Blood_and_Wine", "url": "http://www.imdb.com/title/tt0115710/"} +{"d:Title": "Rotten Tomatoes: Blood and Wine", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Blood_and_Wine", "url": "http://www.rottentomatoes.com/m/blood_and_wine/"} +{"d:Title": "The New York Times: Blood and Wine", "d:Description": "Review by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/B/Blood_and_Wine", "url": "http://www.nytimes.com/1997/02/21/movies/a-gallery-of-no-goods-up-to-none.html"} +{"d:Title": "Movies.com: Blood and Wine", "d:Description": "Synopsis, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Blood_and_Wine", "url": "http://www.movies.com/blood-and-wine/m11425"} +{"d:Title": "MRQE: Blood and Wine", "d:Description": "Reviews and ratings.", "topic": "Top/Arts/Movies/Titles/B/Blood_and_Wine", "url": "http://www.mrqe.com/movie_reviews/blood-and-wine-m100050980"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blood_Oranges,_The", "url": "http://www.imdb.com/title/tt0118743/"} +{"d:Title": "PopMatters - Blood Simple", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Blood_Simple", "url": "http://popmatters.com/film/reviews/b/blood-simple.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blood_Simple", "url": "http://www.imdb.com/title/tt0086979/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Blood_Simple", "url": "http://www.metacritic.com/movie/blood-simple"} +{"d:Title": "TV Guide Online: Blood Simple", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Blood_Simple", "url": "http://www.tvguide.com/movies/blood-simple/116563/"} +{"d:Title": "All-Reviews.com: Blood Work", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blood_Work", "url": "http://www.all-reviews.com/videos-4/blood-work.htm"} +{"d:Title": "IMDb.com - Blood Work (2002)", "d:Description": "Cast, credits, summary and production information.", "topic": "Top/Arts/Movies/Titles/B/Blood_Work", "url": "http://www.imdb.com/title/tt0309377/"} +{"d:Title": "Metacritic.com: Blood Work", "d:Description": "Quotes from and links to reviews, viewer comments, and overall rating.", "topic": "Top/Arts/Movies/Titles/B/Blood_Work", "url": "http://www.metacritic.com/movie/blood-work"} +{"d:Title": "EW.com: Blood Work", "d:Description": "Aug 07, 2002 review by Owen Gleiberman.", "topic": "Top/Arts/Movies/Titles/B/Blood_Work", "url": "http://www.ew.com/article/2002/08/07/blood-work"} +{"d:Title": "PopMatters", "d:Description": "Detailed review of the film.", "topic": "Top/Arts/Movies/Titles/B/Blow", "url": "http://popmatters.com/film/reviews/b/blow.html"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/B/Blow", "url": "http://www.haro-online.com/movies/blow.html"} +{"d:Title": "Ninth Symphony Films: Blow", "d:Description": "Review of the film, synopsis, images, and links.", "topic": "Top/Arts/Movies/Titles/B/Blow", "url": "http://regencylady.tripod.com/site/filmreviews/blow.html"} +{"d:Title": "Rotten Tomatoes: Blow", "d:Description": "Pictures, trailer, and links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Blow", "url": "http://www.rottentomatoes.com/m/blow/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Blow", "url": "http://www.metacritic.com/movie/blow"} +{"d:Title": "Awesome Stories: Blow", "d:Description": "Tells the story behind the movie with links to pictures of people and places featured in the film.", "topic": "Top/Arts/Movies/Titles/B/Blow", "url": "https://www.awesomestories.com/asset/view/Blow"} +{"d:Title": "Blow", "d:Description": "Official site with synopsis, production information, historical background, video clips, interviews, soundtrack details, and mailing list.", "topic": "Top/Arts/Movies/Titles/B/Blow", "url": "http://www.warnerbros.com/blow"} +{"d:Title": "Greatest Films - Blow-Up (1966)", "d:Description": "Brief review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Blow-Up", "url": "http://www.filmsite.org/blow.html"} +{"d:Title": "IMDb: Blow-Up", "d:Description": "Synopsis, cast and crew, and viewer comments.", "topic": "Top/Arts/Movies/Titles/B/Blow-Up", "url": "http://www.imdb.com/title/tt0060176/"} +{"d:Title": "TV Guide Online: Blow-up", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Blow-Up", "url": "http://www.tvguide.com/movies/blow/116591/"} +{"d:Title": "All-Reviews.com - Blown Away", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blown_Away", "url": "http://www.all-reviews.com/videos-2/blown-away.htm"} +{"d:Title": "TV Guide Online: Blown Away", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Blown_Away", "url": "http://www.tvguide.com/movies/blown-away/129975/"} +{"d:Title": "All-Reviews.com - Blow Dry", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blow_Dry", "url": "http://www.all-reviews.com/videos-2/blow-dry.htm"} +{"d:Title": "Rotten Tomatoes - Blow Dry (2001)", "d:Description": "Synopsis and aggregated reviews.", "topic": "Top/Arts/Movies/Titles/B/Blow_Dry", "url": "http://www.rottentomatoes.com/m/1101191-blow_dry/"} +{"d:Title": "IMDb: Blow Dry", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Blow_Dry", "url": "http://www.imdb.com/title/tt0212380/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Blow_Dry", "url": "http://www.metacritic.com/movie/blow-dry"} +{"d:Title": "All-Reviews.com - Blow Out", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blow_Out", "url": "http://www.all-reviews.com/videos-3/blow-out.htm"} +{"d:Title": "IMDb: Blow Out (1981)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Blow_Out", "url": "http://www.imdb.com/title/tt0082085/"} +{"d:Title": "The 80s Movies Rewind", "d:Description": "Includes trivia with details of cameos, production cost, and Jake and Elwood fashion secrets.", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers,_The", "url": "http://www.fast-rewind.com/bluesbros.htm"} +{"d:Title": "FireBlade DVD Review: The Blues Brothers", "d:Description": "Commercial site offering the DVD and an original review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers,_The", "url": "http://www.hoboes.com/html/FireBlade/Movies/BluesBrothers.shtml"} +{"d:Title": "The Blues Brothers Collector's Edition", "d:Description": "Attending resident John Larsen reviews the extended version of John Landis' landmark musical-comedy on DVD at The Critical List", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers,_The", "url": "http://www.lightviews.com/bluesbrothers.htm"} +{"d:Title": "Rotten Tomatoes: The Blues Brothers", "d:Description": "Synopsis, trivia, quotes from and links to reviews, home video details, credits, and a discussion forum.", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers,_The", "url": "http://www.rottentomatoes.com/m/blues_brothers/"} +{"d:Title": "Mutant Reviewers from Hell: The Blues Brothers", "d:Description": "Short reviews and some quotes.", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers,_The", "url": "http://mutantreviewers.wordpress.com/2012/07/06/the-blues-brothers-retro-review/"} +{"d:Title": "eFilmCritic - Blues Brothers, The", "d:Description": "Reviews and ratings from Australia's movie magazine offering visitors a chance to add their own views.", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers,_The", "url": "http://www.efilmcritic.com/review.php?movie=1260"} +{"d:Title": "IMDb: The Blues Brothers", "d:Description": "Cast, credits, reviews and additional information.", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers,_The", "url": "http://www.imdb.com/title/tt0080455/"} +{"d:Title": "IMDb: Blues Brothers 2000", "d:Description": "Cast, credits, and short reviews.", "topic": "Top/Arts/Movies/Titles/B/Blues_Brothers_Series/Blues_Brothers_2000", "url": "http://www.imdb.com/title/tt0118747/"} +{"d:Title": "Bicyclette bleue, La (2000)", "d:Description": "Credits and cast list of TV movie.", "topic": "Top/Arts/Movies/Titles/B/Blue_Bicycle,_The", "url": "http://www.imdb.com/title/tt0233338/"} +{"d:Title": "Chicago Sun-Times: Blue Car", "d:Description": "Roger Ebert's review: \"...an honest and forthright drama about a teenager in danger...\"", "topic": "Top/Arts/Movies/Titles/B/Blue_Car", "url": "http://www.rogerebert.com/reviews/blue-car-2003"} +{"d:Title": "Internet Movie Database: Blue Car", "d:Description": "Plot summary, cast and crew, user ratings and comments, reviews, trivia, production information, merchandising details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Blue_Car", "url": "http://www.imdb.com/title/tt0290145/"} +{"d:Title": "Washington Post: Blue Chips", "d:Description": "Review by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/B/Blue_Chips", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/bluechipspg13hinson_b00983.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blue_Chips", "url": "http://www.all-reviews.com/videos-3/blue-chips.htm"} +{"d:Title": "Chicago Sun-Times: Blue Chips", "d:Description": "Review by Roger Ebert. Rated three stars.", "topic": "Top/Arts/Movies/Titles/B/Blue_Chips", "url": "http://www.rogerebert.com/reviews/blue-chips-1994"} +{"d:Title": "TV Guide Online: Blue Chips", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Blue_Chips", "url": "http://www.tvguide.com/movies/blue-chips/129804/"} +{"d:Title": "All-Reviews.com: Blue Crush", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blue_Crush", "url": "http://www.all-reviews.com/videos-4/blue-crush.htm"} +{"d:Title": "HARO Online: Blue Crush", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Blue_Crush", "url": "http://www.haro-online.com/movies/blue_crush.html"} +{"d:Title": "Rotten Tomatoes: Blue Crush", "d:Description": "Photographs, trailer, news articles, and a public forum.", "topic": "Top/Arts/Movies/Titles/B/Blue_Crush", "url": "http://www.rottentomatoes.com/m/blue_crush/"} +{"d:Title": "IMDb: Blue Crush", "d:Description": "Synopsis, cast and crew, release dates, filming locations, image gallery, and related links.", "topic": "Top/Arts/Movies/Titles/B/Blue_Crush", "url": "http://www.imdb.com/title/tt0300532/"} +{"d:Title": "Metacritic.com: Blue Crush", "d:Description": "Quotes from and links to reviews of the film, viewer comments, and an overall rating.", "topic": "Top/Arts/Movies/Titles/B/Blue_Crush", "url": "http://www.metacritic.com/movie/blue-crush"} +{"d:Title": "Future Movies: Blue Crush", "d:Description": "Review of the film by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/B/Blue_Crush", "url": "https://www.futuremovies.co.uk/reviews/blue-crush/nik-huggins"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blue_in_the_Face", "url": "http://www.all-reviews.com/videos-3/blue-in-face.htm"} +{"d:Title": "IMDb: Blue Juice (1995)", "d:Description": "Includes cast and crew, user comments, external reviews, plot summary, film details and trailer.", "topic": "Top/Arts/Movies/Titles/B/Blue_Juice", "url": "http://www.imdb.com/title/tt0112537/"} +{"d:Title": "IMDb: Blue Skies (1946)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, Oscar nominations.", "topic": "Top/Arts/Movies/Titles/B/Blue_Skies", "url": "http://www.imdb.com/title/tt0038370/"} +{"d:Title": "All-Reviews.com - Blue Sky", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blue_Sky", "url": "http://www.all-reviews.com/videos-2/blue-sky.htm"} +{"d:Title": "IMDb: Blue Sky (1994)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Blue_Sky", "url": "http://www.imdb.com/title/tt0109306/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/B/Blue_Streak", "url": "http://www.rottentomatoes.com/m/blue_streak/"} +{"d:Title": "Box Office Mojo: Blue Streak", "d:Description": "Box office coverage for the film, including a comparison with other Martin Lawrence movies.", "topic": "Top/Arts/Movies/Titles/B/Blue_Streak", "url": "http://www.boxofficemojo.com/movies/?id=bluestreak.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blue_Streak", "url": "http://www.imdb.com/title/tt0181316/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Blue_Streak", "url": "http://www.metacritic.com/movie/blue-streak"} +{"d:Title": "All-Reviews.com: Blue Thunder", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Blue_Thunder", "url": "http://www.all-reviews.com/videos-4/blue-thunder.htm"} +{"d:Title": "Greatest Films - Blue Velvet (1986)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Blue_Velvet", "url": "http://www.filmsite.org/blue.html"} +{"d:Title": "All-Reviews.com - Blue Velvet", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Blue_Velvet", "url": "http://www.all-reviews.com/videos-3/blue-velvet.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Blue_Velvet", "url": "http://www.imdb.com/title/tt0090756/"} +{"d:Title": "Metacritic.com: Blue Velvet", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Blue_Velvet", "url": "http://www.metacritic.com/movie/blue-velvet"} +{"d:Title": "Roger Ebert - Blue Velvet", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Blue_Velvet", "url": "http://www.rogerebert.com/reviews/blue-velvet-1986"} +{"d:Title": "Allreaders.com Reviews: Blue Velvet", "d:Description": "Analysis of the Kyle McLachlan film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Blue_Velvet", "url": "http://allreaders.com/movie-review-summary/blue-velvet-3606"} +{"d:Title": "TV Guide Online: Blue Velvet", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Blue_Velvet", "url": "http://www.tvguide.com/movies/blue-velvet/116632/"} +{"d:Title": "Bluff - Official Site", "d:Description": "Includes information about the upcoming film.", "topic": "Top/Arts/Movies/Titles/B/Bluff", "url": "http://www.bluffthemovie.com/"} +{"d:Title": "Rotten Tomatoes: Boat Trip", "d:Description": "Contains reviews, synopsis, trailer, image gallery, news and articles.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip", "url": "http://www.rottentomatoes.com/m/boat_trip/"} +{"d:Title": "Yahoo! Movies: Boat Trip", "d:Description": "Contains synopsis, credits, reviews, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip", "url": "http://movies.yahoo.com/movie/boat-trip/"} +{"d:Title": "Internet Movie Database: Boat Trip", "d:Description": "Contains reviews, plot summary, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip", "url": "http://www.imdb.com/title/tt0285462/"} +{"d:Title": "Coming Soon: Boat Trip", "d:Description": "Synopsis, trailer, review, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip", "url": "http://www.comingsoon.net/movies/reviews/3852-boat-trip"} +{"d:Title": "HARO Online: Boat Trip", "d:Description": "Includes review and photographs.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.haro-online.com/movies/boat_trip.html"} +{"d:Title": "Blackfilm.com: Boat Trip", "d:Description": "Review by Niija Kuykendall.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://blackfilm.com/20030321/reviews/boattrip.shtml"} +{"d:Title": "Hollywood Bitchslap: Boat Trip", "d:Description": "Review and rating by Eric D. Snider.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://hollywoodbitchslap.com/review.php?movie=7335"} +{"d:Title": "Ninth Symphony Films: Boat Trip", "d:Description": "Review by Kelsey Wyatt. Includes movie stills.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/boattrip.html"} +{"d:Title": "Blunt Review: Boat Trip", "d:Description": "Review and rating by Emily Blunt.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.bluntreview.com/reviews/boat.htm"} +{"d:Title": "DVD MovieGuide: Boat Trip", "d:Description": "DVD review and rating by Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.dvdmg.com/boattrip.shtml"} +{"d:Title": "JamesBowman.net: Boat Trip", "d:Description": "Review and rating by James Bowman.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.jamesbowman.net/reviewDetail.asp?pubID=1350"} +{"d:Title": "Kids-In-Mind: Boat Trip", "d:Description": "Review and rating from a family perspective.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.kids-in-mind.com/B/boattrip.htm"} +{"d:Title": "Nitrate Online: Boat Trip", "d:Description": "Review by Dan Lybarger.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.nitrateonline.com/2003/rboat.html"} +{"d:Title": "Pop Entertainment: Boat Trip", "d:Description": "Review by Jay S. Jacobs.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.popentertainment.com/boattrip.htm"} +{"d:Title": "Qwipster's Movie Reviews: Boat Trip", "d:Description": "Review and rating by Vince Leo.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.qwipster.net/boattrip.htm"} +{"d:Title": "Reel Film: Boat Trip", "d:Description": "Review and rating by David Nusair.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.reelfilm.com/boattrip.htm"} +{"d:Title": "Screen It!: Boat Trip", "d:Description": "Review from a family perspective.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.screenit.com/movies/2003/boat_trip.html"} +{"d:Title": "San Francisco Chronicle: Boat Trip", "d:Description": "Review by Carla Meyer.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2003/03/21/DD263311.DTL"} +{"d:Title": "Shadows on the Wall: Boat Trip", "d:Description": "Review and rating by Rich Kline.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.shadowsonthewall.co.uk/swboatri.htm"} +{"d:Title": "ShowBIZ Data: Boat Trip", "d:Description": "Review and rating by Lew Irwin.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.showbizdata.com/contacts/pickrevs.cfm?id=495"} +{"d:Title": "Deseret News: Boat Trip", "d:Description": "Review and rating by Christy Lemire. \"Writer-director Mort Nathan re-creates none of the wit, charm or finesse of the 1959 Billy Wilder classic.\"", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.deseretnews.com/article/700003183/Boat-Trip.html"} +{"d:Title": "TV Guide: Boat Trip", "d:Description": "Review and rating with a movie still.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://movies.tvguide.com/boat-trip/136425"} +{"d:Title": "Seattle Post-Intelligencer: Boat Trip", "d:Description": "Review by Ellen A. Kim.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.seattlepi.com/ae/movies/article/Gooding-must-have-a-career-death-wish-1110205.php"} +{"d:Title": "digitallyOBSESSED.com: Boat Trip", "d:Description": "DVD review and rating by Jeff Rosado.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=5271"} +{"d:Title": "Guardian Unlimited: Boat Trip", "d:Description": "Reviews and ratings by Peter Bradshaw and Philip French.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.theguardian.com/film/movie/93240/boat-trip"} +{"d:Title": "Chicago Sun-Times: Boat Trip", "d:Description": "Review and rating by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.rogerebert.com/reviews/boat-trip-2003"} +{"d:Title": "Salon: Boat Trip", "d:Description": "Review by Charles Taylor. \"...resurrects stereotypes of a simpler time, soothing the audience with an almost endless stream of fag jokes.\"", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.salon.com/2003/03/21/boat_trip/"} +{"d:Title": "DVD Talk: Boat Trip", "d:Description": "DVD review and rating by Shannon Nutt.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.dvdtalk.com/reviews/7704/boat-trip/"} +{"d:Title": "Slant Magazine: Boat Trip", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/B/Boat_Trip/Reviews", "url": "http://www.slantmagazine.com/film/review/boat-trip"} +{"d:Title": "IMDb: Bob's Video (2000)", "d:Description": "Plot summary and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bob's_Video", "url": "http://www.imdb.com/title/tt0222837/"} +{"d:Title": "IMDb: Bobby G. Can't Swim", "d:Description": "Cast and crew, synopsis, reviews, photographs, and links.", "topic": "Top/Arts/Movies/Titles/B/Bobby_G._Can't_Swim", "url": "http://www.imdb.com/title/tt0194710/"} +{"d:Title": "Metacritic.com: Bobby G. Can't Swim", "d:Description": "Quotes from and links to reviews, as well as an overall rating.", "topic": "Top/Arts/Movies/Titles/B/Bobby_G._Can't_Swim", "url": "http://www.metacritic.com/movie/bobby-g-cant-swim"} +{"d:Title": "Jean Pierre Melville's Bob La Flambeur", "d:Description": "Photos and review of the 1956 heist film whose title character is \"coolness personified.\"", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.imagesjournal.com/2002/reviews/bobleflambeur/"} +{"d:Title": "DVD Review - Bob Le Flambeur", "d:Description": "Review of \"stylish and highly enjoyable heist picture that offers terrific performances and a great screenplay.\"", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.currentfilm.com/dvdreviews4/bobleflambeurccdvd.html"} +{"d:Title": "DVD Savant Review - Bob Le Flambeur", "d:Description": "Review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.dvdtalk.com/dvdsavant/s458bob.html"} +{"d:Title": "Bob Le Flambeur", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.imdb.com/title/tt0047892/"} +{"d:Title": "Bob Le Flambeur", "d:Description": "James Kendrick reviews the \"deeply influential\" film.", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.qnetwork.com/?page=review&id=916"} +{"d:Title": "Bob Le Flambeur", "d:Description": "Review claims \"movie love infuses every frame of Bob Le Flambeur.\"", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=3404"} +{"d:Title": "Bob La Flambeur", "d:Description": "Roger Ebert's review of the movie that \"has a good claim to be the first film of the French New Wave.\"", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.rogerebert.com/reviews/great-movie-bob-le-flambeur-1955"} +{"d:Title": "Bob Le Flambeur - Criterion", "d:Description": "Review by Gil Jawetz.", "topic": "Top/Arts/Movies/Titles/B/Bob_le_Flambeur", "url": "http://www.dvdtalk.com/reviews/3746/bob-le-flambeur-criterion/"} +{"d:Title": "IMDB: Bob Roberts", "d:Description": "Introduction, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Bob_Roberts", "url": "http://www.imdb.com/title/tt0103850/"} +{"d:Title": "More Things: Bob Roberts", "d:Description": "A critical examination the movie.", "topic": "Top/Arts/Movies/Titles/B/Bob_Roberts", "url": "http://www.morethings.com/fan/bob_roberts.htm"} +{"d:Title": "The Flick Filosopher: Bob Roberts", "d:Description": "Review with links.", "topic": "Top/Arts/Movies/Titles/B/Bob_Roberts", "url": "http://www.flickfilosopher.com/2000/10/bob-roberts-review.html"} +{"d:Title": "All-Reviews.com: The Bodyguard", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Bodyguard,_The", "url": "http://www.all-reviews.com/videos-4/bodyguard.htm"} +{"d:Title": "Current Film: The Defender", "d:Description": "Review of the film's re-release on DVD.", "topic": "Top/Arts/Movies/Titles/B/Bodyguard_from_Beijing,_The", "url": "http://www.currentfilm.com/dvdreviews/thedefenderdvd.html"} +{"d:Title": "Alex's Asian Movie Reviews: Bodyguard from Beijing", "d:Description": "Film information and capsule review.", "topic": "Top/Arts/Movies/Titles/B/Bodyguard_from_Beijing,_The", "url": "http://www.alex-in-wonderland.com/MovieReviews/Asian-B/BodyguardFromBeijing.html"} +{"d:Title": "IMDb: Zhong Nan Hai bao biao", "d:Description": "Synopsis, cast and crew, reviews, awards, bloopers, related films, and links.", "topic": "Top/Arts/Movies/Titles/B/Bodyguard_from_Beijing,_The", "url": "http://www.imdb.com/title/tt0111835/"} +{"d:Title": "The Austin Chronicle: The Bodyguard From Beijing", "d:Description": "Review by Joey O'Bryan.", "topic": "Top/Arts/Movies/Titles/B/Bodyguard_from_Beijing,_The", "url": "http://www.austinchronicle.com/calendar/film/1994-10-21/the-bodyguard-from-beijing/"} +{"d:Title": "MovieMartyr.com: Body Double", "d:Description": "Jeremy Heilman's review: \"Ultimately, Body Double feels like a film that seems more interested in pleasing itself than pleasing the audience.\"", "topic": "Top/Arts/Movies/Titles/B/Body_Double", "url": "http://www.moviemartyr.com/1984/bodydouble.htm"} +{"d:Title": "Rotten Tomatoes: Body of Lies", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Body_of_Lies", "url": "http://www.rottentomatoes.com/m/10009225-body_of_lies/"} +{"d:Title": "The Boston Globe: Body of Lies", "d:Description": "Review, by Ty Burr: \"It's a genre film - the action is fierce and nonstop - with a brooding undercurrent of unease that aims for the complexities of John le Carre.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Body_of_Lies", "url": "http://articles.boston.com/2008-10-10/ae/29274871_1_roger-ferris-flawed-hero-leonardo-dicaprio"} +{"d:Title": "TV Guide: Body of Lies", "d:Description": "Review, by Perry Seibert: \"The crisply photographed and edited Body of Lies reveals some ambition, for while it certainly works as pure entertainment, this tale of a good man trying to extract himself from an impossible situation offers some commentary on America's feelings about being in Iraq.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Body_of_Lies", "url": "http://www.tvguide.com/movies/body-lies/review/293209/"} +{"d:Title": "IGN: Body of Lies", "d:Description": "Previews, photos, videos, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Body_of_Lies", "url": "http://www.ign.com/movies/body-of-lies/theater-14273661"} +{"d:Title": "ReelViews: Body of Lies", "d:Description": "Review, by James Berardinelli: \"Body of Lies neither panders nor condescends. It involves current events and has a political viewpoint, but it overplays neither.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Body_of_Lies", "url": "http://www.reelviews.net/reelviews/body-of-lies"} +{"d:Title": "IMDb: Body Shots (1999)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Body_Shots", "url": "http://www.imdb.com/title/tt0172627/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Body_Shots", "url": "http://www.metacritic.com/movie/body-shots"} +{"d:Title": "TV Guide Online: Body Shot", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Body_Shots", "url": "http://www.tvguide.com/movies/body-shot/130823/"} +{"d:Title": "HARO Online - Boiler Room", "d:Description": "Haro rates the movie: okay.", "topic": "Top/Arts/Movies/Titles/B/Boiler_Room", "url": "http://haro-online.com/movies/boiler_room.html"} +{"d:Title": "PopMatters - Boiler Room", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Boiler_Room", "url": "http://popmatters.com/film/reviews/b/boiler-room.html"} +{"d:Title": "All-Reviews.com- Boiler Room", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/B/Boiler_Room", "url": "http://www.all-reviews.com/videos/boiler-room.htm"} +{"d:Title": "RottenTomatoes - Boiler Room", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Boiler_Room", "url": "http://www.rottentomatoes.com/m/1095081-boiler_room/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Boiler_Room", "url": "http://www.imdb.com/title/tt0181984/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Boiler_Room", "url": "http://www.metacritic.com/movie/boiler-room"} +{"d:Title": "HARO Online: Bollywood/Hollywood", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bollywood-Hollywood", "url": "http://www.haro-online.com/movies/bollywood_hollywood.html"} +{"d:Title": "IMDb: Bollywood/Hollywood (2002)", "d:Description": "Plot summary, cast and crew information, and user reviews.", "topic": "Top/Arts/Movies/Titles/B/Bollywood-Hollywood", "url": "http://www.imdb.com/title/tt0303785/"} +{"d:Title": "Rotten Tomatoes: Bollywood/Hollywood", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Bollywood-Hollywood", "url": "http://www.rottentomatoes.com/m/bollywoodhollywood/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bonanno_-_A_Godfather's_Story", "url": "http://www.imdb.com/title/tt0179804/"} +{"d:Title": "All-Reviews.com: Bones", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bones", "url": "http://all-reviews.com/videos-4/bones.htm"} +{"d:Title": "HARO Online: Bones", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bones", "url": "http://www.haro-online.com/movies/bones.html"} +{"d:Title": "Metacritic.com: Bones", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bones", "url": "http://www.metacritic.com/movie/bones"} +{"d:Title": "IMDb: Bones (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bones", "url": "http://www.imdb.com/title/tt0166110/"} +{"d:Title": "All-Reviews.com - Bone Collector", "d:Description": "A collection of movie reviews and related information.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.all-reviews.com/videos/bonecollector.htm"} +{"d:Title": "HARO Online - The Bone Collector", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.haro-online.com/movies/bone_collector.html"} +{"d:Title": "Filmtracks: The Bone Collector", "d:Description": "Includes reviews, audio clips, track listings, pictures, and other notes about the film's soundtrack by Craig Armstrong.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.filmtracks.com/titles/bone_collector.html"} +{"d:Title": "Rotten Tomatoes: The Bone Collector", "d:Description": "Reviews, news, articles, previews, and trailer.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.rottentomatoes.com/m/bone_collector/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office coverage for the film since its premiere. Includes a comparison with other serial killer thrillers.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.boxofficemojo.com/movies/?id=bonecollector.htm"} +{"d:Title": "Media Circus: The Bone Collector", "d:Description": "Review by Anthony Leong. \"...fares no better than as an entertaining diversion for a rainy day-- what a waste.\"", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.mediacircus.net/bonecollector.html"} +{"d:Title": "Cinematter: The Bone Collector", "d:Description": "Review of the upcoming movie and reader message board.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.cinematter.com/movie.php3?bcol"} +{"d:Title": "IMDb: The Bone Collector", "d:Description": "Contains detailed information on the cast and other credits as well as plot summary and user reviews.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.imdb.com/title/tt0145681/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bone_Collector,_The", "url": "http://www.metacritic.com/movie/the-bone-collector"} +{"d:Title": "The Bonfire of the Vanities", "d:Description": "A review article of the Brian De Palma film.", "topic": "Top/Arts/Movies/Titles/B/Bonfire_of_the_Vanities,_The", "url": "http://www.cosmopolis.ch/english/cosmo2/bonfire.htm"} +{"d:Title": "Rotten Tomatoes: Bonneville", "d:Description": "Synopsis, cast members, photos, news, review links, showtimes, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Bonneville", "url": "http://www.rottentomatoes.com/m/bonneville/"} +{"d:Title": "New York Post: Bonneville", "d:Description": "Review, by Lou Lumenick: \"No surprises here, though the stars make it surprisingly watchable.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Bonneville", "url": "http://www.nypost.com/seven/02292008/entertainment/movies/bonneville_99722.htm"} +{"d:Title": "New York Times: Bonneville", "d:Description": "Review, by Matt Zoller Seitz: \"Except for Ms. Lange\u2019s silent, expressive close-ups, which render flashbacks unnecessary, the women\u2019s journey is aesthetically and dramatically unremarkable.\"", "topic": "Top/Arts/Movies/Titles/B/Bonneville", "url": "http://www.nytimes.com/2008/02/29/movies/29bonn.html"} +{"d:Title": "Bonnie and Clyde - Commentary", "d:Description": "Fansite, with excerpt from a book about the real couple.", "topic": "Top/Arts/Movies/Titles/B/Bonnie_and_Clyde", "url": "http://www.cinetropic.com/janeloisemorris/commentary/bonn&clyde/"} +{"d:Title": "Greatest Films - Bonnie And Clyde", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Bonnie_and_Clyde", "url": "http://www.filmsite.org/bonn.html"} +{"d:Title": "Bonnie and Clyde (1967)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/B/Bonnie_and_Clyde", "url": "http://www.imdb.com/title/tt0061418/"} +{"d:Title": "AllReaders.com Review: Bonnie and Clyde", "d:Description": "Analysis of the film starring Warren Beatty, Gene Wilder, Faye Dunaway, and Gene Hackman, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bonnie_and_Clyde", "url": "http://allreaders.com/movie-review-summary/bonnie-and-clyde-5880"} +{"d:Title": "Images - Alfred Hitchcock's WWII French Films", "d:Description": "The images journal comments on the propagandistic elements of this film.", "topic": "Top/Arts/Movies/Titles/B/Bon_Voyage_-_1944", "url": "http://www.imagesjournal.com/issue09/features/wwiifrench/text1.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Bon_Voyage_-_1944", "url": "http://www.imdb.com/title/tt0036659/"} +{"d:Title": "HARO Online: Bon Voyage", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bon_Voyage_-_2003", "url": "http://www.haro-online.com/movies/bon_voyage.html"} +{"d:Title": "IMDb: Bon Voyage (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Bon_Voyage_-_2003", "url": "http://www.imdb.com/title/tt0310778/"} +{"d:Title": "Rotten Tomatoes: Bon Voyage", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Bon_Voyage_-_2003", "url": "http://www.rottentomatoes.com/m/1130780-bon_voyage/"} +{"d:Title": "Chicago Sun-Times: Bon Voyage", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Bon_Voyage_-_2003", "url": "http://www.rogerebert.com/reviews/bon-voyage-2004"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Boogie_Nights", "url": "http://crazy4cinema.com/Review/FilmsB/f_boogie.html"} +{"d:Title": "All-Reviews.com- Boogie Nights", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/B/Boogie_Nights", "url": "http://www.all-reviews.com/videos/boogie-nights.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Boogie_Nights", "url": "http://www.metacritic.com/movie/boogie-nights"} +{"d:Title": "IMDb: Boogie Nights (1997)", "d:Description": "Overview includes credits, cast, plot summary, user comments, information on awards and nominations, links to reviews.", "topic": "Top/Arts/Movies/Titles/B/Boogie_Nights", "url": "http://www.imdb.com/title/tt0118749/"} +{"d:Title": "TV Guide Online: Boogie Nights", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Boogie_Nights", "url": "http://www.tvguide.com/movies/boogie-nights/132070/"} +{"d:Title": "IMDb: The Book That Wrote Itself", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Book_that_Wrote_Itself,_The", "url": "http://www.imdb.com/title/tt0289670/"} +{"d:Title": "IMDb: Das Boot (1981)", "d:Description": "Full cast information, synopsis, trailer, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Boot,_Das", "url": "http://www.imdb.com/title/tt0082096/"} +{"d:Title": "Border Incident", "d:Description": "Review by Roger Westcombe of \"an extremely tough, powerful thriller\".", "topic": "Top/Arts/Movies/Titles/B/Border_Incident", "url": "http://www.crimeculture.com/Contents/FilmReviews/BorderIncident.htm"} +{"d:Title": "Border Incident (1949)", "d:Description": "Cast, crew and review from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Border_Incident", "url": "http://www.imdb.com/title/tt0041198/"} +{"d:Title": "Border Incident (1949)", "d:Description": "A.K. Rode writes for Film Monthly that the film was \"as uncompromising and tough as movies got in 1950\".", "topic": "Top/Arts/Movies/Titles/B/Border_Incident", "url": "http://www.filmmonthly.com/film_noir/border_incident.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. News, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/B/Boricua's_Bond", "url": "http://www.rottentomatoes.com/m/boricuas_bond/"} +{"d:Title": "IMDb: Boricua's Bond (2000)", "d:Description": "Full cast information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Boricua's_Bond", "url": "http://www.imdb.com/title/tt0217287/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Boricua's_Bond", "url": "http://www.metacritic.com/movie/boricuas-bond"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/B/Born_on_the_4th_of_July", "url": "http://www.fast-rewind.com/born.htm"} +{"d:Title": "All-Reviews.com - Born of the Fourth of July", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Born_on_the_4th_of_July", "url": "http://www.all-reviews.com/videos-4/born-on-fourth-july.htm"} +{"d:Title": "IMDb: Born on the 4th of July (1989)", "d:Description": "Includes plot and cast.", "topic": "Top/Arts/Movies/Titles/B/Born_on_the_4th_of_July", "url": "http://www.imdb.com/title/tt0096969/"} +{"d:Title": "Rottentomatoes: Born Romantic", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Born_Romantic", "url": "http://www.rottentomatoes.com/m/born_romantic/"} +{"d:Title": "Yahoo! Movies: Born Romantic", "d:Description": "Synopsis, cast and crew, photos, reviews and trailer.", "topic": "Top/Arts/Movies/Titles/B/Born_Romantic", "url": "http://movies.yahoo.com/movie/born-romantic/"} +{"d:Title": "IMDb: Born Romantic (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Born_Romantic", "url": "http://www.imdb.com/title/tt0236034/"} +{"d:Title": "Metacritic.com: Born Romantic", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Born_Romantic", "url": "http://www.metacritic.com/movie/born-romantic"} +{"d:Title": "Born to Kill (1947)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Born_to_Kill", "url": "http://www.imdb.com/title/tt0039211/"} +{"d:Title": "Born to Kill (1947)", "d:Description": "Synopsis, photo gallery and cast information from the American Film Institute.", "topic": "Top/Arts/Movies/Titles/B/Born_to_Kill", "url": "http://www.afi.com/wise/films/born_to_kill/btk.html"} +{"d:Title": "Born to Kill (1947)", "d:Description": "Robert Weston for Film Monthly reviews \"the first and the nastiest of the noirs directed by Robert Wise.\"", "topic": "Top/Arts/Movies/Titles/B/Born_to_Kill", "url": "http://www.filmmonthly.com/Noir/Articles/BornToKill/BornToKill.html"} +{"d:Title": "AllMovie: Born to Kill", "d:Description": "Synopsis, review, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/B/Born_to_Kill", "url": "http://www.allmovie.com/movie/born-to-kill-v6753"} +{"d:Title": "Born to Kill", "d:Description": "Dennis Schwartz's review of \"a revolting B film noir.\"", "topic": "Top/Arts/Movies/Titles/B/Born_to_Kill", "url": "http://homepages.sover.net/~ozus/borntokill.htm"} +{"d:Title": "At-A-Glance Film Reviews: Born Yesterday (1950)", "d:Description": "Brief review of the film", "topic": "Top/Arts/Movies/Titles/B/Born_Yesterday_-_1950", "url": "http://www.rinkworks.com/movies/m/born.yesterday.1950.shtml"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Born_Yesterday_-_1950", "url": "http://www.imdb.com/title/tt0042276/"} +{"d:Title": "TV Guide Online: Born Yesterday", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Born_Yesterday_-_1950", "url": "http://www.tvguide.com/movies/born-yesterday/129707/"} +{"d:Title": "Internet Movie Database: Borrower, The", "d:Description": "Plot summary, cast and crew, production information, user comments and ratings, reviews, awards, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/B/Borrower,_The", "url": "http://www.imdb.com/title/tt0101502/"} +{"d:Title": "IMDb: The Borrowers", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/B/Borrowers,_The", "url": "http://www.imdb.com/title/tt0118755/"} +{"d:Title": "TV Guide Online: Borrowers, The", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Borrowers,_The", "url": "http://www.tvguide.com/movies/borrowers/132939/"} +{"d:Title": "HARO Online: Borstal Boy", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/B/Borstal_Boy", "url": "http://www.haro-online.com/movies/borstal_boy.html"} +{"d:Title": "Metacritic.com: Borstal Boy", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/B/Borstal_Boy", "url": "http://www.metacritic.com/film/titles/borstalboy"} +{"d:Title": "IMDb: Direkt\u00f8ren for det hele (2006)", "d:Description": "Photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Boss_of_It_All,_The", "url": "http://www.imdb.com/title/tt0469754/"} +{"d:Title": "Rotten Tomatoes: The Boss of it All", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Boss_of_It_All,_The", "url": "http://www.rottentomatoes.com/m/10007834-boss_of_it_all/"} +{"d:Title": "MovieWeb: Boss of It All (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/B/Boss_of_It_All,_The", "url": "http://movieweb.com/movie/boss-of-it-all/"} +{"d:Title": "Boston Blackie", "d:Description": "The film and other appearances of the safecracker turned amateur detective.", "topic": "Top/Arts/Movies/Titles/B/Boston_Blackie_Series", "url": "http://www.thrillingdetective.com/boston.html"} +{"d:Title": "Boston Blackie Goes Hollywood", "d:Description": "Cast and crew as well as Internet Movie Database user reviews of this series entry.", "topic": "Top/Arts/Movies/Titles/B/Boston_Blackie_Series", "url": "http://www.imdb.com/title/tt0034545/"} +{"d:Title": "Trapped by Boston Blackie", "d:Description": "Cast, crew and plot summary for the final film in the series.", "topic": "Top/Arts/Movies/Titles/B/Boston_Blackie_Series", "url": "http://www.imdb.com/title/tt0040896/"} +{"d:Title": "AllMovie: Boston Blackie Film Series", "d:Description": "Synopses of the films.", "topic": "Top/Arts/Movies/Titles/B/Boston_Blackie_Series", "url": "http://www.allmovie.com/movie/boston-blackie-film-series-v158589"} +{"d:Title": "IMDb - Meet Boston Blackie (1941)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Boston_Blackie_Series/Meet_Boston_Blackie", "url": "http://www.imdb.com/title/tt0033890/combined"} +{"d:Title": "Cinebooks Database - Meet Boston Blackie", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/B/Boston_Blackie_Series/Meet_Boston_Blackie", "url": "http://www.tvguide.com/movies/meet-boston-blackie/105903/"} +{"d:Title": "Kamera: Boston Kickout", "d:Description": "Review by Monkia Maurer, giving credit to the first time director Paul Hills, but suggesting the film has too much in it.", "topic": "Top/Arts/Movies/Titles/B/Boston_Kickout", "url": "http://www.kamera.co.uk/reviews_extra/boston.php"} +{"d:Title": "IMDb: Boston Kickout (1996)", "d:Description": "Provides cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/B/Boston_Kickout", "url": "http://www.imdb.com/title/tt0112564/"} +{"d:Title": "AllReaders.com Review - The Boston Strangler", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies. The film stars Tony Curtis and Henry Fonda.", "topic": "Top/Arts/Movies/Titles/B/Boston_Strangler,_The", "url": "http://allreaders.com/movie-review-summary/the-boston-strangler-4302"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bottle_Rocket", "url": "http://www.all-reviews.com/videos-3/bottle-rocket.htm"} +{"d:Title": "Slackers With Ambition: To Become Desperadoes", "d:Description": "Review by Janet Maslin [NYTimes.com].", "topic": "Top/Arts/Movies/Titles/B/Bottle_Rocket", "url": "http://www.nytimes.com/1996/02/21/movies/film-review-slackers-with-ambition-to-become-desperadoes.html"} +{"d:Title": "Allreaders Review - Bottle Rocket", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bottle_Rocket", "url": "http://allreaders.com/movie-review-summary/bottle-rocket-6594"} +{"d:Title": "TV Guide Online: Bottle Rocket", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bottle_Rocket", "url": "http://www.tvguide.com/movies/bottle-rocket/131081/"} +{"d:Title": "IMDb: Bottle Shock", "d:Description": "Synopsis, trailers, news articles, cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bottle_Shock", "url": "http://www.imdb.com/title/tt0914797/"} +{"d:Title": "All-Reviews.com: Bounce", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/B/Bounce", "url": "http://www.all-reviews.com/videos-2/bounce.htm"} +{"d:Title": "PopMatters - Bounce", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bounce", "url": "http://popmatters.com/film/reviews/b/bounce.html"} +{"d:Title": "Rotten Tomatoes - Bounce", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Bounce", "url": "http://www.rottentomatoes.com/m/bounce/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bounce", "url": "http://www.imdb.com/title/tt0186894/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bounce", "url": "http://www.metacritic.com/movie/bounce"} +{"d:Title": "Allreaders Bounce Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Bounce", "url": "http://allreaders.com/movie-review-summary/bounce-3882"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bound", "url": "http://www.all-reviews.com/videos-2/bound.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bound", "url": "http://www.imdb.com/title/tt0115736/"} +{"d:Title": "Allreaders Bound Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Bound", "url": "http://allreaders.com/movie-review-summary/bound-4571"} +{"d:Title": "IMDb: The Bounty", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bounty,_The", "url": "http://www.imdb.com/title/tt0086993/"} +{"d:Title": "TV Guide Online: Bounty, The", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bounty,_The", "url": "http://www.tvguide.com/movies/bounty/118424/"} +{"d:Title": "All-Reviews.com: The Bourne Identity", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://all-reviews.com/videos-4/bourne-identity.htm"} +{"d:Title": "Movies for Guys: The Bourne Identity", "d:Description": "Review of the film by John. Includes ratings of the film's appeal to a male audience.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.moviesforguys.com/thriller/reviews/thebourneidentity.shtml"} +{"d:Title": "HARO Online: The Bourne Identity", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.haro-online.com/movies/bourne_identity.html"} +{"d:Title": "Romantic Movies: The Bourne Identity", "d:Description": "Review of the film by Rebecca Murray.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://romanticmovies.about.com/library/weekly/aabourneidentityreview.htm"} +{"d:Title": "Metacritic.com: The Bourne Identity", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.metacritic.com/film/titles/bourneidentity"} +{"d:Title": "About.com Review: The Bourne Identity", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://homevideo.about.com/library/weekly/aafpr012903.htm"} +{"d:Title": "NY Rock - The Bourne Identity Movie Review", "d:Description": "Spyder Darling reviews the espionage thriller based on Robert Ludlum's story.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.nyrock.com/movies/2002/bourne.asp"} +{"d:Title": "Pittsburgh Post Gazette - Bourne Identity, The", "d:Description": "Movie review by Ron Weiskind describing the nearly thrilling chase.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.post-gazette.com/movies/20020614bourne8.asp"} +{"d:Title": "Rotten Tomatoes: The Bourne Identity", "d:Description": "Quotes from reviews, photographs, trailer, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.rottentomatoes.com/m/bourne_identity/"} +{"d:Title": "NYTimes.com: The Bourne Identity", "d:Description": "Review by A.O. Scott", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.nytimes.com/2002/06/14/movies/film-review-he-knows-a-lot-just-not-his-name.html"} +{"d:Title": "Chicago Sun-Times - The Bourne Identity", "d:Description": "Roger Ebert reviews the chase film and skillful action moview directed by Doug Liman.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.rogerebert.com/reviews/the-bourne-identity-2002"} +{"d:Title": "IMDb: The Bourne Identity", "d:Description": "Cast and crew, production details, reviews, user comments and ratings, plot summary, photographs, video clips, showtimes, and related links.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Identity,_The", "url": "http://www.imdb.com/title/tt0258463/"} +{"d:Title": "IMDb: The Bourne Supremacy (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Supremacy,_The", "url": "http://www.imdb.com/title/tt0372183/"} +{"d:Title": "Rotten Tomatoes: The Bourne Supremacy", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Supremacy,_The", "url": "http://www.rottentomatoes.com/m/bourne_supremacy/"} +{"d:Title": "HARO Online: The Bourne Supremacy", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Supremacy,_The", "url": "http://www.haro-online.com/movies/bourne_supremacy.html"} +{"d:Title": "Filmtracks: The Bourne Supremacy", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Supremacy,_The", "url": "http://www.filmtracks.com/titles/bourne_supremacy.html"} +{"d:Title": "Chicago Sun-Times: The Bourne Supremacy", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Supremacy,_The", "url": "http://www.rogerebert.com/reviews/the-bourne-supremacy-2004"} +{"d:Title": "Rotten Tomatoes: The Bourne Ultimatum", "d:Description": "Synopsis, credits, photos, trailers, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Ultimatum,_The", "url": "http://www.rottentomatoes.com/m/bourne_ultimatum/"} +{"d:Title": "IMDb: The Bourne Ultimatum (2007)", "d:Description": "Plot outline, quotes, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Ultimatum,_The", "url": "http://www.imdb.com/title/tt0440963/"} +{"d:Title": "CanMag: The Bourne Ultimatum", "d:Description": "Synopsis, trailer, movie stills, and related articles.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Ultimatum,_The", "url": "http://www.canmag.com/movies.php?moviekey=bourneultimatum"} +{"d:Title": "IGN Movies: The Bourne Ultimatum", "d:Description": "News, photos, and trailers.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Ultimatum,_The", "url": "http://www.ign.com/movies/the-bourne-ultimatum/theater-39975"} +{"d:Title": "MovieWeb: The Bourne Ultimatum", "d:Description": "Synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Ultimatum,_The", "url": "http://movieweb.com/movie/the-bourne-ultimatum/"} +{"d:Title": "Movie Forums - The Bourne Utimatum", "d:Description": "Review of the film by Chris Bowyer.", "topic": "Top/Arts/Movies/Titles/B/Bourne_Series/Bourne_Ultimatum,_The", "url": "http://www.movieforums.com/reviews/1081595-the-bourne-ultimatum.html"} +{"d:Title": "All-Reviews.com - Bowfinger (1999)", "d:Description": "Review: \"A gently funny and entertaining comedy.\"", "topic": "Top/Arts/Movies/Titles/B/Bowfinger", "url": "http://www.all-reviews.com/videos/bowfinger.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Bowfinger - Links to reviews, trailers, articles, and news.", "topic": "Top/Arts/Movies/Titles/B/Bowfinger", "url": "http://www.rottentomatoes.com/m/bowfinger/"} +{"d:Title": "Box Office Mojo: Bowfinger", "d:Description": "Box office coverage for the film. Includes comparisons to other Eddie Murphy and Steve Martin movies.", "topic": "Top/Arts/Movies/Titles/B/Bowfinger", "url": "http://www.boxofficemojo.com/movies/?id=bowfinger.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bowfinger", "url": "http://www.imdb.com/title/tt0131325/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bowfinger", "url": "http://www.metacritic.com/movie/bowfinger"} +{"d:Title": "There's No Dodging His Lens", "d:Description": "Article about the documentary by David Sterritt from the Christian Science Monitor.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine", "url": "http://www.csmonitor.com/2002/1004/p15s01-almo.html"} +{"d:Title": "Bowling For Truth", "d:Description": "Discusses scenes and quotes from the movie, \"Bowling for Columbine,\" as well as examines the statistics and Moore's tricky editing, that presented untruths.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine", "url": "http://www.bowlingfortruth.com/"} +{"d:Title": "Rotten Tomatoes: Bowling for Columbine", "d:Description": "Synopsis, quotes from and links to reviews, image gallery, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine", "url": "http://www.rottentomatoes.com/m/bowling_for_columbine/"} +{"d:Title": "Satirist's shock at Cannes selection", "d:Description": "Article on Moore's reaction to the film's acceptance in competition at the Cannes film festival [BBC News].", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1994102.stm"} +{"d:Title": "IMDb: Bowling for Columbine", "d:Description": "Synopsis, cast and crew, reviews, message board, film recommendations, trivia, promotional information, media, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine", "url": "http://www.imdb.com/title/tt0310793/"} +{"d:Title": "A.V. Club: Bowling for Columbine", "d:Description": "Background information on Michael Moore and review of the film by Nathan Rabin.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine", "url": "http://www.avclub.com/review/bowling-for-columbine-5864"} +{"d:Title": "All-Reviews.com - Bowling for Columbine", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://all-reviews.com/videos-5/bowling-for-columbine.htm"} +{"d:Title": "HARO Online: Bowling for Columbine", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.haro-online.com/movies/bowling_for_columbine.html"} +{"d:Title": "Reeling Reviews: Bowling for Columbine", "d:Description": "Robin and Laura Clifford review the film.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.reelingreviews.com/bowlingforcolumbine.htm"} +{"d:Title": "CurrentFilm.com: Bowling For Columbine", "d:Description": "Review of the film and the special edition DVD.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.currentfilm.com/dvdreviews4/bowlingforcolumbinedvd.html"} +{"d:Title": "About.com: Bowling for Columbine", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://homevideo.about.com/cs/independentfilm/fr/DVDPickBowlingC.htm"} +{"d:Title": "Ruthless Reviews: Bowling for Columbine", "d:Description": "Erich Schulte reviews and rates the documentary. \"[...] nearly perfect piece of entertaining propaganda.\"", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.ruthlessreviews.com/1763/bowling-for-columbine/"} +{"d:Title": "Seattle Post-Intelligencer - Bowling for Columbine", "d:Description": "William Arnold points out that Michael Moore hits gutter balls and strikes as he documents America's love for guns.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.seattlepi.com/ae/movies/article/Michael-Moore-hits-gutter-balls-and-strikes-as-he-1098681.php"} +{"d:Title": "Guardian Unlimited - Gunning for the land of the free", "d:Description": "Stuart Jeffries discusses Bowling for Columbine by the American film-maker Michael Moore.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.theguardian.com/world/2002/may/17/cannes2002.cannesfilmfestival1"} +{"d:Title": "Hollywood Bitchslap: Bowling for Columbine", "d:Description": "Reviews from several contributors, and viewer ratings.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.hollywoodbitchslap.com/review.php?movie=6198"} +{"d:Title": "Chicago Sun-Times: Bowling for Columbine", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.rogerebert.com/reviews/bowling-for-columbine-2002"} +{"d:Title": "World Net Daily: 'Bowling for Columbine' Throws a Gutter Ball", "d:Description": "Review and commentary by Michael Medved.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.wnd.com/2002/10/15590/"} +{"d:Title": "The Flick Filosopher: Bowling for Columbine", "d:Description": "MaryAnn Johanson reviews the film and comments on the issues it raises.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.flickfilosopher.com/2002/10/bowling-for-columbine-review.html"} +{"d:Title": "San Francisco Chronicle - Moore Captures U.S. Zeitgeist", "d:Description": "Jonathan Curiel reviews the movie Bowling for Columbine and claims that it explains violence.", "topic": "Top/Arts/Movies/Titles/B/Bowling_for_Columbine/Reviews", "url": "http://www.sfgate.com/entertainment/article/Moore-captures-U-S-zeitgeist-Bowling-for-2761485.php"} +{"d:Title": "All-Reviews.com: Boxing Helena", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Boxing_Helena", "url": "http://www.all-reviews.com/videos-4/boxing-helena.htm"} +{"d:Title": "Jabootu's Bad Movie Dimension: Boxing Helena", "d:Description": "In-depth analysis of the film by Jason MacIsaac. Includes screenshots from the film.", "topic": "Top/Arts/Movies/Titles/B/Boxing_Helena", "url": "http://www.jabootu.com/boxinghelena.htm"} +{"d:Title": "IMDb: Boxing Helena", "d:Description": "Cast and crew, production details, trivia, reviews, bloopers, photographs, and technical information.", "topic": "Top/Arts/Movies/Titles/B/Boxing_Helena", "url": "http://www.imdb.com/title/tt0106471/"} +{"d:Title": "IMDb - Boxing Horses Luna Park, Coney Island (1904)", "d:Description": "Production information.", "topic": "Top/Arts/Movies/Titles/B/Boxing_Horses_Luna_Park,_Coney_Island", "url": "http://www.imdb.com/title/tt0231291/combined"} +{"d:Title": "IMDb: The Boy Friend", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Boyfriend,_The", "url": "http://www.imdb.com/title/tt0066858/"} +{"d:Title": "TV Guide Online: Boyfriends", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Boyfriends", "url": "http://www.tvguide.com/movies/boyfriends/132193/"} +{"d:Title": "IMDb: Boys (1996)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Boys", "url": "http://www.imdb.com/title/tt0115742/"} +{"d:Title": "The New York Times: Boys", "d:Description": "Review by Stephen Holding.", "topic": "Top/Arts/Movies/Titles/B/Boys", "url": "http://www.nytimes.com/1996/05/10/movies/film-review-of-a-boy-and-an-older-woman-he-s-hiding.html"} +{"d:Title": "Chicago Sun-Times: Boys", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Boys", "url": "http://www.rogerebert.com/reviews/boys-1996"} +{"d:Title": "PopMatters - Boys and Girls", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Boys_and_Girls", "url": "http://popmatters.com/film/reviews/b/boys-and-girls.html"} +{"d:Title": "HARO Online - Boys and Girls", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Boys_and_Girls", "url": "http://www.haro-online.com/movies/boys_and_girls.html"} +{"d:Title": "All-Reviews.com - Boys and Girls", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/B/Boys_and_Girls", "url": "http://www.all-reviews.com/videos/boys-and-girls.htm"} +{"d:Title": "Rotten Tomatoes - Boys and Girls", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Boys_and_Girls", "url": "http://www.rottentomatoes.com/m/boys_and_girls/"} +{"d:Title": "Box Office Mojo: Boys and Girls", "d:Description": "Box office data for the film. Includes a comparison with other teen movies.", "topic": "Top/Arts/Movies/Titles/B/Boys_and_Girls", "url": "http://www.boxofficemojo.com/movies/?id=boysandgirls.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Boys_and_Girls", "url": "http://www.imdb.com/title/tt0204175/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Boys_and_Girls", "url": "http://www.metacritic.com/movie/boys-and-girls"} +{"d:Title": "IMDb", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Boys_Are_Easy", "url": "http://www.imdb.com/title/tt0108658/"} +{"d:Title": "'Boys Don't Cry' Filmmaker Saw Past Violence to Love", "d:Description": "CNN Article about Kimberly Pierce and her experiences making the film, including her meeting with Lana Tisdel.", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.cnn.com/SHOWBIZ/Movies/9910/22/boys.dont.cry/"} +{"d:Title": "Screen-It", "d:Description": "Detailed information for parents about how the movie might effect children and teenagers.", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.screenit.com/movies/1999/boys_dont_cry.html"} +{"d:Title": "Haro Online - Boys Don't Cry", "d:Description": "A review of the film. \"The change in attitude of many of the people in this film is disgusting, and sadly, believable.\"", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.haro-online.com/movies/boys_dont_cry.html"} +{"d:Title": "Review from All-Reviews.com", "d:Description": "Brief synopsis and a collection of reviews.", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.all-reviews.com/videos/boys-dont-cry.htm"} +{"d:Title": "Reel Faces - Boys Don't Cry", "d:Description": "Explores the real people behind the film's story. Includes photographs, trailer, and questions about the movie's accuracy.", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.chasingthefrog.com/reelfaces/boysdontcry.php"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, synopsis, reviews, and other details.", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.imdb.com/title/tt0171804/combined"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.metacritic.com/movie/boys-dont-cry"} +{"d:Title": "Salon.com", "d:Description": "Collection of articles about the film.", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.salon.com/topic/boys_dont_cry/"} +{"d:Title": "Use Your Illusion", "d:Description": "Review by J. Hoberman [Village Voice]. \"... scorches the screen like a prairie fire [...]\"", "topic": "Top/Arts/Movies/Titles/B/Boys_Don't_Cry", "url": "http://www.villagevoice.com/film/use-your-illusion-6420785"} +{"d:Title": "Justin's Koool Pages: Boys On The Side", "d:Description": "Fan page with personal review, trailer, and photos.", "topic": "Top/Arts/Movies/Titles/B/Boys_on_the_Side", "url": "http://www.koool.com/boys.html"} +{"d:Title": "Stereotypes and Boys on the Side", "d:Description": "Discussion of the characters in the film and ways that they may transgress stereotypes.", "topic": "Top/Arts/Movies/Titles/B/Boys_on_the_Side", "url": "http://www.univie.ac.at/Anglistik/easyrider/data/boyson_comment.htm"} +{"d:Title": "Rotten Tomatoes: Boys on the Side", "d:Description": "Includes reviews, synopsis, and details.", "topic": "Top/Arts/Movies/Titles/B/Boys_on_the_Side", "url": "http://www.rottentomatoes.com/m/boys_on_the_side/"} +{"d:Title": "IMDb - Boys on the Side", "d:Description": "Includes cast, plot summary, details, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Boys_on_the_Side", "url": "http://www.imdb.com/title/tt0112571/"} +{"d:Title": "Review: Boys on the Side", "d:Description": "Roger Ebert's review for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/B/Boys_on_the_Side", "url": "http://www.rogerebert.com/reviews/boys-on-the-side-1995"} +{"d:Title": "AllReaders.com Review - Boys In The Hood", "d:Description": "Detailed analysis of the Laurence (Larry) Fishburne film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Boyz_N_the_Hood", "url": "http://allreaders.com/movie-review-summary/boyz-n-the-hood-5488"} +{"d:Title": "LikeTelevision - A Boy and his Dog", "d:Description": "Includes the complete film via streaming video.", "topic": "Top/Arts/Movies/Titles/B/Boy_and_His_Dog,_A", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=229&format=movie&theme=guide"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Boy_and_His_Dog,_A", "url": "http://www.imdb.com/title/tt0072730/"} +{"d:Title": "MMI: A Boy Called Hate", "d:Description": "Mary Weems' review: \"Just think of A Boy Called Hate as A Film Called Missable.\"", "topic": "Top/Arts/Movies/Titles/B/Boy_Called_Hate,_A", "url": "http://www.shoestring.org/mmi_revs/bycahate.html"} +{"d:Title": "Young, Alienated and Looking for the Exit From Nowheresville", "d:Description": "Critique by Lawrence Van Gelder [New York Times].", "topic": "Top/Arts/Movies/Titles/B/Boy_Called_Hate,_A", "url": "http://www.nytimes.com/1996/05/22/movies/film-review-young-alienated-and-looking-for-the-exit-from-nowheresville.html"} +{"d:Title": "NYTimes.com: Brain Candy", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/B/Brain_Candy", "url": "http://www.nytimes.com/1996/04/12/movies/film-review-cross-dressing-and-happiness-pills.html"} +{"d:Title": "Kids in the Hall: Brain Candy (1996)", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Brain_Candy", "url": "http://www.imdb.com/title/tt0116768/"} +{"d:Title": "Review from MetroActive Movies", "d:Description": "Richard von Busack's article about the movie incorporates Greek mythology and Stanley Kubrick into the review.", "topic": "Top/Arts/Movies/Titles/B/Brandon_Teena_Story,_The", "url": "http://www.metroactive.com/papers/sfmetro/02.15.99/brandonteenstory-9905.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film by Susan Glen.", "topic": "Top/Arts/Movies/Titles/B/Brandon_Teena_Story,_The", "url": "http://popmatters.com/film/reviews/b/brandon-teena-story.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, synopsis, reviews, and other details.", "topic": "Top/Arts/Movies/Titles/B/Brandon_Teena_Story,_The", "url": "http://www.imdb.com/title/tt0144801/"} +{"d:Title": "IMDb: Brassed Off", "d:Description": "Synopsis, cast and crew, awards and links.", "topic": "Top/Arts/Movies/Titles/B/Brassed_Off", "url": "http://www.imdb.com/title/tt0115744/"} +{"d:Title": "British Film Locations: Brassed Off", "d:Description": "Maps and information for the movie's filming locations.", "topic": "Top/Arts/Movies/Titles/B/Brassed_Off", "url": "http://www.british-film-locations.com/Brassed-Off-1996"} +{"d:Title": "IMDb: Bratz: The Movie (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Bratz_-_The_Movie", "url": "http://www.imdb.com/title/tt0804452/"} +{"d:Title": "Rotten Tomatoes: Bratz: The Movie", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Bratz_-_The_Movie", "url": "http://www.rottentomatoes.com/m/bratz_the_movie/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing production, plot, themes, cast, and reception.", "topic": "Top/Arts/Movies/Titles/B/Bratz_-_The_Movie", "url": "http://en.wikipedia.org/wiki/Bratz_(film)"} +{"d:Title": "MovieWeb: Bratz", "d:Description": "Summary, synopsis, videos, photos, box office numbers, and news.", "topic": "Top/Arts/Movies/Titles/B/Bratz_-_The_Movie", "url": "http://www.movieweb.com/movie/bratz"} +{"d:Title": "USA Today: Bratz: The Movie", "d:Description": "Review, by Claudia Puig: \"A silly movie that's essentially a series of clich\u00e9s strung together into a semblance of a movie.\" [Score: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Bratz_-_The_Movie", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-08-02-bratz_N.htm"} +{"d:Title": "IGN Movies: Bratz", "d:Description": "News, photos, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Bratz_-_The_Movie", "url": "http://www.ign.com/movies/bratz/theater-860642"} +{"d:Title": "Bravados, The (1958)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/B/Bravados,_The", "url": "http://www.imdb.com/title/tt0051433/"} +{"d:Title": "MacBraveHeart", "d:Description": "Provides biographical information on William Wallace, fan testaments, FAQ, images, fan art, and related links.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.braveheart.co.uk/"} +{"d:Title": "All-Reviews.com: Braveheart", "d:Description": "Positive review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.all-reviews.com/videos-2/braveheart.htm"} +{"d:Title": "Filmtracks: Braveheart Soundtrack", "d:Description": "Review and information about the James Horner score.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.filmtracks.com/titles/braveheart.html"} +{"d:Title": "Scotland the Movie: Braveheart", "d:Description": "Details the Scottish filming locations of the Mel Gibson-directed film.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.scotlandthemovie.com/movies/fbraveheart.html"} +{"d:Title": "Braveheart Images", "d:Description": "Fan tribute provides an interview with Mel Gibson, plot details, and photo galleries.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.magicdragon.com/Wallace/Brave6.html"} +{"d:Title": "Braveheart Movie Page", "d:Description": "Partial cast list and an image gallery.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://braveheart_movie.tripod.com/"} +{"d:Title": "IMDb.com: Braveheart", "d:Description": "Provides credits, facts, and cast profiles.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.imdb.com/title/tt0112573/"} +{"d:Title": "AllReaders.com: Braveheart", "d:Description": "Provides an analysis of the plot, theme, setting and characters, with related links.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://allreaders.com/movie-review-summary/braveheart-3650"} +{"d:Title": "Metacritic.com: Braveheart", "d:Description": "Provides links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.metacritic.com/movie/braveheart"} +{"d:Title": "TV Guide Online: Braveheart", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://www.tvguide.com/movies/braveheart/130677/"} +{"d:Title": "Braveheart: the Movie, the Man, the Unending Dream", "d:Description": "Provides a script, brief history of William Wallace, and photos.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "http://troublecat.tripod.com/Braveheart/Braveheart.html"} +{"d:Title": "Awesome Stories: Braveheart", "d:Description": "Historical background for the main character in the form of a story, with links to relevant resources embedded into the text, and some photographs.", "topic": "Top/Arts/Movies/Titles/B/Braveheart_-_1995", "url": "https://www.awesomestories.com/asset/view/Braveheart"} +{"d:Title": "IMDb: The Brave One (2007)", "d:Description": "Photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Brave_One,_The", "url": "http://www.imdb.com/title/tt0476964/"} +{"d:Title": "Rotten Tomatoes: The Brave One", "d:Description": "Review links, synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Brave_One,_The", "url": "http://www.rottentomatoes.com/m/brave_one/"} +{"d:Title": "MovieWeb: The Brave One (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Brave_One,_The", "url": "http://movieweb.com/movie/the-brave-one/"} +{"d:Title": "IGN Movies: The Brave One", "d:Description": "Photos, videos, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Brave_One,_The", "url": "http://www.ign.com/movies/the-brave-one/theater-925975"} +{"d:Title": "All-Reviews.com: Brazil", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Brazil_-_1985", "url": "http://all-reviews.com/videos-4/brazil.htm"} +{"d:Title": "Rotten Tomatoes: Brazil", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/B/Brazil_-_1985", "url": "http://www.rottentomatoes.com/m/1003033-brazil/"} +{"d:Title": "IMDb: Brazil", "d:Description": "Plot summary, cast and crew information, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Brazil_-_1985", "url": "http://www.imdb.com/title/tt0088846/"} +{"d:Title": "Don Ignacio's Movie Reviews: Brazil", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Brazil_-_1985", "url": "http://donignacio.tripod.com/movbrazil.html"} +{"d:Title": "TV Guide Online: Brazil", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Brazil_-_1985", "url": "http://www.tvguide.com/movies/brazil/119270/"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/B/Bread_and_Roses", "url": "http://www.haro-online.com/movies/bread_and_roses.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bread_and_Roses", "url": "http://www.all-reviews.com/videos-3/bread-and-roses.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bread_and_Roses", "url": "http://www.metacritic.com/movie/bread-and-roses"} +{"d:Title": "HARO Online: Bread and Tulips", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bread_and_Tulips", "url": "http://www.haro-online.com/movies/bread_and_tulips.html"} +{"d:Title": "IMDb: Bread and Tulips (Pane e Tulipani) (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bread_and_Tulips", "url": "http://www.imdb.com/title/tt0237539/"} +{"d:Title": "Metacritic.com: Bread and Tulips", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bread_and_Tulips", "url": "http://www.metacritic.com/movie/bread-and-tulips"} +{"d:Title": "All-Reviews.com: Breakdown", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Breakdown", "url": "http://all-reviews.com/videos-4/breakdown.htm"} +{"d:Title": "Rotten Tomatoes: Breakdown", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/B/Breakdown", "url": "http://www.rottentomatoes.com/m/1077077-breakdown/"} +{"d:Title": "IMDb: Breakdown (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Breakdown", "url": "http://www.imdb.com/title/tt0118771/"} +{"d:Title": "IMDb: 'Breaker' Morant", "d:Description": "Includes reviews, cast and crew, plot outline, and message board.", "topic": "Top/Arts/Movies/Titles/B/Breaker_Morant", "url": "http://www.imdb.com/title/tt0080310/"} +{"d:Title": "BrothersJudd.com: Breaker Morant", "d:Description": "Review and synopsis, plus an extensive directory of links to sites about the movie and about the real life Australian hero.", "topic": "Top/Arts/Movies/Titles/B/Breaker_Morant", "url": "http://www.brothersjudd.com/index.cfm/fuseaction/reviews.moviedetail/movie_id/25"} +{"d:Title": "Rottentomatoes: Breaker Morant", "d:Description": "Features several reviews, cast and crew, synopsis, and forum.", "topic": "Top/Arts/Movies/Titles/B/Breaker_Morant", "url": "http://www.rottentomatoes.com/m/breaker_morant/"} +{"d:Title": "Allreaders Review - Breaker Morant", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Edward Woodward film.", "topic": "Top/Arts/Movies/Titles/B/Breaker_Morant", "url": "http://allreaders.com/movie-review-summary/breaker-morant-3862"} +{"d:Title": "Champagne for Breakfast", "d:Description": "Pictures, links, and movie quotes.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_at_Tiffany's", "url": "http://www.angelfire.com/movies/champagne4breakfast/index.html"} +{"d:Title": "Reel Classics: Breakfast at Tiffany's", "d:Description": "Photographs, quotations, credits, sound files, awards, downloads, and links.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_at_Tiffany's", "url": "http://www.reelclassics.com/Movies/Tiffanys/tiffanys.htm"} +{"d:Title": "IMDb - Breakfast at Tiffany's", "d:Description": "Includes an overview, credits, awards, reviews, quotes, and other information.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_at_Tiffany's", "url": "http://www.imdb.com/title/tt0054698/"} +{"d:Title": "AllReaders.com Breakfast at Tiffany's Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_at_Tiffany's", "url": "http://allreaders.com/movie-review-summary/breakfast-at-tiffanys-3846"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_Club,_The", "url": "http://www.fast-rewind.com/tbc.htm"} +{"d:Title": "All-Reviews.com - The Breakfast Club", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/B/Breakfast_Club,_The", "url": "http://www.all-reviews.com/videos-2/breakfast-club.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes a cast list, awards, reviews, a plot summary, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_Club,_The", "url": "http://www.imdb.com/title/tt0088847/"} +{"d:Title": "TV Guide Online: Breakfast Club, The", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_Club,_The", "url": "http://www.tvguide.com/movies/breakfast-club/119286/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/B/Breakfast_of_Champions", "url": "http://www.rottentomatoes.com/m/breakfast_of_champions/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_of_Champions", "url": "http://www.imdb.com/title/tt0120618/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Breakfast_of_Champions", "url": "http://www.metacritic.com/movie/breakfast-of-champions"} +{"d:Title": "Breakin'", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/B/Breakin'_-_1984", "url": "http://www.fast-rewind.com/break/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/B/Breakin'_-_1984", "url": "http://www.fast-rewind.com/breakin.htm"} +{"d:Title": "HARO Online: Breakin' All the Rules", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Breakin'_All_the_Rules", "url": "http://www.haro-online.com/movies/breakin_all_the_rules.html"} +{"d:Title": "IMDb: Breakin' All the Rules (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Breakin'_All_the_Rules", "url": "http://www.imdb.com/title/tt0349169/"} +{"d:Title": "Rotten Tomatoes: Breakin' All the Rules", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Breakin'_All_the_Rules", "url": "http://www.rottentomatoes.com/m/breakin_all_the_rules/"} +{"d:Title": "Chicago Sun-Times: Breakin' All the Rules", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Breakin'_All_the_Rules", "url": "http://www.rogerebert.com/reviews/breakin-all-the-rules-2004"} +{"d:Title": "IMDB: Breaking Away", "d:Description": "List of cast and crew, plot summary and user reviews and ratings.", "topic": "Top/Arts/Movies/Titles/B/Breaking_Away", "url": "http://www.imdb.com/title/tt0078902/"} +{"d:Title": "Combustible Celluloid: Breathless", "d:Description": "Jeffrey M. Anderson's review: \"Breathless became a kind of existential hipster classic, a cool flick as well as great cinema.\" Awarded the highest rating.", "topic": "Top/Arts/Movies/Titles/B/Breathless", "url": "http://www.combustiblecelluloid.com/breathless.shtml"} +{"d:Title": "Guardian Unlimited Film: Breathless (A Bout De Souffle)", "d:Description": "Ski's review: \"A gem from start to finish.\" Rated 9 by readers.", "topic": "Top/Arts/Movies/Titles/B/Breathless", "url": "https://www.theguardian.com/film/movie/78126/breathless-review"} +{"d:Title": "Brewster McCloud (1970)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/B/Brewster_McCloud", "url": "http://www.imdb.com/title/tt0065492/"} +{"d:Title": "Brick", "d:Description": "Plot synopsis, cast and crew list, photo gallery, and user reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Brick", "url": "http://imdb.com/title/tt0393109/"} +{"d:Title": "Crazy Jane", "d:Description": "Articles about the film and its screening at the Sundance Film Festival. Photos of cast, crew and film posters.", "topic": "Top/Arts/Movies/Titles/B/Brick", "url": "http://crazyjaneski.typepad.com/crazy_jane/brick/"} +{"d:Title": "eFilmCritic", "d:Description": "Movie review and user ratings.", "topic": "Top/Arts/Movies/Titles/B/Brick", "url": "http://efilmcritic.com/review.php?movie=11215&reviewer=198"} +{"d:Title": "CanMag - So Many Bricks", "d:Description": "All five movie posters and synopsis for the film.", "topic": "Top/Arts/Movies/Titles/B/Brick", "url": "http://www.canmag.com/news/4/3/2861"} +{"d:Title": "Rian's BRICK forum", "d:Description": "Forum about the film run by director Rian Johnson.", "topic": "Top/Arts/Movies/Titles/B/Brick", "url": "http://www.rcjohnso.com/forum/"} +{"d:Title": "Brick", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/B/Brick", "url": "http://www.haro-online.com/movies/brick.html"} +{"d:Title": "Rotten Tomatoes: Brick Lane", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Brick_Lane", "url": "http://www.rottentomatoes.com/m/brick_lane/"} +{"d:Title": "TV Guide", "d:Description": "Review, by Maitland McDonagh: \"Restrained and decorous to a fault.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Brick_Lane", "url": "http://www.tvguide.com/movies/brick-lane/review/294216/"} +{"d:Title": "MovieWeb: Brick Lane (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/B/Brick_Lane", "url": "http://movieweb.com/movie/brick-lane/"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bride_of_the_Wind", "url": "http://www.haro-online.com/movies/bride_of_the_wind.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bride_of_the_Wind", "url": "http://www.metacritic.com/movie/bride-of-the-wind"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bride_with_White_Hair,_The", "url": "http://www.imdb.com/title/tt0106342/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bridges_of_Madison_County,_The", "url": "http://www.all-reviews.com/videos-2/the-bridges-of-madison-county.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bridges_of_Madison_County,_The", "url": "http://crazy4cinema.com/Review/FilmsB/f_bridges.html"} +{"d:Title": "IMDb: Bridget Jones's Diary (2001)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, plot summary, quotes, trivia, links, promotional material and film details.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary", "url": "http://www.imdb.com/title/tt0243155/"} +{"d:Title": "Crazy for Cinema: Bridget Jones's Diary", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://crazy4cinema.com/Review/FilmsB/f_bridget_jones.html"} +{"d:Title": "All-Reviews.com: Bridget Jones's Diary", "d:Description": "5 reviewers give their impressions of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://www.all-reviews.com/videos-3/bridget-jones-diary.htm"} +{"d:Title": "HARO Online: Bridget Jones's Diary", "d:Description": "Short review of this comedy.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://www.haro-online.com/movies/bridget_joness_diary.html"} +{"d:Title": "Ninth Symphony Films: Bridget Jones's Diary", "d:Description": "A detailed review with cast information and photos from the film.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://regencylady.tripod.com/site/filmreviews/bridgetjonessdiary.html"} +{"d:Title": "Cosmopolis: Bridget Jones's Diary", "d:Description": "Review of the film accompanied by screen shots.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://www.cosmopolis.ch/english/cosmo18/bridget_jones_diary.htm"} +{"d:Title": "RottenTomatoes.com: Bridget Jones's Diary", "d:Description": "Reviews and commentary, and related merchandise links.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://www.rottentomatoes.com/m/bridget_jones_diary/"} +{"d:Title": "AllReaders.com Review: Bridget Jones's Diary", "d:Description": "Several review summaries of this Renee Zellweger, Hugh Grant, and Colin Firth film. Links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://allreaders.com/movie-review-summary/bridget-joness-diary-5589"} +{"d:Title": "Metacritic.com: Bridget Jones's Diary", "d:Description": "Links to several reviews on other sites. Lists credits, awards, and merchandise for sale.", "topic": "Top/Arts/Movies/Titles/B/Bridget_Jones's_Diary/Reviews", "url": "http://www.metacritic.com/movie/bridget-joness-diary"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bridge_at_Remagen,_The", "url": "http://www.imdb.com/title/tt0064110/"} +{"d:Title": "Greatest Films - The Bridge On The River Kwai (1957)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Bridge_on_the_River_Kwai,_The", "url": "http://www.filmsite.org/bridge.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bridge_on_the_River_Kwai,_The", "url": "http://crazy4cinema.com/Review/FilmsB/f_bridge_kwai.html"} +{"d:Title": "All-Reviews.com: The Bridge on The River Kwai", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Bridge_on_the_River_Kwai,_The", "url": "http://www.all-reviews.com/videos-4/bridge-on-river-kwai.htm"} +{"d:Title": "IMDb: The Bridge on the River Kwai", "d:Description": "Cast, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/B/Bridge_on_the_River_Kwai,_The", "url": "http://www.imdb.com/title/tt0050212/"} +{"d:Title": "AllReaders.com Review - The Bridge Over The River Kwai", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Bridge_on_the_River_Kwai,_The", "url": "http://allreaders.com/movie-review-summary/bridge-on-the-river-kwai-3620"} +{"d:Title": "IMDb: Bridge Too Far, A", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/B/Bridge_Too_Far,_A", "url": "http://www.imdb.com/title/tt0075784/"} +{"d:Title": "IMDb: Bridge to Terabithia", "d:Description": "Includes cast, crews, photos, and information.", "topic": "Top/Arts/Movies/Titles/B/Bridge_to_Terabithia", "url": "http://www.imdb.com/title/tt0398808/"} +{"d:Title": "Bridge to Terabithia", "d:Description": "Official site for the film. Offers downloads, videos, wallpaper, screensaver, concept art, photos, and a game.", "topic": "Top/Arts/Movies/Titles/B/Bridge_to_Terabithia", "url": "http://movies.disney.com/bridge-to-terabithia"} +{"d:Title": "ReelViews: Bridge to Terabithia", "d:Description": "Review by James Berardinelli. Also includes a picture and information.", "topic": "Top/Arts/Movies/Titles/B/Bridge_to_Terabithia", "url": "http://www.reelviews.net/reelviews/bridge-to-terabithia-a"} +{"d:Title": "TV Guide Online: Bridge To Terabithia", "d:Description": "Offers cast, review, information, and a picture.", "topic": "Top/Arts/Movies/Titles/B/Bridge_to_Terabithia", "url": "http://www.tvguide.com/movies/bridge-terabithia/285895/"} +{"d:Title": "Bridge to the Future", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/B/Bridge_to_the_Future", "url": "http://www.adequate.com/bttf/"} +{"d:Title": "Greatest Films - Brief Encounter", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Brief_Encounter_-_1946", "url": "http://www.filmsite.org/brie.html"} +{"d:Title": "IMDb: Brief Encounter", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/B/Brief_Encounter_-_1946", "url": "http://www.imdb.com/title/tt0037558/"} +{"d:Title": "TV Guide Online: Brief Encounter", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Brief_Encounter_-_1946", "url": "http://www.tvguide.com/movies/brief-encounter/120131/"} +{"d:Title": "All-Reviews.com: Brigadoon", "d:Description": "Review of the film by Brian Koller.", "topic": "Top/Arts/Movies/Titles/B/Brigadoon_-_1954", "url": "http://www.all-reviews.com/videos-4/brigadoon.htm"} +{"d:Title": "IMDb: Brigadoon (1954)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Brigadoon_-_1954", "url": "http://www.imdb.com/title/tt0046807/"} +{"d:Title": "GreaterThings.com: Brigham City", "d:Description": "Review of the film by Sterling D. Allan. Includes user comments and related links.", "topic": "Top/Arts/Movies/Titles/B/Brigham_City", "url": "http://www.greaterthings.com/Bookstore/Videos/Reviews/BrighamCity.htm"} +{"d:Title": "IMDb: Brigham City (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Brigham_City", "url": "http://www.imdb.com/title/tt0268200/"} +{"d:Title": "Metacritic.com: Brigham City", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Brigham_City", "url": "http://www.metacritic.com/movie/brigham-city"} +{"d:Title": "Washington Post - Brighton Beach Memoirs", "d:Description": "Review by Paul Attanasio (predominantly positive).", "topic": "Top/Arts/Movies/Titles/B/Brighton_Beach_Memoirs", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/brightonbeachmemoirspg13attanasio_a0ad8c.htm"} +{"d:Title": "Washington Post - Brighton Beach Memoirs", "d:Description": "Review by Rita Kempley (predominantly negative).", "topic": "Top/Arts/Movies/Titles/B/Brighton_Beach_Memoirs", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/brightonbeachmemoirspg13kempley_a0cac8.htm"} +{"d:Title": "IMDb - Brighton Beach Memoirs (1986)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Brighton_Beach_Memoirs", "url": "http://www.imdb.com/title/tt0090774/combined"} +{"d:Title": "Chicago Sun-Times - Brighton Beach Memoirs", "d:Description": "Review by Roger Ebert (negative), rating.", "topic": "Top/Arts/Movies/Titles/B/Brighton_Beach_Memoirs", "url": "http://www.rogerebert.com/reviews/brighton-beach-memoirs-1986"} +{"d:Title": "Cinebooks Database - Brighton Beach Memoirs", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/B/Brighton_Beach_Memoirs", "url": "http://www.tvguide.com/movies/brighton-beach-memoirs/120146/"} +{"d:Title": "Brighton Rock", "d:Description": "BBC Films review by Jamie Russell of Graham Greene's tale of Brighton razor gangs, Catholic guilt and sexual confusion.", "topic": "Top/Arts/Movies/Titles/B/Brighton_Rock", "url": "http://www.bbc.co.uk/films/2002/05/16/brighton_rock_1947_review.shtml"} +{"d:Title": "Brighton Rock", "d:Description": "Review of \"vintage British crime thriller, featuring a chilling turn from Richard Attenborough as a brutal teenage racketeer.\"", "topic": "Top/Arts/Movies/Titles/B/Brighton_Rock", "url": "http://www.film4.com/reviews/1947/brighton-rock"} +{"d:Title": "IMDb: Brighton Rock", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Brighton_Rock", "url": "http://www.imdb.com/title/tt0039220/"} +{"d:Title": "Brighton Rock (1947)", "d:Description": "Review and plot synopsis by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/B/Brighton_Rock", "url": "http://homepages.sover.net/~ozus/brightonrock.htm"} +{"d:Title": "Bright Young Things", "d:Description": "Official site, with synopsis, cast, press quotes, and still pictures.", "topic": "Top/Arts/Movies/Titles/B/Bright_Young_Things", "url": "http://iconmovies.co.uk/brightyoungthings/"} +{"d:Title": "HARO Online: Bright Young Things", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bright_Young_Things", "url": "http://www.haro-online.com/movies/bright_young_things.html"} +{"d:Title": "Rottentomatoes: Bright Young Things", "d:Description": "Trailer, reviews and movie info.", "topic": "Top/Arts/Movies/Titles/B/Bright_Young_Things", "url": "http://www.rottentomatoes.com/m/bright_young_things/"} +{"d:Title": "IMDb: Bright Young Things", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bright_Young_Things", "url": "http://www.imdb.com/title/tt0325123/"} +{"d:Title": "MyMovies.net: In the Houze", "d:Description": "News articles on the film, its cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://search.mymovies.net/news/news_listing.asp?filmid=1404"} +{"d:Title": "About.com: Bringing Down the House", "d:Description": "Interview and production photos.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://romanticmovies.about.com/cs/bringingdown/"} +{"d:Title": "JoBlo.com: Bringing Down the House", "d:Description": "Plot synopsis, an image and movie poster.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://joblo.com/upcomingmovies/2003/bringingdownthehouse.htm"} +{"d:Title": "All-Reviews.com: Bringing Down the House", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://all-reviews.com/videos-5/bringing-down-the-house.htm"} +{"d:Title": "HARO Online: Bringing Down the House", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://www.haro-online.com/movies/bringing_down_the_house.html"} +{"d:Title": "Al's Fan Pages: Bringing Down the House", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://www.morethings.com/fan/bringing_down_the_house.htm"} +{"d:Title": "Mooviees! - Bringing Down the House", "d:Description": "Images, wallpapers, trailers, reviews, cast list, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://www.mooviees.com/1966-bringing-down-the-house/movie"} +{"d:Title": "Rotten Tomatoes: Bringing Down the House", "d:Description": "Reviews, images, trailer and discussion forum.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://www.rottentomatoes.com/m/bringing_down_the_house/"} +{"d:Title": "IMDb: Bringing Down the House (2003)", "d:Description": "List of the cast and crew, and production notes.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://www.imdb.com/title/tt0305669/"} +{"d:Title": "Contactmusic.com: Bringing Down The House", "d:Description": "Plot synopsis, trailer and images.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Down_the_House", "url": "http://www.contactmusic.com/pages/bringingdownthehousex24x04x03"} +{"d:Title": "Bringing Out the Dead: Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of Bringing Out the Dead.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Out_the_Dead", "url": "http://www.rottentomatoes.com/m/bringing_out_the_dead/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Out_the_Dead", "url": "http://the-editing-room.com/bringingoutthedead.html"} +{"d:Title": "Box Office Mojo: Bringing Out the Dead", "d:Description": "Box office coverage for the film. Includes comparisons to other Martin Scorsese and Nicolas Cage movies.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Out_the_Dead", "url": "http://www.boxofficemojo.com/movies/?id=bringingoutthedead.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes an overview, awards, reviews, quotes, links, and other details.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Out_the_Dead", "url": "http://www.imdb.com/title/tt0163988/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Out_the_Dead", "url": "http://www.metacritic.com/movie/bringing-out-the-dead"} +{"d:Title": "Greatest Films - Bringing Up Baby", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/B/Bringing_Up_Baby", "url": "http://www.filmsite.org/brin.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Up_Baby", "url": "http://crazy4cinema.com/Review/FilmsB/f_bringing_baby.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Up_Baby", "url": "http://www.imdb.com/title/tt0029947/"} +{"d:Title": "AllReaders.com Bringing Up Baby Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Up_Baby", "url": "http://allreaders.com/movie-review-summary/bringing-up-baby-4449"} +{"d:Title": "TV Guide Online: Bringing Up Baby", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bringing_Up_Baby", "url": "http://www.tvguide.com/movies/bringing-baby/120156/"} +{"d:Title": "PopMatters - Bring It On", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/B/Bring_It_On", "url": "http://popmatters.com/film/reviews/b/bring-it-on.html"} +{"d:Title": "Rotten Tomatoes: Bring It On", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bring_It_On", "url": "http://www.rottentomatoes.com/m/bring_it_on/"} +{"d:Title": "IMDb: Bring It On", "d:Description": "Cast and crew information, plot outline, ratings, and links.", "topic": "Top/Arts/Movies/Titles/B/Bring_It_On", "url": "http://www.imdb.com/title/tt0204946/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bring_It_On", "url": "http://www.metacritic.com/movie/bring-it-on"} +{"d:Title": "Internet Movie Database: Britney, Baby, One More Time", "d:Description": "Synopsis, cast and crew, user comments and ratings, production information, and links.", "topic": "Top/Arts/Movies/Titles/B/Britney,_Baby,_One_More_Time", "url": "http://www.imdb.com/title/tt0303311/"} +{"d:Title": "Yahoo! Movies: Britney Baby, One More Time", "d:Description": "Film overview, credits, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Britney,_Baby,_One_More_Time", "url": "https://www.yahoo.com/movies/film/britney-baby-one-more-time"} +{"d:Title": "Washington Post - Broadcast News - Review by Desson Howe", "d:Description": "Description about the director and his film \"Broadcast News\"", "topic": "Top/Arts/Movies/Titles/B/Broadcast_News", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/broadcastnewsrhowe_a0b148.htm"} +{"d:Title": "Washington Post - Broadcast News - Review by Hal Hinson", "d:Description": "Description about his film \"Broadcast News\" and his talent of film making.", "topic": "Top/Arts/Movies/Titles/B/Broadcast_News", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/broadcastnewsrhinson_a0c913.htm"} +{"d:Title": "Broadcast News - Review by Walter Frith", "d:Description": "Commentary, including a synopsis of the story.", "topic": "Top/Arts/Movies/Titles/B/Broadcast_News", "url": "http://www.imdb.com/reviews/72/7228.html"} +{"d:Title": "TV Guide Online: Broadcast News", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Broadcast_News", "url": "http://www.tvguide.com/movies/broadcast-news/120164/"} +{"d:Title": "IMDb: The Broadway Melody", "d:Description": "Cast and crew, plot summary, and reviews.", "topic": "Top/Arts/Movies/Titles/B/Broadway_Melody,_The_-_1929", "url": "http://www.imdb.com/title/tt0019729/"} +{"d:Title": "The Flick Filosopher", "d:Description": "MaryAnn Johanson's review: \"The Broadway Melody's snarky, wiseacre humor and effervescent charm save it from being completely dated, though the dance production numbers...may try your patience.\"", "topic": "Top/Arts/Movies/Titles/B/Broadway_Melody,_The_-_1929", "url": "http://www.flickfilosopher.com/1999/01/the-broadway-melody-review.html"} +{"d:Title": "Judy Garland Database: Broadway Melody of 1938", "d:Description": "Plot summary, memorable lines, song information, and critical response.", "topic": "Top/Arts/Movies/Titles/B/Broadway_Melody_of_1938", "url": "http://www.jgdb.com/melody.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Broadway_Melody_of_1938", "url": "http://www.imdb.com/title/tt0028665/"} +{"d:Title": "IMDb: Brokeback Mountain (2005)", "d:Description": "Cast listing, viewer comments and rating, summaries from the screenwriters and the production company, trivia.", "topic": "Top/Arts/Movies/Titles/B/Brokeback_Mountain", "url": "http://imdb.com/title/tt0388795/"} +{"d:Title": "Dave Cullen: Brokeback Mountain", "d:Description": "Links to reviews and interviews, update on awards, where to look for still pictures or related videos. Background on the short story, film, and book. How author Cullen got hooked, and a discussion forum so active it outgrew the software.", "topic": "Top/Arts/Movies/Titles/B/Brokeback_Mountain", "url": "http://www.davecullen.com/brokebackmountain/"} +{"d:Title": "The Towleroad Guide to Brokeback Mountain", "d:Description": "Fan page includes images, interviews, gossip about the film, reader comments. Links to numerous reviews and articles.", "topic": "Top/Arts/Movies/Titles/B/Brokeback_Mountain", "url": "http://towleroad.typepad.com/towleroad/2005/10/the_towleroad_g.html"} +{"d:Title": "Wikipedia: Brokeback Mountain", "d:Description": "Encyclopedia-style essay on the Ang Lee film.", "topic": "Top/Arts/Movies/Titles/B/Brokeback_Mountain", "url": "http://en.wikipedia.org/wiki/Brokeback_Mountain"} +{"d:Title": "Box Office Mojo: Brokeback Mountain", "d:Description": "Estimate of domestic and overseas receipts during its theatrical run, review, news articles, gallery of images.", "topic": "Top/Arts/Movies/Titles/B/Brokeback_Mountain", "url": "http://www.boxofficemojo.com/movies/?id=brokebackmountain.htm"} +{"d:Title": "Brokeback Mountain @ ennisjack.com", "d:Description": "A discussion forum for subjects related to the movie.", "topic": "Top/Arts/Movies/Titles/B/Brokeback_Mountain", "url": "http://www.ennisjack.com/"} +{"d:Title": "Guardian Unlimited: Brokeback Mountain", "d:Description": "Summary, two reviews, viewer comments and rating, a table of ratings by UK newspaper critics, and related stories in the Guardian and the Observer.", "topic": "Top/Arts/Movies/Titles/B/Brokeback_Mountain", "url": "http://www.theguardian.com/film/movie/108296/brokeback.mountain"} +{"d:Title": "PopMatters", "d:Description": "Review of Brokedown Palace", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://popmatters.com/film/reviews/b/brokedown-palace.html"} +{"d:Title": "3 Black Chicks...Brokedown Palace", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://www.3blackchicks.com/bamsbroke.html"} +{"d:Title": "Haro Online - Brokedown Palace", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://www.haro-online.com/movies/brokedown_palace.html"} +{"d:Title": "All-Reviews.com - Brokedown Palace", "d:Description": "Collection of reviews about the movie", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://www.all-reviews.com/videos/brokedown-palace.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Brokedown Palace - Synopsis and review, with links to reviews, trailers, and clips.", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://www.rottentomatoes.com/m/brokedown_palace/"} +{"d:Title": "Box Office Mojo: Brokedown Palace", "d:Description": "Box office coverage for the film.", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://www.boxofficemojo.com/movies/?id=brokedownpalace.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://www.imdb.com/title/tt0120620/"} +{"d:Title": "TV Guide Online: Brokedown Palace", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Brokedown_Palace", "url": "http://www.tvguide.com/movies/brokedown-palace/133663/"} +{"d:Title": "All-Reviews.com - Broken Arrow", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Broken_Arrow", "url": "http://www.all-reviews.com/videos-2/broken-arrow.htm"} +{"d:Title": "IMDb: Broken English (2007)", "d:Description": "Photo gallery, plot outlines, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Broken_English_-_2007", "url": "http://www.imdb.com/title/tt0772157/"} +{"d:Title": "Rotten Tomatoes: Broken English", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Broken_English_-_2007", "url": "http://www.rottentomatoes.com/m/broken_english/"} +{"d:Title": "IGN Movies: Broken English", "d:Description": "Photos, videos, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Broken_English_-_2007", "url": "http://www.ign.com/movies/broken-english/theater-907828"} +{"d:Title": "MovieWeb: Broken English (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/B/Broken_English_-_2007", "url": "http://movieweb.com/movie/broken-english/"} +{"d:Title": "About.com: The Broken Hearts Club", "d:Description": "Review of the film by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/B/Broken_Hearts_Club,_The", "url": "http://homevideo.about.com/library/blpick030801.htm"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews The Broken Hearts Club.", "topic": "Top/Arts/Movies/Titles/B/Broken_Hearts_Club,_The", "url": "http://www.haro-online.com/movies/broken_hearts_club.html"} +{"d:Title": "The Broken Hearts Club", "d:Description": "(IMDb) Includes cast list.", "topic": "Top/Arts/Movies/Titles/B/Broken_Hearts_Club,_The", "url": "http://www.imdb.com/title/tt0222850/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Broken_Hearts_Club,_The", "url": "http://www.metacritic.com/movie/the-broken-hearts-club-a-romantic-comedy"} +{"d:Title": "HARO Online: Broken Lizard's Club Dread", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Broken_Lizard's_Club_Dread", "url": "http://www.haro-online.com/movies/broken_lizards_club_dread.html"} +{"d:Title": "IMDb: Broken Lizard's Club Dread (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Broken_Lizard's_Club_Dread", "url": "http://www.imdb.com/title/tt0331953/"} +{"d:Title": "Chicago Sun-Times: Broken Lizard's Club Dread", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Broken_Lizard's_Club_Dread", "url": "http://www.rogerebert.com/reviews/broken-lizards-club-dread-2004"} +{"d:Title": "Rotten Tomatoes: Broken Lizard's Club Dread", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Broken_Lizard's_Club_Dread", "url": "http://www.rottentomatoes.com/m/club-dread/"} +{"d:Title": "HARO Online: Broken Wings", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Broken_Wings", "url": "http://www.haro-online.com/movies/broken_wings.html"} +{"d:Title": "IMDb: Broken Wings (Knafayim Shvurot) (2002)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Broken_Wings", "url": "http://www.imdb.com/title/tt0317842/"} +{"d:Title": "Rotten Tomatoes: Broken Wings", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Broken_Wings", "url": "http://www.rottentomatoes.com/m/1130567-broken_wings/"} +{"d:Title": "AskMen.com: Lessons from a Bronx Tale", "d:Description": "Article outlining 13 life lessons from the classic gangster movie.", "topic": "Top/Arts/Movies/Titles/B/Bronx_Tale,_A", "url": "http://www.askmen.com/money/mafioso_100/105_mafia.html"} +{"d:Title": "AllReaders.com Review - A Bronx Tale", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Robert De Niro film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bronx_Tale,_A", "url": "http://allreaders.com/movie-review-summary/bronx-tale-4435"} +{"d:Title": "IMDb - Brooklyn Babylon (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Babylon", "url": "http://www.imdb.com/title/tt0240389/combined"} +{"d:Title": "A.V. Club: Brooklyn Babylon", "d:Description": "Review by Nathan Rabin. \"[...] might fail on the side of tolerance, uplift, and understanding, but it fails regardless.\"", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Babylon", "url": "http://www.avclub.com/review/brooklyn-babylon-17787"} +{"d:Title": "Cinebooks Database - Brooklyn Babylon", "d:Description": "Review by Maitland McDonagh (mixed), rating.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Babylon", "url": "http://www.tvguide.com/movies/brooklyn-babylon/135372/"} +{"d:Title": "New York Times - Hip-Hop Romeo, Hasidic Juliet", "d:Description": "Review by Dave Kehr (mixed). [Site requires free registration.]", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Babylon", "url": "http://www.nytimes.com/2001/08/17/movies/film-review-hip-hop-romeo-hasidic-juliet.html"} +{"d:Title": "IMDb - Brooklyn Bridge (1896)", "d:Description": "Production information.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Bridge_-_1896", "url": "http://www.imdb.com/title/tt0229273/combined"} +{"d:Title": "IMDb - Brooklyn Buckaroos (1950)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Buckaroos", "url": "http://www.imdb.com/title/tt0338818/"} +{"d:Title": "IMDb - Brooklyn Orchid (1942)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Orchid", "url": "http://www.imdb.com/title/tt0034551/"} +{"d:Title": "Cinebooks Database - Brooklyn Orchid", "d:Description": "Unsigned review (negative) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Orchid", "url": "http://www.tvguide.com/movies/brooklyn-orchid/120876/"} +{"d:Title": "IMDb - Brooklyn Sonnet (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_Sonnet", "url": "http://www.imdb.com/title/tt0187761/"} +{"d:Title": "Rotten Tomatoes: A Brooklyn State of Mind", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_State_of_Mind,_A", "url": "http://www.rottentomatoes.com/m/brooklyn_state_of_mind/"} +{"d:Title": "IMDb: A Brooklyn State of Mind (1997)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Brooklyn_State_of_Mind,_A", "url": "http://www.imdb.com/title/tt0118782/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Brother", "url": "http://www.haro-online.com/movies/brother.html"} +{"d:Title": "IMDb: Brother", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/B/Brother", "url": "http://www.imdb.com/title/tt0222851/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Brother", "url": "http://www.metacritic.com/movie/brother"} +{"d:Title": "All-Reviews.com - Brotherhood of the Wolf", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/B/Brotherhood_of_the_Wolf", "url": "http://www.all-reviews.com/videos-4/brotherhood-of-wolf.htm"} +{"d:Title": "HARO Online - Brotherhood of the Wolf", "d:Description": "Review of the movie by Mongoose.", "topic": "Top/Arts/Movies/Titles/B/Brotherhood_of_the_Wolf", "url": "http://www.haro-online.com/movies/brotherhood_of_the_wolf.html"} +{"d:Title": "About.com: Brotherhood of the Wolf", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/B/Brotherhood_of_the_Wolf", "url": "http://homevideo.about.com/library/weekly/aafpr091802.htm"} +{"d:Title": "Contactmusic.com: Brotherhood of the Wolf", "d:Description": "Review, photographs, and video clips.", "topic": "Top/Arts/Movies/Titles/B/Brotherhood_of_the_Wolf", "url": "http://www.contactmusic.com/pages/bhofthewolfreviewx27x09x02"} +{"d:Title": "Awesome Stories: Brotherhood of the Wolf", "d:Description": "Historical background for the film in the form of a story, with links to relevant resources embedded into the text.", "topic": "Top/Arts/Movies/Titles/B/Brotherhood_of_the_Wolf", "url": "https://www.awesomestories.com/asset/view/Brotherhood-of-the-Wolf"} +{"d:Title": "IMDb: The Brothers (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Brothers,_The", "url": "http://www.imdb.com/title/tt0250274/"} +{"d:Title": "Metacritic.com: The Brothers", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Brothers,_The", "url": "http://www.metacritic.com/movie/the-brothers"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Brothers_McMullen,_The", "url": "http://www.all-reviews.com/videos-2/the-brothers-mcmullen.htm"} +{"d:Title": "Metacritic.com: The Brothers McMullen", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Brothers_McMullen,_The", "url": "http://www.metacritic.com/movie/the-brothers-mcmullen"} +{"d:Title": "IMDb: The Brothers McMullen (1995)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Brothers_McMullen,_The", "url": "http://www.imdb.com/title/tt0112585/"} +{"d:Title": "IMDb: The Brothers Solomon (2007)", "d:Description": "Photo gallery, plot outline, cast list, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Brothers_Solomon,_The", "url": "http://www.imdb.com/title/tt0784972/"} +{"d:Title": "Rotten Tomatoes: The Brothers Solomon", "d:Description": "Synopsis, credits, photos, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Brothers_Solomon,_The", "url": "http://www.rottentomatoes.com/m/brothers_solomon/"} +{"d:Title": "MovieWeb: The Brothers Solomon (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/Brothers_Solomon,_The", "url": "http://movieweb.com/movie/the-brothers-solomon/"} +{"d:Title": "Internet Movie Database: Brother from Another Planet, The", "d:Description": "Synopsis, cast and crew, user comments and ratings, quotations, soundtrack listing, production information, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/B/Brother_from_Another_Planet,_The", "url": "http://www.imdb.com/title/tt0087004/"} +{"d:Title": "IMDb - Brooklyni testv\u00e9r, A", "d:Description": "Credits.", "topic": "Top/Arts/Movies/Titles/B/Brother_From_Brooklyn,_The", "url": "http://www.imdb.com/title/tt0191849/combined"} +{"d:Title": "Hubert von Goisern Site: Brother of Sleep", "d:Description": "Synopsis, reviews, pictures, music information, and awards.", "topic": "Top/Arts/Movies/Titles/B/Brother_of_Sleep", "url": "http://www.hubertvongoisern.com/film/schlafesbruder.html"} +{"d:Title": "HARO Online: The Brown Bunny", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Brown_Bunny,_The", "url": "http://www.haro-online.com/movies/brown_bunny.html"} +{"d:Title": "IMDb: The Brown Bunny (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Brown_Bunny,_The", "url": "http://www.imdb.com/title/tt0330099/"} +{"d:Title": "Rotten Tomatoes: The Brown Bunny", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Brown_Bunny,_The", "url": "http://www.rottentomatoes.com/m/brown_bunny/"} +{"d:Title": "Chicago Sun-Times: The Brown Bunny", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Brown_Bunny,_The", "url": "http://www.rogerebert.com/reviews/the-brown-bunny-2004"} +{"d:Title": "HARO Online: Brown Sugar", "d:Description": "Review by Mongoose, and pictures.", "topic": "Top/Arts/Movies/Titles/B/Brown_Sugar_-_2002", "url": "http://www.haro-online.com/movies/brown_sugar.html"} +{"d:Title": "Rotten Tomatoes: Brown Sugar", "d:Description": "Quotes from and links to reviews, synopsis, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/B/Brown_Sugar_-_2002", "url": "http://www.rottentomatoes.com/m/brown_sugar/"} +{"d:Title": "IMDb: Brown Sugar (2002)", "d:Description": "Cast and crew, synopsis, reviews, trivia, soundtrack listing, related films, promotional information, technical specifications, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Brown_Sugar_-_2002", "url": "http://www.imdb.com/title/tt0297037/"} +{"d:Title": "Contactmusic.com: Brown Sugar", "d:Description": "Contains pictures and plot summary.", "topic": "Top/Arts/Movies/Titles/B/Brown_Sugar_-_2002", "url": "http://www.contactmusic.com/pages/brownsugarx25x06x03"} +{"d:Title": "KillerMovies: Bruce Almighty", "d:Description": "Synopsis, cast and crew, multimedia, and articles.", "topic": "Top/Arts/Movies/Titles/B/Bruce_Almighty", "url": "http://www.killermovies.com/b/brucealmighty/"} +{"d:Title": "HARO Online: Bruce Almighty", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Bruce_Almighty", "url": "http://www.haro-online.com/movies/bruce_almighty.html"} +{"d:Title": "All-Reviews.com - Bruce Almighty", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bruce_Almighty", "url": "http://www.all-reviews.com/videos-5/bruce-almighty.htm"} +{"d:Title": "Bruce Almighty", "d:Description": "Official site with trailer, DVD features, synopsis, cast, production information, downloads, e-cards, and soundtrack details.", "topic": "Top/Arts/Movies/Titles/B/Bruce_Almighty", "url": "http://www.brucealmighty.com/"} +{"d:Title": "Rotten Tomatoes: Bruce Almighty", "d:Description": "Links to reviews, photos, trailers, and a public forum.", "topic": "Top/Arts/Movies/Titles/B/Bruce_Almighty", "url": "http://www.rottentomatoes.com/m/bruce_almighty/"} +{"d:Title": "God's Power as an Ego Trip for an Id", "d:Description": "Critique with ratings advice and a list of the main cast and crew [New York Times].", "topic": "Top/Arts/Movies/Titles/B/Bruce_Almighty", "url": "http://www.nytimes.com/2003/05/23/movies/film-review-god-s-power-as-an-ego-trip-for-an-id.html"} +{"d:Title": "World of Movies - Bruce Almighty", "d:Description": "Film information, cast and crew, photo gallery, reviews, and links.", "topic": "Top/Arts/Movies/Titles/B/Bruce_Almighty", "url": "https://theiapolis.com/movie-0J37/bruce-almighty/"} +{"d:Title": "Bubba Ho-tep", "d:Description": "Official site with synopsis, trailer, e-cards, news, and festival press coverage.", "topic": "Top/Arts/Movies/Titles/B/Bubba_Ho-tep", "url": "http://www.bubbahotep.com/"} +{"d:Title": "HARO Online: Bubba Ho-tep", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/B/Bubba_Ho-tep", "url": "http://www.haro-online.com/movies/bubba_ho-tep.html"} +{"d:Title": "Internet Movie Database: Bubba Ho-tep", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, quotes, distribution information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/B/Bubba_Ho-tep", "url": "http://imdb.com/title/tt0281686/"} +{"d:Title": "HARO Online: Bubble Boy", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bubble_Boy", "url": "http://www.haro-online.com/movies/bubble_boy.html"} +{"d:Title": "All-Reviews.com: Bubble Boy", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bubble_Boy", "url": "http://www.all-reviews.com/videos-3/bubble-boy.htm"} +{"d:Title": "Rotten Tomatoes: Bubble Boy", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and multimedia.", "topic": "Top/Arts/Movies/Titles/B/Bubble_Boy", "url": "http://www.rottentomatoes.com/m/bubble_boy/"} +{"d:Title": "Metacritic.com: Bubble Boy", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bubble_Boy", "url": "http://www.metacritic.com/movie/bubble-boy"} +{"d:Title": "IMDb: The Bucket List (2007)", "d:Description": "Photo gallery, videos, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/B/Bucket_List,_The", "url": "http://www.imdb.com/title/tt0825232/"} +{"d:Title": "Rotten Tomatoes: The Bucket List", "d:Description": "Synopsis, cast list, news, review links, photos, trailer, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Bucket_List,_The", "url": "http://www.rottentomatoes.com/m/bucket_list/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, reception, awards, and soundtrack.", "topic": "Top/Arts/Movies/Titles/B/Bucket_List,_The", "url": "http://en.wikipedia.org/wiki/The_Bucket_List"} +{"d:Title": "New York Post: The Bucket List", "d:Description": "Review, by Kyle Smith: \"Actors tell us that dying is easy, comedy is hard. But comedies about dying are hardest of all.\" Rating: [3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Bucket_List,_The", "url": "http://www.nypost.com/seven/12252007/entertainment/movies/two_good_men_381861.htm"} +{"d:Title": "USA Today: The Bucket List", "d:Description": "Review, by Claudia Puig: \"The entire undertaking feels like a waste of time and talent.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Bucket_List,_The", "url": "http://www.usatoday.com/life/movies/reviews/2007-12-23-bucket-list_N.htm"} +{"d:Title": "IGN Movies: The Bucket List", "d:Description": "Photos, videos, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Bucket_List,_The", "url": "http://www.ign.com/movies/the-bucket-list/theater-957463"} +{"d:Title": "MovieWeb: The Bucket List (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/B/Bucket_List,_The", "url": "http://movieweb.com/movie/the-bucket-list/"} +{"d:Title": "All-Reviews.com - Buddy", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Buddy", "url": "http://www.all-reviews.com/videos-2/buddy.htm"} +{"d:Title": "IMDb: Buddy", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Buddy", "url": "http://www.imdb.com/title/tt0118787/"} +{"d:Title": "Buddy Boy", "d:Description": "Contains links and quotes from reviews.", "topic": "Top/Arts/Movies/Titles/B/Buddy_Boy", "url": "http://www.angelfire.com/film/buddyboy/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Buddy_Boy", "url": "http://www.imdb.com/title/tt0146516/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Buddy_Boy", "url": "http://www.metacritic.com/movie/buddy-boy"} +{"d:Title": "AllReaders.com Review - The Buddy Holly Story", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Buddy_Holly_Story,_The", "url": "http://allreaders.com/movie-review-summary/the-buddy-holly-story-6980"} +{"d:Title": "Horror DVDs: Abbot and Costello Meet Frankenstein", "d:Description": "Film review with screenshots, DVD technical information, cover photograph, and quality ratings.", "topic": "Top/Arts/Movies/Titles/B/Bud_Abbott_Lou_Costello_Meet_Frankenstein", "url": "http://www.horrordvds.com/viewarticle.php?articleid=134"} +{"d:Title": "Internet Movie Database: Bud Abbott Lou Costello Meet Frankenstein", "d:Description": "Cast and crew, synopsis, user comments and ratings, awards, quotes, trivia, related films, merchandising information, production details, image gallery, and links.", "topic": "Top/Arts/Movies/Titles/B/Bud_Abbott_Lou_Costello_Meet_Frankenstein", "url": "http://www.imdb.com/title/tt0040068/"} +{"d:Title": "Haro Online - Buena Vista Social Club", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/B/Buena_Vista_Social_Club", "url": "http://www.haro-online.com/movies/buena_vista.html"} +{"d:Title": "Buena Vista Social Club", "d:Description": "An award winning documentary by Wim Wenders and Ry Cooder featuring the music of the Cuban Ensemble, Buena Vista Social Club.", "topic": "Top/Arts/Movies/Titles/B/Buena_Vista_Social_Club", "url": "http://www.pbs.org/buenavista/"} +{"d:Title": "All-Reviews.com - Buffalo Soldiers", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Buffalo_Soldiers_-_2001", "url": "http://www.all-reviews.com/videos-5/buffalo-soldiers.htm"} +{"d:Title": "Internet Movie Database: Buffalo Soldiers (2001)", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, awards, quotations, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/B/Buffalo_Soldiers_-_2001", "url": "http://imdb.com/title/tt0252299/"} +{"d:Title": "All-Reviews.com: Buffy the Vampire Slayer", "d:Description": "Review of the film by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/B/Buffy_the_Vampire_Slayer", "url": "http://www.all-reviews.com/videos-4/buffy-vampire-slayer.htm"} +{"d:Title": "IMDB : Yao jie huang hou (1994)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/B/Bugis_Street", "url": "http://www.imdb.com/title/tt0111788/"} +{"d:Title": "TV Guide Online: Bugis Street", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bugis_Street", "url": "http://www.tvguide.com/movies/bugis-street-movie/132890/"} +{"d:Title": "TV Guide Online: Bugsy", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bugsy", "url": "http://www.tvguide.com/movies/bugsy/128372/"} +{"d:Title": "HARO Online: Bukowski - Born into This", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bukowski_-_Born_into_This", "url": "http://www.haro-online.com/movies/bukowski.html"} +{"d:Title": "IMDb: Bukowski - Born into This (2003)", "d:Description": "Plot summary, cast information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/B/Bukowski_-_Born_into_This", "url": "http://www.imdb.com/title/tt0342150/"} +{"d:Title": "Rotten Tomatoes: Bukowski -Born Into This", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Bukowski_-_Born_into_This", "url": "http://www.rottentomatoes.com/m/bukowski_born_into_this/"} +{"d:Title": "Chicago Sun-Times: Bukowski - Born into This", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Bukowski_-_Born_into_This", "url": "http://www.rogerebert.com/reviews/bukowski-born-into-this-2004"} +{"d:Title": "HARO Online: Bulgarian Lovers", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bulgarian_Lovers", "url": "http://www.haro-online.com/movies/bulgarian_lovers.html"} +{"d:Title": "IMDb: Bulgarian Lovers (Los Novios B\u00falgaros) (2003)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bulgarian_Lovers", "url": "http://www.imdb.com/title/tt0353818/"} +{"d:Title": "Rotten Tomatoes: Bulgarian Lovers", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Bulgarian_Lovers", "url": "http://www.rottentomatoes.com/m/10003805-bulgarian_lovers/"} +{"d:Title": "Bulldog Drummond Strikes Back (1934)", "d:Description": "Cast, crew, plot summary and review of the second Drummond film starring Ronald Coleman.", "topic": "Top/Arts/Movies/Titles/B/Bulldog_Drummond_Movies", "url": "http://www.imdb.com/title/tt0024932/"} +{"d:Title": "Bulldog Drummond", "d:Description": "Cast, crew and a review of the first talkie Drummond, starring Ronald Coleman.", "topic": "Top/Arts/Movies/Titles/B/Bulldog_Drummond_Movies", "url": "http://www.imdb.com/title/tt0019735/"} +{"d:Title": "A Friendship Lost and Improbably Regained", "d:Description": "Review with a brief plot summary and production details. By Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/B/Bulletproof", "url": "http://www.nytimes.com/1996/09/06/movies/a-friendship-lost-and-improbably-regained.html"} +{"d:Title": "Bulletproof (1996)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/B/Bulletproof", "url": "http://www.imdb.com/title/tt0115783/"} +{"d:Title": "All-Reviews.com: Bulletproof Monk", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bulletproof_Monk", "url": "http://www.all-reviews.com/videos-5/bulletproof-monk.htm"} +{"d:Title": "Rotten Tomatoes: Bulletproof Monk", "d:Description": "Features plot summary, links to external review sites, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Bulletproof_Monk", "url": "http://www.rottentomatoes.com/m/bulletproof_monk/"} +{"d:Title": "IMDb - Bulletproof Monk", "d:Description": "Features plot summary, cast and crew details, trivia, goofs, photos, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/B/Bulletproof_Monk", "url": "http://www.imdb.com/title/tt0245803/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bullets_Over_Broadway", "url": "http://www.all-reviews.com/videos-2/bullets-over-broadway.htm"} +{"d:Title": "RollingStone", "d:Description": "Includes a synopsis and review.", "topic": "Top/Arts/Movies/Titles/B/Bullets_Over_Broadway", "url": "http://www.rollingstone.com/movies/reviews/bullets-over-broadway-19950118"} +{"d:Title": "TV Guide Online: Bullets Over Broadway", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bullets_Over_Broadway", "url": "http://www.tvguide.com/movies/bullets-broadway/130242/"} +{"d:Title": "Rotten Tomatoes: Bullitt", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/B/Bullitt", "url": "http://www.rottentomatoes.com/m/bullitt/"} +{"d:Title": "Imdb: Bullitt (1968)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bullitt", "url": "http://www.imdb.com/title/tt0062765/"} +{"d:Title": "IMDb - Bulls' Night Out, The (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bulls'_Night_Out,_The", "url": "http://www.imdb.com/title/tt0259906/"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/B/Bully", "url": "http://www.haro-online.com/movies/bully.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/Bully", "url": "http://www.metacritic.com/movie/bully"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Bull_Durham", "url": "http://crazy4cinema.com/Review/FilmsB/f_bull_durham.html"} +{"d:Title": "TV Guide Online: Bull Durham", "d:Description": "Includes review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/B/Bull_Durham", "url": "http://www.tvguide.com/movies/bull-durham/127319/"} +{"d:Title": "Chicago Reader: Rocking the Vote: Bulworth", "d:Description": "Long review of the movie.", "topic": "Top/Arts/Movies/Titles/B/Bulworth", "url": "http://www.chicagoreader.com/movies/archives/1998/0598/05228.html"} +{"d:Title": "MediaCircus: Bulworth", "d:Description": "Review by Anthony Leong. \"[...] great piece of political satire, achieving a greater level of comic energy than \"Wag the Dog\" and \"Primary Colors\" combined.\"", "topic": "Top/Arts/Movies/Titles/B/Bulworth", "url": "http://www.mediacircus.net/bulworth.html"} +{"d:Title": "Metacritic.com: Bulworth", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/B/Bulworth", "url": "http://www.metacritic.com/movie/bulworth"} +{"d:Title": "TV Guide Online: Bulworth", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Bulworth", "url": "http://www.tvguide.com/movies/bulworth/review/133128/"} +{"d:Title": "IMDb", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/B/Bumblebee_Flies_Anyway,_The", "url": "http://www.imdb.com/title/tt0128977/"} +{"d:Title": "Metafilter: Bumfights.com", "d:Description": "Discussion thread in which participants focus on the movie's social impact.", "topic": "Top/Arts/Movies/Titles/B/Bumfights", "url": "http://www.metafilter.com/comments.mefi/17018"} +{"d:Title": "BBC News: Reality TV Tramps In 'Ultimate Degradation'", "d:Description": "\"A video on sale in the United States has been accused of plumbing the depths of bad taste to show homeless people performing dangerous stunts in return for gifts such as food and clothing\".", "topic": "Top/Arts/Movies/Titles/B/Bumfights", "url": "http://news.bbc.co.uk/1/hi/entertainment/tv_and_radio/2007681.stm"} +{"d:Title": "Rotten Library: Bumfights", "d:Description": "Photographs and article with social commentary on the film.", "topic": "Top/Arts/Movies/Titles/B/Bumfights", "url": "http://www.rotten.com/library/culture/bumfights/"} +{"d:Title": "bunny", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/B/Bunny", "url": "http://www.bunnyfilm.com/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Bunny", "url": "http://www.imdb.com/title/tt0242337/"} +{"d:Title": "Utomlyonnye solntsem (1994)", "d:Description": "Credits for Utomlyonnye solntsem at IMDB.", "topic": "Top/Arts/Movies/Titles/B/Burnt_by_the_Sun", "url": "http://www.imdb.com/title/tt0111579/"} +{"d:Title": "Internet Movie Database: Burnt Offerings (1976)", "d:Description": "Synopsis, cast and crew, awards, user ratings and comments, trivia, production and distribution information, posters, and links.", "topic": "Top/Arts/Movies/Titles/B/Burnt_Offerings", "url": "http://www.imdb.com/title/tt0074258/"} +{"d:Title": "Rotten Tomatoes: Burn After Reading", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/B/Burn_After_Reading", "url": "http://www.rottentomatoes.com/m/burn_after_reading/"} +{"d:Title": "MovieWeb: Burn After Reading (2008)", "d:Description": "Summary, synopsis, reviews, photos, videos, and news.", "topic": "Top/Arts/Movies/Titles/B/Burn_After_Reading", "url": "http://www.movieweb.com/movie/burn-after-reading"} +{"d:Title": "TV Guide: Burn After Reading", "d:Description": "Review, by Maitland McDonagh: \"The brothers' dark, all-star farce about sex, lies and surveillance is pretty damned funny.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Burn_After_Reading", "url": "http://www.tvguide.com/movies/burn-reading/review/293231/"} +{"d:Title": "IGN Movies: Burn After Reading", "d:Description": "News, previews, photos, videos, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/B/Burn_After_Reading", "url": "http://www.ign.com/movies/burn-after-reading/theater-861876"} +{"d:Title": "USA Today: Burn After Reading", "d:Description": "Review, by Claudia Puig: \"It's consistently funny -- with witty dialogue and offbeat banter that stays in your head for days.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Burn_After_Reading", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-09-11-burn-after-reading_N.htm"} +{"d:Title": "ReelViews: Burn After Reading", "d:Description": "Review, by James Berardinelli: \"This is a thriller with a high quotient of comedic elements or, if you prefer, a comedy with a high quotient of thriller elements. As is always the case with a production of Joel&Ethan, it's difficult to classify, but that doesn't make it any less enjoyable.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/B/Burn_After_Reading", "url": "http://www.reelviews.net/reelviews/burn-after-reading"} +{"d:Title": "HARO Online: Burying the Past - Legacy of the Mountain Meadows Massacre", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Burying_the_Past_-_Legacy_of_the_Mountain_Meadows_Massacre", "url": "http://www.haro-online.com/movies/burying_the_past.html"} +{"d:Title": "IMDb: Burying the Past - Legacy of the Mountain Meadows Massacre (2004)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Burying_the_Past_-_Legacy_of_the_Mountain_Meadows_Massacre", "url": "http://www.imdb.com/title/tt0397048/"} +{"d:Title": "Burying The Past", "d:Description": "Official site with historical information and multimedia.", "topic": "Top/Arts/Movies/Titles/B/Burying_the_Past_-_Legacy_of_the_Mountain_Meadows_Massacre", "url": "http://www.buryingthepast.com/"} +{"d:Title": "HARO Online: Bush's Brain", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/B/Bush's_Brain", "url": "http://www.haro-online.com/movies/bushs_brain.html"} +{"d:Title": "IMDb: Bush's Brain (2004)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bush's_Brain", "url": "http://www.imdb.com/title/tt0403910/"} +{"d:Title": "Rotten Tomatoes: Bush's Brain", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/B/Bush's_Brain", "url": "http://www.rottentomatoes.com/m/1135754-bushs_brain/"} +{"d:Title": "IMDb - Bushbaby, The (1970)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bushbaby,_The", "url": "http://www.imdb.com/title/tt0065504/"} +{"d:Title": "HARO Online: The Business of Strangers", "d:Description": "Review of the film by Mongoose, with some still photographs.", "topic": "Top/Arts/Movies/Titles/B/Business_of_Strangers,_The", "url": "http://www.haro-online.com/movies/business_of_strangers.html"} +{"d:Title": "Rotten Tomatoes: The Business of Strangers", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/B/Business_of_Strangers,_The", "url": "http://www.rottentomatoes.com/m/business_of_strangers/"} +{"d:Title": "IMDb: The Business of Strangers (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Business_of_Strangers,_The", "url": "http://www.imdb.com/title/tt0270259/"} +{"d:Title": "Chicago Sun-Times: The Business of Strangers", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/B/Business_of_Strangers,_The", "url": "http://www.rogerebert.com/reviews/the-business-of-strangers-2001"} +{"d:Title": "Rottentomatoes: Buster and Billie", "d:Description": "Includes plot synopsis and cast.", "topic": "Top/Arts/Movies/Titles/B/Buster_and_Billie", "url": "http://www.rottentomatoes.com/m/buster_and_billie/"} +{"d:Title": "IMDb: Buster and Billie", "d:Description": "Features cast and crew, reviews, and plot summary.", "topic": "Top/Arts/Movies/Titles/B/Buster_and_Billie", "url": "http://www.imdb.com/title/tt0071258/"} +{"d:Title": "All-Reviews.com - The Butcher Boy", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/B/Butcher_Boy,_The", "url": "http://www.all-reviews.com/videos-3/butcher-boy.htm"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/B/Butcher_Boy,_The", "url": "http://www.imdb.com/title/tt0118804/"} +{"d:Title": "TV Guide Online: Butcher Boy, The", "d:Description": "Includes movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Butcher_Boy,_The", "url": "http://www.tvguide.com/movies/butcher-boy/132851/"} +{"d:Title": "Greatest Films - Butch Cassidy And The Sundance Kid", "d:Description": "Detailed review, synopsis and discussion of the film by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/B/Butch_Cassidy_and_The_Sundance_Kid", "url": "http://www.filmsite.org/butc.html"} +{"d:Title": "Butch Cassidy&the Sundance Kid - Classic Photos", "d:Description": "Sells photos of Paul Newman and Robert Redford from the film.", "topic": "Top/Arts/Movies/Titles/B/Butch_Cassidy_and_The_Sundance_Kid", "url": "http://www.classicphotos.com/celebs/butch/as1.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/B/Butch_Cassidy_and_The_Sundance_Kid", "url": "http://crazy4cinema.com/Review/FilmsB/f_butch.html"} +{"d:Title": "All-Reviews.com: Butch Cassidy and the Sundance Kid", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/B/Butch_Cassidy_and_The_Sundance_Kid", "url": "http://www.all-reviews.com/videos-4/butch-cassidy-sundance-kid.htm"} +{"d:Title": "Butch Cassidy and the Sundance Kid", "d:Description": "Synopsis, full cast and crew, music, screenplay information, articles, original print information, awards, trivia, and user reviews.", "topic": "Top/Arts/Movies/Titles/B/Butch_Cassidy_and_The_Sundance_Kid", "url": "http://www.tcm.com/tcmdb/title/69965/Butch-Cassidy-and-the-Sundance-Kid/"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes cast list, awards, reviews, plot summary, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/B/Butch_Cassidy_and_The_Sundance_Kid", "url": "http://www.imdb.com/title/tt0064115/"} +{"d:Title": "AllReaders.com Butch and Sundance Spotlight", "d:Description": "Detailed analysis of the plot, theme, setting and characters of the movie, plus links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/B/Butch_Cassidy_and_The_Sundance_Kid", "url": "http://allreaders.com/movie-review-summary/butch-cassidy-and-the-sundance-kid-4069"} +{"d:Title": "The Butterfly Effect", "d:Description": "Includes a synopsis, cast and crew, media, and links.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Effect,_The", "url": "http://www.iconmovies.co.uk/thebutterflyeffect/"} +{"d:Title": "IMDb - Butterfly Effect, The", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Effect,_The", "url": "http://www.imdb.com/title/tt0289879/"} +{"d:Title": "Butterfly Effect Official Movie Site", "d:Description": "Official site which includes the movie trailer, pictures, wallpapers, and screensavers.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Effect,_The", "url": "http://www.butterflyeffectmovie.com/"} +{"d:Title": "HARO Online", "d:Description": "Haro review of the movie in which he talks about the plot and cast.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Effect,_The", "url": "http://www.haro-online.com/movies/butterfly_effect.html"} +{"d:Title": "Gnomon Publishing: The Butterfly Effect", "d:Description": "One-star review by Alex Rieneck - \"...all the appeal of a major traffic jam on a hot, dry, windless day.\"", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Effect,_The", "url": "http://www.gnomon.com.au/movies/alex-rieneck/thebutterflyeffect.shtml"} +{"d:Title": "All-Reviews.com - Butterfly Kiss", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Kiss", "url": "http://www.all-reviews.com/videos-3/butterfly-kiss.htm"} +{"d:Title": "IMDb: Butterfly Kiss", "d:Description": "Synopsis, cast and crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Kiss", "url": "http://www.imdb.com/title/tt0112604/"} +{"d:Title": "Chicago Sun-Times: Butterfly Kiss", "d:Description": "Review by critic Robert Ebert.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Kiss", "url": "http://www.rogerebert.com/reviews/butterfly-kiss-1996"} +{"d:Title": "San Francisco Examiner: Butterfly Kiss victim of genre", "d:Description": "Barry Walters' review looks at why when men make films about lesbians they turn them into killers, but praises the acting.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_Kiss", "url": "http://www.sfgate.com/news/article/Butterfly-Kiss-victim-of-genre-3137334.php"} +{"d:Title": "IMDb: Butterfly on a Wheel", "d:Description": "Cast list, filming locations, production companies and message board.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_on_a_Wheel", "url": "http://www.imdb.com/title/tt0489664/"} +{"d:Title": "Wikipedia: Butterfly On A Wheel", "d:Description": "Provides brief cast list, synopsis with spoiler warning and external links.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_on_a_Wheel", "url": "http://en.wikipedia.org/wiki/Butterfly_on_a_Wheel"} +{"d:Title": "ACFC West, Local 2020 CEP Productions: Butterfly on a Wheel", "d:Description": "Lists production information for the film being produced in Vancouver, British Columbia, crewed with ACFC West, Local 2020 CEP technicians.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_on_a_Wheel", "url": "http://www.acfcwest.com/productions/2006/wrapped/butterflyonawheel.html"} +{"d:Title": "About.com: Butterfly on a Wheel", "d:Description": "Lionsgate picked up distribution rights to the movie Butterfly on a Wheel starring Gerard Butler and Pierce Brosnan. By Rebecca Murray.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_on_a_Wheel", "url": "http://movies.about.com/od/butlergerard/a/butterfly021607.htm"} +{"d:Title": "Kinepolis Film Distribution: Butterfly On A Wheel", "d:Description": "Offers small image gallery.", "topic": "Top/Arts/Movies/Titles/B/Butterfly_on_a_Wheel", "url": "http://www.kfd.be/index.php?page=goodies&sub=photos&detail=242"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews But I'm a Cheerleader.", "topic": "Top/Arts/Movies/Titles/B/But_I'm_a_Cheerleader", "url": "http://www.haro-online.com/movies/but_im_a_cheerleader.html"} +{"d:Title": "Rotten Tomatoes - But I'm a Cheerleader", "d:Description": "Includes reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/B/But_I'm_a_Cheerleader", "url": "http://www.rottentomatoes.com/m/but_im_a_cheerleader/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/B/But_I'm_a_Cheerleader", "url": "http://www.metacritic.com/movie/but-im-a-cheerleader"} +{"d:Title": "IMDb - But I'm a Cheerleader (1999)", "d:Description": "Cast list plus links to books, music, and videos about the film.", "topic": "Top/Arts/Movies/Titles/B/But_I'm_a_Cheerleader", "url": "http://www.imdb.com/title/tt0179116/"} +{"d:Title": "IMDb - Bye Bye Africa (1999)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bye_Bye_Africa", "url": "http://www.imdb.com/title/tt0209950/"} +{"d:Title": "IMDb: Bye Bye Birdie (1963)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/B/Bye_Bye_Birdie", "url": "http://www.imdb.com/title/tt0056891/"} +{"d:Title": "AllReaders.com Review - Bye Bye Birdie", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Dick Van Dyke film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/B/Bye_Bye_Birdie", "url": "http://allreaders.com/movie-review-summary/bye-bye-birdie-6812"} +{"d:Title": "Danish Film Institute: Bye Bye Blue Bird", "d:Description": "Synopsis and credits.", "topic": "Top/Arts/Movies/Titles/B/Bye_Bye_Blue_Bird", "url": "http://www.dfi.dk/faktaomfilm/danishfilms/dffilm.aspx?id=14427"} +{"d:Title": "IMDb - Bye Bye Braverman (1968)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/B/Bye_Bye_Braverman", "url": "http://www.imdb.com/title/tt0062768/combined"} +{"d:Title": "TV Guide Online: Bye Bye Monkey", "d:Description": "Movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/B/Bye_Bye_Monkey", "url": "http://www.tvguide.com/movies/bye-bye-monkey/review/118796/"} +{"d:Title": "Film Review: Camino", "d:Description": "A new movie, Camino, portrays the horrors of the Opus Dei cult. From The Hollywood Reporter.", "topic": "Top/Arts/Movies/Titles/C", "url": "http://www.hollywoodreporter.com/review/walking-camino-six-ways-santiago-745558"} +{"d:Title": "Sony Pictures: Crossover", "d:Description": "Official site with information, special features, video, and a game.", "topic": "Top/Arts/Movies/Titles/C", "url": "http://www.sonypictures.com/movies/crossover/"} +{"d:Title": "Review for Cabaret", "d:Description": "Heather Picker outlines the plot and describes the acting and themes.", "topic": "Top/Arts/Movies/Titles/C/Cabaret_-_1972", "url": "http://www.imdb.com/reviews/192/19250.html"} +{"d:Title": "Internet Movie Database: Cabaret (1972)", "d:Description": "Plot summary, cast and crew list, reviews, awards, trivia, soundtrack, related films, promotional information, technical specifications, home video details, and links.", "topic": "Top/Arts/Movies/Titles/C/Cabaret_-_1972", "url": "http://www.imdb.com/title/tt0068327/"} +{"d:Title": "Allreaders.com Review: Cabaret", "d:Description": "Detailed analysis of the film starring Michael York, Joel Grey, and Liza Minnelli, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cabaret_-_1972", "url": "http://allreaders.com/movie-review-summary/cabaret-4209"} +{"d:Title": "Chicago Sun Times: Cabaret Balkan", "d:Description": "Robert Ebert reviews the film, with emphasis on the episodes of violence. Also includes cast listing.", "topic": "Top/Arts/Movies/Titles/C/Cabaret_Balkan", "url": "http://www.rogerebert.com/reviews/cabaret-balkan-1999"} +{"d:Title": "World Socialist Web Site: Cabaret Balkan", "d:Description": "Mile Klindo finds the film deeply pessimistic, viewed from a Socialist perspective.", "topic": "Top/Arts/Movies/Titles/C/Cabaret_Balkan", "url": "http://www.wsws.org/en/articles/2000/04/balk-a18.html"} +{"d:Title": "IMDb: The Cabinet of Dr. Caligari", "d:Description": "Contains information about the movie, its cast, and its crew.", "topic": "Top/Arts/Movies/Titles/C/Cabinet_of_Dr._Caligari,_The", "url": "http://www.imdb.com/title/tt0010323/"} +{"d:Title": "TV Guide: Cabin Boy", "d:Description": "Review, cast information and credits.", "topic": "Top/Arts/Movies/Titles/C/Cabin_Boy", "url": "http://www.tvguide.com/movies/cabin-boy/128058/"} +{"d:Title": "Internet Movie Database: Cabin Fever", "d:Description": "Plot summary, cast and crew, reviews, awards, quotes, trivia, production and distribution details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/C/Cabin_Fever_-_2002", "url": "http://imdb.com/title/tt0303816/"} +{"d:Title": "HARO Online: Cabin Fever", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Cabin_Fever_-_2002", "url": "http://www.haro-online.com/movies/cabin_fever.html"} +{"d:Title": "All-Reviews.com - Cabin Fever", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cabin_Fever_-_2002", "url": "http://all-reviews.com/videos-5/cabin-fever.htm"} +{"d:Title": "DreamLogic: Cabin Fever", "d:Description": "Review by Chris and Kris.", "topic": "Top/Arts/Movies/Titles/C/Cabin_Fever_-_2002", "url": "http://dreamlogic.net/REVIEWS/cabinfever.html"} +{"d:Title": "About:Cabin Fever", "d:Description": "Cast interviews, news, and multimedia.", "topic": "Top/Arts/Movies/Titles/C/Cabin_Fever_-_2002", "url": "http://romanticmovies.about.com/cs/cabinfever/"} +{"d:Title": "Chicago Sun-Times: Cabin Fever", "d:Description": "Roger Ebert's review: \"The movie adds up to a few good ideas and a lot of bad ones, wandering around in search of an organizing principle.\"", "topic": "Top/Arts/Movies/Titles/C/Cabin_Fever_-_2002", "url": "http://www.rogerebert.com/reviews/cabin-fever-2003"} +{"d:Title": "Future Movies: Cabin Fever", "d:Description": "Review by Ed Colley including photographs and an interview with the director.", "topic": "Top/Arts/Movies/Titles/C/Cabin_Fever_-_2002", "url": "https://www.futuremovies.co.uk/reviews/cabin-fever/ed-colley"} +{"d:Title": "IMDb: The Cable Guy", "d:Description": "Contains information about the movie, its cast, and its crew.", "topic": "Top/Arts/Movies/Titles/C/Cable_Guy,_The", "url": "http://www.imdb.com/title/tt0115798/"} +{"d:Title": "TV Guide: The Cable Guy", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/C/Cable_Guy,_The", "url": "http://www.tvguide.com/movies/cable-guy/131632/"} +{"d:Title": "CarlSpackler.com", "d:Description": "Caddyshack games, trivia, pictures and sounds.", "topic": "Top/Arts/Movies/Titles/C/Caddyshack", "url": "http://www.carlspackler.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Caddyshack", "url": "http://crazy4cinema.com/Review/FilmsC/f_caddyshack.html"} +{"d:Title": "IMDb: Caddyshack", "d:Description": "Contains information about Caddyshack, its cast, and its crew.", "topic": "Top/Arts/Movies/Titles/C/Caddyshack", "url": "http://www.imdb.com/title/tt0080487/"} +{"d:Title": "TV Guide: Caddyshack", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/C/Caddyshack", "url": "http://www.tvguide.com/movies/caddyshack/126067/"} +{"d:Title": "Rotten Tomatoes: Cadillac Records", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Cadillac_Records", "url": "http://www.rottentomatoes.com/m/cadillac_records/"} +{"d:Title": "MovieWeb.com: Cadillac Records (2008)", "d:Description": "Summary, synopsis, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/C/Cadillac_Records", "url": "http://www.movieweb.com/movie/cadillac-records"} +{"d:Title": "IGN: Cadillac Records", "d:Description": "News, photos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/C/Cadillac_Records", "url": "http://www.ign.com/movies/cadillac-records/theater-14230142"} +{"d:Title": "ReelViews: Cadillac Records", "d:Description": "Review, by James Berardinelli: \"There are plenty of small pleasures to be found throughout Darnell Martin's feature, but a compelling storyline featuring three-dimensional characters is not among them.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/C/Cadillac_Records", "url": "http://www.reelviews.net/reelviews/cadillac-records"} +{"d:Title": "TV Guide: Cadillac Records", "d:Description": "Review, by Matt Collar: \"We never get a real sense of what made these recordings so different or revolutionary. Part of the problem is that re-recorded versions of songs by the actors were used in the film, with vastly mixed results that never match the ferocity and excitement of the original tracks.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/C/Cadillac_Records", "url": "http://www.tvguide.com/movies/cadillac-records/review/296049/"} +{"d:Title": "USA Today: Cadillac Records", "d:Description": "Review, by Claudia Puig: \"The film features too little about Berry (an engaging Mos Def), who crosses over to great fame.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/C/Cadillac_Records", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-12-04-cadillac-records_N.htm"} +{"d:Title": "Internet Movie Database: Cage aux Folles, La (1978)", "d:Description": "Cast, crew, reviews, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cage_aux_Folles,_La", "url": "http://www.imdb.com/title/tt0077288/"} +{"d:Title": "BBC News: Real calendar girls stay grounded", "d:Description": "The Women's Institute ladies who inspired the Calendar Girls movie refuse to let fame affect them.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3078472.stm"} +{"d:Title": "BBC News: Stars bare all on Calendar Girls", "d:Description": "Helen Mirren is no stranger to on-screen nudity, but she reveals even she needed her co-stars' support to strip for the movie Calendar Girls.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3200697.stm"} +{"d:Title": "All-Reviews.com: Calendar Girls", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://all-reviews.com/videos-5/calendar-girls.htm"} +{"d:Title": "HARO Online: Calendar Girls", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://www.haro-online.com/movies/calendar_girls.html"} +{"d:Title": "Filmtracks: Calendar Girls", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://www.filmtracks.com/titles/calendar_girls.html"} +{"d:Title": "Reel Faces: Calendar Girls", "d:Description": "Exploration of the real people behind the film's story, with photographs, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://www.chasingthefrog.com/reelfaces/calendargirls.php"} +{"d:Title": "Rotten Tomatoes: Calendar Girls", "d:Description": "Links to reviews and multimedia, news articles, photographs, and a public forum", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://www.rottentomatoes.com/m/calendar_girls/"} +{"d:Title": "Yahoo! Movies: Calendar Girls", "d:Description": "Synopsis, review, cast and crew, and message board.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://movies.yahoo.com/movie/calendar-girls/"} +{"d:Title": "Guardian Unlimited: The full Mandy", "d:Description": "They debuted on the Guardian's women's page a year ago. Now, 90,000 calendars, \u00a3340,000 and a Disney film deal later; are the stripping ladies of Rylstone WI in line for a bust-up?", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://www.theguardian.com/g2/story/0,3604,178359,00.html"} +{"d:Title": "Guardian Unlimited: Calendar girls relax in WI style", "d:Description": "Report just before the film's London premiere.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://www.theguardian.com/uk/2003/sep/03/film.filmnews"} +{"d:Title": "IMDb: Calendar Girls", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Calendar_Girls", "url": "http://www.imdb.com/title/tt0337909/"} +{"d:Title": "IMDb: California Suite (1978)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/C/California_Suite", "url": "http://www.imdb.com/title/tt0077289/"} +{"d:Title": "Rotten Tomatoes: California Suite", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/California_Suite", "url": "http://www.rottentomatoes.com/m/california_suite/"} +{"d:Title": "IMDb: Caligola", "d:Description": "Full cast and crew for the film, synopsis, trivia, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/C/Caligula", "url": "http://www.imdb.com/title/tt0080491/"} +{"d:Title": "Allreaders Review - Caligula", "d:Description": "Analysis of the characters, plot, theme, and structure of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Caligula", "url": "http://allreaders.com/movie-review-summary/caligula-6410"} +{"d:Title": "Callas Forever", "d:Description": "Official U.S. site with synopsis, cast and crew biographies, historical information, and review notes.", "topic": "Top/Arts/Movies/Titles/C/Callas_Forever", "url": "http://www.callasforever-themovie.com/"} +{"d:Title": "IMDb: Callas Forever (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Callas_Forever", "url": "http://www.imdb.com/title/tt0274407/"} +{"d:Title": "IMDb: Calle 54", "d:Description": "Includes user reviews, and cast and crew listing.", "topic": "Top/Arts/Movies/Titles/C/Calle_54", "url": "http://www.imdb.com/title/tt0260775/"} +{"d:Title": "IMDb: Calmness", "d:Description": "Provides cast and crew details.", "topic": "Top/Arts/Movies/Titles/C/Calmness", "url": "http://www.imdb.com/title/tt0290068/"} +{"d:Title": "IMDB: Camille", "d:Description": "Television movie. Includes cast list, credits and plot summary.", "topic": "Top/Arts/Movies/Titles/C/Camille_-_1984", "url": "http://imdb.com/title/tt0087028/"} +{"d:Title": "IMDB: Camille 2000 (1969)", "d:Description": "Cast and crew links, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Camille_2000", "url": "http://www.imdb.com/title/tt0064122/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Includes movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/C/Can't_Buy_Me_Love", "url": "http://www.fast-rewind.com/cbml.htm"} +{"d:Title": "Roger Ebert - Can't Buy Me Love", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Can't_Buy_Me_Love", "url": "http://www.rogerebert.com/reviews/cant-buy-me-love-1987"} +{"d:Title": "IMDb - Can't Buy Me Love (1987)", "d:Description": "Contains information about the movies, its cast, and its crew.", "topic": "Top/Arts/Movies/Titles/C/Can't_Buy_Me_Love", "url": "http://www.imdb.com/title/tt0092718/"} +{"d:Title": "Movieprop.com: Can't Hardly Wait", "d:Description": "Contains plot summary, character profiles, pictures and links.", "topic": "Top/Arts/Movies/Titles/C/Can't_Hardly_Wait", "url": "http://movieprop.com/tvandmovie/reviews/canthardlywait.htm"} +{"d:Title": "Can't Hardly Wait (1998)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/C/Can't_Hardly_Wait", "url": "http://www.imdb.com/title/tt0127723/"} +{"d:Title": "Can't You Hear the Wind Howl?", "d:Description": "Subtitle: The Life and Music of Robert Johnson. Information on the 1997 documentary and the interviewees at IMDb.", "topic": "Top/Arts/Movies/Titles/C/Can't_You_Hear_the_Wind_Howl", "url": "http://www.imdb.com/title/tt0126828/"} +{"d:Title": "All-Reviews.com: Canadian Bacon", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/C/Canadian_Bacon", "url": "http://www.all-reviews.com/videos-4/canadian-bacon.htm"} +{"d:Title": "IMDb: Candy Tangerine Man (1975)", "d:Description": "Cast overview, user comments, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Candy_Tangerine_Man", "url": "http://www.imdb.com/title/tt0072759/"} +{"d:Title": "Bad Movie Night Review: Cannibal Holocaust", "d:Description": "Jason Catanese reviews the film, with particular reference to similarities to The Blair Witch Project.", "topic": "Top/Arts/Movies/Titles/C/Cannibal_Holocaust", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=53471_optimusprime"} +{"d:Title": "Cannibal Women in the Avocado Jungle of Death", "d:Description": "Characters, plot, lessons learned from the movie, stuff to watch for, sounds in WAV format, images, and video from Bad Movie Review.", "topic": "Top/Arts/Movies/Titles/C/Cannibal_Women_in_the_Avocado_Jungle_of_Death", "url": "http://www.badmovies.org/movies/cannibalwomen/"} +{"d:Title": "Cannibal Women In The Avocado Jungle Of Death", "d:Description": "Rave review, copy of movie poster, and brief synopsis, all dealing with the humor of the film. From Alex in Wonderland.", "topic": "Top/Arts/Movies/Titles/C/Cannibal_Women_in_the_Avocado_Jungle_of_Death", "url": "http://www.alex-in-wonderland.com/MovieReviews/Domestic-C/AvocadoJungle.html"} +{"d:Title": "Cannibal Women in the Avocado Jungle of Death", "d:Description": "IMDb listing with main details, credits, summaries, fan ratings, memorable quotes, and links.", "topic": "Top/Arts/Movies/Titles/C/Cannibal_Women_in_the_Avocado_Jungle_of_Death", "url": "http://www.imdb.com/title/tt0094834/"} +{"d:Title": "Internet Movie Database: Canterville Ghost, The (1996)", "d:Description": "Synopsis, cast and crew, user comments and ratings, awards, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/C/Canterville_Ghost,_The_-_1996", "url": "http://www.imdb.com/title/tt0115820/"} +{"d:Title": "VnS Dictionary: Cape Fear", "d:Description": "Video clips from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/C/Cape_Fear_-_1991", "url": "http://movieclips89.tripod.com/capefear3.html"} +{"d:Title": "Chicago Sun Times: Cape Fear", "d:Description": "Critic Robert Ebert reviews the film, with particular reference to its portrayal of human weakness. Also provides cast and crew information.", "topic": "Top/Arts/Movies/Titles/C/Cape_Fear_-_1991", "url": "http://www.rogerebert.com/reviews/cape-fear-1991"} +{"d:Title": "AllReaders.com Review - Cape Fear", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Robert De Niro, Nick Nolte, and Juliette Lewis, and links to similar films.", "topic": "Top/Arts/Movies/Titles/C/Cape_Fear_-_1991", "url": "http://allreaders.com/movie-review-summary/cape-fear-6370"} +{"d:Title": "IMDb: Capricorn One (1978)", "d:Description": "Synopsis. cast and credits.", "topic": "Top/Arts/Movies/Titles/C/Capricorn_One", "url": "http://www.imdb.com/title/tt0077294/"} +{"d:Title": "Ninth Symphony Films: Captain Corelli's Mandolin", "d:Description": "Review, rating, synopsis, films stills and poster.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "http://regencylady.tripod.com/site/filmreviews/captaincorellismandolin.html"} +{"d:Title": "HARO Online: Captain Corelli's Mandolin", "d:Description": "Review with photos from the film.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "http://www.haro-online.com/movies/captain_corellis_mandolin.html"} +{"d:Title": "All-Reviews.com - Captain Corelli's Mandolin", "d:Description": "Reviews by Steve Rhodes, Harvey Karten and Dustin Putman.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "http://www.all-reviews.com/videos-3/captain-corellis.htm"} +{"d:Title": "PopMatters - Captain Corelli's Mandolin", "d:Description": "Detailed review and analysis of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "http://popmatters.com/film/reviews/c/captain-corellis-mandolin.html"} +{"d:Title": "Rotten Tomatoes - Captain Corelli's Mandolin", "d:Description": "Links to reviews on other sites and photos.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "http://www.rottentomatoes.com/m/captain_corellis_mandolin/"} +{"d:Title": "IMDb: Captain Corelli's Mandolin", "d:Description": "Synopsis, cast and crew, awards, trivia, and links.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "http://www.imdb.com/title/tt0238112/"} +{"d:Title": "Metacritic.com: Captain Corelli's Mandolin", "d:Description": "Collection of links to critics' reviews from US publications and readers' comments and ratings.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "http://www.metacritic.com/movie/captain-corellis-mandolin"} +{"d:Title": "Awesome Stories: Captain Corelli's Mandolin", "d:Description": "Tells the story of the 1943 Nazi massacre of Italian soldiers which inspired the film. Includes links to related resources throughout the text.", "topic": "Top/Arts/Movies/Titles/C/Captain_Corelli's_Mandolin", "url": "https://www.awesomestories.com/asset/view/Captain-Corelli-s-Mandolin"} +{"d:Title": "HARO Online: Captain Pantoja and the Special Services", "d:Description": "Mongoose's review: \"Who could think that beautiful horny women in the lush Amazon jungle could be so boring?\" Rated \"pretty bad.\"", "topic": "Top/Arts/Movies/Titles/C/Captain_Pantoja_and_the_Special_Services", "url": "http://www.haro-online.com/movies/captain_pantoja.html"} +{"d:Title": "Cosmopolis: Pantaleon y las visitadoras", "d:Description": "Favorable review of the film with pictures and information on the director.", "topic": "Top/Arts/Movies/Titles/C/Captain_Pantoja_and_the_Special_Services", "url": "http://www.cosmopolis.ch/english/cosmo29/pantaleon_y_las_visitadoras.htm"} +{"d:Title": "Internet Movie Database: Pantale\u00f3n y las visitadoras (1999)", "d:Description": "Cast and crew listings, user comments, plot summary, box office and technical details.", "topic": "Top/Arts/Movies/Titles/C/Captain_Pantoja_and_the_Special_Services", "url": "http://www.imdb.com/title/tt0190611/"} +{"d:Title": "Metacritic.com", "d:Description": "Provides quotes from and links to a variety of film reviews, plus cast information and an overall score.", "topic": "Top/Arts/Movies/Titles/C/Captain_Pantoja_and_the_Special_Services", "url": "http://www.metacritic.com/movie/captain-pantoja-and-the-special-services"} +{"d:Title": "A.V. Club: Captain Pantoja and the Special Services", "d:Description": "Scott Tobias' unfavorable review: \"Too ambitious for raunch, not ambitious enough for meaningful social commentary, the film might have succeeded on a strictly prurient level, if only it came about its exploitation honestly.\"", "topic": "Top/Arts/Movies/Titles/C/Captain_Pantoja_and_the_Special_Services", "url": "http://www.avclub.com/review/captain-pantoja-and-the-special-services-17329"} +{"d:Title": "Rotten Tomatoes: Captivity", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Captivity", "url": "http://www.rottentomatoes.com/m/captivity/"} +{"d:Title": "IMDb: Captivity (2007)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Captivity", "url": "http://www.imdb.com/title/tt0374563/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, advertising controversy, editing, and reception.", "topic": "Top/Arts/Movies/Titles/C/Captivity", "url": "http://en.wikipedia.org/wiki/Captivity_(film)"} +{"d:Title": "IGN Movies: Captivity", "d:Description": "Photos, videos, message board, and a review (rating: 1.5 out of 5).", "topic": "Top/Arts/Movies/Titles/C/Captivity", "url": "http://www.ign.com/movies/captivity/theater-894798"} +{"d:Title": "MovieWeb: Captivity (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/C/Captivity", "url": "http://movieweb.com/movie/captivity/"} +{"d:Title": "IMDb: Capturing the Friedmans (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.imdb.com/title/tt0342172/"} +{"d:Title": "Rotten Tomatoes: Capturing the Friedmans", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.rottentomatoes.com/m/capturing_the_friedmans/"} +{"d:Title": "Pittsburgh Post-Gazette: \"Friedmans\" is Tragic, Compelling", "d:Description": "Review by Ron Weiskind.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.post-gazette.com/movies/20030704friedmans0704p2.asp"} +{"d:Title": "AboutFilm.com: Capturing the Friedmans", "d:Description": "Review by Carlo Cavagna.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.aboutfilm.com/movies/c/capturingthefriedmans.htm"} +{"d:Title": "PopEntertainment.com: Capturing the Friedmans", "d:Description": "Review by Jay S. Jacobs.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.popentertainment.com/friedmans.htm"} +{"d:Title": "Chicago Sun-Times: Capturing the Friedmans", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.rogerebert.com/reviews/capturing-the-friedmans-2003"} +{"d:Title": "DVDTalk.com: Capturing the Friedmans", "d:Description": "Review by Matthew Millheiser.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.dvdtalk.com/reviews/9287/capturing-the-friedmans/"} +{"d:Title": "Future Movies: Capturing the Friedmans", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "https://www.futuremovies.co.uk/reviews/capturing-the-friedmans/ed-colley"} +{"d:Title": "San Francisco Chronicle: A well documented family in tremendous turmoil", "d:Description": "Review by Edward Guthmann.", "topic": "Top/Arts/Movies/Titles/C/Capturing_the_Friedmans", "url": "http://www.sfgate.com/movies/article/A-well-documented-family-in-tremendous-turmoil-2570538.php"} +{"d:Title": "IMDb - Career (1959)", "d:Description": "Academy Award nominated film drama starring Anthony Franciosa, Dean Martin and Shirley Maclaine. Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Career_-_1959", "url": "http://www.imdb.com/title/tt0052673/"} +{"d:Title": "TV Guide: Career", "d:Description": "1959 movie review includes cast and credits.", "topic": "Top/Arts/Movies/Titles/C/Career_-_1959", "url": "http://www.tvguide.com/movies/career/110350/"} +{"d:Title": "Movie Mirrors: Carefree (1938)", "d:Description": "Detailed synopsis of this romantic comedy starring Fred Astaire and Ginger Rogers. Rated 7/9 for both entertainment and educational value.", "topic": "Top/Arts/Movies/Titles/C/Carefree", "url": "http://www.san.beck.org/MM/1938/Carefree.html"} +{"d:Title": "Reel Classics: Carefree", "d:Description": "Production notes, technical specifications, sound clips, photographs, and analysis.", "topic": "Top/Arts/Movies/Titles/C/Carefree", "url": "http://www.reelclassics.com/Teams/Fred&Ginger/fred&ginger8.htm"} +{"d:Title": "IMDb: Carefree (1938)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/C/Carefree", "url": "http://www.imdb.com/title/tt0029971/"} +{"d:Title": "IMDb: The Caretakers (1969)", "d:Description": "Cast and crew information, plot summary, and reviews from viewers.", "topic": "Top/Arts/Movies/Titles/C/Caretakers,_The", "url": "http://www.imdb.com/title/tt0056908/"} +{"d:Title": "All-Reviews.com - Carlito's Way", "d:Description": "two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Carlito's_Way", "url": "http://www.all-reviews.com/videos-3/carlitos-way.htm"} +{"d:Title": "IMDb - Carlito's Way (1993)", "d:Description": "Includes cast, credits, and other information about the film.", "topic": "Top/Arts/Movies/Titles/C/Carlito's_Way", "url": "http://www.imdb.com/title/tt0106519/"} +{"d:Title": "Carlito's Way Unofficial Fan Page", "d:Description": "Includes a cast list, pictures, and sound bites.", "topic": "Top/Arts/Movies/Titles/C/Carlito's_Way", "url": "http://allpacino.tripod.com/cw_page.htm"} +{"d:Title": "TV Guide: Carlito's Way", "d:Description": "Includes a review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/C/Carlito's_Way", "url": "http://www.tvguide.com/movies/carlitos-way/129326/"} +{"d:Title": "IMDb: Carmen Jones (1954)", "d:Description": "Cast and crew information as well as user comments and other details.", "topic": "Top/Arts/Movies/Titles/C/Carmen_Jones", "url": "http://www.imdb.com/title/tt0046828/"} +{"d:Title": "IMDb - Carnal Knowledge (1971)", "d:Description": "Includes cast, credits, and other information about the film.", "topic": "Top/Arts/Movies/Titles/C/Carnal_Knowledge", "url": "http://www.imdb.com/title/tt0066892/"} +{"d:Title": "TV Guide: Carnal Knowledge", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Carnal_Knowledge", "url": "http://www.tvguide.com/movies/carnal-knowledge/110377/"} +{"d:Title": "IMDb: Carnival of Souls (1962)", "d:Description": "Contains cast, credits, and other information about the original film.", "topic": "Top/Arts/Movies/Titles/C/Carnival_of_Souls_-_1962", "url": "http://www.imdb.com/title/tt0055830/"} +{"d:Title": "IMDb: Carnival of Souls (1998)", "d:Description": "Provides cast, crew and reviews for the remake of the 1962 film.", "topic": "Top/Arts/Movies/Titles/C/Carnival_of_Souls_-_1998", "url": "http://www.imdb.com/title/tt0120627/"} +{"d:Title": "Light Views: Carousel", "d:Description": "John Larsen reviews the classic Rodgers and Hammerstein musical on DVD.", "topic": "Top/Arts/Movies/Titles/C/Carousel", "url": "http://www.lightviews.com/carousel.htm"} +{"d:Title": "Carousel (1956)", "d:Description": "IMDb listing with summary, reviews and comments, and cast and crew credits.", "topic": "Top/Arts/Movies/Titles/C/Carousel", "url": "http://www.imdb.com/title/tt0049055/"} +{"d:Title": "Carrie... a fan's site", "d:Description": "Fan site devoted to Stephen King's Carrie in its various incarnationsfrom book to films to Broadway musical.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series", "url": "http://carriefansite.blogspot.com/"} +{"d:Title": "Horrordvds.com: Carrie", "d:Description": "Review of the film's DVD.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series/Carrie", "url": "http://www.horrordvds.com/viewarticle.php?articleid=491"} +{"d:Title": "Lightviews DVD Review: Carrie", "d:Description": "John Larsen gives Brian DePalma's thriller a complete examination.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series/Carrie", "url": "http://www.lightviews.com/carrie.htm"} +{"d:Title": "Carrie (1976)", "d:Description": "Information from the Internet Movie Database about the 1976 film Carrie.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series/Carrie", "url": "http://www.imdb.com/title/tt0074285/"} +{"d:Title": "Rogerebert.com - Carrie", "d:Description": "Movie review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series/Carrie", "url": "http://www.rogerebert.com/reviews/carrie-1976"} +{"d:Title": "IMDb: The Rage: Carrie 2", "d:Description": "Contains cast, credits, and other information about the film.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series/Carrie_2_-_The_Rage", "url": "http://www.imdb.com/title/tt0144814/"} +{"d:Title": "All-Reviews.com - The Rage: Carrie 2", "d:Description": "Six reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series/Carrie_2_-_The_Rage/Reviews", "url": "http://www.all-reviews.com/videos-3/rage-carrie-2.htm"} +{"d:Title": "Chicago Sun Times - The Rage - Carrie 2", "d:Description": "By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Carrie_Series/Carrie_2_-_The_Rage/Reviews", "url": "http://www.rogerebert.com/reviews/the-rage-carrie-2-1999"} +{"d:Title": "All-Reviews.com - Carrington", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Carrington", "url": "http://www.all-reviews.com/videos-3/carrington.htm"} +{"d:Title": "IMDb: Carrington", "d:Description": "Plot summary, cast and crew information, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Carrington", "url": "http://www.imdb.com/title/tt0112637/"} +{"d:Title": "Carry On Photographing", "d:Description": "An ongoing project to document the film locations. Photographs and details of the locations used during filming are contained here.", "topic": "Top/Arts/Movies/Titles/C/Carry_On_Films", "url": "http://carryon.moviefever.com/"} +{"d:Title": "What A Carry On Gallery", "d:Description": "Over 400 photos from this series British comedy films.", "topic": "Top/Arts/Movies/Titles/C/Carry_On_Films", "url": "http://ycdt.net/carry_on"} +{"d:Title": "The Whippit Inn", "d:Description": "Fan site with reviews, credits, and pictures.", "topic": "Top/Arts/Movies/Titles/C/Carry_On_Films", "url": "http://www.thewhippitinn.com/"} +{"d:Title": "What a Carry On", "d:Description": "Synopses, credits, regular stars, stage productions, trivia, interviews, articles, image galleries, and chat.", "topic": "Top/Arts/Movies/Titles/C/Carry_On_Films", "url": "http://www.carryon.org.uk/"} +{"d:Title": "Carry On Line", "d:Description": "Official site with information about cast, story, films, events, chat and message boards.", "topic": "Top/Arts/Movies/Titles/C/Carry_On_Films", "url": "http://carryonline.blogspot.co.uk/"} +{"d:Title": "Rotten Tomotoes", "d:Description": "Synopsis, trailer, poster, cast and crew, plus links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/C/Car_Wash", "url": "http://www.rottentomatoes.com/m/car_wash/"} +{"d:Title": "IMDB: Car Wash", "d:Description": "Includes cast, crew, summary and users comments.", "topic": "Top/Arts/Movies/Titles/C/Car_Wash", "url": "http://www.imdb.com/title/tt0074281/"} +{"d:Title": "Greatest Films: Casablanca", "d:Description": "Includes a detailed review, a synopsis, and a discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.filmsite.org/casa.html"} +{"d:Title": "Cyberblanca", "d:Description": "Includes a quiz, a chatroom, and a reference guide.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.cyberblanca.com/"} +{"d:Title": "Teach with Movies: Casablanca", "d:Description": "A review and an overview of the film as a learning tool.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.teachwithmovies.org/guides/casablanca.html"} +{"d:Title": "Cosmopolis - Casablanca", "d:Description": "Review of the DVD edition.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.cosmopolis.ch/english/cosmo3/casablanca.htm"} +{"d:Title": "All-Reviews.com - Casablanca", "d:Description": "Contains reviews of Casablanca.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.all-reviews.com/videos-2/casablanca.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://crazy4cinema.com/Review/FilmsC/f_casablanca.html"} +{"d:Title": "Vincent's Casablanca Homepage", "d:Description": "Includes cast, pictures, and links.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.vincasa.com/"} +{"d:Title": "Casablanca Fan Site", "d:Description": "Features photos, plot summary, lyrics, and sound files in wav format.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.angelfire.com/pa5/geno/cas.html"} +{"d:Title": "About.com: Casablanca", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://homevideo.about.com/cs/classics/fr/CasablancDVDPWk.htm"} +{"d:Title": "Wikipedia: Casablanca", "d:Description": "Article with a plot summary and sections on the film's production, reception and cast. Also includes consideration of myths about and errors in the film and an account of criticism of the work.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://en.wikipedia.org/wiki/Casablanca_(movie)"} +{"d:Title": "ReelClassics: Casablanca", "d:Description": "Includes cast, crew, awards, articles, and image credits.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.reelclassics.com/Movies/Casablanca/casablanca.htm"} +{"d:Title": "Casablanca", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.imdb.com/title/tt0034583/"} +{"d:Title": "Allreaders.com Review: Casablanca", "d:Description": "Detailed analysis of the film starring Humphrey Bogart and Ingrid Bergman, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://allreaders.com/movie-review-summary/casablanca-3566"} +{"d:Title": "TV Guide: Casablanca", "d:Description": "Includes a review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/C/Casablanca", "url": "http://www.tvguide.com/movies/casablanca/110439/"} +{"d:Title": "HARO Online: Casa de Los Babys", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Casa_de_Los_Babys", "url": "http://www.haro-online.com/movies/casa_de_los_babys.html"} +{"d:Title": "All-Reviews.com - Casa De Los Babys", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Casa_de_Los_Babys", "url": "http://www.all-reviews.com/videos-5/casa-de-los-babys.htm"} +{"d:Title": "Internet Movie Database: Casa de Los Babys", "d:Description": "Synopsis, cast and crew, reviews, trivia, production and distribution details, user comments and ratings, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/C/Casa_de_Los_Babys", "url": "http://www.imdb.com/title/tt0303830/"} +{"d:Title": "IMDb - Case Against Brooklyn, The (1958)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Case_Against_Brooklyn,_The", "url": "http://www.imdb.com/title/tt0051456/"} +{"d:Title": "TV Guide - Case Against Brooklyn, The", "d:Description": "Unsigned review (positive) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/C/Case_Against_Brooklyn,_The", "url": "http://www.tvguide.com/movies/case-brooklyn/110446/"} +{"d:Title": "All-Reviews.com - Casino", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Casino", "url": "http://www.all-reviews.com/videos-3/casino.htm"} +{"d:Title": "IMDb: Casino", "d:Description": "Contains cast, credits, and other information about the film.", "topic": "Top/Arts/Movies/Titles/C/Casino", "url": "http://www.imdb.com/title/tt0112641/"} +{"d:Title": "Metacritic.com: Casino", "d:Description": "Links to critics reviews from US publications and readers' comments.", "topic": "Top/Arts/Movies/Titles/C/Casino", "url": "http://www.metacritic.com/movie/casino"} +{"d:Title": "Allreaders Review - Casino", "d:Description": "Analysis of the characters, plot, theme, and structure of the Robert De Niro and Sharon Stone film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Casino", "url": "http://allreaders.com/movie-review-summary/casino-6380"} +{"d:Title": "TV Guide: Casino", "d:Description": "Includes a review, a plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/C/Casino", "url": "http://www.tvguide.com/movies/casino/130998/"} +{"d:Title": "All-Reviews.com - Caspar", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Caspar", "url": "http://www.all-reviews.com/videos-3/casper.htm"} +{"d:Title": "IMDb: The Castle (1997)", "d:Description": "Includes cast, credits and users' comments.", "topic": "Top/Arts/Movies/Titles/C/Castle,_The", "url": "http://www.imdb.com/title/tt0118826/"} +{"d:Title": "rogerebert.com: The Castle", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/C/Castle,_The", "url": "http://www.rogerebert.com/reviews/the-castle-1999"} +{"d:Title": "TV Guide: The Castle", "d:Description": "A short review and credits.", "topic": "Top/Arts/Movies/Titles/C/Castle,_The", "url": "http://www.tvguide.com/movies/castle/110488/"} +{"d:Title": "IMDb - Yidl Mitn Fidl (1935)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Castle_in_the_Sky", "url": "http://www.imdb.com/title/tt0027231/"} +{"d:Title": "Filmbug - Cast Away", "d:Description": "Includes a summary, facts, cast, and links.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://www.filmbug.com/movies/c/cast_away.html"} +{"d:Title": "PopMatters - Cast Away", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://popmatters.com/film/reviews/c/cast-away.html"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews Cast Away.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://www.haro-online.com/movies/cast_away.html"} +{"d:Title": "All-Reviews.com- Cast Away", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://www.all-reviews.com/videos-2/cast-away.htm"} +{"d:Title": "Rotten Tomatoes: Cast Away (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photographs, and a synopsis are included.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://www.rottentomatoes.com/m/cast_away/"} +{"d:Title": "Literary Escort Services: Cast Away", "d:Description": "Satirical review of the film by Julian Darius, focusing on the main character's relationship with Wilson.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://www.literaryescorts.com/?act=non-fiction&item=558"} +{"d:Title": "IMDb - Cast Away (2000)", "d:Description": "Includes cast, credits, and other information about the film.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://www.imdb.com/title/tt0162222/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://www.metacritic.com/movie/cast-away"} +{"d:Title": "Allreaders.com Review: Cast Away", "d:Description": "Detailed analysis of Tom Hanks in Castaway, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "http://allreaders.com/movie-review-summary/cast-away-3955"} +{"d:Title": "Yahoo Movies: Cast Away", "d:Description": "Plot synopsis, film overview, credits, trailer, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/C/Cast_Away", "url": "https://www.yahoo.com/movies/film/miss-cast-away"} +{"d:Title": "Casualties of War (1989)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Casualties_of_War", "url": "http://www.imdb.com/title/tt0097027/"} +{"d:Title": "HARO Online: The Cat's Meow", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Cat's_Meow,_The", "url": "http://www.haro-online.com/movies/cats_meow.html"} +{"d:Title": "All-Reviews.com - The Cat's Meow", "d:Description": "Review rated 2 out of 4 stars by Harvey Karten. Includes general film information, and links to other reviews.", "topic": "Top/Arts/Movies/Titles/C/Cat's_Meow,_The", "url": "http://www.all-reviews.com/videos-4/cats-meow.htm"} +{"d:Title": "Rotten Tomatoes: The Cat's Meow", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/C/Cat's_Meow,_The", "url": "http://www.rottentomatoes.com/m/cats_meow/"} +{"d:Title": "Chicago Sun-Times: The Cat's Meow", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Cat's_Meow,_The", "url": "http://www.rogerebert.com/reviews/the-cats-meow-2002"} +{"d:Title": "IMDb.com: The Cat's Meow", "d:Description": "Full cast and crew overview, pictures, trailer, and links.", "topic": "Top/Arts/Movies/Titles/C/Cat's_Meow,_The", "url": "http://www.imdb.com/title/tt0266391/"} +{"d:Title": "Metacritic.com: The Cat's Meow", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Cat's_Meow,_The", "url": "http://www.metacritic.com/movie/the-cats-meow"} +{"d:Title": "At-A-Glance Film Reviews: Catch-22", "d:Description": "A short review of the film.", "topic": "Top/Arts/Movies/Titles/C/Catch-22", "url": "http://www.rinkworks.com/movies/m/catch-22.1970.shtml"} +{"d:Title": "IMDb: Catch-22", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Catch-22", "url": "http://www.imdb.com/title/tt0065528/"} +{"d:Title": "HARO Online - Catch Me If You Can", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Catch_Me_If_You_Can", "url": "http://www.haro-online.com/movies/catch_me_if_you_can.html"} +{"d:Title": "All-Reviews.com - Catch Me If You Can", "d:Description": "Reader-contributed reviews, ratings.", "topic": "Top/Arts/Movies/Titles/C/Catch_Me_If_You_Can", "url": "http://www.all-reviews.com/videos-5/catch-me-if-you-can.htm"} +{"d:Title": "Filmtracks: Catch Me If You Can", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Catch_Me_If_You_Can", "url": "http://www.filmtracks.com/titles/catch_me.html"} +{"d:Title": "IMDb - Catch Me If You Can (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Catch_Me_If_You_Can", "url": "http://www.imdb.com/title/tt0264464/"} +{"d:Title": "Contactmusic.com: Catch Me If You Can", "d:Description": "Production notes for the film.", "topic": "Top/Arts/Movies/Titles/C/Catch_Me_If_You_Can", "url": "http://www.contactmusic.com/pages/catchmeifyoucanproduction1x17x01x03"} +{"d:Title": "History vs Hollywood - Catch Me If You Can", "d:Description": "Explores the real people from the film's storyline. Includes photographs, interviews, and trailer.", "topic": "Top/Arts/Movies/Titles/C/Catch_Me_If_You_Can", "url": "http://www.historyvshollywood.com/reelfaces/catchmeifyoucan.php"} +{"d:Title": "Awesome Stories: Catch Me If You Can", "d:Description": "Historical background for the main character of the story, with links to photographs and relevant sources.", "topic": "Top/Arts/Movies/Titles/C/Catch_Me_If_You_Can", "url": "https://www.awesomestories.com/asset/view/Catch-Me-If-You-Can"} +{"d:Title": "HARO Online: Catch That Kid", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Catch_That_Kid", "url": "http://www.haro-online.com/movies/catch_that_kid.html"} +{"d:Title": "IMDb: Catch That Kid (2004)", "d:Description": "Plot description, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Catch_That_Kid", "url": "http://www.imdb.com/title/tt0337917/"} +{"d:Title": "Rotten Tomatoes: Catch That Kid", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Catch_That_Kid", "url": "http://www.rottentomatoes.com/m/catch_that_kid/"} +{"d:Title": "Chicago Sun-Times: Catch That Kid", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Catch_That_Kid", "url": "http://www.rogerebert.com/reviews/catch-that-kid-2004"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://www.haro-online.com/movies/cats_and_dogs.html"} +{"d:Title": "All-Reviews.com - Cats and Dogs", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://www.all-reviews.com/videos-3/cats-and-dogs.htm"} +{"d:Title": "PopMatters: Cats and Dogs", "d:Description": "Detailed review and analysis by Tracy McLoone.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://popmatters.com/film/reviews/c/cats-and-dogs.html"} +{"d:Title": "Ninth Symphony Films: Cats and Dogs", "d:Description": "Review and rating, synopsis, photos and film poster.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://regencylady.tripod.com/site/filmreviews/catsanddogs.html"} +{"d:Title": "The Movie Spoiler: Cats and Dogs", "d:Description": "Full plot of the film.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://www.themoviespoiler.com/Spoilers/catsanddogs.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Trailers, photos, and links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://www.rottentomatoes.com/m/cats_and_dogs/"} +{"d:Title": "IMDb: Cats&Dogs (2001)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, plot summary, trivia, film details, links and promotional material.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://www.imdb.com/title/tt0239395/"} +{"d:Title": "AllReaders.com Review - Cats and Dogs", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://allreaders.com/movie-review-summary/cats-and-dogs-6861"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cats_and_Dogs", "url": "http://www.metacritic.com/movie/cats-dogs"} +{"d:Title": "RottenTomatoes.com: Catwoman", "d:Description": "Includes reviews from critics and audiences, synopsis, production notes, and images.", "topic": "Top/Arts/Movies/Titles/C/Catwoman", "url": "http://www.rottentomatoes.com/m/catwoman/"} +{"d:Title": "All-Reviews.com: Catwoman", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Catwoman", "url": "http://www.all-reviews.com/videos-5/catwoman.htm"} +{"d:Title": "HARO Online: Catwoman", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Catwoman", "url": "http://www.haro-online.com/movies/catwoman.html"} +{"d:Title": "IMDb: Catwoman", "d:Description": "Includes cast, crew, plot summary, trivia, and photos.", "topic": "Top/Arts/Movies/Titles/C/Catwoman", "url": "http://www.imdb.com/title/tt0327554/"} +{"d:Title": "IMDb: The Cat and the Canary (1979)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cat_and_the_Canary,_The", "url": "http://www.imdb.com/title/tt0077304/"} +{"d:Title": "The Cat in the Hat", "d:Description": "Official site with synopsis and trailer.", "topic": "Top/Arts/Movies/Titles/C/Cat_in_the_Hat,_The_-_2003", "url": "http://www.thecatinthehatmovie.com/"} +{"d:Title": "Internet Movie Database: Cat in the Hat, The", "d:Description": "Synopsis, cast and crew, quotations, trivia, production and distribution information, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/C/Cat_in_the_Hat,_The_-_2003", "url": "http://imdb.com/title/tt0312528/"} +{"d:Title": "KillerMovies: The Cat In The Hat", "d:Description": "Film information, news archive, and links.", "topic": "Top/Arts/Movies/Titles/C/Cat_in_the_Hat,_The_-_2003", "url": "http://www.killermovies.com/c/thecatinthehat/"} +{"d:Title": "HARO Online: The Cat in the Hat", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/C/Cat_in_the_Hat,_The_-_2003", "url": "http://www.haro-online.com/movies/cat_in_the_hat.html"} +{"d:Title": "All-Reviews.com - The Cat in the Hat", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cat_in_the_Hat,_The_-_2003", "url": "http://www.all-reviews.com/videos-5/cat-in-the-hat.htm"} +{"d:Title": "Rotten Tomatoes: The Cat in the Hat", "d:Description": "Links to reviews, photographs, video clips, and a public forum.", "topic": "Top/Arts/Movies/Titles/C/Cat_in_the_Hat,_The_-_2003", "url": "http://www.rottentomatoes.com/m/cat_in_the_hat/"} +{"d:Title": "Greatest Films: Cat On A Hot Tin Roof", "d:Description": "Includes a detailed review, a synopsis, and a discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/C/Cat_on_a_Hot_Tin_Roof", "url": "http://www.filmsite.org/cato.html"} +{"d:Title": "IMDb: Cat on a Hot Tin Roof", "d:Description": "Includes cast, crew, and credits.", "topic": "Top/Arts/Movies/Titles/C/Cat_on_a_Hot_Tin_Roof", "url": "http://www.imdb.com/title/tt0051459/"} +{"d:Title": "Cat People (1942)", "d:Description": "Extensive review, including film dialogue, by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1942", "url": "http://www.filmsite.org/catp.html"} +{"d:Title": "Purr-fection - Cat People (1942)", "d:Description": "Review by Jeffrey M. Anderson of \"one of the ten greatest films ever made\".", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1942", "url": "http://www.combustiblecelluloid.com/catpeop.shtml"} +{"d:Title": "Cat People", "d:Description": "Various historical reviews reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1942", "url": "http://www.celtoslavica.de/chiaroscuro/films/catpeople/cat.html"} +{"d:Title": "Cat People (1942)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1942", "url": "http://www.imdb.com/title/tt0034587/"} +{"d:Title": "Cat People (1942)", "d:Description": "Review by Richard Scheib.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1942", "url": "http://moria.co.nz/horror/cat-people-1942.htm"} +{"d:Title": "AllMovie: Cat People", "d:Description": "Synopsis, review, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1942", "url": "http://www.allmovie.com/movie/cat-people-v8596"} +{"d:Title": "TV Guide: Cat People", "d:Description": "Review from TV Guide Online.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1942", "url": "http://www.tvguide.com/movies/cat-people/110521/"} +{"d:Title": "All-Reviews.com: Cat People", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1982", "url": "http://www.all-reviews.com/videos-4/cat-people.htm"} +{"d:Title": "Home Theater Info: Cat People", "d:Description": "Favorable review of the film and DVD features: \"It's increasingly rare now but there are some remakes that can stand on their own as a worthwhile film.\"", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1982", "url": "http://www.hometheaterinfo.com/cat.htm"} +{"d:Title": "Rotten Tomatoes: Cat People", "d:Description": "Collection of links to reviews with an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1982", "url": "http://www.rottentomatoes.com/m/1003758-cat_people/"} +{"d:Title": "The Q Network Film Desk: Cat People", "d:Description": "James Kendrick's review with list of DVD features: \"In some ways, 'Cat People' is like 'Exorcist II,' particularly in terms of its sloppy storytelling, but it is more grounded and features much better acting.\" 2.5 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1982", "url": "http://www.qnetwork.com/?page=review&id=997"} +{"d:Title": "Needcoffee.com DVD Review: Cat People", "d:Description": "ScottC's review of the film and the disc's features: \"If you are interesting in the making of film or of naked chicks running around in the swamp, Cat People may be of interest, but otherwise don't bother.\"", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1982", "url": "http://www.needcoffee.com/2003/12/07/cat-people-1982-dvd-review/"} +{"d:Title": "The SF, Horror and Fantasy Film Review: Cat People", "d:Description": "Richard Scheib's review: \"On its own terms 'Cat People' is unevenly well made.\"", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1982", "url": "http://moria.co.nz/horror/cat-people-remake-1982.htm"} +{"d:Title": "Internet Movie Database: Cat People", "d:Description": "Synopsis, cast and crew, reviews, bloopers, soundtrack listing, related films, trivia, technical details, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/C/Cat_People_-_1982", "url": "http://www.imdb.com/title/tt0083722/"} +{"d:Title": "IMDb: Caught (1996)", "d:Description": "Cast and crew information, synopsis, and viewer reviews are included.", "topic": "Top/Arts/Movies/Titles/C/Caught", "url": "http://www.imdb.com/title/tt0115847/"} +{"d:Title": "Caught", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/Caught", "url": "http://www.sonypictures.com/movies/caught/"} +{"d:Title": "IMDb: Caught Up (1998)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Caught_Up", "url": "http://www.imdb.com/title/tt0119988/"} +{"d:Title": "IMDb: Cavalcade", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/C/Cavalcade", "url": "http://www.imdb.com/title/tt0023876/"} +{"d:Title": "IMDb.com - Caveman", "d:Description": "Cast and crew details, movie synopsis and user comments.", "topic": "Top/Arts/Movies/Titles/C/Caveman", "url": "http://www.imdb.com/title/tt0082146/"} +{"d:Title": "All-Reviews: The Caveman's Valentine.", "d:Description": "Reviews by Steve Rhodes and Harvey Karten.", "topic": "Top/Arts/Movies/Titles/C/Caveman's_Valentine", "url": "http://www.all-reviews.com/videos-2/cavemans-valentine.htm"} +{"d:Title": "Ninth Symphony Films: The Caveman's Valentine", "d:Description": "Review, synopsis, photos and film poster.", "topic": "Top/Arts/Movies/Titles/C/Caveman's_Valentine", "url": "http://regencylady.tripod.com/site/filmreviews/thecavemansvalentine.html"} +{"d:Title": "PopMatters - Caveman's Valentine", "d:Description": "Detailed review and analysis by Cynthia Fuchs, plus an interview with the director Kasi Lemmons and actress Tamara Tunie.", "topic": "Top/Arts/Movies/Titles/C/Caveman's_Valentine", "url": "http://popmatters.com/film/reviews/c/cavemans-valentine.html"} +{"d:Title": "Rotten Tomatoes: The Caveman's Valetine", "d:Description": "Collection of reviews with links, plus details of the film including a synopsis, cast and crew list and discussion forum.", "topic": "Top/Arts/Movies/Titles/C/Caveman's_Valentine", "url": "http://www.rottentomatoes.com/m/cavemans_valentine/"} +{"d:Title": "Metacritic.com: The Caveman's Valentine", "d:Description": "Links to reviews from US publications and readers' comments.", "topic": "Top/Arts/Movies/Titles/C/Caveman's_Valentine", "url": "http://www.metacritic.com/movie/the-cavemans-valentine"} +{"d:Title": "HARO Online - Cecil B. Demented", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Cecil_B._Demented", "url": "http://www.haro-online.com/movies/cecil_b_demented.html"} +{"d:Title": "All-Reviews.com - Cecil B. Demented", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Cecil_B._Demented", "url": "http://www.all-reviews.com/videos/cecil-b-demented.htm"} +{"d:Title": "Rotten Tomatoes: Cecil B Demented (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photographs, and a synopsis are also located here.", "topic": "Top/Arts/Movies/Titles/C/Cecil_B._Demented", "url": "http://www.rottentomatoes.com/m/cecil_b_demented/"} +{"d:Title": "IMDb - Cecil B. Demented (2000)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Cecil_B._Demented", "url": "http://www.imdb.com/title/tt0173716/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cecil_B._Demented", "url": "http://www.metacritic.com/movie/cecil-b-demented"} +{"d:Title": "Film Unlimited: Festen", "d:Description": "Brief profile and users' reviews.", "topic": "Top/Arts/Movies/Titles/C/Celebration,_The", "url": "http://www.filmunlimited.co.uk/Film_Page/0,4061,39178,00.html"} +{"d:Title": "IMDb: Dogme 1 - Festen (1998)", "d:Description": "Cast and credits, reviews, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Celebration,_The", "url": "http://www.imdb.com/title/tt0154420/"} +{"d:Title": "All-Reviews.com - Celebrity", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Celebrity", "url": "http://www.all-reviews.com/videos-3/celebrity.htm"} +{"d:Title": "Rotten Tomatoes: Celebrity", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/C/Celebrity", "url": "http://www.rottentomatoes.com/m/1084868-celebrity/"} +{"d:Title": "IMDb: Celebrity", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Celebrity", "url": "http://www.imdb.com/title/tt0120533/"} +{"d:Title": "All-Reviews.com- The Cell", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://www.all-reviews.com/videos/cell.htm"} +{"d:Title": "PopMatters - The Cell", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://popmatters.com/film/reviews/c/cell.html"} +{"d:Title": "HARO Online - The Cell", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://www.haro-online.com/movies/cell.html"} +{"d:Title": "AskMen.com - The Cell", "d:Description": "Male perspective movie review.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://www.askmen.com/toys/movies/35_movie_reviews.html"} +{"d:Title": "The Cell", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://www.cellmovie.com/"} +{"d:Title": "Box Office Mojo - The Cell", "d:Description": "Daily box office data for The Cell. Includes a comparison with other New Line thrillers.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://www.boxofficemojo.com/movies/?id=cell.htm"} +{"d:Title": "IMDb - The Cell (2000)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://www.imdb.com/title/tt0209958/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://www.metacritic.com/movie/the-cell"} +{"d:Title": "Allreaders.com Review: The Cell", "d:Description": "Detailed analysis of the Jennifer Lopez film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cell,_The", "url": "http://allreaders.com/movie-review-summary/the-cell-3616"} +{"d:Title": "Internet Movie Database: Cellar Dweller", "d:Description": "Synopsis, cast and crew, user ratings and comments, production information, and links.", "topic": "Top/Arts/Movies/Titles/C/Cellar_Dweller", "url": "http://imdb.com/title/tt0094850/"} +{"d:Title": "IMDb: Cellular (2004)", "d:Description": "Features plot summary, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/C/Cellular", "url": "http://www.imdb.com/title/tt0337921/"} +{"d:Title": "Cellular", "d:Description": "Official site provides a trailer, synopsis, cast biographies, and images from the movie.", "topic": "Top/Arts/Movies/Titles/C/Cellular", "url": "http://www.cellularthemovie.com/"} +{"d:Title": "Seeing Stars in Hollywood: Cellular Filming Locations", "d:Description": "Pictures, addresses, and maps of locations in Southern California where the movie was filmed.", "topic": "Top/Arts/Movies/Titles/C/Cellular", "url": "http://seeing-stars.com/Locations/Cellular.shtml"} +{"d:Title": "All-Reviews.com - Celuloid Closet", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Celluloid_Closet,_The", "url": "http://www.all-reviews.com/videos-3/celluloid-closet.htm"} +{"d:Title": "IMDb: The Celluloid Closet (1995)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Celluloid_Closet,_The", "url": "http://www.imdb.com/title/tt0112651/"} +{"d:Title": "The Celluloid Closet", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/Celluloid_Closet,_The", "url": "http://www.sonypictures.com/movies/thecelluloidcloset/"} +{"d:Title": "IMDb: Celtic Pride (1996)", "d:Description": "Cast and crew information, plot summary, and user reviews.", "topic": "Top/Arts/Movies/Titles/C/Celtic_Pride", "url": "http://www.imdb.com/title/tt0115851/"} +{"d:Title": "Rotten Tomatoes: The Cement Garden", "d:Description": "Reviews and movie info.", "topic": "Top/Arts/Movies/Titles/C/Cement_Garden,_The", "url": "http://www.rottentomatoes.com/m/cement_garden/"} +{"d:Title": "Yahoo! Movies: The Cement Garden", "d:Description": "Synopsis, and cast and crew.", "topic": "Top/Arts/Movies/Titles/C/Cement_Garden,_The", "url": "http://movies.yahoo.com/movie/the-cement-garden/"} +{"d:Title": "IMDb: The Cement Garden", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cement_Garden,_The", "url": "http://www.imdb.com/title/tt0106535/"} +{"d:Title": "Fright Site: Cemetery Man", "d:Description": "Includes a review, cast, and movie information.", "topic": "Top/Arts/Movies/Titles/C/Cemetery_Man", "url": "http://www.fright.com/edge/cemetary.html"} +{"d:Title": "Mutant Reviewers from Hell: Cemetery Man", "d:Description": "Includes a review.", "topic": "Top/Arts/Movies/Titles/C/Cemetery_Man", "url": "http://mutantreviewers.wordpress.com/2010/10/16/cemetary-man-dellamorte-dellamore-retro-review/"} +{"d:Title": "HARO Online", "d:Description": "Three paragraph review.", "topic": "Top/Arts/Movies/Titles/C/Center_of_the_World,_The", "url": "http://www.haro-online.com/movies/center_of_the_world.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Center_of_the_World,_The", "url": "http://www.rottentomatoes.com/m/center_of_the_world/"} +{"d:Title": "Salon: Wayne's World", "d:Description": "Introduction and interview with the director.", "topic": "Top/Arts/Movies/Titles/C/Center_of_the_World,_The", "url": "http://www.salon.com/2001/04/19/wang_2/"} +{"d:Title": "IMDB: Center of the World", "d:Description": "Introduction and cast list.", "topic": "Top/Arts/Movies/Titles/C/Center_of_the_World,_The", "url": "http://www.imdb.com/title/tt0240402/"} +{"d:Title": "AllReaders.com Review - The Center of the World", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Center_of_the_World,_The", "url": "http://allreaders.com/movie-review-summary/the-center-of-the-world-6853"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Center_of_the_World,_The", "url": "http://www.metacritic.com/movie/the-center-of-the-world"} +{"d:Title": "Rotten Tomatoes: Center Stage (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photographs, and a synopsis are included.", "topic": "Top/Arts/Movies/Titles/C/Center_Stage", "url": "http://www.rottentomatoes.com/m/center_stage/"} +{"d:Title": "Box Office Mojo - Center Stage", "d:Description": "Box office data for the film. Includes a comparison with other dance movies.", "topic": "Top/Arts/Movies/Titles/C/Center_Stage", "url": "http://www.boxofficemojo.com/movies/?id=centerstage.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Center_Stage", "url": "http://www.metacritic.com/movie/center-stage"} +{"d:Title": "IMDb - Center Stage (2000)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Center_Stage", "url": "http://www.imdb.com/title/tt0210616/"} +{"d:Title": "All-Reviews.com- Center Stage (2000)", "d:Description": "A collection of reviews and related information.", "topic": "Top/Arts/Movies/Titles/C/Center_Stage/Reviews", "url": "http://www.all-reviews.com/videos/center-stage.htm"} +{"d:Title": "HARO Online - Center Stage", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/C/Center_Stage/Reviews", "url": "http://www.haro-online.com/movies/center_stage.html"} +{"d:Title": "PopMatters - Center Stage", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Center_Stage/Reviews", "url": "http://popmatters.com/film/reviews/c/center-stage.html"} +{"d:Title": "Central Station", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/Central_Station", "url": "http://www.sonypictures.com/movies/centralstation/"} +{"d:Title": "IMDb - Cern\u00fd Petr (1964)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Cern\u00fd_Petr", "url": "http://imdb.com/title/tt0056919/"} +{"d:Title": "Filmbug - Chain of Fools", "d:Description": "Includes a summary, facts, cast, and links.", "topic": "Top/Arts/Movies/Titles/C/Chain_of_Fools", "url": "http://www.filmbug.com/movies/c/chain_of_fools.html"} +{"d:Title": "IMDb - Chain of Fools (2000)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Chain_of_Fools", "url": "http://www.imdb.com/title/tt0194368/"} +{"d:Title": "Rotten Tomatoes: Chain of Fools (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photographs, and a synopsis are included.", "topic": "Top/Arts/Movies/Titles/C/Chain_of_Fools", "url": "http://www.rottentomatoes.com/m/1138933-chain_of_fools/"} +{"d:Title": "Chain of Fools", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/Chain_of_Fools", "url": "http://www.warnerbros.com/chain-fools"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Chain_Reaction", "url": "http://www.all-reviews.com/videos-2/chain-reaction.htm"} +{"d:Title": "IMDb: Chairman of the Board", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Chairman_of_the_Board", "url": "http://www.imdb.com/title/tt0118836/"} +{"d:Title": "AllReaders.com Review - Chances Are", "d:Description": "Detailed analysis of the Robert Downey Jr. film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Chances_Are", "url": "http://allreaders.com/movie-review-summary/chances-are-3830"} +{"d:Title": "Pit Of Horror: The Changeling", "d:Description": "Review of the original 1979 version of the movie.", "topic": "Top/Arts/Movies/Titles/C/Changeling,_The_-_1979", "url": "http://www.pitofhorror.com/features/changeling.html"} +{"d:Title": "Arrow in the Head: The Changeling", "d:Description": "Review of the original movie.", "topic": "Top/Arts/Movies/Titles/C/Changeling,_The_-_1979", "url": "http://www.joblo.com/arrow/changeling.htm"} +{"d:Title": "Horror Asylum: The Changeling", "d:Description": "Review of the original 1979/1980 movie.", "topic": "Top/Arts/Movies/Titles/C/Changeling,_The_-_1979", "url": "http://www.horror-asylum.com/database/detail.asp?item=1257"} +{"d:Title": "Canuxploitation Review: The Changeling", "d:Description": "Review of the original movie.", "topic": "Top/Arts/Movies/Titles/C/Changeling,_The_-_1979", "url": "http://www.canuxploitation.com/review/changeling.html"} +{"d:Title": "RottenTomatoes: The Changeling (1979)", "d:Description": "Links to reviews of the film. Also includes movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/C/Changeling,_The_-_1979", "url": "http://www.rottentomatoes.com/m/changeling/"} +{"d:Title": "IMDb.com: Changeling (2008)", "d:Description": "Has photos, showtimes, cast and crew, reviews, plot summary, comments, discussions, and trailers.", "topic": "Top/Arts/Movies/Titles/C/Changeling,_The_-_2008", "url": "http://www.imdb.com/title/tt0824747/"} +{"d:Title": "Wikipedia: Changeling", "d:Description": "Features plot summary, production, themes, release strategy, reception, and historical context.", "topic": "Top/Arts/Movies/Titles/C/Changeling,_The_-_2008", "url": "http://en.wikipedia.org/wiki/The_Changeling_(2008_film)"} +{"d:Title": "Internet Movie Database: Changing Hearts", "d:Description": "Includes reviews, plot summary, trailer and image gallery.", "topic": "Top/Arts/Movies/Titles/C/Changing_Hearts", "url": "http://www.imdb.com/title/tt0303860/"} +{"d:Title": "All-Reviews.com: Changing Lanes", "d:Description": "Review by Dustin Putman, with links to other reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Changing_Lanes", "url": "http://all-reviews.com/videos-4/changing-lanes.htm"} +{"d:Title": "HARO Online: Changing Lanes", "d:Description": "Review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/C/Changing_Lanes", "url": "http://www.haro-online.com/movies/changing_lanes.html"} +{"d:Title": "Rotten Tomatoes: Changing Lanes", "d:Description": "Reviews, synopsis, trailer, photographs, news and articles.", "topic": "Top/Arts/Movies/Titles/C/Changing_Lanes", "url": "http://www.rottentomatoes.com/m/changing_lanes/"} +{"d:Title": "IMDb: Changing Lanes", "d:Description": "Synopsis, cast and crew, reviews, trivia, mistakes, quotes, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/C/Changing_Lanes", "url": "http://www.imdb.com/title/tt0264472/"} +{"d:Title": "Contactmusic.com: Changing Lanes", "d:Description": "Brief biographies of cast members.", "topic": "Top/Arts/Movies/Titles/C/Changing_Lanes", "url": "http://www.contactmusic.com/pages/changinglanescastx22x10x02"} +{"d:Title": "Metacritic.com: Changing Lanes", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Changing_Lanes", "url": "http://www.metacritic.com/movie/changing-lanes"} +{"d:Title": "TV Guide - Changing Lanes", "d:Description": "Review by Maitland McDonagh (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Changing_Lanes", "url": "http://www.tvguide.com/movies/changing-lanes/135775/"} +{"d:Title": "Chaplin", "d:Description": "\"It's a monumental biopic that cheapens the hero's successes by glossing over the failures that surely also shaped the man.\" By Rita Kempley. [Washington Post]", "topic": "Top/Arts/Movies/Titles/C/Chaplin", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/chaplinpg13kempley_a0a350.htm"} +{"d:Title": "Chaplin", "d:Description": "\"Based on Chaplin's factually airbrushed 'My Autobiography' and David Robinson's 'Chaplin: His Life and Art,' the movie gives you a little bit of everything and, therefore, nothing.\" By Desson Howe. [Washington Post]", "topic": "Top/Arts/Movies/Titles/C/Chaplin", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/chaplinpg13howe_a0af5f.htm"} +{"d:Title": "Deseret News: Chaplin", "d:Description": "Review by Chris Hicks. \"...a superficial skimming of the life and times of the great comedian and innovative film-maker.\"", "topic": "Top/Arts/Movies/Titles/C/Chaplin", "url": "http://www.deseretnews.com/article/700000299/Chaplin.html"} +{"d:Title": "IMDb: Chaplin (1992)", "d:Description": "Cast overview, synopsis, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Chaplin", "url": "http://www.imdb.com/title/tt0103939/"} +{"d:Title": "rogerebert.com: Chaplin", "d:Description": "\"Before Batman, before Mickey Mouse, there was the Little Tramp, an everyman whose lack of name and address allowed him to go anywhere and do anything, as long as it was funny, or brought a tear.\" By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Chaplin", "url": "http://www.rogerebert.com/reviews/chaplin-1993"} +{"d:Title": "Chaplin", "d:Description": "\"Historically, it's a joke, crushing chronology, distorting characters, trivializing film history, but all that could be forgiven if it only had a brain, or a mind, or a heart.\" By Louis Black. [Austin Chronicle]", "topic": "Top/Arts/Movies/Titles/C/Chaplin", "url": "http://www.austinchronicle.com/calendar/film/1993-01-15/chaplin/"} +{"d:Title": "Review by Tim Dirks", "d:Description": "A short review of the film.", "topic": "Top/Arts/Movies/Titles/C/Charade", "url": "http://www.filmsite.org/char.html"} +{"d:Title": "LikeTelevision: Charade", "d:Description": "Includes the entire movie, viewable in Real Player. A high bandwidth internet connection is recommended.", "topic": "Top/Arts/Movies/Titles/C/Charade", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=230&format=movie&theme=guide"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes an overview, awards, reviews, plot summary, quotes, and other information.", "topic": "Top/Arts/Movies/Titles/C/Charade", "url": "http://www.imdb.com/title/tt0056923/"} +{"d:Title": "Allreaders.com Review: Charade", "d:Description": "Detailed analysis of the film starring Cary Grant and Audrey Hepburn, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Charade", "url": "http://allreaders.com/movie-review-summary/charade-1963-4148"} +{"d:Title": "Review - Salon.com", "d:Description": "A review by Charles Taylor.", "topic": "Top/Arts/Movies/Titles/C/Charade", "url": "http://www.salon.com/1998/05/19/19tayl_2/"} +{"d:Title": "TV Guide: Charade", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/C/Charade", "url": "http://www.tvguide.com/movies/charade/126157/"} +{"d:Title": "Scotland the Movie Location Guide - Chariots of Fire", "d:Description": "Scottish filming locations of Chariots of Fire.", "topic": "Top/Arts/Movies/Titles/C/Chariots_of_Fire", "url": "http://www.scotlandthemovie.com/movies/fchariots.html"} +{"d:Title": "Teach with Movies - Chariots of Fire", "d:Description": "A review and an overview of the film as a learning tool.", "topic": "Top/Arts/Movies/Titles/C/Chariots_of_Fire", "url": "http://www.teachwithmovies.org/guides/chariots-of-fire.html"} +{"d:Title": "IMDb - Chariots of Fire (1981)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Chariots_of_Fire", "url": "http://www.imdb.com/title/tt0082158/"} +{"d:Title": "All-Reviews.com: Charlie's Angels", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.all-reviews.com/videos-2/charlies-angels.htm"} +{"d:Title": "PopMatters: Charlie's Angels", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://popmatters.com/film/reviews/c/charlies-angels.html"} +{"d:Title": "HARO Online", "d:Description": "A review.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.haro-online.com/movies/charlies_angels.html"} +{"d:Title": "Idlebrain.com", "d:Description": "A review.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.idlebrain.com/angreji/mr-charlie.html"} +{"d:Title": "Hands-on heroines", "d:Description": "An article from the Detroit Metro Times about how the Charlie's Angels movie and television show have impacted their female fans.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.metrotimes.com/editorial/story.asp?id=845"} +{"d:Title": "A Talk With McG", "d:Description": "Interview with Charlie's Angels Director \"McG\".", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.dvdtalk.com/mcginterview.html"} +{"d:Title": "Cranky Critic: Charlie's Angels", "d:Description": "Reviews of the film and an estimate of how much money it's worth to the individual viewer.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://crankycritic.com/archive00/charliesangels.html"} +{"d:Title": "Radio Free: Charlie's Angels", "d:Description": "Andrew Manning's review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://movies.radiofree.com/reviews/charlies.shtml"} +{"d:Title": "Charlie's Angels Filming Locations", "d:Description": "Addresses, photographs, map links and descriptions of filming locations for the movie.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.seeing-stars.com/Locations/CA1/CharliesAngels1.shtml"} +{"d:Title": "Rotten Tomatoes: Charlie's Angels (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photographs, and a synopsis are also included.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.rottentomatoes.com/m/charlies_angels/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.metacritic.com/movie/charlies-angels"} +{"d:Title": "IMDb - Charlie's Angels (2000)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://www.imdb.com/title/tt0160127/"} +{"d:Title": "AllReaders.com Charlie's Angels Spotlight", "d:Description": "Detailed analysis of the film starring Lucy Liu, Cameron Diaz, and Drew Barrymore. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels", "url": "http://allreaders.com/movie-review-summary/charlies-angels-5163"} +{"d:Title": "KillerMovies - Charlies Angels 2: Full Thottle", "d:Description": "Synopsis, news articles, and links to trailers.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels_-_Full_Throttle", "url": "http://www.killermovies.com/c/charliesangels2/"} +{"d:Title": "All-Reviews.com - Charlie's Angels: Full Throttle", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels_-_Full_Throttle", "url": "http://www.all-reviews.com/videos-5/charlies-angels-full-throttle.htm"} +{"d:Title": "Seeing Stars - Charlies Angels: Full Throttle", "d:Description": "Descriptions of filming locations for the movie, including photos, addresses and map links.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels_-_Full_Throttle", "url": "http://www.seeing-stars.com/Locations/CA2/CharliesAngels1.shtml"} +{"d:Title": "Internet Movie Database: Charlie's Angels: Full Throttle", "d:Description": "Film information, cast and crew, user comments and ratings, quotes, trivia, soundtrack listing, production information, merchandising details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/C/Charlie's_Angels_Series/Charlie's_Angels_-_Full_Throttle", "url": "http://www.imdb.com/title/tt0305357/"} +{"d:Title": "IMDb.com: Charlie and the Chocolate Factory (2005)", "d:Description": "Cast and crew lists, a plot summary, and trivia.", "topic": "Top/Arts/Movies/Titles/C/Charlie_and_the_Chocolate_Factory", "url": "http://www.imdb.com/title/tt0367594/"} +{"d:Title": "Charlie and the Chocolate Factory", "d:Description": "Haro-online reviews the movie.", "topic": "Top/Arts/Movies/Titles/C/Charlie_and_the_Chocolate_Factory", "url": "http://www.haro-online.com/movies/charlie_chocolate_factory.html"} +{"d:Title": "Warner Bros.: The Official Charlie and the Chocolate Factory Film Site", "d:Description": "Offers cast and crew lists, photos, and a trailer.", "topic": "Top/Arts/Movies/Titles/C/Charlie_and_the_Chocolate_Factory", "url": "http://www.warnerbros.com/charlie-and-chocolate-factory"} +{"d:Title": "IMDb: Charlie Bartlett (2007)", "d:Description": "Plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Charlie_Bartlett", "url": "http://www.imdb.com/title/tt0423977/"} +{"d:Title": "Rotten Tomatoes: Charlie Bartlett", "d:Description": "Review links, synopsis, credits, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Charlie_Bartlett", "url": "http://www.rottentomatoes.com/m/charlie_bartlett/"} +{"d:Title": "IGN Movies: Charlie Bartlett", "d:Description": "Provides information, features, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Charlie_Bartlett", "url": "http://www.ign.com/movies/charlie-bartlett/theater-903835"} +{"d:Title": "MovieWeb: Charlie Bartlett", "d:Description": "Summary, videos, and photos.", "topic": "Top/Arts/Movies/Titles/C/Charlie_Bartlett", "url": "http://movieweb.com/movie/charlie-bartlett/"} +{"d:Title": "Charlie Chan Page", "d:Description": "Includes Charlie's proverbs and a list of his offspring.", "topic": "Top/Arts/Movies/Titles/C/Charlie_Chan_Series", "url": "http://www.charliechan.net/"} +{"d:Title": "The House on Punchbowl Hill", "d:Description": "An online Charlie Chan museum, featuring a movie list with in-depth synopses and information, biographies, a photo gallery and illustrated scripts for the four \"lost\" films.", "topic": "Top/Arts/Movies/Titles/C/Charlie_Chan_Series", "url": "http://charliechanfamily.tripod.com/"} +{"d:Title": "HARO Online: Charlotte Gray", "d:Description": "Review and rating by Mongoose, accompanied by two film stills.", "topic": "Top/Arts/Movies/Titles/C/Charlotte_Gray", "url": "http://www.haro-online.com/movies/charlotte_gray.html"} +{"d:Title": "Metacritic.com: Charlotte Gray", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Charlotte_Gray", "url": "http://www.metacritic.com/movie/charlotte-gray"} +{"d:Title": "HARO Online: Charly", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Charly", "url": "http://www.haro-online.com/movies/charly.html"} +{"d:Title": "AllReaders.com Review: Charly", "d:Description": "Detailed analysis of the Cliff Robertson film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Charly", "url": "http://allreaders.com/movie-review-summary/charly-3747"} +{"d:Title": "HARO Online: Chasing Liberty", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Chasing_Liberty", "url": "http://www.haro-online.com/movies/chasing_liberty.html"} +{"d:Title": "IMDb: Chasing Liberty (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Chasing_Liberty", "url": "http://www.imdb.com/title/tt0360139/"} +{"d:Title": "Chicago Sun-Times: Chasing Liberty", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Chasing_Liberty", "url": "http://www.rogerebert.com/reviews/chasing-liberty-2004"} +{"d:Title": "Rotten Tomatoes: The Chateau", "d:Description": "Synopsis, trailer and links to reviews.", "topic": "Top/Arts/Movies/Titles/C/Ch\u00e2teau,_The", "url": "http://www.rottentomatoes.com/m/chateau/"} +{"d:Title": "A Modest 'Chateau' is a Pleasant Stop", "d:Description": "Sean Axmaker's review: \"...a shaggy dog tale that promises little and delivers just a little more.\" [Seattle Post-Intelligencer]", "topic": "Top/Arts/Movies/Titles/C/Ch\u00e2teau,_The", "url": "http://www.seattlepi.com/ae/movies/article/A-modest-Chateau-is-a-pleasant-stop-1095374.php"} +{"d:Title": "Internet Movie Database: The Ch\u00e2teau", "d:Description": "Synopsis, cast and crew, reviews, promotional information, photos, and links.", "topic": "Top/Arts/Movies/Titles/C/Ch\u00e2teau,_The", "url": "http://www.imdb.com/title/tt0283288/"} +{"d:Title": "Is the Staff Really Rude or Just Being, Well, French?", "d:Description": "Review by Dave Kehr of the New York Times [free registration required].", "topic": "Top/Arts/Movies/Titles/C/Ch\u00e2teau,_The", "url": "http://www.nytimes.com/2002/08/09/movies/film-review-is-the-staff-really-rude-or-just-being-well-french.html"} +{"d:Title": "San Francisco Chronicle: Ramshackle Comedy", "d:Description": "Mick LaSalle's favorable review: \"Its truthfulness will make audiences laugh -- and cringe, too, as they recognize themselves.\"", "topic": "Top/Arts/Movies/Titles/C/Ch\u00e2teau,_The", "url": "http://www.sfgate.com/movies/article/Ramshackle-comedy-Bumbling-brothers-show-their-2773821.php"} +{"d:Title": "A.V. Club: The Ch\u00e2teau", "d:Description": "Keith Phipps' review: \"Never recovering the energy of its early scenes, the heavily improvised 'Ch\u00e2teau' becomes shapeless and dull.\"", "topic": "Top/Arts/Movies/Titles/C/Ch\u00e2teau,_The", "url": "http://www.avclub.com/review/the-chteau-5880"} +{"d:Title": "HARO Online: Cheaper by the Dozen", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Cheaper_by_the_Dozen_-_2003", "url": "http://www.haro-online.com/movies/cheaper_by_the_dozen.html"} +{"d:Title": "IMDb: Cheaper by the Dozen (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Cheaper_by_the_Dozen_-_2003", "url": "http://www.imdb.com/title/tt0349205/"} +{"d:Title": "Chicago Sun-Times: Cheaper by the Dozen", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Cheaper_by_the_Dozen_-_2003", "url": "http://www.rogerebert.com/reviews/cheaper-by-the-dozen-2003"} +{"d:Title": "IMDb: Cheerleader Ninjas (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/C/Cheerleader_Ninjas", "url": "http://www.imdb.com/title/tt0235280/"} +{"d:Title": "The Chelsea Girls (Andy Warhol)", "d:Description": "Trivia, screen shots, plot summary, and extracts from reviews.", "topic": "Top/Arts/Movies/Titles/C/Chelsea_Girls,_The", "url": "http://smironne.free.fr/NICO/FILMS/chg.html"} +{"d:Title": "WarholStars: The Chelsea Girls", "d:Description": "Review, excerpts from reviews, and a list of the room numbers where certain scenes were shot.", "topic": "Top/Arts/Movies/Titles/C/Chelsea_Girls,_The", "url": "http://www.warholstars.org/warhol/warhol1/warhol1f/chelsea.html"} +{"d:Title": "Film Flashes Back to Warhol's '60s / Rarely Seen Movie a Near Overdose of Artist's Voyeurism", "d:Description": "Review by Kenneth Baker, San Francisco Chronicle Art Critic.", "topic": "Top/Arts/Movies/Titles/C/Chelsea_Girls,_The", "url": "http://www.sfgate.com/entertainment/article/Film-flashes-back-to-Warhol-s-60s-Rarely-seen-2853634.php"} +{"d:Title": "IMDb: Chelsea Walls (2001)", "d:Description": "Production details, cast and crew, reviews, user comments, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/C/Chelsea_Walls", "url": "http://www.imdb.com/title/tt0226935/"} +{"d:Title": "Metacritic.com: Chelsea Walls", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Chelsea_Walls", "url": "http://www.metacritic.com/movie/chelsea-walls"} +{"d:Title": "Cherish", "d:Description": "Official site from Fine Line Features includes image gallery and plot synopsis.", "topic": "Top/Arts/Movies/Titles/C/Cherish", "url": "http://www.cherishthemovie.com/"} +{"d:Title": "Rotten Tomatoes: Cherish", "d:Description": "Reviews of the movie from audiences and a variety of critics, as well as information, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/C/Cherish", "url": "http://www.rottentomatoes.com/m/cherish/"} +{"d:Title": "IMDb: Cherish (2002)", "d:Description": "Cast and crew listings, plot synopsis and reviews.", "topic": "Top/Arts/Movies/Titles/C/Cherish", "url": "http://www.imdb.com/title/tt0298798/"} +{"d:Title": "Metacritic.com: Cherish", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Cherish", "url": "http://www.metacritic.com/movie/cherish"} +{"d:Title": "Internet Movie Database: Cherry 2000", "d:Description": "Synopsis, cast and crew listing, awards, film recommendations, technical specifications, promotional details, and links.", "topic": "Top/Arts/Movies/Titles/C/Cherry_2000", "url": "http://www.imdb.com/title/tt0092746/"} +{"d:Title": "IMDb - Cherry Falls (2000)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Falls", "url": "http://www.imdb.com/title/tt0175526/"} +{"d:Title": "IMDb: \"The Cherry Orchard\"", "d:Description": "Filming location, cast, crew, reviews, awards and message board.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Orchard,_The_-_1999", "url": "http://www.imdb.com/title/tt0144134/"} +{"d:Title": "Film Freak Central Review: The Cherry Orchard", "d:Description": "Underlit and blocked exactly like a theatrical performance, The Cherry Orchard feels stagy. Review by Walter Chaw.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Orchard,_The_-_1999", "url": "http://filmfreakcentral.net/starz/starz4.htm#orchard"} +{"d:Title": "Spirituality&Practice: Film Review: The Cherry Orchard", "d:Description": "This story tutors us in the practices of kindness and compassion for those caught up in the trauma of change and loss. By Frederic and Mary Ann Brussat.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Orchard,_The_-_1999", "url": "http://www.spiritualityandpractice.com/films/films.php?id=3958"} +{"d:Title": "Movies Other: The Cherry Orchard", "d:Description": "Michael Cacoyannis\u2019s version does include glorious panoramas of the orchard, but just about everything else goes wrong. By Steve Vineberg.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Orchard,_The_-_1999", "url": "http://www.bostonphoenix.com/boston/movies/trailers/documents/02286222.htm"} +{"d:Title": "Cranky Critic Movie Reviews: The Cherry Orchard", "d:Description": "The Cherry Orchard had the critic next to me curled up in a fetal position, trying to sleep.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Orchard,_The_-_1999", "url": "http://www.crankycritic.com/archive02/cherryorchard.html"} +{"d:Title": "Rottentomatoes.com: \"The Cherry Orchard\"", "d:Description": "Offers reviews, synopsis, cast and crew information.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Orchard,_The_-_1999", "url": "http://www.rottentomatoes.com/m/1112556-cherry_orchard/"} +{"d:Title": "Director, Cast are Superb in Chekhov Drama", "d:Description": "It's naturalistic, briskly paced and never overreverential. Review by William Arnold.", "topic": "Top/Arts/Movies/Titles/C/Cherry_Orchard,_The_-_1999", "url": "http://www.seattlepi.com/ae/movies/article/Director-cast-are-superb-in-Chekhov-drama-1084995.php"} +{"d:Title": "Internet Movie Database: Chester Story, The", "d:Description": "Plot summary, cast and crew, user ratings and comments, release information, and links.", "topic": "Top/Arts/Movies/Titles/C/Chester_Story,_The", "url": "http://imdb.com/title/tt0282413/"} +{"d:Title": "HARO Online: Chicago", "d:Description": "Pseudonymously signed positive review.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.haro-online.com/movies/chicago.html"} +{"d:Title": "All-Reviews.com: Chicago", "d:Description": "Reviews and ratings.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.all-reviews.com/videos-5/chicago.htm"} +{"d:Title": "Hollywood Jesus: Chicago", "d:Description": "Movie review, pictures, trailer, and forum.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.hollywoodjesus.com/chicago.htm"} +{"d:Title": "MovieMartyr.com: Chicago", "d:Description": "Mixed review by Jeremy Heilman.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.moviemartyr.com/2002/chicago.htm"} +{"d:Title": "Filmtracks: Chicago Soundtrack", "d:Description": "Includes soundtrack review, audio, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.filmtracks.com/titles/chicago.html"} +{"d:Title": "About.com: Chicago", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://homevideo.about.com/cs/musicals/fr/PckfhWkChicagoD.htm"} +{"d:Title": "Rotten Tomatoes: Chicago", "d:Description": "Quotes from and links to reviews, photos, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.rottentomatoes.com/m/chicago/"} +{"d:Title": "IMDb: Chicago", "d:Description": "Synopsis, cast and crew overview, user comments, links, and promotional information.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.imdb.com/title/tt0299658/"} +{"d:Title": "Chicago", "d:Description": "Official site with synopsis and links.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.miramax.com/movie/chicago/"} +{"d:Title": "Flipside Movie Emporium: Chicago", "d:Description": "Review by Rob Vaux, who calls the film an \"overwrought, irritating, empty exercise in self-importance.\"", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "http://www.flipsidearchive.com/chicago.html"} +{"d:Title": "Awesome Stories: Chicago", "d:Description": "Provides historical background for the film, with photographs of the real Roxie Hart and Velma Kelly.", "topic": "Top/Arts/Movies/Titles/C/Chicago_-_2002", "url": "https://www.awesomestories.com/asset/view/Chicago-The-Movie"} +{"d:Title": "IMDb: Chickboxin' Underground (1999)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Chickboxin'_Underground", "url": "http://www.imdb.com/title/tt0161431/"} +{"d:Title": "Jigsaw Lounge: Chico", "d:Description": "Review by Neil Young, focusing on the central character in the film.", "topic": "Top/Arts/Movies/Titles/C/Chico", "url": "http://www.jigsawlounge.co.uk/film/chico.html"} +{"d:Title": "IMDb: Chico", "d:Description": "Cast and crew details, plus users' comments and related links.", "topic": "Top/Arts/Movies/Titles/C/Chico", "url": "http://www.imdb.com/title/tt0251636/"} +{"d:Title": "The Killer Doll Factory", "d:Description": "Information and photographs about the series, with a focus on Chucky and Tiffany, telling it from their point of view in some fan fiction.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series", "url": "http://www.angelfire.com/ks/KillerDollFactory/"} +{"d:Title": "The Charles Lee Ray Page", "d:Description": "Includes pictures, information, personal reviews and news on the series.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series", "url": "http://www.angelfire.com/movies/killerdoll/chucky.html"} +{"d:Title": "Upcomin' Movie Concept: Child's Play 5", "d:Description": "A poster for the fifth installment in the series.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series", "url": "http://mcdsweet.tripod.com/chucky5.html"} +{"d:Title": "Bride of Chucky", "d:Description": "Official site with synopsis, multimedia, interactive features, and behind the scenes information.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Bride_of_Chucky", "url": "http://www.brideofchucky.com/"} +{"d:Title": "All-Reviews.com: Bride of Chucky", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Bride_of_Chucky", "url": "http://www.all-reviews.com/videos/bride-of-chucky.htm"} +{"d:Title": "IMDb - Bride of Chucky", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Bride_of_Chucky", "url": "http://www.imdb.com/title/tt0144120/"} +{"d:Title": "rogerebert.com: Child's Play", "d:Description": "\"A cheerfully energetic horror film of the slam-bang school, but slicker and more clever than most, about an evil doll named Charles Lee Ray, or 'Chucky.'\" Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Child's_Play", "url": "http://www.rogerebert.com/reviews/childs-play-1988"} +{"d:Title": "IMDb: Child's Play (1988)", "d:Description": "Cast information, synopsis, reviews, and trailer.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Child's_Play", "url": "http://www.imdb.com/title/tt0094862/"} +{"d:Title": "Child's Play 2", "d:Description": "\"An inevitable sequel that's not as good as its progenitor, but better than most movies with the numbers 2 through 8 in their titles.\" Review by Richard Harrington. [Washington Post]", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Child's_Play_2", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/childsplay2rharrington_a0aafc.htm"} +{"d:Title": "IMDb: Child's Play 2 (1990)", "d:Description": "Full cast information, synopsis, reviews, and trailer.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Child's_Play_2", "url": "http://www.imdb.com/title/tt0099253/"} +{"d:Title": "Child's Play 3", "d:Description": "\"Further proof of the principle of diminishing sequels: The original was actually quite good, the follow-up was lame and now what is hopefully the capper is DOA.\" Review by Richard Harrington. [Washington Post]", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Child's_Play_3", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/childsplay3rharrington_a0ab14.htm"} +{"d:Title": "IMDb: Child's Play 3 (1991)", "d:Description": "Cast information, reviews, synopsis, and trailer.", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Child's_Play_3", "url": "http://www.imdb.com/title/tt0103956/"} +{"d:Title": "Child's Play 3", "d:Description": "\"Wouldn't it be great if every new film was a sequel of something previous? Yeah, right...\" Review by Marc Savlov. [Austin Chronicle]", "topic": "Top/Arts/Movies/Titles/C/Child's_Play_Series/Child's_Play_3", "url": "http://www.austinchronicle.com/calendar/film/1991-09-06/139575/"} +{"d:Title": "The Children of Chabannes", "d:Description": "The official website of the award-winning feature-length documentary film, by Lisa Gossels and Dean Wetherell.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Chabannes,_The", "url": "http://www.childrenofchabannes.org/"} +{"d:Title": "IMDb: Children of Chabannes", "d:Description": "Cast, crew, summary, users' comments and ratings.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Chabannes,_The", "url": "http://www.imdb.com/title/tt0202831/"} +{"d:Title": "IMDb: Children of Men, The", "d:Description": "Features full cast and crew list, plot summary, trivia, filming locations, original literary source and message board.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Men,_The", "url": "http://www.imdb.com/title/tt0206634/"} +{"d:Title": "Children of Men Movie", "d:Description": "Provides photo gallery, interviews, related articles and links.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Men,_The", "url": "http://movies.about.com/od/childrenofmen/"} +{"d:Title": "ComingSoon.net: Children of Men", "d:Description": "Offers plot summary, production stills, cast list, screenwriters, production studio and release date.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Men,_The", "url": "http://www.comingsoon.net/movie/children-of-men-2006"} +{"d:Title": "Apple Trailers: Children of Men, The", "d:Description": "Movie trailer offered in multiple Quick Time formats.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Men,_The", "url": "http://trailers.apple.com/trailers/universal/childrenofmen/"} +{"d:Title": "About.com: Les Enfants Du Paradis", "d:Description": "Review of the Criterion DVD release of the film.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Paradise,_The", "url": "http://worldfilm.about.com/library/weekly/aapr013002.htm"} +{"d:Title": "Digitally Obsessed: Les Enfants du Paradis", "d:Description": "Review of the Criterion DVD by Debi Lee Mandel. Includes technical specification reviews and ratings.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Paradise,_The", "url": "http://www.digitallyobsessed.com/showreview.php3?ID=2960"} +{"d:Title": "Movie Habit: Children of Paradise", "d:Description": "Detailed analysis of the film by Breck Patty.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Paradise,_The", "url": "http://www.moviehabit.com/reviews/chi_bh02.shtml"} +{"d:Title": "MovieMartyr.com - Children of Paradise", "d:Description": "Review of the film by Jeremy Heilman, and some photographs.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Paradise,_The", "url": "http://www.moviemartyr.com/1945/childrenofparadise.htm"} +{"d:Title": "rogerebert.com: Children of Paradise", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Paradise,_The", "url": "http://www.rogerebert.com/reviews/great-movie-children-of-paradise-1945"} +{"d:Title": "IMDb: Les Enfants du Paradis", "d:Description": "Synopsis, cast and crew, production details, reviews, awards information, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Paradise,_The", "url": "http://www.imdb.com/title/tt0037674/combined"} +{"d:Title": "Slant Magazine: Children of Paradise", "d:Description": "A review of the film by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/C/Children_of_Paradise,_The", "url": "http://www.slantmagazine.com/film/review/children-of-paradise"} +{"d:Title": "HARO Online: The Children of the Century", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Century,_The", "url": "http://www.haro-online.com/movies/children_of_the_century.html"} +{"d:Title": "Shadows on the Wall: Les Enfants du Si\u00e8cle", "d:Description": "Film information and review by Rich Cline.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Century,_The", "url": "http://www.shadowsonthewall.co.uk/swenfsie.htm"} +{"d:Title": "BBC Films: Les Enfants du Si\u00e8cle", "d:Description": "Review of the film by Michael Thomson. Also includes cast list and general film information.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Century,_The", "url": "http://www.bbc.co.uk/films/2001/03/22/les_enfants_du_siecle_2001_review.shtml"} +{"d:Title": "Internet Movie Database: Les enfants du si\u00e8cle", "d:Description": "Plot overview, cast and crew, reviews, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Century,_The", "url": "http://www.imdb.com/title/tt0177746/"} +{"d:Title": "When Not Making Love, They Dress Fabulously", "d:Description": "A.O. Scott from the New York Times reviews the drama [free registration required to view].", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Century,_The", "url": "http://www.nytimes.com/2002/09/13/movies/film-review-when-not-making-love-they-dress-fabulously.html"} +{"d:Title": "Children of the Corn", "d:Description": "Fan page with movie reviews, downloads, pictures, chat, fan club, and polls.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series", "url": "http://www.angelfire.com/tn/cotcfanpage/"} +{"d:Title": "All-Reviews.com: Children of the Corn", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn", "url": "http://www.all-reviews.com/videos/childrenofcorn.htm"} +{"d:Title": "IMDb: Children of the Corn (1984)", "d:Description": "Contains cast information, a synopsis, a trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn", "url": "http://www.imdb.com/title/tt0087050/"} +{"d:Title": "IMDb: Children of the Corn 666: Isaac's Return (1999)", "d:Description": "Cast overview, comments, and related information.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_666_-_Isaac's_Return", "url": "http://www.imdb.com/title/tt0177625/"} +{"d:Title": "IMDb: Children of the Corn III (1994)", "d:Description": "Cast overview, comments, synopsis, and related information.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_III_-_Urban_Harvest", "url": "http://www.imdb.com/title/tt0109415/"} +{"d:Title": "Children of the Corn II: The Final Sacrifice", "d:Description": "\"One of the main arguments between horror aficionados is likely to be whether [this movie] is more stupid than its predecessor.\" Review by Richard Harrington. [Washington Post]", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_II_-_The_Final_Sacrifice", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/childrenofthecorniithefinalsacrificerharrington_a0ab5e.htm"} +{"d:Title": "Children of the Corn II: The Final Sacrifice", "d:Description": "\"A cheapjack sequel to the idiotic first film...\" Review by Chris Hicks. [Deseret News]", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_II_-_The_Final_Sacrifice", "url": "http://www.deseretnews.com/article/700000309/Children-of-the-Corn-II-The-Final-Sacrifice.html"} +{"d:Title": "IMDb: Children of the Corn II: The Final Sacrifice (1993)", "d:Description": "Cast information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_II_-_The_Final_Sacrifice", "url": "http://www.imdb.com/title/tt0106557/"} +{"d:Title": "The Austin Chronicle Movie Guide: Children Of The Corn Ii: The Final Sacrifice", "d:Description": "\"'Based on a short story by Stephen King', Corn II drags that poor pop-culture stylist's already tarnished name through the mud and gore once again.\" Review by Marc Savlov. [Austin Chronicle]", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_II_-_The_Final_Sacrifice", "url": "http://www.austinchronicle.com/calendar/film/1993-02-05/139296/"} +{"d:Title": "IMDb: Children of the Corn IV: The Gathering (1996)", "d:Description": "Cast information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_IV_-_The_Gathering", "url": "http://www.imdb.com/title/tt0115885/"} +{"d:Title": "IMDb: Children of the Corn VII: Revelation (2001)", "d:Description": "Cast information, comments, and related details.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_VII_-_Revelation", "url": "http://www.imdb.com/title/tt0279730/"} +{"d:Title": "IMDb: Children of the Corn V: Fields of Terror (1998)", "d:Description": "Cast information, comments, and related details.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Corn_Series/Children_of_the_Corn_V_-_Fields_of_Terror", "url": "http://www.imdb.com/title/tt0150111/"} +{"d:Title": "IMDb: Children of the Revolution (1999)", "d:Description": "Cast and crew details, plus users' ratings.", "topic": "Top/Arts/Movies/Titles/C/Children_of_the_Revolution", "url": "http://www.imdb.com/title/tt0159352/"} +{"d:Title": "From Toronto with Love: A Child Is Waiting", "d:Description": "Detailed synopsis from the New York Times.", "topic": "Top/Arts/Movies/Titles/C/Child_Is_Waiting,_A", "url": "http://www.toronto.hm/a_child_is_waiting.html"} +{"d:Title": "Internet Movie Database: Child Is Waiting, A", "d:Description": "Synopsis, cast and crew, production information, user comments and ratings, and release details.", "topic": "Top/Arts/Movies/Titles/C/Child_Is_Waiting,_A", "url": "http://imdb.com/title/tt0056930/"} +{"d:Title": "Judy Garland Database: A Child Is Waiting", "d:Description": "Synopsis, photographs, cast and crew, quotes from critics, and trivia.", "topic": "Top/Arts/Movies/Titles/C/Child_Is_Waiting,_A", "url": "http://www.jgdb.com/child.htm"} +{"d:Title": "Turner Classic Movies: A Child is Waiting", "d:Description": "Synopsis, full cast and crew, soundtrack details, information about the screenplay and the film's original print, and an analytical article by Jeff Stafford.", "topic": "Top/Arts/Movies/Titles/C/Child_Is_Waiting,_A", "url": "http://www.tcm.com/tcmdb/title/17490/A-Child-Is-Waiting/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Includes reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/C/Chill_Factor", "url": "http://www.rottentomatoes.com/m/chill_factor/"} +{"d:Title": "Box Office Mojo: Chill Factor", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/C/Chill_Factor", "url": "http://www.boxofficemojo.com/movies/?id=chillfactor.htm"} +{"d:Title": "IMDb - Chill Factor (1999)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Chill_Factor", "url": "http://www.imdb.com/title/tt0163579/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Chill_Factor", "url": "http://www.metacritic.com/movie/chill-factor"} +{"d:Title": "Nixflix: Chilsu and Mansu", "d:Description": "Review and cast information.", "topic": "Top/Arts/Movies/Titles/C/Chilsu_and_Mansu", "url": "http://www.beyondhollywood.com/chilsu-and-mansu-1994-movie-review/"} +{"d:Title": "Greatest Films: Chinatown", "d:Description": "Includes a detailed review, a synopsis, and a discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/C/Chinatown", "url": "http://www.filmsite.org/chin.html"} +{"d:Title": "All-Reviews: Chinatown", "d:Description": "Various people give reviews.", "topic": "Top/Arts/Movies/Titles/C/Chinatown", "url": "http://all-reviews.com/videos-4/chinatown.htm"} +{"d:Title": "A Story is a Promise: Chinatown", "d:Description": "Bill Johnson explores the structure of the film, exposing principles of storytelling.", "topic": "Top/Arts/Movies/Titles/C/Chinatown", "url": "http://www.storyispromise.com/wchina1.htm"} +{"d:Title": "TV Guide: Chinatown", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Chinatown", "url": "http://www.tvguide.com/movies/chinatown/110828/"} +{"d:Title": "All-Reviews.com - China Moon", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/China_Moon", "url": "http://www.all-reviews.com/videos-3/china-moon.htm"} +{"d:Title": "Rottentomatoes: The China Syndrome", "d:Description": "Reviews from the critics and audiences, plot synopsis, cast, crew, tidbits, and rating information.", "topic": "Top/Arts/Movies/Titles/C/China_Syndrome,_The", "url": "http://www.rottentomatoes.com/m/china_syndrome/"} +{"d:Title": "IMDb: China Syndrome, The", "d:Description": "Provides information about the cast and crew from this 1979 film about a reporter discovering a hazard cover up at a nuclear power plant.", "topic": "Top/Arts/Movies/Titles/C/China_Syndrome,_The", "url": "http://www.imdb.com/title/tt0078966/"} +{"d:Title": "IMDb: Chinese Box (1997)", "d:Description": "Cast and crew information, synopsis, trailer, and user reviews.", "topic": "Top/Arts/Movies/Titles/C/Chinese_Box", "url": "http://www.imdb.com/title/tt0118851/"} +{"d:Title": "TV Guide: The Chinese Box", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/C/Chinese_Box", "url": "http://www.tvguide.com/movies/chinese-box/133215/"} +{"d:Title": "Internet Movie Database: Tian xia wu shuang (2002)", "d:Description": "Synopsis, cast and crew, user comments and ratings, awards, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/C/Chinese_Odyssey_2002", "url": "http://imdb.com/title/tt0311913/"} +{"d:Title": "IMDb: Chitty Chitty Bang Bang", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Chitty_Chitty_Bang_Bang", "url": "http://www.imdb.com/title/tt0062803/"} +{"d:Title": "Allreaders: Chitty Chitty Bang Bang", "d:Description": "Synopsis and plot analysis.", "topic": "Top/Arts/Movies/Titles/C/Chitty_Chitty_Bang_Bang", "url": "http://allreaders.com/movie-review-summary/chitty-chitty-bang-bang-4308"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Chocolat", "url": "http://www.haro-online.com/movies/chocolat.html"} +{"d:Title": "All-Reviews.com- Chocolat", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Chocolat", "url": "http://www.all-reviews.com/videos-2/chocolat.htm"} +{"d:Title": "Movieweb: Chocolat", "d:Description": "Includes a plot synopsis and stills from the movie Chocolat.", "topic": "Top/Arts/Movies/Titles/C/Chocolat", "url": "http://movieweb.com/movie/chocolat/"} +{"d:Title": "About.com Home Video/DVD Review of Chocolat", "d:Description": "Ivana Redwine reviews the DVD.", "topic": "Top/Arts/Movies/Titles/C/Chocolat", "url": "http://homevideo.about.com/library/weekly/aa082901a.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. Information, news, and photographs are included.", "topic": "Top/Arts/Movies/Titles/C/Chocolat", "url": "http://www.rottentomatoes.com/m/1103080-chocolat/"} +{"d:Title": "AllReaders.com: Chocolat", "d:Description": "Synopsis and plot analysis.", "topic": "Top/Arts/Movies/Titles/C/Chocolat", "url": "http://allreaders.com/movie-review-summary/chocolat-3940"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Chocolat", "url": "http://www.metacritic.com/movie/chocolat"} +{"d:Title": "HARO Online", "d:Description": "Short review of the Australian film \"Chopper.\"", "topic": "Top/Arts/Movies/Titles/C/Chopper", "url": "http://www.haro-online.com/movies/chopper.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Chopper", "url": "http://www.metacritic.com/movie/chopper"} +{"d:Title": "IMDb: A Chorus Line", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Chorus_Line,_A", "url": "http://www.imdb.com/title/tt0088915/"} +{"d:Title": "Allreaders: A Chorus Line", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/C/Chorus_Line,_A", "url": "http://allreaders.com/movie-review-summary/chorus-line-6741"} +{"d:Title": "IMDb - Chosen, The (1981)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Chosen,_The_-_1981", "url": "http://www.imdb.com/title/tt0082175/combined"} +{"d:Title": "Don Ignacio's Movie Reviews - Chosen, The", "d:Description": "Review by Michael Lawrence (positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Chosen,_The_-_1981", "url": "http://donignacio.tripod.com/movchosen.html"} +{"d:Title": "TV Guide - Chosen, The", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Chosen,_The_-_1981", "url": "http://www.tvguide.com/movies/chosen/110860/"} +{"d:Title": "Aaron Kahlenberg's Christine Story", "d:Description": "How the webmaster found a junkyard full of 1958 Plymouths used in the movie.", "topic": "Top/Arts/Movies/Titles/C/Christine", "url": "http://www.forwardlook.net/features/billandeds.htm"} +{"d:Title": "IMDB: Christine (1983)", "d:Description": "Includes a review, and cast information.", "topic": "Top/Arts/Movies/Titles/C/Christine", "url": "http://www.imdb.com/title/tt0085333/"} +{"d:Title": "IMDb: A Christmas Carol (1984)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Carol,_A_-_1984", "url": "http://www.imdb.com/title/tt0087056/"} +{"d:Title": "Allreaders: A Christmas Carol", "d:Description": "Detailed analysis of the film and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Carol,_A_-_1984", "url": "http://allreaders.com/movie-review-summary/christmas-carol-1984-3717"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Story,_A", "url": "http://crazy4cinema.com/Review/FilmsC/f_xmas_story.html"} +{"d:Title": "Movie Mistakes: A Christmas Story", "d:Description": "Production blunders from the film as submitted by viewers.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Story,_A", "url": "http://www.moviemistakes.com/film268"} +{"d:Title": "A Christmas Story House Gift Shop", "d:Description": "Company designs unique lamps from mannequin legs made popular in the movie.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Story,_A", "url": "http://www.redriderleglamps.com/"} +{"d:Title": "IMDb: A Christmas Story (1983)", "d:Description": "Cast and crew information, user reviews, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Story,_A", "url": "http://www.imdb.com/title/tt0085334/"} +{"d:Title": "Allreaders: A Christmas Story", "d:Description": "Detailed analysis of the film and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Story,_A", "url": "http://allreaders.com/movie-review-summary/christmas-story-3857"} +{"d:Title": "Los Angeles Times: A Christmas Tale", "d:Description": "Review, by Kenneth Turan: \"What results is a captivating portrait of the most gorgeously fractious dysfunctional family.\"", "topic": "Top/Arts/Movies/Titles/C/Christmas_Tale,_A", "url": "http://www.latimes.com/entertainment/news/reviews/la-et-christmas14-2008nov14,0,6741239.story"} +{"d:Title": "New York Post: A Christmas Tale", "d:Description": "Review, by Lou Lumenick: \"Darkly hilarious, brilliantly acted.\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/C/Christmas_Tale,_A", "url": "http://www.nypost.com/seven/11142008/entertainment/movies/family__friends_or_faux_138565.htm"} +{"d:Title": "Rotten Tomatoes: A Christmas Tale", "d:Description": "Synopsis, cast members, showtimes, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Tale,_A", "url": "http://www.rottentomatoes.com/m/10009929-a_christmas_tale/"} +{"d:Title": "USA Today: A Christmas Tale", "d:Description": "Review, by Claudia Puig: \"If feuds, drunken outbursts and thoughtless bed-hopping sound like fun, then A Christmas Tale is a hoot. Some wry humor runs through the course of the overly long saga. But there's not enough dark wit to mitigate the tedium and pretentiousness.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/C/Christmas_Tale,_A", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-11-13-christmas-tale_N.htm"} +{"d:Title": "Christmas Vacation (1989)", "d:Description": "Review, cast and crew information, and plot summary at the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Vacation", "url": "http://www.imdb.com/title/tt0097958/"} +{"d:Title": "The Flick Filosopher", "d:Description": "Review, plot summary, and a little trivia on the movie.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Vacation", "url": "http://www.flickfilosopher.com/1999/12/national-lampoons-christmas-vacation-review.html"} +{"d:Title": "Warner Home Video: National Lampoon's Christmas Vacation", "d:Description": "Official DVD site with synopsis, pictures, and forum.", "topic": "Top/Arts/Movies/Titles/C/Christmas_Vacation", "url": "http://www.warnerbros.com/national-lampoons-christmas-vacation/"} +{"d:Title": "'Chronicles of Narnia'", "d:Description": "Announcement about the planned movie.", "topic": "Top/Arts/Movies/Titles/C/Chronicles_of_Narnia,_The", "url": "http://www.cnn.com/2004/SHOWBIZ/Movies/03/02/film.narnia.reut/"} +{"d:Title": "NarniaWeb", "d:Description": "Features current news about the movie includes casting, dates, FAQ, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Chronicles_of_Narnia,_The", "url": "http://www.narniaweb.com/"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Chuck_and_Buck", "url": "http://www.all-reviews.com/videos-3/chuck-and-buck.htm"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Chuck_and_Buck", "url": "http://www.haro-online.com/movies/chuck_and_buck.html"} +{"d:Title": "Rotten Tomatoes: Chuck and Buck (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photographs, and synopsis are included.", "topic": "Top/Arts/Movies/Titles/C/Chuck_and_Buck", "url": "http://www.rottentomatoes.com/m/chuck_and_buck/"} +{"d:Title": "IMDb: Chuck and Buck (2000)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Chuck_and_Buck", "url": "http://www.imdb.com/title/tt0200530/"} +{"d:Title": "Metacritic.com: Chuck and Buck", "d:Description": "Links to reviews by critics of US publications, plus users' comments.", "topic": "Top/Arts/Movies/Titles/C/Chuck_and_Buck", "url": "http://www.metacritic.com/movie/chuck-buck"} +{"d:Title": "Chump Change", "d:Description": "Official site with synopsis, production information, cast and crew biographies, and links.", "topic": "Top/Arts/Movies/Titles/C/Chump_Change", "url": "http://www.chumpchangethemovie.com/"} +{"d:Title": "IMDb: Chump Change (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Chump_Change", "url": "http://www.imdb.com/title/tt0208050/"} +{"d:Title": "Rotten Tomatoes: Chump Change", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/C/Chump_Change", "url": "http://www.rottentomatoes.com/m/chump_change/"} +{"d:Title": "PopMatters: Chutney Popcorn", "d:Description": "Review of the film by Natalie Porter.", "topic": "Top/Arts/Movies/Titles/C/Chutney_Popcorn", "url": "http://popmatters.com/film/reviews/c/chutney-popcorn.html"} +{"d:Title": "Don't Pigeonhole My Film: Nisha Ganatra [Rediff On The NeT]", "d:Description": "Profile of director Nisha Ganatra, and the making and marketing of the film.", "topic": "Top/Arts/Movies/Titles/C/Chutney_Popcorn", "url": "http://www.rediff.com/news/1999/jun/05us1.htm"} +{"d:Title": "Chutney Popcorn", "d:Description": "Official site with plot and character information.", "priority": "1", "topic": "Top/Arts/Movies/Titles/C/Chutney_Popcorn", "url": "http://www.aczoom.com/nisha/chutneypopcorn/"} +{"d:Title": "IMDb- Chutney Popcorn (1999)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Chutney_Popcorn", "url": "http://www.imdb.com/title/tt0126240/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Chutney_Popcorn", "url": "http://www.metacritic.com/movie/chutney-popcorn"} +{"d:Title": "IMDb - Cicadas (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Cicadas", "url": "http://www.imdb.com/title/tt0212873/"} +{"d:Title": "HARO Online - The Cider House Rules", "d:Description": "Favorable review of the film.", "topic": "Top/Arts/Movies/Titles/C/Cider_House_Rules,_The", "url": "http://www.haro-online.com/movies/cider_house_rules.html"} +{"d:Title": "All-Reviews.com - The Cider House Rules", "d:Description": "A collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cider_House_Rules,_The", "url": "http://www.all-reviews.com/videos/cider-house-rules.htm"} +{"d:Title": "Filmtracks: The Cider House Rules", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Cider_House_Rules,_The", "url": "http://www.filmtracks.com/titles/cider_house.html"} +{"d:Title": "IMDb: The Cider House Rules", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Cider_House_Rules,_The", "url": "http://www.imdb.com/title/tt0124315/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cider_House_Rules,_The", "url": "http://www.metacritic.com/movie/the-cider-house-rules"} +{"d:Title": "Allreaders.com Review: Cidar House Rules", "d:Description": "Detailed analysis of the film starring Michael Caine and Charlize Theron, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cider_House_Rules,_The", "url": "http://allreaders.com/movie-review-summary/the-cider-house-rules-3742"} +{"d:Title": "IMDb: Cimarron", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/C/Cimarron_-_1931", "url": "http://www.imdb.com/title/tt0021746/"} +{"d:Title": "Internet Movie Database: Cinderella (1957) (TV)", "d:Description": "Cast and crew listing, technical details, user comments and list of songs.", "topic": "Top/Arts/Movies/Titles/C/Cinderella_-_1957", "url": "http://www.imdb.com/title/tt0129672/"} +{"d:Title": "Internet Movie Database: Cinderella", "d:Description": "Synopsis, cast and crew, production information, user comments and ratings, quotations, soundtrack listing, release details, and links.", "topic": "Top/Arts/Movies/Titles/C/Cinderella_-_1997", "url": "http://imdb.com/title/tt0128996/"} +{"d:Title": "Allreaders.com: Cinderella (1997)", "d:Description": "Description of the film's plot and characters.", "topic": "Top/Arts/Movies/Titles/C/Cinderella_-_1997", "url": "http://allreaders.com/movie-review-summary/cinderella-1997-18784"} +{"d:Title": "Rita Kempley: Cinema Paradiso", "d:Description": "Full length review of the warm remembrance of a childhood at the movies.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/cinemaparadisonrkempley_a0c991.htm"} +{"d:Title": "Desson Howe: Cinema Paradiso", "d:Description": "Review suggests that this may be the quintessential Italian movie.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/cinemaparadisonrhowe_a0b255.htm"} +{"d:Title": "Hollywood Bitchslap: Cinema Paradiso", "d:Description": "Review. Rating by site users. Warning: gratuitous vulgar language on site.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.hollywoodbitchslap.com/review.php?movie=1267"} +{"d:Title": "FireBlade Coffeehouse: Cinema Paradiso", "d:Description": "Review of the DVD release. \"One of the best Italian films of the last two decades.\" Rated for the movie itself, transfer quality, and features.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.hoboes.com/html/FireBlade/Movies/CinemaParadiso.shtml"} +{"d:Title": "MovieMartyr.com: Cinema Paradiso", "d:Description": "Review pans this manipulative Italian tearjerker.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.moviemartyr.com/1988/cinemaparadiso.htm"} +{"d:Title": "Jonathan Rosenbaum: Cinema Paradiso", "d:Description": "Capsule review calls this nostalgic film overly long, \"a bit like life but much less interesting.\"", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://onfilm.chicagoreader.com/movies/capsules/1937_CINEMA_PARADISO"} +{"d:Title": "J.R. Jones: Cinema Paradiso: The New Version", "d:Description": "\"Cinema Paradiso\" has a subplot about the village priest censoring movies. Ironically, it was a bowdlerized version of this film which won awards. Review says that the restored version enhances the film.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://onfilm.chicagoreader.com/movies/capsules/22084_CINEMA_PARADISO_THE_NEW_VERSION"} +{"d:Title": "Deseret News: Cinema Paradiso", "d:Description": "Review of the film by Chris Hicks. \"...an enchanting fantasy that should happily spirit away even the toughest cynics.\"", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.deseretnews.com/article/700000318/Cinema-Paradiso.html"} +{"d:Title": "Cinema Paradiso distinguished by Superb Acting", "d:Description": "Positive review of the film, honored at Cannes.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://tech.mit.edu/V110/N5/paradi.05a.html"} +{"d:Title": "AllReaders.com: Cinema Paradiso", "d:Description": "Three very brief reviews.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://allreaders.com/movie-review-summary/cinema-paradiso-4136"} +{"d:Title": "Chicago Sun-Times: Cinema Paradiso", "d:Description": "Full-length review by Roger Ebert. Rates the film 3.5/4.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.rogerebert.com/reviews/cinema-paradiso-1990"} +{"d:Title": "Film Monthly: Cinema Paradiso (1988)", "d:Description": "Very positive review. Includes three color stills.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.filmmonthly.com/video_and_dvd/cinema_paradiso.html"} +{"d:Title": "The Onion A.V. Club: Cinema Paradiso", "d:Description": "Review by Nathan Rabin. \"...seems intent on charming viewers into submission, but after a while all the wonder, awe, and movie magic become deadening.\"", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.avclub.com/review/cinema-paradiso-17269"} +{"d:Title": "TV Guide: Cinema Paradiso", "d:Description": "Negative review. Cast and crew. Rated 2.5/5.", "topic": "Top/Arts/Movies/Titles/C/Cinema_Paradiso", "url": "http://www.tvguide.com/movies/cinema-paradiso/127867/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"The Circle.\"", "topic": "Top/Arts/Movies/Titles/C/Circle,_The", "url": "http://www.haro-online.com/movies/circle.html"} +{"d:Title": "The Circle: Why Are These Women Escaping?", "d:Description": "Review by Joanne Laurier of The Circle, a film by Iranian director Jafar Panahi.", "topic": "Top/Arts/Movies/Titles/C/Circle,_The", "url": "http://www.wsws.org/en/articles/2000/10/tff3-o02.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Circle,_The", "url": "http://www.metacritic.com/movie/the-circle"} +{"d:Title": "All-Reviews.com - Circle of Friends", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Circle_of_Friends", "url": "http://www.all-reviews.com/videos-2/circle-of-friends.htm"} +{"d:Title": "IMDb: Circle of Friends", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Circle_of_Friends", "url": "http://www.imdb.com/title/tt0112679/"} +{"d:Title": "TV Guide: Circle of Friends", "d:Description": "Review of the film, with complete cast and credits.", "topic": "Top/Arts/Movies/Titles/C/Circle_of_Friends", "url": "http://www.tvguide.com/movies/circle-friends/130483/"} +{"d:Title": "Metacritic.com: The Circuit", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Circuit,_The", "url": "http://www.metacritic.com/movie/circuit"} +{"d:Title": "Big Movie Zone: Cirque du Soleil: Journey of Man", "d:Description": "Film information, video clips, reviews and worldwide theater listings.", "topic": "Top/Arts/Movies/Titles/C/Cirque_du_Soleil_-_Journey_of_Man", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=11"} +{"d:Title": "Chicago Reader: Citizen Hong Kong", "d:Description": "Brief review/synopsis by Ted Shen.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Hong_Kong", "url": "http://onfilm.chicagoreader.com/movies/capsules/18419_CITIZEN_HONG_KONG.html"} +{"d:Title": "IMDb: Citizen Hong Kong (1999)", "d:Description": "Cast, crew, summary and users' ratings.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Hong_Kong", "url": "http://www.imdb.com/title/tt0210615/"} +{"d:Title": "Greatest FilmsCitizen Kane", "d:Description": "Includes several detailed reviews, a synopsis and a discussion of thematic elements in the film. Also includes a comparison between Kane and Randolph Hearst, the alleged inspiration for the character.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.filmsite.org/citi.html"} +{"d:Title": "All-Reviews.com: Citizen Kane", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.all-reviews.com/videos/citizen-kane.htm"} +{"d:Title": "About.com Review", "d:Description": "Review of the Special Edition DVD by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://homevideo.about.com/library/weekly/aa101001a.htm"} +{"d:Title": "Ben Guaraldi: Citizen Kane", "d:Description": "Some observations about the movie and its impact.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.bluesock.org/~ben/writinghtml/redirect.php?q=kane"} +{"d:Title": "David Hayes", "d:Description": "Article about techniques used in Orson Welles's classic that were used previously in movies ignored by film scholars who champion 'Citizen Kane.'", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://articles.dhwritings.com/a01.html"} +{"d:Title": "Warner Home Video: Citizen Kane", "d:Description": "Features free multimedia video clips, a still photo gallery, and a discussion area.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.warnerbros.com/?page=movies&pid=f-c40383ba/CITIZEN+KANE"} +{"d:Title": "IMDb: Citizen Kane", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.imdb.com/title/tt0033467/"} +{"d:Title": "rogerebert.com: Citizen Kane", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.rogerebert.com/reviews/great-movie-citizen-kane-1941"} +{"d:Title": "The Digital Collegian: Citizen Kane", "d:Description": "Review by Nicholas Norcia and Reid Coploff.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.collegian.psu.edu/arts_and_entertainment/article_fd274d04-b3c0-55b8-9cc0-9cac4dece55c.html"} +{"d:Title": "TV Guide: Citizen Kane", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Citizen_Kane", "url": "http://www.tvguide.com/movies/citizen-kane/110934/"} +{"d:Title": "IMDb - City Across the River (1949)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/City_Across_the_River", "url": "http://www.imdb.com/title/tt0041251/"} +{"d:Title": "Ozus' World Movie Reviews - City Across the River", "d:Description": "Review by Dennis Schwartz (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/C/City_Across_the_River", "url": "http://homepages.sover.net/~ozus/cityacrosstheriver.htm"} +{"d:Title": "TV Guide - City Across the River", "d:Description": "Unsigned review (positive) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/C/City_Across_the_River", "url": "http://www.tvguide.com/movies/city-river/110937/"} +{"d:Title": "About.com: City by the Sea", "d:Description": "Interviews, production photographs, review by Rebecca Murray, and links.", "topic": "Top/Arts/Movies/Titles/C/City_by_the_Sea", "url": "http://romanticmovies.about.com/cs/citybythesea/"} +{"d:Title": "HARO Online: City by the Sea", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/C/City_by_the_Sea", "url": "http://www.haro-online.com/movies/city_by_the_sea.html"} +{"d:Title": "All-Reviews.com: City by the Sea", "d:Description": "Reviews of the film by several contributors.", "topic": "Top/Arts/Movies/Titles/C/City_by_the_Sea", "url": "http://www.all-reviews.com/videos-4/city-by-the-sea.htm"} +{"d:Title": "IMDb: City by the Sea", "d:Description": "Cast and crew, synopsis, reviews, bloopers, promotional information, production details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/C/City_by_the_Sea", "url": "http://www.imdb.com/title/tt0269095/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/City_Hall", "url": "http://www.all-reviews.com/videos-2/city-hall.htm"} +{"d:Title": "Greatest Films: City Lights", "d:Description": "Includes a review, a detailed synopsis, and a discussion of thematic elements in the film.", "priority": "1", "topic": "Top/Arts/Movies/Titles/C/City_Lights", "url": "http://www.filmsite.org/city.html"} +{"d:Title": "IMDb: City Lights", "d:Description": "Includes cast and crew credits as well as reader comments.", "topic": "Top/Arts/Movies/Titles/C/City_Lights", "url": "http://www.imdb.com/title/tt0021749/"} +{"d:Title": "rogerebert.com: City Lights", "d:Description": "A review of the film by critic Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/City_Lights", "url": "http://www.rogerebert.com/reviews/city-lights-1931"} +{"d:Title": "Allreaders.com Review: City Lights", "d:Description": "Detailed analysis of the Charlie Chaplan film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/City_Lights", "url": "http://allreaders.com/movie-review-summary/city-lights-3615"} +{"d:Title": "TV Guide: City Lights", "d:Description": "Lengthy positive review of the film with detailed synopsis information.", "topic": "Top/Arts/Movies/Titles/C/City_Lights", "url": "http://www.tvguide.com/movies/city-lights/132135/"} +{"d:Title": "All-Reviews.com: City of Angels", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/City_of_Angels", "url": "http://www.all-reviews.com/videos/city-of-angels.htm"} +{"d:Title": "PopMatters: City of Angels", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/C/City_of_Angels", "url": "http://popmatters.com/tv/reviews/c/city-of-angels2.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/City_of_Angels", "url": "http://crazy4cinema.com/Review/FilmsC/f_city_angels.html"} +{"d:Title": "Krysanthemum's City Of Angels", "d:Description": "Script extracts, pictures and multimedia clips.", "topic": "Top/Arts/Movies/Titles/C/City_of_Angels", "url": "http://www.angelfire.com/la/krysan02/index.html"} +{"d:Title": "Blondiee10's City of Angels Page", "d:Description": "Lyrics from the soundtrack and photos.", "topic": "Top/Arts/Movies/Titles/C/City_of_Angels", "url": "http://cityofangels10.tripod.com/cityofangels.html"} +{"d:Title": "IMDb: City of Angels (1998)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/City_of_Angels", "url": "http://www.imdb.com/title/tt0120632/"} +{"d:Title": "Allreaders.com Review: City of Angels", "d:Description": "Detailed analysis of the film starring Meg Ryan and Nicolas Cage, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/City_of_Angels", "url": "http://allreaders.com/movie-review-summary/city-of-angels-3999"} +{"d:Title": "Rotten Tomatoes: City of Ghosts", "d:Description": "Contains reviews, synopsis, trailer, image gallery, news and articles.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts", "url": "http://www.rottentomatoes.com/m/city_of_ghosts/"} +{"d:Title": "Internet Movie Database: City of Ghosts", "d:Description": "Contains reviews, plot summary, trailer and image gallery.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts", "url": "http://www.imdb.com/title/tt0164003/"} +{"d:Title": "blackfilm.com: City of Ghosts", "d:Description": "Review by Wilson Morales.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://blackfilm.com/20030425/reviews/cityofghosts.shtml"} +{"d:Title": "Hollywood Bitchslap: City of Ghosts", "d:Description": "Review by Matt Mulcahey.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://hollywoodbitchslap.com/review.php?movie=7566"} +{"d:Title": "AboutFilm.com: City of Ghosts", "d:Description": "Review and rating by Carlo Cavagna.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.aboutfilm.com/movies/c/cityofghosts.htm"} +{"d:Title": "Blunt Review: City of Ghosts", "d:Description": "Review and rating by Emily Blunt.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.bluntreview.com/reviews/cog.htm"} +{"d:Title": "Current Film: City of Ghosts", "d:Description": "Review and rating by Aaron Beierle.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.currentfilm.com/dvdreviews5/cityofghostsdvd.html"} +{"d:Title": "Dvdfuture.com: City of Ghosts", "d:Description": "DVD review by George Castillo.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.dvdfuture.com/review.php?id=515"} +{"d:Title": "Offoffoff.com: City of Ghosts", "d:Description": "Review by Mary Beth Barber.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.offoffoff.com/film/2003/cityofghosts.php"} +{"d:Title": "Kids-in-Mind: City of Ghosts", "d:Description": "An analysis of the movie's suitability for children.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.kids-in-mind.com/C/cityofghosts.htm"} +{"d:Title": "Screen It Entertainment Reviews: City of Ghosts", "d:Description": "An evaluation of the movie's suitability for children.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.screenit.com/movies/2003/city_of_ghosts.html"} +{"d:Title": "Reel Film Reviews: City of Ghosts", "d:Description": "Review by David Nusair with 1.5/4 rating.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.reelfilm.com/cityghst.htm"} +{"d:Title": "Nitrate Online: City of Ghosts", "d:Description": "Review by Nicholas Schager.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.nitrateonline.com/2003/rghosts.html"} +{"d:Title": "Deseret News: City of Ghosts", "d:Description": "Review and rating by Jeff Vice.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.deseretnews.com/article/700003263/City-of-Ghosts.html"} +{"d:Title": "Seattle Post-Intelligencer: City of Ghosts", "d:Description": "Review by Sean Axmaker.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.seattlepi.com/ae/movies/article/Atmosphere-helps-Ghosts-rise-above-its-familiar-1114271.php"} +{"d:Title": "Chicago Sun-Times: City of Ghosts", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.rogerebert.com/reviews/city-of-ghosts-2003"} +{"d:Title": "San Francisco Chronicle: City of Ghosts", "d:Description": "Review by Mick LaSalle.", "topic": "Top/Arts/Movies/Titles/C/City_of_Ghosts/Reviews", "url": "http://www.sfgate.com/movies/article/Dillon-takes-plunge-into-gritty-caper-Odd-2617843.php"} +{"d:Title": "All-Reviews.com - City of God", "d:Description": "Reader-submitted reivews, ratings.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://all-reviews.com/videos-5/city-of-god.htm"} +{"d:Title": "HARO Online - City of God", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://www.haro-online.com/movies/city_of_god.html"} +{"d:Title": "Rotten Tomatoes: City of God", "d:Description": "Find reviews, a plot summary, photos and trailer, forum, and related news articles.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://www.rottentomatoes.com/m/city_of_god/"} +{"d:Title": "Cidade de Deus", "d:Description": "Director's site with synopsis, production notes, cast and crew photographs, links, and a press release.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://cidadededeus.globo.com/"} +{"d:Title": "Guardian Unlimited Film: City of God (Cidade de Deus)", "d:Description": "Includes a summary of the plot, users' rating, reviews by film critics Peter Bradshaw and Philip French, as well as links to related Guardian features.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://www.theguardian.com/film/movie/94028/city.of.god"} +{"d:Title": "IMDB: City of God", "d:Description": "Provides cast and crew details, user reviews and links.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://www.imdb.com/title/tt0317248/"} +{"d:Title": "City of God", "d:Description": "Official site with synopsis, cast list, and multimedia.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://www.miramax.com/movie/city-of-god/"} +{"d:Title": "Slant Magazine : City of God", "d:Description": "Ed Gonzalez reviews the film, making comparisons with Scorsese and Tarantino and looking at the irony of the title.", "topic": "Top/Arts/Movies/Titles/C/City_of_God", "url": "http://www.slantmagazine.com/film/review/city-of-god"} +{"d:Title": "IMDb: The City of Lost Children", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/City_of_Lost_Children,_The", "url": "http://www.imdb.com/title/tt0112682/"} +{"d:Title": "The City of Lost Children", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/City_of_Lost_Children,_The", "url": "http://www.sonypictures.com/movies/thecityoflostchildren/"} +{"d:Title": "All-Reviews.com - City Slickers II", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/City_Slickers_II", "url": "http://www.all-reviews.com/videos-3/city-slickers2.htm"} +{"d:Title": "A Woburn Skeptic's Page", "d:Description": "Articles by legal commentator Walter Olson and others on how the movie A Civil Action departs from the book, and from real life.", "topic": "Top/Arts/Movies/Titles/C/Civil_Action,_A", "url": "http://walterolson.com/articles/civilact.html"} +{"d:Title": "All-Reviews.com- A Civil Action", "d:Description": "A collection of reviews and facts about the movie.", "topic": "Top/Arts/Movies/Titles/C/Civil_Action,_A", "url": "http://www.all-reviews.com/videos/a-civil-action.htm"} +{"d:Title": "IMDb: A Civil Action", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Civil_Action,_A", "url": "http://www.imdb.com/title/tt0120633/"} +{"d:Title": "TV Guide: A Civil Action", "d:Description": "Short review and cast information.", "topic": "Top/Arts/Movies/Titles/C/Civil_Action,_A", "url": "http://www.tvguide.com/movies/civil-action/133156/"} +{"d:Title": "Roger Ebert - A Civil Action", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/C/Civil_Action,_A/Reviews", "url": "http://www.rogerebert.com/reviews/a-civil-action-1999"} +{"d:Title": "HARO Online: Civil Brand", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Civil_Brand", "url": "http://www.haro-online.com/movies/civil_brand.html"} +{"d:Title": "All-Reviews.com- The Claim", "d:Description": "A collection of reviews about The Claim.", "topic": "Top/Arts/Movies/Titles/C/Claim,_The", "url": "http://www.all-reviews.com/videos-2/claim.htm"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/C/Claim,_The", "url": "http://www.haro-online.com/movies/claim.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. News, photographs, and a synopsis are included.", "topic": "Top/Arts/Movies/Titles/C/Claim,_The", "url": "http://www.rottentomatoes.com/m/claim/"} +{"d:Title": "IMDb: The Claim (2000)", "d:Description": "Cast information, plot outline, and comments.", "topic": "Top/Arts/Movies/Titles/C/Claim,_The", "url": "http://www.imdb.com/title/tt0218378/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Claim,_The", "url": "http://www.metacritic.com/movie/the-claim"} +{"d:Title": "IMDb: Clash of the Titans", "d:Description": "Biography, filmography, trailers, image gallery and news articles.", "topic": "Top/Arts/Movies/Titles/C/Clash_of_the_Titans", "url": "http://www.imdb.com/title/tt0800320/"} +{"d:Title": "Official Site: Clash of the Titans", "d:Description": "Synopsis, trailers, cast and image gallery.", "topic": "Top/Arts/Movies/Titles/C/Clash_of_the_Titans", "url": "http://www.warnerbros.com/clash-titans-2010"} +{"d:Title": "IMDb: Clay Pigeons", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Clay_Pigeons", "url": "http://www.imdb.com/title/tt0118863/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Clear_and_Present_Danger", "url": "http://www.all-reviews.com/videos-2/clear-and-present-danger.htm"} +{"d:Title": "Rotten Tomatoes: Cleopatra's Second Husband", "d:Description": "Reviews and reactions from top critics. News, trailer, photographs, and a synopsis are included.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra's_Second_Husband", "url": "http://www.rottentomatoes.com/m/cleopatras_second_husband/"} +{"d:Title": "IMDb: Cleopatra's Second Husband (1998)", "d:Description": "Cast and crew information, plot summary, and comments.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra's_Second_Husband", "url": "http://www.imdb.com/title/tt0146562/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra's_Second_Husband", "url": "http://www.metacritic.com/movie/cleopatras-second-husband"} +{"d:Title": "All-Reviews.com: Cleopatra (1963)", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra_-_1963", "url": "http://www.all-reviews.com/videos-4/cleopatra.htm"} +{"d:Title": "Filmtracks - Cleopatra (1963)", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra_-_1963", "url": "http://www.filmtracks.com/titles/cleopatra.html"} +{"d:Title": "IMDb: Cleopatra (1963)", "d:Description": "Cast and crew information, plot summary, and reviews.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra_-_1963", "url": "http://www.imdb.com/title/tt0056937/"} +{"d:Title": "AllReaders.com Review: Cleopatra", "d:Description": "Analysis of the film starring Elizabeth Taylor and Richard Burton, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra_-_1963", "url": "http://allreaders.com/movie-review-summary/cleopatra-5840"} +{"d:Title": "TV Guide: Cleopatra", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra_-_1963", "url": "http://www.tvguide.com/movies/cleopatra/111011/"} +{"d:Title": "BMN Review: Cleopatra Jones", "d:Description": "Jason Coffman reviews the film with reference to others in the genre.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra_Jones", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=08729_coffman14"} +{"d:Title": "IMDb: Cleopatra Jones", "d:Description": "Provide details of cast, crew, related links and users' comments.", "topic": "Top/Arts/Movies/Titles/C/Cleopatra_Jones", "url": "http://www.imdb.com/title/tt0069890/"} +{"d:Title": "HARO Online: Who is Cletis Tout", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Cletis_Tout", "url": "http://www.haro-online.com/movies/who_is_cletis_tout.html"} +{"d:Title": "All-Reviews.com: Who is Cletis Tout", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cletis_Tout", "url": "http://www.all-reviews.com/videos-4/who-is-cletis-tout.htm"} +{"d:Title": "IMDb: Cletis Tout (2001)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Cletis_Tout", "url": "http://www.imdb.com/title/tt0246500/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Client,_The", "url": "http://www.all-reviews.com/videos-2/the-client.htm"} +{"d:Title": "Washington Post: The Client", "d:Description": "Desson Howe's review of the film, which includes a plot synopsis.", "topic": "Top/Arts/Movies/Titles/C/Client,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theclientpg13howe_a0b079.htm"} +{"d:Title": "The Client", "d:Description": "Film review by Linda Lopez McAlister, focusing on the film's transition from a novel.", "topic": "Top/Arts/Movies/Titles/C/Client,_The", "url": "http://mith.umd.edu/WomensStudies/FilmReviews/best-of-1994-mcalister"} +{"d:Title": "Chicago Sun Times", "d:Description": "Critic Robert Ebert reviews the film concentrating on its realistic characters, but unrealist plot.", "topic": "Top/Arts/Movies/Titles/C/Client,_The", "url": "http://www.rogerebert.com/reviews/the-client-1994"} +{"d:Title": "IMDb: Cliffhanger (1993)", "d:Description": "Includes a plot outline, cast list, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cliffhanger", "url": "http://www.imdb.com/title/tt0106582/"} +{"d:Title": "Allreaders Cliffhanger Spotlight", "d:Description": "Detailed analysis of the Sylvester Stallone film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cliffhanger", "url": "http://allreaders.com/movie-review-summary/cliffhanger-3543"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Clockers", "url": "http://www.all-reviews.com/videos-2/clockers.htm"} +{"d:Title": "Clockers (1995)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Clockers", "url": "http://www.imdb.com/title/tt0112688/"} +{"d:Title": "All-Reviews.com: Clockstoppers", "d:Description": "Review by Dustin Putman with links to other reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Clockstoppers", "url": "http://www.all-reviews.com/videos-4/clockstoppers.htm"} +{"d:Title": "HARO Online: Clockstoppers", "d:Description": "Review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/C/Clockstoppers", "url": "http://www.haro-online.com/movies/clockstoppers.html"} +{"d:Title": "IMDb: Clockstoppers (2002)", "d:Description": "Cast and crew, production details, reviews, user comments and ratings, synopsis, trivia, mistakes, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/C/Clockstoppers", "url": "http://www.imdb.com/title/tt0157472/"} +{"d:Title": "Contactmusic.com: Clockstoppers", "d:Description": "Features production notes and pictures.", "topic": "Top/Arts/Movies/Titles/C/Clockstoppers", "url": "http://www.contactmusic.com/pages/clockstoppersx26x09x02"} +{"d:Title": "Metacritic.com: Clockstoppers", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Clockstoppers", "url": "http://www.metacritic.com/movie/clockstoppers"} +{"d:Title": "All-Reviews.com - Clockwatchers", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Clockwatchers", "url": "http://www.all-reviews.com/videos-3/clockwatchers.htm"} +{"d:Title": "Greatest Films: A Clockwork Orange", "d:Description": "Includes a detailed review, a synopsis, and a discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://www.filmsite.org/cloc.html"} +{"d:Title": "The Clockwork Orange Galleries", "d:Description": "Includes an image gallery and links.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://members.tripod.com/jack_lee23/"} +{"d:Title": "Tony's Tribute", "d:Description": "Includes a picture gallery and opinions.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://shaft.tripod.com/"} +{"d:Title": "Tabula Rasa: The Clockwork Orange Files", "d:Description": "A compilation of newspaper clippings about A Clockwork Orange, including Stanley Kubrick interviews and the censorship debate.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://www.tabula-rasa.info/Horror/ClockworkOrangeFiles.html"} +{"d:Title": "All-Reviews: A Clockwork Orange", "d:Description": "Reviews by various critics.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://www.all-reviews.com/videos-4/clockwork-orange.htm"} +{"d:Title": "The 70s Movies Rewind: A Clockwork Orange", "d:Description": "Synopsis, review, trivia, filming locations, pictures, soundtrack listing, and DVD details.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://70s.fast-rewind.com/clockworkorange.htm"} +{"d:Title": "IMDb- A Clockwork Orange (1971)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://www.imdb.com/title/tt0066921/combined"} +{"d:Title": "AllReaders.com Review - A Clockwork Orange", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://allreaders.com/movie-review-summary/clockwork-orange-7031"} +{"d:Title": "TV Guide: A Clockwork Orange", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/C/Clockwork_Orange,_A", "url": "http://www.tvguide.com/movies/clockwork-orange/111029/"} +{"d:Title": "Sony Pictures - Closer", "d:Description": "Official site includes synopsis, cast and crew profiles, trailer, photos, and production notes. [Flash required]", "topic": "Top/Arts/Movies/Titles/C/Closer", "url": "http://sonypictures.com/movies/closer/"} +{"d:Title": "About.com:Closer", "d:Description": "Articles, cast interviews, and pictures.", "topic": "Top/Arts/Movies/Titles/C/Closer", "url": "http://movies.about.com/od/closer/"} +{"d:Title": "Closer", "d:Description": "Review and 2 photos by Haro Online.", "topic": "Top/Arts/Movies/Titles/C/Closer", "url": "http://www.haro-online.com/movies/closer.html"} +{"d:Title": "All-Reviews.com: Closer", "d:Description": "Review by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/C/Closer", "url": "http://www.all-reviews.com/videos-5/closer.htm"} +{"d:Title": "Roger Ebert Review: Closer", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Closer", "url": "http://www.rogerebert.com/reviews/closer-2004"} +{"d:Title": "Future Movies: Closer", "d:Description": "Negative review including photographs.", "topic": "Top/Arts/Movies/Titles/C/Closer", "url": "https://www.futuremovies.co.uk/reviews/closer/johan-de-silva"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"The Closet.\"", "topic": "Top/Arts/Movies/Titles/C/Closet,_The", "url": "http://www.haro-online.com/movies/closet.html"} +{"d:Title": "Rochester Goes Out: The Closet", "d:Description": "Offers a review of the film with a critique feature.", "topic": "Top/Arts/Movies/Titles/C/Closet,_The", "url": "http://www.rochestergoesout.com/mov/c/closet.html"} +{"d:Title": "Metacritic.com - The Closet", "d:Description": "Links to reviews for the film.", "topic": "Top/Arts/Movies/Titles/C/Closet,_The", "url": "http://www.metacritic.com/movie/the-closet"} +{"d:Title": "HARO Online: Close Call", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Close_Call", "url": "http://www.haro-online.com/movies/close_call.html"} +{"d:Title": "IMDb: Close Call (2004)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Close_Call", "url": "http://www.imdb.com/title/tt0267379/"} +{"d:Title": "Rotten Tomatoes: Close Call", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/C/Close_Call", "url": "http://www.rottentomatoes.com/m/close_call/"} +{"d:Title": "Greatest Films: Close Encounters Of The Third Kind", "d:Description": "Includes a detailed review, a synopsis, and a discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/C/Close_Encounters_of_the_Third_Kind", "url": "http://www.filmsite.org/clos.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Close_Encounters_of_the_Third_Kind", "url": "http://crazy4cinema.com/Review/FilmsC/f_close_encounters.html"} +{"d:Title": "All-Reviews.com: Close Encounters of the Third Kind", "d:Description": "Review by Dragan Antulov, with links to other reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Close_Encounters_of_the_Third_Kind", "url": "http://www.all-reviews.com/videos-4/close-encounters.htm"} +{"d:Title": "IMDb- Close Encounters of the Third Kind (1977)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Close_Encounters_of_the_Third_Kind", "url": "http://www.imdb.com/title/tt0075860/"} +{"d:Title": "Close Encounters of the Third Kind", "d:Description": "Ain't It Cool News - Definitive Director's Edition review.", "topic": "Top/Arts/Movies/Titles/C/Close_Encounters_of_the_Third_Kind", "url": "http://www.aintitcool.com/node/4089"} +{"d:Title": "HARO Online: Close Your Eyes", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Close_Your_Eyes", "url": "http://www.haro-online.com/movies/close_your_eyes.html"} +{"d:Title": "IMDb: Close Your Eyes (Doctor Sleep) (2002)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Close_Your_Eyes", "url": "http://www.imdb.com/title/tt0286594/"} +{"d:Title": "Rotten Tomatoes: Close Your Eyes", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Close_Your_Eyes", "url": "http://www.rottentomatoes.com/m/close_your_eyes/"} +{"d:Title": "IMDb: Cloverfield (2008)", "d:Description": "Videos, photo gallery, plot outlines, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Cloverfield", "url": "http://www.imdb.com/title/tt1060277/"} +{"d:Title": "Rotten Tomatoes: Cloverfield", "d:Description": "Synopsis, cast list, photos, videos, news, review links, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Cloverfield", "url": "http://www.rottentomatoes.com/m/cloverfield/"} +{"d:Title": "IGN Movies: Cloverfield", "d:Description": "Photos, videos, news, mailbag, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/C/Cloverfield", "url": "http://www.ign.com/movies/cloverfield/theater-948391"} +{"d:Title": "MovieWeb: Cloverfield (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/C/Cloverfield", "url": "http://movieweb.com/movie/cloverfield/"} +{"d:Title": "The Boston Globe: Cloverfield", "d:Description": "Review, by Ty Burr: \"Cloverfield is content to be a creature feature; that's what makes it bearable and what keeps it from greatness. The genre, not the script, does the psychological heavy lifting.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/C/Cloverfield", "url": "http://archive.boston.com/ae/movies/articles/2008/01/18/spoiler_alert_cloverfield_lives_up_to_the_hype/?camp=pm"} +{"d:Title": "Club Paradise Movie Page", "d:Description": "A classic comedy with Robin Williams, Peter O'Toole, and Rick Moranis.", "topic": "Top/Arts/Movies/Titles/C/Club_Paradise", "url": "http://members.tripod.com/mike_debenedittis/index.html"} +{"d:Title": "IMDb - Club Paradise (1986)", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/C/Club_Paradise", "url": "http://www.imdb.com/title/tt0090856/"} +{"d:Title": "Who? What? Where?", "d:Description": "Fan site. Review, images, film script, quiz, contact information.", "topic": "Top/Arts/Movies/Titles/C/Clue", "url": "http://www.thealmightyguru.com/Reviews/Clue/"} +{"d:Title": "IMDb: Clueless", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/C/Clueless", "url": "http://www.imdb.com/title/tt0112697/"} +{"d:Title": "IMDb - Coach (1978)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Coach_-_1978", "url": "http://www.imdb.com/title/tt0077351/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Includes movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/C/Cobra", "url": "http://www.fast-rewind.com/cobra.htm"} +{"d:Title": "IMDb - Cobra (1986)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Cobra", "url": "http://www.imdb.com/title/tt0090859/"} +{"d:Title": "TV Guide: Cobra", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Cobra", "url": "http://www.tvguide.com/movies/cobra/111069/"} +{"d:Title": "IMDb - Cock-Eyed World, The (1929)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Cock-Eyed_World,_The", "url": "http://www.imdb.com/title/tt0019776/"} +{"d:Title": "TV Guide - Cock-Eyed World, The", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Cock-Eyed_World,_The", "url": "http://www.tvguide.com/movies/cock-eyed-world/111080/"} +{"d:Title": "At-A-Glance Film Reviews: The Cocoanuts (1929)", "d:Description": "Brief review of the Marx Brothers' first movie, and a bit of trivia. Rated 3.5/5.", "topic": "Top/Arts/Movies/Titles/C/Cocoanuts,_The", "url": "http://www.rinkworks.com/movies/m/the.cocoanuts.1929.shtml"} +{"d:Title": "IMDb: Cocoanuts, The (1929)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/C/Cocoanuts,_The", "url": "http://www.imdb.com/title/tt0019777/"} +{"d:Title": "Chicago Reader: The Cocoanuts", "d:Description": "Capsule review by Don Druker.", "topic": "Top/Arts/Movies/Titles/C/Cocoanuts,_The", "url": "http://www.chicagoreader.com/chicago/the-cocoanuts/Film?oid=1061363"} +{"d:Title": "HARO Online: Code 46", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Code_46", "url": "http://www.haro-online.com/movies/code46.html"} +{"d:Title": "IMDb: Code 46 (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Code_46", "url": "http://www.imdb.com/title/tt0345061/"} +{"d:Title": "Rotten Tomatoes: Code 46", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Code_46", "url": "http://www.rottentomatoes.com/m/code_46/"} +{"d:Title": "Chicago Sun-Times: Code 46", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Code_46", "url": "http://www.rogerebert.com/reviews/code-46-2004"} +{"d:Title": "Future Movies: Code 46", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/C/Code_46", "url": "https://www.futuremovies.co.uk/reviews/code-46/nik-huggins"} +{"d:Title": "HARO Online: Code Unknown", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Code_Unknown", "url": "http://www.haro-online.com/movies/code_unknown.html"} +{"d:Title": "IMDb: Code Inconnu", "d:Description": "Cast and crew, awards information, production details, reviews, synopsis, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/C/Code_Unknown", "url": "http://www.imdb.com/title/tt0216625/"} +{"d:Title": "IMDb: Coffy (1973)", "d:Description": "Cast and crew information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/C/Coffy", "url": "http://www.imdb.com/title/tt0069897/"} +{"d:Title": "TV Guide Online: Coffy", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Coffy", "url": "http://www.tvguide.com/movies/coffy/111108/"} +{"d:Title": "MMI Review: Coldblooded", "d:Description": "Monica Sullivan's short review.", "topic": "Top/Arts/Movies/Titles/C/Coldblooded", "url": "http://www.shoestring.org/mmi_revs/coldblod.html"} +{"d:Title": "IMDb: Cold Around the Heart (1997)", "d:Description": "The Internet Movie Database offers credits, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cold_Around_the_Heart", "url": "http://www.imdb.com/title/tt0118870/"} +{"d:Title": "AllMovie: Cold Around the Heart", "d:Description": "Overview, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/C/Cold_Around_the_Heart", "url": "http://www.allmovie.com/movie/cold-around-the-heart-v160046"} +{"d:Title": "All-Reviews.com - Cold Creek Manor", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cold_Creek_Manor", "url": "http://www.all-reviews.com/videos-5/cold-creek-manor.htm"} +{"d:Title": "HARO Online: Cold Creek Manor", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Cold_Creek_Manor", "url": "http://www.haro-online.com/movies/cold_creek_manor.html"} +{"d:Title": "DreamLogic: Cold Creek Manor", "d:Description": "Review by Chris Nelson and Kris Kobayashi.", "topic": "Top/Arts/Movies/Titles/C/Cold_Creek_Manor", "url": "http://dreamlogic.net/REVIEWS/coldcreek.html"} +{"d:Title": "Internet Movie Database: Cold Creek Manor (2003)", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, goofs, distribution details, media, photographs, downloads, and links.", "topic": "Top/Arts/Movies/Titles/C/Cold_Creek_Manor", "url": "http://www.imdb.com/title/tt0331468/"} +{"d:Title": "IMDb: Cold Mountain - 2003", "d:Description": "Plot summary, statistics, cast and crew information available.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://www.imdb.com/title/tt0159365/"} +{"d:Title": "KillerMovies - Cold Mountain", "d:Description": "Film information, trailers, and related news articles.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://www.killermovies.com/c/coldmountain/"} +{"d:Title": "Filmtracks: Cold Mountain", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://www.filmtracks.com/titles/cold_mountain.html"} +{"d:Title": "HARO Online: Cold Mountain", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://www.haro-online.com/movies/cold_mountain.html"} +{"d:Title": "All-Reviews.com: Cold Mountain", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://www.all-reviews.com/videos-5/cold-mountain.htm"} +{"d:Title": "TEN Movies: Cold Mountain", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1055"} +{"d:Title": "Rotten Tomatoes: Cold Mountain", "d:Description": "Features reviews, news, articles, cast and crew, preview, and photographs from the movie.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://www.rottentomatoes.com/m/cold_mountain/"} +{"d:Title": "Cold Mountain", "d:Description": "Official site about the civil war movie. Features photograph, synopsis, cast, crew, and trailers.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://miramax.com/movie/cold-mountain/"} +{"d:Title": "Miramax: Cold Mountain", "d:Description": "Trailer features wounded Civil War soldier returning home to North Carolina to reunite with his sweetheart.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "http://trailers.apple.com/trailers/miramax/cold_mountain/"} +{"d:Title": "Awesome Stories: Cold Mountain", "d:Description": "Historical background of the film including photos of the real Cold Mountain and the Battle of the Crater.", "topic": "Top/Arts/Movies/Titles/C/Cold_Mountain", "url": "https://www.awesomestories.com/asset/view/Cold-Mountain"} +{"d:Title": "Seeing-Stars.com: Collateral Filming Locations", "d:Description": "Information about the locations where the movie was filmed, with photos, addresses and map links.", "topic": "Top/Arts/Movies/Titles/C/Collateral", "url": "http://www.seeing-stars.com/Locations/Collateral1.shtml"} +{"d:Title": "IMDb: Collateral", "d:Description": "Includes cast, crew, plot summary, posters, photos, and awards.", "topic": "Top/Arts/Movies/Titles/C/Collateral", "url": "http://www.imdb.com/title/tt0369339/"} +{"d:Title": "Collateral", "d:Description": "Official site includes trailers, photos, cast and crew, synopsis, wallpaper, screensaver, and audio clips.", "topic": "Top/Arts/Movies/Titles/C/Collateral", "url": "https://www.facebook.com/collateralmovie"} +{"d:Title": "All-Reviews: Collateral Damage", "d:Description": "Reviews from various critics.", "topic": "Top/Arts/Movies/Titles/C/Collateral_Damage", "url": "http://www.all-reviews.com/videos-4/collateral-damage.htm"} +{"d:Title": "HARO Online: Collaeral Damage", "d:Description": "Negative review, focusing on its relevance after September 11, Arnold Schwarzenegger's lack of credibility and the issues of right and wrong.", "topic": "Top/Arts/Movies/Titles/C/Collateral_Damage", "url": "http://www.haro-online.com/movies/collateral_damage.html"} +{"d:Title": "IMDb: Collateral Damage (2002)", "d:Description": "Cast list and production notes.", "topic": "Top/Arts/Movies/Titles/C/Collateral_Damage", "url": "http://imdb.com/title/tt0233469/"} +{"d:Title": "Rotten Tomatoes: Collateral Damage", "d:Description": "Provides links to reviews, production notes, photos and trailers.", "topic": "Top/Arts/Movies/Titles/C/Collateral_Damage", "url": "http://www.rottentomatoes.com/m/collateral_damage/"} +{"d:Title": "Collateral Damage", "d:Description": "Official site from Warner Brothers.", "topic": "Top/Arts/Movies/Titles/C/Collateral_Damage", "url": "http://www.warnerbros.com/collateral-damage"} +{"d:Title": "Metacritic.com: Collateral Damage", "d:Description": "A cross-section of reviews from various critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/C/Collateral_Damage", "url": "http://www.metacritic.com/movie/collateral-damage"} +{"d:Title": "Collateral Damage", "d:Description": "Official site with trailers, behind the scenes footage, cast biographies, image gallery, and wallpaper.", "topic": "Top/Arts/Movies/Titles/C/Collateral_Damage", "url": "https://www.warnerbros.co.uk/movies/collateral-damage"} +{"d:Title": "Rotten Tomatoes: Collectors (2000)", "d:Description": "Reviews from top critics, synopsis, news, and discussion.", "topic": "Top/Arts/Movies/Titles/C/Collectors_-_2000", "url": "http://www.rottentomatoes.com/m/1101306-collectors/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Collectors_-_2000", "url": "http://www.metacritic.com/movie/collectors"} +{"d:Title": "Rotten Tomatoes: College", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/C/College", "url": "http://www.rottentomatoes.com/m/10008945-college/"} +{"d:Title": "MovieWeb: College (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/C/College", "url": "http://movieweb.com/movie/college/"} +{"d:Title": "TV Guide: College", "d:Description": "Review, by Ken Fox: \"An ugly, unfunny frat comedy.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/C/College", "url": "http://www.tvguide.com/movies/college/review/293513/"} +{"d:Title": "The Boston Globe: College", "d:Description": "Review, by Wesley Morris: \"The grime, filth, slop, vomit, and crotch-nibbling pigs double all too easily as a recipe for this movie's failure. It hasn't been made so much as excreted.\" [Rating: 0.5 out of 4]", "topic": "Top/Arts/Movies/Titles/C/College", "url": "http://archive.boston.com/ae/movies/articles/2008/08/30/the_jokes_are_as_flat_as_the_beer_in_college/?camp=pm"} +{"d:Title": "Rotten Tomatoes: College Road Trip", "d:Description": "Photos, trailer, news, forum, and links.", "topic": "Top/Arts/Movies/Titles/C/College_Road_Trip", "url": "http://www.rottentomatoes.com/m/college_road_trip/"} +{"d:Title": "IMDb: College Road Trip (2008)", "d:Description": "Photo gallery, plot outline, cast list, and a message board.", "topic": "Top/Arts/Movies/Titles/C/College_Road_Trip", "url": "http://www.imdb.com/title/tt0997047/"} +{"d:Title": "MovieWeb: College Road Trip (2008)", "d:Description": "Reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/C/College_Road_Trip", "url": "http://movieweb.com/movie/college-road-trip/"} +{"d:Title": "TV Guide: The Colony", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Colony,_The", "url": "http://www.tvguide.com/movies/colony/133748/"} +{"d:Title": "The 80's Movies Rewind: The Color of Money", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Money,_The", "url": "http://www.fast-rewind.com/colorofmoney.htm"} +{"d:Title": "DVD Movie Guide: The Color Of Money", "d:Description": "Review by Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Money,_The", "url": "http://www.dvdmg.com/colorofmoney.shtml"} +{"d:Title": "The Washington Post: The Color of Money (1986)", "d:Description": "Review of the film by soon-to-be acclaimed screenwriter Paul Attanasio.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Money,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thecolorofmoneyrattanasio_a0ad63.htm"} +{"d:Title": "Chicago Sun-Times: The Color of Money", "d:Description": "Critic Roger Ebert's take on the Martin Scorsese film.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Money,_The", "url": "http://www.rogerebert.com/reviews/the-color-of-money-1986"} +{"d:Title": "IMDB: The Color of Money", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers, posters, photos, fan sites, awards and nominations.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Money,_The", "url": "http://www.imdb.com/title/tt0090863/combined"} +{"d:Title": "All-Reviews.com - Color of Night", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Night", "url": "http://www.all-reviews.com/videos-3/color-of-night.htm"} +{"d:Title": "AllReaders.com Review - Color of Night", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Bruce Willis film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Night", "url": "http://allreaders.com/movie-review-summary/color-of-night-7216"} +{"d:Title": "HARO Online - Color of Paradise", "d:Description": "Mongoose reviews the movie", "topic": "Top/Arts/Movies/Titles/C/Color_of_Paradise,_The", "url": "http://www.haro-online.com/movies/color_of_paradise.html"} +{"d:Title": "All-Reviews.com - The Color of Paradise", "d:Description": "Various reviewers offer their opinions on the film, accompanied by basic credit details.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Paradise,_The", "url": "http://www.all-reviews.com/videos-4/color-of-paradise.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Paradise,_The", "url": "http://www.metacritic.com/movie/the-color-of-paradise"} +{"d:Title": "IMDb: Rang-e khoda (1999)", "d:Description": "Cast and crew information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Paradise,_The", "url": "http://www.imdb.com/title/tt0191043/"} +{"d:Title": "Color of Paradise Homepage", "d:Description": "Official site. Synopsis, cast information, photos, and video clips.", "topic": "Top/Arts/Movies/Titles/C/Color_of_Paradise,_The", "url": "http://www.sonypictures.com/movies/thecolorofparadise/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/C/Color_Purple,_The", "url": "http://www.fast-rewind.com/colorpurple.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Color_Purple,_The", "url": "http://crazy4cinema.com/Review/FilmsC/f_color_purple.html"} +{"d:Title": "Color Purple, The (1985)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/C/Color_Purple,_The", "url": "http://www.imdb.com/title/tt0088939/"} +{"d:Title": "TV Guide: The Color Purple", "d:Description": "A short review and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Color_Purple,_The", "url": "http://www.tvguide.com/movies/color-purple/111149/"} +{"d:Title": "All-Reviews.com: Coma", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/C/Coma", "url": "http://www.all-reviews.com/videos-4/coma.htm"} +{"d:Title": "IMDb: Coma (1978)", "d:Description": "Cast and crew information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/C/Coma", "url": "http://www.imdb.com/title/tt0077355/"} +{"d:Title": "TV Guide: Coma", "d:Description": "A short review and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Coma", "url": "http://www.tvguide.com/movies/coma/111166/"} +{"d:Title": "IMDb: The Comebacks (2007)", "d:Description": "Videos, photos, plot outlines, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Comebacks,_The", "url": "http://www.imdb.com/title/tt0790804/"} +{"d:Title": "Rotten Tomatoes: The Comebacks", "d:Description": "Synopsis, credits, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Comebacks,_The", "url": "http://www.rottentomatoes.com/m/comebacks/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, trivia, and cast.", "topic": "Top/Arts/Movies/Titles/C/Comebacks,_The", "url": "http://en.wikipedia.org/wiki/The_Comebacks"} +{"d:Title": "MovieWeb: The Comebacks (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/C/Comebacks,_The", "url": "http://movieweb.com/movie/the-comebacks/"} +{"d:Title": "HARO Online: Comedian", "d:Description": "Mongoose's review: \"'Comedian' gives little insight on how a comedian comes up with material; it jumps ahead to what happens once the base material is there and the comedian begins practicing.\" Rated \"okay.\"", "topic": "Top/Arts/Movies/Titles/C/Comedian", "url": "http://www.haro-online.com/movies/comedian.html"} +{"d:Title": "All-Reviews.com - Comedian", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/C/Comedian", "url": "http://all-reviews.com/videos-5/comedian.htm"} +{"d:Title": "Internet Movie Database: Comedian (2002)", "d:Description": "Cast listing, press and user reviews, pictures and box office details.", "topic": "Top/Arts/Movies/Titles/C/Comedian", "url": "http://www.imdb.com/title/tt0328962/"} +{"d:Title": "Comedian", "d:Description": "Official site with synopsis, trailer and links. [Requires Flash.]", "topic": "Top/Arts/Movies/Titles/C/Comedian", "url": "http://www.miramax.com/movie/comedian/"} +{"d:Title": "Rotten Tomatoes: Come Back, Little Sheba", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/C/Come_Back,_Little_Sheba", "url": "http://www.rottentomatoes.com/m/come_back_little_sheba/"} +{"d:Title": "IMDb: Come Back, Little Sheba (1952)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Come_Back,_Little_Sheba", "url": "http://www.imdb.com/title/tt0044509/"} +{"d:Title": "HARO Online: Come Undone", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Come_Undone", "url": "http://www.haro-online.com/movies/come_undone.html"} +{"d:Title": "Metacritic.com: Come Undone", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Come_Undone", "url": "http://www.metacritic.com/movie/come-undone"} +{"d:Title": "Comic Book: The Movie", "d:Description": "Official site. Mark Hamill makes his directorial debut and stars in Creative Light Entertainment's Comic Book: The Movie--an improvisational, independent film.", "topic": "Top/Arts/Movies/Titles/C/Comic_Book_The_Movie", "url": "https://www.facebook.com/Comic-Book-The-Movie-17169195662/"} +{"d:Title": "Rotten Tomatoes: Comic Book Villains", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/C/Comic_Book_Villains", "url": "http://www.rottentomatoes.com/m/comic_book_villains/"} +{"d:Title": "IMDb: Comic Book Villains (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Comic_Book_Villains", "url": "http://www.imdb.com/title/tt0287969/"} +{"d:Title": "IMDB: Comin' Round the Mountain (1951)", "d:Description": "Cast, crew, plot synopsis and users' comments.", "topic": "Top/Arts/Movies/Titles/C/Comin'_Round_the_Mountain", "url": "http://www.imdb.com/title/tt0043425/"} +{"d:Title": "Coming Home Review", "d:Description": "Critique of the 1978 Vietnam movie about a woman who falls in love with a paralyzed veteran while her husband is on combat duty.", "topic": "Top/Arts/Movies/Titles/C/Coming_Home_-_1978", "url": "http://www.scoopy.com/cominghome.htm"} +{"d:Title": "Rottentomatoes: Coming Home - 1978", "d:Description": "Features reviews, rating, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/C/Coming_Home_-_1978", "url": "http://www.rottentomatoes.com/m/1004559-coming_home/"} +{"d:Title": "Imdb: Coming Home - 1978", "d:Description": "Features information about the plot, cast, and crew.", "topic": "Top/Arts/Movies/Titles/C/Coming_Home_-_1978", "url": "http://www.imdb.com/title/tt0077362/"} +{"d:Title": "All-Reviews.com - Commando", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/C/Commando", "url": "http://www.all-reviews.com/videos-4/commando.htm"} +{"d:Title": "Filmtracks: Commando", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Commando", "url": "http://www.filmtracks.com/titles/commando.html"} +{"d:Title": "AllReaders.com Review: Commando", "d:Description": "Detailed analysis of the Arnold Schwarzenegger film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Commando", "url": "http://allreaders.com/movie-review-summary/commando-3510"} +{"d:Title": "IMDb - The Commissioner (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Commissioner,_The", "url": "http://www.imdb.com/title/tt0120640/"} +{"d:Title": "IMDb: The Commitments", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/C/Commitments,_The", "url": "http://www.imdb.com/title/tt0101605/"} +{"d:Title": "TV Guide: The Commitments", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Commitments,_The", "url": "http://www.tvguide.com/movies/commitments/128735/"} +{"d:Title": "PopMatters - Committed", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Committed_-_2000", "url": "http://popmatters.com/film/reviews/c/committed.html"} +{"d:Title": "HARO Online - Committed", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Committed_-_2000", "url": "http://www.haro-online.com/movies/committed.html"} +{"d:Title": "Rotten Tomatoes - Committed (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Committed_-_2000", "url": "http://www.rottentomatoes.com/m/1096844-committed/"} +{"d:Title": "IMDb - Committed (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Committed_-_2000", "url": "http://www.imdb.com/title/tt0144142/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Committed_-_2000", "url": "http://www.metacritic.com/movie/committed"} +{"d:Title": "Movieprop.com - Communion", "d:Description": "Review and essay about the movie based on the alleged lifelong alien abduction experiences of Sci-fi author Whitley Strieber.", "topic": "Top/Arts/Movies/Titles/C/Communion", "url": "http://www.movieprop.com/tvandmovie/reviews/communion.htm"} +{"d:Title": "IMDb - Communion (1989)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Communion", "url": "http://www.imdb.com/title/tt0097100/"} +{"d:Title": "HARO Online: The Company", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Company,_The", "url": "http://www.haro-online.com/movies/company.html"} +{"d:Title": "IMDb: The Company", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Company,_The", "url": "http://www.imdb.com/title/tt0335013/"} +{"d:Title": "Rotten Tomatoes: The Company", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Company,_The", "url": "http://www.rottentomatoes.com/m/1128345-company/"} +{"d:Title": "Chicago Sun-Times: The Company", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Company,_The", "url": "http://www.rogerebert.com/reviews/the-company-2003"} +{"d:Title": "Future Movies: The Company", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/C/Company,_The", "url": "https://www.futuremovies.co.uk/reviews/the-company/nik-huggins"} +{"d:Title": "All-Reviews.com: Company Man", "d:Description": "Reviews by Harvey Karten and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/C/Company_Man", "url": "http://www.all-reviews.com/videos-2/company-man.htm"} +{"d:Title": "IMDb - Company Man (2000)", "d:Description": "Cast, credits and user comments.", "topic": "Top/Arts/Movies/Titles/C/Company_Man", "url": "http://www.imdb.com/title/tt0177650/"} +{"d:Title": "Metacritic.com: Company Man", "d:Description": "Links reviews by critics from US publications, plus readers' comments.", "topic": "Top/Arts/Movies/Titles/C/Company_Man", "url": "http://www.metacritic.com/movie/company-man"} +{"d:Title": "IMDb: Company of Wolves, The (1984)", "d:Description": "Includes a plot summary, cast list, and a trailer.", "topic": "Top/Arts/Movies/Titles/C/Company_of_Wolves,_The", "url": "http://www.imdb.com/title/tt0087075/"} +{"d:Title": "Scotland the Movie Location Guide - Complicity", "d:Description": "Shows Scottish filming locations of the movie Complicity.", "topic": "Top/Arts/Movies/Titles/C/Complicity", "url": "http://www.scotlandthemovie.com/movies/fcomp.html"} +{"d:Title": "IMDb: Complicity", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Complicity", "url": "http://www.imdb.com/title/tt0188518/"} +{"d:Title": "TV Guide: The Con", "d:Description": "Review, cast and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Con,_The", "url": "http://www.tvguide.com/movies/the/133603/"} +{"d:Title": "The Conan Completist", "d:Description": "Fan site with deleted scenes, interviews, image galleries, profiles on filmmakers and actors, forum, and links.", "topic": "Top/Arts/Movies/Titles/C/Conan_Series/Conan_the_Barbarian", "url": "http://www.conancompletist.com/"} +{"d:Title": "All-Reviews.com: Conan the Barbarian", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/C/Conan_Series/Conan_the_Barbarian", "url": "http://www.all-reviews.com/videos-4/conan-barbarian.htm"} +{"d:Title": "The Sci-Fi Movie Page: Conan the Barbarian", "d:Description": "Review of the special edition DVD.", "topic": "Top/Arts/Movies/Titles/C/Conan_Series/Conan_the_Barbarian", "url": "http://www.scifimoviepage.com/dvd/conan.html"} +{"d:Title": "Filmtracks: Conan the Destroyer", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Conan_Series/Conan_the_Destroyer", "url": "http://www.filmtracks.com/titles/conan_destroyer.html"} +{"d:Title": "HARO Online: Concert for George", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Concert_for_George,_The", "url": "http://www.haro-online.com/movies/concert_for_george.html"} +{"d:Title": "Concert for George", "d:Description": "Official site with film information, distribution details, and multimedia [requires Flash].", "topic": "Top/Arts/Movies/Titles/C/Concert_for_George,_The", "url": "http://www.concertforgeorge.com/"} +{"d:Title": "Internet Movie Database: Concert for George, The", "d:Description": "Film information, cast and crew list, distribution information, and links.", "topic": "Top/Arts/Movies/Titles/C/Concert_for_George,_The", "url": "http://www.imdb.com/title/tt0380275/"} +{"d:Title": "Digitally Obsessed - Coney Island (1917)", "d:Description": "Review by Mark Zimmer (positive), ratings.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_-_1917", "url": "http://www.digitallyobsessed.com/showreview.php3?ID=1031"} +{"d:Title": "IMDb - Coney Island (1917)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_-_1917", "url": "http://www.imdb.com/title/tt0007819/combined"} +{"d:Title": "IMDb - Coney Island (1928)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_-_1928", "url": "http://www.imdb.com/title/tt0018787/combined"} +{"d:Title": "IMDb - Coney Island (1943)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_-_1943", "url": "http://www.imdb.com/title/tt0035749/combined"} +{"d:Title": "TV Guide - Coney Island", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_-_1943", "url": "http://www.tvguide.com/movies/coney-island/111393/"} +{"d:Title": "IMDb - Coney Island at Night (1905)", "d:Description": "Credits, contact information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_at_Night", "url": "http://www.imdb.com/title/tt0233479/"} +{"d:Title": "IMDb - Coney Island Bikers, The (1898)", "d:Description": "Production information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_Bikers,_The", "url": "http://www.imdb.com/title/tt0238906/combined"} +{"d:Title": "IMDb - Coney Island Honeymoon (1945)", "d:Description": "Crew, production information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_Honeymoon", "url": "http://www.imdb.com/title/tt0239309/combined"} +{"d:Title": "IMDb - Coney Island of Lawrence Ferlinghetti, The (1996)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_of_Lawrence_Ferlinghetti,_The", "url": "http://www.imdb.com/title/tt0173741/combined"} +{"d:Title": "IMDb - Coney Island Princess, A (1916)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Coney_Island_Princess,_A", "url": "http://www.imdb.com/title/tt0161451/combined"} +{"d:Title": "IMDb: The Confession (1999)", "d:Description": "Cast information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Confession,_The", "url": "http://www.imdb.com/title/tt0128137/"} +{"d:Title": "TV Guide Online: The Confession", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Confession,_The", "url": "http://www.tvguide.com/movies/confession/135300/"} +{"d:Title": "All-Reviews.com - Confessions of a Dangerous Mind", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Dangerous_Mind", "url": "http://www.all-reviews.com/videos-5/confessions-of-dangerous-mind.htm"} +{"d:Title": "About.com: Confessions of a Dangerous Mind", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Dangerous_Mind", "url": "http://homevideo.about.com/cs/comedy1/fr/dvdpickconfdanm.htm"} +{"d:Title": "Brian W. Fairbanks: Confessions of a Dangerous Mind", "d:Description": "Review that originally appeared in the Paris Woman Journal.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Dangerous_Mind", "url": "http://www.angelfire.com/oh2/writer/confessionsofadangerousmind.html"} +{"d:Title": "Rotten Tomatoes: Confessions of a Dangerous Mind", "d:Description": "Links to reviews, synopsis, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Dangerous_Mind", "url": "http://www.rottentomatoes.com/m/confessions_of_a_dangerous_mind/"} +{"d:Title": "Yahoo! Movies: Confessions of a Dangerous Mind", "d:Description": "Synopsis, credits, reviews, trailers, and photos.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Dangerous_Mind", "url": "http://movies.yahoo.com/movie/confessions-of-a-dangerous-mind/"} +{"d:Title": "IMDb: Confessions of a Dangerous Mind", "d:Description": "Cast and crew information, quotes, trivia, pictures, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Dangerous_Mind", "url": "http://www.imdb.com/title/tt0270288/"} +{"d:Title": "HARO Online: Confessions of a Teenage Drama Queen", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Teenage_Drama_Queen", "url": "http://www.haro-online.com/movies/confessions_teenage_drama.html"} +{"d:Title": "1MovieSearch: Confessions of a Teenage Drama Queen", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Teenage_Drama_Queen", "url": "http://www.1moviesearch.com/movies/confessions.htm"} +{"d:Title": "Moviefone: Confessions of a Teenage Drama Queen", "d:Description": "Provides a trailer, brief summary, preview, and additional reviews.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Teenage_Drama_Queen", "url": "http://www.moviefone.com/movie/confessions-of-a-teenage-drama-queen/17792/main"} +{"d:Title": "IMDb: Confessions of a Teenage Drama Queen (2004)", "d:Description": "Plot synopsis, cast and crew, filming locations, and news.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Teenage_Drama_Queen", "url": "http://www.imdb.com/title/tt0361467/"} +{"d:Title": "Confessions of a Teenage Drama Queen", "d:Description": "Trailer, list of the cast and crew, and plot synopsis.", "topic": "Top/Arts/Movies/Titles/C/Confessions_of_a_Teenage_Drama_Queen", "url": "http://movies.disney.com/confessions-of-a-teenage-drama-queen"} +{"d:Title": "IMDb: Confession", "d:Description": "Synopsis, trailer, cast and credits.", "topic": "Top/Arts/Movies/Titles/C/Confession_-_2005", "url": "http://www.imdb.com/title/tt0417550/"} +{"d:Title": "Confidence", "d:Description": "Official site. Contains synopsis, cast, reviews, trailer, game and sweepstake.", "topic": "Top/Arts/Movies/Titles/C/Confidence", "url": "http://www.confidencethemovie.com/"} +{"d:Title": "Rotten Tomatoes: Confidence", "d:Description": "Contains reviews, synopsis, trailer, image gallery, news and articles.", "topic": "Top/Arts/Movies/Titles/C/Confidence", "url": "http://www.rottentomatoes.com/m/confidence/"} +{"d:Title": "Yahoo! Movies: Confidence", "d:Description": "Includes synopsis, credits, reviews, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/C/Confidence", "url": "http://movies.yahoo.com/movie/confidence/"} +{"d:Title": "Internet Movie Database: Confidence", "d:Description": "Contains reviews, plot summary, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/C/Confidence", "url": "http://www.imdb.com/title/tt0310910/"} +{"d:Title": "blackfilm.com: Confidence", "d:Description": "Review by Wilson Morales.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://blackfilm.com/20030425/reviews/confidence.shtml"} +{"d:Title": "Hollywood Bitchslap: Confidence", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.hollywoodbitchslap.com/review.php?movie=6808"} +{"d:Title": "Hollywood Report Card: Confidence", "d:Description": "Review and rating by Ross Anthony.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://rossanthony.com/C/confidence.shtml"} +{"d:Title": "The Filmiliar Cineaste: Confidence", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://variagate.com/confiden.htm"} +{"d:Title": "3 Black Chicks: Confidence", "d:Description": "Review and rating by Cassandra Henry.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.3blackchicks.com/2003reviews/cassconfidence.html"} +{"d:Title": "AboutFilm.com: Confidence", "d:Description": "Movie review and cast interviews by Carlo Cavagna.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.aboutfilm.com/movies/c/confidence.htm"} +{"d:Title": "Haro Online: Confidence", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.haro-online.com/movies/confidence.html"} +{"d:Title": "A Guide to Current DVD: Confidence", "d:Description": "Review of the film and DVD features.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.currentfilm.com/dvdreviews4/confidencedvd.html"} +{"d:Title": "ReelTalk: Confidence", "d:Description": "Review by Diana Saenger.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.reeltalkreviews.com/browse/viewitem.asp?type=review&id=463"} +{"d:Title": "Movies 101: Confidence", "d:Description": "Review by Robert Glatzer.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.movies101.com/CONFIDENCE.HTML"} +{"d:Title": "Screen It: Confidence", "d:Description": "Analysis of the movie's content in terms of numerous factors including nudity, language, and violence.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.screenit.com/movies/2003/confidence.html"} +{"d:Title": "Pittsburgh Post-Gazette: Confidence", "d:Description": "Review by Ron Weiskind.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.post-gazette.com/movies/20030425confidence0425fnp4.asp"} +{"d:Title": "JamesBowman.net: Confidence", "d:Description": "Negative movie review.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.jamesbowman.net/reviewDetail.asp?pubID=1399"} +{"d:Title": "Movie Habit: Confidence", "d:Description": "Review by Marty Mapes with 3/4 rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.moviehabit.com/reviews/con_dx03.shtml"} +{"d:Title": "ChildCare Action Project: Confidence", "d:Description": "Analysis of the movie's content from a Christian point of view.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.capalert.com/capreports/confidence.htm"} +{"d:Title": "DVD MovieGuide: Confidence", "d:Description": "Review by Colin Jacobson with viewer ratings.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.dvdmg.com/confidence.shtml"} +{"d:Title": "Jigsaw Lounge: Confidence", "d:Description": "Review by Neil Young with 3/10 rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.jigsawlounge.co.uk/film/confidence.html"} +{"d:Title": "Dvdfuture.com: Confidence", "d:Description": "Review by Randy with 2 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.dvdfuture.com/review.php?id=364"} +{"d:Title": "Lee's Movie Info: Confidence", "d:Description": "Review by Lee Tistaert with B- rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.leesmovieinfo.net/Article.php?a=214"} +{"d:Title": "Nitrate Online: Confidence", "d:Description": "Reviews by Cynthia Fuchs and KJ Doughton.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.nitrateonline.com/2003/rconfidence-1.html"} +{"d:Title": "QNetwork: Confidence", "d:Description": "Review by James Kendrick, with 2.5/4 rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.qnetwork.com/?page=review&id=1143"} +{"d:Title": "Slate: Confidence", "d:Description": "Review by David Edelstein.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://slate.com/id/2082053/"} +{"d:Title": "Deseret News: Confidence", "d:Description": "Review and rating by Jeff Vice.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.deseretnews.com/article/700003210/Confidence.html"} +{"d:Title": "Digitally Obsessed: Confidence", "d:Description": "Review of the movie and DVD by Brian Calhoun.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=5224"} +{"d:Title": "ViewLondon: Confidence", "d:Description": "Review by Matthew Turner with 3/5 rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.viewlondon.co.uk/films/confidence-film-review-4571.html"} +{"d:Title": "Seattle Post-Intelligencer: Confidence", "d:Description": "Review by Sean Axmaker.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.seattlepi.com/ae/movies/article/Confidence-con-games-are-a-devious-frolic-1113129.php"} +{"d:Title": "Guardian Unlimited: Confidence", "d:Description": "Reviews and ratings by Peter Bradshaw and Philip French.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.theguardian.com/film/movie/96368/confidence"} +{"d:Title": "Chicago Sun-Times: Confidence", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.rogerebert.com/reviews/confidence-2003"} +{"d:Title": "DVD Talk: Confidence", "d:Description": "Jason Bovberg's review of the special edition DVD.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.dvdtalk.com/reviews/7529/confidence-special-edition/"} +{"d:Title": "Film Monthly: Confidence", "d:Description": "Review by Hank Yuloff.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.filmmonthly.com/video_and_dvd/confidence.html"} +{"d:Title": "San Francisco Chronicle: Confidence", "d:Description": "Review by Mick LaSalle.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://www.sfgate.com/movies/article/Confidence-builds-Well-acted-thriller-is-easy-2620607.php"} +{"d:Title": "Ozus' World Movie Reviews: Confidence", "d:Description": "Review by Dennis Schwartz with C- rating.", "topic": "Top/Arts/Movies/Titles/C/Confidence/Reviews", "url": "http://homepages.sover.net/~ozus/confidence.htm"} +{"d:Title": "All-Reviews.com - Congo", "d:Description": "Review by Pedro Sena.", "topic": "Top/Arts/Movies/Titles/C/Congo", "url": "http://all-reviews.com/videos-4/congo.htm"} +{"d:Title": "HARO Online: Connie and Carla", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Connie_and_Carla", "url": "http://www.haro-online.com/movies/connie_and_carla.html"} +{"d:Title": "IMDb: Connie and Carla (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Connie_and_Carla", "url": "http://www.imdb.com/title/tt0345074/"} +{"d:Title": "Rotten Tomatoes: Connie and Carla", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Connie_and_Carla", "url": "http://www.rottentomatoes.com/m/connie_and_carla/"} +{"d:Title": "Chicago Sun-Times: Connie and Carla", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Connie_and_Carla", "url": "http://www.rogerebert.com/reviews/connie-and-carla-2004"} +{"d:Title": "Allreaders Review - The Conqueror", "d:Description": "Detailed analysis of the John Wayne film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Conqueror,_The", "url": "http://allreaders.com/movie-review-summary/the-conqueror-4821"} +{"d:Title": "Conspiracy", "d:Description": "Plot and cast for this drama centered in 1942. Made for television movie filmed in 2001.", "topic": "Top/Arts/Movies/Titles/C/Conspiracy", "url": "http://imdb.com/title/tt0266425/"} +{"d:Title": "Conspiracy Theory", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/Conspiracy_Theory", "url": "http://www.conspiracytheory.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Conspiracy_Theory", "url": "http://crazy4cinema.com/Review/FilmsC/f_ctheory.html"} +{"d:Title": "All-Reviews.com: Conspiracy Theory", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Conspiracy_Theory", "url": "http://www.all-reviews.com/videos/conspiracy-theory.htm"} +{"d:Title": "IMDb - Conspiracy Theory", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Conspiracy_Theory", "url": "http://www.imdb.com/title/tt0118883/"} +{"d:Title": "TV Guide: Conspiracy Theory", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/C/Conspiracy_Theory", "url": "http://www.tvguide.com/movies/conspiracy-theory/132446/"} +{"d:Title": "IMDb: Constantine", "d:Description": "Cast, crew, production information, and links.", "topic": "Top/Arts/Movies/Titles/C/Constantine", "url": "http://www.imdb.com/title/tt0360486/"} +{"d:Title": "Box Office Mojo: Constantine", "d:Description": "Details about the film's numbers, facts, and figures.", "topic": "Top/Arts/Movies/Titles/C/Constantine", "url": "http://www.boxofficemojo.com/movies/?id=constantine.htm"} +{"d:Title": "Rotten Tomatoes: Constantine", "d:Description": "Critical reviews, information, production notes, trailers, and images.", "topic": "Top/Arts/Movies/Titles/C/Constantine", "url": "http://www.rottentomatoes.com/m/constantine/"} +{"d:Title": "Mooviees! - Constantine (2005)", "d:Description": "Features photos, wallpapers, trailers, review links, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/C/Constantine", "url": "http://www.mooviees.com/7244-constantine/movie"} +{"d:Title": "Constantine Official Site", "d:Description": "The official site for the film includes cast and story information as well as multimedia.", "topic": "Top/Arts/Movies/Titles/C/Constantine", "url": "http://www.warnerbros.com/constantine"} +{"d:Title": "Future Movies: Constantine", "d:Description": "Detailed review from comic book fan including photographs.", "topic": "Top/Arts/Movies/Titles/C/Constantine", "url": "https://www.futuremovies.co.uk/reviews/constantine/adrian-mackinder"} +{"d:Title": "Horror.com: Interview with Constantine Screenwriters", "d:Description": "Kevin Brodbin and Frank Cappello talk about the many incarnations of the Constantine script.", "topic": "Top/Arts/Movies/Titles/C/Constantine/Articles_and_Interviews", "url": "http://www.horror.com/php/article-702-1.html"} +{"d:Title": "Contact", "d:Description": "Fan site offering photos, text of dialogue from selected scenes, acting and production credits, and extensive chat forum.", "topic": "Top/Arts/Movies/Titles/C/Contact", "url": "http://www.turning-pages.com/contact/"} +{"d:Title": "Contact", "d:Description": "Official site with cast and crew, production notes, interviews, artwork, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/C/Contact", "url": "http://contact-themovie.warnerbros.com/"} +{"d:Title": "All-Reviews.com: Contact", "d:Description": "Collection of reviews from various contributors.", "topic": "Top/Arts/Movies/Titles/C/Contact/Reviews", "url": "http://www.all-reviews.com/videos-2/contact.htm"} +{"d:Title": "Ya Gotta Have Faith", "d:Description": "Review of Contact by the Flick Filosopher.", "topic": "Top/Arts/Movies/Titles/C/Contact/Reviews", "url": "http://www.flickfilosopher.com/1998/04/contact-review.html"} +{"d:Title": "TV Guide: Contact", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Contact/Reviews", "url": "http://www.tvguide.com/movies/contact/132328/"} +{"d:Title": "TV Guide: Contempt", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Contempt", "url": "http://www.tvguide.com/movies/contempt/111461/"} +{"d:Title": "HARO Online - The Contender", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://www.haro-online.com/movies/contender.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film by Nitin Govil.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://popmatters.com/film/reviews/c/contender.html"} +{"d:Title": "AskMen.com - The Contenders", "d:Description": "\"Male perspective\" movie review.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://www.askmen.com/toys/movies/44_movie_reviews.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://crazy4cinema.com/Review/FilmsC/f_contender.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://www.all-reviews.com/videos-2/contender.htm"} +{"d:Title": "Rotten Tomatoes: The Contender (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://www.rottentomatoes.com/m/1100998-contender/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://www.metacritic.com/movie/the-contender"} +{"d:Title": "Allreaders.com Review: The Contender", "d:Description": "Detailed analysis of the film starring Jeff Bridges and Gary Oldman, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Contender,_The", "url": "http://allreaders.com/movie-review-summary/the-contender-3951"} +{"d:Title": "IMDb: Control Room", "d:Description": "Cast, crew, reviews, plot summary, user comments, and showtimes.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.imdb.com/title/tt0391024/"} +{"d:Title": "MetroActive: War of the Words", "d:Description": "Review of the documentary film 'Control Room' about Al Jazeera and its journalists, by Richard von Busack.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.metroactive.com/papers/metro/06.09.04/control-0424.html"} +{"d:Title": "HARO Online: Control Room", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.haro-online.com/movies/control_room.html"} +{"d:Title": "NPR: 'Control Room' - Behind the Scenes at Al Jazeera", "d:Description": "Audio review of the documentary 'Control Room' about the Arabic-language network Al Jazeera, by Joel Rose.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.npr.org/templates/story/story.php?storyId=1905275"} +{"d:Title": "NPR: Inside 'The Control Room' at Al-Jazeera", "d:Description": "Interview with documentary filmmaker Jehane Noujaim about her film, an inside look at the controversial al-Jazeera Arab-language news agency, by Tony Cox.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.npr.org/templates/story/story.php?storyId=1863575"} +{"d:Title": "Rotten Tomatoes: Control Room (2004)", "d:Description": "Reviews and ratings from critics and audiences plus trailer, movie details, cast and crew information, poster, photographs and news articles.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.rottentomatoes.com/m/control_room/"} +{"d:Title": "The Emirates Network - Movies: Control Room", "d:Description": "Adnan Khan's review of the film during the 2004 Dubai International Film Festival", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://movies.theemiratesnetwork.com/diff/2004/reviews.php?mv=REVIEW-1123"} +{"d:Title": "Washington Post: In 'Control Room,' The Splitting Image Of War Coverage", "d:Description": "Philip Kennicott's review of the documentary about al-Jazeera and the differing Arab and American perspectives of the Iraq war.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.washingtonpost.com/wp-dyn/articles/A44966-2004Jun15.html"} +{"d:Title": "Aljazeera: Film about Aljazeera strikes a chord", "d:Description": "Article on the reactions of Arab viewers to a screening of the documentary at the Dubai International Film Festival.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.aljazeera.com/archive/2004/12/20084101511167799.html"} +{"d:Title": "Metacritic Film: Control Room", "d:Description": "Reviews and ratings from professional movie critics of the Al Jazeera documentary by Jehane Noujaim, award-winning Arab-American filmmaker.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.metacritic.com/movie/control-room"} +{"d:Title": "Today: \u2018Control Room\u2019 Gripping Look at Al-Jazeera", "d:Description": "Review of the documentary about the television network and its Iraq war coverage. Includes a video of the interview with director Jehame Noujaim by Alison Stewart.", "topic": "Top/Arts/Movies/Titles/C/Control_Room", "url": "http://www.today.com/id/4971287"} +{"d:Title": "Rotten Tomatoes: The Convent (1995)", "d:Description": "Includes movie information, a trailer, interviews, articles, and links to reviews.", "topic": "Top/Arts/Movies/Titles/C/Convent,_The_-_1995", "url": "http://www.rottentomatoes.com/m/1070236-convent/"} +{"d:Title": "IMDb", "d:Description": "Contains cast links, plot summary, and information.", "topic": "Top/Arts/Movies/Titles/C/Convent,_The_-_1995", "url": "http://www.imdb.com/title/tt0112716/"} +{"d:Title": "The Austin Chronicle Movie Guide: The Convent", "d:Description": "Includes a review, and cast.", "topic": "Top/Arts/Movies/Titles/C/Convent,_The_-_2000", "url": "http://www.austinchronicle.com/calendar/film/1996-03-01/138270/"} +{"d:Title": "IMDb: The Conversation (1974)", "d:Description": "Cast and crew information, synopsis, and usre comments.", "topic": "Top/Arts/Movies/Titles/C/Conversation,_The", "url": "http://www.imdb.com/title/tt0071360/"} +{"d:Title": "AllReaders.com Review - The Conversation", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Gene Hackman, Harrison Ford, and John Cazale.", "topic": "Top/Arts/Movies/Titles/C/Conversation,_The", "url": "http://allreaders.com/movie-review-summary/the-conversation-6962"} +{"d:Title": "TV Guide: The Conversation", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Conversation,_The", "url": "http://www.tvguide.com/movies/conversation/111470/"} +{"d:Title": "IMDb - Convict Cowboy (1995)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Convict_Cowboy", "url": "http://www.imdb.com/title/tt0112719/"} +{"d:Title": "All-Reviews.com: Con Air", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Con_Air", "url": "http://www.all-reviews.com/videos-2/con-air.htm"} +{"d:Title": "TV Guide Online: Con Air", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Con_Air", "url": "http://www.tvguide.com/movies/air/132063/"} +{"d:Title": "IMDb: Cook the Thief His Wife&Her Lover, The", "d:Description": "Plot summary, cast and crew information, awards, trivia, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cook,_the_Thief,_His_Wife_and_Her_Lover,_The", "url": "http://www.imdb.com/title/tt0097108/"} +{"d:Title": "Allreaders.com: The Cook, The Thief, His Wife And Her Lover", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cook,_the_Thief,_His_Wife_and_Her_Lover,_The", "url": "http://allreaders.com/movie-review-summary/the-cook-the-thief-his-wife-3848"} +{"d:Title": "Washington Post - Cookie", "d:Description": "Review by Hal Hinson (mixed).", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/cookierhinson_a0a94f.htm"} +{"d:Title": "Washington Post - Cookie", "d:Description": "Review by Desson Howe (predominantly positive).", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/cookierhowe_a0b231.htm"} +{"d:Title": "Chicago Reader - Cookie", "d:Description": "Review by Jonathan Rosenbaum (predominantly negative).", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://onfilm.chicagoreader.com/movies/capsules/02176_COOKIE.html"} +{"d:Title": "Deseret News - Cookie", "d:Description": "Review by Chris Hicks (mixed), rating.", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://www.deseretnews.com/article/700000363/Cookie.html"} +{"d:Title": "IMDb - Cookie (1989)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://www.imdb.com/title/tt0097109/combined"} +{"d:Title": "Chicago Sun-Times: Cookie", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://www.rogerebert.com/reviews/cookie-1989"} +{"d:Title": "Don Ignacio - Cookie (1989)", "d:Description": "Review by Michael Lawrence (negative), rating.", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://donignacio.tripod.com/movcookie.html"} +{"d:Title": "TV Guide - Cookie", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/C/Cookie", "url": "http://www.tvguide.com/movies/cookie/107413/"} +{"d:Title": "All-Reviews.com - Cookies Fortune", "d:Description": "Seven reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cookie's_Fortune", "url": "http://www.all-reviews.com/videos-3/cookies-fortune.htm"} +{"d:Title": "HARO Online - Cookie's Fortune", "d:Description": "Haro rates the film: not bad.", "topic": "Top/Arts/Movies/Titles/C/Cookie's_Fortune", "url": "http://www.haro-online.com/movies/cookies_fortune.html"} +{"d:Title": "IMDb: Cookie's Fortune", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/C/Cookie's_Fortune", "url": "http://www.imdb.com/title/tt0126250/"} +{"d:Title": "HARO Online: The Cookout", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Cookout,_The", "url": "http://www.haro-online.com/movies/cookout.html"} +{"d:Title": "IMDb: The Cookout (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Cookout,_The", "url": "http://www.imdb.com/title/tt0380277/"} +{"d:Title": "Rotten Tomatoes: The Cookout", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Cookout,_The", "url": "http://www.rottentomatoes.com/m/cookout/"} +{"d:Title": "Blackfilm.com: The Cookout", "d:Description": "An interview with the cast.", "topic": "Top/Arts/Movies/Titles/C/Cookout,_The", "url": "http://www.blackfilm.com/20040827/features/cookoutinterviews.shtml"} +{"d:Title": "HARO Online: The Cooler", "d:Description": "Review and movie stills.", "topic": "Top/Arts/Movies/Titles/C/Cooler,_The", "url": "http://www.haro-online.com/movies/cooler.html"} +{"d:Title": "All-Reviews.com: The Cooler", "d:Description": "Review and rating by Dustin Putman, Harvey Karten, Susan Granger and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/C/Cooler,_The", "url": "http://www.all-reviews.com/videos-5/cooler.htm"} +{"d:Title": "Rotten Tomatoes: The Cooler", "d:Description": "Contains synopsis, trailer, news, cast and crew.", "topic": "Top/Arts/Movies/Titles/C/Cooler,_The", "url": "http://www.rottentomatoes.com/m/cooler/"} +{"d:Title": "Yahoo! Movies: The Cooler", "d:Description": "Contains synopsis, production images, trailer, cast and credits.", "topic": "Top/Arts/Movies/Titles/C/Cooler,_The", "url": "http://movies.yahoo.com/movie/the-cooler/"} +{"d:Title": "Internet Movie Database: Cooler, The", "d:Description": "Contains plot summary, image gallery, trailer, cast and crew.", "topic": "Top/Arts/Movies/Titles/C/Cooler,_The", "url": "http://www.imdb.com/title/tt0318374/"} +{"d:Title": "Guardian Unlimited Film: Cool and Crazy", "d:Description": "Critic Peter Bradshaw reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Cool_and_Crazy", "url": "http://www.theguardian.com/film/2002/feb/08/culture.peterbradshaw1"} +{"d:Title": "IMDb: Cool and Crazy", "d:Description": "Includes credits and related links.", "topic": "Top/Arts/Movies/Titles/C/Cool_and_Crazy", "url": "http://www.imdb.com/title/tt0276189/"} +{"d:Title": "Metacritic.com: Cool and Crazy", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Cool_and_Crazy", "url": "http://www.metacritic.com/movie/cool-and-crazy"} +{"d:Title": "IMDb: Cool, Dry Place, A (1998)", "d:Description": "Offers a plot summary, trailer, cast list, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cool_Dry_Place,_A", "url": "http://www.imdb.com/title/tt0120642/"} +{"d:Title": "Cool Hand Luke (1967)", "d:Description": "Greatest Films - Review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/C/Cool_Hand_Luke", "url": "http://www.filmsite.org/cool.html"} +{"d:Title": "IMDb: Cool Hand Luke", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/C/Cool_Hand_Luke", "url": "http://www.imdb.com/title/tt0061512/"} +{"d:Title": "IMDb: Cool Runnings", "d:Description": "Synopsis, cast and crew list, reviews, awards, trivia, release information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/C/Cool_Runnings", "url": "http://www.imdb.com/title/tt0106611/"} +{"d:Title": "Wikipedia: Cool Runnings", "d:Description": "Offers plot, box office earnings and real-life discrepancies.", "topic": "Top/Arts/Movies/Titles/C/Cool_Runnings", "url": "http://en.wikipedia.org/wiki/Cool_Runnings"} +{"d:Title": "Roger Ebert's Review: Cool Runnings", "d:Description": "Chicago Sun-Times columnist's review of this 1993 comedy centered around the Jamaican bobsled team. Starring John Candy and directed by John Turteltaub. (PG-13)", "topic": "Top/Arts/Movies/Titles/C/Cool_Runnings", "url": "http://www.rogerebert.com/reviews/cool-runnings-1993"} +{"d:Title": "All-Reviews.com - Copycat", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/C/Copycat", "url": "http://www.all-reviews.com/videos-2/copycat.htm"} +{"d:Title": "IMDb: Copycat", "d:Description": "Synopsis, user reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/C/Copycat", "url": "http://www.imdb.com/title/tt0112722/"} +{"d:Title": "All-Reviews.com: Copland", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Cop_Land", "url": "http://www.all-reviews.com/videos/copland.htm"} +{"d:Title": "AllReaders.com Review - Cop Land", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Sylvester Stallone film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Cop_Land", "url": "http://allreaders.com/movie-review-summary/cop-land-6855"} +{"d:Title": "Mooviees!: The Core", "d:Description": "Features photographs, trailers, reviews, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/C/Core,_The", "url": "http://www.mooviees.com/355-the-core/movie"} +{"d:Title": "Rotten Tomatoes: The Core", "d:Description": "Contains reviews, synopsis, trailer, image gallery and news.", "topic": "Top/Arts/Movies/Titles/C/Core,_The", "url": "http://www.rottentomatoes.com/m/core/"} +{"d:Title": "Yahoo! Movies: The Core", "d:Description": "Includes cast and credits, synopsis, production images, reviews and trailer.", "topic": "Top/Arts/Movies/Titles/C/Core,_The", "url": "http://movies.yahoo.com/movie/the-core/"} +{"d:Title": "Internet Movie Database: Core, The", "d:Description": "Includes cast and crew, synopsis, trailer and image gallery.", "topic": "Top/Arts/Movies/Titles/C/Core,_The", "url": "http://www.imdb.com/title/tt0298814/"} +{"d:Title": "HARO Online: Corky Romano", "d:Description": "Review with photos.", "topic": "Top/Arts/Movies/Titles/C/Corky_Romano", "url": "http://www.haro-online.com/movies/corky_romano.html"} +{"d:Title": "All-Reviews.com - Corky Romano", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Corky_Romano", "url": "http://www.all-reviews.com/videos-3/corky-romano.htm"} +{"d:Title": "Metacritic.com: Corky Romano", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Corky_Romano", "url": "http://www.metacritic.com/movie/corky-romano"} +{"d:Title": "HARO Online: The Corporation", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Corporation,_The", "url": "http://www.haro-online.com/movies/corporation.html"} +{"d:Title": "IMDb: The Corporation (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Corporation,_The", "url": "http://www.imdb.com/title/tt0379225/"} +{"d:Title": "The Corporation", "d:Description": "Official website with historical background, cast and crew biographies, multimedia, and news.", "topic": "Top/Arts/Movies/Titles/C/Corporation,_The", "url": "http://www.thecorporation.com/"} +{"d:Title": "Rotten Tomatoes: The Corporation", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/C/Corporation,_The", "url": "http://www.rottentomatoes.com/m/corporation/"} +{"d:Title": "Rogerebert.com: The Corporation", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/C/Corporation,_The", "url": "http://www.rogerebert.com/reviews/the-corporation-2004"} +{"d:Title": "PopMatters", "d:Description": "Review of The Corruptor", "topic": "Top/Arts/Movies/Titles/C/Corruptor,_The", "url": "http://popmatters.com/film/reviews/c/corruptor.html"} +{"d:Title": "The Editing Room - The Corruptor", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/C/Corruptor,_The", "url": "http://the-editing-room.com/corruptor.html"} +{"d:Title": "IMDb - The Corruptor (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Corruptor,_The", "url": "http://www.imdb.com/title/tt0142192/"} +{"d:Title": "The Unofficial Corvette Summer Web Site", "d:Description": "Information on the 1978 film starring Mark Hamill. Cast, crew, sounds and screen captures, FAQ, trivia, reviews, and links.", "topic": "Top/Arts/Movies/Titles/C/Corvette_Summer", "url": "http://www.cabbagestew.com/corvette"} +{"d:Title": "IMDb: Corvette Summer (1978)", "d:Description": "Cast, crew, reviews, plot summary, viewer comments, message board, and other related movie data.", "topic": "Top/Arts/Movies/Titles/C/Corvette_Summer", "url": "http://www.imdb.com/title/tt0077372/"} +{"d:Title": "eFilmCritic - Cosi", "d:Description": "Review of the movie with ratings and comments by users.", "topic": "Top/Arts/Movies/Titles/C/Cosi", "url": "http://www.efilmcritic.com/review.php?movie=311"} +{"d:Title": "Cosi (1996)", "d:Description": "Full list of cast and crew, plot summary, user comments, and links to external reviews at IMDb.", "topic": "Top/Arts/Movies/Titles/C/Cosi", "url": "http://www.imdb.com/title/tt0115951/"} +{"d:Title": "IMDb: The Cotton Club (1984)", "d:Description": "Full cast and crew information, synopsis, and comments from viewers.", "topic": "Top/Arts/Movies/Titles/C/Cotton_Club,_The", "url": "http://www.imdb.com/title/tt0087089/"} +{"d:Title": "TV Guide: The Cotton Club", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Cotton_Club,_The", "url": "http://www.tvguide.com/movies/cotton-club/111536/"} +{"d:Title": "HARO Online - Cotton Mary", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Cotton_Mary", "url": "http://www.haro-online.com/movies/cotton_mary.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cotton_Mary", "url": "http://www.metacritic.com/movie/cotton-mary"} +{"d:Title": "IMDb - Cotton Mary (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Cotton_Mary", "url": "http://www.imdb.com/title/tt0159373/"} +{"d:Title": "Rotten Tomatoes: The Counterfeiters", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Counterfeiters,_The", "url": "http://www.rottentomatoes.com/m/die_falscher/"} +{"d:Title": "ReelViews: The Counterfeiters", "d:Description": "Review, by James Berardinelli: \"From an historical perspective, the story is interesting because it shows a different side of the war than what we're used to observing in motion pictures.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/C/Counterfeiters,_The", "url": "http://www.reelviews.net/reelviews/counterfeiters-the"} +{"d:Title": "MovieWeb: The Counterfeiters (2007)", "d:Description": "Summary, reviews, videos, photos, and box office data.", "topic": "Top/Arts/Movies/Titles/C/Counterfeiters,_The", "url": "http://movieweb.com/movie/the-counterfeiters/"} +{"d:Title": "All-Reviews.com: The Country Bears", "d:Description": "Reviews of the movie by Dustin Putman and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/C/Country_Bears,_The", "url": "http://www.all-reviews.com/videos-4/country-bears.htm"} +{"d:Title": "HARO Online: The Country Bears", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Country_Bears,_The", "url": "http://www.haro-online.com/movies/country_bears.html"} +{"d:Title": "Rotten Tomatoes: The Country Bears", "d:Description": "Synopsis, trailer, photographs, quotes from and links to reviews, box office statistics, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Country_Bears,_The", "url": "http://www.rottentomatoes.com/m/country_bears/"} +{"d:Title": "Internet Movie Database: The Country Bears", "d:Description": "Synopses, cast and crew, reviews, bloopers, trivia, soundtrack listing, promotional and technical details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/C/Country_Bears,_The", "url": "http://www.imdb.com/title/tt0276033/"} +{"d:Title": "The Count of Monte Cristo (1934)", "d:Description": "Cast, crew and review of the Robert Donat version of the story.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_1934", "url": "http://www.imdb.com/title/tt0025004/"} +{"d:Title": "AllReaders.com Review: The Count of Monte Cristo", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_1975", "url": "http://allreaders.com/movie-review-summary/the-count-of-monte-cristo-1975-6779"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews and rates the film. Also includes film stills.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_2002", "url": "http://www.haro-online.com/movies/count_of_monte_cristo.html"} +{"d:Title": "All-Reviews.com - The Count of Monte Cristo", "d:Description": "Reviews of the films by various critics.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_2002", "url": "http://www.all-reviews.com/videos-4/count-monte-cristo.htm"} +{"d:Title": "Plume-Noire: The Count of Monte Cristo", "d:Description": "Fred Thorne reviews the film, with particular reference to how it has been adapted from the original Alexander Dumas novel.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_2002", "url": "http://www.plume-noire.com/movies/reviews/thecountofmontecristo.html"} +{"d:Title": "Rotten Tomatoes: The Count of Monte Cristo", "d:Description": "Reviews, ratings, cast and credits, plus photos and trailer.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_2002", "url": "http://www.rottentomatoes.com/m/1110288-count_of_monte_cristo/"} +{"d:Title": "IMDb: The Count of Monte Cristo (2002)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_2002", "url": "http://www.imdb.com/title/tt0245844/"} +{"d:Title": "Metacritic.com: Count of Monte Cristo", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/C/Count_of_Monte_Cristo_Movies/Count_of_Monte_Cristo,_The_-_2002", "url": "http://www.metacritic.com/movie/the-count-of-monte-cristo"} +{"d:Title": "All-Reviews.com - Courage Under Fire", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Courage_Under_Fire", "url": "http://www.all-reviews.com/videos-3/courage-under-fire.htm"} +{"d:Title": "Allreaders.com Review: Courage Under Fire", "d:Description": "Detailed analysis of the film starring Meg Ryan and Denzel Washington, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Courage_Under_Fire", "url": "http://allreaders.com/movie-review-summary/courage-under-fire-4679"} +{"d:Title": "IMDb - Cousin Bette (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Cousin_Bette", "url": "http://www.imdb.com/title/tt0118894/"} +{"d:Title": "IMDb - Cover Girl (1944)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Cover_Girl", "url": "http://www.imdb.com/title/tt0036723/"} +{"d:Title": "TV Guide - Cover Girl", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Cover_Girl", "url": "http://www.tvguide.com/movies/cover-girl/111624/"} +{"d:Title": "IMDb: The Cowboys", "d:Description": "Plot summary, cast and crew details, plus users reviews.", "topic": "Top/Arts/Movies/Titles/C/Cowboys,_The", "url": "http://www.imdb.com/title/tt0068421/"} +{"d:Title": "Allreaders Review - Cowboys", "d:Description": "Detailed analysis of the John Wayne film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cowboys,_The", "url": "http://allreaders.com/movie-review-summary/the-cowboys-3604"} +{"d:Title": "Movie Mirrors - Cowboy from Brooklyn", "d:Description": "Review by Sanderson Beck (predominantly positive).", "topic": "Top/Arts/Movies/Titles/C/Cowboy_from_Brooklyn", "url": "http://www.san.beck.org/MM/1938/CowboyfromBrooklyn.html"} +{"d:Title": "IMDb - Cowboy from Brooklyn (1938)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Cowboy_from_Brooklyn", "url": "http://www.imdb.com/title/tt0030019/"} +{"d:Title": "TV Guide: Cowboy from Brooklyn", "d:Description": "Unsigned review (mixed) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/C/Cowboy_from_Brooklyn", "url": "http://www.tvguide.com/movies/cowboy-brooklyn/111652/"} +{"d:Title": "All-Reviews.com: Coyote Ugly", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.all-reviews.com/videos/coyote-ugly.htm"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.haro-online.com/movies/coyote_ugly.html"} +{"d:Title": "Everything Coyote Ugly", "d:Description": "Dedicated to the movie and the famous bar in lower east Manhattan. Photos from the movie and real bar, and fan club.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.angelfire.com/movies/coyoteuglysaloon/index.html"} +{"d:Title": "AskMen.com - Coyote Ugly", "d:Description": "\"Male perspective\" movie review.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.askmen.com/toys/movies/33_movie_reviews.html"} +{"d:Title": "PopMatters: Coyote Ugly", "d:Description": "Review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://popmatters.com/film/reviews/c/coyote-ugly.html"} +{"d:Title": "IMDb - Coyote Ugly (2000)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.imdb.com/title/tt0200550/"} +{"d:Title": "Rotten Tomatoes: Coyote Ugly (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.rottentomatoes.com/m/coyote_ugly/"} +{"d:Title": "Box Office Mojo: Coyote Ugly", "d:Description": "Daily box office chart and related links.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.boxofficemojo.com/movies/?id=coyoteugly.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://www.metacritic.com/movie/coyote-ugly"} +{"d:Title": "Allreaders Review - Coyote Ugly", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Coyote_Ugly", "url": "http://allreaders.com/movie-review-summary/coyote-ugly-5085"} +{"d:Title": "HARO Online: CQ", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/C/CQ", "url": "http://www.haro-online.com/movies/cq.html"} +{"d:Title": "CQ", "d:Description": "Official movie site. Synopsis, cast and crew list, and multimedia downloads.", "priority": "1", "topic": "Top/Arts/Movies/Titles/C/CQ", "url": "http://www.mgm.com/title_title.php?title_star=CQ"} +{"d:Title": "IMDb - CQ (2001)", "d:Description": "Synopsis, cast and crew, reviews, trivia, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/C/CQ", "url": "http://www.imdb.com/title/tt0254199/combined"} +{"d:Title": "Metacritic.com: CQ", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/C/CQ", "url": "http://www.metacritic.com/movie/cq"} +{"d:Title": "Rotten Tomatoes: Cradle 2 the Grave", "d:Description": "Includes reviews, cast and crew, synopsis, trailer and images.", "topic": "Top/Arts/Movies/Titles/C/Cradle_2_the_Grave", "url": "http://www.rottentomatoes.com/m/cradle_2_the_grave/"} +{"d:Title": "Internet Movie Database: Cradle 2 the Grave", "d:Description": "Contains reviews, plot summary, trailers, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/C/Cradle_2_the_Grave", "url": "http://www.imdb.com/title/tt0306685/"} +{"d:Title": "Cradle of Fear", "d:Description": "Contains cast, trailer, synopsis, links, and news.", "topic": "Top/Arts/Movies/Titles/C/Cradle_of_Fear", "url": "http://www.cradleoffear.com/"} +{"d:Title": "IMDb - Cradle of Fear", "d:Description": "Contains cast and crew details, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/C/Cradle_of_Fear", "url": "http://www.imdb.com/title/tt0291833/"} +{"d:Title": "HARO Online - Cradle Will Rock", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/C/Cradle_Will_Rock", "url": "http://www.haro-online.com/movies/cradle_will_rock.html"} +{"d:Title": "All-Reviews.com: Cradle Will Rock", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Cradle_Will_Rock", "url": "http://www.all-reviews.com/videos/cradle-will-rock.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/C/Cradle_Will_Rock", "url": "http://www.rottentomatoes.com/m/cradle_will_rock/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cradle_Will_Rock", "url": "http://www.metacritic.com/movie/cradle-will-rock"} +{"d:Title": "IMDb - Cradle Will Rock (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Cradle_Will_Rock", "url": "http://www.imdb.com/title/tt0150216/"} +{"d:Title": "IMDb - Craft, The (1996)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/C/Craft,_The", "url": "http://www.imdb.com/title/tt0115963/"} +{"d:Title": "Roger Ebert: The Craft", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/C/Craft,_The", "url": "http://www.rogerebert.com/reviews/the-craft-1996"} +{"d:Title": "IMDb: Crank", "d:Description": "Includes full cast and crew list, filming locations, technical specifications, photo gallery and discussion board.", "topic": "Top/Arts/Movies/Titles/C/Crank", "url": "http://www.imdb.com/title/tt0479884/"} +{"d:Title": "Cosmopolis - Crash", "d:Description": "The film by director David Cronenberg, based on the novel by J.G. Ballard, starring: James Spader, Holly Hunter, Elias Koteas, Deborah Unger, Rosanna Arquette.", "topic": "Top/Arts/Movies/Titles/C/Crash", "url": "http://www.cosmopolis.ch/english/cosmo4/crash.htm"} +{"d:Title": "All-Reviews.com - Crash", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Crash", "url": "http://www.all-reviews.com/videos-2/crash.htm"} +{"d:Title": "Seeing Stars in Hollywood: Crash Filming Locations", "d:Description": "Pictures, addresses, and maps of locations where the movie was filmed.", "topic": "Top/Arts/Movies/Titles/C/Crash", "url": "http://www.seeing-stars.com/Locations/Crash.shtml"} +{"d:Title": "IMDb - Crash (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Crash", "url": "http://www.imdb.com/title/tt0115964/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Beautiful", "url": "http://www.haro-online.com/movies/crazy_beautiful.html"} +{"d:Title": "All-Reviews.com - Crazy/Beautiful", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Beautiful", "url": "http://www.all-reviews.com/videos-3/crazy-beautiful.htm"} +{"d:Title": "Ninth Symphony Films", "d:Description": "Includes a review, synopsis and photos from the film.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Beautiful", "url": "http://regencylady.tripod.com/site/filmreviews/crazybeautiful.html"} +{"d:Title": "Rotten Tomatoes - Crazy/Beautiful", "d:Description": "Links to reviews on other sites, and pictures.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Beautiful", "url": "http://www.rottentomatoes.com/m/crazy-beautiful"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Beautiful", "url": "http://www.metacritic.com/movie/crazybeautiful"} +{"d:Title": "All-Reviews.com: Crazy in Alabama", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Crazy_in_Alabama", "url": "http://www.all-reviews.com/videos/crazy-in-alabama.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/C/Crazy_in_Alabama", "url": "http://www.rottentomatoes.com/m/crazy_in_alabama/"} +{"d:Title": "IMDb: Crazy in Alabama", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/C/Crazy_in_Alabama", "url": "http://www.imdb.com/title/tt0142201/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crazy_in_Alabama", "url": "http://www.metacritic.com/movie/crazy-in-alabama"} +{"d:Title": "IMDb: Crazy Love (2007/I)", "d:Description": "Photo gallery, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Love", "url": "http://www.imdb.com/title/tt0790706/"} +{"d:Title": "Rotten Tomatoes: Crazy Love", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Love", "url": "http://www.rottentomatoes.com/m/10007792-crazy_love/"} +{"d:Title": "MovieWeb: Crazy Love (2007)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/C/Crazy_Love", "url": "http://movieweb.com/movie/crazy-love/"} +{"d:Title": "PopMatters: The Crew", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Crew,_The_-_2000", "url": "http://popmatters.com/film/reviews/c/crew.html"} +{"d:Title": "All-Reviews.com: The Crew", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Crew,_The_-_2000", "url": "http://www.all-reviews.com/videos/crew.htm"} +{"d:Title": "Rotten Tomatoes: The Crew (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Crew,_The_-_2000", "url": "http://www.rottentomatoes.com/m/1099659-crew/"} +{"d:Title": "IMDb - The Crew (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Crew,_The_-_2000", "url": "http://www.imdb.com/title/tt0198386/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crew,_The_-_2000", "url": "http://www.metacritic.com/movie/the-crew"} +{"d:Title": "IMDb: Cr\u00eda cuervos", "d:Description": "Cast and crew, production details, awards information, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/C/Cria", "url": "http://www.imdb.com/title/tt0074360/"} +{"d:Title": "IMDb - Cries and Whispers", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Cries_and_Whispers", "url": "http://www.imdb.com/title/tt0069467/"} +{"d:Title": "IMDb - Crime and Punishment (1998)", "d:Description": "Cast/credits plus additional information about the made-for-television film", "topic": "Top/Arts/Movies/Titles/C/Crime_and_Punishment", "url": "http://www.imdb.com/title/tt0144041/"} +{"d:Title": "Rotten Tomatoes: Crime and Punishment in Suburbia (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Crime_and_Punishment_in_Suburbia", "url": "http://www.rottentomatoes.com/m/crime_and_punishment_in_suburbia/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crime_and_Punishment_in_Suburbia", "url": "http://www.metacritic.com/movie/crime-and-punishment-in-suburbia"} +{"d:Title": "IMDb: Crime and Punishment in Suburbia (2000)", "d:Description": "Cast and crew links, reviews, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Crime_and_Punishment_in_Suburbia", "url": "http://www.imdb.com/title/tt0197384/"} +{"d:Title": "AllReaders.com Crime And Punishment in Suburbia Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Crime_and_Punishment_in_Suburbia", "url": "http://allreaders.com/movie-review-summary/crime-and-punishment-in-suburbia-4659"} +{"d:Title": "IMDb: Credits", "d:Description": "Full cast and crew list for the movie.", "topic": "Top/Arts/Movies/Titles/C/Crime_and_Punishment_in_Suburbia/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0197384/fullcredits"} +{"d:Title": "Crime Doctor", "d:Description": "Cast, crew and plot summary for the first film in the series.", "topic": "Top/Arts/Movies/Titles/C/Crime_Doctor_Series", "url": "http://www.imdb.com/title/tt0035766/"} +{"d:Title": "HARO Online: El Crimen del Padre Amaro", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Crime_of_Father_Amaro,_The", "url": "http://www.haro-online.com/movies/crimen_del_padre_amaro.html"} +{"d:Title": "Rotten Tomatoes: The Crime of Father Amaro", "d:Description": "Film information, quotes from and links to reviews, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Crime_of_Father_Amaro,_The", "url": "http://www.rottentomatoes.com/m/crime_of_padre_amaro/"} +{"d:Title": "Internet Movie Database: El crimen del padre Amaro", "d:Description": "Cast and crew credits, user comments, box office information and pictures.", "topic": "Top/Arts/Movies/Titles/C/Crime_of_Father_Amaro,_The", "url": "http://www.imdb.com/title/tt0313196/"} +{"d:Title": "Metacritic: The Crime of Father Amaro", "d:Description": "Quotes from and links to reviews of the film, with an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Crime_of_Father_Amaro,_The", "url": "http://www.metacritic.com/movie/the-crime-of-father-amaro"} +{"d:Title": "Crime Wave (1954)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/C/Crime_Wave_-_1954", "url": "http://www.imdb.com/title/tt0046878/"} +{"d:Title": "Crime Wave (1954)", "d:Description": "Review by A.K. Rode for Film Monthly.", "topic": "Top/Arts/Movies/Titles/C/Crime_Wave_-_1954", "url": "http://www.filmmonthly.com/film_noir/crime_wave.html"} +{"d:Title": "Crime Wave", "d:Description": "Review by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/C/Crime_Wave_-_1954", "url": "http://homepages.sover.net/~ozus/crimewave.htm"} +{"d:Title": "TV Guide: Crime Wave", "d:Description": "Review from TV Guide Online.", "topic": "Top/Arts/Movies/Titles/C/Crime_Wave_-_1954", "url": "http://www.tvguide.com/movies/crime-wave/111780/"} +{"d:Title": "PopMatters: Criminal Lovers", "d:Description": "Review of the film by Josh Jones.", "topic": "Top/Arts/Movies/Titles/C/Criminal_Lovers", "url": "http://popmatters.com/film/reviews/c/criminal-lovers.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Criminal_Lovers", "url": "http://www.metacritic.com/movie/les-amants-criminels"} +{"d:Title": "HARO Online: Crimson Gold", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Gold", "url": "http://www.haro-online.com/movies/crimson_gold.html"} +{"d:Title": "IMDb: Crimson Gold (Talaye Sorkh) (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Gold", "url": "http://www.imdb.com/title/tt0371280/"} +{"d:Title": "Rotten Tomatoes: Crimson Gold", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Gold", "url": "http://www.rottentomatoes.com/m/crimson_gold/"} +{"d:Title": "Chicago Sun-Times: Crimson Gold", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Gold", "url": "http://www.rogerebert.com/reviews/crimson-gold-2004"} +{"d:Title": "HARO Online: The Crimson Rivers", "d:Description": "Reviewed by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Rivers,_The", "url": "http://www.haro-online.com/movies/crimson_rivers.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Rivers,_The", "url": "http://www.metacritic.com/movie/the-crimson-rivers"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Tide", "url": "http://www.all-reviews.com/videos-2/crimson-tide.htm"} +{"d:Title": "Filmtracks: Crimson Tide", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Crimson_Tide", "url": "http://www.filmtracks.com/titles/crimson_tide.html"} +{"d:Title": "All-Reviews.com - Critical Care", "d:Description": "Reviews by Harvey Karten and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/C/Critical_Care", "url": "http://all-reviews.com/videos-4/critical-care.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://www.all-reviews.com/videos-3/crocodile-dundee-los.htm"} +{"d:Title": "Ninth Symphony Films: Crocodile Dundee in Los Angeles", "d:Description": "Includes a review, synopsis, photos and film poster.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://regencylady.tripod.com/site/filmreviews/crocodiledundeeinlosangeles.html"} +{"d:Title": "Crocodile Dundee in Los Angeles Movie Review by Anthony Leong", "d:Description": "Offers movie review.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://www.mediacircus.net/crocodile.html"} +{"d:Title": "FilmTracks: Crocodile Dundee in Los Angeles", "d:Description": "Includes information about the soundtrack.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://www.filmtracks.com/titles/crocodile_la.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://www.rottentomatoes.com/m/crocodile_dundee_in_los_angeles/"} +{"d:Title": "Yahoo Movies: Crocodile Dundee in Los Angeles", "d:Description": "Cast, plot information, release dates, and commentaries.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://movies.yahoo.com/movie/crocodile-dundee-in-los-angeles/"} +{"d:Title": "IMDb: Crocodile Dundee in Los Angeles", "d:Description": "Cast overview and links.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://www.imdb.com/title/tt0231402/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Dundee_in_Los_Angeles", "url": "http://www.metacritic.com/movie/crocodile-dundee-in-los-angeles"} +{"d:Title": "All-Reviews.com: Crocodile Hunter: Collision Course", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Hunter_-_Collision_Course,_The", "url": "http://www.all-reviews.com/videos-4/crocodile-hunter-collision-course.htm"} +{"d:Title": "HARO Online: The Crocodile Hunter: Collision Course", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Hunter_-_Collision_Course,_The", "url": "http://www.haro-online.com/movies/crocodile_hunter_collision_course.html"} +{"d:Title": "Rotten Tomatoes: The Crocodile Hunter: Collision Course", "d:Description": "Links to reviews. Also includes photographs, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Hunter_-_Collision_Course,_The", "url": "http://www.rottentomatoes.com/m/crocodile_hunter_collision_course/"} +{"d:Title": "The Crocodile Hunter - Collision Course", "d:Description": "Official movie site. Includes trailer and release date [requires Flash].", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Hunter_-_Collision_Course,_The", "url": "http://www.mgm.com/title_title.php?title_star=CROCHUNT"} +{"d:Title": "IMDb: Crocodile Hunter: Collision Course, The (2002)", "d:Description": "Cast and crew, production details, plot summary, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Hunter_-_Collision_Course,_The", "url": "http://www.imdb.com/title/tt0305396/"} +{"d:Title": "Metacritic.com: The Crocodile Hunter: Collision Course", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Crocodile_Hunter_-_Collision_Course,_The", "url": "http://www.metacritic.com/movie/the-crocodile-hunter-collision-course"} +{"d:Title": "Washington Post - Crooklyn", "d:Description": "Review by Desson Howe (predominantly positive).", "topic": "Top/Arts/Movies/Titles/C/Crooklyn", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/crooklynpg13howe_a0b057.htm"} +{"d:Title": "Deseret News - Crooklyn", "d:Description": "Review by Chris Hicks (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/C/Crooklyn", "url": "http://www.deseretnews.com/article/700000383/Crooklyn.html"} +{"d:Title": "IMDb - Crooklyn (1994)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Crooklyn", "url": "http://www.imdb.com/title/tt0109504/"} +{"d:Title": "Chicago Sun-Times: Crooklyn", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Crooklyn", "url": "http://www.rogerebert.com/reviews/crooklyn-1994"} +{"d:Title": "Austin Chronicle - Crooklyn", "d:Description": "Review by Marjorie Baumgarten (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Crooklyn", "url": "http://www.austinchronicle.com/calendar/film/1994-05-13/138606/"} +{"d:Title": "TV Guide - Crooklyn", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/C/Crooklyn", "url": "http://www.tvguide.com/movies/crooklyn/129923/"} +{"d:Title": "Washington Post - Crossing Delancey", "d:Description": "Review by Desson Howe (predominantly positive).", "topic": "Top/Arts/Movies/Titles/C/Crossing_Delancey", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/crossingdelanceypghowe_a0b1bf.htm"} +{"d:Title": "IMDb - Crossing Delancey (1988)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Crossing_Delancey", "url": "http://www.imdb.com/title/tt0094921/"} +{"d:Title": "rec.arts.movies.reviews - Crossing Delancey (1988)", "d:Description": "Review by Mark R. Leeper (positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Crossing_Delancey", "url": "http://www.imdb.com/reviews/03/0389.html"} +{"d:Title": "rec.arts.movies.reviews - Crossing Delancey (1988)", "d:Description": "Review by Michael Soukup (positive), rating.", "topic": "Top/Arts/Movies/Titles/C/Crossing_Delancey", "url": "http://www.imdb.com/reviews/04/0407.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Crossing_Guard,_The", "url": "http://www.all-reviews.com/videos-2/crossing-guard.htm"} +{"d:Title": "HARO Online: Crossroads", "d:Description": "Review accompanied by photos.", "topic": "Top/Arts/Movies/Titles/C/Crossroads", "url": "http://www.haro-online.com/movies/crossroads.html"} +{"d:Title": "All-Reviews: Crossroads", "d:Description": "Various people give their reviews.", "topic": "Top/Arts/Movies/Titles/C/Crossroads", "url": "http://www.all-reviews.com/videos-4/crossroads.htm"} +{"d:Title": "Metacritic.com: Crossroads", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/C/Crossroads", "url": "http://www.metacritic.com/movie/crossroads"} +{"d:Title": "Imdb.com: Cross Creek (1983)", "d:Description": "Listing of cast and crew and user comments and ratings.", "topic": "Top/Arts/Movies/Titles/C/Cross_Creek", "url": "http://www.imdb.com/title/tt0085380/"} +{"d:Title": "Allreaders.com Spotlight: Cross Creek", "d:Description": "Detailed analysis of the Mary Steenburgen film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cross_Creek", "url": "http://allreaders.com/movie-review-summary/cross-creek-4087"} +{"d:Title": "PopMatters - Crouching Tiger, Hidden Dragon", "d:Description": "Detailed review of the film.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://popmatters.com/film/reviews/c/crouching-tiger.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.haro-online.com/movies/crouching_tiger.html"} +{"d:Title": "All-Reviews.com - Crouching Tiger, Hidden Dragon", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.all-reviews.com/videos-2/crouching-tiger.htm"} +{"d:Title": "About.com: Crouching Tiger, Hidden Dragon", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://homevideo.about.com/library/blpick060701a.htm"} +{"d:Title": "Crouching Tiger Hidden Dragon", "d:Description": "Trailers and sound clips from the film.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.chinesefortunecalendar.com/crouchingtiger.htm"} +{"d:Title": "KillerMovies.com: Crouching Tiger, Hidden Dragon", "d:Description": "Synopsis, trailer downloads, and related news.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.killermovies.com/c/crouchingtigerhiddendragon/"} +{"d:Title": "Coldbacon - Crouching Tiger, Hidden Dragon", "d:Description": "Unsigned review.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.coldbacon.com/movies/crouchingtiger.html"} +{"d:Title": "Michelle Yeoh Web Theatre: Crouching Tiger, Hidden Dragon", "d:Description": "Film details, images, video clips, music, script, information about the novels, trivia, and links.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://michelleyeoh.info/Movie/cthd.html"} +{"d:Title": "Rotten Tomatoes: Crouching Tiger Hidden Dragon (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.rottentomatoes.com/m/crouching_tiger_hidden_dragon/"} +{"d:Title": "IMDb: Wu hu zang long (2000)", "d:Description": "Cast and crew information, user reviews, trailer, and synopsis.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.imdb.com/title/tt0190332/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Crouching_Tiger,_Hidden_Dragon", "url": "http://www.metacritic.com/movie/crouching-tiger-hidden-dragon"} +{"d:Title": "All-Reviews.com - Croupier", "d:Description": "A collection of reviews and other information about the film", "topic": "Top/Arts/Movies/Titles/C/Croupier", "url": "http://www.all-reviews.com/videos/croupier.htm"} +{"d:Title": "PopMatters: Croupier", "d:Description": "Review of the film by P. Nelson Reinsch.", "topic": "Top/Arts/Movies/Titles/C/Croupier", "url": "http://www.popmatters.com/film/reviews/c/croupier.html"} +{"d:Title": "HARO Online", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Croupier", "url": "http://www.haro-online.com/movies/croupier.html"} +{"d:Title": "NYTimes.com Review : Croupier", "d:Description": "Review by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/C/Croupier", "url": "http://www.nytimes.com/2000/04/21/movies/film-review-born-for-gambling-in-a-casino-and-metaphysically.html"} +{"d:Title": "IMDb - Croupier (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Croupier", "url": "http://www.imdb.com/title/tt0159382/"} +{"d:Title": "Roger Ebert - Croupier", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/C/Croupier", "url": "http://www.rogerebert.com/reviews/croupier-2000"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Croupier", "url": "http://www.metacritic.com/movie/croupier"} +{"d:Title": "Greatest Films: The Crowd", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/C/Crowd,_The", "url": "http://www.filmsite.org/crow.html"} +{"d:Title": "TV Guide: The Crowd", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Crowd,_The", "url": "http://movies.tvguide.com/crowd/132345"} +{"d:Title": "IMDb: The Crowd", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/C/Crowd,_The", "url": "http://www.imdb.com/title/tt0018806/"} +{"d:Title": "The Crow's Loft", "d:Description": "Fan directories, mailing lists, news, multimedia, and related information.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series", "url": "http://www.thecrowsloft.com/"} +{"d:Title": "CrowFans", "d:Description": "Online community for fans of the franchise. News, contests, forum, a fan directory, image gallery, links, and transcripts of online chats with people involved in the film.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series", "url": "http://www.crowfans.com/"} +{"d:Title": "Brandon Lee's Final Resting Place", "d:Description": "Information on Brandon Lee and his final role in this movie. Includes pictures, goofs, and other details on the movie.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series/Crow,_The", "url": "http://www.angelfire.com/ma/BrandonLee/"} +{"d:Title": "All-Reviews.com: The Crow", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series/Crow,_The", "url": "http://www.all-reviews.com/videos-4/crow.htm"} +{"d:Title": "Crow4ever", "d:Description": "Background behind the Crow legend, image gallery, information about the cut Skull Cowboy scene, and bloopers.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series/Crow,_The", "url": "http://www.angelfire.com/rant/crow4ever/index.html"} +{"d:Title": "The Crow Movie Pages", "d:Description": "Lyrics to the soundtrack, animated gifs, and an interview with The Crow's creator James O'Barr.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series/Crow,_The", "url": "http://ericdraven1972.tripod.com/crowmovie.html"} +{"d:Title": "IMDb: The Crow - City of Angels (1996)", "d:Description": "Full cast and crew links, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series/Crow_-_City_of_Angels,_The", "url": "http://www.imdb.com/title/tt0115986/"} +{"d:Title": "Rotten Tomatoes: The Crow - Salvation", "d:Description": "Reviews and reactions from top critics. News, trailers, photographs, and a synopsis are included.", "topic": "Top/Arts/Movies/Titles/C/Crow_Series/Crow_-_Salvation,_The", "url": "http://www.rottentomatoes.com/m/crow_salvation/"} +{"d:Title": "IMDb: Crucible, The (1996)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, and related movie data.", "topic": "Top/Arts/Movies/Titles/C/Crucible,_The", "url": "http://www.imdb.com/title/tt0115988/"} +{"d:Title": "The Ultimate Unofficial Website", "d:Description": "Multimedia, related links, plot summary and cast information.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions", "url": "http://cruel-intentions.8m.com/index.htm"} +{"d:Title": "The Daily Script: Cruel Intentions", "d:Description": "February 10, 1998 screenplay by Roger Kumble.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions", "url": "http://www.dailyscript.com/scripts/cruel-intentions.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Full credits for cast and crew, user reviews, quotes, plot summary and numerous other 'Cruel Intentions' resources.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions", "url": "http://www.imdb.com/title/tt0139134/"} +{"d:Title": "EW.com: Cruel Intentions", "d:Description": "Reviews, photographs, and related articles.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions", "url": "http://www.ew.com/article/1999/03/12/cruel-intentions"} +{"d:Title": "Dark Horizons: Cruel Intentions", "d:Description": "Review of the film by Garth Franklin.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions", "url": "http://www.darkhorizons.com/review-Cruel-Intentions/"} +{"d:Title": "Kathryn's Cruel Intentions Club", "d:Description": "Forum for fans to discuss issues relevant to the movie 'Cruel Intentions'.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Fan_Pages", "url": "http://groups.yahoo.com/group/kathrynscruelintentionsclub/"} +{"d:Title": "Cruel Intentions Updates", "d:Description": "Movie information, including updates on the cast and information on the cancelled series spinoff 'Manchester Prep.'[Last updated in 2001]", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Fan_Pages", "url": "http://www.angelfire.com/ny3/cruelintentions/main.html"} +{"d:Title": "A Cruel World", "d:Description": "Plot summary, cast credits, movie images, set images, and television series information", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Fan_Pages", "url": "http://cruelworld.tripod.com/"} +{"d:Title": "All-Reviews.com: Cruel Intentions", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.all-reviews.com/videos/cruel-intentions.htm"} +{"d:Title": "ChildCare Action Project", "d:Description": "Evaluation of the first 22 minutes by Thomas A. Carder.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.capalert.com/capreports/cruelintentions99.htm"} +{"d:Title": "Deep Focus", "d:Description": "Review by Bryant Frazer.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.deep-focus.com/flicker/cruelint.html"} +{"d:Title": "Cinema Laser: Cruel Intentions", "d:Description": "Review of the film and its DVD by Derek M. Germano.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.thecinemalaser.com/dvd_reviews/cruel-intentions-dvd.htm"} +{"d:Title": "Kids In Mind: Cruel Intentions", "d:Description": "Evaluation of the movie based on sexual content, violence and profanity.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.kids-in-mind.com/C/cruel_intentions_1999__747.htm"} +{"d:Title": "Radio Free: Cruel Intentions", "d:Description": "Andrew Manning reviews the film.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.radiofree.com/mov-revs/cruelint.shtml"} +{"d:Title": "Screen It: Cruel Intentions", "d:Description": "Review of the film with respect to how safe it is to watch for children.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.screenit.com/movies/1999/cruel_intentions.html"} +{"d:Title": "Nitrate Online: Cruel Intentions", "d:Description": "Review by Gregory Avery.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.nitrateonline.com/1999/rcruel.html"} +{"d:Title": "Rochester Democrat And Chronicle: Cruel Intentions", "d:Description": "Review by Jack Garner.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.rochestergoesout.com/mov/c/crueli.html"} +{"d:Title": "CNN Showbiz: Cruel Intentions", "d:Description": "Review by Paul Clinton.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9903/04/review.cruelintentions/"} +{"d:Title": "Urban Cinefile", "d:Description": "Review by Luke Buckm.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.urbancinefile.com.au/home/view.asp?a=2215&s=Reviews"} +{"d:Title": "Chicago Reader", "d:Description": "\"A Teenage Wasteland\" by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.chicagoreader.com/movies/archives/1999/0399/03059.html"} +{"d:Title": "Deseret News: Cruel Intentions", "d:Description": "Review by Jeff Vice.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.deseretnews.com/article/700002192/Cruel-Intentions.html"} +{"d:Title": "Media Circus: Cruel Intentions", "d:Description": "Anthony Leong's review includes pictures and quotations.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.mediacircus.net/cruel.html"} +{"d:Title": "Cinematter", "d:Description": "Review by Matt Williams.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.cinematter.com/movie.php3?ci"} +{"d:Title": "The MIT Tech: Cruel Intentions", "d:Description": "Review by Roy Rodenstein.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://tech.mit.edu/V119/N12/Cruel_Intention.12a.html"} +{"d:Title": "Chicago Sun-Times: Cruel Intentions", "d:Description": "Review and commentary by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://www.rogerebert.com/reviews/cruel-intentions-1999"} +{"d:Title": "AllReaders.com Review - Cruel Intentions", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/C/Cruel_Intentions/Reviews", "url": "http://allreaders.com/movie-review-summary/cruel-intentions-6888"} +{"d:Title": "All-Reviews.com - Crumb", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Crumb", "url": "http://www.all-reviews.com/videos-3/crumb.htm"} +{"d:Title": "Crumb", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/C/Crumb", "url": "http://www.sonypictures.com/movies/crumb/"} +{"d:Title": "Crush", "d:Description": "Official movie site. Synopsis, cast and character biographies, director information, video clips [QuickTime] and a 'sad story' bulletin board for bad dating experiences [Flash required].", "priority": "1", "topic": "Top/Arts/Movies/Titles/C/Crush", "url": "http://www.sonyclassics.com/crush/"} +{"d:Title": "HARO Online: Crush", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Crush", "url": "http://www.haro-online.com/movies/crush.html"} +{"d:Title": "IMDb: Crush (2001)", "d:Description": "Production details, cast and crew, reviews, user ratings and comments, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/C/Crush", "url": "http://www.imdb.com/title/tt0245407/"} +{"d:Title": "Metacritic.com: Crush", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/C/Crush", "url": "http://www.metacritic.com/movie/crush"} +{"d:Title": "IMDB: Cry-Baby", "d:Description": "Summary, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/C/Cry-Baby", "url": "http://www.imdb.com/title/tt0099329/"} +{"d:Title": "IMDb: The Crying Game", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/C/Crying_Game,_The", "url": "http://www.imdb.com/title/tt0104036/"} +{"d:Title": "Roger Ebert: The Crying Game", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Crying_Game,_The", "url": "http://www.rogerebert.com/reviews/the-crying-game-1992"} +{"d:Title": "TV Guide: Crying Game, The", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/C/Crying_Game,_The", "url": "http://www.tvguide.com/movies/crying-game/129036/"} +{"d:Title": "HARO Online: Crying Ladies", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Crying_Ladies", "url": "http://www.haro-online.com/movies/crying_ladies.html"} +{"d:Title": "IMDb: Crying Ladies (2003)", "d:Description": "Plot description, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Crying_Ladies", "url": "http://www.imdb.com/title/tt0391892/"} +{"d:Title": "Rotten Tomatoes: Crying Ladies", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/C/Crying_Ladies", "url": "http://www.rottentomatoes.com/m/crying_ladies/"} +{"d:Title": "IMDb - Cry Uncle! (1971)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/C/Cry_Uncle", "url": "http://www.imdb.com/title/tt0066960/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/C/Cube", "url": "http://the-editing-room.com/cube.html"} +{"d:Title": "IMDb: Cube (1997)", "d:Description": "Full cast and crew links, synopsis, and viewer comments.", "topic": "Top/Arts/Movies/Titles/C/Cube", "url": "http://www.imdb.com/title/tt0123755/"} +{"d:Title": "Cujo", "d:Description": "Reviews from critics and audiences. Also includes synopsis, and cast members.", "topic": "Top/Arts/Movies/Titles/C/Cujo", "url": "http://www.rottentomatoes.com/m/cujo/"} +{"d:Title": "IMDb: Cujo (1983)", "d:Description": "Provides cast and crew information, plot outline, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/C/Cujo", "url": "http://www.imdb.com/title/tt0085382/"} +{"d:Title": "IMDb: Cul-de-sac", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/C/Cul-de-Sac", "url": "http://www.imdb.com/title/tt0060268/"} +{"d:Title": "PopMatters - The Cup", "d:Description": "Review of the film: \"Tibet from the Inside\"", "topic": "Top/Arts/Movies/Titles/C/Cup,_The", "url": "http://popmatters.com/film/reviews/c/cup.html"} +{"d:Title": "HARO Online - The Cup", "d:Description": "Mongoose rates the movie: not bad.", "topic": "Top/Arts/Movies/Titles/C/Cup,_The", "url": "http://www.haro-online.com/movies/cup.html"} +{"d:Title": "IMDb: The Cup [Ph\u00f6rpa] (2000)", "d:Description": "Cast and credits as well as additional information.", "topic": "Top/Arts/Movies/Titles/C/Cup,_The", "url": "http://www.imdb.com/title/tt0201840/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/C/Cup,_The", "url": "http://www.metacritic.com/movie/the-cup"} +{"d:Title": "Roger Ebert: The Cup", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/C/Cup,_The", "url": "http://www.rogerebert.com/reviews/the-cup-2000"} +{"d:Title": "Internet Movie Database: Cupid's Mistake", "d:Description": "Synopsis, cast and crew, user ratings and comments, production information, and links.", "topic": "Top/Arts/Movies/Titles/C/Cupid's_Mistake", "url": "http://imdb.com/title/tt0213582/"} +{"d:Title": "Metacritic: Cupid's Mistake", "d:Description": "Film information, links to reviews, overall rating, and viewer comments.", "topic": "Top/Arts/Movies/Titles/C/Cupid's_Mistake", "url": "http://www.metacritic.com/movie/cupids-mistake"} +{"d:Title": "Stammering Through Generic Moments of Rejection", "d:Description": "Review by Dave Kehr of the New York Times: \"... awkwardly constructed and full of unnecessary ambiguities.\" [Free registration required]", "topic": "Top/Arts/Movies/Titles/C/Cupid's_Mistake", "url": "http://www.nytimes.com/2001/08/24/movies/film-in-review-cupid-s-mistake.html"} +{"d:Title": "HARO Online: Cure", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/C/Cure", "url": "http://www.haro-online.com/movies/cure.html"} +{"d:Title": "Allreaders.com Curly Sue Spotlight", "d:Description": "Detailed analysis of the Jim Belushi film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Curly_Sue", "url": "http://allreaders.com/movie-review-summary/curly-sue-4861"} +{"d:Title": "All-Reviews.com - Curse of the Jade Scorpion", "d:Description": "Woody Allen film reviewed by Steve Rhodes, Harvey Karten and Jerry Saravia", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Jade_Scorpion", "url": "http://www.all-reviews.com/videos-3/curse-jade-scorpion.htm"} +{"d:Title": "PopMatters - Curse of the Jade Scorpion", "d:Description": "Detailed review and analysis by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Jade_Scorpion", "url": "http://popmatters.com/film/reviews/c/curse-of-the-jade-scorpion.html"} +{"d:Title": "HARO Online", "d:Description": "Review with photos.", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Jade_Scorpion", "url": "http://www.haro-online.com/movies/curse_of_the_jade.html"} +{"d:Title": "Ninth Symphony Films -The Curse of Jade Scorpion", "d:Description": "A review of the film with links, information, and photos.", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Jade_Scorpion", "url": "http://regencylady.tripod.com/site/filmreviews/thecurseofthejadescorpion.html"} +{"d:Title": "Rotten Tomatoes - Curse of the Jade Scorpion", "d:Description": "Links to reviews and news, synopsis, multimedia, and forum", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Jade_Scorpion", "url": "http://www.rottentomatoes.com/m/curse_of_the_jade_scorpion/"} +{"d:Title": "Metacritic.com: Curse of the Jade Scorpion", "d:Description": "Links to critics' reviews from various US publications.", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Jade_Scorpion", "url": "http://www.metacritic.com/movie/the-curse-of-the-jade-scorpion"} +{"d:Title": "IMDb - Curse of the Starving Class (1994)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Starving_Class", "url": "http://www.imdb.com/title/tt0109514/"} +{"d:Title": "TV Guide - Curse of the Starving Class", "d:Description": "Review by Reni Celeste (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/C/Curse_of_the_Starving_Class", "url": "http://www.tvguide.com/movies/curse-starving-class/130624/"} +{"d:Title": "All-Reviews.com - Cutthroat Island", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/C/Cutthroat_Island", "url": "http://www.all-reviews.com/videos-3/cutthroat-island.htm"} +{"d:Title": "Filmtracks: Cutthroat Island", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/C/Cutthroat_Island", "url": "http://www.filmtracks.com/titles/cutthroat_island.html"} +{"d:Title": "\"The Cutting Edge\" (PG)", "d:Description": "\"It's a love story on ice, an odd-coupling between ex-hockey player D. B. Sweeney and figure skater Moira Kelly.\" Review by Desson Howe. [WashingtonPost.com]", "topic": "Top/Arts/Movies/Titles/C/Cutting_Edge,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thecuttingedgepghowe_a0aeb5.htm"} +{"d:Title": "The Cutting Edge (1992)", "d:Description": "Cast and crew, plot summary, trivia, trailer, and user comments from IMDb.com.", "topic": "Top/Arts/Movies/Titles/C/Cutting_Edge,_The", "url": "http://www.imdb.com/title/tt0104040/"} +{"d:Title": "RogerEbert.com: The Cutting Edge", "d:Description": "\"There is essentially not an original moment in the entire film, and yet it's skillfully made and well-acted.\" Roger Ebert reviews and rates the film.", "topic": "Top/Arts/Movies/Titles/C/Cutting_Edge,_The", "url": "http://www.rogerebert.com/reviews/the-cutting-edge-1992"} +{"d:Title": "IMDb: Cut Sleeve Boys (2006)", "d:Description": "Plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/C/Cut_Sleeve_Boys", "url": "http://www.imdb.com/title/tt0461760/"} +{"d:Title": "Rotten Tomatoes: Cut Sleeve Boys", "d:Description": "Review links, synopsis, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/C/Cut_Sleeve_Boys", "url": "http://www.rottentomatoes.com/m/cut_sleeve_boys/"} +{"d:Title": "MovieWeb: Cut Sleeve Boys (2007)", "d:Description": "Summary, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/C/Cut_Sleeve_Boys", "url": "http://movieweb.com/movie/cut-sleeve-boys/"} +{"d:Title": "Cyrano de Bergerac (1950)", "d:Description": "IMDb listing with cast and crew credits, synopsis, ratings, and links.", "topic": "Top/Arts/Movies/Titles/C/Cyrano_de_Bergerac", "url": "http://www.imdb.com/title/tt0042367/"} +{"d:Title": "Allreaders.com Review: Cyrano de Bergerac", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/C/Cyrano_de_Bergerac", "url": "http://allreaders.com/movie-review-summary/cyrano-de-bergerac-1950-4142"} +{"d:Title": "IMDb - D-Tox (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/D-Tox", "url": "http://www.imdb.com/title/tt0160184/"} +{"d:Title": "Internet Movie Database: D.A.R.Y.L. (1985)", "d:Description": "Synopsis, cast and crew, user comments and ratings, trivia, soundtrack listing, production and distribution information, related films, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/D/D.A.R.Y.L.", "url": "http://imdb.com/title/tt0088979/"} +{"d:Title": "ChucksConnection: D.A.R.Y.L.", "d:Description": "Review of the film with several photographs.", "topic": "Top/Arts/Movies/Titles/D/D.A.R.Y.L.", "url": "http://chucksconnection.com/daryl.html"} +{"d:Title": "IMDb - Daddy and Them (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Daddy_and_Them", "url": "http://www.imdb.com/title/tt0166158/"} +{"d:Title": "IMDb: Daddy Day Camp (2007)", "d:Description": "Photo gallery, plot synopsis, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Camp", "url": "http://www.imdb.com/title/tt0462244/"} +{"d:Title": "Rotten Tomatoes: Daddy Day Camp", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Camp", "url": "http://www.rottentomatoes.com/m/daddy_day_camp/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, technical information, reception, and trivia.", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Camp", "url": "http://en.wikipedia.org/wiki/Daddy_Day_Camp"} +{"d:Title": "IGN Movies: Daddy Day Camp", "d:Description": "Photo gallery, videos, and a review by Rachael Biggs. [Rating: 0.5 out of 5]", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Camp", "url": "http://www.ign.com/movies/daddy-day-camp/theater-903839"} +{"d:Title": "USA Today: Daddy Day Camp", "d:Description": "Review, by Claudia Puig: \"The unfunny jokes center on outhouses, vomit and flatulence. Gooding mugs, screeches, even hops up and down to no avail. Nothing can wring an ounce of comedy out of this sorry spectacle.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Camp", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-08-07-daddy-day-camp_N.htm"} +{"d:Title": "HARO Online - Daddy Day Care", "d:Description": "Pseudonymously signed review (positive), and rating.", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Care", "url": "http://www.haro-online.com/movies/daddy_day_care.html"} +{"d:Title": "Internet Movie Database: Daddy Day Care", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, quotations, trivia, production and distribution information, related news, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Care", "url": "http://imdb.com/title/tt0317303/"} +{"d:Title": "All-Reviews.com: Daddy Day Care", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Daddy_Day_Care", "url": "http://www.all-reviews.com/videos-5/daddy-day-care.htm"} +{"d:Title": "Internet Movie Database: Damnation Alley", "d:Description": "Synopsis, cast and crew, user ratings and comments, trivia, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/D/Damnation_Alley", "url": "http://imdb.com/title/tt0075909/"} +{"d:Title": "The Bad Movie Report: Damnation Alley (1977)", "d:Description": "Detailed review of the movie, with related links.", "topic": "Top/Arts/Movies/Titles/D/Damnation_Alley", "url": "http://www.stomptokyo.com/badmoviereport/reviews/D/damnation_alley.html"} +{"d:Title": "BBC Films: The Dam Busters", "d:Description": "Synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/D/Dam_Busters,_The", "url": "http://www.bbc.co.uk/films/2000/07/17/dam_busters_review.shtml"} +{"d:Title": "All-Reviews.com - Dam Busters", "d:Description": "Review by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Dam_Busters,_The", "url": "http://all-reviews.com/videos-5/dam-busters.htm"} +{"d:Title": "IMDb: The Dam Busters", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dam_Busters,_The", "url": "http://www.imdb.com/title/tt0046889/"} +{"d:Title": "TV Guide Online: Dancer, Texas Pop. 81", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dancer,_Texas_Pop._81", "url": "http://www.tvguide.com/movies/dancer-texas-pop-81/133291/"} +{"d:Title": "All-Reviews.com", "d:Description": "A review of the film and links to other reviews.", "topic": "Top/Arts/Movies/Titles/D/Dancer_in_the_Dark/Reviews", "url": "http://www.all-reviews.com/videos-2/dancer-in-dark.htm"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Dancer_in_the_Dark/Reviews", "url": "http://www.haro-online.com/movies/dancer_in_the_dark.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/D/Dancer_in_the_Dark/Reviews", "url": "http://popmatters.com/film/reviews/d/dancer-in-the-dark.html"} +{"d:Title": "About.com Home Video/DVD: Dancer in the Dark", "d:Description": "Ivana Redwine's review looks at plot and style.", "topic": "Top/Arts/Movies/Titles/D/Dancer_in_the_Dark/Reviews", "url": "http://homevideo.about.com/library/blpick032801.htm"} +{"d:Title": "Rotten Tomatoes - Dancer in the Dark", "d:Description": "Reviews, merchandise and bulletin boards.", "topic": "Top/Arts/Movies/Titles/D/Dancer_in_the_Dark/Reviews", "url": "http://www.rottentomatoes.com/m/dancer_in_the_dark/"} +{"d:Title": "Metacritic.com: Dancer in the Dark", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/D/Dancer_in_the_Dark/Reviews", "url": "http://www.metacritic.com/movie/dancer-in-the-dark"} +{"d:Title": "Filmtracks: Dances with Wolves", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/D/Dances_with_Wolves", "url": "http://www.filmtracks.com/titles/dances_wolves.html"} +{"d:Title": "IMDb - Dances with Wolves", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dances_with_Wolves", "url": "http://www.imdb.com/title/tt0099348/"} +{"d:Title": "Roger Ebert - Dances with Wolves", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/D/Dances_with_Wolves", "url": "http://www.rogerebert.com/reviews/dances-with-wolves-1990"} +{"d:Title": "Allreaders - Dances With Wolves", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dances_with_Wolves", "url": "http://allreaders.com/movie-review-summary/dances-with-wolves-3612"} +{"d:Title": "All-Reviews.com - Dance with Me", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dance_with_Me_-_1998", "url": "http://www.all-reviews.com/videos/dance-with-me.htm"} +{"d:Title": "IMDb - Dance with the Devil (Perdita Durango) (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dance_With_the_Devil", "url": "http://www.imdb.com/title/tt0119879/"} +{"d:Title": "Yahoo Movies: Dancing at Lughnasa", "d:Description": "Synopsis, production notes, photographs, cast, and message board.", "topic": "Top/Arts/Movies/Titles/D/Dancing_at_Lughnasa", "url": "http://movies.yahoo.com/movie/dancing-at-lughnasa/"} +{"d:Title": "IMDb: Dancing at Lughnasa", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dancing_at_Lughnasa", "url": "http://www.imdb.com/title/tt0120643/"} +{"d:Title": "HARO Online: Dancing at the Blue Iguana", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Dancing_at_the_Blue_Iguana", "url": "http://www.haro-online.com/movies/dancing_at_the_blue_iguana.html"} +{"d:Title": "Internet Movie Database: Dancing at the Blue Iguana (2000)", "d:Description": "Synopsis, cast and crew, viewer comments, reviews, quotes, promotional information, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dancing_at_the_Blue_Iguana", "url": "http://www.imdb.com/title/tt0217355/"} +{"d:Title": "3BlackChicks: Bams' Review of Dancing in September", "d:Description": "Looks at plot, issues and reasons for a high rating by the reviewer.", "topic": "Top/Arts/Movies/Titles/D/Dancing_in_September", "url": "http://www.3blackchicks.com/bamsseptember.html"} +{"d:Title": "IMDb - Dancing in September (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dancing_in_September", "url": "http://www.imdb.com/title/tt0219636/"} +{"d:Title": "IMDb - Dancing in the Dark (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dancing_in_the_Dark", "url": "http://www.imdb.com/title/tt0218922/"} +{"d:Title": "IMDb: Dancing Lady", "d:Description": "Film information, cast and crew, synopsis, reviews, image gallery, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dancing_Lady", "url": "http://www.imdb.com/title/tt0023926/"} +{"d:Title": "Crazy for Cinema: Dangerous Beauty", "d:Description": "Offers a review and cast listing.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Beauty", "url": "http://crazy4cinema.com/Review/FilmsD/f_dangerous.html"} +{"d:Title": "All-Reviews.com - Dangerous Beauty", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Beauty", "url": "http://www.all-reviews.com/videos/dangerous-beauty.htm"} +{"d:Title": "IMDb - Dangerous Beauty (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Beauty", "url": "http://www.imdb.com/title/tt0118892/"} +{"d:Title": "Allreaders - Dangerous Beauty", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Beauty", "url": "http://allreaders.com/movie-review-summary/dangerous-beauty-6433"} +{"d:Title": "TV Guide Online: Dangerous Beauty", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Beauty", "url": "http://www.tvguide.com/movies/dangerous-beauty/131963/"} +{"d:Title": "TV Guide Online: Dangerous Liaisons", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Liaisons", "url": "http://www.tvguide.com/movies/dangerous-liaisons/127138/"} +{"d:Title": "HARO Online: The Dangerous Lives of Altar Boys", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Lives_of_Altar_Boys,_The", "url": "http://www.haro-online.com/movies/dangerous_lives_of_altar_boys.html"} +{"d:Title": "All-Reviews.com - The Dangerous Lives of Altar Boys", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Lives_of_Altar_Boys,_The", "url": "http://www.all-reviews.com/videos-4/dangerous-lives-of-altar-boys.htm"} +{"d:Title": "Metacritic.com: The Dangerous Lives of Altar Boys", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Lives_of_Altar_Boys,_The", "url": "http://www.metacritic.com/movie/the-dangerous-lives-of-altar-boys"} +{"d:Title": "IMDb: The Dangerous Lives of Altar Boys", "d:Description": "Cast and crew, reviews, image gallery, video clips, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Lives_of_Altar_Boys,_The", "url": "http://www.imdb.com/title/tt0238924/"} +{"d:Title": "EW.com: Dangerous Lives of Altar Boys", "d:Description": "Reviews of the film, related news articles, photographs, trailer, and user ratings.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Lives_of_Altar_Boys,_The", "url": "http://www.ew.com/article/2002/06/21/dangerous-lives-altar-boys"} +{"d:Title": "All-Reviews.com: Dangerous Minds", "d:Description": "Reviews of the movie by Andrew Hicks and Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Minds", "url": "http://www.all-reviews.com/videos-4/dangerous-minds.htm"} +{"d:Title": "Movieweb: Dangerous Minds", "d:Description": "Includes a review of the movie with links and images.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Minds", "url": "http://www.movieweb.com/movie/dangerous-minds"} +{"d:Title": "IMDb: Dangerous Minds (1995)", "d:Description": "Includes cast and crew, user comments, external links, awards and nominations, plot summary, quotes, soundtrack listing, film details, links and promotional material.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Minds", "url": "http://www.imdb.com/title/tt0112792/"} +{"d:Title": "Chicago Sun Times: Dengerous Minds", "d:Description": "Roger Ebert looks at plot and compares the film with the novel that inspired it.", "topic": "Top/Arts/Movies/Titles/D/Dangerous_Minds", "url": "http://www.rogerebert.com/reviews/dangerous-minds-1995"} +{"d:Title": "HARO Online: Danny Deckchair", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Danny_Deckchair", "url": "http://www.haro-online.com/movies/danny_deckchair.html"} +{"d:Title": "Rotten Tomatoes: Danny Deckchair", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/D/Danny_Deckchair", "url": "http://www.rottentomatoes.com/m/danny_deckchair/"} +{"d:Title": "IMDb: Danny Deckchair (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Danny_Deckchair", "url": "http://www.imdb.com/title/tt0337960/"} +{"d:Title": "Chicago Sun-Times: Danny Deckchair", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/Danny_Deckchair", "url": "http://www.rogerebert.com/reviews/danny-deckchair-2004"} +{"d:Title": "IMDb: Dans Paris (2006)", "d:Description": "Photo gallery, plot summary, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Dans_Paris", "url": "http://www.imdb.com/title/tt0769508/"} +{"d:Title": "Rotten Tomatoes: Dans Paris", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Dans_Paris", "url": "http://www.rottentomatoes.com/m/inside_paris/"} +{"d:Title": "New York Times: Dans Paris", "d:Description": "Review, by Manohla Dargis: \"Picks up where the early Fran\u00e7ois Truffaut and his comrades-in-cinema left off -- with a playful, liberatory style, and a song (actually, a few) in his heart and on his actors\u2019 lips.\"", "topic": "Top/Arts/Movies/Titles/D/Dans_Paris", "url": "http://www.nytimes.com/2007/08/08/movies/08dans.html?ref=movies"} +{"d:Title": "MovieWeb: Dans Paris (2007)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/D/Dans_Paris", "url": "http://www.movieweb.com/movie/dans-paris"} +{"d:Title": "All-Reviews.com - Dante's Peak", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dante's_Peak", "url": "http://all-reviews.com/videos-4/dantes-peak.htm"} +{"d:Title": "IMDb: Dante's Peak (1997)", "d:Description": "Includes a plot summary, trailer, cast lit, and message board.", "topic": "Top/Arts/Movies/Titles/D/Dante's_Peak", "url": "http://www.imdb.com/title/tt0118928/"} +{"d:Title": "Chicago Sun Times: Dante's Peak", "d:Description": "Roger Ebert looks at the disaster movie genre and a specific scene in the movie.", "topic": "Top/Arts/Movies/Titles/D/Dante's_Peak", "url": "http://www.rogerebert.com/reviews/dantes-peak-1997"} +{"d:Title": "KillerMovies: Daredevil", "d:Description": "News and multimedia.", "topic": "Top/Arts/Movies/Titles/D/Daredevil", "url": "http://www.killermovies.com/d/daredevil/"} +{"d:Title": "All-Reviews.com: Daredevil", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Daredevil", "url": "http://all-reviews.com/videos-5/daredevil.htm"} +{"d:Title": "HARO Online: Daredevil", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Daredevil", "url": "http://www.haro-online.com/movies/daredevil.html"} +{"d:Title": "Lyric Attack: Daredevil", "d:Description": "Lyrics to the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/D/Daredevil", "url": "http://www.lyricattack.com/d/daredevillyrics/"} +{"d:Title": "Rotten Tomatoes: Daredevil", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Daredevil", "url": "http://www.rottentomatoes.com/m/daredevil/"} +{"d:Title": "Fox Searchlight: The Darjeeling Limited", "d:Description": "Official site with production notes and video, cast and crew profiles, pictures and trailer. [Requires Flash.]", "topic": "Top/Arts/Movies/Titles/D/Darjeeling_Limited,_The", "url": "http://www.foxsearchlight.com/thedarjeelinglimited/"} +{"d:Title": "The Internet Movie Database (IMDb): The Darjeeling Limited", "d:Description": "Information about the film, including cast and crew listings, release dates and trivia.", "topic": "Top/Arts/Movies/Titles/D/Darjeeling_Limited,_The", "url": "http://www.imdb.com/title/tt0838221/"} +{"d:Title": "The New York Times: Brothers, and Their Baggage, in India", "d:Description": "A.O. Scott's mixed review: \"This shaggy-dog road trip ... is unstintingly fussy, vain and self-regarding. But it is also a treasure: an odd, flawed, but nonetheless beautifully handmade object as apt to win affection as to provoke annoyance.\"", "topic": "Top/Arts/Movies/Titles/D/Darjeeling_Limited,_The", "url": "http://www.nytimes.com/2007/09/28/movies/28darj.html"} +{"d:Title": "IMDb: Darklands", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Darklands", "url": "http://www.imdb.com/title/tt0127500/"} +{"d:Title": "Darkman", "d:Description": "Review by Joe Brown for the Washington Post.", "topic": "Top/Arts/Movies/Titles/D/Darkman", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/darkmanrbrown_a0adc0.htm"} +{"d:Title": "The Passion of the Darkman", "d:Description": "Message board and related links.", "topic": "Top/Arts/Movies/Titles/D/Darkman", "url": "http://westlakedarkman.proboards.com/"} +{"d:Title": "Darkman (1990)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Darkman", "url": "http://www.imdb.com/title/tt0099365/"} +{"d:Title": "AllMovie: Darkman", "d:Description": "Synopsis, review, credits, and list of similar films.", "topic": "Top/Arts/Movies/Titles/D/Darkman", "url": "http://www.allmovie.com/movie/darkman-v12399"} +{"d:Title": "HARO Online - Darkness Falls", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Darkness_Falls", "url": "http://www.haro-online.com/movies/darkness_falls.html"} +{"d:Title": "All-Reviews.com - When Darkness Falls", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Darkness_Falls", "url": "http://all-reviews.com/videos-5/darkness-falls.htm"} +{"d:Title": "Rotten Tomatoes: Darkness Falls", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Darkness_Falls", "url": "http://www.rottentomatoes.com/m/darkness_falls/"} +{"d:Title": "IMDb - Darkness Falls (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Darkness_Falls", "url": "http://www.imdb.com/title/tt0282209/"} +{"d:Title": "A Garbage Man With Aspirations", "d:Description": "Review by Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/D/Dark_Backward,_The", "url": "http://www.nytimes.com/1991/07/26/movies/review-film-a-garbage-man-with-aspirations.html"} +{"d:Title": "TV Guide Online: The Dark Backward", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dark_Backward,_The", "url": "http://www.tvguide.com/movies/dark-backward/128273/"} +{"d:Title": "All-Reviews.com: Dark Blue", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue", "url": "http://www.all-reviews.com/videos-5/dark-blue.htm"} +{"d:Title": "Dark Blue (2002)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue", "url": "http://www.imdb.com/title/tt0279331/"} +{"d:Title": "rogerebert.com: Dark Blue", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue", "url": "http://www.rogerebert.com/reviews/dark-blue-2003"} +{"d:Title": "HARO Online: Dark Blue World", "d:Description": "Mongoose's review finds the love story plot tedious. Accompanied by images.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue_World", "url": "http://www.haro-online.com/movies/dark_blue_world.html"} +{"d:Title": "Metacritic.com: Dark Blue World", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue_World", "url": "http://www.metacritic.com/movie/dark-blue-world"} +{"d:Title": "The Flick Filosopher: Dark Blue World", "d:Description": "Review by Mary Ann Johanson, praising the film for avoiding sentimentality, which marks out from Hollywood war films.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue_World", "url": "http://www.flickfilosopher.com/2002/02/dark-blue-world-review.html"} +{"d:Title": "IMDb: Dark Blue World", "d:Description": "Cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue_World", "url": "http://www.imdb.com/title/tt0244479/"} +{"d:Title": "Chicago Sun-Times: Dark Blue World", "d:Description": "Critic Robert Ebert reviews the film with reference to other war films and Kolya,the earlier film by the director.", "topic": "Top/Arts/Movies/Titles/D/Dark_Blue_World", "url": "http://www.rogerebert.com/reviews/dark-blue-world-2002"} +{"d:Title": "Charton Heston World: Dark City", "d:Description": "Includes plot summary, cast, pictures and comments on film.", "topic": "Top/Arts/Movies/Titles/D/Dark_City_-_1950", "url": "http://charltonhestonworld.homestead.com/DARKCITY.html"} +{"d:Title": "IMDb: Dark City (1950)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, brief review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/D/Dark_City_-_1950", "url": "http://www.imdb.com/title/tt0042379/"} +{"d:Title": "All-Reviews.com: Dark City", "d:Description": "Collected reviews of the movie", "topic": "Top/Arts/Movies/Titles/D/Dark_City_-_1998", "url": "http://www.all-reviews.com/videos/dark-city.htm"} +{"d:Title": "The New York Times: Dark City", "d:Description": "Review by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/D/Dark_City_-_1998", "url": "http://www.nytimes.com/1998/02/27/movies/film-review-you-are-getting-sleepy-who-are-you-anyway.html"} +{"d:Title": "Dark City", "d:Description": "Fansite, with a synopsis, cast filmographies, picture gallery, and multimedia.", "topic": "Top/Arts/Movies/Titles/D/Dark_City_-_1998", "url": "http://darkcity2.tripod.com/"} +{"d:Title": "IMDb - Dark City (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dark_City_-_1998", "url": "http://www.imdb.com/title/tt0118929/"} +{"d:Title": "TV Guide Online: Dark City", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dark_City_-_1998", "url": "http://www.tvguide.com/movies/dark-city/132244/"} +{"d:Title": "The Dark Crystal Official Comics Adaptation", "d:Description": "Pictures and credits for the Marvel adaptation of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dark_Crystal,_The", "url": "http://www.lauraknauth.com/MovieCollectibles/Marvel.html"} +{"d:Title": "Brian Froud's Dark Crystal Sketches", "d:Description": "Concept sketches for characters from the movie.", "topic": "Top/Arts/Movies/Titles/D/Dark_Crystal,_The", "url": "http://www.lauraknauth.com/MovieCollectibles/Froud.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/D/Dark_Crystal,_The", "url": "http://www.fast-rewind.com/darkcrystal.htm"} +{"d:Title": "Filmtracks: The Dark Crystal", "d:Description": "Soundtrack review and ratings.", "topic": "Top/Arts/Movies/Titles/D/Dark_Crystal,_The", "url": "http://www.filmtracks.com/titles/dark_crystal.html"} +{"d:Title": "RevolutionSF: An Evening with Brian Froud", "d:Description": "Brian Froud discusses the making of The Dark Crystal.", "topic": "Top/Arts/Movies/Titles/D/Dark_Crystal,_The", "url": "http://www.revolutionsf.com/article.php?id=1373"} +{"d:Title": "IMDb - The Dark Crystal", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dark_Crystal,_The", "url": "http://www.imdb.com/title/tt0083791/"} +{"d:Title": "The Dark Crystal", "d:Description": "Fan site with plot summary, character descriptions, multimedia, and credits.", "topic": "Top/Arts/Movies/Titles/D/Dark_Crystal,_The", "url": "http://darkcrystalmovie.com/"} +{"d:Title": "TV Guide Online: The Dark Half", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dark_Half,_The", "url": "http://www.tvguide.com/movies/dark-half/129684/"} +{"d:Title": "IMDb: The Dark Mirror (1946)", "d:Description": "Synopsis, cast and crew, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dark_Mirror,_The_-_1946", "url": "http://www.imdb.com/title/tt0038455/"} +{"d:Title": "All Movie Guide: The Dark Mirror", "d:Description": "Synopsis, classifications, cast and crew, ratings, and awards.", "topic": "Top/Arts/Movies/Titles/D/Dark_Mirror,_The_-_1946", "url": "http://www.allmovie.com/movie/v12254"} +{"d:Title": "TV Guide Online: The Dark Mirror", "d:Description": "Review, cast and crew, and photo.", "topic": "Top/Arts/Movies/Titles/D/Dark_Mirror,_The_-_1946", "url": "http://www.tvguide.com/movies/dark-mirror/112195/"} +{"d:Title": "IMDb: Dark Romances Vol. 1 (1990)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dark_Romances", "url": "http://www.imdb.com/title/tt0099361/"} +{"d:Title": "Dark Star", "d:Description": "Includes a review, plot outline, mailing list, and trivia about the movie and its creators.", "topic": "Top/Arts/Movies/Titles/D/Dark_Star", "url": "http://members.tripod.com/~maytg_jedi/darkstar.htm"} +{"d:Title": "Scoutship Dark Star", "d:Description": "An online group devoted to the movie and novel, featuring discussion, photographs, stories, and essays.", "topic": "Top/Arts/Movies/Titles/D/Dark_Star", "url": "http://groups.yahoo.com/group/scoutshipdarkstar/"} +{"d:Title": "Filmsite: Dark Victory", "d:Description": "Tim Dirks's extensive review and dialogue analysis.", "topic": "Top/Arts/Movies/Titles/D/Dark_Victory_-_1939", "url": "http://www.filmsite.org/dark.html"} +{"d:Title": "Internet Movie Database (IMDb): Dark Victory (1939)", "d:Description": "Plot summary, cast and credits, viewer reviews, recommendations, and image galleries.", "topic": "Top/Arts/Movies/Titles/D/Dark_Victory_-_1939", "url": "http://www.imdb.com/title/tt0031210/"} +{"d:Title": "Film Annotations: Dark Victory", "d:Description": "Jacalyn Duffin summarizes and comments on the film.", "topic": "Top/Arts/Movies/Titles/D/Dark_Victory_-_1939", "url": "http://medhum.med.nyu.edu/view/10099"} +{"d:Title": "All-Reviews.com: Daughter from Danang", "d:Description": "Review of the movie by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/D/Daughter_from_Danang", "url": "http://www.all-reviews.com/videos-5/daughter-from-danang.htm"} +{"d:Title": "Internet Movie Database: Daughter From Danang (2002)", "d:Description": "Synopsis, viewer ratings and comments, credits, reviews, and links.", "topic": "Top/Arts/Movies/Titles/D/Daughter_from_Danang", "url": "http://imdb.com/title/tt0303281/"} +{"d:Title": "A.V. Club: Daughter from Danang", "d:Description": "Review of the film by Noel Murray.", "topic": "Top/Arts/Movies/Titles/D/Daughter_from_Danang", "url": "http://www.avclub.com/review/daughter-from-danang-12270"} +{"d:Title": "Internet Movie Database: Dave (1993)", "d:Description": "Synopsis, cast and crew list, reviews, quotes, bloopers, trivia, promotional information, home video details, and links.", "topic": "Top/Arts/Movies/Titles/D/Dave", "url": "http://www.imdb.com/title/tt0106673/"} +{"d:Title": "Rotten Tomatoes: Dave", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/D/Dave", "url": "http://www.rottentomatoes.com/m/dave/"} +{"d:Title": "IMDb: Dave Barry's Complete Guide to Guys (2005)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/D/Dave_Barry's_Complete_Guide_to_Guys", "url": "http://imdb.com/title/tt0407680/"} +{"d:Title": "All-Reviews.com - Daylight", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Daylight", "url": "http://www.all-reviews.com/videos-3/daylight.htm"} +{"d:Title": "IMDb: Daylight (1996)", "d:Description": "Offers a cast list, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Daylight", "url": "http://www.imdb.com/title/tt0116040/"} +{"d:Title": "IMDb - Days of Heaven", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Days_of_Heaven", "url": "http://www.imdb.com/title/tt0077405/"} +{"d:Title": "Roger Ebert: Days of Heaven", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/D/Days_of_Heaven", "url": "http://www.rogerebert.com/reviews/great-movie-days-of-heaven-1978"} +{"d:Title": "IMDb.com: Days of Thunder", "d:Description": "Provides a cast list, plot outline, trailer, and viewer comments.", "topic": "Top/Arts/Movies/Titles/D/Days_of_Thunder", "url": "http://www.imdb.com/title/tt0099371/"} +{"d:Title": "Epinions.com: Days of Thunder", "d:Description": "Offers production details and viewer reviews of the 1990 film.", "topic": "Top/Arts/Movies/Titles/D/Days_of_Thunder", "url": "http://www.epinions.com/prices/mvie_mu-1029789"} +{"d:Title": "IMDb: Day After Tomorrow, The (2004)", "d:Description": "Provides plot synopsis, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/D/Day_After_Tomorrow,_The", "url": "http://www.imdb.com/title/tt0319262/"} +{"d:Title": "HARO Online: The Day After Tomorrow", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Day_After_Tomorrow,_The", "url": "http://www.haro-online.com/movies/day_after_tomorrow.html"} +{"d:Title": "TEN Movies: The Day After Tomorrow", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/D/Day_After_Tomorrow,_The", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1075"} +{"d:Title": "Day After Tomorrow -- Documentation", "d:Description": "Scientific documentation for the scenario painted in the film in which a sudden ice age is caused by the thermohaline current coming to a halt due to the infusion of fresh water from melting ice.", "topic": "Top/Arts/Movies/Titles/D/Day_After_Tomorrow,_The", "url": "http://www.freeenergynews.com/Directory/GlobalWarming/Day_After_Tomorrow/"} +{"d:Title": "Trailers: The Day After Tomorrow", "d:Description": "Trailers for film offered in three sizes and formats.", "topic": "Top/Arts/Movies/Titles/D/Day_After_Tomorrow,_The", "url": "http://trailers.apple.com/trailers/fox/dayaftertomorrow/"} +{"d:Title": "Future Movies: The Day After Tomorrow", "d:Description": "Mixed review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/D/Day_After_Tomorrow,_The", "url": "http://www.futuremovies.co.uk/reviews/the-day-after-tomorrow/ed-colley"} +{"d:Title": "HARO Online: The Day I Became a Woman", "d:Description": "Review by Mongoose, looking at its use of surreal imagery and how Iranian cinema is pushing the boundaries of film.", "topic": "Top/Arts/Movies/Titles/D/Day_I_Became_a_Woman,_The", "url": "http://www.haro-online.com/movies/day_i_became_a_woman.html"} +{"d:Title": "Rotten Tomatoes: The Day I Became A Woman", "d:Description": "Links to movie reviews, synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/D/Day_I_Became_a_Woman,_The", "url": "http://www.rottentomatoes.com/m/day_i_became_a_woman/"} +{"d:Title": "Metacritic.com: The Day I Became a Woman", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Day_I_Became_a_Woman,_The", "url": "http://www.metacritic.com/movie/the-day-i-became-a-woman"} +{"d:Title": "IMDb: The Day I Became a Woman", "d:Description": "Cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/D/Day_I_Became_a_Woman,_The", "url": "http://www.imdb.com/title/tt0260332/"} +{"d:Title": "Chicago Sun Times: The Day I Became a Woman", "d:Description": "Critic Robert Ebert's review looks at the three parts of the film and how the film indicates the health of Iranian cinema.", "topic": "Top/Arts/Movies/Titles/D/Day_I_Became_a_Woman,_The", "url": "http://www.rogerebert.com/reviews/the-day-i-became-a-woman-2001"} +{"d:Title": "Rotten Tomatoes: Day Night Day Night", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Day_Night_Day_Night", "url": "http://www.rottentomatoes.com/m/day_night_day_night/"} +{"d:Title": "IMDb: Day Night Day Night (2006)", "d:Description": "Photo gallery, cast list, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Day_Night_Day_Night", "url": "http://www.imdb.com/title/tt0499455/"} +{"d:Title": "MovieWeb: Day Night Day Night", "d:Description": "Release data, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/D/Day_Night_Day_Night", "url": "http://www.movieweb.com/movie/day-night-day-night"} +{"d:Title": "Boston Globe: Day Night Day Night", "d:Description": "Review, by Wesley Morris: \"Astonishing.\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Day_Night_Day_Night", "url": "http://archive.boston.com/ae/movies/articles/2007/05/18/film_follows_a_suicide_bomber_and_every_detail_has_meaning/?camp=pm"} +{"d:Title": "All-Reviews.com: Day of the Jackal", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Day_of_the_Jackal,_The", "url": "http://www.all-reviews.com/videos-4/day-of-the-jackal.htm"} +{"d:Title": "IMDb: The Day of the Jackal", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Day_of_the_Jackal,_The", "url": "http://www.imdb.com/title/tt0069947/"} +{"d:Title": "DreamLogic: I Spit on Your Grave (aka Day of the Woman)", "d:Description": "Review and discussion of the film by a film-reviewing couple.", "topic": "Top/Arts/Movies/Titles/D/Day_of_the_Woman", "url": "http://www.dreamlogic.net/REVIEWS/ispit.html"} +{"d:Title": "IMDb: I Spit on Your Grave (Day of the Woman) (1978)", "d:Description": "Plot summary, list of the cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Day_of_the_Woman", "url": "http://www.imdb.com/title/tt0077713/"} +{"d:Title": "All-Reviews.com: The Day the Earth Stood Still", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Day_the_Earth_Stood_Still,_The_-_1951", "url": "http://www.all-reviews.com/videos-5/day-earth-stood-still.htm"} +{"d:Title": "The Sci-Fi Movie Page: The Day the Earth Stood Still.", "d:Description": "Review by James O'Ehley, with pictures.", "topic": "Top/Arts/Movies/Titles/D/Day_the_Earth_Stood_Still,_The_-_1951", "url": "http://www.scifimoviepage.com/nov99pik.html"} +{"d:Title": "IMDb - The Day the Earth Stood Still", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Day_the_Earth_Stood_Still,_The_-_1951", "url": "http://www.imdb.com/title/tt0043456/"} +{"d:Title": "IMDb: Dnevnoy dozor (2006)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Day_Watch", "url": "http://www.imdb.com/title/tt0409904/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, response, comparison with the book, and trivia.", "topic": "Top/Arts/Movies/Titles/D/Day_Watch", "url": "http://en.wikipedia.org/wiki/Day_Watch"} +{"d:Title": "Rotten Tomatoes: Day Watch", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Day_Watch", "url": "http://www.rottentomatoes.com/m/day_watch/"} +{"d:Title": "IGN Movies: Day Watch", "d:Description": "Photos, videos, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Day_Watch", "url": "http://www.ign.com/movies/day-watch/theater-895025"} +{"d:Title": "MovieWeb: Day Watch (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/D/Day_Watch", "url": "http://www.movieweb.com/movie/day-watch"} +{"d:Title": "Boston Globe: Day Watch", "d:Description": "Review, by Wesley Morris: \"Anyone looking for sleek futuristic action and production design should keep walking.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Day_Watch", "url": "http://archive.boston.com/ae/movies/articles/2007/06/08/action_packed_day_is_a_sci_fi_sequel_to_watch/?camp=pm"} +{"d:Title": "HARO Online: A Day Without a Mexican", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Day_Without_a_Mexican,_A", "url": "http://www.haro-online.com/movies/day_without_mexican.html"} +{"d:Title": "IMDb: A Day Without a Mexican (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Day_Without_a_Mexican,_A", "url": "http://www.imdb.com/title/tt0377744/"} +{"d:Title": "Rotten Tomatoes: A Day Without a Mexican", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/D/Day_Without_a_Mexican,_A", "url": "http://www.rottentomatoes.com/m/day_without_a_mexican/"} +{"d:Title": "San Francisco Chronicle: A Day Without a Mexican", "d:Description": "Review by Tyche Hendricks.", "topic": "Top/Arts/Movies/Titles/D/Day_Without_a_Mexican,_A", "url": "http://www.sfgate.com/bayarea/article/SAN-FRANCISCO-D-nde-est-n-the-hired-hands-2753613.php"} +{"d:Title": "Dazed and Confused - Fansite", "d:Description": "Great movie about the last day of school in 1976", "topic": "Top/Arts/Movies/Titles/D/Dazed_and_Confused", "url": "http://www.angelfire.com/al2/gurucatherine/dazed.html"} +{"d:Title": "Damox.com: Dazed and Confused", "d:Description": "Fan site with pictures, character profiles, and trivia.", "topic": "Top/Arts/Movies/Titles/D/Dazed_and_Confused", "url": "http://www.damox.com/entertainment/fansite_dazed_and_confused.htm"} +{"d:Title": "CBC Indepth: Richard Linklater", "d:Description": "Article discussing a lawsuit against the director regarding the content of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dazed_and_Confused", "url": "http://www.cbc.ca/arts/features/linklater/"} +{"d:Title": "RollingStone.com - Dazed and Confused", "d:Description": "Review, synopsis, cast, crew, message board, and images.", "topic": "Top/Arts/Movies/Titles/D/Dazed_and_Confused", "url": "http://www.rollingstone.com/movies/reviews/dazed-and-confused-19930924"} +{"d:Title": "IMDb - Dazed and Confused (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dazed_and_Confused", "url": "http://www.imdb.com/title/tt0106677/"} +{"d:Title": "Allreaders Dazed and Confused Spotlight", "d:Description": "An analysis of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dazed_and_Confused", "url": "http://allreaders.com/movie-review-summary/dazed-and-confused-5186"} +{"d:Title": "IMDb: The Da Vinci Code", "d:Description": "Features full cast and crew, external reviews, trivia, merchandising links, filming locations, photo gallery and discussion board.", "topic": "Top/Arts/Movies/Titles/D/Da_Vinci_Code,_The", "url": "http://www.imdb.com/title/tt0382625/"} +{"d:Title": "BBC News: Nun Stages Da Vinci Code Protest", "d:Description": "A Roman Catholic nun kneels in prayer for 12 hours to protest at filming in Lincoln Cathedral.", "topic": "Top/Arts/Movies/Titles/D/Da_Vinci_Code,_The", "url": "http://news.bbc.co.uk/1/hi/england/lincolnshire/4155422.stm"} +{"d:Title": "BBC News: Group Demands Da Vinci Disclaimer", "d:Description": "Roman Catholic group Opus Dei has asked for a disclaimer to be placed on the film of The Da Vinci Code.", "topic": "Top/Arts/Movies/Titles/D/Da_Vinci_Code,_The", "url": "http://news.bbc.co.uk/1/hi/entertainment/4913938.stm"} +{"d:Title": "BoxOfficeMojo: The Da Vinci Code", "d:Description": "Provides box office information, articles, news and analysis.", "topic": "Top/Arts/Movies/Titles/D/Da_Vinci_Code,_The", "url": "http://www.boxofficemojo.com/movies/?id=davincicode.htm"} +{"d:Title": "Box Office Prophets: The Da Vinci Code", "d:Description": "Features cast list and film synopsis.", "topic": "Top/Arts/Movies/Titles/D/Da_Vinci_Code,_The", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?TMID=1382"} +{"d:Title": "JoBlo.com: The Da Vinci Code", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/D/Da_Vinci_Code,_The", "url": "http://www.joblo.com/movies/database/2006/the-da-vinci-code/"} +{"d:Title": "Scotsman.com: Da Vinci Code Soundtrack 'Too Tense' For Children", "d:Description": "Film censors said the musical score was too \"tense\" for young children and \"bone-crunching\" sound effects accentuated the onscreen violence to an unacceptable level.", "topic": "Top/Arts/Movies/Titles/D/Da_Vinci_Code,_The", "url": "http://www.scotsman.com/lifestyle/culture/film/da-vinci-code-soundtrack-too-tense-for-children-1-1411621"} +{"d:Title": "Imdb: De-Lovely (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/De-Lovely", "url": "http://www.imdb.com/title/tt0352277/"} +{"d:Title": "Rotten Tomatoes: De-Lovely", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/De-Lovely", "url": "http://www.rottentomatoes.com/m/delovely/"} +{"d:Title": "Chicago Sun-Times: De-Lovely", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/De-Lovely", "url": "http://www.rogerebert.com/reviews/de-lovely-2004"} +{"d:Title": "Deadrockstar - the movie", "d:Description": "Official filmmakers' site.", "topic": "Top/Arts/Movies/Titles/D/Deadrockstar", "url": "http://www.deadrockstar.com/deadrockstar/#"} +{"d:Title": "Dead Again (1991)", "d:Description": "Photos and a review calling this a \"simple, suspenseful, well-made thriller.\"", "topic": "Top/Arts/Movies/Titles/D/Dead_Again", "url": "http://www.crazy4cinema.com/Review/FilmsD/f_deadagain.html"} +{"d:Title": "Dead Again", "d:Description": "Review by Erik Childress of film that steers \"you headfirst into a final half hour whose destination keeps changing.\"", "topic": "Top/Arts/Movies/Titles/D/Dead_Again", "url": "http://www.efilmcritic.com/review.php?movie=2097&reviewer=198"} +{"d:Title": "Kenneth Branagh Revisits the 40s in Dead Again", "d:Description": "Review by Deborah A. Levinson.", "topic": "Top/Arts/Movies/Titles/D/Dead_Again", "url": "http://tech.mit.edu/V111/N30/dead.30a.html"} +{"d:Title": "Dead Again (1991)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dead_Again", "url": "http://www.imdb.com/title/tt0101669/"} +{"d:Title": "rogerebert.com: Dead Again", "d:Description": "Roger Ebert's review saying the film is \"for people who grew up on movies that were not afraid of grand gestures.\"", "topic": "Top/Arts/Movies/Titles/D/Dead_Again", "url": "http://www.rogerebert.com/reviews/dead-again-1991"} +{"d:Title": "Dead Alive / Braindead", "d:Description": "None of the gore can be taken too seriously here and that is exactly what Peter Jackson was shooting for. It was because of this dark humor that Peter Jackson was able to go to extremes for this film.", "topic": "Top/Arts/Movies/Titles/D/Dead_Alive", "url": "http://www.houseofhorrors.com/deadalive.htm"} +{"d:Title": "TV Guide Online: Dead Alive", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dead_Alive", "url": "http://www.tvguide.com/movies/dead-alive/129680/"} +{"d:Title": "Alex Turner Films", "d:Description": "Official site of Alex Turner, the director of the film. Provides Dead Birds movie page along with his other films.", "topic": "Top/Arts/Movies/Titles/D/Dead_Birds", "url": "http://alexturner.com/"} +{"d:Title": "IMDb - Dead Birds (2004)", "d:Description": "Features cast, crew, trivia and links.", "topic": "Top/Arts/Movies/Titles/D/Dead_Birds", "url": "http://www.imdb.com/title/tt0377749/"} +{"d:Title": "Dead Birds Screenplay", "d:Description": "Screenplay by Simon Barrett in PDF format. (requires Adobe Acrobat Reader)", "topic": "Top/Arts/Movies/Titles/D/Dead_Birds", "url": "http://www.horrorlair.com/movies/scripts/DEADBIRDS-8-27.pdf"} +{"d:Title": "Wikipedia - Dead Birds (2004 film)", "d:Description": "Features links, information about the film, cast and crew.", "topic": "Top/Arts/Movies/Titles/D/Dead_Birds", "url": "http://en.wikipedia.org/wiki/Dead_Birds_(2004_film)"} +{"d:Title": "Rotten Tomatoes - Dead Birds", "d:Description": "Provides reviews, movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dead_Birds", "url": "http://www.rottentomatoes.com/m/1140781-dead_birds/"} +{"d:Title": "IMDb: Dead Calm (1989)", "d:Description": "Includes cast and crew, user comments, plot summary, trivia, soundtrack listing, external reviews, awards and promotional material.", "topic": "Top/Arts/Movies/Titles/D/Dead_Calm", "url": "http://www.imdb.com/title/tt0097162/"} +{"d:Title": "Chicago Sun Times: Dead Calm", "d:Description": "Roger Ebert looks at plot and film technique.", "topic": "Top/Arts/Movies/Titles/D/Dead_Calm", "url": "http://www.rogerebert.com/reviews/dead-calm-1989"} +{"d:Title": "AllReaders.com: Dead Calm", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/D/Dead_Calm", "url": "http://allreaders.com/movie-review-summary/dead-calm-4654"} +{"d:Title": "IMDb - Dead Heat", "d:Description": "Contains cast and crew details, plot summary, quotes, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/D/Dead_Heat", "url": "http://www.imdb.com/title/tt0094961/"} +{"d:Title": "All-Reviews.com - Dead Man", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man", "url": "http://www.all-reviews.com/videos-3/dead-man.htm"} +{"d:Title": "Dead Man", "d:Description": "The Internet projection of the movie as presented by OBS. Includes stills, sound clips, links and quotes.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man", "url": "http://archives.obs-us.com/obs/english/films/mx/deadman/"} +{"d:Title": "IMDb.com: Dead Man", "d:Description": "Cast and crew credits, a plot summary, quotes, trivia, and reviews.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man", "url": "http://www.imdb.com/title/tt0112817/"} +{"d:Title": "Chicago Reader: Acid Western", "d:Description": "Jonathan Rosenbaum's essay on the film.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man", "url": "http://www.chicagoreader.com/movies/archives/0696/06286.html"} +{"d:Title": "All-Reviews.com - Dead Man on Campus", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_on_Campus", "url": "http://www.all-reviews.com/videos/dead-man-on-campus.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Dead Man on Campus - Compilation of several reviews of the film", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_on_Campus", "url": "http://www.rottentomatoes.com/m/dead_man_on_campus/"} +{"d:Title": "IMDb - Dead Man on Campus (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_on_Campus", "url": "http://www.imdb.com/title/tt0118301/"} +{"d:Title": "All-Reviews.com - Dead Man Walking", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_Walking", "url": "http://www.all-reviews.com/videos-2/dead-man-walking.htm"} +{"d:Title": "Crazy for Cinema: Dead Man Walking", "d:Description": "Review, cast information, and photo.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_Walking", "url": "http://crazy4cinema.com/Review/FilmsD/f_dead_man.html"} +{"d:Title": "Metacritic.com: Dead Man Walking", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_Walking", "url": "http://www.metacritic.com/movie/dead-man-walking"} +{"d:Title": "IMDb - Dead Man Walking (1995)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_Walking", "url": "http://www.imdb.com/title/tt0112818/"} +{"d:Title": "Chicago Sun-Times - Dead Man Walking", "d:Description": "Review By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/D/Dead_Man_Walking", "url": "http://www.rogerebert.com/reviews/dead-man-walking-1996"} +{"d:Title": "DreamLogic: Dead or Alive: Hanzaisha", "d:Description": "Review of Takashi Miike's film.", "topic": "Top/Arts/Movies/Titles/D/Dead_or_Alive_Series", "url": "http://www.dreamlogic.net/REVIEWS/deadoralive1.html"} +{"d:Title": "The Films of Peter Weir", "d:Description": "Brief review, cast, photos, various drafts of the script, and related information.", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society", "url": "http://www10.pair.com/crazydv/weir/dps/"} +{"d:Title": "Last Minute Changes: Dead Poets Society the Movie vs. Dead Poets Society the Script", "d:Description": "Comparison of the film to its screenplay.", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society", "url": "http://www10.pair.com/crazydv/weir/dps/comparison.html"} +{"d:Title": "Dead Poets' Society", "d:Description": "A fan of the movie presents credits, film summary, characters, as well as information and pictures of the movie's director and Robin Williams.", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society", "url": "http://laureclavel.free.fr/"} +{"d:Title": "What's Your Verse?", "d:Description": "Quotes from the film.", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society", "url": "http://www.generationterrorists.com/quotes/dps.html"} +{"d:Title": "IMDb: Dead Poets Society (1989)", "d:Description": "Full cast and credits, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society", "url": "http://www.imdb.com/title/tt0097165/"} +{"d:Title": "Dead Poets Society", "d:Description": "\"What 'Stand and Deliver's' Edward James Olmos did for logarithms, Williams aims to do for iambic pentameter in this lively blackboard melodrama.\" By Rita Kempley. [Washington Post]", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/deadpoetssocietypgkempley_a09fc4.htm"} +{"d:Title": "Dead Poets Society", "d:Description": "\"[Robin Williams has] come to show 'em that somewhere among the three Rs is an immensely pleasurable P for poetry.\" By Desson Howe. [Washington Post]", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/deadpoetssocietypghowe_a0b21e.htm"} +{"d:Title": "Deseret News: Dead Poets Society", "d:Description": "Review by Chris Hicks. \"...ultimately moving and has an ending that will leave the audience thinking as it leaves the theater.\"", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society/Reviews", "url": "http://www.deseretnews.com/article/700000423/Dead-Poets-Society.html"} +{"d:Title": "Metacritic.com: Dead Poets Society", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society/Reviews", "url": "http://www.metacritic.com/movie/dead-poets-society"} +{"d:Title": "Dead Poets Society", "d:Description": "\"...is a collection of pious platitudes masquerading as a courageous stand in favor of something: doing your own thing, I think.\" By Roger Ebert. [Sun-Times]", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society/Reviews", "url": "http://www.rogerebert.com/reviews/dead-poets-society-1989"} +{"d:Title": "AllReaders.com Review: Dead Poets Society", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Robin Williams film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dead_Poets_Society/Reviews", "url": "http://allreaders.com/movie-review-summary/dead-poets-society-4091"} +{"d:Title": "Dead Ringers", "d:Description": "Cast and crew, reviews, plot summary, comments and discussion, taglines, trailers, and related information and links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dead_Ringers", "url": "http://www.imdb.com/title/tt0094964/"} +{"d:Title": "Literature, Arts, and Medicine Database: Dead Ringers", "d:Description": "A review with summary and commentary.", "topic": "Top/Arts/Movies/Titles/D/Dead_Ringers", "url": "http://medhum.med.nyu.edu/view/10031"} +{"d:Title": "Homepage of the Dead", "d:Description": "Includes sections on all three movies, articles, fan fiction, games, message boards, and links.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy", "url": "http://www.homepageofthedead.com/"} +{"d:Title": "Zombie Farm: Dawn of the Dead Preservation Page", "d:Description": "Includes pictures, cast and character profiles, interviews, and links.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_1978", "url": "http://www2.gol.com/users/noman/"} +{"d:Title": "Rotten Tomatoes: Dawn of the Dead", "d:Description": "Quotes from and links to reviews, cast and crew overview, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_1978", "url": "http://www.rottentomatoes.com/m/1005339-dawn_of_the_dead/"} +{"d:Title": "NotComing.com: Dawn of the Dead", "d:Description": "Rumsey Taylor's review of the film.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_1978", "url": "http://www.notcoming.com/reviews/dawnofthedead/"} +{"d:Title": "IMDb: Dawn of the Dead", "d:Description": "Includes cast and crew overview, plot synopsis, user comments, and links.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_1978", "url": "http://www.imdb.com/title/tt0077402/"} +{"d:Title": "HARO Online: Dawn of the Dead", "d:Description": "Review of the remake, with pictures.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_2004", "url": "http://www.haro-online.com/movies/dawn_of_the_dead.html"} +{"d:Title": "IMDb: Dawn of the Dead (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_2004", "url": "http://www.imdb.com/title/tt0363547/"} +{"d:Title": "Dawn of the Dead 2004", "d:Description": "Pictures of the Dead 2004 mall.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_2004", "url": "http://www.fu-manchu.com/dawnofthedead2004"} +{"d:Title": "DreamLogic: Dawn of the Dead", "d:Description": "Review by Chris Nelson and Kris Kobayashi.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_2004", "url": "http://www.dreamlogic.net/REVIEWS/dawndead2004.html"} +{"d:Title": "Rotten Tomatoes: Dawn of the Dead", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_2004", "url": "http://www.rottentomatoes.com/m/dawn_of_the_dead/"} +{"d:Title": "Chicago Sun-Times: Dawn of the Dead", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Dawn_of_the_Dead_-_2004", "url": "http://www.rogerebert.com/reviews/dawn-of-the-dead-2004"} +{"d:Title": "Day", "d:Description": "A brief 'Day of the Dead' fan page with background information, pictures, and links.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Day_of_the_Dead", "url": "http://zadocvamp.tripod.com/day.htm"} +{"d:Title": "Day of the Dead Preservation Page", "d:Description": "Plot synopsis, detailed background information, pictures, mistakes, and reviews.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Day_of_the_Dead", "url": "http://drlogan.tripod.com/"} +{"d:Title": "IMDb: Day of the Dead", "d:Description": "The Internet Movie Database includes cast overview, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Day_of_the_Dead", "url": "http://www.imdb.com/title/tt0088993/"} +{"d:Title": "LikeTelevision Movies: Night of the Living Dead", "d:Description": "Full broadband movie for online viewing.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Night_of_the_Living_Dead", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=371&format=movie&theme=guide"} +{"d:Title": "IMDb: Night of the Living Dead", "d:Description": "The Internet Movie Database includes cast overview, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dead_Trilogy/Night_of_the_Living_Dead", "url": "http://www.imdb.com/title/tt0063350/"} +{"d:Title": "IMDb: The Dead Zone (1983)", "d:Description": "Provides cast and crew information, plot outline, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/D/Dead_Zone,_The", "url": "http://www.imdb.com/title/tt0085407/"} +{"d:Title": "Rotten Tomatoes: The Dead Zone", "d:Description": "Offers reviews, movie information, photographs and forum.", "topic": "Top/Arts/Movies/Titles/D/Dead_Zone,_The", "url": "http://www.rottentomatoes.com/m/dead_zone/"} +{"d:Title": "IMDb: \"Dear Frankie\"", "d:Description": "Provides information on cast, crew, reviews, plot summary, photos, soundtrack listing and external links.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie", "url": "http://www.imdb.com/title/tt0377752/"} +{"d:Title": "Rottentomatoes.com: \"Dear Frankie\"", "d:Description": "Provides synopsis, movie mistakes, production notes, characters, photos, theatrical trailer and interactive forum.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie", "url": "http://www.rottentomatoes.com/m/dear_frankie/"} +{"d:Title": "Screen It: Parental Review: \"Dear Frankie\"", "d:Description": "Review addresses film content, the cast as role models and offers a parental advisory notice.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.screenit.com/movies/2005/dear_frankie.html"} +{"d:Title": "Xiibaro Reviews: Dear Frankie", "d:Description": "Under the fa\u00e7ade of overly sentimental melodramatics is a surprisingly assured and hopeful rendition of a deaf boy\u2019s story of finding his father. By David Perry.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.cinema-scene.com/archive/06/2004/dearfrankie.html"} +{"d:Title": "Reeling Review of Dear Frankie", "d:Description": "\"Dear Frankie\" is a film that fully engages with the search for a 'champion skimmer' and defines its characters so subtly that they surprise us when they act just the way we've been told they should. By Robin and Laura Clifford.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.reelingreviews.com/dearfrankie.htm"} +{"d:Title": "Hybridmagazine.com: Dear Frankie", "d:Description": "I found it to be a sweet, touching, and overall engaging experience. Review by Jeffrey \u201cThe Vile One\u201d Harris.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.hybridmagazine.com/films/0405/dear-frankie.shtml"} +{"d:Title": "Movie-Vault.com: Dear Frankie", "d:Description": "Sweet without being too sentimental despite having a plot which sounds deceptively like a Hallmark movie or TV soap, Scottish film Dear Frankie is surprisingly worthwhile. By Avril Carruthers.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.movie-vault.com/reviews/QSxOQzDVKCKrQhAF"} +{"d:Title": "FilmJerk.com: Dear Frankie", "d:Description": "A sweet, gentle story of unusual relationships, \u201cDear Frankie\u201d has a giant heart, yet the film rarely dips into sentimentality. An unexpectedly calm performance from Gerard Butler helps matters greatly, making this a rare Miramax surprise. By Brian Orndorf.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.filmjerk.com/reviews/article.php?id_rev=522"} +{"d:Title": "DVD Review: Dear Frankie", "d:Description": "By keeping away from big emotional moments, sappy ballads on the soundtrack and other such genre staples, \"Dear Frankie\" actually steers away from the kind of tearjerker train wreck that it could have easily become.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.currentfilm.com/dvdreviews7/dearfrankiedvd.html"} +{"d:Title": "2004: The Summer's Best", "d:Description": "Even more disappointing is that Dear Frankie, which has received strong buzz so far from the majority of critics, turned out to be an entirely ho-hum motion picture. By Danny Baldwin.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.bucketreviews.com/sdff.html#BR Dear Frankie"} +{"d:Title": "Rogerebert.com: Reviews - Dear Frankie", "d:Description": "\"The filmmakers work close to the bone, finding emotional truth in hard, lonely lives,\" says the acclaimed critic in this favorable review of Shona Auerbach's 2004 film.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.rogerebert.com/reviews/dear-frankie-2005"} +{"d:Title": "Dear Frankie", "d:Description": "This slice of life drama still leaves open the ethical question of lying to your child as a way of showing you're a caring mom. By Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://homepages.sover.net/~ozus/dearfrankie.htm"} +{"d:Title": "The Flick Filosopher: Dear Frankie", "d:Description": "Director Shona Auerbach and writer Andrea Gibb have found all sorts of ways to depict tender moments of unspoken love and the wisdom and sweetness of children and will require at least four hankies if you're anything like me. By MaryAnn Johanson.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.flickfilosopher.com/2005/03/dear-frankie-and-mail-order-wife-review.html"} +{"d:Title": "Review for Dear Frankie", "d:Description": "Emily Mortimer, Jack McElhone, and Gerard Butler star in \"Dear Frankie,\" an attentive and well-made Scottish import that emphasizes strong character development in ways that are both subtle and complex. By David N. Butterworth.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.imdb.com/reviews/396/39643.html"} +{"d:Title": "Dear Frankie", "d:Description": "Dear Frankie is definitely sappy and predictable, but it's also well executed. It's a movie that wins you over in short order. By Scott Chitwood.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.comingsoon.net/dvd/reviews/10251-dear-frankie"} +{"d:Title": "Spirituality&Practice:Dear Frankie", "d:Description": "Shona Auerbach directs this intimate family drama that demonstrates the lengths one loving mother will go to protect her son from the truth about his dangerous and violent father. By Frederic and Mary Ann Brussat.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.spiritualityandpractice.com/films/reviews/view/9216"} +{"d:Title": "Entertainment Weekly: Dear Frankie", "d:Description": "Dear Frankie is a Scottish weepie of such bathos and balderdash that it deserves a drinking game in its rotten honor: Bend an elbow every time you've underestimated how low screenwriter Andrea Gibb and director Shona Auerbach will go to wring a tear. By Lisa Schwarzbaum.", "topic": "Top/Arts/Movies/Titles/D/Dear_Frankie/Reviews", "url": "http://www.ew.com/article/2005/03/09/dear-frankie"} +{"d:Title": "All-Reviews.com - Death and the Maiden", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Death_and_the_Maiden", "url": "http://www.all-reviews.com/videos-2/death-and-the-maiden.htm"} +{"d:Title": "IMDb: Death and the Maiden", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Death_and_the_Maiden", "url": "http://www.imdb.com/title/tt0109579/"} +{"d:Title": "IMDb: Death at a Funeral (2007)", "d:Description": "Plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Death_at_a_Funeral", "url": "http://www.imdb.com/title/tt0795368/"} +{"d:Title": "Rotten Tomatoes: Death at a Funeral", "d:Description": "Review links, synopsis, critical consensus, production notes, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Death_at_a_Funeral", "url": "http://www.rottentomatoes.com/m/death_at_a_funeral/"} +{"d:Title": "IGN Movies: Death at a Funeral", "d:Description": "Photos, videos, message board, and a review (rating: 1 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Death_at_a_Funeral", "url": "http://www.ign.com/movies/death-at-a-funeral-2007/theater-903819"} +{"d:Title": "MovieWeb: Death at a Funeral (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/D/Death_at_a_Funeral", "url": "http://www.movieweb.com/movie/death-at-a-funeral"} +{"d:Title": "USA Today: Death at a Funeral", "d:Description": "Review, by Claudia Puig: \"The lack of propriety and solemnity is precisely what makes this comic farce so uproariously funny.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Death_at_a_Funeral", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-08-16-death-at-a-funeral_N.htm"} +{"d:Title": "Rotten Tomatoes: Death Defying Acts", "d:Description": "Synopsis, cast members, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Death_Defying_Acts", "url": "http://www.rottentomatoes.com/m/death_defying_acts/"} +{"d:Title": "Moviefone: Death Defying Acts", "d:Description": "Overview, showtimes, cast, credits, synopsis, reviews, trailer, and news.", "topic": "Top/Arts/Movies/Titles/D/Death_Defying_Acts", "url": "http://www.moviefone.com/movie/death-defying-acts/31054/main"} +{"d:Title": "Slate: Death Defying Acts", "d:Description": "Review, by Dana Stevens: \"A minor but satisfying entry in the \"what if\" historical-fantasy genre.\"", "topic": "Top/Arts/Movies/Titles/D/Death_Defying_Acts", "url": "http://www.slate.com/articles/arts/movies/2008/07/houdini_in_love.html"} +{"d:Title": "At-A-Glance Film Reviews: Death On the Nile (1978)", "d:Description": "Very brief review. Rates the film 3.5/4.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://www.rinkworks.com/movies/m/death.on.the.nile.1978.shtml"} +{"d:Title": "The Cinema Laser: Death on the Nile", "d:Description": "Review of the movie and its release on DVD includes several stills.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://www.thecinemalaser.com/dvd2/reviews/death-on-the-nile-dvd.htm"} +{"d:Title": "Horrordvds.com: Death on the Nile", "d:Description": "Full length review of the DVD release. Includes several stills.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://www.horrordvds.com/viewarticle.php?articleid=152"} +{"d:Title": "AvRev.com: Death on the Nile", "d:Description": "Full review of the movie by Bill Warren.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://www.avrev.com/dvd-movie-disc-reviews/mystery-suspense/death-on-the-nile.html"} +{"d:Title": "digitallyobsessed.com: Agatha Christie's Death on the Nile (1978)", "d:Description": "Dan Heaton reviews the DVD release, and grades it for style, substance, technical qualities and extras.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=965"} +{"d:Title": "DVD Talk: Agatha Christie's Death on the Nile", "d:Description": "Gil Jawetz reviews the murder mystery, and rates the DVD for technical qualities and extras.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://www.dvdtalk.com/reviews/1859/agatha-christies-death-on-the-nile/"} +{"d:Title": "IMDb: Death on the Nile (1978)", "d:Description": "Cast and credits, plot synopses, viewer comments and rating, awards and nominations, very brief review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://www.imdb.com/title/tt0077413/"} +{"d:Title": "Allreaders.com: Death on the Nile", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Death_on_the_Nile", "url": "http://allreaders.com/movie-review-summary/death-on-the-nile-4271"} +{"d:Title": "Rotten Tomatoes: Death Race", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Death_Race", "url": "http://www.rottentomatoes.com/m/death_race/"} +{"d:Title": "IGN: Death Race", "d:Description": "Photos, videos, news, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Death_Race", "url": "http://www.ign.com/movies/death-race/theater-775609"} +{"d:Title": "MovieWeb: Death Race (2008)", "d:Description": "Summary, reviews, synopsis, photos, videos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/D/Death_Race", "url": "http://www.movieweb.com/movie/death-race"} +{"d:Title": "ReelViews: Death Race", "d:Description": "Review, by James Berardinelli: \"This movie is about mayhem on wheels, tough guys viewers can root for, and villains whose comeuppances audiences crave. That's what Death Race is all about and, for what it is, it does a solid job.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Death_Race", "url": "http://www.reelviews.net/reelviews/death-race"} +{"d:Title": "TV Guide: Death Race", "d:Description": "Review, by Ken Fox: \"It honestly delivers the goods without all the preachy moralizing about violent entertainment and cultural ruin.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Death_Race", "url": "http://www.tvguide.com/movies/death-race/review/294854/"} +{"d:Title": "The Boston Globe: Death Race", "d:Description": "Review, by Tom Russo: \"Smartly, Anderson makes some eclectic casting choices that keep the story from feeling as though it's populated by video-game characters.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Death_Race", "url": "http://archive.boston.com/ae/movies/articles/2008/08/22/death_race_is_a_fast_and_furious_rush/?camp=pm"} +{"d:Title": "IMDb: Death Scream (1975) (TV)", "d:Description": "Plot summary, credits, and user reviews.", "topic": "Top/Arts/Movies/Titles/D/Death_Scream", "url": "http://www.imdb.com/title/tt0072857/"} +{"d:Title": "All Movie Guide: Death Scream", "d:Description": "Plot outline and credits.", "topic": "Top/Arts/Movies/Titles/D/Death_Scream", "url": "http://www.allmovie.com/movie/death-scream-v12901"} +{"d:Title": "IMDb - Death Ship", "d:Description": "Features cast and crew details, plot summary, quotes, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/D/Death_Ship", "url": "http://www.imdb.com/title/tt0080603/"} +{"d:Title": "HARO Online: Death to Smoochy", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Death_to_Smoochy", "url": "http://www.haro-online.com/movies/death_to_smoochy.html"} +{"d:Title": "All-Reviews.com: Death to Smoochy", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/D/Death_to_Smoochy", "url": "http://www.all-reviews.com/videos-4/death-to-smoochy.htm"} +{"d:Title": "Metacritic.com: Death to Smoochy", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/D/Death_to_Smoochy", "url": "http://www.metacritic.com/movie/death-to-smoochy"} +{"d:Title": "IMDb: Death to Smoochy", "d:Description": "Production details, cast and crew, synopsis, reviews, bloopers, trivia, technical specifications, and related links.", "topic": "Top/Arts/Movies/Titles/D/Death_to_Smoochy", "url": "http://www.imdb.com/title/tt0266452/"} +{"d:Title": "Rotten Tomatoes: Death Wish 3", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Death_Wish_Series/Death_Wish_3", "url": "http://www.rottentomatoes.com/m/death_wish_3/"} +{"d:Title": "IMDb: Death Wish 3 (1985)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Death_Wish_Series/Death_Wish_3", "url": "http://www.imdb.com/title/tt0089003/"} +{"d:Title": "Allreaders.com: Death Wish 3", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Death_Wish_Series/Death_Wish_3", "url": "http://allreaders.com/movie-review-summary/death-wish-3-5765"} +{"d:Title": "HARO Online: The Debut", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Debut,_The", "url": "http://www.haro-online.com/movies/debut.html"} +{"d:Title": "All-Reviews.com: The Debut", "d:Description": "Reviews of the movie by Harvey Karten and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Debut,_The", "url": "http://www.all-reviews.com/videos-5/debut.htm"} +{"d:Title": "Metacritic.com: The Debut", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Debut,_The", "url": "http://www.metacritic.com/movie/the-debut"} +{"d:Title": "IMDb: The Debut (2000)", "d:Description": "Cast overview, synopsis, and related information.", "topic": "Top/Arts/Movies/Titles/D/Debut,_The", "url": "http://www.imdb.com/title/tt0163745/"} +{"d:Title": "IMDb: The Decameron", "d:Description": "Listing of cast and crew, other details, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Decameron,_The", "url": "http://www.imdb.com/title/tt0065622/"} +{"d:Title": "Jim's Reviews: The Decameron", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/D/Decameron,_The", "url": "http://jclarkmedia.com/pasolini/pasolini20.html"} +{"d:Title": "DVD Savant Review: The Decameron", "d:Description": "A DVD review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/D/Decameron,_The", "url": "http://www.dvdtalk.com/dvdsavant/s661decam.html"} +{"d:Title": "IMDb: December Boys (2007)", "d:Description": "Photos, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/December_Boys", "url": "http://www.imdb.com/title/tt0465436/"} +{"d:Title": "Rotten Tomatoes: December Boys", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/D/December_Boys", "url": "http://www.rottentomatoes.com/m/december_boys/"} +{"d:Title": "IGN Movies: December Boys", "d:Description": "News, photos, videos, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/D/December_Boys", "url": "http://www.ign.com/movies/december-boys/theater-754516"} +{"d:Title": "New York Times: December Boys", "d:Description": "Review, by Jeannette Catsoulis: \"A coming-of-age tale so treacly it doesn\u2019t just tug your heartstrings, it attempts to glue them to your ribs.\"", "topic": "Top/Arts/Movies/Titles/D/December_Boys", "url": "http://www.nytimes.com/2007/09/14/movies/14dece.html?ref=movies"} +{"d:Title": "MovieWeb: December Boys (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/D/December_Boys", "url": "http://www.movieweb.com/movie/december-boys"} +{"d:Title": "New York Post: December Boys", "d:Description": "Review, by Lou Lumenick: \"Tasteful and gorgeously photographed coming-of-age story.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/D/December_Boys", "url": "http://nypost.com/2007/09/13/december-boys/"} +{"d:Title": "Rotten Tomatoes: Deception", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Deception", "url": "http://www.rottentomatoes.com/m/tourist/"} +{"d:Title": "IGN Movies: Deception", "d:Description": "Photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Deception", "url": "http://www.ign.com/movies/deception/theater-14242572"} +{"d:Title": "ReelViews: Deception", "d:Description": "Review, by James Berardinelli: \"To succeed, Deception requires viewers to be both inattentive and stupid. There's not a twist in this flimsy and moth-eaten plot that isn't both contrived and transparent and not a character who hasn't been hopelessly manipulated by the needs of the narrative.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Deception", "url": "http://www.reelviews.net/reelviews/deception"} +{"d:Title": "The Boston Globe: Deception", "d:Description": "Review, by Wesley Morris: \"The movie actually does feel like an Americanized work of Hong Kong moviemaking. But the desperate, derivative style, the nonsense plotting, and leggy, horny women are applied like too much MSG.\"", "topic": "Top/Arts/Movies/Titles/D/Deception", "url": "http://archive.boston.com/ae/movies/articles/2008/04/25/a_sex_thriller_neither_sexy_nor_thrilling/"} +{"d:Title": "The Deck Ran Red", "d:Description": "Brief review of film, along with other movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Decks_Ran_Red,_The", "url": "http://homepages.sover.net/~ozus/d.htm"} +{"d:Title": "IMDb: Decks Ran Red, The (1958)", "d:Description": "Cast and crew information along with comments and other details.", "topic": "Top/Arts/Movies/Titles/D/Decks_Ran_Red,_The", "url": "http://www.imdb.com/title/tt0051524/"} +{"d:Title": "IMDB: The Decline of Western Civilization", "d:Description": "Summary, reviews, and credits.", "topic": "Top/Arts/Movies/Titles/D/Decline_of_Western_Civilization,_The", "url": "http://www.imdb.com/title/tt0082252/"} +{"d:Title": "TV Guide Online: Decline of Western Civilization, The", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/D/Decline_of_Western_Civilization,_The", "url": "http://www.tvguide.com/movies/decline-western-civilization/132479/"} +{"d:Title": "All-Reviews.com: Deconstructing Harry", "d:Description": "Collection of user submitted reviews.", "topic": "Top/Arts/Movies/Titles/D/Deconstructing_Harry", "url": "http://www.all-reviews.com/videos-2/deconstructing-harry.htm"} +{"d:Title": "TV Guide Online: Deconstructing Harry", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/D/Deconstructing_Harry", "url": "http://www.tvguide.com/movies/deconstructing-harry/132735/"} +{"d:Title": "IMDb: Dedication (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Dedication", "url": "http://www.imdb.com/title/tt0490579/"} +{"d:Title": "Rotten Tomatoes: Dedication", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Dedication", "url": "http://www.rottentomatoes.com/m/dedication/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, and critical response.", "topic": "Top/Arts/Movies/Titles/D/Dedication", "url": "http://en.wikipedia.org/wiki/Dedication_(film)"} +{"d:Title": "IGN Movies: Dedication", "d:Description": "Photos, videos, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Dedication", "url": "http://www.ign.com/movies/dedication/theater-909381"} +{"d:Title": "MovieWeb: Dedication (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/D/Dedication", "url": "http://www.movieweb.com/movie/dedication"} +{"d:Title": "Internet Movie Database: Deeply (2000)", "d:Description": "Synopsis, cast and crew, reviews, awards, trivia, promotional information, technical details, and links.", "topic": "Top/Arts/Movies/Titles/D/Deeply", "url": "http://www.imdb.com/title/tt0218141/"} +{"d:Title": "IMDb: The Deep Blue Sea", "d:Description": "Cast information and user comments for this British television movie.", "topic": "Top/Arts/Movies/Titles/D/Deep_Blue_Sea,_The_-_1994", "url": "http://imdb.com/title/tt0109587/"} +{"d:Title": "HARO Online - Deep Blue Sea", "d:Description": "Haro rates the movie: not that good.", "topic": "Top/Arts/Movies/Titles/D/Deep_Blue_Sea_-_1999", "url": "http://www.haro-online.com/movies/deep_blue_sea.html"} +{"d:Title": "All-Reviews.com - Deep Blue Sea (1999)", "d:Description": "Has movie review and related information. Review by Susan Granger with 2\u00bd stars out of 4.", "topic": "Top/Arts/Movies/Titles/D/Deep_Blue_Sea_-_1999", "url": "http://www.all-reviews.com/videos/deepbluesea.htm"} +{"d:Title": "Deep Blue Sea - Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, trailers, pictures, articles and interviews.", "topic": "Top/Arts/Movies/Titles/D/Deep_Blue_Sea_-_1999", "url": "http://www.rottentomatoes.com/m/deep_blue_sea/"} +{"d:Title": "IMDb - Deep Blue Sea", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Deep_Blue_Sea_-_1999", "url": "http://www.imdb.com/title/tt0149261/"} +{"d:Title": "Deep Blue Sea", "d:Description": "Official site. Includes 10 installments with various detailed aspects of the film and lets you look behind the scenes.", "priority": "1", "topic": "Top/Arts/Movies/Titles/D/Deep_Blue_Sea_-_1999", "url": "http://www.warnerbros.com/deep-blue-sea"} +{"d:Title": "TV Guide Online: Deep Blue Sea", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Deep_Blue_Sea_-_1999", "url": "http://www.tvguide.com/movies/deep-blue-sea/133981/"} +{"d:Title": "All-Reviews.com - The Deep End", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Deep_End,_The", "url": "http://www.all-reviews.com/videos-3/deep-end.htm"} +{"d:Title": "La Plume Noire: The Deep End", "d:Description": "Movie review by Anji Milanovic.", "topic": "Top/Arts/Movies/Titles/D/Deep_End,_The", "url": "http://www.plume-noire.com/movies/reviews/thedeepend.html"} +{"d:Title": "HARO Online: The Deep End", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Deep_End,_The", "url": "http://www.haro-online.com/movies/deep_end.html"} +{"d:Title": "PopMatters: The Deep End", "d:Description": "Movie review and interview with star Tilda Swinton.", "topic": "Top/Arts/Movies/Titles/D/Deep_End,_The", "url": "http://popmatters.com/film/reviews/d/deep-end2.html"} +{"d:Title": "Metacritic.com: The Deep End", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Deep_End,_The", "url": "http://www.metacritic.com/movie/the-deep-end"} +{"d:Title": "IMDb: The Deep End", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Deep_End,_The", "url": "http://www.imdb.com/title/tt0250323/"} +{"d:Title": "IMDb - The Deep End of the Ocean (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Deep_End_of_the_Ocean,_The", "url": "http://www.imdb.com/title/tt0120646/"} +{"d:Title": "All-Reviews.com - Deep Impact", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/D/Deep_Impact", "url": "http://www.all-reviews.com/videos/deep-impact.htm"} +{"d:Title": "Crazy for Cinema: Deep Impact", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/D/Deep_Impact", "url": "http://crazy4cinema.com/Review/FilmsD/f_deep_impact.html"} +{"d:Title": "Filmtracks: Deep Impact", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/D/Deep_Impact", "url": "http://www.filmtracks.com/titles/deep_impact.html"} +{"d:Title": "IMDb - Deep Impact (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Deep_Impact", "url": "http://www.imdb.com/title/tt0120647/"} +{"d:Title": "TV Guide Online: Deep Impact", "d:Description": "Review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Deep_Impact", "url": "http://www.tvguide.com/movies/deep-impact/132919/"} +{"d:Title": "All-Reviews.com: Deep Rising (1998)", "d:Description": "Brief review of the film", "topic": "Top/Arts/Movies/Titles/D/Deep_Rising", "url": "http://www.all-reviews.com/videos/deeprising.htm"} +{"d:Title": "IMDb - Deep Rising (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Deep_Rising", "url": "http://www.imdb.com/title/tt0118956/"} +{"d:Title": "Greatest Films: The Deer Hunter", "d:Description": "Review/synopsis/discussion of the film", "topic": "Top/Arts/Movies/Titles/D/Deer_Hunter,_The", "url": "http://www.filmsite.org/deer.html"} +{"d:Title": "All-Reviews.com: The Deer Hunter", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Deer_Hunter,_The", "url": "http://www.all-reviews.com/videos-4/deer-hunter.htm"} +{"d:Title": "IMDb: The Deer Hunter", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Deer_Hunter,_The", "url": "http://www.imdb.com/title/tt0077416/"} +{"d:Title": "TV Guide Online: The Deer Hunter", "d:Description": "Brief synopsis, review, and credits.", "topic": "Top/Arts/Movies/Titles/D/Deer_Hunter,_The", "url": "http://www.tvguide.com/movies/deer-hunter/104711/"} +{"d:Title": "IMDb - Defiance (1980)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Defiance", "url": "http://www.imdb.com/title/tt0080605/combined"} +{"d:Title": "Cinebooks Database - Defiance", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/D/Defiance", "url": "http://www.tvguide.com/movies/defiance/112649/"} +{"d:Title": "IMDb: Definitely, Maybe (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Definitely,_Maybe", "url": "http://www.imdb.com/title/tt0832266/"} +{"d:Title": "Rotten Tomatoes: Definitely, Maybe", "d:Description": "Synopsis, cast list, photos, videos, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Definitely,_Maybe", "url": "http://www.rottentomatoes.com/m/1174278-definitely_maybe/"} +{"d:Title": "IGN Movies: Definitely, Maybe", "d:Description": "Video, photos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Definitely,_Maybe", "url": "http://www.ign.com/movies/definitely-maybe/theater-14208407"} +{"d:Title": "MovieWeb: Definitely, Maybe (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/D/Definitely,_Maybe", "url": "http://www.movieweb.com/movie/definitely-maybe"} +{"d:Title": "The Austin Chronicle: Defying Gravity", "d:Description": "Review by Steve Davis.", "topic": "Top/Arts/Movies/Titles/D/Defying_Gravity", "url": "http://www.austinchronicle.com/calendar/film/1999-11-12/140012/"} +{"d:Title": "TV Guide Online - Defying Gravity", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/D/Defying_Gravity", "url": "http://www.tvguide.com/movies/defying-gravity/134249/"} +{"d:Title": "Delius: Song of Summer", "d:Description": "Review showing the importance of director Ken Russell, examining the accuracy of this BBC-rooted biography. From the December 2001 MusicWeb(UK).", "topic": "Top/Arts/Movies/Titles/D/Delius", "url": "http://www.musicweb-international.com/classrev/2001/Dec01/Delius_Russell.htm"} +{"d:Title": "Delius: Sound Clips from \"Song of Summer\" BBC Film", "d:Description": "Several samples in RA audio format of musical and verbal portions of the film about the blind and dying composer.", "topic": "Top/Arts/Movies/Titles/D/Delius", "url": "http://thompsonian.info/summer.html"} +{"d:Title": "Delius (1989)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, and links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Movies/Titles/D/Delius", "url": "http://www.imdb.com/title/tt0063628/"} +{"d:Title": "Greatest Films: Deliverance", "d:Description": "Review and synopsis of the film.", "topic": "Top/Arts/Movies/Titles/D/Deliverance", "url": "http://www.filmsite.org/deli.html"} +{"d:Title": "All-Reviews.com - Deliverance", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Deliverance", "url": "http://www.all-reviews.com/videos-2/deliverance.htm"} +{"d:Title": "IMDb: Deliverance", "d:Description": "Cast/credits plus other information about the film.", "topic": "Top/Arts/Movies/Titles/D/Deliverance", "url": "http://www.imdb.com/title/tt0068473/"} +{"d:Title": "Allreaders - Deliverance", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Deliverance", "url": "http://allreaders.com/movie-review-summary/deliverance-4231"} +{"d:Title": "TV Guide Online: Deliverance", "d:Description": "Review, synopsis, and credits.", "topic": "Top/Arts/Movies/Titles/D/Deliverance", "url": "http://www.tvguide.com/movies/deliverance/112664/"} +{"d:Title": "IMDb - Delivery Boys (1984)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Delivery_Boys", "url": "http://www.imdb.com/title/tt0087134/"} +{"d:Title": "Cinebooks Database - Delivery Boys", "d:Description": "Unsigned review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Delivery_Boys", "url": "http://www.tvguide.com/movies/delivery-boys/112665/"} +{"d:Title": "HARO Online - Deliver Us From Eva", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deliver_Us_from_Eva", "url": "http://www.haro-online.com/movies/deliver_us_from_eva.html"} +{"d:Title": "All-Reviews.com - Deliver us from Eva", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Deliver_Us_from_Eva", "url": "http://all-reviews.com/videos-5/deliver-us-from-eva.htm"} +{"d:Title": "Rotten Tomatoes: Deliver Us from Eva", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Deliver_Us_from_Eva", "url": "http://www.rottentomatoes.com/m/deliver_us_from_eva/"} +{"d:Title": "IMDb - Deliver Us from Eva (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Deliver_Us_from_Eva", "url": "http://www.imdb.com/title/tt0301181/"} +{"d:Title": "Rotten Tomatoes: Delta Farce", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Delta_Farce", "url": "http://www.rottentomatoes.com/m/delta_farce/"} +{"d:Title": "IMDb: Delta Farce (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Delta_Farce", "url": "http://www.imdb.com/title/tt0800003/"} +{"d:Title": "Wikipedia: Delta Farce", "d:Description": "Article describing the plot, taglines, trivia, cast, and critical reception.", "topic": "Top/Arts/Movies/Titles/D/Delta_Farce", "url": "http://en.wikipedia.org/wiki/Delta_Farce"} +{"d:Title": "IGN Movies: Delta Farce", "d:Description": "Provides information, box office data, photo gallery, and trailers.", "topic": "Top/Arts/Movies/Titles/D/Delta_Farce", "url": "http://www.ign.com/movies/delta-farce/theater-873865"} +{"d:Title": "The Austin Chronicle", "d:Description": "Review, by Marrit Ingman: \"But is it funny? Not really.\"", "topic": "Top/Arts/Movies/Titles/D/Delta_Farce", "url": "http://www.austinchronicle.com/calendar/film/2007-05-18/472787/"} +{"d:Title": "Movieprop.com - Delta Force", "d:Description": "Features character profiles, pictures, links, and a review.", "topic": "Top/Arts/Movies/Titles/D/Delta_Force_Series/Delta_Force", "url": "http://movieprop.com/tvandmovie/reviews/deltaforce.htm"} +{"d:Title": "IMDb - Delta Force, The", "d:Description": "Features plot summary, cast and crew details, quotes, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/D/Delta_Force_Series/Delta_Force", "url": "http://www.imdb.com/title/tt0090927/"} +{"d:Title": "SoundtrackCollector: Dementia 13", "d:Description": "Soundtrack details for the film.", "topic": "Top/Arts/Movies/Titles/D/Dementia_13", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=19577"} +{"d:Title": "Internet Movie Database: Dementia 13", "d:Description": "Plot summary, cast and crew, user comments and ratings, trivia, production and distribution details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/D/Dementia_13", "url": "http://imdb.com/title/tt0056983/"} +{"d:Title": "The Science Fiction, Horror and Fantasy Film Review: Dementia 13", "d:Description": "Review of the movie by Richard Scheib.", "topic": "Top/Arts/Movies/Titles/D/Dementia_13", "url": "http://www.moria.co.nz/horror/dementia13.htm"} +{"d:Title": "LikeTelevision: Dementia 13", "d:Description": "Full broadband movie download, in four parts. Also includes film information and trailer.", "topic": "Top/Arts/Movies/Titles/D/Dementia_13", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=95&format=movie&theme=guide"} +{"d:Title": "TV Guide Online: Demolition Man", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Demolition_Man", "url": "http://www.tvguide.com/movies/demolition-man/129347/"} +{"d:Title": "IMDb - Demon Knight", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Demon_Knight", "url": "http://www.imdb.com/title/tt0114608/"} +{"d:Title": "All-Reviews.com - Denise Calls Up", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Denise_Calls_Up", "url": "http://www.all-reviews.com/videos-3/denise-calls-up.htm"} +{"d:Title": "TV Guide Online: Denise Calls Up", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Denise_Calls_Up", "url": "http://www.tvguide.com/movies/denise-calls/131234/"} +{"d:Title": "IMDb: Derailed", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/D/Derailed", "url": "http://www.imdb.com/title/tt0398017/"} +{"d:Title": "Derailed", "d:Description": "Official site includes trailer, synopsis, cast list and book link.", "topic": "Top/Arts/Movies/Titles/D/Derailed", "url": "http://www.weinsteinco.com/#/film/derailed"} +{"d:Title": "JoBlo.com: Derailed", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/D/Derailed", "url": "http://www.joblo.com/movies/database/2005/derailed/"} +{"d:Title": "HARO Online: Desecration", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Desecration", "url": "http://www.haro-online.com/movies/desecration.html"} +{"d:Title": "Internet Movie Database: Desecration (1999)", "d:Description": "Synopsis, cast and crew list, reviews, related films, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/D/Desecration", "url": "http://www.imdb.com/title/tt0273169/"} +{"d:Title": "IMDb: Desert Blue", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/D/Desert_Blue", "url": "http://www.imdb.com/title/tt0126261/"} +{"d:Title": "Home Theater Info: Desert Hearts", "d:Description": "Review of the movie on DVD. Rated 3/4.", "topic": "Top/Arts/Movies/Titles/D/Desert_Hearts", "url": "http://www.hometheaterinfo.com/desert.htm"} +{"d:Title": "IMDb: Desert Hearts (1985)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/D/Desert_Hearts", "url": "http://www.imdb.com/title/tt0089015/"} +{"d:Title": "Roger Ebert: Desert Hearts", "d:Description": "Full-length review of this indie film. Rated 2.5/4. Also has site user rating.", "topic": "Top/Arts/Movies/Titles/D/Desert_Hearts", "url": "http://www.rogerebert.com/reviews/desert-hearts-1986"} +{"d:Title": "IMDb: The Designated Mourner", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Designated_Mourner,_The", "url": "http://www.imdb.com/title/tt0118964/"} +{"d:Title": "TV Guide Online: Designated Mourner, The", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Designated_Mourner,_The", "url": "http://www.tvguide.com/movies/designated-mourner/131914/"} +{"d:Title": "IMDb - Desk Set (1957)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Desk_Set", "url": "http://www.imdb.com/title/tt0050307/"} +{"d:Title": "rec.arts.movies.reviews - Desk Set (1957)", "d:Description": "Review by Seth Bookey (positive).", "topic": "Top/Arts/Movies/Titles/D/Desk_Set", "url": "http://www.imdb.com/reviews/83/8363.html"} +{"d:Title": "All-Reviews.com: Desperado", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Desperado", "url": "http://www.all-reviews.com/videos-4/desperado.htm"} +{"d:Title": "Allreaders - Desperado", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Desperado", "url": "http://allreaders.com/movie-review-summary/desperado-5760"} +{"d:Title": "Desperate (1947)", "d:Description": "Cast, crew, plot summary and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Desperate_-_1947", "url": "http://www.imdb.com/title/tt0039313/"} +{"d:Title": "Desperate", "d:Description": "Synopsis, cast and crew from the All Movie Guide.", "topic": "Top/Arts/Movies/Titles/D/Desperate_-_1947", "url": "http://www.allmovie.com/movie/v13402"} +{"d:Title": "Desperate", "d:Description": "Review by Dennis Schwartz. \"Anthony Mann is a master at directing these cheapie films and making them look stylish.\"", "topic": "Top/Arts/Movies/Titles/D/Desperate_-_1947", "url": "http://homepages.sover.net/~ozus/desperate.htm"} +{"d:Title": "Turner Classic Movies: Desperate", "d:Description": "Synopsis, full cast and crew, soundtrack and original print information, technical details and related articles.", "topic": "Top/Arts/Movies/Titles/D/Desperate_-_1947", "url": "http://www.tcm.com/tcmdb/title/2547/Desperate/"} +{"d:Title": "IMDb - Desperate Measures (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Desperate_Measures_-_1998", "url": "http://www.imdb.com/title/tt0118966/"} +{"d:Title": "Roger Ebert - Desperate Measures", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/D/Desperate_Measures_-_1998", "url": "http://www.rogerebert.com/reviews/desperate-measures-1998"} +{"d:Title": "TV Guide Online: Desperate Measures", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Desperate_Measures_-_1998", "url": "http://www.tvguide.com/movies/desperate-measures/132305/"} +{"d:Title": "Stomp Tokyo: Destination Moon", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Destination_Moon", "url": "http://www.stomptokyo.com/badmoviereport/reviews/D/destination_moon.html"} +{"d:Title": "IMDb: Destination Moon (1950)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Destination_Moon", "url": "http://www.imdb.com/title/tt0042393/"} +{"d:Title": "Internet Movie Database: Massir, al- (1997)", "d:Description": "Plot synopsis, reviews, cast and crew list, quotes, promotional information, and related links.", "topic": "Top/Arts/Movies/Titles/D/Destiny_-_1997", "url": "http://www.imdb.com/title/tt0119629/"} +{"d:Title": "IMDb - Deterrence", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Deterrence", "url": "http://www.imdb.com/title/tt0158583/"} +{"d:Title": "TV Guide Online - Deterrence", "d:Description": "Review by Ken Fox, cast, and credits.", "topic": "Top/Arts/Movies/Titles/D/Deterrence", "url": "http://www.tvguide.com/movies/deterrence/134319/"} +{"d:Title": "PopMatters - Deterrence", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/D/Deterrence/Reviews", "url": "http://popmatters.com/film/reviews/d/deterrence.html"} +{"d:Title": "Washingtonpost.com - Deterrence", "d:Description": "Review by Desson Howe.", "topic": "Top/Arts/Movies/Titles/D/Deterrence/Reviews", "url": "http://www.washingtonpost.com/wp-srv/entertainment/movies/reviews/deterrencehowe.htm"} +{"d:Title": "HARO Online - Deterrence", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/D/Deterrence/Reviews", "url": "http://www.haro-online.com/movies/deterrence.html"} +{"d:Title": "Chicago Sun-Times: Deterrence", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/D/Deterrence/Reviews", "url": "http://www.rogerebert.com/reviews/deterrence-2000"} +{"d:Title": "Chicago Reader - Deterrence", "d:Description": "Review by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/D/Deterrence/Reviews", "url": "http://www.chicagoreader.com/chicago/deterrence/Film?oid=1059402"} +{"d:Title": "Detour", "d:Description": "DVD review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.dvdtalk.com/dvdsavant/s140detour.html"} +{"d:Title": "Detour (1945) - Ulmer's B-Movie Masterpiece", "d:Description": "Jeffrey M. Anderson review focusing on Martin Goldsmith's original screenplay.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.combustiblecelluloid.com/detour.shtml"} +{"d:Title": "Edgar G. Ulmer's Detour", "d:Description": "DVD review by Gary Morris of the \"noir masterpiece.\"", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.imagesjournal.com/issue09/reviews/detour/"} +{"d:Title": "Detour (1945)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.imdb.com/title/tt0037638/"} +{"d:Title": "Film Court: Detour", "d:Description": "Review by Lawrence Russell.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.culturecourt.com/F/Noir/Detour.htm"} +{"d:Title": "Detour (1945)", "d:Description": "DVD review from Digitally Obsessed.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=544"} +{"d:Title": "Detour", "d:Description": "Review by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://homepages.sover.net/~ozus/detour.htm"} +{"d:Title": "Detour", "d:Description": "Review by Roger Ebert for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.rogerebert.com/reviews/great-movie-detour-1945"} +{"d:Title": "Detour", "d:Description": "Robert Weston review for Film Monthly.", "topic": "Top/Arts/Movies/Titles/D/Detour_-_1945", "url": "http://www.filmmonthly.com/film_noir/detour.html"} +{"d:Title": "TV Guide Online: Detroit 9000", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Detroit_9000", "url": "http://www.tvguide.com/movies/detroit-9000/112816/"} +{"d:Title": "All-Reviews.com - Detroit Rock City", "d:Description": "Collection of reviews about the movie", "topic": "Top/Arts/Movies/Titles/D/Detroit_Rock_City", "url": "http://www.all-reviews.com/videos/detroit-rock-city.htm"} +{"d:Title": "VnS Dictionary: Detroit Rock City", "d:Description": "Clips from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/D/Detroit_Rock_City", "url": "http://detroitrockcity89.tripod.com/detroitrockcity.html"} +{"d:Title": "IMDb - Detroit Rock City (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/D/Detroit_Rock_City", "url": "http://www.imdb.com/title/tt0165710/"} +{"d:Title": "Detroit Rock City: Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to trailers, reviews, pictures, and articles.", "topic": "Top/Arts/Movies/Titles/D/Detroit_Rock_City", "url": "http://www.rottentomatoes.com/m/detroit_rock_city/"} +{"d:Title": "HARO Online - Deuces Wild", "d:Description": "Review by Mongoose (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.haro-online.com/movies/deuces_wild.html"} +{"d:Title": "Light Views - Deuces Mild", "d:Description": "Review by John Larsen (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.lightviews.com/deuceswild.htm"} +{"d:Title": "TheMovieBoy - Deuces Wild", "d:Description": "Review by Dustin Putman (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.themovieboy.com/directlinks/02deuceswild.htm"} +{"d:Title": "Cranky Critic - Deuces Wild", "d:Description": "Review by Chuck Schwartz (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.crankycritic.com/archive02/deuceswild.html"} +{"d:Title": "Nitrate Online - Bring Da Pain", "d:Description": "Review by Cynthia Fuchs (negative).", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.nitrateonline.com/2002/rdeuces.html"} +{"d:Title": "Entertain Your Brain - Deuces Wild", "d:Description": "Review by Shawn McKenzie (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.entertainyourbrain.com/deuceswildrev.htm"} +{"d:Title": "Deuces Wild", "d:Description": "Official movie site. Synopsis, cast and crew, rival gang profiles, image gallery, and multimedia [requires Flash].", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.mgm.com/title_title.php?title_star=DEUCESWI"} +{"d:Title": "Seattle Post-Intelligencer - Its Glut of Violence Aside, Deuces Wild Still Lays Down a Lousy Hand", "d:Description": "Review by William Arnold (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.seattlepi.com/ae/movies/article/Its-glut-of-violence-aside-Deuces-Wild-still-1086511.php"} +{"d:Title": "rec.arts.movies.reviews - Deuces Wild", "d:Description": "Review by Steve Rhodes (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.imdb.com/reviews/318/31850.html"} +{"d:Title": "Ozus' World Movie Reviews - Deuces Wild", "d:Description": "Review by Dennis Schwartz (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://homepages.sover.net/~ozus/deuceswild.htm"} +{"d:Title": "Metacritic.com: Deuces Wild", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.metacritic.com/movie/deuces-wild"} +{"d:Title": "IMDb - Deuces Wild", "d:Description": "Film information, synopsis, cast and crew, reviews, bloopers, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.imdb.com/title/tt0231448/"} +{"d:Title": "Austin Chronicle - Deuces Wild", "d:Description": "Review by Marjorie Baumgarten (negative).", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.austinchronicle.com/calendar/film/2002-05-03/142172/"} +{"d:Title": "Crosswalk.com - Snake Eyes", "d:Description": "Review by Holly McClure (negative).", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.crosswalk.com/culture/movies/deuces-wild-snake-eyes-1138362.html"} +{"d:Title": "Village Voice - The Gang Show", "d:Description": "Review by Jessica Winter (negative).", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.villagevoice.com/film/the-gang-show-6413676"} +{"d:Title": "New York Times - If It's Brooklyn and the 50's, Shouldn't We Rumble or Something?", "d:Description": "Review by Stephen Holden (negative). [requires free registration]", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.nytimes.com/2002/05/03/movies/film-review-if-it-s-brooklyn-and-the-50-s-shouldn-t-we-rumble-or-something.html"} +{"d:Title": "Cinebooks Database - Ready to Rumble?", "d:Description": "Review by Ken Fox (negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild", "url": "http://www.tvguide.com/movies/deuces-wild/135900/"} +{"d:Title": "All-Reviews: Deuces Wild", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild/Reviews", "url": "http://www.all-reviews.com/videos-4/deuces-wild.htm"} +{"d:Title": "3BlackChicks: Deuces Wild", "d:Description": "Review with spoilers by Rose (Bams) Cooper is negative.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild/Reviews", "url": "http://www.3blackchicks.com/2002reviews/bamsdeuceswild.html"} +{"d:Title": "Chicago Reader: Deuces Wild", "d:Description": "A short summary and review by J.R. Jones.", "topic": "Top/Arts/Movies/Titles/D/Deuces_Wild/Reviews", "url": "http://www.chicagoreader.com/chicago/deuces-wild/Film?oid=1072419"} +{"d:Title": "HARO Online - Deuce Bigalow: Male Gigolo", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://www.haro-online.com/movies/deuce_bigalow.html"} +{"d:Title": "All-Reviews.com - Deuce Bigalow: Male Gigolo", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://www.all-reviews.com/videos/deuce-bigalow.htm"} +{"d:Title": "T.J.'s House of Harlotry", "d:Description": "A fan site with photos and sounds, a review, and commentary.", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://sbdesigns.freeservers.com/DeuceB.htm"} +{"d:Title": "AskMen.com - Deuce Bigalow", "d:Description": "Review by Billy Bob Goldstein.", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://www.askmen.com/toys/movies/movies3.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://www.rottentomatoes.com/m/deuce_bigalow_male_gigolo/"} +{"d:Title": "Box Office Mojo: Deuce Bigalo - Male Gigolo", "d:Description": "Box office data includes a comparison with other films.", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://www.boxofficemojo.com/movies/?id=deucebigalowmalegigolo.htm"} +{"d:Title": "Metacritic.com: Deuce Bigalow", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://www.metacritic.com/movie/deuce-bigalow-male-gigolo"} +{"d:Title": "IMDb - Deuce Bigalow: Male Gigolo (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Deuce_Bigalow", "url": "http://www.imdb.com/title/tt0205000/"} +{"d:Title": "All-Reviews.com - The Devil's Advocate", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Devil's_Advocate,_The", "url": "http://www.all-reviews.com/videos/devils-advocate.htm"} +{"d:Title": "VnS Dictionary: Devil's Advocate", "d:Description": "Video clips from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/D/Devil's_Advocate,_The", "url": "http://devilsadvocate89.tripod.com/devilsadvocate.html"} +{"d:Title": "IMDb - The Devil's Advocate", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Devil's_Advocate,_The", "url": "http://www.imdb.com/title/tt0118971/"} +{"d:Title": "TV Guide Online: The Devil's Advocate", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Devil's_Advocate,_The", "url": "http://www.tvguide.com/movies/devils-advocate/132718/"} +{"d:Title": "HARO Online: The Devil's Backbone", "d:Description": "Mongoose's review praises the film's eeriness and the look of the film, but is disappointed by the adult actors.", "topic": "Top/Arts/Movies/Titles/D/Devil's_Backbone,_The", "url": "http://www.haro-online.com/movies/devils_backbone.html"} +{"d:Title": "Metacritic.com: The Devil's Backbone", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Devil's_Backbone,_The", "url": "http://www.metacritic.com/movie/the-devils-backbone"} +{"d:Title": "IMDb: The Devil's Backbone", "d:Description": "Provides, cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/D/Devil's_Backbone,_The", "url": "http://www.imdb.com/title/tt0256009/"} +{"d:Title": "Chicago Sun Times: The Devil's Backbone", "d:Description": "Critic Robert Ebert looks at the film in light of the difficulties faced in showing ghosts on the screen.", "topic": "Top/Arts/Movies/Titles/D/Devil's_Backbone,_The", "url": "http://www.rogerebert.com/reviews/the-devils-backbone-2001"} +{"d:Title": "The Devil's Own", "d:Description": "Detailed review about violence and how many \"f\" words are used", "topic": "Top/Arts/Movies/Titles/D/Devil's_Own,_The", "url": "http://www.screenit.com/movies/1997/the_devils_own.html"} +{"d:Title": "The Flick Filosopher about The Devil's Own", "d:Description": "Another interesting review", "topic": "Top/Arts/Movies/Titles/D/Devil's_Own,_The", "url": "http://www.flickfilosopher.com/1997/11/the-devils-own-review.html"} +{"d:Title": "IMDb: The Devils", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/D/Devils,_The", "url": "http://www.imdb.com/title/tt0066993/"} +{"d:Title": "All-Reviews.com - Devil in a Blue Dress", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Devil_in_a_Blue_Dress", "url": "http://www.all-reviews.com/videos-2/devil-in-a-blue-dress.htm"} +{"d:Title": "IMDb: The Devil Wears Prada (2006)", "d:Description": "Cast and crew, photo gallery, trailers, and a collection of links for reviews.", "topic": "Top/Arts/Movies/Titles/D/Devil_Wears_Prada,_The", "url": "http://www.imdb.com/title/tt0458352/"} +{"d:Title": "The Devil Wears Prada", "d:Description": "Movie reviews, trailers, photos, and links.", "topic": "Top/Arts/Movies/Titles/D/Devil_Wears_Prada,_The", "url": "http://www.rottentomatoes.com/m/the_devil_wears_prada/"} +{"d:Title": "Professionalism = Selling Your Soul: A Feminist Rant on \"The Devil Wears Prada\"", "d:Description": "The acts Miranda considers \"selling her soul\" come down to prioritizing her work over her personal life, which would be accepted unquestioningly in a male protagonist. (Contains spoilers.)", "topic": "Top/Arts/Movies/Titles/D/Devil_Wears_Prada,_The", "url": "http://gretachristina.typepad.com/greta_christinas_weblog/2007/08/professionalism.html"} +{"d:Title": "All-Reviews.com: Diabolique", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/D/Diabolique_-_1996", "url": "http://www.all-reviews.com/videos-3/diabolique.htm"} +{"d:Title": "Rotten Tomatoes: Diabolique", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Diabolique_-_1996", "url": "http://www.rottentomatoes.com/m/1069985-diabolique/"} +{"d:Title": "IMDb: Diabolique (1996)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Diabolique_-_1996", "url": "http://www.imdb.com/title/tt0116095/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review with cast listings.", "topic": "Top/Arts/Movies/Titles/D/Dial_M_for_Murder", "url": "http://crazy4cinema.com/Review/FilmsD/f_dialm.html"} +{"d:Title": "IMDb - Dial M for Murder (1954)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dial_M_for_Murder", "url": "http://www.imdb.com/title/tt0046912/"} +{"d:Title": "PopMatters: Diamonds", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/D/Diamonds", "url": "http://popmatters.com/film/reviews/d/diamonds.html"} +{"d:Title": "Rotten Tomatoes: Diamonds", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/D/Diamonds", "url": "http://www.rottentomatoes.com/m/1093655-diamonds/"} +{"d:Title": "Metacritic.com: Diamonds", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Diamonds", "url": "http://www.metacritic.com/movie/diamonds"} +{"d:Title": "IMDb: Diamonds", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Diamonds", "url": "http://www.imdb.com/title/tt0167423/"} +{"d:Title": "Metacritic.com: Diamond Men", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Diamond_Men", "url": "http://www.metacritic.com/movie/diamond-men"} +{"d:Title": "IMDb: Diamond Men (2000)", "d:Description": "Includes cast and crew, external reviews, plot summary, user comments and awards won.", "topic": "Top/Arts/Movies/Titles/D/Diamond_Men", "url": "http://www.imdb.com/title/tt0200427/"} +{"d:Title": "Oz Cinema: Diana and Me", "d:Description": "Review of the film looking at its portrayal of the differences between Australia and England.", "topic": "Top/Arts/Movies/Titles/D/Diana_and_Me", "url": "http://www.ozcinema.com/reviews/d/diana.html"} +{"d:Title": "Urban Cinefile: Diana and Me", "d:Description": "Provides credits, synopsis and images along with several reviews.", "topic": "Top/Arts/Movies/Titles/D/Diana_and_Me", "url": "http://www.urbancinefile.com.au/home/view.asp?a=617&s=Reviews"} +{"d:Title": "IMDb: Diana and Me", "d:Description": "Cast, credits, summary and users' comments.", "topic": "Top/Arts/Movies/Titles/D/Diana_and_Me", "url": "http://www.imdb.com/title/tt0118974/"} +{"d:Title": "Rotten Tomatoes: George A. Romero's Diary of the Dead", "d:Description": "Synopsis, review aggregator, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Diary_of_the_Dead", "url": "http://www.rottentomatoes.com/m/diary_of_the_dead/"} +{"d:Title": "IGN Movies: George A. Romero's Diary of the Dead", "d:Description": "Photos, videos, news, message board, and a review (rating: 1.5 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Diary_of_the_Dead", "url": "http://www.ign.com/movies/george-a-romeros-diary-of-the-dead/theater-850383"} +{"d:Title": "HARO Online: Dickie Roberts - Former Child Star", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Dickie_Roberts_-_Former_Child_Star", "url": "http://www.haro-online.com/movies/dickie_roberts.html"} +{"d:Title": "All-Reviews.com - Dickie Roberts: Former Child Star", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dickie_Roberts_-_Former_Child_Star", "url": "http://all-reviews.com/videos-5/dickie-roberts.htm"} +{"d:Title": "Rotten Tomatoes: Dickie Roberts - Former Child Star", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dickie_Roberts_-_Former_Child_Star", "url": "http://www.rottentomatoes.com/m/dickie_roberts_former_child_star/"} +{"d:Title": "Actor Prepares With a Crash Course in Childhood", "d:Description": "Plot summary and review with ratings advice and a list of the principal cast. By Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/D/Dickie_Roberts_-_Former_Child_Star", "url": "http://www.nytimes.com/2003/09/05/movies/film-review-an-actor-prepares-with-a-crash-course-in-childhood.html"} +{"d:Title": "IMDb: Dickie Roberts - Former Child Star (2003)", "d:Description": "Plot description, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dickie_Roberts_-_Former_Child_Star", "url": "http://www.imdb.com/title/tt0325258/"} +{"d:Title": "Chicago Sun-Times: Dickie Roberts - Former Child Star", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/Dickie_Roberts_-_Former_Child_Star", "url": "http://www.rogerebert.com/reviews/dickie-roberts-former-child-star-2003"} +{"d:Title": "MovieWeb: Dickie Roberts - Former Child Star", "d:Description": "Synopsis, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/D/Dickie_Roberts_-_Former_Child_Star", "url": "http://www.movieweb.com/movie/dickie-roberts-former-child-star"} +{"d:Title": "All-Reviews.com - Dick", "d:Description": "Collected reviews", "topic": "Top/Arts/Movies/Titles/D/Dick_-_1999", "url": "http://www.all-reviews.com/videos/dick.htm"} +{"d:Title": "Dick - Rotten Tomatoes", "d:Description": "Links to reviews, trailers, articles and interviews.", "topic": "Top/Arts/Movies/Titles/D/Dick_-_1999", "url": "http://www.rottentomatoes.com/m/1090805-dick/"} +{"d:Title": "Metacritic.com: Dick", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dick_-_1999", "url": "http://www.metacritic.com/movie/dick"} +{"d:Title": "IMDb - Dick (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dick_-_1999", "url": "http://www.imdb.com/title/tt0144168/"} +{"d:Title": "A Cartoon Square Comes to Life In 'Dick Tracy'", "d:Description": "Critique by Vincent Canby [New York Times].", "topic": "Top/Arts/Movies/Titles/D/Dick_Tracy_-_1990", "url": "http://www.nytimes.com/1990/06/15/movies/review-film-a-cartoon-square-comes-to-life-in-dick-tracy.html"} +{"d:Title": "IMDb: Dick Tracy", "d:Description": "Cast and crew, reviews, user comments and ratings, plot summary, trivia, quotes, mistakes, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dick_Tracy_-_1990", "url": "http://www.imdb.com/title/tt0099422/"} +{"d:Title": "TV Guide Online: Didn't Do it For Love", "d:Description": "Short review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Didn't_Do_It_for_Love", "url": "http://www.tvguide.com/movies/didnt-love/133318/"} +{"d:Title": "Nakatomi Plaza", "d:Description": "Fan site with quotes, images, and information about the trilogy.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series", "url": "http://nakatomi.tripod.com/"} +{"d:Title": "Movie Quotes Site: Die Hard", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard", "url": "http://www.moviequotes.com/archive/titles/145.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard", "url": "http://www.fast-rewind.com/diehard.htm"} +{"d:Title": "Die Hard News", "d:Description": "Includes the script for the film, quotes, and publicity notes.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard", "url": "http://diehardnews.tripod.com/diehardnews/"} +{"d:Title": "IMDb: Die Hard (1988)", "d:Description": "The Internet Movie Database offers credits, plot outline, user comments, and links.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard", "url": "http://www.imdb.com/title/tt0095016/"} +{"d:Title": "Metacritic.com: Die Hard", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard", "url": "http://www.metacritic.com/movie/die-hard"} +{"d:Title": "AllMovie: Die Hard", "d:Description": "Overview, synopsis, review, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard", "url": "http://www.allmovie.com/movie/die-hard-v13728"} +{"d:Title": "Allreaders.com: Die Hard", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard", "url": "http://allreaders.com/movie-review-summary/die-hard-3552"} +{"d:Title": "Movie Quotes Site: Die Hard 2", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_2", "url": "http://www.moviequotes.com/archive/titles/146.html"} +{"d:Title": "IMDb: Die Hard 2 (1990)", "d:Description": "The Internet Movie Database offers credits, plot outline, user comments, and links.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_2", "url": "http://www.imdb.com/title/tt0099423/"} +{"d:Title": "AllMovie: Die Hard 2", "d:Description": "Overview, synopsis, review, credits, and list of similar films.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_2", "url": "http://www.allmovie.com/movie/die-hard-2-v72519"} +{"d:Title": "Allreaders.com: Die Hard 2", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_2", "url": "http://allreaders.com/movie-review-summary/die-harder-3636"} +{"d:Title": "Movie Quotes Site: Die Hard with a Vengance", "d:Description": "An index of quotes from the movie. Submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_with_a_Vengeance", "url": "http://www.moviequotes.com/archive/titles/147.html"} +{"d:Title": "All-Reviews.com: Die Hard With a Vengeance", "d:Description": "A collection of reviews of the movie. Overall rating: 2.5 stars out of 4.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_with_a_Vengeance", "url": "http://www.all-reviews.com/videos-2/die-hard-vengeance.htm"} +{"d:Title": "IMDb: Die Hard with a Vengeance (1995)", "d:Description": "The Internet Movie Database offers credits, plot outline, user comments, and links.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_with_a_Vengeance", "url": "http://www.imdb.com/title/tt0112864/"} +{"d:Title": "Steve's Die Hard with a Vengence Page", "d:Description": "A fan page with links to sound clips, images, and other material.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_with_a_Vengeance", "url": "http://narst.tripod.com/diehard.html"} +{"d:Title": "AllMovie: Die Hard With a Vengeance", "d:Description": "Overview, synopsis, credits, review, and list of similar films.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_with_a_Vengeance", "url": "http://www.allmovie.com/movie/die-hard-with-a-vengeance-v134726"} +{"d:Title": "Allreaders.com: Die Hard With A Vengeance", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Die_Hard_with_a_Vengeance", "url": "http://allreaders.com/movie-review-summary/die-hard-with-vengeance-3629"} +{"d:Title": "Rotten Tomatoes: Live Free or Die Hard", "d:Description": "Review links, synopsis, production notes, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Live_Free_or_Die_Hard", "url": "http://www.rottentomatoes.com/m/live_free_or_die_hard/"} +{"d:Title": "IMDb: Live Free or Die Hard (2007)", "d:Description": "Photos, plot, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Live_Free_or_Die_Hard", "url": "http://www.imdb.com/title/tt0337978/"} +{"d:Title": "KillerMovies - Die Hard 4", "d:Description": "News about the upcoming sequel - Live Free or Die Hard.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Live_Free_or_Die_Hard", "url": "http://www.killermovies.com/d/diehard4/"} +{"d:Title": "IGN Movies: Live Free or Die Hard", "d:Description": "News, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Die_Hard_Series/Live_Free_or_Die_Hard", "url": "http://www.ign.com/movies/live-free-or-die-hard/theater-491519"} +{"d:Title": "HARO Online: Die Mommie Die", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Die_Mommie_Die", "url": "http://www.haro-online.com/movies/die_mommie_die.html"} +{"d:Title": "IMDb: Die, Mommie, Die (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Die_Mommie_Die", "url": "http://www.imdb.com/title/tt0322023/"} +{"d:Title": "Rotten Tomatoes: Die Mommie Die!", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/Die_Mommie_Die", "url": "http://www.rottentomatoes.com/m/die_mommie_die/"} +{"d:Title": "IMDb: Different for Girls", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/D/Different_for_Girls", "url": "http://www.imdb.com/title/tt0116102/"} +{"d:Title": "HARO Online - The Dinner Game", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/D/Dinner_Game,_The", "url": "http://www.haro-online.com/movies/dinner_game.html"} +{"d:Title": "HARO Online: Dinner Rush", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Dinner_Rush", "url": "http://www.haro-online.com/movies/dinner_rush.html"} +{"d:Title": "IMDb: Dinner Rush", "d:Description": "Film information, cast and crew, reviews, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dinner_Rush", "url": "http://www.imdb.com/title/tt0229340/"} +{"d:Title": "All-Reviews.com - Dirty Dancing", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://www.all-reviews.com/videos/dirty-dancing.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Includes movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://www.fast-rewind.com/ddance.htm"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review with cast listings.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://crazy4cinema.com/Review/FilmsD/f_dirty_dancing.html"} +{"d:Title": "Dirty Dancing Heaven", "d:Description": "Dirty Dancing pictures, trivia, and cast list.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://www.angelfire.com/movies/dirtydanceheaven/"} +{"d:Title": "Dirty Dancing (1987)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://www.imdb.com/title/tt0092890/"} +{"d:Title": "Chicago Sun Times - Dirty Dancing", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://www.rogerebert.com/reviews/dirty-dancing-1987"} +{"d:Title": "Allreaders.com Dirty Dancing", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://allreaders.com/movie-review-summary/dirty-dancing-3546"} +{"d:Title": "TV Guide Online: Dirty Dancing", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing", "url": "http://www.tvguide.com/movies/dirty-dancing/113018/"} +{"d:Title": "HARO Online: Dirty Dancing - Havana Nights", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing_-_Havana_Nights", "url": "http://www.haro-online.com/movies/dirty_dancing_havana_nights.html"} +{"d:Title": "IMDb: Dirty Dancing - Havana Nights (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing_-_Havana_Nights", "url": "http://www.imdb.com/title/tt0338096/"} +{"d:Title": "Rotten Tomatoes: Dirty Dancing - Havana Nights", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing_-_Havana_Nights", "url": "http://www.rottentomatoes.com/m/havana_nights/"} +{"d:Title": "Chicago Sun-Times: Dirty Dancing - Havana Nights", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dancing_-_Havana_Nights", "url": "http://www.rogerebert.com/reviews/dirty-dancing-havana-nights-2004"} +{"d:Title": "Internet Movie Database: Dirty Deeds", "d:Description": "Contains synopsis, news, trailer, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Deeds_-_2002", "url": "http://www.imdb.com/title/tt0280605/"} +{"d:Title": "Rotten Tomatoes: Dirty Deeds", "d:Description": "Contains reviews, trailer, synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Deeds_-_2002", "url": "http://www.rottentomatoes.com/m/dirty_deeds/"} +{"d:Title": "Yahoo! Movies: Dirty Deeds", "d:Description": "Contains reviews, synopsis, cast and credits.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Deeds_-_2002", "url": "http://movies.yahoo.com/movie/dirty-deeds/"} +{"d:Title": "All-Reviews.com - The Dirty Dozen", "d:Description": "Review by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dozen,_The", "url": "http://all-reviews.com/videos-5/dirty-dozen.htm"} +{"d:Title": "Dirty Dozen, The (1967)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dozen,_The", "url": "http://www.imdb.com/title/tt0061578/"} +{"d:Title": "Allreaders - The Dirty Dozen", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dozen,_The", "url": "http://allreaders.com/movie-review-summary/the-dirty-dozen-4042"} +{"d:Title": "TV Guide Online: The Dirty Dozen", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Dozen,_The", "url": "http://www.tvguide.com/movies/dirty-dozen/113020/"} +{"d:Title": "All-Reviews.com: The Dead Pool", "d:Description": "Review of the fifth and last film in the Dirty Harry Callahan series, by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dead_Pool,_The", "url": "http://www.all-reviews.com/videos-4/dead-pool.htm"} +{"d:Title": "Dead Pool, The", "d:Description": "IMDb listing with cast, writing, and crew credits and links, summaries, viewer evaluations, external and newsgroup reviews, memorable quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dead_Pool,_The", "url": "http://www.imdb.com/title/tt0094963/"} +{"d:Title": "Greatest Films - Dirty Harry", "d:Description": "Review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dirty_Harry", "url": "http://www.filmsite.org/dirt.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review and cast listings with links.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dirty_Harry", "url": "http://crazy4cinema.com/Review/FilmsD/f_dirty_harry.html"} +{"d:Title": "All-Reviews.com: Dirty Harry", "d:Description": "Reviews of the movie by two contributors.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dirty_Harry", "url": "http://all-reviews.com/videos-4/dirty-harry.htm"} +{"d:Title": "Northern California Movies: Dirty Harry", "d:Description": "Guide to San Francisco Bay area locations used in the film.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dirty_Harry", "url": "http://www.filminamerica.com/Movies/DirtyHarry/"} +{"d:Title": "Wikipedia: Dirty Harry", "d:Description": "Encyclopedia article covering plot, influence and popularity, filming locations, and trivia.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dirty_Harry", "url": "http://en.wikipedia.org/wiki/Dirty_Harry"} +{"d:Title": "IMDb - Dirty Harry", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Dirty_Harry", "url": "http://www.imdb.com/title/tt0066999/"} +{"d:Title": "All-Reviews.com: The Enforcer", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Enforcer,_The", "url": "http://www.all-reviews.com/videos-4/enforcer.htm"} +{"d:Title": "Rotten Tomatoes: The Enforcer", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Enforcer,_The", "url": "http://www.rottentomatoes.com/m/1006646-enforcer/"} +{"d:Title": "IMDb: The Enforcer (1976)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Enforcer,_The", "url": "http://www.imdb.com/title/tt0074483/"} +{"d:Title": "All-Reviews.com: Magnum Force", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Magnum_Force", "url": "http://www.all-reviews.com/videos-4/magnum-force.htm"} +{"d:Title": "Rotten Tomatoes: Magnum Force", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Magnum_Force", "url": "http://www.rottentomatoes.com/m/magnum_force/"} +{"d:Title": "IMDb: Magnum Force (1973)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Magnum_Force", "url": "http://www.imdb.com/title/tt0070355/"} +{"d:Title": "All-Reviews.com: Sudden Impact", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Sudden_Impact", "url": "http://all-reviews.com/videos-4/sudden-impact.htm"} +{"d:Title": "Rotten Tomatoes: Sudden Impact", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Sudden_Impact", "url": "http://www.rottentomatoes.com/m/sudden_impact/"} +{"d:Title": "IMDb: Sudden Impact (1983)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Harry_Series/Sudden_Impact", "url": "http://www.imdb.com/title/tt0086383/"} +{"d:Title": "Dirty Pretty Things", "d:Description": "Review of film set amongst London's immigrant population that takes \"work and low wages that other people will not.\"", "topic": "Top/Arts/Movies/Titles/D/Dirty_Pretty_Things", "url": "http://www.haro-online.com/movies/dirty_pretty_things.html"} +{"d:Title": "All-Reviews.com - Dirty Pretty Things", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Pretty_Things", "url": "http://all-reviews.com/videos-5/dirty-pretty-things.htm"} +{"d:Title": "Dirty Pretty Things (2002)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Pretty_Things", "url": "http://www.imdb.com/title/tt0301199/"} +{"d:Title": "rogerebert.com: Dirty Pretty Things", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Pretty_Things", "url": "http://www.rogerebert.com/reviews/dirty-pretty-things-2003"} +{"d:Title": "All-Reviews.com: Dirty Rotten Scoundrels", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Rotten_Scoundrels", "url": "http://www.all-reviews.com/videos-4/dirty-rotten-scoundrels.htm"} +{"d:Title": "Allreaders Review - Dirty Rotten Scoundrels", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Rotten_Scoundrels", "url": "http://allreaders.com/movie-review-summary/dirty-rotten-scoundrels-6983"} +{"d:Title": "Dirty Work", "d:Description": "Official site with synopsis, cast biographies, trailer in QuickTime format, games, director details, and images.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Work_-_1998", "url": "http://www.mgm.com/title_title.php?title_star=DIRTYWOR"} +{"d:Title": "IMDb - Dirty Work (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dirty_Work_-_1998", "url": "http://www.imdb.com/title/tt0120654/"} +{"d:Title": "TV Guide Online: Dirty Work", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dirty_Work_-_1998", "url": "http://www.tvguide.com/movies/dirty-work/132913/"} +{"d:Title": "Rotten Tomatoes: Disaster Movie", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Disaster_Movie", "url": "http://www.rottentomatoes.com/m/disaster_movie/"} +{"d:Title": "IGN: Disaster Movie", "d:Description": "Photos, videos, message board, and a review (rating: 0 out of 5).", "topic": "Top/Arts/Movies/Titles/D/Disaster_Movie", "url": "http://www.ign.com/movies/disaster-movie/theater-14258161"} +{"d:Title": "MovieWeb: Disaster Movie (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/D/Disaster_Movie", "url": "http://www.movieweb.com/movie/disaster-movie"} +{"d:Title": "TV Guide: Disaster Movie", "d:Description": "Review, by Maitland McDonagh: \"Lazy, superficially au courant and utterly forgettable.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Disaster_Movie", "url": "http://www.tvguide.com/movies/disaster-movie/review/294135/"} +{"d:Title": "The Boston Globe: Disaster Movie", "d:Description": "Review, by Michael Hardy: \"The filmmakers are idiots.\" [Rating: 0.5 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Disaster_Movie", "url": "http://archive.boston.com/ae/movies/articles/2008/08/30/disaster_lives_up_to_its_name/?camp=pm"} +{"d:Title": "AllReaders.com: Review", "d:Description": "Offers a review of the film and a forum.", "topic": "Top/Arts/Movies/Titles/D/Disclosure", "url": "http://allreaders.com/movie-review-summary/disclosure-5476"} +{"d:Title": "IMDb - The Discontents (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Discontents,_The", "url": "http://www.imdb.com/title/tt0225518/"} +{"d:Title": "IMDb: Discovery of Heaven", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Discovery_of_Heaven", "url": "http://www.imdb.com/title/tt0251052/"} +{"d:Title": "IMDb: D\u00e9senchant\u00e9e, La", "d:Description": "Includes synopsis, cast, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Disenchanted,_The", "url": "http://www.imdb.com/title/tt0099406/"} +{"d:Title": "Urban Cinefile Feature", "d:Description": "Description, cast and crew list, interview with one of the actors, plot synopsis and film review.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://www.urbancinefile.com.au/home/article_view.asp?Article_ID=4106&Section=Reviews"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film and rates it 'pretty good'.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://www.haro-online.com/movies/dish.html"} +{"d:Title": "All-Reviews.com - The Dish", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://www.all-reviews.com/videos-3/dish.htm"} +{"d:Title": "PopMatters - The Dish", "d:Description": "Review by Mike Ward and interview with director Rob Sitch.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://popmatters.com/film/reviews/d/dish.html"} +{"d:Title": "Ninth Symphony Films: The Dish", "d:Description": "A review of the film with links, cast details and related links.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://regencylady.tripod.com/site/filmreviews/thedish.html"} +{"d:Title": "Rotten Tomatoes - The Dish", "d:Description": "Reviews, news, forums, trailers and photographs for \"The Dish\".", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://www.rottentomatoes.com/m/dish/"} +{"d:Title": "Metacritic.com: Dish, The", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://www.metacritic.com/movie/the-dish"} +{"d:Title": "IMDb - Dish, The", "d:Description": "Complete cast and crew list, plot synopsis, user reviews, links to external reviews and miscellaneous sites.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://www.imdb.com/title/tt0205873/"} +{"d:Title": "Allreaders Review - The Dish", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dish,_The", "url": "http://allreaders.com/movie-review-summary/the-dish-5111"} +{"d:Title": "IMDb: Disposable Life (2000)", "d:Description": "Includes cast and crew and quotes section.", "topic": "Top/Arts/Movies/Titles/D/Disposable_Life", "url": "http://www.imdb.com/title/tt0240453/"} +{"d:Title": "HARO Online: Distant", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Distant", "url": "http://www.haro-online.com/movies/distant.html"} +{"d:Title": "IMDb: Distant (Uzak) (2002)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Distant", "url": "http://www.imdb.com/title/tt0346094/"} +{"d:Title": "Rotten Tomatoes: Distant", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/D/Distant", "url": "http://www.rottentomatoes.com/m/distant/"} +{"d:Title": "Rogerebert.com: Distant", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/D/Distant", "url": "http://www.rogerebert.com/reviews/distant-2004"} +{"d:Title": "Disturbing Behavior Movie", "d:Description": "The Official Site", "topic": "Top/Arts/Movies/Titles/D/Disturbing_Behavior", "url": "http://www.mgm.com/title_title.php?title_star=DISTURBI"} +{"d:Title": "IMDb - Disturbing Behavior", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Disturbing_Behavior", "url": "http://www.imdb.com/title/tt0134619/"} +{"d:Title": "TV Guide Online: Disturbing Behavior", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Disturbing_Behavior", "url": "http://www.tvguide.com/movies/disturbing-behavior/133159/"} +{"d:Title": "HARO Online: Divan", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Divan", "url": "http://www.haro-online.com/movies/divan.html"} +{"d:Title": "IMDb: Divan (2003)", "d:Description": "Plot description and user reviews.", "topic": "Top/Arts/Movies/Titles/D/Divan", "url": "http://www.imdb.com/title/tt0303917/"} +{"d:Title": "Rotten Tomatoes: Divan", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/D/Divan", "url": "http://www.rottentomatoes.com/m/divan/"} +{"d:Title": "Offoffoff Film: Divan", "d:Description": "Review by Diane Snyder.", "topic": "Top/Arts/Movies/Titles/D/Divan", "url": "http://www.offoffoff.com/film/2004/divan.php"} +{"d:Title": "IMDb: Diva (1981)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Diva_-_1981", "url": "http://www.imdb.com/title/tt0082269/"} +{"d:Title": "HARO Online: Divided We Fall", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Divided_We_Fall_-_2000", "url": "http://www.haro-online.com/movies/divided_we_fall.html"} +{"d:Title": "Rotten Tomatoes: Divided We Fall", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/D/Divided_We_Fall_-_2000", "url": "http://www.rottentomatoes.com/m/divided_we_fall/"} +{"d:Title": "Metacritic.com: Divided We Fall", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Divided_We_Fall_-_2000", "url": "http://www.metacritic.com/movie/divided-we-fall"} +{"d:Title": "Imdb: Divided We Fall (Mus\u00edme Si Pom\u00e1hat) (2000)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Divided_We_Fall_-_2000", "url": "http://www.imdb.com/title/tt0234288/"} +{"d:Title": "IMDb: The Divine Ryans", "d:Description": "Synopsis, cast and crew, and use comments.", "topic": "Top/Arts/Movies/Titles/D/Divine_Ryans,_The", "url": "http://www.imdb.com/title/tt0150337/"} +{"d:Title": "Divine Secrets of the Ya-Ya Sisterhood", "d:Description": "Official site for movie. Includes photo book, message boards, trailer and behind the scenes information.", "topic": "Top/Arts/Movies/Titles/D/Divine_Secrets_of_the_Ya-Ya_Sisterhood", "url": "http://yayasisterhood.warnerbros.com/"} +{"d:Title": "HARO Online: The Divine Secrets of the Ya-Ya Sisterhood", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Divine_Secrets_of_the_Ya-Ya_Sisterhood", "url": "http://www.haro-online.com/movies/divine_secrets_of_yaya.html"} +{"d:Title": "All-Reviews.com: Divine Secrets of the Ya-Ya Sisterhood", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/D/Divine_Secrets_of_the_Ya-Ya_Sisterhood", "url": "http://all-reviews.com/videos-4/divine-secrets-ya-ya.htm"} +{"d:Title": "Metacritic.com: Divine Secrets of the Ya-Ya Sisterhood", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/D/Divine_Secrets_of_the_Ya-Ya_Sisterhood", "url": "http://www.metacritic.com/movie/divine-secrets-of-the-ya-ya-sisterhood"} +{"d:Title": "IMDb.com - Divine Secrets of the Ya-Ya Sisterhood", "d:Description": "Cast, movie poster, plot outline, user comments.", "topic": "Top/Arts/Movies/Titles/D/Divine_Secrets_of_the_Ya-Ya_Sisterhood", "url": "http://www.imdb.com/title/tt0279778/"} +{"d:Title": "Plume-Noire: Django", "d:Description": "Review looking at how it typifies the spaghetti western genre and how it differs from American westerns.", "topic": "Top/Arts/Movies/Titles/D/Django", "url": "http://www.plume-noire.com/movies/cult/django.html"} +{"d:Title": "IMDb: Django", "d:Description": "Cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/D/Django", "url": "http://www.imdb.com/title/tt0060315/"} +{"d:Title": "Rotten Tomatoes: Djomeh", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Djomeh", "url": "http://www.rottentomatoes.com/m/djomeh/"} +{"d:Title": "IMDb: Djomeh (2000)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Djomeh", "url": "http://www.imdb.com/title/tt0259981/"} +{"d:Title": "Wikipedia: DOA - Dead or Alive", "d:Description": "Offering information about the production, plot, cast and game references. Includes pictures.", "topic": "Top/Arts/Movies/Titles/D/DOA_-_Dead_or_Alive", "url": "http://en.wikipedia.org/wiki/DOA:_Dead_or_Alive"} +{"d:Title": "Joystiq: Dead or Alive Movie Dead on Arrival", "d:Description": "\"It's a sad day for our country when audiences would rather watch a subtitled French love story than see girls in bikinis kicking each other.\" Article by Kyle Orland and includes comments.", "topic": "Top/Arts/Movies/Titles/D/DOA_-_Dead_or_Alive", "url": "http://www.joystiq.com/2007/06/18/dead-or-alive-movie-dead-on-arrival/"} +{"d:Title": "IMDb: DOA - Dead or Alive", "d:Description": "Contains photos, cast, crew, plot, trivia, goofs and quotes.", "topic": "Top/Arts/Movies/Titles/D/DOA_-_Dead_or_Alive", "url": "http://www.imdb.com/title/tt0398913/"} +{"d:Title": "All-Reviews.com: Doctor Zhivago", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/D/Doctor_Zhivago_-_1965", "url": "http://www.all-reviews.com/videos-4/doctor-zhivago.htm"} +{"d:Title": "About.com: Doctor Zhivago", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/D/Doctor_Zhivago_-_1965", "url": "http://homevideo.about.com/library/weekly/aafpr111401.htm"} +{"d:Title": "IMDb: Doctor Zhivago", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Doctor_Zhivago_-_1965", "url": "http://www.imdb.com/title/tt0059113/"} +{"d:Title": "Urban Legends Reference Pages: Dr. Zhivago", "d:Description": "A discussion of rumors about the film.", "topic": "Top/Arts/Movies/Titles/D/Doctor_Zhivago_-_1965", "url": "http://www.snopes.com/movies/films/zhivago.asp"} +{"d:Title": "Roger Ebert - Doctor Zhivago", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/D/Doctor_Zhivago_-_1965", "url": "http://www.rogerebert.com/reviews/doctor-zhivago-1995"} +{"d:Title": "TV Guide Online: Doctor Zhivago", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Doctor_Zhivago_-_1965", "url": "http://www.tvguide.com/movies/doctor-zhivago/113168/"} +{"d:Title": "IMDb: Doctor Zhivago (2002)", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Doctor_Zhivago_-_2002", "url": "http://www.imdb.com/title/tt0324937/"} +{"d:Title": "IMDb: Dodgeball: A True Underdog Story (2004)", "d:Description": "Provides film summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/D/Dodgeball_-_A_True_Underdog_Story", "url": "http://www.imdb.com/title/tt0364725/"} +{"d:Title": "Rotten Tomatoes: Dodgeball - A True Underdog Story", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dodgeball_-_A_True_Underdog_Story", "url": "http://www.rottentomatoes.com/m/dodgeball_a_true_underdog_story/?rtp=1"} +{"d:Title": "HARO Online: Dodgeball - A True Underdog Story", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Dodgeball_-_A_True_Underdog_Story", "url": "http://www.haro-online.com/movies/dodgeball.html"} +{"d:Title": "All-Reviews.com: Dodgeball - A True Underdog Story", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dodgeball_-_A_True_Underdog_Story", "url": "http://www.all-reviews.com/videos-5/dodgeball.htm"} +{"d:Title": "Apple Trailers: Dodgeball", "d:Description": "Provides clips and trailers in various sizes and formats for the film.", "topic": "Top/Arts/Movies/Titles/D/Dodgeball_-_A_True_Underdog_Story", "url": "http://trailers.apple.com/trailers/fox/dodgeball/teaser/"} +{"d:Title": "Future Movies: Dodgeball - A True Underdog Story", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/D/Dodgeball_-_A_True_Underdog_Story", "url": "http://www.futuremovies.co.uk/reviews/dodgeball/nik-huggins"} +{"d:Title": "Coming Soon: Dodgeball: A True Underdog Story Database", "d:Description": "Features plot summary, cast list, and rating.", "topic": "Top/Arts/Movies/Titles/D/Dodgeball_-_A_True_Underdog_Story", "url": "http://www.comingsoon.net/movies/reviews/5212-dodgeball-a-true-underdog-story-2"} +{"d:Title": "Rio's Attic: Dogfight", "d:Description": "Pictures of some cast members, film information, and official press kit.", "topic": "Top/Arts/Movies/Titles/D/Dogfight", "url": "http://www.river-phoenix.org/filmography/dogfight/"} +{"d:Title": "All-Reviews.com: Dogfight", "d:Description": "Review of the movie by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/D/Dogfight", "url": "http://www.all-reviews.com/videos-4/dogfight.htm"} +{"d:Title": "Dogfight", "d:Description": "Fan dedication to the film. Includes a review, star biographies, director information, pictures, and credits.", "topic": "Top/Arts/Movies/Titles/D/Dogfight", "url": "http://home.scarlet.be/filip.vandyck/"} +{"d:Title": "IMDb: Dogfight", "d:Description": "Film information, cast and crew, reviews, plot summary, memorable quotes, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dogfight", "url": "http://www.imdb.com/title/tt0101748/"} +{"d:Title": "Dogtown and Z-Boys", "d:Description": "Official site, providing information on why the film was made, history of the skateboarders, image gallery, news and profile of each of the skate team.", "topic": "Top/Arts/Movies/Titles/D/Dogtown_and_Z-Boys", "url": "http://www.sonyclassics.com/dogtown/"} +{"d:Title": "Urban Cinefile: Dogtown and Z-Bous", "d:Description": "Synopsis, credits and images, along with reviews by Shannon J Harvey and David Edwards.", "topic": "Top/Arts/Movies/Titles/D/Dogtown_and_Z-Boys", "url": "http://www.urbancinefile.com.au/home/view.asp?a=5645&s=Reviews"} +{"d:Title": "HARO Online: Dogtown and Z-Boys", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Dogtown_and_Z-Boys", "url": "http://www.haro-online.com/movies/dogtown_and_z-boys.html"} +{"d:Title": "All-Reviews.com: Dogtown and Z-Boys", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Dogtown_and_Z-Boys", "url": "http://www.all-reviews.com/videos-4/dogtown-and-z-boys.htm"} +{"d:Title": "Rotten Tomatoes: Dogtown and Z-Boys", "d:Description": "Links to reviews, synopsis, production notes, trailer, and discussion forum.", "topic": "Top/Arts/Movies/Titles/D/Dogtown_and_Z-Boys", "url": "http://www.rottentomatoes.com/m/dogtown_and_zboys/"} +{"d:Title": "Metacritic.com: Dogtown and Z-Boys", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Dogtown_and_Z-Boys", "url": "http://www.metacritic.com/movie/dogtown-and-z-boys"} +{"d:Title": "IMDb: Dogtown and Z-Boys", "d:Description": "Cast, credits, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/D/Dogtown_and_Z-Boys", "url": "http://www.imdb.com/title/tt0275309/"} +{"d:Title": "BBC News: Kidman film sparks festival buzz", "d:Description": "Nicole Kidman returns to Cannes with her new film, Dogville, directed by former Palme d'Or winner Lars Von Trier.", "topic": "Top/Arts/Movies/Titles/D/Dogville", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3038663.stm"} +{"d:Title": "HARO Online: Dogville", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Dogville", "url": "http://www.haro-online.com/movies/dogville.html"} +{"d:Title": "All-Reviews.com: Dogville", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dogville", "url": "http://www.all-reviews.com/videos-5/dogville.htm"} +{"d:Title": "Guardian Unlimited: Danish movie rebel goes head to head with US", "d:Description": "Article about Lars von Trier's film 'Dogville'.", "topic": "Top/Arts/Movies/Titles/D/Dogville", "url": "http://www.theguardian.com/world/2003/may/15/cannes2003.film"} +{"d:Title": "IMDb: Dogville", "d:Description": "Synopsis, cast and crew, and discussion board.", "topic": "Top/Arts/Movies/Titles/D/Dogville", "url": "http://www.imdb.com/title/tt0276919/"} +{"d:Title": "Future Movies: Dogville", "d:Description": "Review and photographs.", "topic": "Top/Arts/Movies/Titles/D/Dogville", "url": "https://www.futuremovies.co.uk/reviews/dogville/ed-colley"} +{"d:Title": "All-Reviews.com - Dog Day Afternoon", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Dog_Day_Afternoon", "url": "http://www.all-reviews.com/videos-4/dog-day-afternoon.htm"} +{"d:Title": "IMDb: Dog Day Afternoon", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dog_Day_Afternoon", "url": "http://www.imdb.com/title/tt0072890/"} +{"d:Title": "TV Guide Online: Dog Day Afternoon", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dog_Day_Afternoon", "url": "http://www.tvguide.com/movies/dog-day-afternoon/113184/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "A Dog of Flanders (1999) - Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news", "topic": "Top/Arts/Movies/Titles/D/Dog_of_Flanders,_A", "url": "http://www.rottentomatoes.com/m/1094164-dog_of_flanders/"} +{"d:Title": "Metacritic.com: A Dog of Flanders", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dog_of_Flanders,_A", "url": "http://www.metacritic.com/movie/a-dog-of-flanders"} +{"d:Title": "IMDb - A Dog of Flanders (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dog_of_Flanders,_A", "url": "http://www.imdb.com/title/tt0160216/"} +{"d:Title": "All-Reviews.com - Dog Park", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dog_Park", "url": "http://www.all-reviews.com/videos-3/dog-park.htm"} +{"d:Title": "Metacritic.com: Dog Park", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dog_Park", "url": "http://www.metacritic.com/movie/dog-park"} +{"d:Title": "IMDb - Dog Soldiers", "d:Description": "Features cast and crew details, goofs, trivia, photos, plot summary, and links.", "topic": "Top/Arts/Movies/Titles/D/Dog_Soldiers", "url": "http://imdb.com/title/tt0280609/"} +{"d:Title": "Contactmusic.com: Dog Soldiers", "d:Description": "Includes a plot summary with pictures.", "topic": "Top/Arts/Movies/Titles/D/Dog_Soldiers", "url": "http://www.contactmusic.com/pages/dogsoldiersx01x05x02"} +{"d:Title": "The Funky World of Dolemite", "d:Description": "The Funkiest Mack Daddy on the web and the silver screen.", "priority": "1", "topic": "Top/Arts/Movies/Titles/D/Dolemite_Series", "url": "http://www.dolemite.com/"} +{"d:Title": "Shocking Images", "d:Description": "The \"official\" Dolemite site. Lots of good stuff.", "topic": "Top/Arts/Movies/Titles/D/Dolemite_Series", "url": "http://www.shockingimages.com/dolemite/"} +{"d:Title": "Mogley's Dolemite Page", "d:Description": "Fan page with pictures, links and other information on the movie.", "topic": "Top/Arts/Movies/Titles/D/Dolemite_Series", "url": "http://mogley.tripod.com/"} +{"d:Title": "TV Guide Online: Dolemite", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dolemite_Series", "url": "http://www.tvguide.com/movies/dolemite/113194/"} +{"d:Title": "The Dollmaker (1984)", "d:Description": "Entry for the film at IMDb. Includes cast and crew information and viewer comments.", "topic": "Top/Arts/Movies/Titles/D/Dollmaker,_The", "url": "http://www.imdb.com/title/tt0087160/"} +{"d:Title": "All-Reviews.com - Dolores Claiborne", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dolores_Claiborne", "url": "http://www.all-reviews.com/videos-2/dolores-claiborne.htm"} +{"d:Title": "CNN: Tim Cahill chats about the IMAX film Dolphins", "d:Description": "Chat transcript.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.cnn.com/chat/transcripts/2000/3/cahill/"} +{"d:Title": "Hollywood Report Card: Dolphins", "d:Description": "A review by Ross Anthony giving the film a B+ rating.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.rossanthony.com/D/dolphins.shtml"} +{"d:Title": "Dolphins", "d:Description": "Official site for the film. Includes behind the scenes, education information and biographies of the scientists behind the film.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.dolphinsfilm.com/"} +{"d:Title": "Big Movie Zone: Dolphins", "d:Description": "Film information, video clips, articles, reviews, and worldwide theater listings.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=13"} +{"d:Title": "National Wildlife Federation: Dolphins", "d:Description": "Article about the film's release.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.nwf.org/nationalwildlife/article.cfm?issueID=28&articleID=264"} +{"d:Title": "The Tech: Dolphins: Visually Stunning", "d:Description": "A review by Vladimir Zelevinsky of the film that has \"all the usual trappings of giant screen filmmaking are here, and then some.\"", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://tech.mit.edu/V120/N14/Dolphins.14a.html"} +{"d:Title": "IMDb: Dolphins (2000)", "d:Description": "Cast, summary, user comments and rating.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.imdb.com/title/tt0263278/"} +{"d:Title": "The Flick Filosopher: Under the Sea", "d:Description": "A review of the film that \"while undoubtedly spectacular, achieves more depth\" than the typical IMAX offering.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.flickfilosopher.com/2000/03/dolphins-review.html"} +{"d:Title": "Urban Cinefile: Dolphins", "d:Description": "A mixed review, with photographs, by Lee Gough.", "topic": "Top/Arts/Movies/Titles/D/Dolphins_-_2000", "url": "http://www.urbancinefile.com.au/home/view.asp?a=3470"} +{"d:Title": "HARO Online: Domestic Disturbance", "d:Description": "Review looks at plot, thriller genre, director and characters.", "topic": "Top/Arts/Movies/Titles/D/Domestic_Disturbance", "url": "http://www.haro-online.com/movies/domestic_disturbance.html"} +{"d:Title": "All-Reviews.com: Domestic Disturbance", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Domestic_Disturbance", "url": "http://all-reviews.com/videos-4/domestic-disturbance.htm"} +{"d:Title": "Metacritic.com: Domestic Disturbance", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Domestic_Disturbance", "url": "http://www.metacritic.com/movie/domestic-disturbance"} +{"d:Title": "IMDb: Domestic Disturbance (2001)", "d:Description": "Includes cast and crew, plot summary, external reviews, user comments and rating, film details, promotional information and links.", "topic": "Top/Arts/Movies/Titles/D/Domestic_Disturbance", "url": "http://www.imdb.com/title/tt0249478/"} +{"d:Title": "A Don's Plum Page", "d:Description": "Current news about the controversial film, with photographs.", "topic": "Top/Arts/Movies/Titles/D/Don's_Plum", "url": "http://the-grand-panjandrum.tripod.com/donsplum.html"} +{"d:Title": "Rotten Tomatoes: Don't Be a Menace...", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Don't_Be_a_Menace_to_South_Central_While_Drinking_Your_Juice_in_the_Hood", "url": "http://www.rottentomatoes.com/m/dont_be_a_menace/"} +{"d:Title": "The Movie Quotes Site", "d:Description": "Index of quotes from the film.", "topic": "Top/Arts/Movies/Titles/D/Don't_Be_a_Menace_to_South_Central_While_Drinking_Your_Juice_in_the_Hood", "url": "http://www.moviequotes.com/repository.cgi?pg=3&tt=104626"} +{"d:Title": "IMDb: Don't Be a Menace to South Central While Drinking Your Juice in the Hood (1996)", "d:Description": "Includes a plot summary, cast list, trailer, and user reviews.", "topic": "Top/Arts/Movies/Titles/D/Don't_Be_a_Menace_to_South_Central_While_Drinking_Your_Juice_in_the_Hood", "url": "http://www.imdb.com/title/tt0116126/"} +{"d:Title": "IMDb - Don't Look Now", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Don't_Look_Now", "url": "http://www.imdb.com/title/tt0069995/"} +{"d:Title": "TV Guide Online: Don't Look Now", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Don't_Look_Now", "url": "http://www.tvguide.com/movies/dont-look/113261/"} +{"d:Title": "All-Reviews: Don't Say a Word", "d:Description": "Various reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Don't_Say_a_Word", "url": "http://www.all-reviews.com/videos-3/dont-say-a-word.htm"} +{"d:Title": "HARO Online: Don't Say A Word", "d:Description": "Review of the film accompanied by images.", "topic": "Top/Arts/Movies/Titles/D/Don't_Say_a_Word", "url": "http://www.haro-online.com/movies/dont_say_a_word.html"} +{"d:Title": "Metacritic.com: Don't Say a Word", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Don't_Say_a_Word", "url": "http://www.metacritic.com/movie/dont-say-a-word"} +{"d:Title": "IMDb: Don't Say a Word (2001)", "d:Description": "Includes cast and crew, external reviews, trailers, user reviews and rating, awards and nominations, film details and links.", "topic": "Top/Arts/Movies/Titles/D/Don't_Say_a_Word", "url": "http://www.imdb.com/title/tt0260866/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Brasco", "url": "http://crazy4cinema.com/Review/FilmsD/f_donnie.html"} +{"d:Title": "All-Reviews.com: Donnie Brasco", "d:Description": "Collection of reviews for the movie.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Brasco", "url": "http://www.all-reviews.com/videos-2/donnie-brasco.htm"} +{"d:Title": "Reel Faces - Donnie Brasco", "d:Description": "Explores the real people featured in the film's storyline. Includes photographs, interviews, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Brasco", "url": "http://www.chasingthefrog.com/reelfaces/donniebrasco.php"} +{"d:Title": "Allreaders - Donnie Brasco", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Brasco", "url": "http://allreaders.com/movie-review-summary/donnie-brasco-4009"} +{"d:Title": "TV Guide Online: Donnie Brasco", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Brasco", "url": "http://www.tvguide.com/movies/donnie-brasco/132086/"} +{"d:Title": "All-Reviews.com: Donnie Darko", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Darko", "url": "http://all-reviews.com/videos-4/donnie-darko.htm"} +{"d:Title": "HARO Online: Donnie Darko", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Darko", "url": "http://www.haro-online.com/movies/donnie_darko.html"} +{"d:Title": "The Sci-Fi Movie Page: Donnie Darko", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Darko", "url": "http://www.scifimoviepage.com/donniedarko.html"} +{"d:Title": "Rotten Tomatoes: Donnie Darko", "d:Description": "Links to movie reviews, synopsis, cast information, and multimedia.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Darko", "url": "http://www.rottentomatoes.com/m/donnie_darko/"} +{"d:Title": "Donnie Darko - The Unofficial Novelization", "d:Description": "An unauthorized, unofficial, fan-written novelization, with links to sources.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Darko", "url": "http://www.angelfire.com/film2/animagess/"} +{"d:Title": "Future Movies: Donnie Darko", "d:Description": "Review of the film. Also includes interview with director Richard Kelly.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Darko", "url": "http://www.futuremovies.co.uk/reviews/donnie-darko/nik-huggins"} +{"d:Title": "IMDb: Donnie Darko (2001)", "d:Description": "Cast and crew, forum, and related information.", "topic": "Top/Arts/Movies/Titles/D/Donnie_Darko", "url": "http://www.imdb.com/title/tt0246578/"} +{"d:Title": "IMDb: Donovan Quick", "d:Description": "Cast information and user comments.", "topic": "Top/Arts/Movies/Titles/D/Donovan_Quick", "url": "http://imdb.com/title/tt0213623/"} +{"d:Title": "All-Reviews.com - Don Juan DeMarco", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/D/Don_Juan_DeMarco", "url": "http://www.all-reviews.com/videos-2/don-juan-demarco.htm"} +{"d:Title": "IMDb - Don Juan Quilligan (1945)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Don_Juan_Quilligan", "url": "http://www.imdb.com/title/tt0037655/"} +{"d:Title": "Cinebooks Database - Don Juan Quilligan", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/D/Don_Juan_Quilligan", "url": "http://www.tvguide.com/movies/don-juan-quilligan/113219/"} +{"d:Title": "Rotten Tomatoes: Doomsday", "d:Description": "Synopsis, cast list, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Doomsday", "url": "http://www.rottentomatoes.com/m/doomsday/"} +{"d:Title": "MovieWeb: Doomsday (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/D/Doomsday", "url": "http://www.movieweb.com/movie/doomsday"} +{"d:Title": "New York Times: Doomsday", "d:Description": "Review, by Matt Zoller Seitz: \"In terms of story, \u201cThe Descent\u201d and Doomsday are as different as two genre films can be, but the falloff in artistic quality is still quantifiable. Where \u201cThe Descent\u201d was a slow, quiet, exquisitely modulated, startlingly original film, Doomsday is frenetic, loud, wildly imprecise and so derivative that it doesn\u2019t so much seem to reference its antecedents as try on their famous images like a child playing dress-up. Homage without innovation isn\u2019t homage, it\u2019s karaoke.\"", "topic": "Top/Arts/Movies/Titles/D/Doomsday", "url": "http://www.nytimes.com/2008/03/15/movies/15doom.html?ref=movies&_r=0"} +{"d:Title": "The Doors", "d:Description": "Review by Hal Hinson. [Washington Post]", "topic": "Top/Arts/Movies/Titles/D/Doors,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thedoorsrhinson_a0a9d8.htm"} +{"d:Title": "The Doors", "d:Description": "Review by Joe Brown. [Washington Post]", "topic": "Top/Arts/Movies/Titles/D/Doors,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thedoorsrbrown_a0adc3.htm"} +{"d:Title": "Deseret News: The Doors", "d:Description": "Review by Chris Hicks. \"This is a real case of excess meets excess.\"", "topic": "Top/Arts/Movies/Titles/D/Doors,_The", "url": "http://www.deseretnews.com/article/700000472/Doors-The.html"} +{"d:Title": "IMDb: The Doors (1991)", "d:Description": "Full cast and crew information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/D/Doors,_The", "url": "http://www.imdb.com/title/tt0101761/"} +{"d:Title": "rogerebert.com: The Doors", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/D/Doors,_The", "url": "http://www.rogerebert.com/reviews/the-doors-1991"} +{"d:Title": "TV Guide Online: The Doors", "d:Description": "Review, synopsis, and credits.", "topic": "Top/Arts/Movies/Titles/D/Doors,_The", "url": "http://www.tvguide.com/movies/doors/128640/"} +{"d:Title": "IMDb: The Door in the Floor (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Door_in_the_Floor,_The", "url": "http://www.imdb.com/title/tt0348593/"} +{"d:Title": "Rotten Tomatoes: The Door in the Floor", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/Door_in_the_Floor,_The", "url": "http://www.rottentomatoes.com/m/door_in_the_floor/"} +{"d:Title": "Chicago Sun-Times: The Door in the Floor", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/Door_in_the_Floor,_The", "url": "http://www.rogerebert.com/reviews/the-door-in-the-floor-2004"} +{"d:Title": "TV Guide Online: Double Edge", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Double_Edge", "url": "http://www.tvguide.com/movies/double-edge/133863/"} +{"d:Title": "Double Indemnity", "d:Description": "Tim Dirks offers a detailed review, synopsis and discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/D/Double_Indemnity_-_1944", "url": "http://www.filmsite.org/doub.html"} +{"d:Title": "All-Reviews.com: Double Indemnity", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/D/Double_Indemnity_-_1944", "url": "http://all-reviews.com/videos-4/double-indemnity.htm"} +{"d:Title": "Double Indemnity (1944)", "d:Description": "Synopisis, review, dialogue and photographs from the film.", "topic": "Top/Arts/Movies/Titles/D/Double_Indemnity_-_1944", "url": "http://www.eskimo.com/~noir/ftitles/doublei/"} +{"d:Title": "Double Indemnity (1944)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Double_Indemnity_-_1944", "url": "http://www.imdb.com/title/tt0036775/"} +{"d:Title": "AllMovie: Double Indemnity", "d:Description": "Synopsis, review, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/D/Double_Indemnity_-_1944", "url": "http://www.allmovie.com/movie/double-indemnity-v14457"} +{"d:Title": "TV Guide Online: Double Indemnity", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Double_Indemnity_-_1944", "url": "http://www.tvguide.com/movies/double-indemnity/113323/"} +{"d:Title": "All-Reviews.com", "d:Description": "Double Jeopardy is an extremely mediocre thriller in which most of the plot is given away by the previews.", "topic": "Top/Arts/Movies/Titles/D/Double_Jeopardy_-_1999", "url": "http://www.all-reviews.com/videos/doublejeopardy.htm"} +{"d:Title": "HARO Online - Double Jeopardy", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/D/Double_Jeopardy_-_1999", "url": "http://www.haro-online.com/movies/double_jeopardy.html"} +{"d:Title": "Rotten Tomatoes: Double Jeopardy (1999)", "d:Description": "Reviews from critics, synopsis, trailer, and news.", "topic": "Top/Arts/Movies/Titles/D/Double_Jeopardy_-_1999", "url": "http://www.rottentomatoes.com/m/1093614-double_jeopardy/"} +{"d:Title": "Metacritic.com: Double Jeopardy", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Double_Jeopardy_-_1999", "url": "http://www.metacritic.com/movie/double-jeopardy"} +{"d:Title": "Krzysztof Kieslowski", "d:Description": "Critical analysis of several of the director's films.", "topic": "Top/Arts/Movies/Titles/D/Double_Life_of_V\u00e9ronique,_The", "url": "http://www.filmref.com/directors/dirpages/kieslowski.html#veronique"} +{"d:Title": "The Double Life of Veronique (NR)", "d:Description": "A review by Hal Hinson. [Washington Post]", "topic": "Top/Arts/Movies/Titles/D/Double_Life_of_V\u00e9ronique,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thedoublelifeofveroniquenrhinson_a0a726.htm"} +{"d:Title": "Double vie de V\u00e9ronique, La (1991)", "d:Description": "Filmography, cast and crew, and related material at IMDb.", "topic": "Top/Arts/Movies/Titles/D/Double_Life_of_V\u00e9ronique,_The", "url": "http://www.imdb.com/title/tt0101765/"} +{"d:Title": "rogerebert.com: The Double Life of V\u00e9ronique", "d:Description": "Review by Roger Ebert, 3.5 stars.", "topic": "Top/Arts/Movies/Titles/D/Double_Life_of_V\u00e9ronique,_The", "url": "http://www.rogerebert.com/reviews/the-double-life-of-veronique-1991"} +{"d:Title": "All-Reviews.com - Double Take", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Double_Take", "url": "http://www.all-reviews.com/videos-2/double-take.htm"} +{"d:Title": "IMDb: Double Take", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Double_Take", "url": "http://www.imdb.com/title/tt0238948/"} +{"d:Title": "Metacritic.com: Double Take", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Double_Take", "url": "http://www.metacritic.com/movie/double-take-2001"} +{"d:Title": "IMDb: Double Tap (1997)", "d:Description": "Plot summary, cast and crew details, reviews.", "topic": "Top/Arts/Movies/Titles/D/Double_Tap", "url": "http://www.imdb.com/title/tt0119012/"} +{"d:Title": "All-Reviews.com - Double Team", "d:Description": "Review by MrBrown.", "topic": "Top/Arts/Movies/Titles/D/Double_Team", "url": "http://www.all-reviews.com/videos-2/double-team.htm"} +{"d:Title": "IMDb: Doubt", "d:Description": "Cast and Crew, reviews, plot pummary, photographs and user comments.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.imdb.com/title/tt0918927/"} +{"d:Title": "Rotten Tomatoes: Doubt Movie", "d:Description": "Synopsis, cast, reviews and news.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.rottentomatoes.com/m/doubt/"} +{"d:Title": "ChristianityToday.com: Doubt", "d:Description": "Film review, written from a biblical perspective, by Alissa Wilkinson.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.christianitytoday.com/ct/2008/decemberweb-only/doubt.html"} +{"d:Title": "Metacritic.com: Doubt", "d:Description": "Plot summary, rating score and links to reviews by top critics.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.metacritic.com/movie/doubt"} +{"d:Title": "A.V. Club: Doubt", "d:Description": "Movie review written by Scott Tobias.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.avclub.com/review/doubt-2640"} +{"d:Title": "NYTimes.com: Doubt - Between Heaven and Earth, Room for Ambiguity", "d:Description": "Movie review written by Manohla Dargis.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.nytimes.com/2008/12/12/movies/12doub.html"} +{"d:Title": "RogerEbert.com: Doubt", "d:Description": "Review of the 2008 movie starring Meryl Streep as Sister Loysius.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.rogerebert.com/reviews/doubt-2008"} +{"d:Title": "TVGuide.com: Doubt", "d:Description": "Movie review written by Perry Seibert, cast detail, trailer and news.", "topic": "Top/Arts/Movies/Titles/D/Doubt", "url": "http://www.tvguide.com/movies/doubt/294816/"} +{"d:Title": "IMDb: Down (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Down", "url": "http://www.imdb.com/title/tt0247303/"} +{"d:Title": "AllReaders.com - Down And Out In Beverly Hills", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Down_and_Out_in_Beverly_Hills", "url": "http://allreaders.com/movie-review-summary/down-and-out-in-beverly-hills-4230"} +{"d:Title": "All-Reviews.com: Down to Earth", "d:Description": "Features a review of the film.", "topic": "Top/Arts/Movies/Titles/D/Down_to_Earth", "url": "http://www.all-reviews.com/videos-2/down-to-earth.htm"} +{"d:Title": "HARO Online", "d:Description": "Includes a review, screenshots, and links.", "topic": "Top/Arts/Movies/Titles/D/Down_to_Earth", "url": "http://www.haro-online.com/movies/down_to_earth.html"} +{"d:Title": "Rotten Tomatoes: Down to Earth", "d:Description": "Includes links to reviews, news, a trailer, and images.", "topic": "Top/Arts/Movies/Titles/D/Down_to_Earth", "url": "http://www.rottentomatoes.com/m/1104813-down_to_earth/"} +{"d:Title": "Metacritic.com: Down to Earth", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Down_to_Earth", "url": "http://www.metacritic.com/movie/down-to-earth"} +{"d:Title": "Allreaders Review - Down to Earth", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Down_to_Earth", "url": "http://allreaders.com/movie-review-summary/down-earth-5138"} +{"d:Title": "HARO Online - Down To You", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/D/Down_to_You", "url": "http://haro-online.com/movies/down_to_you.html"} +{"d:Title": "PopMatters", "d:Description": "Review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/D/Down_to_You", "url": "http://popmatters.com/film/reviews/d/down-to-you.html"} +{"d:Title": "Rotten Tomatoes - Down to You", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/D/Down_to_You", "url": "http://www.rottentomatoes.com/m/down_to_you/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for the film. Includes a comparison with other teen comedies.", "topic": "Top/Arts/Movies/Titles/D/Down_to_You", "url": "http://www.boxofficemojo.com/movies/?id=downtoyou.htm"} +{"d:Title": "Metacritic.com: Down to You", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Down_to_You", "url": "http://www.metacritic.com/movie/down-to-you"} +{"d:Title": "IMDb: Down to You", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/D/Down_to_You", "url": "http://www.imdb.com/title/tt0186975/"} +{"d:Title": "HARO Online - Down with Love", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/D/Down_with_Love", "url": "http://www.haro-online.com/movies/down_with_love.html"} +{"d:Title": "Internet Movie Database: Down with Love", "d:Description": "Plot summary, cast and crew, reviews, user comments and ratings, production and distribution information, quotations, trivia, related articles, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/D/Down_with_Love", "url": "http://imdb.com/title/tt0309530/"} +{"d:Title": "All-Reviews.com: Down with Love", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Down_with_Love", "url": "http://www.all-reviews.com/videos-5/down-with-love.htm"} +{"d:Title": "About.com: Do the Right Thing", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/D/Do_the_Right_Thing", "url": "http://homevideo.about.com/library/weekly/aafpr020702.htm"} +{"d:Title": "Metacritic.com: Do The Right Thing", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Do_the_Right_Thing", "url": "http://www.metacritic.com/movie/do-the-right-thing"} +{"d:Title": "All-Reviews.com - Doctor Dolittle (1998)", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle", "url": "http://www.all-reviews.com/videos/doctor-dolittle.htm"} +{"d:Title": "IMDb - Dr. Dolittle (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle", "url": "http://www.imdb.com/title/tt0118998/"} +{"d:Title": "Roger Ebert: Doctor Dolittle", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle", "url": "http://www.rogerebert.com/reviews/doctor-dolittle-1998"} +{"d:Title": "Haro Online: Dr Dolittle", "d:Description": "Negative review which looks at plot, believablity and comments on why the reviewer would say making the sequel was not a good thing.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle_2", "url": "http://www.haro-online.com/movies/dr_dolittle_2.html"} +{"d:Title": "All-Reviews.com: Dr. Dolittle 2", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle_2", "url": "http://www.all-reviews.com/videos-3/dr-dolittle2.htm"} +{"d:Title": "Ninth Symphony Films: Dr. Dolittle 2", "d:Description": "A review by Larry Levin. Includes links, general information, and pictures.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle_2", "url": "http://regencylady.tripod.com/site/filmreviews/dr2.html"} +{"d:Title": "Rotten Tomatoes - Dr. Dolittle 2", "d:Description": "Links to movie reviews, synopsis, cast information, and multimedia.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle_2", "url": "http://www.rottentomatoes.com/m/dr_dolittle_2/"} +{"d:Title": "IMDb - Dr. Dolittle 2 (2001)", "d:Description": "Cast and crew credits, links to external reviews, user comments, plot summary and general information about the film.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle_2", "url": "http://www.imdb.com/title/tt0240462/"} +{"d:Title": "Allreaders.com: Doctor Dolittle 2", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dr._Dolittle_Series/Dr._Dolittle_2", "url": "http://allreaders.com/movie-review-summary/doctor-dolittle-2-6943"} +{"d:Title": "IMDb - Dr. Gillespie's New Assistant (1942)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Dr._Gillespie's_New_Assistant", "url": "http://www.imdb.com/title/tt0034680/"} +{"d:Title": "Cinebooks Database - Dr. Gillespie's New Assistant", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/D/Dr._Gillespie's_New_Assistant", "url": "http://www.tvguide.com/movies/dr-gillespies-new-assistant/113123/"} +{"d:Title": "Dr. Jekyll and Mr. Hyde (1941)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/D/Dr._Jekyll_and_Mr._Hyde_-_1941", "url": "http://www.imdb.com/title/tt0033553/"} +{"d:Title": "DVD Savant Review - Dr. Mabuse, the Gambler", "d:Description": "Synopsis and DVD review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Dr._Mabuse,_the_Gambler", "url": "http://www.dvdtalk.com/dvdsavant/s319mabuse.html"} +{"d:Title": "Dr. Mabuse, the Gambler - A Criminal for All Seasons", "d:Description": "DVD review by Mark Bourne.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Dr._Mabuse,_the_Gambler", "url": "http://www.dvdjournal.com/reviews/d/drmabuse.shtml"} +{"d:Title": "Dr. Mabuse, the Gambler (Dr. Mabuse, der Spieler)", "d:Description": "DVD review by Mark Zimmer of the \"intriguing and always visually interesting crime drama.\"", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Dr._Mabuse,_the_Gambler", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1618"} +{"d:Title": "Dr. Mabuse, der Spieler (1922)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Dr._Mabuse,_the_Gambler", "url": "http://www.imdb.com/title/tt0013086/"} +{"d:Title": "Testament des Dr. Mabuse, Das (1933)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Testament_of_Dr._Mabuse,_The_-_1933", "url": "http://www.imdb.com/title/tt0023563/"} +{"d:Title": "The Testament of Dr. Mabuse", "d:Description": "Review by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Testament_of_Dr._Mabuse,_The_-_1933", "url": "http://homepages.sover.net/~ozus/testamentofdrmabuse.htm"} +{"d:Title": "AllMovie: The Testament of Dr. Mabuse", "d:Description": "Overview, synopsis, review, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Testament_of_Dr._Mabuse,_The_-_1933", "url": "http://www.allmovie.com/movie/the-testament-of-dr-mabuse-v67069"} +{"d:Title": "The Testament of Dr. Mabuse", "d:Description": "Review by TV Guide Online of"haunting, suspenseful sequel."", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Testament_of_Dr._Mabuse,_The_-_1933", "url": "http://www.tvguide.com/movies/testament-dr-mabuse/120035/"} +{"d:Title": "The Testament of Dr. Mabuse", "d:Description": "DVD review of an \"excellent special edition of a mostly-forgotten film\".", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Testament_of_Dr._Mabuse,_The_-_1962", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=294"} +{"d:Title": "Testament des Dr. Mabuse, Das (1962)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Testament_of_Dr._Mabuse,_The_-_1962", "url": "http://www.imdb.com/title/tt0056573/"} +{"d:Title": "The 1000 Eyes of Dr. Mabuse", "d:Description": "DVD review by Glenn Erickson of the film where Fritz Lang \"pushed the limits of movie thrillers even further.\"", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Thousand_Eyes_of_Dr._Mabuse,_The", "url": "http://www.dvdtalk.com/dvdsavant/s127mabuse.html"} +{"d:Title": "Die Tausend Augen des Dr. Mabuse", "d:Description": "Review by Jeffrey Gantz of the film that can make \"a claim to be the most underrated movie of the 20th century.\"", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Thousand_Eyes_of_Dr._Mabuse,_The", "url": "http://www.bostonphoenix.com/boston/movies/trailers/documents/02245282.htm"} +{"d:Title": "The 1000 Eyes of Dr. Mabuse (1960)", "d:Description": "DVD review by Mark Zimmer of \"the final film of a great director.\"", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Thousand_Eyes_of_Dr._Mabuse,_The", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=293"} +{"d:Title": "Tausend Augen des Dr. Mabuse, Die (1960)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/D/Dr._Mabuse_Series/Thousand_Eyes_of_Dr._Mabuse,_The", "url": "http://www.imdb.com/title/tt0054371/"} +{"d:Title": "Dr. Strangelove Or: How I Learned To Stop Worrying And Love The Bomb (1964)", "d:Description": "\"Greatest Films\" Review", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.filmsite.org/drst.html"} +{"d:Title": "Dr. Strangelove Insights", "d:Description": "Trivia about the classic spoof.", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.krusch.com/kubrick/Q05.html"} +{"d:Title": "Teach with Movies: Dr. Strangelove", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.teachwithmovies.org/guides/dr-strangelove.html"} +{"d:Title": "All-Reviews.com: Dr. Strangelove", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.all-reviews.com/videos-2/dr-strangelove.htm"} +{"d:Title": "About.com: Dr. Strangelove", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://homevideo.about.com/library/weekly/aafpr010202.htm"} +{"d:Title": "Dr. Strangelove: A Continuity Transcript", "d:Description": "Screenplay by Stanley Kubrick, Terry Southern, and Peter George.", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.visual-memory.co.uk/amk/doc/0055.html"} +{"d:Title": "IMDb: Dr. Strangelove", "d:Description": "Synopsis, cast and crew and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.imdb.com/title/tt0057012/combined"} +{"d:Title": "Review of Dr. Strangelove", "d:Description": "Criticism of the film by James Schellenberg.", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.challengingdestiny.com/reviews/strangelove.htm"} +{"d:Title": "Chicago Sun Times - Dr. Strangelove: or, How I Learned to Stop Worrying and Love the Bomb", "d:Description": "\"Seen after 30 years, 'Dr. Strangelove' seems remarkably fresh and undated - a clear-eyed, irreverent, dangerous satire.\" Reviewed by Roger Ebert. [Rating: 4 / 5]", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.rogerebert.com/reviews/dr-strangelove-or-how-i-learned-to-stop-worrying-and-love-the-bomb-1994"} +{"d:Title": "TV Guide Online: Dr. Strangelove", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dr._Strangelove", "url": "http://www.tvguide.com/movies/dr-strangelove-learned-stop-worrying-love-bomb/113158/"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/D/Dr._T_and_the_Women", "url": "http://www.haro-online.com/movies/dr_t_and_the_women.html"} +{"d:Title": "All-Reviews.com: Dr. T and the Women", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dr._T_and_the_Women", "url": "http://www.all-reviews.com/videos-2/dr-t-women.htm"} +{"d:Title": "Rotten Tomatoes: Dr. T and the Women (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dr._T_and_the_Women", "url": "http://www.rottentomatoes.com/m/dr_t_and_the_women/"} +{"d:Title": "Metacritic.com: Dr. T and the Women", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dr._T_and_the_Women", "url": "http://www.metacritic.com/movie/dr-t-and-the-women"} +{"d:Title": "IMDb: Dr. T and the Women", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/D/Dr._T_and_the_Women", "url": "http://www.imdb.com/title/tt0205271/"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "Capsule review: \"The intoxicating essence of transgressive lesbian power.\"", "topic": "Top/Arts/Movies/Titles/D/Dracula's_Daughter", "url": "http://www.brightlightsfilm.com/23/universalhorror.html#daughter"} +{"d:Title": "Dracula Sucks", "d:Description": "Film versions of the character from four generations.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies", "url": "http://www.listentome.net/movies51.php"} +{"d:Title": "And You Call Yourself a Scientist! - Dracula, Prince of Darkness", "d:Description": "Discusses the evolution of the Hammer character, with an emphasis on the 1966 release.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies", "url": "http://www.aycyas.com/liz_dpod_dvd.htm"} +{"d:Title": "Horror of Dracula", "d:Description": "Compares Hammer's \"The Curse of Frankenstein\" to \"The Horror of Dracula\".", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies", "url": "http://www.avrev.com/dvd-movie-disc-reviews/horror-thriller/horror-of-dracula.html"} +{"d:Title": "Dark Prince: The True Story of Dracula", "d:Description": "Offers full cast and crew list, external reviews, memorable quotes, filming locations and discussion board.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dark_Prince,_The_True_Story_of_Dracula", "url": "http://www.imdb.com/title/tt0240793/"} +{"d:Title": "Vlad Dracula: The Dark Prince", "d:Description": "Site offers image gallery from the 2000 movie, Dark Prince: The True Story of Dracula, starring Rudolph Martin.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dark_Prince,_The_True_Story_of_Dracula", "url": "http://www.darkprince.rudolfmartin.de/"} +{"d:Title": "Dvdfuture.com Review: Dracula, The Dark Prince", "d:Description": "With a larger production, this movie could have been a lot larger than it was. That said, \"Dracula\" accomplishes what few Dracula movies are able to offer: an accurate look at the real Dracula. Review by George Castillo.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dark_Prince,_The_True_Story_of_Dracula", "url": "http://www.dvdfuture.com/review.php?id=213"} +{"d:Title": "DVD Talk Review: Dracula: The Dark Prince", "d:Description": "While the real story of Vlad the Impaler is worth telling, Dracula: The Dark Prince is a goofy and inconsistent film. By Gil Jawetz.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dark_Prince,_The_True_Story_of_Dracula", "url": "http://www.dvdtalk.com/reviews/3639/dracula-the-dark-prince/"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "Capsule review: \"The magna carta of vampire movies\"", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1931", "url": "http://www.brightlightsfilm.com/23/universalhorror.html#dracula"} +{"d:Title": "Greatest Films - Dracula (1931)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1931", "url": "http://www.filmsite.org/drac.html"} +{"d:Title": "Red Carpet Movies: Dracula", "d:Description": "Review by Laura Loveday.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1931", "url": "http://www.angelfire.com/ct3/redcarpetmovies/movie/dracula1931.html"} +{"d:Title": "IMDb - Dracula (1931)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1931", "url": "http://www.imdb.com/title/tt0021814/"} +{"d:Title": "TV Guide Online: Dracula", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1931", "url": "http://www.tvguide.com/movies/dracula/113376/"} +{"d:Title": "All-Reviews.com: Bram Stoker's Dracula", "d:Description": "Review by Dragan Antulov, with links to other reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1992", "url": "http://www.all-reviews.com/videos-4/bram-stokers-dracula.htm"} +{"d:Title": "Bram Stoker's Dracula", "d:Description": "Movie clips, soundtrack lyrics, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1992", "url": "http://vladdracul10.tripod.com/dracula.html"} +{"d:Title": "VnS Dictionary: Dracula", "d:Description": "Collection of outtakes from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_-_1992", "url": "http://vladdracul10.tripod.com/dracula1.html"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_2000", "url": "http://www.haro-online.com/movies/dracula_2000.html"} +{"d:Title": "All-Reviews.com: Dracula 2000", "d:Description": "Features a review of the film.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_2000", "url": "http://www.all-reviews.com/videos-2/dracula-2000.htm"} +{"d:Title": "RottenTomatoes: Dracula 2000", "d:Description": "Reviews from top critics and audiences. News, information, trailer, interviews, and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_2000", "url": "http://www.rottentomatoes.com/m/dracula_2000/"} +{"d:Title": "Metacritic.com: Dracula 2000", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_2000", "url": "http://www.metacritic.com/movie/dracula-2000"} +{"d:Title": "IMDb: Dracula 2000", "d:Description": "Plot summary and cast and crew.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_2000", "url": "http://www.imdb.com/title/tt0219653/"} +{"d:Title": "Rotten Tomatoes: Dracula Vs. Frankenstein", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_Vs._Frankenstein", "url": "http://www.rottentomatoes.com/m/1043589-dracula_vs_frankenstein/"} +{"d:Title": "IMDb: Dracula Vs. Frankenstein (1971)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Dracula_Vs._Frankenstein", "url": "http://www.imdb.com/title/tt0067017/"} +{"d:Title": "Nosferatu", "d:Description": "Includes review, information, facts and reference material for the movie.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Nosferatu_-_1922", "url": "http://www.plume-noire.com/movies/cult/nosferatu.html"} +{"d:Title": "Nosferatu, A Symphony of Horror", "d:Description": "Includes cast/crew information, review, synopsis, background, pictures and sounds.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Nosferatu_-_1922", "url": "http://nosferatumovie.com/"} +{"d:Title": "IMDb: Nosferatu (1922)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Nosferatu_-_1922", "url": "http://www.imdb.com/title/tt0013442/"} +{"d:Title": "TV Guide Online: Nosferatu", "d:Description": "Movie review, plot, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/D/Dracula_Movies/Nosferatu_-_1922", "url": "http://www.tvguide.com/movies/nosferatu/132160/"} +{"d:Title": "HARO Online: Dragonfly", "d:Description": "Review, accompanied by stills, that looks at why many Kevin Costner films are bad.", "topic": "Top/Arts/Movies/Titles/D/Dragonfly", "url": "http://www.haro-online.com/movies/dragonfly.html"} +{"d:Title": "All-Reviews.com: Dragonfly", "d:Description": "Reviews of the film by several contributors.", "topic": "Top/Arts/Movies/Titles/D/Dragonfly", "url": "http://all-reviews.com/videos-4/dragonfly.htm"} +{"d:Title": "Metacritic.com: Dragonfly", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Dragonfly", "url": "http://www.metacritic.com/movie/dragonfly"} +{"d:Title": "IMDb: Dragonfly", "d:Description": "Provides, cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/D/Dragonfly", "url": "http://www.imdb.com/title/tt0259288/"} +{"d:Title": "Italian Dragonheart Web Site", "d:Description": "Fan site in English and Italian. Synopsis, character profiles, production information, and multimedia.", "topic": "Top/Arts/Movies/Titles/D/Dragonheart", "url": "http://dhitawebsite.altervista.org/"} +{"d:Title": "All-Reviews.com: Dragonheart", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dragonheart", "url": "http://www.all-reviews.com/videos-3/dragonheart.htm"} +{"d:Title": "Filmtracks: Dragonheart", "d:Description": "Soundtrack review.", "topic": "Top/Arts/Movies/Titles/D/Dragonheart", "url": "http://www.filmtracks.com/titles/dragonheart.html"} +{"d:Title": "IMDb: Dragonheart", "d:Description": "Synopsis of the film with cast/crew credits, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dragonheart", "url": "http://www.imdb.com/title/tt0116136/"} +{"d:Title": "IMDb: Dragonslayer (1981)", "d:Description": "Cast and crew details, user comments, and plot summary.", "topic": "Top/Arts/Movies/Titles/D/Dragonslayer", "url": "http://www.imdb.com/title/tt0082288/"} +{"d:Title": "TV Guide Online: Dragonslayer", "d:Description": "Brief synopsis and review.", "topic": "Top/Arts/Movies/Titles/D/Dragonslayer", "url": "http://www.tvguide.com/movies/dragonslayer/113513/"} +{"d:Title": "Dragon and the Hawk", "d:Description": "An independent feature film. Police Lieutenant Dana Hawkins risks her life and her job to help Dragon Pak find his missing sister and solve the mystery of other missing girls in the city, including her sister.", "topic": "Top/Arts/Movies/Titles/D/Dragon_and_the_Hawk", "url": "http://dragonandthehawk.com/"} +{"d:Title": "IMDb - Dragon and the Hawk (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dragon_and_the_Hawk", "url": "http://www.imdb.com/title/tt0188575/"} +{"d:Title": "IMDb - Dragon Inn (Xin long men ke zhan) (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dragon_Inn", "url": "http://www.imdb.com/title/tt0105859/"} +{"d:Title": "Bad Movie Night: Deadly Hands of Kung Fu", "d:Description": "Chris Edwards reviews the film. \"A cheap, exploitive fantasy-comedy with dumb jokes and awful fighting.\"", "topic": "Top/Arts/Movies/Titles/D/Dragon_Lives_Again,_The", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=53357_leandog"} +{"d:Title": "Internet Movie Database: Li san jiao wei zhen di yu men", "d:Description": "Cast and crew list, production information, and reviews.", "topic": "Top/Arts/Movies/Titles/D/Dragon_Lives_Again,_The", "url": "http://www.imdb.com/title/tt0165362/"} +{"d:Title": "IMDb: Drama/Mex (2006)", "d:Description": "Photo gallery, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/D/Drama-Mex", "url": "http://www.imdb.com/title/tt0808237/"} +{"d:Title": "Rotten Tomatoes: Drama/Mex", "d:Description": "Review links, synopsis, credits, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Drama-Mex", "url": "http://www.rottentomatoes.com/m/drama_mex/"} +{"d:Title": "MovieWeb: Drama/Mex (2007)", "d:Description": "Summary, reviews, synopsis, and photos.", "topic": "Top/Arts/Movies/Titles/D/Drama-Mex", "url": "http://www.movieweb.com/movie/drama-mex"} +{"d:Title": "IMDb: The Draughtsman's Contract (1982)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Draughtsman's_Contract,_The", "url": "http://www.imdb.com/title/tt0083851/"} +{"d:Title": "Rotten Tomatoes: The Draughtsman's Contract", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/D/Draughtsman's_Contract,_The", "url": "http://www.rottentomatoes.com/m/draughtsmans_contract/"} +{"d:Title": "IMDb: Drawing Down the Moon (1997)", "d:Description": "Cast information, synopsis, comments, and related details.", "topic": "Top/Arts/Movies/Titles/D/Drawing_Down_the_Moon", "url": "http://www.imdb.com/title/tt0119018/"} +{"d:Title": "All-Reviews.com - Dreamcatcher", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dreamcatcher", "url": "http://www.all-reviews.com/videos-5/dreamcatcher.htm"} +{"d:Title": "Internet Movie Database: Dreamcatcher", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production information, distribution details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/D/Dreamcatcher", "url": "http://imdb.com/title/tt0285531/"} +{"d:Title": "HARO Online: Dreamcatcher", "d:Description": "Review and photographs.", "topic": "Top/Arts/Movies/Titles/D/Dreamcatcher", "url": "http://www.haro-online.com/movies/dreamcatcher.html"} +{"d:Title": "Rotten Tomatoes: Dreamcatcher", "d:Description": "Reviews, synopsis, trailer, photographs, news and articles.", "topic": "Top/Arts/Movies/Titles/D/Dreamcatcher", "url": "http://www.rottentomatoes.com/m/dreamcatcher/"} +{"d:Title": "Yahoo! Movies: Dreamcatcher", "d:Description": "Synopsis, credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/D/Dreamcatcher", "url": "http://movies.yahoo.com/movie/dreamcatcher/"} +{"d:Title": "Future Movies: Dreamcatcher", "d:Description": "Review by Matt McAllister.", "topic": "Top/Arts/Movies/Titles/D/Dreamcatcher", "url": "http://www.futuremovies.co.uk/reviews/dreamcatcher/matt-mcallister"} +{"d:Title": "IMDb: The Dreamers (2003)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers, posters and photographs.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.imdb.com/title/tt0309987/"} +{"d:Title": "PopEntertainment.com: The Dreamers", "d:Description": "Review by Alex Diamond.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.popentertainment.com/dreamers.htm"} +{"d:Title": "BBC Films: The Dreamers", "d:Description": "Review by Matthew Leland.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.bbc.co.uk/films/2004/01/23/the_dreamers_2004_review.shtml"} +{"d:Title": "Shadows on the Wall: The Dreamers", "d:Description": "Review by Rich Cline.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.shadowsonthewall.co.uk/03/dreamers.htm"} +{"d:Title": "OffOffOff Film: Dream a Little Dream of Oui", "d:Description": "Review by Joshua Tanzer.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.offoffoff.com/film/2004/dreamers.php"} +{"d:Title": "HARO Online: The Dreamers", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.haro-online.com/movies/dreamers.html"} +{"d:Title": "View London: The Dreamers", "d:Description": "Review by Matthew Turner.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.viewlondon.co.uk/films/the-dreamers-film-review-5234.html"} +{"d:Title": "Future Movies: The Dreamers", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.futuremovies.co.uk/reviews/the-dreamers/nik-huggins"} +{"d:Title": "Chicago Sun-Times: The Dreamers", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.rogerebert.com/reviews/the-dreamers-2004"} +{"d:Title": "Movie Web: The Dreamers", "d:Description": "Review by Christopher Monfette.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.movieweb.com/movie/the-dreamers"} +{"d:Title": "Slant.com: The Dreamers", "d:Description": "Review by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/D/Dreamers,_The", "url": "http://www.slantmagazine.com/film/review/the-dreamers"} +{"d:Title": "IMDb: Dreaming of Julia (2001)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/D/Dreaming_of_Julia", "url": "http://www.imdb.com/title/tt0251677/"} +{"d:Title": "IMDb: Dreamland (2004/I)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/D/Dreamland", "url": "http://www.imdb.com/title/tt0391095/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/D/Dreamscape", "url": "http://www.fast-rewind.com/dreamscape.htm"} +{"d:Title": "Rotten Tomatoes: Dreamscape", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dreamscape", "url": "http://www.rottentomatoes.com/m/dreamscape/"} +{"d:Title": "IMDb: Dreamscape (1984)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dreamscape", "url": "http://www.imdb.com/title/tt0087175/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/D/Dream_a_Little_Dream_-_1989", "url": "http://www.fast-rewind.com/dreamalittle.htm"} +{"d:Title": "Rotten Tomatoes: Dream a Little Dream", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Dream_a_Little_Dream_-_1989", "url": "http://www.rottentomatoes.com/m/dream_a_little_dream/"} +{"d:Title": "IMDb: Dream a Little Dream (1989)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dream_a_Little_Dream_-_1989", "url": "http://www.imdb.com/title/tt0097236/"} +{"d:Title": "All-Reviews.com: Dream with the Fishes", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/D/Dream_with_the_Fishes", "url": "http://www.all-reviews.com/videos-3/dream-with-fishes.htm"} +{"d:Title": "IMDb: Dressed to Kill (1980)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Dressed_to_Kill_-_1980", "url": "http://www.imdb.com/title/tt0080661/"} +{"d:Title": "Rotten Tomatoes: Dressed to Kill", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/D/Dressed_to_Kill_-_1980", "url": "http://www.rottentomatoes.com/m/1006299-dressed_to_kill/"} +{"d:Title": "Rotten Tomatoes: Drillbit Taylor", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/D/Drillbit_Taylor", "url": "http://www.rottentomatoes.com/m/drillbit_taylor/"} +{"d:Title": "IGN Movies: Drillbit Taylor", "d:Description": "Photos, videos, news, message board, and a review (rating: 2 out of 10).", "topic": "Top/Arts/Movies/Titles/D/Drillbit_Taylor", "url": "http://www.ign.com/movies/drillbit-taylor/theater-836257"} +{"d:Title": "MovieWeb: Drillbit Taylor (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/D/Drillbit_Taylor", "url": "http://www.movieweb.com/movie/drillbit-taylor"} +{"d:Title": "USA Today: Drillbit Taylor", "d:Description": "Review, by Claudia Puig: \"As clunky and humorless as its title.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/D/Drillbit_Taylor", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-03-20-drillbit-taylor_N.htm"} +{"d:Title": "HARO Online: Driven", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Driven_-_2001", "url": "http://www.haro-online.com/movies/driven.html"} +{"d:Title": "All-Reviews.com: Driven", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Driven_-_2001", "url": "http://www.all-reviews.com/videos-3/driven.htm"} +{"d:Title": "Metacritic.com: Driven", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/D/Driven_-_2001", "url": "http://www.metacritic.com/movie/driven"} +{"d:Title": "IMDb: Driven (2001)", "d:Description": "Includes a cast list, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Driven_-_2001", "url": "http://www.imdb.com/title/tt0132245/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/D/Drive_Me_Crazy", "url": "http://www.rottentomatoes.com/m/drive_me_crazy/"} +{"d:Title": "Metacritic.com: Drive Me Crazy", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Drive_Me_Crazy", "url": "http://www.metacritic.com/movie/drive-me-crazy"} +{"d:Title": "IMDb - Drive Me Crazy (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Drive_Me_Crazy", "url": "http://www.imdb.com/title/tt0164114/"} +{"d:Title": "Roger Ebert: Drive Me Crazy", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/D/Drive_Me_Crazy", "url": "http://www.rogerebert.com/reviews/drive-me-crazy-1999"} +{"d:Title": "TV Guide Online: Driving Me Crazy", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Drive_Me_Crazy", "url": "http://www.tvguide.com/movies/driving-crazy/128528/"} +{"d:Title": "Christian Spotlight", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/D/Driving_Miss_Daisy", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/rvu-missdaisy.html"} +{"d:Title": "RollingStone.com: Driving Miss Daisy", "d:Description": "Includes a synopsis, reviews, photos, cast and crew, message boards, and trivia.", "topic": "Top/Arts/Movies/Titles/D/Driving_Miss_Daisy", "url": "http://www.rollingstone.com/movies/reviews/driving-miss-daisy-19900126"} +{"d:Title": "Hollywood Bitchslap", "d:Description": "Review and comments.", "topic": "Top/Arts/Movies/Titles/D/Driving_Miss_Daisy", "url": "http://www.hollywoodbitchslap.com/review.php?movie=2115"} +{"d:Title": "The Flick Filosopher", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/D/Driving_Miss_Daisy", "url": "http://www.flickfilosopher.com/1999/03/driving-miss-daisy-review.html"} +{"d:Title": "IMDb", "d:Description": "Plot outline, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/D/Driving_Miss_Daisy", "url": "http://www.imdb.com/title/tt0097239/"} +{"d:Title": "All-Reviews.com: Drop Dead Gorgeous", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/D/Drop_Dead_Gorgeous_-_1999", "url": "http://www.all-reviews.com/videos/drop-dead-gorgeous.htm"} +{"d:Title": "Drop Dead Gorgeous - Rotten Tomatoes", "d:Description": "Short synopsis, and links to reviews, trailers, articles and interviews.", "topic": "Top/Arts/Movies/Titles/D/Drop_Dead_Gorgeous_-_1999", "url": "http://www.rottentomatoes.com/m/drop_dead_gorgeous/"} +{"d:Title": "Drop Dead Gorgeous", "d:Description": "Official site with information about the cast, story and production.", "priority": "1", "topic": "Top/Arts/Movies/Titles/D/Drop_Dead_Gorgeous_-_1999", "url": "http://www.warnerbros.com/drop-dead-gorgeous"} +{"d:Title": "Metacritic.com: Drop Dead Gorgeous", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Drop_Dead_Gorgeous_-_1999", "url": "http://www.metacritic.com/movie/drop-dead-gorgeous"} +{"d:Title": "IMDb - Drop Dead Gorgeous (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Drop_Dead_Gorgeous_-_1999", "url": "http://www.imdb.com/title/tt0157503/"} +{"d:Title": "All-Reviews.com: Drop Zone", "d:Description": "Review of the film by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/D/Drop_Zone", "url": "http://all-reviews.com/videos-4/drop-zone.htm"} +{"d:Title": "IMDb: Drop Zone", "d:Description": "Film information and synopsis, cast and crew, reviews, trivia, bloopers, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/D/Drop_Zone", "url": "http://www.imdb.com/title/tt0109676/"} +{"d:Title": "Chris Renson filmography: Drowning by Numbers", "d:Description": "Review and photo stills.", "topic": "Top/Arts/Movies/Titles/D/Drowning_by_Numbers", "url": "http://users.skynet.be/chrisrenson-makemovies/Drowning.htm"} +{"d:Title": "Drowning by Numbers", "d:Description": "Review by Rumsey Taylor.", "topic": "Top/Arts/Movies/Titles/D/Drowning_by_Numbers", "url": "http://www.notcoming.com/reviews/drowningbynumbers/"} +{"d:Title": "Yahoo! Movies: Drowning by Numbers", "d:Description": "Synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/D/Drowning_by_Numbers", "url": "http://movies.yahoo.com/movie/drowning-by-numbers/"} +{"d:Title": "IMDb: Drowning by Numbers", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Drowning_by_Numbers", "url": "http://www.imdb.com/title/tt0092929/"} +{"d:Title": "PopMatters - Drowning Mona", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/D/Drowning_Mona", "url": "http://popmatters.com/film/reviews/d/drowning-mona.html"} +{"d:Title": "All-Reviews.com - Drowning Mona", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/D/Drowning_Mona", "url": "http://www.all-reviews.com/videos/drowning-mona.htm"} +{"d:Title": "Rotten Tomatoes - Drowning Mona", "d:Description": "Reviews, previews, production notes, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/D/Drowning_Mona", "url": "http://www.rottentomatoes.com/m/drowning_mona/"} +{"d:Title": "Metacritic.com: Drowning Mona", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Drowning_Mona", "url": "http://www.metacritic.com/movie/drowning-mona"} +{"d:Title": "IMDb - Drowning Mona (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Drowning_Mona", "url": "http://www.imdb.com/title/tt0186045/"} +{"d:Title": "RollingStone.com: Drugstore Cowboy", "d:Description": "Includes a synopsis, reviews, and message boards.", "topic": "Top/Arts/Movies/Titles/D/Drugstore_Cowboy", "url": "http://www.rollingstone.com/movies/reviews/drugstore-cowboy-19891006"} +{"d:Title": "TV Guide Online: Drugstore Cowboy", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Drugstore_Cowboy", "url": "http://www.tvguide.com/movies/drugstore-cowboy/127669/"} +{"d:Title": "HARO Online - Drumline", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/D/Drumline", "url": "http://www.haro-online.com/movies/drumline.html"} +{"d:Title": "All-Reviews.com - Drumline", "d:Description": "Reader-submitted review, rating.", "topic": "Top/Arts/Movies/Titles/D/Drumline", "url": "http://all-reviews.com/videos-5/drumline.htm"} +{"d:Title": "IMDb - Drumline (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/D/Drumline", "url": "http://www.imdb.com/title/tt0303933/"} +{"d:Title": "IMDb: Drunken Master (Zui quan)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Drunken_Master", "url": "http://www.imdb.com/title/tt0080179/"} +{"d:Title": "AllMovie: Drunken Master", "d:Description": "Plot outline, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/D/Drunken_Master", "url": "http://www.allmovie.com/movie/drunken-master-v14878"} +{"d:Title": "TV Guide Online: Drunken Master", "d:Description": "Short synopsis and review.", "topic": "Top/Arts/Movies/Titles/D/Drunken_Master", "url": "http://www.tvguide.com/movies/drunken-master/131895/"} +{"d:Title": "Internet Movie Database: Jui kuen III", "d:Description": "Cast and crew, plot outline, user ratings, and promotional information.", "topic": "Top/Arts/Movies/Titles/D/Drunken_Master_Movies/Drunken_Master_III", "url": "http://www.imdb.com/title/tt0109678/"} +{"d:Title": "Internet Movie Database: Chui ma lau", "d:Description": "Cast and crew, user ratings, and release dates.", "topic": "Top/Arts/Movies/Titles/D/Drunken_Monkey_-_2002", "url": "http://imdb.com/title/tt0367602/"} +{"d:Title": "IMDb - Dry Cleaning [Nettoyage \u00e0 sec] (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Dry_Cleaning", "url": "http://www.imdb.com/title/tt0119773/"} +{"d:Title": "Greatest Films: Duck Soup", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/D/Duck_Soup", "url": "http://www.filmsite.org/duck.html"} +{"d:Title": "IMDb: Duck Soup", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/D/Duck_Soup", "url": "http://www.imdb.com/title/tt0023969/"} +{"d:Title": "TV Guide Online: Duck Soup", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/D/Duck_Soup", "url": "http://www.tvguide.com/movies/duck-soup/113615/"} +{"d:Title": "IMDb: Duct Tape Forever (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Duct_Tape_Forever", "url": "http://www.imdb.com/title/tt0286915/"} +{"d:Title": "Parent Previews: Duct Tape Forever", "d:Description": "Review with grades on various aspects of the movie's content.", "topic": "Top/Arts/Movies/Titles/D/Duct_Tape_Forever", "url": "http://parentpreviews.com/movie-reviews/red-greens-duct-tape-forever"} +{"d:Title": "Rotten Tomatoes: Duct Tape Forever", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/D/Duct_Tape_Forever", "url": "http://www.rottentomatoes.com/m/duct-tape-forever/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/D/Dude,_Where's_My_Car", "url": "http://www.haro-online.com/movies/dude_wheres_my_car.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film by Susan Glen.", "topic": "Top/Arts/Movies/Titles/D/Dude,_Where's_My_Car", "url": "http://popmatters.com/film/reviews/d/dude-wheres-my-car.html"} +{"d:Title": "All-Reviews.com: Dude, Where's My Car", "d:Description": "Offers multiple reviews.", "topic": "Top/Arts/Movies/Titles/D/Dude,_Where's_My_Car", "url": "http://www.all-reviews.com/videos-2/dude-wheres-my-car.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from the top critics. News, trailer, photos, and related links.", "topic": "Top/Arts/Movies/Titles/D/Dude,_Where's_My_Car", "url": "http://www.rottentomatoes.com/m/dude_wheres_my_car/"} +{"d:Title": "Metacritic.com: Dude, Where's My Car", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dude,_Where's_My_Car", "url": "http://www.metacritic.com/movie/dude-wheres-my-car"} +{"d:Title": "IMDb: Dude, Where's My Car? (2000)", "d:Description": "Cast overview, synopsis, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dude,_Where's_My_Car", "url": "http://www.imdb.com/title/tt0242423/"} +{"d:Title": "Allreaders.com: Dude, Where's My Car", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dude,_Where's_My_Car", "url": "http://allreaders.com/movie-review-summary/dude-wheres-my-car-3973"} +{"d:Title": "IMDb - Dudley Do-Right (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/D/Dudley_Do-Right", "url": "http://www.imdb.com/title/tt0160236/"} +{"d:Title": "Dudley Do-Right", "d:Description": "Synopsis, reviews and media predictions, with links to more reviews, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/D/Dudley_Do-Right", "url": "http://www.rottentomatoes.com/m/dudley_doright/"} +{"d:Title": "Metacritic.com: Dudley Do-Right", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dudley_Do-Right", "url": "http://www.metacritic.com/movie/dudley-do-right"} +{"d:Title": "IMDb: Duel (1971)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/D/Duel", "url": "http://www.imdb.com/title/tt0067023/"} +{"d:Title": "Rotten Tomatoes: Duel", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/D/Duel", "url": "http://www.rottentomatoes.com/m/1006345-duel/"} +{"d:Title": "Duel...Peterbilt Versus Valiant", "d:Description": "Fan site with pictures, soundclips, commentary and links.", "topic": "Top/Arts/Movies/Titles/D/Duel", "url": "http://webzapper.tripod.com/duel.html"} +{"d:Title": "Rotten Tomatoes: Duets", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Duets", "url": "http://www.rottentomatoes.com/m/1100086-duets/"} +{"d:Title": "Metacritic.com: Duets", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Duets", "url": "http://www.metacritic.com/movie/duets"} +{"d:Title": "IMDb: Duets (2000)", "d:Description": "Full cast information, synopsis, user reviews, and trailer.", "topic": "Top/Arts/Movies/Titles/D/Duets", "url": "http://www.imdb.com/title/tt0134630/"} +{"d:Title": "The Dukes of Hazzard Movie Blog", "d:Description": "News, rumors, reviews, and discussion.", "topic": "Top/Arts/Movies/Titles/D/Dukes_of_Hazzard_Movies/Dukes_of_Hazzard,_The", "url": "http://dukesmovie.blogspot.com/"} +{"d:Title": "The Dukes of Hazzard (2005)", "d:Description": "Cast and crew details, trailer, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dukes_of_Hazzard_Movies/Dukes_of_Hazzard,_The", "url": "http://www.imdb.com/title/tt0377818/"} +{"d:Title": "HARO Online", "d:Description": "\"Of all the film adaptations of television shows, The Dukes of Hazzard is probably the worst. That's saying a lot, given how bad these adaptations can be.\" Review by Haro.", "topic": "Top/Arts/Movies/Titles/D/Dukes_of_Hazzard_Movies/Dukes_of_Hazzard,_The", "url": "http://www.haro-online.com/movies/dukes_hazzard.html"} +{"d:Title": "The Dukes of Hazzard", "d:Description": "Roger Ebert reviews the film.", "topic": "Top/Arts/Movies/Titles/D/Dukes_of_Hazzard_Movies/Dukes_of_Hazzard,_The", "url": "http://www.rogerebert.com/reviews/the-dukes-of-hazzard-2005"} +{"d:Title": "IMDb: The Dukes of Hazzard - The Beginning", "d:Description": "Contains cast and crew information as well as a synopsis, trivia and quotes.", "topic": "Top/Arts/Movies/Titles/D/Dukes_of_Hazzard_Movies/Dukes_of_Hazzard,_The_-_The_Beginning", "url": "http://www.imdb.com/title/tt0800328/"} +{"d:Title": "Dukes of Hazzard - The Beginning", "d:Description": "Official site. Contains a trailer, cast information, pictures and downloads.", "topic": "Top/Arts/Movies/Titles/D/Dukes_of_Hazzard_Movies/Dukes_of_Hazzard,_The_-_The_Beginning", "url": "http://www.warnervideo.com/dukesbeginning/"} +{"d:Title": "Wikipedia: The Dukes of Hazzard - The Beginning", "d:Description": "Features a plot, cast list and information on the deviations of this film from other backstories.", "topic": "Top/Arts/Movies/Titles/D/Dukes_of_Hazzard_Movies/Dukes_of_Hazzard,_The_-_The_Beginning", "url": "http://en.wikipedia.org/wiki/The_Dukes_of_Hazzard:_The_Beginning"} +{"d:Title": "Jim Carrey Online: Dumb And Dumber", "d:Description": "Review, image gallery, trailers, video clips, and sound bites.", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumber", "url": "http://www.jimcarreyonline.com/movies/dumbndumber.html"} +{"d:Title": "Traveling on Half a Tank", "d:Description": "Critique with MPAA rating advice and a list of the leading cast and crew. Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumber", "url": "http://www.nytimes.com/1994/12/16/movies/film-review-traveling-on-half-a-tank.html"} +{"d:Title": "Internet Movie Database: Dumb&Dumber", "d:Description": "Cast and crew list, synopsis, reviews, viewer comments, quotes, trivia, bloopers, soundtrack details, related films, technical specifications, promotional information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumber", "url": "http://www.imdb.com/title/tt0109686/"} +{"d:Title": "Internet Movie Database: Dumb and Dumberer: When Harry Met Lloyd", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, trivia, production information, distribution details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumberer_-_When_Harry_Met_Lloyd", "url": "http://imdb.com/title/tt0329028/"} +{"d:Title": "KillerMovies: Dumb and Dumberer", "d:Description": "Film information, links to trailers, and related news articles.", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumberer_-_When_Harry_Met_Lloyd", "url": "http://www.killermovies.com/d/dumbanddumberer/"} +{"d:Title": "All-Reviews.com - Dumb and Dumberer: When Harry Met Lloyd", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumberer_-_When_Harry_Met_Lloyd", "url": "http://www.all-reviews.com/videos-5/dumb-and-dumberer.htm"} +{"d:Title": "Rotten Tomatoes: Dumb and Dumberer", "d:Description": "Links to reviews, photos, trailer, news articles, and a public forum.", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumberer_-_When_Harry_Met_Lloyd", "url": "http://www.rottentomatoes.com/m/dumb_and_dumberer_when_harry_met_lloyd/"} +{"d:Title": "Dumb and Dumberer: When Harry Met Lloyd", "d:Description": "Concise review detailing major plot points, with a list of the movie's principle cast and crew. By David Kehr [New York Times].", "topic": "Top/Arts/Movies/Titles/D/Dumb_and_Dumber_Series/Dumb_and_Dumberer_-_When_Harry_Met_Lloyd", "url": "http://www.nytimes.com/2003/06/13/movies/film-in-review-dumb-and-dumberer-when-harry-met-lloyd.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/D/Dune", "url": "http://www.all-reviews.com/videos/dune.htm"} +{"d:Title": "Dune - Behind the Scenes", "d:Description": "Pictures, pre-production artwork, cut scenes, goofs, script, and merchandise information.", "topic": "Top/Arts/Movies/Titles/D/Dune", "url": "http://www.duneinfo.com/"} +{"d:Title": "Collectors of Dune", "d:Description": "A guide to merchandise related to the books, movies, and games.", "topic": "Top/Arts/Movies/Titles/D/Dune", "url": "http://www.collectorsofdune.com/"} +{"d:Title": "Rotten Tomatoes: Dune", "d:Description": "Film information, links to reviews, and a discussion forum.", "topic": "Top/Arts/Movies/Titles/D/Dune", "url": "http://www.rottentomatoes.com/m/1006364-dune/"} +{"d:Title": "The Dune Web Ring", "d:Description": "List of related sites.", "topic": "Top/Arts/Movies/Titles/D/Dune", "url": "http://www.webring.org/hub?ring=ibad"} +{"d:Title": "Internet Movie Database: Dune", "d:Description": "Synopsis, cast and crew, reviews, viewer comments and ratings, quotes, trivia, bloopers, film connections, production information, multimedia, merchandising details, and links.", "topic": "Top/Arts/Movies/Titles/D/Dune", "url": "http://www.imdb.com/title/tt0087182/"} +{"d:Title": "IMDb: Dune Warriors (1990)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dune_Warriors", "url": "http://www.imdb.com/title/tt0099474/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/D/Dungeons_and_Dragons_-_2000", "url": "http://www.haro-online.com/movies/dungeons_and_dragons.html"} +{"d:Title": "All-Reviews.com - Dungeons and Dragons", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Dungeons_and_Dragons_-_2000", "url": "http://www.all-reviews.com/videos-2/dungeons-and-dragons.htm"} +{"d:Title": "PopMatters - Dungeons and Dragons", "d:Description": "Review by Todd Ramlow.", "topic": "Top/Arts/Movies/Titles/D/Dungeons_and_Dragons_-_2000", "url": "http://popmatters.com/film/reviews/d/dungeons-and-dragons.html"} +{"d:Title": "Rotten Tomatoes - Dungeons and Dragons: The Movie (2000)", "d:Description": "Reviews and reactions from the nation's top critics. Plus information on upcoming movies, news, trailers, photographs, and synopsis.", "topic": "Top/Arts/Movies/Titles/D/Dungeons_and_Dragons_-_2000", "url": "http://www.rottentomatoes.com/m/dungeons_and_dragons/"} +{"d:Title": "Metacritic.com: Dungeons&Dragons", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/D/Dungeons_and_Dragons_-_2000", "url": "http://www.metacritic.com/movie/dungeons-dragons"} +{"d:Title": "IMDb - Dungeons&Dragons (2000)", "d:Description": "Cast/credits plus additional information. Links to related projects and movies.", "topic": "Top/Arts/Movies/Titles/D/Dungeons_and_Dragons_-_2000", "url": "http://www.imdb.com/title/tt0190374/"} +{"d:Title": "HARO Online: Duplex", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/D/Duplex", "url": "http://www.haro-online.com/movies/duplex.html"} +{"d:Title": "All-Reviews.com - Duplex", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/D/Duplex", "url": "http://all-reviews.com/videos-5/duplex.htm"} +{"d:Title": "Rotten Tomatoes: Duplex", "d:Description": "Links to reviews, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/D/Duplex", "url": "http://www.rottentomatoes.com/m/duplex/"} +{"d:Title": "IMDb: Duplex (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Duplex", "url": "http://www.imdb.com/title/tt0266489/"} +{"d:Title": "Chicago Sun-Times: Duplex", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/D/Duplex", "url": "http://www.rogerebert.com/reviews/duplex-2003"} +{"d:Title": "Allreaders Review - Dutch", "d:Description": "Review of the plot, setting, theme, and structure with links to similar movies.", "topic": "Top/Arts/Movies/Titles/D/Dutch", "url": "http://allreaders.com/movie-review-summary/dutch-4539"} +{"d:Title": "IMDb: Dutch Girls", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/D/Dutch_Girls", "url": "http://imdb.com/title/tt0089062/"} +{"d:Title": "Greatest Films - E.T. The Extra-Terrestrial (1982)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.filmsite.org/etth.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.fast-rewind.com/et.htm"} +{"d:Title": "HARO Online: E.T.", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.haro-online.com/movies/et20.html"} +{"d:Title": "All-Reviews.com: E.T.", "d:Description": "Reviews of the movie by Steve Rhodes and Harvey Karten.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.all-reviews.com/videos-4/et-extra-terrestrial.htm"} +{"d:Title": "About.com: E.T. the Extra-Terrestrial", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://homevideo.about.com/library/weekly/aafpr103102.htm"} +{"d:Title": "Rotten Tomatoes: E.T.", "d:Description": "Links to reviews, news articles, synopsis, trivia, and forum.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.rottentomatoes.com/m/et_the_extraterrestrial/"} +{"d:Title": "IMDb - E.T. the Extra-Terrestrial (1982)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.imdb.com/title/tt0083866/"} +{"d:Title": "Metacritic.com: E.T.", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.metacritic.com/movie/et-the-extra-terrestrial"} +{"d:Title": "E.T. Collectibles", "d:Description": "Stills from the film and photographs of collectibles.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://bottleboy.tripod.com/"} +{"d:Title": "Contactmusic.com: ET 20th Anniversary Edition", "d:Description": "Production notes for the remake of the film.", "topic": "Top/Arts/Movies/Titles/E/E.T.", "url": "http://www.contactmusic.com/pages/et2x21x03x02"} +{"d:Title": "NPR: Eagle Eye", "d:Description": "Review, by Bob Mondello: \"Idiotic, if reasonably kinetic, Eagle Eye -- in which Shia LaBeouf and Michelle Monaghan spend the better part of two hours urgently answering phone calls and dodging hurtling machinery -- is every bit as over-edited as it is under-thunk.\"", "topic": "Top/Arts/Movies/Titles/E/Eagle_Eye", "url": "http://www.npr.org/templates/story/story.php?storyId=94995353"} +{"d:Title": "Rotten Tomatoes: Eagle Eye", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Eagle_Eye", "url": "http://www.rottentomatoes.com/m/eagle_eye/"} +{"d:Title": "USA Today: Eagle Eye", "d:Description": "Review, by Claudia Puig: \"A terrorist thriller that isn't so much suspenseful as overbearing. Though it aspires to be an intriguing political cautionary tale, the movie is mostly about the feverish and jarringly choreographed chase scenes.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Eagle_Eye", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-09-25-eagle-eye_N.htm"} +{"d:Title": "IGN: Eagle Eye", "d:Description": "Photos, videos, news, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/E/Eagle_Eye", "url": "http://www.ign.com/movies/eagle-eye/theater-946357"} +{"d:Title": "ReelViews: Eagle Eye", "d:Description": "Review, by James Berardinelli: \"There is no truth to the rumor that free frontal lobotomies will be performed at the entrance to all theaters showing Eagle Eye.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Eagle_Eye", "url": "http://www.reelviews.net/reelviews/eagle-eye"} +{"d:Title": "TV Guide: Eagle Eye", "d:Description": "Review, by Maitland McDonagh: \"Noisy, derivative and thoroughly preposterous even by the standards of 21st-century action movies. \" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Eagle_Eye", "url": "http://www.tvguide.com/movies/eagle-eye/review/295228/"} +{"d:Title": "Internet Movie Database: The Eagle Has Landed", "d:Description": "Includes synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Eagle_Has_Landed,_The", "url": "http://www.imdb.com/title/tt0074452/"} +{"d:Title": "The Eagle has Landed", "d:Description": "Facts and pictures.", "topic": "Top/Arts/Movies/Titles/E/Eagle_Has_Landed,_The", "url": "http://www.pleasence.com/eagle/eagle.html"} +{"d:Title": "Yahoo! Movies: The Eagle Has Landed", "d:Description": "Contains reviews, synopsis, cast and credits.", "topic": "Top/Arts/Movies/Titles/E/Eagle_Has_Landed,_The", "url": "http://movies.yahoo.com/movie/the-eagle-has-landed/"} +{"d:Title": "IMDb: Eagle vs Shark (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Eagle_vs_Shark", "url": "http://www.imdb.com/title/tt0494222/"} +{"d:Title": "Rotten Tomatoes: Eagle Vs. Shark", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Eagle_vs_Shark", "url": "http://www.rottentomatoes.com/m/eagle_vs_shark/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, production, critical reception, and box office data.", "topic": "Top/Arts/Movies/Titles/E/Eagle_vs_Shark", "url": "http://en.wikipedia.org/wiki/Eagle_vs_Shark"} +{"d:Title": "IGN Movies: Eagle vs Shark", "d:Description": "Photos, videos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/E/Eagle_vs_Shark", "url": "http://www.ign.com/movies/eagle-vs-shark/theater-878474"} +{"d:Title": "MovieWeb: Eagle Vs. Shark (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/E/Eagle_vs_Shark", "url": "http://www.movieweb.com/movie/eagle-vs-shark"} +{"d:Title": "Internet Movie Database: Earth", "d:Description": "Contains plot outline, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://www.imdb.com/title/tt0021571/"} +{"d:Title": "Combustible Celluloid: Earth", "d:Description": "Review by Jeffrey M. Anderson. Includes rating and image.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://www.combustiblecelluloid.com/classic/earth.shtml"} +{"d:Title": "DVDBeaver: Earth", "d:Description": "Contains reviews. Includes rating and pictures.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://www.celtoslavica.de/chiaroscuro/films/zemlja/zemlja.html"} +{"d:Title": "Yahoo! Movies: Earth", "d:Description": "Includes synopsis, credits and rating.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://movies.yahoo.com/movie/earth/"} +{"d:Title": "Digitally Obsessed: Earth", "d:Description": "DVD review and rating by Rich Russell.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=3008"} +{"d:Title": "Slant Magazine: Earth", "d:Description": "Review and rating by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://www.slantmagazine.com/film/review/earth"} +{"d:Title": "Channel 4 Film: Earth", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://www.film4.com/reviews/1930/zemlya"} +{"d:Title": "TV Guide Online: Earth", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1930", "url": "http://www.tvguide.com/movies/earth/132475/"} +{"d:Title": "Internet Movie Database: Earth", "d:Description": "Includes cast and crew, plot summary, trailers and reviews.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1998", "url": "http://www.imdb.com/title/tt0150433/"} +{"d:Title": "Chicago Sun-Times: Earth", "d:Description": "Review and rating by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/E/Earth_-_1998", "url": "http://www.rogerebert.com/reviews/earth-1999"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, pictures, trivia, soundtrack, DVD and filming locations information.", "topic": "Top/Arts/Movies/Titles/E/Earth_Girls_Are_Easy", "url": "http://www.fast-rewind.com/earthgirlsareeasy.htm"} +{"d:Title": "On Shaving, Furry Aliens Turn Into Valley Guys", "d:Description": "Critique with MPAA rating advice and a list of the movie's principal cast and crew. By Caryn James [New York Times].", "topic": "Top/Arts/Movies/Titles/E/Earth_Girls_Are_Easy", "url": "http://www.nytimes.com/1989/05/12/movies/review-film-on-shaving-furry-aliens-turn-into-valley-guys.html"} +{"d:Title": "IMDb: Earth Girls Are Easy (1989)", "d:Description": "Offers a plot outline, cast list, and trailer.", "topic": "Top/Arts/Movies/Titles/E/Earth_Girls_Are_Easy", "url": "http://www.imdb.com/title/tt0097257/"} +{"d:Title": "Chicago Sun Times: Earth Girls are Easy", "d:Description": "Review by Roger Ebert looks at plot, movie style and the director's past movies.", "topic": "Top/Arts/Movies/Titles/E/Earth_Girls_Are_Easy", "url": "http://www.rogerebert.com/reviews/earth-girls-are-easy-1989"} +{"d:Title": "HARO Online - East-West", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/E/East-West", "url": "http://www.haro-online.com/movies/east-west.html"} +{"d:Title": "PopMatters - East-West", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/E/East-West", "url": "http://popmatters.com/film/reviews/e/est-ouest.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/East-West", "url": "http://www.metacritic.com/movie/est---ouest"} +{"d:Title": "IMDb - East-West [Est-ouest] (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/East-West", "url": "http://www.imdb.com/title/tt0181530/"} +{"d:Title": "BBC Films: Eastern Condors", "d:Description": "Film information, photo, and a review by Almar Haflidason.", "topic": "Top/Arts/Movies/Titles/E/Eastern_Condors", "url": "http://www.bbc.co.uk/films/2001/04/03/eastern_condors_1987_dvd_review.shtml"} +{"d:Title": "Internet Movie Database: Dung fong tuk ying (1986)", "d:Description": "Cast and crew list, plot details, reviews, related films, technical information, and links.", "topic": "Top/Arts/Movies/Titles/E/Eastern_Condors", "url": "http://www.imdb.com/title/tt0090956/"} +{"d:Title": "IMDb: Eastern Promises (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Eastern_Promises", "url": "http://www.imdb.com/title/tt0765443/"} +{"d:Title": "Rotten Tomatoes: Eastern Promises", "d:Description": "Review links, synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Eastern_Promises", "url": "http://www.rottentomatoes.com/m/eastern_promises/"} +{"d:Title": "Wikipedia: Eastern Promises", "d:Description": "Article describing the plot synopsis and production.", "topic": "Top/Arts/Movies/Titles/E/Eastern_Promises", "url": "http://en.wikipedia.org/wiki/Eastern_Promises"} +{"d:Title": "IGN Movies: Eastern Promises", "d:Description": "News, photos, trailers, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Eastern_Promises", "url": "http://www.ign.com/movies/eastern-promises/theater-851158"} +{"d:Title": "MovieWeb: Eastern Promises (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/E/Eastern_Promises", "url": "http://www.movieweb.com/movie/eastern-promises"} +{"d:Title": "BBC Online: Easter Parade", "d:Description": "Review by George Perry, cast and credits. Rated 4 stars out of 5.", "topic": "Top/Arts/Movies/Titles/E/Easter_Parade", "url": "http://www.bbc.co.uk/films/2001/04/10/easter_parade_1948_review.shtml"} +{"d:Title": "IMDb: Easter Parade (1948)", "d:Description": "Plot summaries, cast and credits, viewer comments and rating, awards received.", "topic": "Top/Arts/Movies/Titles/E/Easter_Parade", "url": "http://imdb.com/title/tt0040308/combined"} +{"d:Title": "AllMovie: Easter Parade", "d:Description": "Synopsis, credits, review, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/E/Easter_Parade", "url": "http://www.allmovie.com/movie/easter-parade-v15173"} +{"d:Title": "TV Guide: Easter Parade", "d:Description": "Brief review, cast and credits. Rated 3.5/5.", "topic": "Top/Arts/Movies/Titles/E/Easter_Parade", "url": "http://www.tvguide.com/movies/easter-parade/113738/"} +{"d:Title": "PopMatters - East is East", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/E/East_Is_East", "url": "http://popmatters.com/film/reviews/e/east-is-east.html"} +{"d:Title": "HARO Online - East is East", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/E/East_Is_East", "url": "http://www.haro-online.com/movies/east_is_east.html"} +{"d:Title": "Idlebrain.com", "d:Description": "A review and rating [4/5].", "topic": "Top/Arts/Movies/Titles/E/East_Is_East", "url": "http://www.idlebrain.com/angreji/mr-east.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/East_Is_East", "url": "http://www.metacritic.com/movie/east-is-east"} +{"d:Title": "IMDb: East Is East (1999)", "d:Description": "Includes cast and crew, plot summary, user reviews and rating, external reviews, awards, film details, promotional material and links.", "topic": "Top/Arts/Movies/Titles/E/East_Is_East", "url": "http://www.imdb.com/title/tt0166175/"} +{"d:Title": "Internet Movie Database: East of A", "d:Description": "Contains synopsis, awards and nominations, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/East_of_A", "url": "http://www.imdb.com/title/tt0198438/"} +{"d:Title": "All-Reviews.com - Easy of Eden", "d:Description": "Reader-submitted review, rating.", "topic": "Top/Arts/Movies/Titles/E/East_of_Eden", "url": "http://all-reviews.com/videos-5/east-of-eden.htm"} +{"d:Title": "East Of Eden", "d:Description": "Links to resources about the film, and an online petition to release the film on DVD.", "topic": "Top/Arts/Movies/Titles/E/East_of_Eden", "url": "http://www.terryballard.org/eastofeden.html"} +{"d:Title": "IMDb: East of Eden (1955)", "d:Description": "Includes cast and crew, user comments and rating, film details, external reviews, plot summary, awards, promotional information and galleries, trivia and links.", "topic": "Top/Arts/Movies/Titles/E/East_of_Eden", "url": "http://www.imdb.com/title/tt0048028/"} +{"d:Title": "AllReaders.com East of Eden Spotlight", "d:Description": "Detailed analysis of the Jimmie Dean film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/E/East_of_Eden", "url": "http://allreaders.com/movie-review-summary/east-of-eden-4282"} +{"d:Title": "Greatest Films - Easy Rider", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/E/Easy_Rider", "url": "http://www.filmsite.org/easy.html"} +{"d:Title": "IMDb - Easy Rider", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Easy_Rider", "url": "http://www.imdb.com/title/tt0064276/"} +{"d:Title": "Easy Rider 1969", "d:Description": "Information about motorcycles and road trip destinations, cast list, trivia, translation of the film's opening scene, image gallery, and video clips.", "topic": "Top/Arts/Movies/Titles/E/Easy_Rider", "url": "http://easyriderfilm.com/"} +{"d:Title": "TV Guide Online: Easy Rider", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Easy_Rider", "url": "http://www.tvguide.com/movies/easy-rider/113751/"} +{"d:Title": "Chicago Reader Guide to Movies: Eating Raoul", "d:Description": "Capsule review by Dave Kehr: \"Engendering warmth in a very cold context, it's the most likable black comedy I know.\"", "topic": "Top/Arts/Movies/Titles/E/Eating_Raoul", "url": "http://www.chicagoreader.com/chicago/eating-raoul/Film?oid=1049538"} +{"d:Title": "IMDb: Eat Your Heart Out (1997)", "d:Description": "Includes cast and crew, external reviews, user comments, plot summary, film details and links.", "topic": "Top/Arts/Movies/Titles/E/Eat_Your_Heart_Out", "url": "http://www.imdb.com/title/tt0119042/"} +{"d:Title": "A.V Club: Eat Your Heart Out", "d:Description": "Nathan Rabin looks at plot and genre in this short review.", "topic": "Top/Arts/Movies/Titles/E/Eat_Your_Heart_Out", "url": "http://www.avclub.com/review/eat-your-heart-out-18751"} +{"d:Title": "IMDb: L'eclisse", "d:Description": "Plot summary, cast listing, miscellaneous details and user reviews.", "topic": "Top/Arts/Movies/Titles/E/Eclipse,_The", "url": "http://www.imdb.com/title/tt0056736/"} +{"d:Title": "The Eclipse", "d:Description": "A long essay on the movie and its links to existentialist philosophy. By Jorn K. Bramann.", "topic": "Top/Arts/Movies/Titles/E/Eclipse,_The", "url": "http://faculty.frostburg.edu/phil/forum/Eclipse.htm"} +{"d:Title": "Cinema. Et Cetera.: L'Eclisse", "d:Description": "A discussion of the movie's themes.", "topic": "Top/Arts/Movies/Titles/E/Eclipse,_The", "url": "http://cinemaetcetera.blogspot.com/2005/03/leclisse-d-michelangelo-antonioni-1962.html"} +{"d:Title": "IMDb: Eddie (1996)", "d:Description": "Includes a plot summary, cast list, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Eddie", "url": "http://www.imdb.com/title/tt0116168/"} +{"d:Title": "Internet Movie Database: Eddie and the Cruisers", "d:Description": "Features plot summary, cast and crew, message board, and reviews.", "topic": "Top/Arts/Movies/Titles/E/Eddie_and_the_Cruisers", "url": "http://www.imdb.com/title/tt0085475/"} +{"d:Title": "Eddie And The Cruisers", "d:Description": "Fan site with script, samples from the soundtrack, and images.", "topic": "Top/Arts/Movies/Titles/E/Eddie_and_the_Cruisers", "url": "http://www.angelfire.com/il/EddieAndTheCruisers/"} +{"d:Title": "On the Dark Side: Eddie And the Cruisers", "d:Description": "Discusses in detail the two movies and the novel on which the movies are based.", "topic": "Top/Arts/Movies/Titles/E/Eddie_and_the_Cruisers", "url": "http://www.users.globalnet.co.uk/~pardos/EddieMain.html"} +{"d:Title": "MGM Movie Database: Eddie and the Cruisers", "d:Description": "Features plot synopsis, cast and crew, trailer and audio clips.", "topic": "Top/Arts/Movies/Titles/E/Eddie_and_the_Cruisers", "url": "http://www.mgm.com/title_clip.php?title_star=EDDIEAND"} +{"d:Title": "Yahoo! Movies: Eddie and the Cruisers", "d:Description": "Reviews, synopsis, cast and credits.", "topic": "Top/Arts/Movies/Titles/E/Eddie_and_the_Cruisers", "url": "http://movies.yahoo.com/movie/eddie-and-the-cruisers/"} +{"d:Title": "TV Guide Online: Eddie and the Cruisers", "d:Description": "Includes plot, cast and crew, and memorabilia.", "topic": "Top/Arts/Movies/Titles/E/Eddie_and_the_Cruisers", "url": "http://www.tvguide.com/movies/eddie-cruisers/113778/"} +{"d:Title": "TV Guide Online: Eden", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Eden", "url": "http://www.tvguide.com/movies/eden/133206/"} +{"d:Title": "Rotten Tomatoes: Eden", "d:Description": "Synopsis, cast members, showtimes, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Eden_-_2008", "url": "http://www.rottentomatoes.com/m/10009872-eden/"} +{"d:Title": "New York Post: Eden", "d:Description": "Review, by Kyle Smith: \"An Irish indie that is well-observed and well-acted - but ultimately, not much more exciting than the love lives of its lead characters.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Eden_-_2008", "url": "http://nypost.com/2008/11/14/eden/"} +{"d:Title": "Entertainment Weekly: Eden", "d:Description": "Review, by Owen Gleiberman: \"Eden lacks the technique to give its stifled domestic-erotic feelings their full power.\" [Grade: B-]", "topic": "Top/Arts/Movies/Titles/E/Eden_-_2008", "url": "http://www.ew.com/article/2008/11/19/eden"} +{"d:Title": "All-Reviews.com- The Edge", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/E/Edge,_The_-_1997", "url": "http://www.all-reviews.com/videos/edge.htm"} +{"d:Title": "AllReaders.com - The Edge", "d:Description": "Detailed analysis of the film starring Anthony Hopkins and Alec Baldwin, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/E/Edge,_The_-_1997", "url": "http://allreaders.com/movie-review-summary/the-edge-5213"} +{"d:Title": "TV Guide Online: Edge, The", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Edge,_The_-_1997", "url": "http://www.tvguide.com/movies/edge/132386/"} +{"d:Title": "Rotten Tomatoes: The Edge of Heaven", "d:Description": "Synopsis, cast members, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Edge_of_Heaven,_The", "url": "http://www.rottentomatoes.com/m/1193111-edge_of_heaven/"} +{"d:Title": "MovieWeb: The Edge of Heaven (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/E/Edge_of_Heaven,_The", "url": "http://www.movieweb.com/movie/the-edge-of-heaven"} +{"d:Title": "TV Guide: The Edge of Heaven", "d:Description": "Review, by Ken Fox: \"Akin achieves a peaceful balance here \u2013- alongside the death and seemingly senseless tragedy, there\u2019s also a kind of reassuring equilibrium.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Edge_of_Heaven,_The", "url": "http://www.tvguide.com/movies/edge-heaven/review/293716/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/EDtv", "url": "http://crazy4cinema.com/Review/FilmsE/f_ed_tv.html"} +{"d:Title": "All-Reviews.com - EDtv", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/E/EDtv", "url": "http://www.all-reviews.com/videos/edtv.htm"} +{"d:Title": "IMDb - EDtv (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/EDtv", "url": "http://www.imdb.com/title/tt0131369/"} +{"d:Title": "IMDb - Educating Rita (1983)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Educating_Rita", "url": "http://www.imdb.com/title/tt0085478/"} +{"d:Title": "The Edukators", "d:Description": "Contains plot summary, cast and crew, awards and nominations.", "topic": "Top/Arts/Movies/Titles/E/Edukators,_The", "url": "http://www.imdb.com/title/tt0408777/"} +{"d:Title": "Future Movies: The Edukators", "d:Description": "Review by Michellle Thomas. Includes picture.", "topic": "Top/Arts/Movies/Titles/E/Edukators,_The", "url": "http://www.futuremovies.co.uk/reviews/the-edukators/michelle-thomas"} +{"d:Title": "Filmtracks: Edward Scissorhands", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/E/Edward_Scissorhands", "url": "http://www.filmtracks.com/titles/edward_s.html"} +{"d:Title": "IMDb - Edward Scissorhands", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Edward_Scissorhands", "url": "http://www.imdb.com/title/tt0099487/"} +{"d:Title": "TV Guide Online: Edward Scissorhands", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Edward_Scissorhands", "url": "http://www.tvguide.com/movies/edward-scissorhands/128196/"} +{"d:Title": "Ed Gein: Reviewed By John Atkinson", "d:Description": "Includes a review of the movie and user comments.", "topic": "Top/Arts/Movies/Titles/E/Ed_Gein", "url": "http://www.kamera.co.uk/reviews_extra/edgein.php"} +{"d:Title": "Ed Gein (In the Light of the Moon)", "d:Description": "Offers user reviews, cast and crew, and a rating.", "topic": "Top/Arts/Movies/Titles/E/Ed_Gein", "url": "http://www.imdb.com/title/tt0230169/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Ed_Wood", "url": "http://www.all-reviews.com/videos-2/ed-wood.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Ed_Wood", "url": "http://crazy4cinema.com/Review/FilmsE/f_edwood.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Ed_Wood", "url": "http://www.metacritic.com/movie/ed-wood"} +{"d:Title": "Allreaders Ed Wood Spotlight", "d:Description": "Detailed analysis of the film starring Johnny Depp and Sarah Jessica Parker, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/E/Ed_Wood", "url": "http://allreaders.com/movie-review-summary/ed-wood-4184"} +{"d:Title": "TV Guide Online: Eighteenth Angel, The", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Eighteenth_Angel,_The", "url": "http://www.tvguide.com/movies/eighteenth-angel/133133/"} +{"d:Title": "All-Reviews.com: Eight Legged Freaks", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/E/Eight_Legged_Freaks", "url": "http://www.all-reviews.com/videos-4/eight-legged-freaks.htm"} +{"d:Title": "Rotten Tomatoes: Eight Legged Freaks", "d:Description": "Reviews, news, photographs, synopsis, and a public forum.", "topic": "Top/Arts/Movies/Titles/E/Eight_Legged_Freaks", "url": "http://www.rottentomatoes.com/m/eight_legged_freaks/"} +{"d:Title": "IMDb: Eight Legged Freaks", "d:Description": "Film information and synopsis, cast and crew, reviews, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/E/Eight_Legged_Freaks", "url": "http://www.imdb.com/title/tt0271367/"} +{"d:Title": "AllReaders.com - Eight Men Out", "d:Description": "Detailed analysis of the Charlie Sheen film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/E/Eight_Men_Out", "url": "http://allreaders.com/movie-review-summary/eight-men-out-3771"} +{"d:Title": "PopMatters", "d:Description": "Review of Election", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://popmatters.com/film/reviews/e/election.html"} +{"d:Title": "HARO Online - Election", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://www.haro-online.com/movies/election.html"} +{"d:Title": "All-Reviews.com - Election", "d:Description": "Eight reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://www.all-reviews.com/videos-3/election.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://crazy4cinema.com/Review/FilmsE/f_election.html"} +{"d:Title": "Election (1999) - Rotten Tomatoes", "d:Description": "Reviews of Election from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://www.rottentomatoes.com/m/election/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://www.metacritic.com/movie/election"} +{"d:Title": "IMDb - Election (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://www.imdb.com/title/tt0126886/"} +{"d:Title": "TV Guide Online: Election", "d:Description": "Brief synopsis and review.", "topic": "Top/Arts/Movies/Titles/E/Election", "url": "http://www.tvguide.com/movies/election/133163/"} +{"d:Title": "IMDb: Electric Dragon 80,000 V (2001)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/E/Electric_Dragon_80,000_V", "url": "http://www.imdb.com/title/tt0276935/"} +{"d:Title": "Midnight Eye: Electric Dragon 80,000", "d:Description": "Review by Tom Mes.", "topic": "Top/Arts/Movies/Titles/E/Electric_Dragon_80,000_V", "url": "http://www.midnighteye.com/reviews/electric-dragon-80000v/"} +{"d:Title": "BeyondHollywood.com: Electric Dragon 80,000 V", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/E/Electric_Dragon_80,000_V", "url": "http://www.beyondhollywood.com/electric-dragon-80000-v-2002-movie-review/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/E/Electric_Dreams", "url": "http://www.fast-rewind.com/electricdreams.htm"} +{"d:Title": "IMDb - Electric Dreams (1984)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Electric_Dreams", "url": "http://www.imdb.com/title/tt0087197/"} +{"d:Title": "Internet Movie Database: Elektra", "d:Description": "Contains synopsis, reviews, trailers, pictures cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Elektra_-_2005", "url": "http://www.imdb.com/title/tt0357277/"} +{"d:Title": "Yahoo! Movies: Elektra", "d:Description": "Contains synopsis, reviews, images, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Elektra_-_2005", "url": "http://movies.yahoo.com/movie/elektra-2005/"} +{"d:Title": "HARO Online: Elephant", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/E/Elephant", "url": "http://www.haro-online.com/movies/elephant.html"} +{"d:Title": "Internet Movie Database: Elephant", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production and distribution information, media, photographs, and links.", "topic": "Top/Arts/Movies/Titles/E/Elephant", "url": "http://imdb.com/title/tt0363589/"} +{"d:Title": "DreamLogic: Elephant", "d:Description": "Review by Kris and Chris.", "topic": "Top/Arts/Movies/Titles/E/Elephant", "url": "http://dreamlogic.net/REVIEWS/elephant.html"} +{"d:Title": "Mooviees!: Elephant", "d:Description": "Includes synopsis, image gallery, trailer, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Elephant", "url": "http://www.mooviees.com/2386-elephant/movie"} +{"d:Title": "Future Movies: Elephant", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/E/Elephant", "url": "http://www.futuremovies.co.uk/reviews/elephant/ed-colley"} +{"d:Title": "IMDb: The Elephant Man (1980)", "d:Description": "Cast information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/E/Elephant_Man,_The", "url": "http://www.imdb.com/title/tt0080678/"} +{"d:Title": "IMDb: Str\u00e1karnir okkar (2005)", "d:Description": "Plot summary, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Eleven_Men_Out", "url": "http://www.imdb.com/title/tt0427906/"} +{"d:Title": "Rotten Tomatoes: Eleven Men Out", "d:Description": "Review links, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Eleven_Men_Out", "url": "http://www.rottentomatoes.com/m/eleven_men_out/"} +{"d:Title": "MovieWeb: Eleven Men Out (2007)", "d:Description": "Summary, reviews, and a synopsis.", "topic": "Top/Arts/Movies/Titles/E/Eleven_Men_Out", "url": "http://www.movieweb.com/movie/eleven-men-out"} +{"d:Title": "Elf - Official Site", "d:Description": "Contains summary, trailers, production clips, image gallery, cast and crew", "topic": "Top/Arts/Movies/Titles/E/Elf", "url": "http://www.elfmovie.com/"} +{"d:Title": "TEN Movies: Elf", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/E/Elf", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1023"} +{"d:Title": "Mooviees!: Elf", "d:Description": "Features photographs, trailers, reviews, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/E/Elf", "url": "http://www.mooviees.com/1986-elf/movie"} +{"d:Title": "Rotten Tomatoes: Elf", "d:Description": "Contains reviews, posters, trailers, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Elf", "url": "http://www.rottentomatoes.com/m/elf/"} +{"d:Title": "Internet Movie Database: Elf", "d:Description": "Contains plot summary, reviews, trailer, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Elf", "url": "http://www.imdb.com/title/tt0319343/"} +{"d:Title": "All-Reviews.com: Elf", "d:Description": "Reviews and ratings.", "topic": "Top/Arts/Movies/Titles/E/Elf/Reviews", "url": "http://www.all-reviews.com/videos-5/elf.htm"} +{"d:Title": "Filmtracks: Elf", "d:Description": "Contains soundtrack review, audio clips, comments and ratings.", "topic": "Top/Arts/Movies/Titles/E/Elf/Reviews", "url": "http://www.filmtracks.com/titles/elf.html"} +{"d:Title": "HARO Online: Elf", "d:Description": "Contains review and movie stills.", "topic": "Top/Arts/Movies/Titles/E/Elf/Reviews", "url": "http://www.haro-online.com/movies/elf.html"} +{"d:Title": "TV Guide Online: Elia Kazan: A Director's Journey", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Elia_Kazan,_A_Director's_Journey", "url": "http://www.tvguide.com/movies/elia-kazan-directors-journey/133466/"} +{"d:Title": "Elizabeth I pictures", "d:Description": "series of pictures from the film", "topic": "Top/Arts/Movies/Titles/E/Elizabeth", "url": "http://www.cinetropic.com/elizabeth/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Elizabeth", "url": "http://crazy4cinema.com/Review/FilmsE/f_elizabeth.html"} +{"d:Title": "Metacritic.com: Elizabeth", "d:Description": "Table of links and quotes from reviews of the film. Also includes cast and crew, plot concept, video details and DVD details.", "topic": "Top/Arts/Movies/Titles/E/Elizabeth", "url": "http://www.metacritic.com/movie/elizabeth"} +{"d:Title": "IMDb: Elizabeth (1998)", "d:Description": "Offers a cast list, plot outline, trailer, and images from the movie.", "topic": "Top/Arts/Movies/Titles/E/Elizabeth", "url": "http://www.imdb.com/title/tt0127536/"} +{"d:Title": "TV Guide Online: Elizabeth", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Elizabeth", "url": "http://www.tvguide.com/movies/elizabeth/133557/"} +{"d:Title": "IMDb: Ella Enchanted", "d:Description": "Includes cast, crew, reviews, plot summary, news articles, and quotes.", "topic": "Top/Arts/Movies/Titles/E/Ella_Enchanted", "url": "http://www.imdb.com/title/tt0327679/"} +{"d:Title": "Mooviees!: Ella Enchanted", "d:Description": "Features trailer, synopsis, screenshots, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Ella_Enchanted", "url": "http://www.mooviees.com/7340-ella-enchanted/movie"} +{"d:Title": "Yahoo! Movies: Ella Enchanted", "d:Description": "Includes synopsis, cast and crew, critic ratings, user reviews, trailers, and production and premiere photos.", "topic": "Top/Arts/Movies/Titles/E/Ella_Enchanted", "url": "http://movies.yahoo.com/movie/ella-enchanted/"} +{"d:Title": "HARO: Ella Enchanted", "d:Description": "A mildly negative review, based on its limited appeal to a broad audience.", "topic": "Top/Arts/Movies/Titles/E/Ella_Enchanted/Reviews", "url": "http://www.haro-online.com/movies/ella_enchanted.html"} +{"d:Title": "FilmJerk.com: Ella Enchanted", "d:Description": "Brian Orndorf's negative review critiques every aspect of the film other than the leading actress.", "topic": "Top/Arts/Movies/Titles/E/Ella_Enchanted/Reviews", "url": "http://www.filmjerk.com/reviews/article.php?id_rev=297"} +{"d:Title": "HARO Online: Elling", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.haro-online.com/movies/elling.html"} +{"d:Title": "About World Film: Elling", "d:Description": "Review of the movie, and a picture.", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://worldfilm.about.com/library/weekly/aafpr052602.htm"} +{"d:Title": "Iofilm.co.uk", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.iofilm.co.uk/films/e/elling.shtml"} +{"d:Title": "Rotten Tomatoes: Elling", "d:Description": "Quotes from and links to reviews, film information, and forum.", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.rottentomatoes.com/m/elling/"} +{"d:Title": "Norwegian Oddballs Strike Universal Chord", "d:Description": "Review by Sean Axmaker [Seattle Post-Intelligencer].", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.seattlepi.com/ae/movies/article/Norwegian-oddballs-strike-universal-chord-1090579.php"} +{"d:Title": "How to Be (a Bit) Sensible About Mental Illness", "d:Description": "Review of the movie by Stephen Holden [The New York Times].", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.nytimes.com/2002/05/29/movies/29ELLI.html"} +{"d:Title": "An Odd Couple of Norwegians", "d:Description": "Carla Meyer reviews the movie [San Francisco Chronicle].", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.sfgate.com/movies/article/An-odd-couple-of-Norwegians-2826503.php"} +{"d:Title": "Internet Movie Database: Elling (2001)", "d:Description": "Film information, synopsis, cast and crew list, reviews, trivia, related films, promotional information, pictures, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.imdb.com/title/tt0279064/"} +{"d:Title": "Chicago Sun-Times: Elling", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/E/Elling_-_2001", "url": "http://www.rogerebert.com/reviews/elling-2002"} +{"d:Title": "Greatest Films - Elmer Gantry", "d:Description": "Review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/E/Elmer_Gantry", "url": "http://www.filmsite.org/elme.html"} +{"d:Title": "Nutzworld", "d:Description": "Reviewed, and compared with the novel, by Brian W. Fairbanks.", "topic": "Top/Arts/Movies/Titles/E/Elmer_Gantry", "url": "http://www.angelfire.com/oh2/writer/elmergantry.html"} +{"d:Title": "IMDb - Elmer Gantry", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Elmer_Gantry", "url": "http://www.imdb.com/title/tt0053793/"} +{"d:Title": "TV Guide Online: Elmer Gantry", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Elmer_Gantry", "url": "http://www.tvguide.com/movies/elmer-gantry/113873/"} +{"d:Title": "Rotten Tomatoes: Elsa&Fred", "d:Description": "Synopsis, cast members, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Elsa_&_Fred", "url": "http://www.rottentomatoes.com/m/10009600-elsa_and_fred/"} +{"d:Title": "TV Guide: Elsa&Fred", "d:Description": "Review, by Maitland McDonagh: \"Sentimental, formulaic, predictable and shamelessly manipulative, Marcos Carnevale\u2019s tale of late-life love is also genuinely heartbreaking and heartening.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Elsa_&_Fred", "url": "http://www.tvguide.com/movies/elsa-fred/review/294361/"} +{"d:Title": "IMDb: Cantante, El (2006/I)", "d:Description": "Photo gallery, videos, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/El_Cantante", "url": "http://www.imdb.com/title/tt0458522/"} +{"d:Title": "IGN Movies: El Cantante", "d:Description": "Photos, videos, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/E/El_Cantante", "url": "http://www.ign.com/movies/el-cantante/theater-873822"} +{"d:Title": "USA Today: El Cantante", "d:Description": "Review, by Claudia Puig: \"The music is the uncontested highlight of El Cantante.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/E/El_Cantante", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-08-02-el-cantante_N.htm"} +{"d:Title": "MovieWeb: El Cantante (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/E/El_Cantante", "url": "http://www.movieweb.com/movie/el-cantante"} +{"d:Title": "El Cid", "d:Description": "Pictures and information on the epic film.", "topic": "Top/Arts/Movies/Titles/E/El_Cid", "url": "http://charltonhestonworld.homestead.com/ElCid1.html"} +{"d:Title": "El Cid", "d:Description": "Review by Richard Harrington for the Washington Post.", "topic": "Top/Arts/Movies/Titles/E/El_Cid", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/elcidnrharrington_a0ab7d.htm"} +{"d:Title": "El Cid", "d:Description": "Cast, crew, review and plot summary from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/E/El_Cid", "url": "http://www.imdb.com/title/tt0054847/"} +{"d:Title": "Review for El Cid (1961)", "d:Description": "Retrospective examination of the film by Ralph Benner.", "topic": "Top/Arts/Movies/Titles/E/El_Cid", "url": "http://www.imdb.com/reviews/46/4602.html"} +{"d:Title": "AllMovie: El Cid", "d:Description": "Synopsis, credits, review, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/E/El_Cid", "url": "http://www.allmovie.com/movie/el-cid-v15437"} +{"d:Title": "Internet Movie Database: Emerald Cowboy", "d:Description": "Synopsis, cast and crew, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/E/Emerald_Cowboy", "url": "http://imdb.com/title/tt0289176/"} +{"d:Title": "HARO Online: Emerald Cowboy", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/E/Emerald_Cowboy", "url": "http://www.haro-online.com/movies/emerald_cowboy.html"} +{"d:Title": "All-Reviews.com: The Emerald Forest", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/E/Emerald_Forest,_The", "url": "http://www.all-reviews.com/videos-4/emerald-forest.htm"} +{"d:Title": "Hollywood Bitchslap: The Emerald Forest", "d:Description": "Film information, review, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Emerald_Forest,_The", "url": "http://hollywoodbitchslap.com/review.php?movie=3413"} +{"d:Title": "Internet Movie Database: Emerald Forest, The (1985)", "d:Description": "Plot synopsis, viewer comments, cast and crew listing, reviews, technical specifications, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/E/Emerald_Forest,_The", "url": "http://www.imdb.com/title/tt0089087/"} +{"d:Title": "Hartfield", "d:Description": "A complete site of the 1996 Miramax film \"Emma.\" Hundreds of screen captures, sound clips, and character summaries.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://emmaknightley.tripod.com/"} +{"d:Title": "All-Reviews.com - Emma (1996)", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://www.all-reviews.com/videos-3/emma-1996.htm"} +{"d:Title": "Movieweb: Emma", "d:Description": "Provides a synopsis and stills from the film.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://movieweb.com/movie/emma/"} +{"d:Title": "Highbury Online", "d:Description": "Fanpage with quotes and pictures.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://jediknightley.tripod.com/highburyonline/home.html"} +{"d:Title": "RollingStone", "d:Description": "Includes a short synopsis, review, photos, and cast information.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://www.rollingstone.com/movies/reviews/emma-19960802"} +{"d:Title": "Britmovie", "d:Description": "A synopsis along with images and trailer.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://www.britmovie.co.uk/films/Emma_1996/listType/alpha"} +{"d:Title": "IMDb: Emma", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://www.imdb.com/title/tt0116191/"} +{"d:Title": "Chicago Sun-Times: Emma", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://www.rogerebert.com/reviews/emma-1996"} +{"d:Title": "Allreaders Review - Emma", "d:Description": "Detailed analysis of the Gwyneth Paltrow film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/E/Emma_-_1996", "url": "http://allreaders.com/movie-review-summary/emma-3897"} +{"d:Title": "HARO Online: Emperor's Club", "d:Description": "A review, photos, and related links.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_Club,_The", "url": "http://www.haro-online.com/movies/emperors_club.html"} +{"d:Title": "All-Reviews.com - The Emperor's Club", "d:Description": "Links to multiple reviews, ratings.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_Club,_The", "url": "http://www.all-reviews.com/videos-5/emperors-club.htm"} +{"d:Title": "IMDb: Emperor's Club, The (2002)", "d:Description": "Synopsis, cast and crew, trailer, and related information.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_Club,_The", "url": "http://www.imdb.com/title/tt0283530/"} +{"d:Title": "rogerebert.com: The Emperor's Club", "d:Description": "Roger Ebert reviews the film.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_Club,_The", "url": "http://www.rogerebert.com/reviews/the-emperors-club-2002"} +{"d:Title": "slant // magazine.com: The Emperor's Club", "d:Description": "A review of the film by Chuck Rudolph.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_Club,_The", "url": "http://www.slantmagazine.com/film/review/the-emperors-club"} +{"d:Title": "All-Reviews.com: The Emperor's New Clothes", "d:Description": "Review of the movie by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_New_Clothes,_The_-_2001", "url": "http://www.all-reviews.com/videos-4/emperors-new-clothes.htm"} +{"d:Title": "HARO Online: The Emperor's New Clothes", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_New_Clothes,_The_-_2001", "url": "http://www.haro-online.com/movies/emperors_new_clothes.html"} +{"d:Title": "Metacritic.com: The Emperor's New Clothes", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_New_Clothes,_The_-_2001", "url": "http://www.metacritic.com/movie/the-emperors-new-clothes"} +{"d:Title": "IMDb: The Emperor's New Clothes", "d:Description": "Film information and plot summary, cast and crew, reviews, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/E/Emperor's_New_Clothes,_The_-_2001", "url": "http://www.imdb.com/title/tt0282768/"} +{"d:Title": "The New York Times: \"Why the South Bronx and SoHo Just Don't Mix\"", "d:Description": "Elvis Mitchell's review: \"Maybe there are no new ideas under the sun, but 'Empire,' the gangster's-paradise drama set in the South Bronx, leaves no clich\u00e9 unturned; it's 'Old Jack City.'\" [Free registration required.]", "topic": "Top/Arts/Movies/Titles/E/Empire_-_2002", "url": "http://www.nytimes.com/2002/12/06/movies/06EMPI.html"} +{"d:Title": "HARO - Empire", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/E/Empire_-_2002", "url": "http://www.haro-online.com/movies/empire.html"} +{"d:Title": "All-Reviews.com: Empire", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/E/Empire_-_2002", "url": "http://www.all-reviews.com/videos-5/empire.htm"} +{"d:Title": "Internet Movie Database: Empire (2002)", "d:Description": "Cast and crew listing, user comments, plot summary, box office information, pictures, and box office details.", "topic": "Top/Arts/Movies/Titles/E/Empire_-_2002", "url": "http://imdb.com/title/tt0262396/"} +{"d:Title": "Rotten Tomatoes: Empire", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/E/Empire_-_2002", "url": "http://www.rottentomatoes.com/m/1118698-empire/"} +{"d:Title": "Chicago Sun-Times: Empire", "d:Description": "Roger Ebert's review \"'Empire' comes so close to working that you can see there from here. It has the right approach and the right opening premise, but it lacks the zest and it goes for a plot twist instead of trusting the material.\" 2.5 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/E/Empire_-_2002", "url": "http://www.rogerebert.com/reviews/empire"} +{"d:Title": "IMDb: Empire Records", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Empire_Records", "url": "http://www.imdb.com/title/tt0112950/"} +{"d:Title": "An \"Empire\" Of Great Actors", "d:Description": "Features pictures, quizzes, quotes, reviews and postcards.", "topic": "Top/Arts/Movies/Titles/E/Empire_Records", "url": "http://empire626.tripod.com/EmpireActors.html"} +{"d:Title": "MovieWeb: Empire Records", "d:Description": "Production information with facts about the filmmakers and the actors involved", "topic": "Top/Arts/Movies/Titles/E/Empire_Records", "url": "http://www.movieweb.com/movie/empire-records"} +{"d:Title": "The Empty Mirror", "d:Description": "The Empty Mirror is a new film about Adolf Hitler, starring Norman Rodway and Joel Grey, scheduled for limited release by Lionsgate Films for May 7, 1999. The site contains photos and background information about the film and filmmakers.", "topic": "Top/Arts/Movies/Titles/E/Empty_Mirror,_The", "url": "http://www.emptymirror.com/"} +{"d:Title": "Rotten Tomatoes: Enchanted", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, news, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Enchanted", "url": "http://www.rottentomatoes.com/m/enchanted/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, scores, rating, reception, and visual effects.", "topic": "Top/Arts/Movies/Titles/E/Enchanted", "url": "http://en.wikipedia.org/wiki/Enchanted_(2007_film)"} +{"d:Title": "IMDb: Enchanted (2007)", "d:Description": "Videos, photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Enchanted", "url": "http://www.imdb.com/title/tt0461770/"} +{"d:Title": "MovieWeb: Enchanted (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/E/Enchanted", "url": "http://www.movieweb.com/movie/enchanted"} +{"d:Title": "IGN Movies: Enchanted", "d:Description": "Photos, videos, news, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/E/Enchanted", "url": "http://www.ign.com/movies/enchanted/theater-50108"} +{"d:Title": "RogerEbert.com", "d:Description": "Review, by Roger Ebert: \"More important, it has a Disney willingness to allow fantasy into life, so New York seems to acquire a new playbook.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Enchanted", "url": "http://www.rogerebert.com/reviews/enchanted-2007"} +{"d:Title": "New York Times: Enchanted", "d:Description": "Review, by Manohla Dargis: \"The film works its magic largely by sending up, at times with a wink, at times with a hard nudge, some of the very stereotypes that have long been this company\u2019s profitable stock in trade.\"", "topic": "Top/Arts/Movies/Titles/E/Enchanted", "url": "http://www.nytimes.com/2007/11/21/movies/21ench.html?ref=movies&_r=0"} +{"d:Title": "The Endsville Bible Site", "d:Description": "This page is where The Divine Order of Faithful Servants explains their beliefs and how the Bible relates to their truths.", "topic": "Top/Arts/Movies/Titles/E/Endsville", "url": "http://members.tripod.com/caleb_solar/"} +{"d:Title": "The DOoFS", "d:Description": "The cult of Caleb, an offshoot from the movie Endsville.", "topic": "Top/Arts/Movies/Titles/E/Endsville", "url": "http://thedoofs.tripod.com/"} +{"d:Title": "IMDb - Endsville (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Endsville", "url": "http://www.imdb.com/title/tt0202864/"} +{"d:Title": "Endsville's Knights Of Yahweh", "d:Description": "This site is the homepage for the other religious group that stars in the movie \"Endsville\"", "topic": "Top/Arts/Movies/Titles/E/Endsville", "url": "http://knightsofyahweh.tripod.com/"} +{"d:Title": "IMDb: Endurance (1998)", "d:Description": "Cast overview and user comments.", "topic": "Top/Arts/Movies/Titles/E/Endurance", "url": "http://www.imdb.com/title/tt0120659/"} +{"d:Title": "rogerebert.com: Endurance", "d:Description": "\"A film about Gebrselassie, his early life on an Ethiopian farm, his training, his shy courtship and his Olympic triumph.\" Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/E/Endurance", "url": "http://www.rogerebert.com/reviews/endurance-1999"} +{"d:Title": "MRQE: Endurance (1999)", "d:Description": "An extensive list of reviews.", "topic": "Top/Arts/Movies/Titles/E/Endurance", "url": "http://www.mrqe.com/movie_reviews/endurance-m100044408"} +{"d:Title": "The Austin Chronicle Movie Guide: Endurance", "d:Description": "\"It's hard to imagine what Disney thinks they have here with this unusually fashioned biopic of long-distance-running champion Haile Gebrselassie.\" By Marjorie Baumgarten. [Austin Chronicle]", "topic": "Top/Arts/Movies/Titles/E/Endurance", "url": "http://www.austinchronicle.com/calendar/film/1999-06-04/endurance/"} +{"d:Title": "HARO Online: The Endurance", "d:Description": "Review of the documentary and pictures.", "topic": "Top/Arts/Movies/Titles/E/Endurance,_The_-_Shackleton's_Legendary_Antarctic_Expedition", "url": "http://www.haro-online.com/movies/endurance.html"} +{"d:Title": "IMDb: The Endurance - Shackleton's Legendary Antarctic Expedition, The (2000)", "d:Description": "Plot description, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Endurance,_The_-_Shackleton's_Legendary_Antarctic_Expedition", "url": "http://www.imdb.com/title/tt0264578/"} +{"d:Title": "Rotten Tomatoes: The Endurance - Shackleton's Legendary Antarctic Expedition", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/E/Endurance,_The_-_Shackleton's_Legendary_Antarctic_Expedition", "url": "http://www.rottentomatoes.com/m/the-endurance/"} +{"d:Title": "Internet Movie Database: Enduring Love", "d:Description": "Contains synopsis, cast, trailers, reviews, awards and images.", "topic": "Top/Arts/Movies/Titles/E/Enduring_Love", "url": "http://www.imdb.com/title/tt0375735/"} +{"d:Title": "Rotten Tomatoes: Enduring Love", "d:Description": "Includes synopsis, credits, reviews, images and trailers.", "topic": "Top/Arts/Movies/Titles/E/Enduring_Love", "url": "http://www.rottentomatoes.com/m/enduring_love/"} +{"d:Title": "HARO Online: Enduring Love", "d:Description": "Review by Mongoose. Includes pictures.", "topic": "Top/Arts/Movies/Titles/E/Enduring_Love", "url": "http://www.haro-online.com/movies/enduring_love.html"} +{"d:Title": "Yahoo! Movies: Enduring Love", "d:Description": "Contains synopsis, reviews, pictures and trailers.", "topic": "Top/Arts/Movies/Titles/E/Enduring_Love", "url": "http://movies.yahoo.com/movie/enduring-love/"} +{"d:Title": "End of Days", "d:Description": "The official site with picture galleries and a few interactive features.", "topic": "Top/Arts/Movies/Titles/E/End_of_Days", "url": "http://www.end-of-days.com/"} +{"d:Title": "HARO Online - End of Days", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/E/End_of_Days", "url": "http://www.haro-online.com/movies/end_of_days.html"} +{"d:Title": "AskMen.com", "d:Description": "Male perspective movie review.", "topic": "Top/Arts/Movies/Titles/E/End_of_Days", "url": "http://www.askmen.com/toys/movies/movies1.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/End_of_Days", "url": "http://www.rottentomatoes.com/m/end_of_days/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for the film. Includes Arnold Scwarzenegger's box office track record and a comparison with other Thanksgiving action movies.", "topic": "Top/Arts/Movies/Titles/E/End_of_Days", "url": "http://www.boxofficemojo.com/movies/?id=endofdays.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/End_of_Days", "url": "http://www.metacritic.com/movie/end-of-days"} +{"d:Title": "IMDb - End of Days", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/End_of_Days", "url": "http://www.imdb.com/title/tt0146675/"} +{"d:Title": "HARO Online - The End of the Affair", "d:Description": "A review of the film by Mongoose", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Affair,_The_-_1999", "url": "http://www.haro-online.com/movies/end_of_the_affair.html"} +{"d:Title": "All-Reviews.com - The End of the Affair", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Affair,_The_-_1999", "url": "http://www.all-reviews.com/videos/end-of-the-affair.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of The End of the Affair.", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Affair,_The_-_1999", "url": "http://www.rottentomatoes.com/m/1093671-end_of_the_affair/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Affair,_The_-_1999", "url": "http://www.metacritic.com/movie/the-end-of-the-affair"} +{"d:Title": "IMDb - The End of the Affair", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Affair,_The_-_1999", "url": "http://www.imdb.com/title/tt0172396/"} +{"d:Title": "AllReaders.com - The End of the Affair", "d:Description": "Detailed analysis of the film starring Julianne Moore and Ralph Fiennes, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Affair,_The_-_1999", "url": "http://allreaders.com/movie-review-summary/the-end-of-the-affair-4499"} +{"d:Title": "Internet Movie Database: End of the Century", "d:Description": "Includes synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Century", "url": "http://www.imdb.com/title/tt0368711/"} +{"d:Title": "HARO Online: End of the Century", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Century", "url": "http://www.haro-online.com/movies/end_of_century.html"} +{"d:Title": "Rotten Tomatoes: End of the Century", "d:Description": "Includes synopsis, trailers, reviews, cast and credits.", "topic": "Top/Arts/Movies/Titles/E/End_of_the_Century", "url": "http://www.rottentomatoes.com/m/end_of_the_century_the_story_of_the_ramones/"} +{"d:Title": "Internet Movie Database: The End of Violence", "d:Description": "Synopsis, reviews, cast and crew, image gallery, awards and nominations.", "topic": "Top/Arts/Movies/Titles/E/End_of_Violence,_The", "url": "http://www.imdb.com/title/tt0119062/"} +{"d:Title": "Yahoo! Movies: The End of Violence", "d:Description": "Contains synopsis, rating and credits.", "topic": "Top/Arts/Movies/Titles/E/End_of_Violence,_The", "url": "http://movies.yahoo.com/movie/the-end-of-violence/"} +{"d:Title": "Chicago Sun-Times: The End of Violence", "d:Description": "Review and rating by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/E/End_of_Violence,_The", "url": "http://www.rogerebert.com/reviews/the-end-of-violence-1997"} +{"d:Title": "Washington Post - Enemies, a Love Story", "d:Description": "Review by Hal Hinson (positive).", "topic": "Top/Arts/Movies/Titles/E/Enemies,_a_Love_Story", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/enemiesalovestoryrhinson_a0a92e.htm"} +{"d:Title": "Washington Post - Enemies, a Love Story", "d:Description": "Review by Desson Howe (positive).", "topic": "Top/Arts/Movies/Titles/E/Enemies,_a_Love_Story", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/enemiesalovestoryrhowe_a0b24f.htm"} +{"d:Title": "Deseret News - Enemies, a Love Story", "d:Description": "Review by Chris Hicks (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/E/Enemies,_a_Love_Story", "url": "http://www.deseretnews.com/article/700000522/Enemies-a-Love-Story.html"} +{"d:Title": "IMDb - Enemies, a Love Story (1989)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/E/Enemies,_a_Love_Story", "url": "http://www.imdb.com/title/tt0097276/"} +{"d:Title": "rec.arts.movies.reviews - Enemies, A Love Story (1989)", "d:Description": "Review by Mark R. Leeper (positive), rating.", "topic": "Top/Arts/Movies/Titles/E/Enemies,_a_Love_Story", "url": "http://www.imdb.com/reviews/07/0723.html"} +{"d:Title": "Chicago Sun-Times - Enemies, a Love Story", "d:Description": "Review by Roger Ebert (positive), rating.", "topic": "Top/Arts/Movies/Titles/E/Enemies,_a_Love_Story", "url": "http://www.rogerebert.com/reviews/enemies-a-love-story-1990"} +{"d:Title": "Filmtracks: Enemy at the Gates (James Horner)", "d:Description": "Includes reviews, audio clips, track listings, and other notes about the soundtrack.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://www.filmtracks.com/titles/enemy_gates.html"} +{"d:Title": "All-Reviews.com: Enemy at the Gates", "d:Description": "A small assortment of reviews.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://www.all-reviews.com/videos-2/enemy-at-gates.htm"} +{"d:Title": "HARO Online: Enemy at the Gates", "d:Description": "A \"Not Bad\" rating of the film.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://www.haro-online.com/movies/enemy_at_the_gates.html"} +{"d:Title": "'Enemy at the Gates': World War II From a Different Perspective", "d:Description": "A fairly positive review from the New York Times. Free registration required.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://www.nytimes.com/2001/03/16/college/16ENEM.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film and nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://popmatters.com/film/reviews/e/enemy-at-the-gates.html"} +{"d:Title": "About.com - Enemy at the Gates", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://homevideo.about.com/library/weekly/aa082201a.htm"} +{"d:Title": "IMDb: Enemy at the Gates (2001)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://imdb.com/title/tt0215750/"} +{"d:Title": "Rotten Tomatoes: Enemy at the Gates", "d:Description": "Several reviews and reactions from critics. Includes some general movie information.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://www.rottentomatoes.com/m/enemy_at_the_gates/"} +{"d:Title": "Yahoo! Movies: Enemy at the Gates", "d:Description": "Release dates, film details, cast and crew, and review of film.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://movies.yahoo.com/movie/enemy-at-the-gates/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://www.metacritic.com/movie/enemy-at-the-gates"} +{"d:Title": "AllReaders.com - Enemy at the Gates", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/E/Enemy_at_the_Gates", "url": "http://allreaders.com/movie-review-summary/enemy-at-the-gates-5567"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Enemy_of_the_State", "url": "http://crazy4cinema.com/Review/FilmsE/f_enemy_state.html"} +{"d:Title": "All-Reviews.com - Enemy of the State", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Enemy_of_the_State", "url": "http://www.all-reviews.com/videos-3/enemy-of-state.htm"} +{"d:Title": "Rotten Tomatoes: Enemy of the State", "d:Description": "Contains synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Enemy_of_the_State", "url": "http://www.rottentomatoes.com/m/enemy_of_the_state/"} +{"d:Title": "RollingStone", "d:Description": "Includes a short synopsis, a review, and cast information.", "topic": "Top/Arts/Movies/Titles/E/Enemy_of_the_State", "url": "http://www.rollingstone.com/movies/reviews/enemy-of-the-state-19981120"} +{"d:Title": "IMDb - Enemy of the State (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Enemy_of_the_State", "url": "http://www.imdb.com/title/tt0120660/"} +{"d:Title": "Roger Ebert - Enemy of the State", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/E/Enemy_of_the_State", "url": "http://www.rogerebert.com/reviews/enemy-of-the-state-1998"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of \"The Englishman Who Went Up a Hill and Came Down a Mountain\".", "topic": "Top/Arts/Movies/Titles/E/Englishman_Who_Went_Up_A_Hill,_The", "url": "http://www.all-reviews.com/videos-2/englishman-went-up-hill.htm"} +{"d:Title": "Kids In Mind: The Englishman Who Went Up a Hill, but Came Down a Mountain", "d:Description": "Makes comments under the headings of sex and nudity, violence and gore, profanity, discussion topics and message about the film.", "topic": "Top/Arts/Movies/Titles/E/Englishman_Who_Went_Up_A_Hill,_The", "url": "http://www.kids-in-mind.com/E/englishman_who_went_up_a_hill.htm"} +{"d:Title": "IMDb: Englishman Who Went Up a Hill But Came Down a Mountain, The", "d:Description": "Synopsis, cast and crew, awards and links.", "topic": "Top/Arts/Movies/Titles/E/Englishman_Who_Went_Up_A_Hill,_The", "url": "http://www.imdb.com/title/tt0112966/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/English_Patient,_The", "url": "http://crazy4cinema.com/Review/FilmsE/f_english.html"} +{"d:Title": "All-Reviews.com- The English Patient", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/E/English_Patient,_The", "url": "http://www.all-reviews.com/videos-5/english-patient.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/English_Patient,_The", "url": "http://www.metacritic.com/movie/the-english-patient"} +{"d:Title": "IMDb - The English Patient", "d:Description": "Cast and crew links, synopsis, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/E/English_Patient,_The", "url": "http://www.imdb.com/title/tt0116209/"} +{"d:Title": "The Flick Filosopher | The English Patient", "d:Description": "Review and discussion of the film", "topic": "Top/Arts/Movies/Titles/E/English_Patient,_The", "url": "http://www.flickfilosopher.com/1999/03/the-english-patient-review.html"} +{"d:Title": "HARO Online: Enigma", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/E/Enigma", "url": "http://www.haro-online.com/movies/enigma.html"} +{"d:Title": "All-Reviews.com: Enigma", "d:Description": "Reviews of the movie by Harvey Karten and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/E/Enigma", "url": "http://www.all-reviews.com/videos-4/enigma.htm"} +{"d:Title": "Rotten Tomatoes: Enigma", "d:Description": "Contains reviews, synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Enigma", "url": "http://www.rottentomatoes.com/m/1113649-enigma/"} +{"d:Title": "IMDb - Enigma (2001)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Enigma", "url": "http://www.imdb.com/title/tt0157583/"} +{"d:Title": "Metacritic.com: Enigma", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/E/Enigma", "url": "http://www.metacritic.com/movie/enigma"} +{"d:Title": "HARO Online: Enough", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/E/Enough", "url": "http://www.haro-online.com/movies/enough.html"} +{"d:Title": "All-Reviews.com: Enough", "d:Description": "Reviews of the movie from several contributors.", "topic": "Top/Arts/Movies/Titles/E/Enough", "url": "http://www.all-reviews.com/videos-4/enough.htm"} +{"d:Title": "Hollywood on Location", "d:Description": "A few photographs and the address of the actual 50's diner seen in the movie, \"Enough.\"", "topic": "Top/Arts/Movies/Titles/E/Enough", "url": "http://seeing-stars.com/Locations/2002Locations.shtml#enough"} +{"d:Title": "IMDb: Enough", "d:Description": "Film information and synopsis, cast and crew, reviews, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/E/Enough", "url": "http://www.imdb.com/title/tt0278435/"} +{"d:Title": "Metacritic.com: Enough", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/E/Enough", "url": "http://www.metacritic.com/movie/enough"} +{"d:Title": "All-Reviews.com - Enter the Dragon", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Enter_the_Dragon", "url": "http://www.all-reviews.com/videos-4/enter-the-dragon.htm"} +{"d:Title": "IMDb: Enter the Dragon (1973)", "d:Description": "Cast overview, user reviews, and related information.", "topic": "Top/Arts/Movies/Titles/E/Enter_the_Dragon", "url": "http://www.imdb.com/title/tt0070034/"} +{"d:Title": "All-Reviews.com: Entrapment (1999)", "d:Description": "Brief review and movie facts", "topic": "Top/Arts/Movies/Titles/E/Entrapment", "url": "http://www.all-reviews.com/videos/entrapment.htm"} +{"d:Title": "Scotland the Movie Location Guide - Entrapment", "d:Description": "Scottish filming locations of Entrapment", "topic": "Top/Arts/Movies/Titles/E/Entrapment", "url": "http://www.scotlandthemovie.com/movies/ftrap.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Entrapment", "url": "http://crazy4cinema.com/Review/FilmsE/f_entrapment.html"} +{"d:Title": "IMDb - Entrapment (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Entrapment", "url": "http://www.imdb.com/title/tt0137494/"} +{"d:Title": "British Film Locations: Entrapment", "d:Description": "Filming location guide with maps, photographs, and addresses.", "topic": "Top/Arts/Movies/Titles/E/Entrapment", "url": "http://www.british-film-locations.com/Entrapment-1999"} +{"d:Title": "HARO Online: Envy", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/E/Envy", "url": "http://www.haro-online.com/movies/envy.html"} +{"d:Title": "Internet Movie Database: Envy", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/E/Envy", "url": "http://www.imdb.com/title/tt0326856/"} +{"d:Title": "Rotten Tomatoes: Envy", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/E/Envy", "url": "http://www.rottentomatoes.com/m/envy/"} +{"d:Title": "Mooviees!: Envy", "d:Description": "Features synopsis, photographs, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Envy", "url": "http://www.mooviees.com/7348-envy/movie"} +{"d:Title": "Chicago Sun-Times: Envy", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/E/Envy", "url": "http://www.rogerebert.com/reviews/envy-2004"} +{"d:Title": "Movie Mistakes: Equilibrium", "d:Description": "A listing of bloopers, goofs, and trivia for the film.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium", "url": "http://www.moviemistakes.com/film2949"} +{"d:Title": "Box Office Mojo: Equilibrium", "d:Description": "Details about the film's numbers, facts, and figures.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium", "url": "http://www.boxofficemojo.com/movies/?id=equilibrium.htm"} +{"d:Title": "Wikipedia: Gun Kata", "d:Description": "Information about the gun based martial arts created specifically for the film.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium", "url": "http://en.wikipedia.org/wiki/Gun_Kata"} +{"d:Title": "Wikipedia: Equilibrium", "d:Description": "User submitted information about the film.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium", "url": "http://en.wikipedia.org/wiki/Equilibrium_(2002_film)"} +{"d:Title": "Wikiquote: Equilibrium", "d:Description": "A selection of related quotes.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium", "url": "http://en.wikiquote.org/wiki/Equilibrium_(2002_film)"} +{"d:Title": "Equilibrium Fansite", "d:Description": "Fan site with information, downloads, images, wallpapers, fan fiction, message board, news, and links.", "priority": "1", "topic": "Top/Arts/Movies/Titles/E/Equilibrium", "url": "http://www.equilibriumfans.com/"} +{"d:Title": "IMDb: Equilibrium", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium", "url": "http://www.imdb.com/title/tt0238380/"} +{"d:Title": "Equilibrium - Press Archive", "d:Description": "Compleat Sean Bean offers a collection of links to various news items.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium/Articles_and_Interviews", "url": "http://www.compleatseanbean.com/equilibriumpress.html"} +{"d:Title": "All-Reviews.com: Equilibrium", "d:Description": "Reviews by Harvey Karten and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium/Reviews", "url": "http://www.all-reviews.com/videos-5/equilibrium.htm"} +{"d:Title": "HARO: Equilibrium", "d:Description": "Mongoose gives a review of the film.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium/Reviews", "url": "http://www.haro-online.com/movies/equilibrium.html"} +{"d:Title": "Rotten Tomatoes: Equilibrium", "d:Description": "List of reviews from print, online, and broadcast critics.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium/Reviews", "url": "http://www.rottentomatoes.com/m/equilibrium/"} +{"d:Title": "Sci-Fi Movie Page: Equilibrium", "d:Description": "A review with pictures.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium/Reviews", "url": "http://www.scifimoviepage.com/equilibrium.html"} +{"d:Title": "Metacritic: Equilibrium", "d:Description": "A cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/E/Equilibrium/Reviews", "url": "http://www.metacritic.com/movie/equilibrium"} +{"d:Title": "Allreaders: \"Equus\"", "d:Description": "Detailed analysis of the Richard Burton film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/E/Equus", "url": "http://allreaders.com/movie-review-summary/equus-4134"} +{"d:Title": "IMDb: Equus", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/E/Equus", "url": "http://www.imdb.com/title/tt0075995/"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Eraser", "url": "http://www.all-reviews.com/videos-2/eraser.htm"} +{"d:Title": "Rotten Tomatoes: Eraser", "d:Description": "Contains synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Eraser", "url": "http://www.rottentomatoes.com/m/eraser/"} +{"d:Title": "He's Tough, Right? But Also Lucky", "d:Description": "Review by Janet Maslin [New York times].", "topic": "Top/Arts/Movies/Titles/E/Eraser", "url": "http://www.nytimes.com/1996/06/21/movies/film-review-he-s-tough-right-but-also-lucky.html"} +{"d:Title": "IMDb - Eraser", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Eraser", "url": "http://www.imdb.com/title/tt0116213/"} +{"d:Title": "Urban Cinefile: Eraserhead", "d:Description": "Movie review and DVD information.", "topic": "Top/Arts/Movies/Titles/E/Eraserhead", "url": "http://www.urbancinefile.com.au/home/view.asp?a=4980&s=DVD"} +{"d:Title": "Rotten Tomatoes: Eraserhead", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/E/Eraserhead", "url": "http://www.rottentomatoes.com/m/eraserhead/"} +{"d:Title": "IMDb - Eraserhead", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Eraserhead", "url": "http://www.imdb.com/title/tt0074486/"} +{"d:Title": "TV Guide Online: Eraserhead", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Eraserhead", "url": "http://www.tvguide.com/movies/eraserhead/113998/"} +{"d:Title": "PopMatters - Erin Brockovich", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://popmatters.com/film/reviews/e/erin-brockovich.html"} +{"d:Title": "HARO Online - Erin Brockovich", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.haro-online.com/movies/erin_brockovich.html"} +{"d:Title": "All-Reviews.com: Erin Brockovich", "d:Description": "Collection of reviews and other information.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.all-reviews.com/videos/erin-brockovich.htm"} +{"d:Title": "Filmtracks: Erin Brockovich", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.filmtracks.com/titles/erin_brockovich.html"} +{"d:Title": "Reel Faces: Erin Brockovich", "d:Description": "Explores the real people behind the film's story. Includes photographs, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.chasingthefrog.com/reelfaces/brockovich.php"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, and news.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.rottentomatoes.com/m/erin_brockovich/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for Erin Brockovich since day one. Includes the box office track records of Julia Roberts' and Steven Soderbergh.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.boxofficemojo.com/movies/?id=erinbrockovich.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.metacritic.com/movie/erin-brockovich"} +{"d:Title": "IMDb - Erin Brockovich (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.imdb.com/title/tt0195685/"} +{"d:Title": "Awesome Stories: Erin Brockovich", "d:Description": "Tells the story on which the movie was based, with links to pictures of the people and places featured in the film.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "https://www.awesomestories.com/asset/view/Erin-Brockovich"} +{"d:Title": "Filmbug - Erin Brockovich", "d:Description": "Summary, cast information, and links.", "topic": "Top/Arts/Movies/Titles/E/Erin_Brockovich", "url": "http://www.filmbug.com/asin/B00003CXFV"} +{"d:Title": "What a Body of Work!---Jim Varney", "d:Description": "By TheAngryJew. [eFilmCritic.com] Brief reviews of all the theatrical movies Jim Varney appeared in as Ernest P. Whorl.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies", "url": "http://www.efilmcritic.com/feature.php?feature=169"} +{"d:Title": "Rotten Tomatoes: Ernest Goes to Camp", "d:Description": "Includes synopsis, cast and crew, reviews.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Ernest_Goes_to_Camp", "url": "http://www.rottentomatoes.com/m/ernest_goes_to_camp/"} +{"d:Title": "Yahoo! Movies: Ernest Goes to Camp", "d:Description": "Contains synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Ernest_Goes_to_Camp", "url": "http://movies.yahoo.com/movie/ernest-goes-to-camp/"} +{"d:Title": "Internet Movie Database: Ernest Goes to Camp", "d:Description": "Cast and crew list, quotes, reviews and other details.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Ernest_Goes_to_Camp", "url": "http://www.imdb.com/title/tt0092974/"} +{"d:Title": "Ernest Scared Stupid", "d:Description": "Fanpage with reviews, credits, pictures, and links.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Ernest_Scared_Stupid", "url": "http://www.angelfire.com/film/ernest/"} +{"d:Title": "Internet Movie Database: Ernest Scared Stupid (1991)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Ernest_Scared_Stupid", "url": "http://www.imdb.com/title/tt0101821/"} +{"d:Title": "Yahoo! Movies: Ernest Scared Stupid", "d:Description": "Contains synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Ernest_Scared_Stupid", "url": "http://movies.yahoo.com/movie/ernest-scared-stupid/"} +{"d:Title": "Rotten Tomatoes: Ernest Scared Stupid", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Ernest_Scared_Stupid", "url": "http://www.rottentomatoes.com/m/ernest-scared-stupid/"} +{"d:Title": "IMDb.com - Slam Dunk Ernest (1995)", "d:Description": "Cast/crew information, with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Slam_Dunk_Ernest", "url": "http://www.imdb.com/title/tt0114469/"} +{"d:Title": "TV Guide Online - Slam Dunk Ernest", "d:Description": "Cast/crew information, synopsis, 2 star review, and other background details.", "topic": "Top/Arts/Movies/Titles/E/Ernest_Movies/Slam_Dunk_Ernest", "url": "http://www.tvguide.com/movies/slam-dunk-ernest/130719/"} +{"d:Title": "HARO Online: Eros", "d:Description": "Review by Mongoose. Includes pictures.", "topic": "Top/Arts/Movies/Titles/E/Eros_-_2004", "url": "http://www.haro-online.com/movies/eros.html"} +{"d:Title": "Internet Movie Database: Eros", "d:Description": "Contains plot summary, trailers, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Eros_-_2004", "url": "http://www.imdb.com/title/tt0343663/"} +{"d:Title": "Yahoo! Movies : Eros", "d:Description": "Contains synopsis, reviews, ratings, trailers, cast and credits.", "topic": "Top/Arts/Movies/Titles/E/Eros_-_2004", "url": "http://movies.yahoo.com/movie/eros-2005/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Erotique", "url": "http://www.all-reviews.com/videos-2/erotique.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/E/Escape_from_New_York_-_1981", "url": "http://www.fast-rewind.com/esc-ny.htm"} +{"d:Title": "All-Reviews.com: Escape From New York", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/E/Escape_from_New_York_-_1981", "url": "http://www.all-reviews.com/videos-4/escape-from-new-york.htm"} +{"d:Title": "Merrick Thinks The Escape From New York Remake Script Is Surprisingly Escape From New Yorkish", "d:Description": "What impresses me most here is Nolan\u2019s almost slavish devotion to Carpenter\u2019s original material. It\u2019s not a begrudging devotion, either. It\u2019s respect. By Merrick.", "topic": "Top/Arts/Movies/Titles/E/Escape_From_New_York_-_2009", "url": "http://www.aintitcool.com/node/33189"} +{"d:Title": "IMDb - Escape Velocity", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Escape_Velocity", "url": "http://www.imdb.com/title/tt0176700/"} +{"d:Title": "IMDb: Essex Boys (2000)", "d:Description": "Cast overview, usser reviews, and related information.", "topic": "Top/Arts/Movies/Titles/E/Essex_Boys", "url": "http://www.imdb.com/title/tt0191996/"} +{"d:Title": "Internet Movie Database: Eternal Sunshine of the Spotless Mind", "d:Description": "Synopsis, cast and crew list, trivia, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind", "url": "http://www.imdb.com/title/tt0338013/"} +{"d:Title": "Mooviees.com: Eternal Sunshine of the Spotless Mind", "d:Description": "Features synopsis, trailers, pictures, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind", "url": "http://www.mooviees.com/7353-eternal-sunshine-of-the-spotless-mind/movie"} +{"d:Title": "Rotten Tomatoes: Eternal Sunshine of the Spotless Mind", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind", "url": "http://www.rottentomatoes.com/m/eternal_sunshine_of_the_spotless_mind/"} +{"d:Title": "Eternal Sunshine of the Spotless Mind", "d:Description": "Official site with synopsis, production notes, pictures, cast and crew, and desktop wallpapers. [Requires Flash.]", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind", "url": "https://www.uphe.com/movies/eternal-sunshine-of-the-spotless-mind"} +{"d:Title": "All-Reviews.com: Eternal Sunshine of the Spotless Mind", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind/Reviews", "url": "http://www.all-reviews.com/videos-5/eternal-sunshine-spotless.htm"} +{"d:Title": "HARO Online: Eternal Sunshine of the Spotless Mind", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind/Reviews", "url": "http://www.haro-online.com/movies/eternal_sunshine.html"} +{"d:Title": "TEN Movies: Eternal Sunshine of the Spotless Mind", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind/Reviews", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1105"} +{"d:Title": "FilmJerk.com: Eternal Sunshine of the Spotless Mind", "d:Description": "Review by Chris Faile.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind/Reviews", "url": "http://www.filmjerk.com/reviews/article.php?id_rev=152"} +{"d:Title": "Future Movies: Eternal Sunshine of the Spotless Mind", "d:Description": "Critical review by Nik Huggins. Includes photographs.", "topic": "Top/Arts/Movies/Titles/E/Eternal_Sunshine_of_the_Spotless_Mind/Reviews", "url": "http://www.futuremovies.co.uk/reviews/eternal-sunshine-of-the-spotless-mind/nik-huggins"} +{"d:Title": "IMDb - Eternity and A Day (Mia aiwniothta kai mia mera) (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Eternity_and_A_Day", "url": "http://www.imdb.com/title/tt0156794/"} +{"d:Title": "Internet Movie Database: Eulogy", "d:Description": "Includes synopsis, cast and crew, reviews, images and trailers.", "topic": "Top/Arts/Movies/Titles/E/Eulogy_-_2004", "url": "http://www.imdb.com/title/tt0349416/"} +{"d:Title": "Rotten Tomatoes: Eulogy", "d:Description": "Includes reviews, plot summary, credits, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/E/Eulogy_-_2004", "url": "http://www.rottentomatoes.com/m/eulogy/"} +{"d:Title": "HARO Online", "d:Description": "Reviews by Mongoose. Includes screenshots.", "topic": "Top/Arts/Movies/Titles/E/Eulogy_-_2004", "url": "http://www.haro-online.com/movies/eulogy.html"} +{"d:Title": "Eulogy Movie Info - Yahoo! Movies", "d:Description": "Contains plot summary, reviews, trailers and production images.", "topic": "Top/Arts/Movies/Titles/E/Eulogy_-_2004", "url": "http://movies.yahoo.com/movie/eulogy/"} +{"d:Title": "IMDb: Eurotrip (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/E/Eurotrip", "url": "http://www.imdb.com/title/tt0356150/"} +{"d:Title": "HARO Online: Eurotrip", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/E/Eurotrip", "url": "http://www.haro-online.com/movies/eurotrip.html"} +{"d:Title": "Rotten Tomatoes: Eurotrip", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/E/Eurotrip", "url": "http://www.rottentomatoes.com/m/eurotrip/"} +{"d:Title": "Mooviees.com: Eurotrip", "d:Description": "Reviews, synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Eurotrip", "url": "http://www.mooviees.com/7355-eurotrip/movie"} +{"d:Title": "Rotten Tomatoes: Evan Almighty", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, numbers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Evan_Almighty", "url": "http://www.rottentomatoes.com/m/evan_almighty/"} +{"d:Title": "IMDb: Evan Almighty (2007)", "d:Description": "Photos, plot, cast list, quotes, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Evan_Almighty", "url": "http://www.imdb.com/title/tt0413099/"} +{"d:Title": "IGN Movies: Evan Almighty", "d:Description": "Review, news, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Evan_Almighty", "url": "http://www.ign.com/movies/evan-almighty/theater-817897"} +{"d:Title": "USA Today", "d:Description": "Review, by Claudia Puig: \"It's an almighty, humorless bore.\"", "topic": "Top/Arts/Movies/Titles/E/Evan_Almighty", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-06-21-evan-almighty_N.htm"} +{"d:Title": "All-Reviews.com: Eve's Bayou", "d:Description": "Reviews of the movie by Harvey Karten and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/E/Eve's_Bayou", "url": "http://www.all-reviews.com/videos-4/eves-bayou.htm"} +{"d:Title": "IMDb: Eve's Bayou", "d:Description": "Film information and synopsis, cast and crew, reviews, memorable quotes, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/E/Eve's_Bayou", "url": "http://www.imdb.com/title/tt0119080/"} +{"d:Title": "Eve's Preyer", "d:Description": "A psychological feature film delving into dangerous areas touching upon the core of our existence. What if a sociopath tries to destroy your life, and you're helpless to do anything about it?", "topic": "Top/Arts/Movies/Titles/E/Eve's_Preyer", "url": "http://www.evespreyer.com/"} +{"d:Title": "IMDb: Eve's Preyer (2001)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/E/Eve's_Preyer", "url": "http://www.imdb.com/title/tt0187838/"} +{"d:Title": "HARO Online - Evelyn", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/E/Evelyn", "url": "http://www.haro-online.com/movies/evelyn.html"} +{"d:Title": "All-Reviews.com: Evelyn", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/E/Evelyn", "url": "http://www.all-reviews.com/videos-5/evelyn.htm"} +{"d:Title": "Rotten Tomatoes: Evelyn", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/E/Evelyn", "url": "http://www.rottentomatoes.com/m/evelyn/"} +{"d:Title": "IMDb - Evelyn (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/E/Evelyn", "url": "http://www.imdb.com/title/tt0298856/"} +{"d:Title": "IMDb: Evening (2007)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Evening", "url": "http://www.imdb.com/title/tt0765447/"} +{"d:Title": "Rotten Tomatoes: Evening", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Evening", "url": "http://www.rottentomatoes.com/m/evening/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, songs, and trivia.", "topic": "Top/Arts/Movies/Titles/E/Evening", "url": "http://en.wikipedia.org/wiki/Evening_(film)"} +{"d:Title": "IGN Movies: Evening", "d:Description": "Photos, video, message board, and box office data.", "topic": "Top/Arts/Movies/Titles/E/Evening", "url": "http://www.ign.com/movies/evening/theater-874259"} +{"d:Title": "MovieWeb: Evening (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/E/Evening", "url": "http://www.movieweb.com/movie/evening"} +{"d:Title": "Evening Star, The (1996)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/E/Evening_Star,_The", "url": "http://www.imdb.com/title/tt0116240/"} +{"d:Title": "TV Guide Online: The Evening Star", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Evening_Star,_The", "url": "http://www.tvguide.com/movies/evening-star/131786/"} +{"d:Title": "HARO Online: The Event", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/E/Event,_The", "url": "http://www.haro-online.com/movies/event.html"} +{"d:Title": "IMDb: The Event (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/E/Event,_The", "url": "http://www.imdb.com/title/tt0314039/"} +{"d:Title": "Rotten Tomatoes: The Event", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/E/Event,_The", "url": "http://www.rottentomatoes.com/m/the_event_2003/"} +{"d:Title": "All-Reviews.com- Event Horizon (1997)", "d:Description": "Collection of movie reviews and related information.", "topic": "Top/Arts/Movies/Titles/E/Event_Horizon", "url": "http://www.all-reviews.com/videos/eventhorizon.htm"} +{"d:Title": "IMDb - Event Horizon", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/E/Event_Horizon", "url": "http://www.imdb.com/title/tt0119081/"} +{"d:Title": "TV Guide Online: Event Horizon", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Event_Horizon", "url": "http://www.tvguide.com/movies/event-horizon/132442/"} +{"d:Title": "IMDB: Even Cowgirls Get the Blues", "d:Description": "Introduction and cast list.", "topic": "Top/Arts/Movies/Titles/E/Even_Cowgirls_Get_the_Blues", "url": "http://www.imdb.com/title/tt0106834/"} +{"d:Title": "rogerebert.com: Ebert: Even Cowgirls Get the Blues", "d:Description": "Review by Roger Ebert, one-half stars.", "topic": "Top/Arts/Movies/Titles/E/Even_Cowgirls_Get_the_Blues", "url": "http://www.rogerebert.com/reviews/even-cowgirls-get-the-blues-1994"} +{"d:Title": "IMDb: Even Money (2006/I)", "d:Description": "Photo gallery, plot details, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Even_Money", "url": "http://www.imdb.com/title/tt0404163/"} +{"d:Title": "Rotten Tomatoes: Even Money", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Even_Money", "url": "http://www.rottentomatoes.com/m/even_money/"} +{"d:Title": "MovieWeb: Even Money (2007)", "d:Description": "Summary, reviews, synopsis, photos, and news.", "topic": "Top/Arts/Movies/Titles/E/Even_Money", "url": "http://www.movieweb.com/movie/even-money"} +{"d:Title": "Everest", "d:Description": "Official site with photo gallery, information about Sherpa culture and Everest history, and expedition biographies.", "topic": "Top/Arts/Movies/Titles/E/Everest_-_1998", "url": "http://www.everestfilm.com/"} +{"d:Title": "Hollywood Report Card: Everest", "d:Description": "A review by Ross Anthony giving the film an A rating.", "topic": "Top/Arts/Movies/Titles/E/Everest_-_1998", "url": "http://www.rossanthony.com/E/everest.shtml"} +{"d:Title": "IMDb: Everest (1998)", "d:Description": "Cast, credits, plot summary, and user comments and ratings.", "topic": "Top/Arts/Movies/Titles/E/Everest_-_1998", "url": "http://www.imdb.com/title/tt0120661/"} +{"d:Title": "TV Guide Online: Everest", "d:Description": "Brief review and synopsis about the film in which"IMAX meets its match."", "topic": "Top/Arts/Movies/Titles/E/Everest_-_1998", "url": "http://www.tvguide.com/movies/everest/133209/"} +{"d:Title": "Internet Movie Database: Evergreen", "d:Description": "Contains synopsis, reviews, trailers, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Evergreen_-_2004", "url": "http://www.imdb.com/title/tt0389988/"} +{"d:Title": "Rotten Tomatoes: Evergreen", "d:Description": "Contains synopsis, reviews, credits and trailers.", "topic": "Top/Arts/Movies/Titles/E/Evergreen_-_2004", "url": "http://www.rottentomatoes.com/m/evergreen/"} +{"d:Title": "HARO Online: Evergreen", "d:Description": "Review by Mongoose. Includes pictures.", "topic": "Top/Arts/Movies/Titles/E/Evergreen_-_2004", "url": "http://www.haro-online.com/movies/evergreen.html"} +{"d:Title": "Yahoo! Movies: Evergreen", "d:Description": "Includes reviews, cast and credits.", "topic": "Top/Arts/Movies/Titles/E/Evergreen_-_2004", "url": "http://movies.yahoo.com/movie/evergreen-2004/"} +{"d:Title": "IMDb: An Everlasting Piece", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Everlasting_Piece,_An", "url": "http://www.imdb.com/title/tt0218182/"} +{"d:Title": "Rotten Tomatoes: Everybody Wants to be Italian", "d:Description": "Synopsis, cast members, photos, videos, showtimes, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Everybody_Wants_to_Be_Italian", "url": "http://www.rottentomatoes.com/m/everybody_wants_to_be_italian/"} +{"d:Title": "MovieWeb: Everybody Wants to be Italian (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/E/Everybody_Wants_to_Be_Italian", "url": "http://www.movieweb.com/movie/everybody-wants-to-be-italian"} +{"d:Title": "The New York Times: Everybody Wants to Be Italian", "d:Description": "Review, by Jeannette Catsoulis: \"Features annoying characters navigating unbelievable situations.\"", "topic": "Top/Arts/Movies/Titles/E/Everybody_Wants_to_Be_Italian", "url": "http://www.nytimes.com/2008/09/05/movies/05ital.html?ref=movie&_r=0"} +{"d:Title": "Village Voice: Everybody Wants to Be Italian", "d:Description": "Review, by Ella Taylor: \"Less a movie than a charm offensive beamed at those who thought 'My Big Fat Greek Wedding' was a masterpiece.\"", "topic": "Top/Arts/Movies/Titles/E/Everybody_Wants_to_Be_Italian", "url": "http://www.villagevoice.com/film/everybody-wants-to-be-italian-6390712"} +{"d:Title": "TV Guide: Everybody Wants to Be Italian", "d:Description": "Review, by Maitland McDonagh: \"So awash in tired ethnic clich\u00e9s that the story drowns.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Everybody_Wants_to_Be_Italian", "url": "http://www.tvguide.com/movies/everybody-wants-italian/review/294760/"} +{"d:Title": "About.com: Everyone Says I Love You", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/E/Everyone_Says_I_Love_You", "url": "http://homevideo.about.com/library/blpick041901.htm"} +{"d:Title": "IMDb: Everyone Says I Love You (1996)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/E/Everyone_Says_I_Love_You", "url": "http://www.imdb.com/title/tt0116242/"} +{"d:Title": "Chicago Sun-Times: Everyone Says I Love You", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/E/Everyone_Says_I_Love_You", "url": "http://www.rogerebert.com/reviews/everyone-says-i-love-you-1997"} +{"d:Title": "Ever After Costumes", "d:Description": "Galleries of images of the designer (Jenny Beavan's) work on the film.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://www.everaftercostumes.com/"} +{"d:Title": "All-Reviews.com: Ever After", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://www.all-reviews.com/videos/ever-after.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://crazy4cinema.com/Review/FilmsE/f_ever_after.html"} +{"d:Title": "IMDb: Ever After", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://imdb.com/title/tt0120631/"} +{"d:Title": "RollingStone", "d:Description": "Includes a short synopsis, a review, and cast information.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://www.rollingstone.com/movies/reviews/ever-after-19980731"} +{"d:Title": "Ever After: A Cinderella Story Web Ring", "d:Description": "Brief descriptions of the sites in the ring.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://www.webring.org/hub?ring=everafter"} +{"d:Title": "Ever After WebRing", "d:Description": "List of sites in the ring with brief descriptions.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://www.webring.org/hub?ring=everafter1"} +{"d:Title": "TV Guide Online: Ever After", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Ever_After", "url": "http://www.tvguide.com/movies/ever/133293/"} +{"d:Title": "IMDb: Evidence of Blood (1998)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/E/Evidence_of_Blood", "url": "http://www.imdb.com/title/tt0154467/"} +{"d:Title": "House of Horrors: Evil Dead", "d:Description": "Chronological look at all three films in the horror series. Includes links and images.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.houseofhorrors.com/evildead.htm"} +{"d:Title": "Deadites Online", "d:Description": "Contains recent news related to the series of films, biographical information and multimedia downloads.", "priority": "1", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.deadites.net/"} +{"d:Title": "Swallow this Evil Dead Page", "d:Description": "Evil Dead captures, game and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.members.tripod.com/greg.z/id17.htm"} +{"d:Title": "Blood, Guts&Gasoline", "d:Description": "Fan based Evil Dead resource. Includes screen captures, sound clips, film trivia and interactive features.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.angelfire.com/movies/necronomicon/"} +{"d:Title": "The Living Dead", "d:Description": "Trivia, production information, pictures, synopses, character descriptions, and forum.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.angelfire.com/film/deadtrilogy/"} +{"d:Title": "Evil Dead - The Ultimate Experience", "d:Description": "Reviews of all three titles as well as a fan art section including pictures and director biographies.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://website.lineone.net/~kyle-p/"} +{"d:Title": "Evil Dead Reviews", "d:Description": "A structured analysis for all three titles with video clips, screenshots and sound files to download.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.badmovies.org/movies/evildead/"} +{"d:Title": "Evil Dead Land", "d:Description": "Fan site with reviews, multimedia, and quizzes.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.angelfire.com/scary/evildeadland/"} +{"d:Title": "Evil Dead News", "d:Description": "Fan site with film-related news. Includes photographs and related links.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.evildeadnews.com/"} +{"d:Title": "IMDb: Evil Dead II", "d:Description": "Cast and crew information on the second film in the series. Includes user reviews.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.imdb.com/title/tt0092991/"} +{"d:Title": "IMDb: The Evil Dead", "d:Description": "Cast and Crew information on the first film in the series. Includes user reviews.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series", "url": "http://www.imdb.com/title/tt0083907/"} +{"d:Title": "Gorenight's Home: Army of Darkness", "d:Description": "Site for cult horror movies. Includes a summary, script, sounds and videos.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series/Army_of_Darkness", "url": "http://www.gorenight.com/movies/aod/"} +{"d:Title": "Robin's Army of Darkness Archive", "d:Description": "Screen captures, sounds, and frequently asked questions about this movie.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series/Army_of_Darkness", "url": "http://home.swipnet.se/~w-12947/AOD_page.html"} +{"d:Title": "Badmovies.org - Army of Darkness", "d:Description": "Includes plot summary, images, sound files, and video clips.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series/Army_of_Darkness", "url": "http://www.badmovies.org/movies/armydark/"} +{"d:Title": "IMDb: Army of Darkness", "d:Description": "Cast and crew information on the third film in the series. Includes user reviews.", "topic": "Top/Arts/Movies/Titles/E/Evil_Dead_Series/Army_of_Darkness", "url": "http://www.imdb.com/title/tt0106308/"} +{"d:Title": "Internet Movie Database: Evil Ed", "d:Description": "Synopsis, cast and crew, user ratings and comments, trivia, box office and release information, merchandising details, and links.", "topic": "Top/Arts/Movies/Titles/E/Evil_Ed", "url": "http://imdb.com/title/tt0116247/"} +{"d:Title": "The Video Graveyard: Evil Ed", "d:Description": "Brief review with a visitor voting system.", "topic": "Top/Arts/Movies/Titles/E/Evil_Ed", "url": "http://www.thevideograveyard.com/e/eviled.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Several reviews.", "topic": "Top/Arts/Movies/Titles/E/Evita", "url": "http://www.all-reviews.com/videos-2/evita.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Evita", "url": "http://crazy4cinema.com/Review/FilmsE/f_evita.html"} +{"d:Title": "Filmtracks: Evita", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/E/Evita", "url": "http://www.filmtracks.com/titles/evita.html"} +{"d:Title": "PopMatters - Evolution - 2001", "d:Description": "Review and interview with David Duchovny.", "topic": "Top/Arts/Movies/Titles/E/Evolution_-_2001", "url": "http://popmatters.com/film/reviews/e/evolution1.html"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/E/Evolution_-_2001", "url": "http://www.haro-online.com/movies/evolution.html"} +{"d:Title": "All-Reviews.com - Evolution", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Evolution_-_2001", "url": "http://www.all-reviews.com/videos-3/evolution.htm"} +{"d:Title": "IMDb: Evolution (2001)", "d:Description": "Cast overview and related information.", "topic": "Top/Arts/Movies/Titles/E/Evolution_-_2001", "url": "http://www.imdb.com/title/tt0251075/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Evolution_-_2001", "url": "http://www.metacritic.com/movie/evolution"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Trailers, photos, and reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Evolution_-_2001", "url": "http://www.rottentomatoes.com/m/1108206-evolution/"} +{"d:Title": "IMDb: Fast Track (2006)", "d:Description": "Videos, photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Ex,_The", "url": "http://www.imdb.com/title/tt0458364/"} +{"d:Title": "Rotten Tomatoes: The Ex", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Ex,_The", "url": "http://www.rottentomatoes.com/m/fast-track-the-ex/"} +{"d:Title": "IGN Movies: The Ex", "d:Description": "Photos, videos, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/E/Ex,_The", "url": "http://www.ign.com/movies/the-ex/theater-866134"} +{"d:Title": "MovieWeb: The Ex (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/E/Ex,_The", "url": "http://www.movieweb.com/movie/the-ex"} +{"d:Title": "IMDb: Exception to the Rule (1997)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/E/Exception_to_the_Rule", "url": "http://www.imdb.com/title/tt0119085/"} +{"d:Title": "Rotten Tomatoes: Excess Baggage", "d:Description": "Links to movie reviews, synopsis, cast list, and forum.", "topic": "Top/Arts/Movies/Titles/E/Excess_Baggage_-_1997", "url": "http://www.rottentomatoes.com/m/excess_baggage/"} +{"d:Title": "IMDb: Excess Baggage (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/E/Excess_Baggage_-_1997", "url": "http://www.imdb.com/title/tt0119086/"} +{"d:Title": "Chicago Sun-Times: Excess Baggage", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/E/Excess_Baggage_-_1997", "url": "http://www.rogerebert.com/reviews/excess-baggage-1997"} +{"d:Title": "MovieWeb: Excess Baggage", "d:Description": "Plot description, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/E/Excess_Baggage_-_1997", "url": "http://www.movieweb.com/movie/excess-baggage"} +{"d:Title": "All-Reviews.com - Executive Decision", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Executive_Decision", "url": "http://www.all-reviews.com/videos-2/executive-decision.htm"} +{"d:Title": "IMDb: Executive Decision", "d:Description": "Cast and credits, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/E/Executive_Decision", "url": "http://www.imdb.com/title/tt0116253/"} +{"d:Title": "IMDb: Fong juk (2006)", "d:Description": "Plot outlines, cast list, trivia, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Exiled", "url": "http://www.imdb.com/title/tt0796212/"} +{"d:Title": "Rotten Tomatoes: Exiled", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Exiled", "url": "http://www.rottentomatoes.com/m/exiled/"} +{"d:Title": "IGN Movies: Exiled", "d:Description": "Photos, videos, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/E/Exiled", "url": "http://www.ign.com/movies/exiled/theater-946019"} +{"d:Title": "New York Times: Exiled", "d:Description": "Review, by Matt Zoller Seitz: \"The movie is consistently engrossing and sometimes touching, thanks to its hard yet subtle characterizations and Mr. To\u2019s refusal to condescend.\"", "topic": "Top/Arts/Movies/Titles/E/Exiled", "url": "http://www.nytimes.com/2007/08/31/movies/31exil.html?ref=movies"} +{"d:Title": "MovieWeb: Exiled (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/E/Exiled", "url": "http://www.movieweb.com/movie/exiled"} +{"d:Title": "HARO Online - eXistenZ", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/E/eXistenZ", "url": "http://www.haro-online.com/movies/existenz.html"} +{"d:Title": "IMDb - eXistenZ (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/eXistenZ", "url": "http://www.imdb.com/title/tt0120907/"} +{"d:Title": "Roger Ebert: eXistenZ", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/E/eXistenZ", "url": "http://www.rogerebert.com/reviews/existenz-1999"} +{"d:Title": "All-Reviews.com - Exit Wounds", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Exit_Wounds", "url": "http://www.all-reviews.com/videos-2/exit-wounds.htm"} +{"d:Title": "HARO Online", "d:Description": "Short review", "topic": "Top/Arts/Movies/Titles/E/Exit_Wounds", "url": "http://www.haro-online.com/movies/exit_wounds.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film and nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/E/Exit_Wounds", "url": "http://popmatters.com/film/reviews/e/exit-wounds2.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Photos, news, and links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Exit_Wounds", "url": "http://www.rottentomatoes.com/m/exit_wounds/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Exit_Wounds", "url": "http://www.metacritic.com/movie/exit-wounds"} +{"d:Title": "AllReaders.com - Exit Wounds", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Steven Seagal film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/E/Exit_Wounds", "url": "http://allreaders.com/movie-review-summary/exit-wounds-6432"} +{"d:Title": "Greatest Films - The Exorcist", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.filmsite.org/exor.html"} +{"d:Title": "The Exorcist Tribute Zone", "d:Description": "Pictures, articles, links, and sounds.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.the-exorcist.co.uk/"} +{"d:Title": "HARO: The Exorcist", "d:Description": "Review of the re-release.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.haro-online.com/movies/exorcist2000.html"} +{"d:Title": "PopMatters: The Exorcist", "d:Description": "Review of 2000 release of the film by William Friedkin.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://popmatters.com/film/reviews/e/exorcist.html"} +{"d:Title": "All-Reviews.com: The Exorcist (1993)", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.all-reviews.com/videos-2/exorcist.htm"} +{"d:Title": "Tabula Rasa: The Exorcist Files", "d:Description": "A series of newspaper articles covering the opening of the Exorcist and its impact, including interviews with William Friedkin and attempts to ban it.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.tabula-rasa.info/Horror/ExorcistFiles.html"} +{"d:Title": "The Exorcist Revisited", "d:Description": "Chicago writer Lenka Reznicek's look at the films, books, and legend. Includes Georgetown gallery with photographs of locations featured in the film.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://exorcist-revisited.blogspot.com/"} +{"d:Title": "Strange Magazine: The Haunted Boy", "d:Description": "The facts behind the story that inspired the Exorcist.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.strangemag.com/exorcistpage1.html"} +{"d:Title": "The EXORCIST Web Ring", "d:Description": "Sites about horror movies (particularly The Exorcist).", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://nav.webring.org/navcgi?ring=exorcist1"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.metacritic.com/movie/the-exorcist"} +{"d:Title": "IMDb - The Exorcist", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.imdb.com/title/tt0070047/"} +{"d:Title": "Rotten Tomatoes: The Exorcist (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.rottentomatoes.com/m/exorcist_the_version_youve_never_seen/"} +{"d:Title": "TV Guide Online: The Exorcist", "d:Description": "Review, plot, cast, picture, and background.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.tvguide.com/movies/exorcist/114159/"} +{"d:Title": "The Exorcist", "d:Description": "Official site from Warner Brothers.", "topic": "Top/Arts/Movies/Titles/E/Exorcist,_The", "url": "http://www.warnerbros.com/archive/theexorcist/index.html"} +{"d:Title": "Exorcist: The Beginning", "d:Description": "Film information, photographs, and production news.", "topic": "Top/Arts/Movies/Titles/E/Exorcist_-_The_Beginning", "url": "http://www.killermovies.com/e/exorcistthebeginning/"} +{"d:Title": "Radio Free: The Exorcist: Dominion", "d:Description": "Preview and commentary by Andrew Manning.", "topic": "Top/Arts/Movies/Titles/E/Exorcist_-_The_Beginning", "url": "http://movies.radiofree.com/reviews/theexor4.shtml"} +{"d:Title": "Internet Movie Database: Exorcist: The Beginning (2004)", "d:Description": "Synopsis, cast and crew, multimedia, production and release information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/E/Exorcist_-_The_Beginning", "url": "http://imdb.com/title/tt0204313/"} +{"d:Title": "HARO Online: Exorcist - The Beginning", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/E/Exorcist_-_The_Beginning", "url": "http://www.haro-online.com/movies/exorcist_beginning.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Exotica", "url": "http://www.all-reviews.com/videos-2/exotica.htm"} +{"d:Title": "TV Guide Online: Exotica", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/E/Exotica", "url": "http://www.tvguide.com/movies/exotica/130389/"} +{"d:Title": "HARO Online: Das Experiment", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/E/Experiment,_The", "url": "http://www.haro-online.com/movies/das_experiment.html"} +{"d:Title": "Internet Movie Database: Experiment, Das (2001)", "d:Description": "Cast and crew list, synopsis, awards, reviews, trivia, bloopers, soundtrack listing, promotional and home video information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/E/Experiment,_The", "url": "http://www.imdb.com/title/tt0250258/"} +{"d:Title": "Rotten Tomatoes: Expired", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Expired", "url": "http://www.rottentomatoes.com/m/expired/"} +{"d:Title": "MovieWeb: Expired (2008)", "d:Description": "Summary, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/E/Expired", "url": "http://www.movieweb.com/movie/expired"} +{"d:Title": "TV Guide: Expired", "d:Description": "Review, by Ken Fox: \"A truly fresh take on the romantic comedy: It's as sad as it is funny, and the boy-girl match so misbegotten you can't help but pray it won't work out in the end. Call it an anti-rom-com, and see it if you can.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/E/Expired", "url": "http://www.tvguide.com/movies/expired/review/294249/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/E/Explorers", "url": "http://www.fast-rewind.com/explors.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/E/Exterminator", "url": "http://www.fast-rewind.com/exterm.htm"} +{"d:Title": "Internet Movie Database: The Exterminator", "d:Description": "Includes synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/E/Exterminator", "url": "http://www.imdb.com/title/tt0080707/"} +{"d:Title": "IMDb - The Extreme Adventures of Super Dave", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Extreme_Adventures_of_Super_Dave,_The", "url": "http://www.imdb.com/title/tt0144096/"} +{"d:Title": "IMDb: Extreme Dating", "d:Description": "Film information and synopsis, cast and crew, and related links.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Dating", "url": "http://www.imdb.com/title/tt0309578/"} +{"d:Title": "HARO Online: Extreme Days", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Days", "url": "http://www.haro-online.com/movies/extreme_days.html"} +{"d:Title": "Metacritic.com: Extreme Days", "d:Description": "Links to and quotes from several reviews.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Days", "url": "http://www.metacritic.com/movie/extreme-days"} +{"d:Title": "IMDb: Extreme Days", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Days", "url": "http://www.imdb.com/title/tt0245891/"} +{"d:Title": "All-Reviews.com - Extreme Measures", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Measures", "url": "http://www.all-reviews.com/videos-2/extreme-measures.htm"} +{"d:Title": "Cosmopolis", "d:Description": "A review of the film by Michael Apted with Hugh Grant and Gene Hackman.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Measures", "url": "http://www.cosmopolis.ch/english/cosmo6/extreme.htm"} +{"d:Title": "IMDb: Extreme Measures (1996)", "d:Description": "Cast overview, trailer, user comments, and synopsis.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Measures", "url": "http://www.imdb.com/title/tt0116259/"} +{"d:Title": "HARO Online: Extreme Ops", "d:Description": "Haro's review: \"The only thing extreme about 'Extreme Ops' is the extreme feeling of lethargy one feels when watching this movie.\" Rated \"pretty bad.\"", "topic": "Top/Arts/Movies/Titles/E/Extreme_Ops", "url": "http://www.haro-online.com/movies/extreme_ops.html"} +{"d:Title": "All-Reviews.com - Extreme Ops", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Ops", "url": "http://www.all-reviews.com/videos-5/extreme-ops.htm"} +{"d:Title": "Rotten Tomatoes: Extreme Ops", "d:Description": "Trailer, photographs, related information, and a public forum", "topic": "Top/Arts/Movies/Titles/E/Extreme_Ops", "url": "http://www.rottentomatoes.com/m/extreme_ops/"} +{"d:Title": "IMDb: Extreme Ops", "d:Description": "Cast and crew, synopsis, film recommendations, and links.", "topic": "Top/Arts/Movies/Titles/E/Extreme_Ops", "url": "http://www.imdb.com/title/tt0283160/"} +{"d:Title": "IMDb: The Eye (2008/I)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/E/Eye,_The", "url": "http://www.imdb.com/title/tt0406759/"} +{"d:Title": "Rotten Tomatoes: The Eye", "d:Description": "Synopsis, cast list, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/E/Eye,_The", "url": "http://www.rottentomatoes.com/m/10008606-eye/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, production, and rating.", "topic": "Top/Arts/Movies/Titles/E/Eye,_The", "url": "http://en.wikipedia.org/wiki/The_Eye_(2008_film)"} +{"d:Title": "IGN Movies: The Eye", "d:Description": "Photos, videos, news, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/E/Eye,_The", "url": "http://www.ign.com/movies/the-eye/theater-735736"} +{"d:Title": "MovieWeb: The Eye (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/E/Eye,_The", "url": "http://www.movieweb.com/movie/the-eye-2008"} +{"d:Title": "IMDb - Eyes Beyond Seeing (1995)", "d:Description": "Cast and crew list, plot summary, comments and discussion.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Beyond_Seeing", "url": "http://www.imdb.com/title/tt0192006/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Eyes_of_Tammy_Faye,_The", "url": "http://www.metacritic.com/movie/the-eyes-of-tammy-faye"} +{"d:Title": "IMDb: The Eyes of Tammy Faye", "d:Description": "Cast and credits and additional information on the documentary.", "topic": "Top/Arts/Movies/Titles/E/Eyes_of_Tammy_Faye,_The", "url": "http://www.imdb.com/title/tt0233687/"} +{"d:Title": "IMDb: Eyes of the Spider (Kumo No Hitomi)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/E/Eyes_of_the_Spider", "url": "http://www.imdb.com/title/tt0241644/"} +{"d:Title": "Eyes Wide Shut (1999)", "d:Description": "Cast and crew, plot summaries, viewer comments and rating, stills and photos from the premiere, trivia.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut", "url": "http://www.imdb.com/title/tt0120663/"} +{"d:Title": "Box Office Mojo: Eyes Wide Shut", "d:Description": "Daily box office reports for the film's theatrical run.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut", "url": "http://www.boxofficemojo.com/movies/?id=eyeswideshut.htm"} +{"d:Title": "Eyes Wide Shut: Shot-by-Shot", "d:Description": "Close analysis of the first twenty minutes of the film. Content is available only in PDF.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut", "url": "http://www.jeffreyscottbernstein.com/kubrick/eyeswideshut.html"} +{"d:Title": "Opening Eyes Wide Shut", "d:Description": "A long study on the film.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut", "url": "http://lovepile.tripod.com/ewsx.html"} +{"d:Title": "Eyes Wide Shut Movie Review", "d:Description": "Very brief synopses.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut", "url": "http://allreaders.com/movie-review-summary/eyes-wide-shut-3758"} +{"d:Title": "CNN: All undressed with no place to go", "d:Description": "An extensive and illustrated review by Paul Tatara.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9907/15/review.eyeswideshut/"} +{"d:Title": "New York Times: Eyes Wide Shut", "d:Description": "'Danger and Desire in a Haunting Bedroom Odyssey' [requires free registration]", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.nytimes.com/library/film/071699eyes-film-review.html"} +{"d:Title": "All-Reviews.com - Eyes Wide Shut", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.all-reviews.com/videos/eyes-wide-shut.htm"} +{"d:Title": "HARO Online - Eyes Wide Shut", "d:Description": "Mongoose reviews the film. [Rating: Not Bad]", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.haro-online.com/movies/eyes_wide_shut.html"} +{"d:Title": "Eyes Wide Shut - Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to more reviews, trailers, pictures, articles and interviews.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.rottentomatoes.com/m/eyes_wide_shut/"} +{"d:Title": "Eyes Wide Shut", "d:Description": "\"The film is thought provoking, and well shot, but it certainly isn't representative of his much better body of work.\" Review and reader comments. [Rating: 2.5 / 4]", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.cinematter.com/movie.php3?ews"} +{"d:Title": "Salon Health and Body - Great bad sex", "d:Description": "\"Yes, 'Eyes Wide Shut' is wooden and static. And wooden and static never looked so good.\" Written by Chris Colin.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.salon.com/1999/07/23/kubricksex/"} +{"d:Title": "rogerebert.com: Eyes Wide Shut", "d:Description": "Roger Ebert's review of the movie.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.rogerebert.com/reviews/eyes-wide-shut-1999"} +{"d:Title": "Dr. Strange Love", "d:Description": "Arthur Schnitzler's paranoid, erotic 1926 novella inspired Stanley Kubrick's Eyes Wide Shut.", "topic": "Top/Arts/Movies/Titles/E/Eyes_Wide_Shut/Reviews", "url": "http://www.salon.com/1999/07/15/schnitzler/"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the movie: Okay.", "topic": "Top/Arts/Movies/Titles/E/Eye_of_the_Beholder_-_2000", "url": "http://www.haro-online.com/movies/eye_of_the_beholder.html"} +{"d:Title": "PopMatters", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/E/Eye_of_the_Beholder_-_2000", "url": "http://popmatters.com/film/reviews/e/eye-of-the-beholder.html"} +{"d:Title": "All-Reviews.com - Eye of the Beholder", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/E/Eye_of_the_Beholder_-_2000", "url": "http://www.all-reviews.com/videos-3/eye-of-beholder.htm"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/E/Eye_of_the_Beholder_-_2000", "url": "http://www.boxofficemojo.com/movies/?id=eyeofthebeholder.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/E/Eye_of_the_Beholder_-_2000", "url": "http://www.metacritic.com/movie/eye-of-the-beholder"} +{"d:Title": "IMDb - Eye of the Beholder", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/E/Eye_of_the_Beholder_-_2000", "url": "http://www.imdb.com/title/tt0120662/"} +{"d:Title": "AskMen.com", "d:Description": "\"Male perspective\" movie review.", "topic": "Top/Arts/Movies/Titles/E/Eye_of_the_Beholder_-_2000", "url": "http://www.askmen.com/entertainment/movies/movies9.html"} +{"d:Title": "IMDb: The Fabulous Baker Boys (1989)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fabulous_Baker_Boys,_The", "url": "http://www.imdb.com/title/tt0097322/"} +{"d:Title": "Chicago Sun-Times: The Fabulous Baker Boys", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Fabulous_Baker_Boys,_The", "url": "http://www.rogerebert.com/reviews/the-fabulous-baker-boys-1989"} +{"d:Title": "TV Guide Online: Fabulous Baker Boys, The", "d:Description": "Brief synopsis and review.", "topic": "Top/Arts/Movies/Titles/F/Fabulous_Baker_Boys,_The", "url": "http://www.tvguide.com/movies/fabulous-baker-boys/127580/"} +{"d:Title": "IMDB : Facade (1998)", "d:Description": "Full cast and crew for the film, company credits, user ratings, other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Facade", "url": "http://www.imdb.com/title/tt0129028/"} +{"d:Title": "Face/Off - Fan Site", "d:Description": "Stories, pictures, and biographies about the movie and the actors.", "topic": "Top/Arts/Movies/Titles/F/Face_Off", "url": "http://www.christiankoehler.de/faceoff/"} +{"d:Title": "All-Reviews", "d:Description": "Reviews of the movie, with buying options.", "topic": "Top/Arts/Movies/Titles/F/Face_Off", "url": "http://www.all-reviews.com/videos/face-off.htm"} +{"d:Title": "Allreaders - Face Off", "d:Description": "Detailed analysis of the Nicolas Cage and John Travolta film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Face_Off", "url": "http://allreaders.com/movie-review-summary/face/off-4459"} +{"d:Title": "IMDB : Face/Off (1997)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Face_Off", "url": "http://www.imdb.com/title/tt0119094/"} +{"d:Title": "TV Guide Online: Face Off", "d:Description": "Movie review, with information about the film, and a cast list.", "topic": "Top/Arts/Movies/Titles/F/Face_Off", "url": "http://www.tvguide.com/movies/faceoff/review/132079/"} +{"d:Title": "Faculty Fan Page", "d:Description": "A brief fan page for the movie. With pictures, plot, links, soundtrack information and lyrics.", "topic": "Top/Arts/Movies/Titles/F/Faculty,_The", "url": "http://www.angelfire.com/or/fanpage/"} +{"d:Title": "Movie Quotes Site: Faculty, The", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/F/Faculty,_The", "url": "http://www.moviequotes.com/archive/titles/2226.html"} +{"d:Title": "All-Reviews.com: The Faculty", "d:Description": "Review by Greg King: \"Although an inferior film, The Faculty offers plenty to teen audiences.\"", "topic": "Top/Arts/Movies/Titles/F/Faculty,_The", "url": "http://www.all-reviews.com/videos/faculty.htm"} +{"d:Title": "IMDb: Faculty, The (1998)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Faculty,_The", "url": "http://www.imdb.com/title/tt0133751/"} +{"d:Title": "TV Guide Online: Faculty, The", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/F/Faculty,_The", "url": "http://www.tvguide.com/movies/faculty/133524/"} +{"d:Title": "Internet Movie Database: Fag Hag (1998)", "d:Description": "Synopsis, credits, user comments, and links.", "topic": "Top/Arts/Movies/Titles/F/Fag_Hag", "url": "http://www.imdb.com/title/tt0164024/"} +{"d:Title": "All-Reviews.com: Fahrenheit 451", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_451", "url": "http://www.all-reviews.com/videos-4/fahrenheit-451.htm"} +{"d:Title": "IMDb: Fahrenheit 451", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_451", "url": "http://www.imdb.com/title/tt0060390/"} +{"d:Title": "TV Guide Online: Fahrenheit 451", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_451", "url": "http://www.tvguide.com/movies/fahrenheit-451/review/114259/"} +{"d:Title": "Fahrenheit 9/11", "d:Description": "Syndicated feed of news and information on the film.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11", "url": "http://f911.blogspot.com/rss/f911.xml"} +{"d:Title": "Cannes International Film Festival: Fahrenheit 9/11", "d:Description": "Synopsis, credits, festival videos and photographs, as well as articles about the film's presentation and subsequent award.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11", "url": "http://www.festival-cannes.fr/en/archives/ficheFilm/id/4201423/year/2004.html"} +{"d:Title": "Blogslut: Fahrenheit 9/11", "d:Description": "West Texan views the movie and gives an assessment of the audience.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Articles_and_Interviews", "url": "http://blogslut.com/f911review.html"} +{"d:Title": "Buzzflash - Military Families Call on Bush to See Fahrenheit 9/11", "d:Description": "A group of military and 9/11 families say President Bush and everyone in Washington should see Fahrenheit 9/11.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Articles_and_Interviews", "url": "http://www.buzzflash.com/alerts/04/07/ale04010.html"} +{"d:Title": "Columbus Free Press - Fahrenheit 911 Is Fair and Balanced", "d:Description": "Article that criticizes more moderate and mainstream journalists who attack Moore for raising political issues, but then ignore volumes of information readily available to back up Moore's claims.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Articles_and_Interviews", "url": "http://www.freepress.org/departments/display/17/2004/716"} +{"d:Title": "The Bushes and the Bin Ladens: Passionate anti-war film is a tale of two families", "d:Description": "Story on the film's reception at the Cannes film festival and its chances of winning the Palme d'Or.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Articles_and_Interviews", "url": "http://www.theguardian.com/world/2004/may/18/alqaida.filmnews"} +{"d:Title": "Daily Kos: Debunking 59 Deceits in Fahrenheit 9/11", "d:Description": "Looks at each of Kopel's critiques and then gives a rebuttal to them one by one.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Articles_and_Interviews", "url": "http://www.dailykos.com/story/2004/7/18/38844/-"} +{"d:Title": "All-Reviews.com - Fahrenheit 9/11", "d:Description": "Offers three reviews of the movie, commenting on Moore's style, film topics, and use of humor.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://www.all-reviews.com/videos-5/fahrenheit-9-11.htm"} +{"d:Title": "HARO Online - Fahrenheit 9/11", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://www.haro-online.com/movies/fahrenheit911.html"} +{"d:Title": "PopEntertainment.com: Fahrenheit 9/11", "d:Description": "Critic Jay S. Jacobs gives a positive review to the documentary.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://www.popentertainment.com/fahrenheit911.htm"} +{"d:Title": "TEN Movies: Fahrenheit 9/11", "d:Description": "Review by Abhishek Dey.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1091"} +{"d:Title": "E-FilmCritic - Defending Truth: Slate's Chris Hitchens Does a Hatchet Job on Michael Moore", "d:Description": "Provides a rebuttal to several assertions of a highly critical review of Fahrenheit 9/11.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://efilmcritic.com/feature.php?feature=1150"} +{"d:Title": "Columbus Free Press - On Unfairenheit 9/11, \"The lies of Michael Moore\" by Christopher Hitchens", "d:Description": "Investigates three major charges Hitchens makes against Moore's film, and concludes Hitchens' review disintegrates into irrelevant tangents, ad hominem attacks, and hypocritical remarks.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://www.freepress.org/departments/display/17/2004/719"} +{"d:Title": "Rotten Tomatoes: Fahrenheit 9/11 (2004)", "d:Description": "Reviews from critics and audiences, plus film details, trailer, poster, photographs, news, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://www.rottentomatoes.com/m/fahrenheit_911/"} +{"d:Title": "Slate: Unfairenheit 9/11 - The Lies of Michael Moore", "d:Description": "Article by Christopher Hitchens.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://www.slate.com/articles/news_and_politics/fighting_words/2004/06/unfairenheit_911.html"} +{"d:Title": "Sojourners - Michael Moore Brings the War Home", "d:Description": "Review and commentary by Danny Duncan Collum.", "topic": "Top/Arts/Movies/Titles/F/Fahrenheit_9-11/Reviews", "url": "http://www.sojo.net/magazine/2004/09/michael-moore-brings-war-home"} +{"d:Title": "Yahoo! Movies: Fail Safe (1964)", "d:Description": "Information on the classic movie starring Henry Fonda.", "topic": "Top/Arts/Movies/Titles/F/Fail_Safe", "url": "http://movies.yahoo.com/movie/fail-safe/"} +{"d:Title": "TV Guide Online: Fail Safe", "d:Description": "Movie review, plot, cast, pictures and background on this classic film.", "topic": "Top/Arts/Movies/Titles/F/Fail_Safe", "url": "http://www.tvguide.com/movies/fail-safe/114260/"} +{"d:Title": "Review by Andrew Rilstone: Fairy Tale", "d:Description": "Criticism of movie as incoherent. \"Its whole message is summed up in the single word which adorns the cinema poster: 'Believe!'\"", "topic": "Top/Arts/Movies/Titles/F/FairyTale", "url": "http://www.rilstone.talktalk.net/tale.htm"} +{"d:Title": "IMDB : FairyTale: A True Story (1997)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/FairyTale", "url": "http://www.imdb.com/title/tt0119095/"} +{"d:Title": "The Falcon", "d:Description": "The film and other exploits of Michael Arlen's adventurer, freelance troubleshooter and ladies' man.", "topic": "Top/Arts/Movies/Titles/F/Falcon_Series", "url": "http://www.thrillingdetective.com/falcon.html"} +{"d:Title": "The Gay Falcon", "d:Description": "Cast, crew and plot summary for the first of the sixteen films in the series.", "topic": "Top/Arts/Movies/Titles/F/Falcon_Series", "url": "http://www.imdb.com/title/tt0033650/"} +{"d:Title": "The Falcon's Brother", "d:Description": "Cast, crew and review of the series entry where Tom Conway took over the lead role from his brother George Sanders.", "topic": "Top/Arts/Movies/Titles/F/Falcon_Series", "url": "http://www.imdb.com/title/tt0034717/"} +{"d:Title": "IMDb - The Fall", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fall,_The", "url": "http://www.imdb.com/title/tt0171308/"} +{"d:Title": "All-Reviews.com - Fallen", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fallen", "url": "http://www.all-reviews.com/videos-3/fallen.htm"} +{"d:Title": "IMDB : Fallen (1998)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Fallen", "url": "http://www.imdb.com/title/tt0119099/"} +{"d:Title": "TV Guide Online: Fallen", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fallen", "url": "http://www.tvguide.com/movies/fallen/132966/"} +{"d:Title": "IMDb: Duoluo tianshi (1995)", "d:Description": "Cast and crew, reviews, and plot summary.", "topic": "Top/Arts/Movies/Titles/F/Fallen_Angels", "url": "http://imdb.com/title/tt0112913/"} +{"d:Title": "Cosmopolis - Falling Down", "d:Description": "Review of the film by director Joel Schumacher. Starring Michael Douglas, Robert Duvall, et al.", "topic": "Top/Arts/Movies/Titles/F/Falling_Down", "url": "http://www.cosmopolis.ch/english/cosmo4/douglas.htm"} +{"d:Title": "All-Reviews.com - Falling Down", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/F/Falling_Down", "url": "http://www.all-reviews.com/videos/falling-down.htm"} +{"d:Title": "IMDb - Falling Down (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Falling_Down", "url": "http://www.imdb.com/title/tt0106856/"} +{"d:Title": "TV Guide Online: Falling Down", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Falling_Down", "url": "http://www.tvguide.com/movies/falling/129657/"} +{"d:Title": "Washington Post", "d:Description": "Review of the movie by Desson Howe.", "topic": "Top/Arts/Movies/Titles/F/Falls,_The_-_1980", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thefallsverticalfeaturesremakeawalkthroughhnrhowe_a0af50.htm"} +{"d:Title": "Ajpoc: The Falls", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Falls,_The_-_1980", "url": "http://jpoc.tripod.com/movies/art/TheFalls.html"} +{"d:Title": "Internet Movie Database: Falls, The (1980)", "d:Description": "Synopsis, cast and crew list, reviews, viewer comments and ratings, trivia, related films, and links.", "topic": "Top/Arts/Movies/Titles/F/Falls,_The_-_1980", "url": "http://imdb.com/title/tt0080715/"} +{"d:Title": "IMDB : Fall (1997)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Fall_-_1997", "url": "http://www.imdb.com/title/tt0119098/"} +{"d:Title": "TV Guide Online: Fall", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fall_-_1997", "url": "http://www.tvguide.com/movies/fall/review/132492/"} +{"d:Title": "IMDB : Fall (2000)", "d:Description": "Full cast and crew for the film and business information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Fall_-_2000", "url": "http://www.imdb.com/title/tt0213642/"} +{"d:Title": "Fall of the Roman Empire, The (1964)", "d:Description": "Cast, crew, plot summary and review from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Fall_of_the_Roman_Empire,_The", "url": "http://www.imdb.com/title/tt0058085/"} +{"d:Title": "AllMovie: The Fall of the Roman Empire", "d:Description": "Synopsis, film overview, credits, review, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/F/Fall_of_the_Roman_Empire,_The", "url": "http://www.allmovie.com/movie/the-fall-of-the-roman-empire-v16642"} +{"d:Title": "Allreaders Review - Fall of the Roman Empire", "d:Description": "Detailed analysis of the film starring James Mason and Alec Guinness, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Fall_of_the_Roman_Empire,_The", "url": "http://allreaders.com/movie-review-summary/the-fall-of-the-roman-empire-4046"} +{"d:Title": "Allreaders Review - Fame", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Fame", "url": "http://allreaders.com/movie-review-summary/fame-6811"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/F/Family_Man,_The", "url": "http://www.haro-online.com/movies/family_man.html"} +{"d:Title": "All-Reviews.com", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/F/Family_Man,_The", "url": "http://www.all-reviews.com/videos-2/family-man.htm"} +{"d:Title": "PopMatters", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/F/Family_Man,_The", "url": "http://popmatters.com/film/reviews/f/family-man.html"} +{"d:Title": "Rotten Tomatoes - Family Man (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/F/Family_Man,_The", "url": "http://www.rottentomatoes.com/m/family_man/"} +{"d:Title": "IMDb: Family Man", "d:Description": "Cast and crew information, plot outline, and links.", "topic": "Top/Arts/Movies/Titles/F/Family_Man,_The", "url": "http://www.imdb.com/title/tt0218967/"} +{"d:Title": "Allreaders Review - Family Man", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Nicolas Cage film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Family_Man,_The", "url": "http://allreaders.com/movie-review-summary/family-man-7098"} +{"d:Title": "Family Plot (1976)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/F/Family_Plot", "url": "http://www.imdb.com/title/tt0074512/"} +{"d:Title": "TV Guide Online: Family Plot", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Family_Plot", "url": "http://www.tvguide.com/movies/family-plot/114327/"} +{"d:Title": "New York Times: The Family That Preys", "d:Description": "Review, by Stephen Holden: \"The suds that cascade through Tyler Perry\u2019s The Family That Preys more than equal the cubic footage from nighttime soaps like \"Dallas,\" \"Dynasty\" and their offspring.\"", "topic": "Top/Arts/Movies/Titles/F/Family_That_Preys,_The", "url": "http://www.nytimes.com/2008/09/13/movies/13perr.html?ref=movie"} +{"d:Title": "MovieWeb", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Family_That_Preys,_The", "url": "http://www.movieweb.com/movie/tyler-perrys-the-family-that-preys"} +{"d:Title": "Rotten Tomatoes: The Family That Preys", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Family_That_Preys,_The", "url": "http://www.rottentomatoes.com/m/the-family-that-preys/"} +{"d:Title": "TV Guide: The Family That Preys", "d:Description": "Review, by Ken Fox: \"Thanks to some first-rate acting from its stars, it ranks among Perry's best.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Family_That_Preys,_The", "url": "http://www.tvguide.com/movies/tyler-perrys-family-preys/review/295143/"} +{"d:Title": "The Boston Globe: In 'Family,' an affair to remember", "d:Description": "Review, by Ty Burr: \"Family, sadly, is a plate of leftovers: a bland, baldly written melodrama about two longtime best friends and their messed-up families.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Family_That_Preys,_The", "url": "http://archive.boston.com/ae/movies/articles/2008/09/13/in_family_an_affair_to_remember/?camp=pm"} +{"d:Title": "All-Reviews.com - A Family Thing", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Family_Thing,_A", "url": "http://www.all-reviews.com/videos-3/family-thing.htm"} +{"d:Title": "TV Guide Online: Family Thing, A", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Family_Thing,_A", "url": "http://www.tvguide.com/movies/family-thing/131429/"} +{"d:Title": "TV Guide Online: Fan, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fan,_The", "url": "http://www.tvguide.com/movies/fan/131301/"} +{"d:Title": "Rotten Tomatoes: Fanboys", "d:Description": "Synopsis, credits, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fanboys", "url": "http://www.rottentomatoes.com/m/fanboys/"} +{"d:Title": "IMDb: Fanboys (2008)", "d:Description": "Plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fanboys", "url": "http://www.imdb.com/title/tt0489049/"} +{"d:Title": "MovieWeb: Fanboys (2008)", "d:Description": "Summary, reviews, synopsis, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Fanboys", "url": "http://www.movieweb.com/movie/fanboys"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fantastic_Four_-_Rise_of_the_Silver_Surfer", "url": "http://www.rottentomatoes.com/m/fantastic_four_2_rise_of_the_silver_surfer/"} +{"d:Title": "IMDb", "d:Description": "Plot, cast list, trivia, quotes, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fantastic_Four_-_Rise_of_the_Silver_Surfer", "url": "http://www.imdb.com/title/tt0486576/"} +{"d:Title": "IGN Movies", "d:Description": "Reviews, news, preview, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fantastic_Four_-_Rise_of_the_Silver_Surfer", "url": "http://www.ign.com/movies/fantastic-four-rise-of-the-silver-surfer/theater-762424"} +{"d:Title": "USA Today", "d:Description": "Review, by Scott Bowles: \"Doesn't quite live up to the billing, but it improves mightily on the original. And the superhero family can thank its new addition for the upgrade.\"", "topic": "Top/Arts/Movies/Titles/F/Fantastic_Four_-_Rise_of_the_Silver_Surfer", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-06-14-silver-surfer_N.htm"} +{"d:Title": "IMDb: Fantastic Voyage (1966)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fantastic_Voyage", "url": "http://www.imdb.com/title/tt0060397/"} +{"d:Title": "TV Guide Online: Faraway, So Close", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Faraway,_So_Close", "url": "http://www.tvguide.com/movies/faraway-close/129307/"} +{"d:Title": "AboutFilm.com: Farewell, Home Sweet Home (1999)", "d:Description": "Review by Jeff Vorndam, commenting on its lack of plot and anti-climax ending.", "topic": "Top/Arts/Movies/Titles/F/Farewell,_Home_Sweet_Home", "url": "http://www.aboutfilm.com/movies/f/farewellhomesweet.htm"} +{"d:Title": "IMDb: Farewell, Home Sweet Home", "d:Description": "Cast, credits, comments and links.", "topic": "Top/Arts/Movies/Titles/F/Farewell,_Home_Sweet_Home", "url": "http://www.imdb.com/title/tt0205725/"} +{"d:Title": "Metacritic.com: Farewell, Home Sweet Home", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/F/Farewell,_Home_Sweet_Home", "url": "http://www.metacritic.com/movie/farewell-home-sweet-home"} +{"d:Title": "A Farewell to Arms", "d:Description": "Watch the whole movie in RealMedia.", "topic": "Top/Arts/Movies/Titles/F/Farewell_to_Arms,_A_-_1932", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=10&format=movie&theme=guide"} +{"d:Title": "IMDb: Farewell to Arms, A (1932)", "d:Description": "Cast and credits, plot summary, awards.", "topic": "Top/Arts/Movies/Titles/F/Farewell_to_Arms,_A_-_1932", "url": "http://www.imdb.com/title/tt0022879/"} +{"d:Title": "IMDb: Farewell to Arms, A (1957)", "d:Description": "Cast and credits, links to further information.", "topic": "Top/Arts/Movies/Titles/F/Farewell_to_Arms,_A_-_1957", "url": "http://www.imdb.com/title/tt0050379/"} +{"d:Title": "Allreaders Review - A Farewell to Arms", "d:Description": "Detailed analysis of the Rock Hudson film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Farewell_to_Arms,_A_-_1957", "url": "http://allreaders.com/movie-review-summary/farewell-arms-4227"} +{"d:Title": "TV Guide Online: A Farewell To Arms (1957)", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/F/Farewell_to_Arms,_A_-_1957", "url": "http://www.tvguide.com/movies/farewell-arms/114370/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/F/Fargo_-_1996", "url": "http://www.all-reviews.com/videos-2/fargo.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/Fargo_-_1996", "url": "http://crazy4cinema.com/Review/FilmsF/f_fargo.html"} +{"d:Title": "The Movie Fargo", "d:Description": "Thoughts, cast and crew, awards, reviews, and links.", "topic": "Top/Arts/Movies/Titles/F/Fargo_-_1996", "url": "http://www.themoviefargo.com/"} +{"d:Title": "IMDb - Fargo", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fargo_-_1996", "url": "http://www.imdb.com/title/tt0116282/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Fargo_-_1996", "url": "http://www.metacritic.com/movie/fargo"} +{"d:Title": "Allreaders Review - Fargo", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fargo_-_1996", "url": "http://allreaders.com/movie-review-summary/fargo-3885"} +{"d:Title": "TV Guide Online: Fargo", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fargo_-_1996", "url": "http://www.tvguide.com/movies/fargo/131242/"} +{"d:Title": "Farinelli: il castrato (1994)", "d:Description": "Plot summary, cast and crew, publicity, trailer, and related links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Farinelli", "url": "http://www.imdb.com/title/tt0109771/"} +{"d:Title": "TV Guide Online: Farinelli", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Farinelli", "url": "http://www.tvguide.com/movies/farinelli/130400/"} +{"d:Title": "DVD Savant Review - The Far Country", "d:Description": "Review of the DVD by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/F/Far_Country,_The", "url": "http://www.dvdtalk.com/dvdsavant/s802far.html"} +{"d:Title": "The Far Country (1954)", "d:Description": "Cast, crew, and review from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Far_Country,_The", "url": "http://www.imdb.com/title/tt0048055/"} +{"d:Title": "The Far Country", "d:Description": "Cast, synopsis and review from the All Movie Guide.", "topic": "Top/Arts/Movies/Titles/F/Far_Country,_The", "url": "http://www.allmovie.com/movie/v16784"} +{"d:Title": "HARO Online: Far From Heaven", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Far_from_Heaven", "url": "http://www.haro-online.com/movies/far_from_heaven.html"} +{"d:Title": "Filmtracks: Far From Heaven", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/F/Far_from_Heaven", "url": "http://www.filmtracks.com/titles/far_heaven.html"} +{"d:Title": "All-Reviews.com: Far From Heaven", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Far_from_Heaven", "url": "http://all-reviews.com/videos-5/far-from-heaven.htm"} +{"d:Title": "About.com - Far From Heaven", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/F/Far_from_Heaven", "url": "http://homevideo.about.com/library/weekly/aafpr040903.htm"} +{"d:Title": "Internet Movie Database: Far from Heaven (2002)", "d:Description": "Cast and crew list, plot outline, awards, reviews, viewer comments and ratings, trivia, bloopers, soundtrack listing, related films, promotional and release information, production details, and links.", "topic": "Top/Arts/Movies/Titles/F/Far_from_Heaven", "url": "http://imdb.com/title/tt0297884/"} +{"d:Title": "Rotten Tomatoes: Far From Heaven", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Far_from_Heaven", "url": "http://www.rottentomatoes.com/m/far_from_heaven/"} +{"d:Title": "IMdb: Far from the Madding Crowd", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Far_from_the_Madding_Crowd", "url": "http://www.imdb.com/title/tt0061648/"} +{"d:Title": "IMDb: Far Harbor (1996)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Far_Harbor", "url": "http://www.imdb.com/title/tt0116281/"} +{"d:Title": "The New York Times: Far Harbor", "d:Description": "Review by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/F/Far_Harbor", "url": "http://www.nytimes.com/1996/11/22/movies/they-re-dining-on-quail-and-whining-about-life.html"} +{"d:Title": "IMDb: Faster, Pussycat! Kill! Kill! (1965)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Faster_Pussycat_Kill_Kill", "url": "http://www.imdb.com/title/tt0059170/"} +{"d:Title": "Chicago Sun-Times: Faster, Pussycat! Kill! Kill!", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Faster_Pussycat_Kill_Kill", "url": "http://www.rogerebert.com/reviews/faster-pussycat-kill-kill-1995"} +{"d:Title": "TV Guide Online: Faster, Pussycat Kill Kill", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Faster_Pussycat_Kill_Kill", "url": "http://www.tvguide.com/movies/faster-pussycat-kill-kill/129770/"} +{"d:Title": "The Fast and the Furious", "d:Description": "Official site with multimedia.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series", "url": "http://www.thefastandthefurious.com/"} +{"d:Title": "Internet Movie Database: 2 Fast 2 Furious", "d:Description": "Plot summaries, cast and crew, reviews, user comments and ratings, quotations, trivia, soundtrack listing, production information, distribution details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/2_Fast_2_Furious", "url": "http://imdb.com/title/tt0322259/"} +{"d:Title": "KillerMovies: 2 Fast 2 Furious", "d:Description": "Film information, links to multimedia, and news articles.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/2_Fast_2_Furious", "url": "http://www.killermovies.com/f/fastandthefurious2/"} +{"d:Title": "All-Reviews.com: 2 Fast 2 Furious", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/2_Fast_2_Furious", "url": "http://www.all-reviews.com/videos-5/2-fast-2-furious.htm"} +{"d:Title": "Future Movies: 2 Fast 2 Furious", "d:Description": "DVD review and screenshots.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/2_Fast_2_Furious", "url": "http://www.futuremovies.co.uk/reviews/2-fast-2-furious/nik-huggins"} +{"d:Title": "Contactmusic.com: 2 Fast 2 Furious", "d:Description": "Brief cast profiles and production notes for the film.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/2_Fast_2_Furious", "url": "http://www.contactmusic.com/pages/2fast2furiouscastx10x06x03"} +{"d:Title": "Haro Online: The Fast and the Furious", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/Fast_and_the_Furious,_The", "url": "http://www.haro-online.com/movies/fast_and_the_furious.html"} +{"d:Title": "All-Reviews.com: The Fast and the Furious", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/Fast_and_the_Furious,_The", "url": "http://www.all-reviews.com/videos-3/fast-and-furious.htm"} +{"d:Title": "About.com: The Fast and the Furious", "d:Description": "Movie review by Ivana Redwine and DVD information.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/Fast_and_the_Furious,_The", "url": "http://homevideo.about.com/library/weekly/aapr122801.htm"} +{"d:Title": "Seeing Stars: The Fast and the Furious", "d:Description": "Information on filming locations including addresses, pictures, and maps.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/Fast_and_the_Furious,_The", "url": "http://seeing-stars.com/locations/FastAndFurious.shtml"} +{"d:Title": "Rotten Tomatoes: The Fast and the Furious", "d:Description": "Reviews, trailers, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/Fast_and_the_Furious,_The", "url": "http://www.rottentomatoes.com/m/1108372-fast_and_the_furious/"} +{"d:Title": "AllReaders.com : The Fast And The Furious", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/Fast_and_the_Furious,_The", "url": "http://allreaders.com/movie-review-summary/the-fast-and-the-furious-6661"} +{"d:Title": "Metacritic.com: The Fast and the Furious", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Fast_and_Furious_Series/Fast_and_the_Furious,_The", "url": "http://www.metacritic.com/movie/the-fast-and-the-furious"} +{"d:Title": "IMDb: \"Fast Food\"", "d:Description": "Provides external reviews, cast list, reviews, soundtrack listing, user ratings and message board.", "topic": "Top/Arts/Movies/Titles/F/Fast_Food", "url": "http://www.imdb.com/title/tt0202346/"} +{"d:Title": "\"Fast Food\"", "d:Description": "Cinemas-online offers synopsis, photos and viewer ratings.", "topic": "Top/Arts/Movies/Titles/F/Fast_Food", "url": "http://www.cinemas-online.co.uk/films/fastfood.fhtml?return=http://www.cinemas-online.co.uk/content/director-filmog.php?direct=Stewart+Sugg&sitecode=0"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/F/Fast_Food,_Fast_Women", "url": "http://www.haro-online.com/movies/fast_food_fast_women.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Fast_Food,_Fast_Women", "url": "http://www.metacritic.com/movie/fast-food-fast-women"} +{"d:Title": "HARO Online: The Fast Runner", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.haro-online.com/movies/atanarjuat.html"} +{"d:Title": "Rotten Tomatoes: The Fast Runner", "d:Description": "Reviews, ratings, movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.rottentomatoes.com/m/fast_runner/"} +{"d:Title": "DVDBeaver.com: Alliance ' Atanarjuat : The Fast Runner ' vs. Columbia - DVD Comparison", "d:Description": "Detailed comparison of the two versions, including screen captures showing the better video quality in the Columbia Tri-Star version, a list of the many extra features in the Alliance Atlantis version, and a letter from the film's producer explaining how different transfer techniques led to the differences in video quality and running time between the two versions.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.dvdbeaver.com/film/dvdcompare/atanarjuat.htm"} +{"d:Title": "IMDb: Atanarjuat (The Fast Runner)", "d:Description": "Full cast and crew, synopsis, reviews, trivia, multimedia, user comments, and links.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.imdb.com/title/tt0285441/"} +{"d:Title": "DVD Talk: Atanarjuat: The Fast Runner", "d:Description": "Negative review of the movie and the Columbia Tristar DVD release. Criticises bad acting, weak storytelling, poorly developed mystical elements, and the lack of extras on the DVD.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.dvdtalk.com/reviews/5624/atanarjuat-the-fast-runner/"} +{"d:Title": "A.V. Club: Atanarjuat (The Fast Runner)", "d:Description": "Mixed positive review by Keith Phipps.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.avclub.com/review/atanarjuat-the-fast-runner-17290"} +{"d:Title": "Flipside Movie Emporium: Atanarjuat (The Fast Runner)", "d:Description": "Positive review by Eric Beltmann.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.flipsidearchive.com/atanarjuat.html"} +{"d:Title": "Slant Magazine: Atanarjuat (The Fast Runner)", "d:Description": "Ed Gonzalez's positive review of the film.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.slantmagazine.com/film/review/atanarjuat"} +{"d:Title": "Creative Loafing Atlanta: Snowbound", "d:Description": "Review of Fast Runner by Curt Holman, who gives it 4/5 stars. Plot synopsis of this \"universal tale set against an exotic backdrop.\"", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.clatl.com/culture/movies-tv/article/13008692/snowbound"} +{"d:Title": "Metacritic.com: The Fast Runner", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/F/Fast_Runner,_The", "url": "http://www.metacritic.com/movie/atanarjuat-the-fast-runner"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fast_Times_at_Ridgemont_High", "url": "http://www.all-reviews.com/videos-2/fast-times-ridgemont.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, trivia, pictures, filming locations, soundtrack listing, and links.", "topic": "Top/Arts/Movies/Titles/F/Fast_Times_at_Ridgemont_High", "url": "http://www.fast-rewind.com/ridgemonthigh.htm"} +{"d:Title": "IMDb - Fast Times at Ridgemont High (1982)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fast_Times_at_Ridgemont_High", "url": "http://www.imdb.com/title/tt0083929/"} +{"d:Title": "Rotten Tomatoes: Fatal Attraction", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Fatal_Attraction", "url": "http://www.rottentomatoes.com/m/1007141-fatal_attraction/"} +{"d:Title": "IMDb: Fatal Attraction (1987)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fatal_Attraction", "url": "http://www.imdb.com/title/tt0093010/"} +{"d:Title": "Chicago Sun-Times: Fatal Attraction", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Fatal_Attraction", "url": "http://www.rogerebert.com/reviews/fatal-attraction-1987"} +{"d:Title": "Fatal Glass of Beer", "d:Description": "Synopsis and streaming video of the film.", "topic": "Top/Arts/Movies/Titles/F/Fatal_Glass_of_Beer,_The", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=233&format=tv&theme=guide"} +{"d:Title": "Chicago Sun-Times: Father's Day", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Fathers'_Day", "url": "http://www.rogerebert.com/reviews/fathers-day-1997"} +{"d:Title": "TV Guide Online: Fathers' Day", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fathers'_Day", "url": "http://www.tvguide.com/movies/fathers-day/132096/"} +{"d:Title": "Father Hood (1993)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/F/Father_Hood", "url": "http://www.imdb.com/title/tt0106877/"} +{"d:Title": "Chicago Sun Times: Father Hood", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Father_Hood", "url": "http://www.rogerebert.com/reviews/father-hood-1993"} +{"d:Title": "TV Guide Online: Father Hood", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Father_Hood", "url": "http://www.tvguide.com/movies/father-hood/129416/"} +{"d:Title": "All-Reviews.com - Father of the Bride II", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Father_of_the_Bride_II", "url": "http://www.all-reviews.com/videos-3/father-of-bride-part2.htm"} +{"d:Title": "Seeing Stars: Father of the Bride House", "d:Description": "Photograph of the house used as the family home in the 1991 movie \"Father of the Bride\" and its 1995 sequel.", "topic": "Top/Arts/Movies/Titles/F/Father_of_the_Bride_II", "url": "http://seeing-stars.com/ImagePages/FatherOfTheBrideHousePhoto.shtml"} +{"d:Title": "HARO Online: Fat Girl", "d:Description": "Mongoose reviews the film, looking at its sensationalism.", "topic": "Top/Arts/Movies/Titles/F/Fat_Girl", "url": "http://www.haro-online.com/movies/fat_girl.html"} +{"d:Title": "IMDb: Fat Girl", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/F/Fat_Girl", "url": "http://www.imdb.com/title/tt0243255/"} +{"d:Title": "Metacritic.com: Fat Girl", "d:Description": "Links to and quotes from a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/F/Fat_Girl", "url": "http://www.metacritic.com/movie/fat-girl"} +{"d:Title": "Chicago Sun-Times: Fat Girl", "d:Description": "Critic Robert Ebert reviews the film, looking at its portrayal of sexuality, innocence and young women.", "topic": "Top/Arts/Movies/Titles/F/Fat_Girl", "url": "http://www.rogerebert.com/reviews/fat-girl-2001"} +{"d:Title": "IMDb: Fat Girls (2006)", "d:Description": "Photos, videos, plot summary, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fat_Girls", "url": "http://www.imdb.com/title/tt0439179/"} +{"d:Title": "Rotten Tomatoes: Fat Girls", "d:Description": "Review links, synopsis, credits, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fat_Girls", "url": "http://www.rottentomatoes.com/m/fat_girls/"} +{"d:Title": "New York Times: Fat Girls", "d:Description": "Review, by Stephen Holden: \"Ends up stranded between two concepts, either of which might have yielded a more satisfying film.\"", "topic": "Top/Arts/Movies/Titles/F/Fat_Girls", "url": "http://www.nytimes.com/2007/11/02/movies/02fat.html?ref=movies"} +{"d:Title": "MovieWeb: Fat Girls", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/F/Fat_Girls", "url": "http://www.movieweb.com/movie/fat-girls"} +{"d:Title": "Village Voice: Fat Girls", "d:Description": "Review, by Abigail Deutsch: \"This film goes to some lengths not to be another high-school movie, which means prom stinks and no one can sing. Given Fat Girls' honesty, and its delicately drawn examples of social hopelessness, the sudden, sugary, puzzling finale feels out of character. It's as though the film forgot how to talk to us.\"", "topic": "Top/Arts/Movies/Titles/F/Fat_Girls", "url": "http://www.villagevoice.com/film/fat-girls-6419296"} +{"d:Title": "IMDB: Faust: Love of the Damned (2000)", "d:Description": "Includes a review, and cast.", "topic": "Top/Arts/Movies/Titles/F/Faust_-_Love_of_the_Damned", "url": "http://www.imdb.com/title/tt0223268/"} +{"d:Title": "IMDb: Fay Grim (2006)", "d:Description": "Videos, photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fay_Grim", "url": "http://www.imdb.com/title/tt0444628/"} +{"d:Title": "Rotten Tomatoes: Fay Grim", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fay_Grim", "url": "http://www.rottentomatoes.com/m/fay_grim/"} +{"d:Title": "IGN Movies: Fay Grim", "d:Description": "Video, photos, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Fay_Grim", "url": "http://www.ign.com/movies/fay-grim/theater-900490"} +{"d:Title": "MovieWeb: Fay Grim (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Fay_Grim", "url": "http://www.movieweb.com/movie/fay-grim"} +{"d:Title": "SFGate: Fay Grim", "d:Description": "Review, by Mick LaSalle: \"You won't see another film like Fay Grim this year, and we should give Hartley credit for making it work on his own terms.\"", "topic": "Top/Arts/Movies/Titles/F/Fay_Grim", "url": "http://www.sfgate.com/movies/article/Forget-WMDs-get-those-notebooks-2593254.php"} +{"d:Title": "USA Today: Fay Grim", "d:Description": "Review, by Claudia Puig: \"The movie opens with wit and dash, then devolves into a rather generic spy thriller.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Fay_Grim", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-05-17-fay-grim_N.htm"} +{"d:Title": "All-Reviews.com - Fear", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fear", "url": "http://www.all-reviews.com/videos-3/fear.htm"} +{"d:Title": "IMDb: Fear (1996)", "d:Description": "Includes a cast list, user comments, and plot outline.", "topic": "Top/Arts/Movies/Titles/F/Fear", "url": "http://www.imdb.com/title/tt0116287/"} +{"d:Title": "HARO Online: Feardotcom", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Feardotcom", "url": "http://www.haro-online.com/movies/feardotcom.html"} +{"d:Title": "Rotten Tomatoes: Feardotcom", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Feardotcom", "url": "http://www.rottentomatoes.com/m/fear_dot_com/"} +{"d:Title": "IMDb: Feardotcom (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Feardotcom", "url": "http://www.imdb.com/title/tt0295254/"} +{"d:Title": "Chicago Sun-Times: Feardotcom", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Feardotcom", "url": "http://www.rogerebert.com/reviews/feardotcom-2002"} +{"d:Title": "All-Reviews.com: Fearless", "d:Description": "Reviews of the movie by Pedro Sena and Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/F/Fearless", "url": "http://www.all-reviews.com/videos-4/fearless.htm"} +{"d:Title": "Allreaders Review - Fearless", "d:Description": "Detailed analysis of the Jeff Bridges film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fearless", "url": "http://allreaders.com/movie-review-summary/fearless-4264"} +{"d:Title": "All-Reviews.com- Fear and Loathing in Las Vegas", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/F/Fear_and_Loathing_in_Las_Vegas", "url": "http://www.all-reviews.com/videos/fear-and-loathing.htm"} +{"d:Title": "MMI Movie Review", "d:Description": "A brief review of the film.", "topic": "Top/Arts/Movies/Titles/F/Fear_and_Loathing_in_Las_Vegas", "url": "http://www.shoestring.org/mmi_revs/fear-lv.html"} +{"d:Title": "Fear and Loathing in Las Vegas - 1998 Movie", "d:Description": "Fear and Loathing in Las Vegas: 1998 movie by Universal starring Johnny Depp and Benicio Del Toro. Information on future movies and projects.", "topic": "Top/Arts/Movies/Titles/F/Fear_and_Loathing_in_Las_Vegas", "url": "http://www.casenet.com/movie/fearandloathinginlasvegas.htm"} +{"d:Title": "FearnLoathing.com", "d:Description": "Fanpage featuring sound clips, pictures, quotes, downloadable movie script, forum and information about the cast and director.", "topic": "Top/Arts/Movies/Titles/F/Fear_and_Loathing_in_Las_Vegas", "url": "http://www.fearnloathing.com/"} +{"d:Title": "TalkTalk", "d:Description": "A brief review along with some related links.", "topic": "Top/Arts/Movies/Titles/F/Fear_and_Loathing_in_Las_Vegas", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/fear-and-loathing-in-las-vegas/192"} +{"d:Title": "IMDB : Fear and Loathing in Las Vegas (1998)", "d:Description": "Full directory of the cast and crew for the film, company credits, external reviews, a plot summary, merchandising links and other information.", "topic": "Top/Arts/Movies/Titles/F/Fear_and_Loathing_in_Las_Vegas", "url": "http://www.imdb.com/title/tt0120669/"} +{"d:Title": "The Flick Filosopher Review", "d:Description": "A review of the movie along with related links.", "topic": "Top/Arts/Movies/Titles/F/Fear_and_Loathing_in_Las_Vegas", "url": "http://www.flickfilosopher.com/1998/06/fear-and-loathing-in-las-vegas-review.html"} +{"d:Title": "IMDb: Peur sur la ville (1975)", "d:Description": "Cast and crew, user comments and ratings, plot summary and related links.", "topic": "Top/Arts/Movies/Titles/F/Fear_Over_the_City", "url": "http://imdb.com/title/tt0073535/"} +{"d:Title": "IMDB : Feast at Midnight, A (1994)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Feast_at_Midnight,_A", "url": "http://www.imdb.com/title/tt0109784/"} +{"d:Title": "All-Reviews.com - Feast of July", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Feast_of_July", "url": "http://www.all-reviews.com/videos-3/feast-of-july.htm"} +{"d:Title": "IMDb: Feast of July", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Feast_of_July", "url": "http://www.imdb.com/title/tt0113044/"} +{"d:Title": "All-Reviews.com: Feds", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/F/Feds", "url": "http://www.all-reviews.com/videos-4/feds.htm"} +{"d:Title": "IMDb - Feeders (1996)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/Feeders", "url": "http://imdb.com/title/tt0183072/"} +{"d:Title": "IMDb: Feeding Boys, Ayaya (2003)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/F/Feeding_Boys,_Ayaya", "url": "http://www.imdb.com/title/tt0387214/"} +{"d:Title": "Hollywood Bitchslap: Feeding Boys, Ayaya", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/F/Feeding_Boys,_Ayaya", "url": "http://hollywoodbitchslap.com/review.php?movie=8157"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Feeling_Minnesota", "url": "http://www.all-reviews.com/videos-2/feeling-minnesota.htm"} +{"d:Title": "HARO Online - Felicia's Journey", "d:Description": "Mongoose reviews the movie; it's not bad.", "topic": "Top/Arts/Movies/Titles/F/Felicia's_Journey", "url": "http://www.haro-online.com/movies/felicias_journey.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Felicia's_Journey", "url": "http://www.metacritic.com/movie/felicias-journey"} +{"d:Title": "IMDb - Felicia's Journey (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Felicia's_Journey", "url": "http://www.imdb.com/title/tt0165773/"} +{"d:Title": "IMDb: Femme Fatale (1991)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_1991", "url": "http://www.imdb.com/title/tt0101866/"} +{"d:Title": "TV Guide Online: Femme Fatale", "d:Description": "Movie review and cast list.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_1991", "url": "http://www.tvguide.com/movies/femme-fatale/128487/"} +{"d:Title": "HARO Online: Femme Fatale", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_2002", "url": "http://www.haro-online.com/movies/femme_fatale.html"} +{"d:Title": "Dramatic/Romantic Movies: Femme Fatale", "d:Description": "Information on the film, photos, credits, and links.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_2002", "url": "http://romanticmovies.about.com/cs/femmefatale/"} +{"d:Title": "3 Black Chicks: Femme Fatale", "d:Description": "Review by Kamal \"The Diva\" Larsuel-Ulbricht.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_2002", "url": "http://www.3blackchicks.com/2002reviews/kamsfemmefatale.html"} +{"d:Title": "All-Reviews.com: Femme Fatale", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_2002", "url": "http://www.all-reviews.com/videos-5/femme-fatale.htm"} +{"d:Title": "Internet Movie Database: Femme Fatale (2002)", "d:Description": "Credits, reviews and ratings, trivia, bloopers, promotional information, technical details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_2002", "url": "http://imdb.com/title/tt0280665/"} +{"d:Title": "Rotten Tomatoes: Femme Fatale", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_2002", "url": "http://www.rottentomatoes.com/m/1118216-femme_fatale/"} +{"d:Title": "Femme Fatale", "d:Description": "Official site with synopsis, cast and crew, photographs, downloads, and trailer.", "topic": "Top/Arts/Movies/Titles/F/Femme_Fatale_-_2002", "url": "http://www.warnerbros.com/femme-fatale"} +{"d:Title": "rogerebert.com: La Femme Nikita", "d:Description": "Review of the film by critic Roger Ebert.", "topic": "Top/Arts/Movies/Titles/F/Femme_Nikita,_La", "url": "http://www.rogerebert.com/reviews/la-femme-nikita-1991"} +{"d:Title": "TV Guide Online: La Femme Nikita", "d:Description": "Movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/F/Femme_Nikita,_La", "url": "http://www.tvguide.com/movies/la-femme-nikita/128352/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/F/Ferris_Bueller's_Day_Off", "url": "http://www.fast-rewind.com/ferris.htm"} +{"d:Title": "Save Ferris!", "d:Description": "Presented by The 80s Server. Sounds, pictures, scenes, and links.", "topic": "Top/Arts/Movies/Titles/F/Ferris_Bueller's_Day_Off", "url": "http://www.80s.com/saveferris/"} +{"d:Title": "The Ferris Bueller Page [idiotsavant.com]", "d:Description": "The unofficial site with pictures, sounds and information. Drop by and speculate on the sequel", "topic": "Top/Arts/Movies/Titles/F/Ferris_Bueller's_Day_Off", "url": "http://www.idiotsavant.com/bueller/"} +{"d:Title": "Internet Movie Database: Ferris Bueller's Day Off", "d:Description": "Credits and movie information.", "topic": "Top/Arts/Movies/Titles/F/Ferris_Bueller's_Day_Off", "url": "http://www.imdb.com/title/tt0091042/"} +{"d:Title": "Ferris Bueller WebRing", "d:Description": "List of sites in the ring.", "topic": "Top/Arts/Movies/Titles/F/Ferris_Bueller's_Day_Off", "url": "http://www.webring.org/hub?ring=ferris"} +{"d:Title": "TV Guide Online: Ferris Bueller's Day Off", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Ferris_Bueller's_Day_Off", "url": "http://www.tvguide.com/movies/ferris-buellers-day/114621/"} +{"d:Title": "IMDb - Fever (1999)", "d:Description": "Cast, credits, and production information.", "topic": "Top/Arts/Movies/Titles/F/Fever", "url": "http://www.imdb.com/title/tt0177769/"} +{"d:Title": "IMDb: Fever Pitch", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fever_Pitch", "url": "http://www.imdb.com/title/tt0119114/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Fever_Pitch", "url": "http://www.metacritic.com/movie/fever-pitch"} +{"d:Title": "IMDb: Few Good Men, A (1992)", "d:Description": "Includes a plot summary, cast list, trailer, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Few_Good_Men,_A", "url": "http://www.imdb.com/title/tt0104257/"} +{"d:Title": "Allreaders Review - A Few Good Men", "d:Description": "Detailed analysis of the film starring Jack Nicholson, Demi Moore, and Tom Cruise, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Few_Good_Men,_A", "url": "http://allreaders.com/movie-review-summary/few-good-men-4814"} +{"d:Title": "IMDB : Fiddler on the Roof (1971)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Fiddler_on_the_Roof", "url": "http://www.imdb.com/title/tt0067093/"} +{"d:Title": "Allreaders Review - Fiddler on the Roof", "d:Description": "Detailed analysis of the Chaim Topol film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fiddler_on_the_Roof", "url": "http://allreaders.com/movie-review-summary/fiddler-on-the-roof-4150"} +{"d:Title": "IMDb: Fido (2006)", "d:Description": "Photo gallery, plot summary, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fido", "url": "http://www.imdb.com/title/tt0457572/"} +{"d:Title": "Rotten Tomatoes: Fido", "d:Description": "Review links, synopsis, critical consensus, production notes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fido", "url": "http://www.rottentomatoes.com/m/fido/"} +{"d:Title": "MovieWeb: Fido (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Fido", "url": "http://www.movieweb.com/movie/fido"} +{"d:Title": "The Boston Globe: Fido", "d:Description": "Review, by Ty Burr: \"Andrew Currie's stylish satire falls into the narrower niche of zombie farce, as pioneered by `Shaun of the Dead,` `Slither,` Robert Rodriguez's half of `Grindhouse.`\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Fido", "url": "http://archive.boston.com/ae/movies/articles/2007/07/06/a_heartwarming_tale_of_a_boy_and_his_zombie/"} +{"d:Title": "Teach with Movies - Field of Dreams", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/F/Field_of_Dreams", "url": "http://www.teachwithmovies.org/guides/field-of-dreams.html"} +{"d:Title": "Rotten Tomatoes: Field of Dreams", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/F/Field_of_Dreams", "url": "http://www.rottentomatoes.com/m/field_of_dreams/"} +{"d:Title": "IMDb: Field of Dreams", "d:Description": "Credits and information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Field_of_Dreams", "url": "http://www.imdb.com/title/tt0097351/"} +{"d:Title": "Chicago Sun-Times: Field of Dreams", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Field_of_Dreams", "url": "http://www.rogerebert.com/reviews/field-of-dreams-1989"} +{"d:Title": "Field of Dreams", "d:Description": "Site of the filming location", "topic": "Top/Arts/Movies/Titles/F/Field_of_Dreams", "url": "http://www.fodmoviesite.com/"} +{"d:Title": "IMDb - Fiend (1980)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/Fiend", "url": "http://imdb.com/title/tt0080730/"} +{"d:Title": "All-Reviews.com - Fierce Creatures", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fierce_Creatures", "url": "http://www.all-reviews.com/videos-2/fierce-creatures.htm"} +{"d:Title": "IMDb: Fierce Creatures", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fierce_Creatures", "url": "http://www.imdb.com/title/tt0119115/"} +{"d:Title": "IMDb: Fierce People (2005)", "d:Description": "Photo gallery, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fierce_People", "url": "http://www.imdb.com/title/tt0401420/"} +{"d:Title": "Rotten Tomatoes: Fierce People", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fierce_People", "url": "http://www.rottentomatoes.com/m/fierce-people/"} +{"d:Title": "IGN Movies: Fierce People", "d:Description": "Message board, videos, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Fierce_People", "url": "http://www.ign.com/movies/fierce-people/theater-903820"} +{"d:Title": "MovieWeb: Fierce People (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Fierce_People", "url": "http://www.movieweb.com/movie/fierce-people"} +{"d:Title": "Yahoo Groups: Fifth Element", "d:Description": "Archive and subscription information for the mailing list.", "topic": "Top/Arts/Movies/Titles/F/Fifth_Element,_The", "url": "http://groups.yahoo.com/group/fiifthelement/"} +{"d:Title": "Film Tracks", "d:Description": "Review, sound clip [RealAudio], track listings, and notes about the soundtrack.", "topic": "Top/Arts/Movies/Titles/F/Fifth_Element,_The", "url": "http://www.filmtracks.com/titles/fifth_element.html"} +{"d:Title": "The Fifth Element Worship Page", "d:Description": "A fansite, with a wall of fans.", "topic": "Top/Arts/Movies/Titles/F/Fifth_Element,_The", "url": "http://www.angelfire.com/ny/UEO/fifthelement.html"} +{"d:Title": "IMDb - The Fifth Element", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fifth_Element,_The", "url": "http://www.imdb.com/title/tt0119116/"} +{"d:Title": "Cinebooks Database - What the World Needs Now", "d:Description": "Review by Maitland McDonagh (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/F/Fifth_Element,_The", "url": "http://www.tvguide.com/movies/fifth-element/132083/"} +{"d:Title": "The Fifth Element Web Ring", "d:Description": "Ring name: fifthelement.", "topic": "Top/Arts/Movies/Titles/F/Fifth_Element,_The/Directories", "url": "http://www.webring.org/hub?ring=fifthelement&list"} +{"d:Title": "All-Reviews.com: The Fifth Element", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/F/Fifth_Element,_The/Reviews", "url": "http://www.all-reviews.com/videos-2/fifth-element.htm"} +{"d:Title": "IMDb - Fighting 69th, The (1940)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/Fighting_69th,_The", "url": "http://www.imdb.com/title/tt0032467/combined"} +{"d:Title": "Cinebooks Database - Fighting 69th, The", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/F/Fighting_69th,_The", "url": "http://www.tvguide.com/movies/fighting-69th/114716/"} +{"d:Title": "All-Reviews.com - The Fighting Temptations", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fighting_Temptations,_The", "url": "http://www.all-reviews.com/videos-5/fighting-temptations.htm"} +{"d:Title": "HARO Online: The Fighting Temptations", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Fighting_Temptations,_The", "url": "http://www.haro-online.com/movies/fighting_temptations.html"} +{"d:Title": "Internet Movie Database: Fighting Temptations, The (2003)", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, quotations, trivia, related films, production and distribution information, photographs, downloads, and links.", "topic": "Top/Arts/Movies/Titles/F/Fighting_Temptations,_The", "url": "http://www.imdb.com/title/tt0191133/"} +{"d:Title": "Welcome To Your Cave", "d:Description": "Photos, close-ups of the main members of the cast and crew, and plot information.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.angelfire.com/movies/yourcave/index.html"} +{"d:Title": "Generation Terrorists", "d:Description": "Quotes.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.generationterrorists.com/quotes/fight_club.html"} +{"d:Title": "Masculine Identity in the Service Class", "d:Description": "Analysis of the film as an exploration of modern masculinity by Adrienne Redd.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.criticism.com/md/fightclub.html"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the movie: Pretty good.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.haro-online.com/movies/fight_club.html"} +{"d:Title": "CNN: Marketing Fight Club", "d:Description": "Interview with the movies author Chuck Palahniuk.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.cnn.com/books/news/9910/29/fight.club.author/"} +{"d:Title": "Metaphilm: The Return of Hobbes", "d:Description": "Compares Tyler Durden and Edward Norton's character to comic strip duo 'Calvin and Hobbes'.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.metaphilm.com/philms/fightclub.html"} +{"d:Title": "Wattage: Fight Club - Violence as Yoga", "d:Description": "An essay on Fight Club as a spiritual allegory: a search for identity in a world of consumerism and abstraction. Jack uses violence as yoga to destroy the illusions that identify him.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://wattage.blogspot.com/2004/08/fight-club-violence-as-yoga.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.rottentomatoes.com/m/fight_club/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://the-editing-room.com/fightclub.html"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for the film, including comparisons to other Brad Pitt and David Fincher movies.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.boxofficemojo.com/movies/?id=fightclub.htm"} +{"d:Title": "Fight Club Quotes", "d:Description": "Analysis and discussion of quotations from the film, with photographs.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://fight-club-quotes.blogspot.com/"} +{"d:Title": "Slide: The Analysis of Fight Club", "d:Description": "Character analyses, discussion of symbolism and themes in the film, comparisons to other fictional works and social phenomena, and related links.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.anita.savedcontent.com/fightclub/"} +{"d:Title": "IMDb - Fight Club", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.imdb.com/title/tt0137523/"} +{"d:Title": "AllMovie: Fight Club", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.allmovie.com/movie/fight-club-v180767"} +{"d:Title": "Salon: The Company of Men", "d:Description": "\"Admirers of 'Fight Club' author Chuck Palahniuk convene to discuss art, life, masculine pain and why groin kicks are very, very popular.\"", "topic": "Top/Arts/Movies/Titles/F/Fight_Club", "url": "http://www.salon.com/2001/04/20/palahniuk_2/"} +{"d:Title": "All-Reviews.com - Fight Club (1999)", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.all-reviews.com/videos/fight-club.htm"} +{"d:Title": "Taking it IS Dishing it Out: The Late Modern Logic of Fight Club", "d:Description": "By Linda Brigham.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.altx.com/ebr/reviews/rev10/r10bri.htm"} +{"d:Title": "AboutFilm.Com: Fight Club", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.aboutfilm.com/movies/f/fightclub.htm"} +{"d:Title": "Film Court: Fight Club", "d:Description": "Review by Lawrence Russell.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.culturecourt.com/F/Hollywood/FightClub.htm"} +{"d:Title": "The Juicy Cerebellum: Fight Club", "d:Description": "Movie review by Alex Sandell.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.juicycerebellum.com/199923.htm"} +{"d:Title": "Bare-knuckled Fight is a KO", "d:Description": "Rated 4/5 by Michael Barthel. \"Fight Club is an amazing, important film that everyone but overly suggestible psychopaths need to see.\" [Oberlin Review]", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.oberlin.edu/stupub/ocreview/archives/1999.11.12/arts/fight.html"} +{"d:Title": "The School of Hard Knocks", "d:Description": "\"Joe Queenan has no problem with grown men beating each other senseless. It's just the rest of Fight Club he doesn't care for.\" [Guardian]", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.theguardian.com/film/1999/nov/07/1"} +{"d:Title": "Metacritic: Fight Club", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.metacritic.com/movie/fight-club"} +{"d:Title": "AllReaders.com Review", "d:Description": "Analysis of the Brad Pitt and Edward Norton film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://allreaders.com/movie-review-summary/fight-club-3574"} +{"d:Title": "Chicago Sun-Times: Fight Club", "d:Description": "Roger Ebert's review. Rated 2/5. \"... the most frankly and cheerfully fascist big-star movie since \"Death Wish,\" a celebration of violence in which the heroes write themselves a license to drink, smoke, screw and beat one another up.\"", "topic": "Top/Arts/Movies/Titles/F/Fight_Club/Reviews", "url": "http://www.rogerebert.com/reviews/fight-club-1999"} +{"d:Title": "PopMatters - The Filth and the Fury", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/F/Filth_and_the_Fury,_The", "url": "http://popmatters.com/film/reviews/f/filth-and-fury.html"} +{"d:Title": "All-Reviews.com - The Filth and the Fury", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Filth_and_the_Fury,_The", "url": "http://www.all-reviews.com/videos-3/filth-and-fury.htm"} +{"d:Title": "IMDb - The Filth and the Fury (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Filth_and_the_Fury,_The", "url": "http://www.imdb.com/title/tt0236216/"} +{"d:Title": "Roger Ebert - The Filth and the Fury", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/F/Filth_and_the_Fury,_The", "url": "http://www.rogerebert.com/reviews/the-filth-and-the-fury-2000"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Filth_and_the_Fury,_The", "url": "http://www.metacritic.com/movie/the-filth-and-the-fury"} +{"d:Title": "HARO Online: Final", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Final", "url": "http://www.haro-online.com/movies/final.html"} +{"d:Title": "Rotten Tomatoes: Final", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Final", "url": "http://www.rottentomatoes.com/m/final/"} +{"d:Title": "IMDb: Final (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Final", "url": "http://www.imdb.com/title/tt0277705/"} +{"d:Title": "TV Guide Online: Final Analysis", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/F/Final_Analysis", "url": "http://www.tvguide.com/movies/final-analysis/128867/"} +{"d:Title": "The Internet Movie Database (IMDb): The Final Cut", "d:Description": "Cast and crew listings, technical details, memorable quotes, press, pictures and user comments.", "topic": "Top/Arts/Movies/Titles/F/Final_Cut,_The_-_2004", "url": "http://imdb.com/title/tt0364343/"} +{"d:Title": "PopMatters - Final Destination", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/F/Final_Destination", "url": "http://popmatters.com/film/reviews/f/final-destination.html"} +{"d:Title": "All-Reviews.com - Final Destination", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/F/Final_Destination", "url": "http://www.all-reviews.com/videos/final-destination.htm"} +{"d:Title": "Rotten Tomatoes - Final Destination", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Final_Destination", "url": "http://www.rottentomatoes.com/m/final_destination/"} +{"d:Title": "Box Office Mojo: Final Destination", "d:Description": "Box office data for the film. Includes a comparison with other horror movies.", "topic": "Top/Arts/Movies/Titles/F/Final_Destination", "url": "http://www.boxofficemojo.com/movies/?id=finaldestination.htm"} +{"d:Title": "IMDb - Final Destination (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Final_Destination", "url": "http://www.imdb.com/title/tt0195714/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Final_Destination", "url": "http://www.metacritic.com/movie/final-destination"} +{"d:Title": "Internet Movie Database: The Final Test", "d:Description": "Synopsis, cast and crew, viewer comments, and links.", "topic": "Top/Arts/Movies/Titles/F/Final_Test,_The_-_1953", "url": "http://imdb.com/title/tt0045769/"} +{"d:Title": "PopMatters", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/F/Finding_Forrester", "url": "http://popmatters.com/film/reviews/f/finding-forrester.html"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/F/Finding_Forrester", "url": "http://www.haro-online.com/movies/finding_forrester.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the film.", "topic": "Top/Arts/Movies/Titles/F/Finding_Forrester", "url": "http://www.all-reviews.com/videos-2/finding-forrester.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and related information.", "topic": "Top/Arts/Movies/Titles/F/Finding_Forrester", "url": "http://www.rottentomatoes.com/m/finding_forrester/"} +{"d:Title": "IMDb: Finding Forrester", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/F/Finding_Forrester", "url": "http://www.imdb.com/title/tt0181536/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Finding_Forrester", "url": "http://www.metacritic.com/movie/finding-forrester"} +{"d:Title": "Allreaders - Finding Forrester", "d:Description": "Detailed analysis of the Sean Connery film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Finding_Forrester", "url": "http://allreaders.com/movie-review-summary/finding-forrester-4470"} +{"d:Title": "IMDB : Finding Graceland (1998)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Finding_Graceland", "url": "http://www.imdb.com/title/tt0120026/"} +{"d:Title": "IMDb: Finding Home", "d:Description": "Provides information about the film, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Finding_Home", "url": "http://www.imdb.com/title/tt0228301/"} +{"d:Title": "IMDb: Finding Neverland (2004)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/F/Finding_Neverland", "url": "http://www.imdb.com/title/tt0308644/"} +{"d:Title": "New York Times - Finding North - Am Streetwise, a Little Naive, Will Travel", "d:Description": "Review by Stephen Holden (predominantly positive). [Site requires free registration.]", "topic": "Top/Arts/Movies/Titles/F/Finding_North", "url": "http://www.nytimes.com/library/film/060499north-film-review.html"} +{"d:Title": "IMDb - Finding North (1998)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/Finding_North", "url": "http://www.imdb.com/title/tt0124555/"} +{"d:Title": "San Francisco Chronicle - Finding North Keeps Losing Its Bearings", "d:Description": "Review by Peter Stack (negative), rating.", "topic": "Top/Arts/Movies/Titles/F/Finding_North", "url": "http://www.sfgate.com/movies/article/Finding-North-Keeps-Losing-Its-Bearings-2904377.php"} +{"d:Title": "Cinebooks Database - Among My Souvenirs", "d:Description": "Review by Ken Fox (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/F/Finding_North", "url": "http://www.tvguide.com/movies/finding-north/134155/"} +{"d:Title": "Rotten Tomatoes: Fired Up", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Fired_Up", "url": "http://www.rottentomatoes.com/m/fired_up/"} +{"d:Title": "RogerEbert.com: Fired Up", "d:Description": "Review, by Roger Ebert: \"Here is a movie that will do for cheerleading what \"Friday the 13th\" did for summer camp.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Fired_Up", "url": "http://www.rogerebert.com/reviews/fired-up-2009"} +{"d:Title": "IGN: Fired Up", "d:Description": "Photos, video, message board, news, and a review (2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Fired_Up", "url": "http://www.ign.com/movies/fired-up/theater-14235236"} +{"d:Title": "TV Guide: Fired Up", "d:Description": "Review, by Perry Seibert: \"Raunchy.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Fired_Up", "url": "http://www.tvguide.com/movies/fired-up/review/296726/"} +{"d:Title": "ReelViews: Fired Up", "d:Description": "Review, by James Berardinelli: \"Regardless of how low your expectations are regarding Fired Up!, it will still surprise you, and not in a good way.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Fired_Up", "url": "http://www.reelviews.net/reelviews/fired-up"} +{"d:Title": "IMDb: Firelight", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/F/Firelight_-_1997", "url": "http://www.imdb.com/title/tt0119125/"} +{"d:Title": "TV Guide Online: Firelight", "d:Description": "Short synopsis and credits.", "topic": "Top/Arts/Movies/Titles/F/Firelight_-_1997", "url": "http://www.tvguide.com/movies/firelight/132329/"} +{"d:Title": "IMDb: Firestarter (1984)", "d:Description": "Cast, crew, viewer comments, plot summary, and related film data.", "topic": "Top/Arts/Movies/Titles/F/Firestarter", "url": "http://www.imdb.com/title/tt0087262/"} +{"d:Title": "All-Reviews.com: Firestorm", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Firestorm", "url": "http://all-reviews.com/videos-4/firestorm.htm"} +{"d:Title": "IMDB : Firestorm (1998)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Firestorm", "url": "http://www.imdb.com/title/tt0120670/"} +{"d:Title": "Films on Disc: Fires on the Plain", "d:Description": "Short review of the release on laser disc. Rated B+ for movie content, B for the quality of transfer to disc.", "topic": "Top/Arts/Movies/Titles/F/Fires_on_the_Plain", "url": "http://www.filmsondisc.com/LaserReview/fires_on_the_plain.htm"} +{"d:Title": "IMDb: Nobi (1959)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, award received.", "topic": "Top/Arts/Movies/Titles/F/Fires_on_the_Plain", "url": "http://www.imdb.com/title/tt0053121/"} +{"d:Title": "Chicago Reader: Fires on the Plain", "d:Description": "Capsule review of this horrifying film.", "topic": "Top/Arts/Movies/Titles/F/Fires_on_the_Plain", "url": "http://www.chicagoreader.com/chicago/fires-on-the-plain/Film?oid=1073418"} +{"d:Title": "CineBooks Database: Fires on the Plain", "d:Description": "Review of this grim war movie. Cast and credits. Rated 5/5.", "topic": "Top/Arts/Movies/Titles/F/Fires_on_the_Plain", "url": "http://www.tvguide.com/movies/fires-plain/126189/"} +{"d:Title": "IMDB : Hana-bi (1997)", "d:Description": "Full cast and crew for the film (Fireworks in the US), company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Fireworks", "url": "http://www.imdb.com/title/tt0119250/"} +{"d:Title": "TV Guide Online: Fireworks", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/F/Fireworks", "url": "http://www.tvguide.com/movies/fireworks/133083/"} +{"d:Title": "IMDb - Fire Dragon (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fire_Dragon", "url": "http://www.imdb.com/title/tt0109803/"} +{"d:Title": "IMDb - Fire in the Sky (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fire_in_the_Sky", "url": "http://www.imdb.com/title/tt0106912/"} +{"d:Title": "Roger Ebert - Fire in the Sky", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/F/Fire_in_the_Sky", "url": "http://www.rogerebert.com/reviews/fire-in-the-sky-1993"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Firm,_The", "url": "http://www.all-reviews.com/videos-3/firm.htm"} +{"d:Title": "IMDb: The Firm (1993)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Firm,_The", "url": "http://www.imdb.com/title/tt0106918/"} +{"d:Title": "HARO Online: First Daughter", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/F/First_Daughter", "url": "http://www.haro-online.com/movies/first_daughter.html"} +{"d:Title": "IMDb: First Daughter (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/F/First_Daughter", "url": "http://www.imdb.com/title/tt0361620/"} +{"d:Title": "Rotten Tomatoes: First Daughter", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/F/First_Daughter", "url": "http://www.rottentomatoes.com/m/first_daughter/"} +{"d:Title": "Seeing Stars: First Daughter", "d:Description": "Filming locations used in the film. Includes photos, addresses, and map links.", "topic": "Top/Arts/Movies/Titles/F/First_Daughter", "url": "http://www.seeing-stars.com/Locations/FirstDaughter1.shtml"} +{"d:Title": "Rogerebert.com: First Daughter", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/F/First_Daughter", "url": "http://www.rogerebert.com/reviews/first-daughter-2004"} +{"d:Title": "All-Reviews.com - The First Kid", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/First_Kid,_The", "url": "http://www.all-reviews.com/videos-3/first-kid.htm"} +{"d:Title": "DVD Drive-in: First Men In the Moon", "d:Description": "Movie review and DVD information.", "topic": "Top/Arts/Movies/Titles/F/First_Men_in_the_Moon", "url": "http://www.dvddrive-in.com/reviews/e-h/firstmenonmoon.htm"} +{"d:Title": "Rotten Tomatoes: First Men in the Moon", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/First_Men_in_the_Moon", "url": "http://www.rottentomatoes.com/m/first_men_in_the_moon/"} +{"d:Title": "IMDb: First Men in the Moon (1964)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/First_Men_in_the_Moon", "url": "http://www.imdb.com/title/tt0058100/"} +{"d:Title": "IMDb: First Sunday (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/First_Sunday", "url": "http://www.imdb.com/title/tt0486578/"} +{"d:Title": "IGN Movies: First Sunday", "d:Description": "Photos, videos, news, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/F/First_Sunday", "url": "http://www.ign.com/movies/first-sunday/theater-778555"} +{"d:Title": "MovieWeb: First Sunday (2008)", "d:Description": "Summary, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/F/First_Sunday", "url": "http://www.movieweb.com/movie/first-sunday"} +{"d:Title": "USA Today: First Sunday", "d:Description": "Review, by Claudia Puig: \"A misguided attempt at comedy that needs to go last on anyone's list of movie options.\" [Score: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/F/First_Sunday", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-01-10-first-sunday_N.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/First_Wives_Club,_The", "url": "http://crazy4cinema.com/Review/FilmsF/f_first_wives.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews.", "topic": "Top/Arts/Movies/Titles/F/First_Wives_Club,_The", "url": "http://www.all-reviews.com/videos-2/first-wives-club.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://www.all-reviews.com/videos-3/fisher-king.htm"} +{"d:Title": "VnS Dictionary: Fisher King Movie Clips", "d:Description": "Collection of clips from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://fisherking89.tripod.com/fisherking1.html"} +{"d:Title": "They're Getting a Gilliam Film", "d:Description": "Detailed location and set report originally published in the Los Angeles Times.", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://www.wideanglecloseup.com/fk_latimes.html"} +{"d:Title": "Wide Angle / Closeup: Interview With Richard LaGravenese", "d:Description": "On-set interview with the film's screenwriter.", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://www.wideanglecloseup.com/fk_lagravenese.html"} +{"d:Title": "Terry Gilliam Discusses Bringing a Myth to Life in New York City", "d:Description": "Interview with the director about making the film, by David Morgan [Millimeter Magazine].", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://www.wideanglecloseup.com/fk_gilliam_millimeter.html"} +{"d:Title": "A Red Knightmare", "d:Description": "Discusses costume and set design in the film [New York Newsday].", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://www.wideanglecloseup.com/fk_redknightmare.html"} +{"d:Title": "IMDb: Fisher King, The (1991)", "d:Description": "Cast, credits, and reviews.", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://www.imdb.com/title/tt0101889/"} +{"d:Title": "Allreaders Fisher King Spotlight", "d:Description": "Detailed analysis of the film starring Robin Williams, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fisher_King,_The", "url": "http://allreaders.com/movie-review-summary/the-fisher-king-5197"} +{"d:Title": "Allreaders Review - Fishing with John", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fishing_with_John", "url": "http://allreaders.com/movie-review-summary/fishing-with-john-5655"} +{"d:Title": "All-Reviews.com: A Fish Called Wanda", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/F/Fish_Called_Wanda,_A", "url": "http://all-reviews.com/videos-4/fish-called-wanda.htm"} +{"d:Title": "Britmovie", "d:Description": "Cast, synopsis, and images.", "topic": "Top/Arts/Movies/Titles/F/Fish_Called_Wanda,_A", "url": "http://www.britmovie.co.uk/films/A-Fish-Called-Wanda_1988/listType/"} +{"d:Title": "IMDb - A Fish Called Wanda (1988)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fish_Called_Wanda,_A", "url": "http://www.imdb.com/title/tt0095159/"} +{"d:Title": "Metacritic.com: A Fish Called Wanda", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Fish_Called_Wanda,_A", "url": "http://www.metacritic.com/movie/a-fish-called-wanda"} +{"d:Title": "Allreaders Review - A Fish Called Wanda", "d:Description": "Detailed analysis of the John Cleese film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fish_Called_Wanda,_A", "url": "http://allreaders.com/movie-review-summary/fish-called-wanda-3977"} +{"d:Title": "TV Guide Online: A Fish Called Wanda", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/F/Fish_Called_Wanda,_A", "url": "http://www.tvguide.com/movies/fish-called-wanda/127328/"} +{"d:Title": "IMDb: The Fish That Saved Pittsburgh (1979)", "d:Description": "Cast overview, user reviews, and synopsis.", "topic": "Top/Arts/Movies/Titles/F/Fish_That_Saved_Pittsburgh,_The", "url": "http://www.imdb.com/title/tt0079154/"} +{"d:Title": "Fistful of Leone: A Fistful of Dollars", "d:Description": "\"Leone uses Kurosawa's techniques perfectly, but also uses elements of his own style: quick zooms and extreme close-ups of thin, squinty eyes.\" Quicktime movie clips and music excerpts.", "topic": "Top/Arts/Movies/Titles/F/Fistful_of_Dollars_Series/Fistful_of_Dollars,_A", "url": "http://www.fistful-of-leone.com/films/afod.html"} +{"d:Title": "IMDb: Per un pugno di dollari (1964)", "d:Description": "Cast, production credits, and information.", "topic": "Top/Arts/Movies/Titles/F/Fistful_of_Dollars_Series/Fistful_of_Dollars,_A", "url": "http://www.imdb.com/title/tt0058461/combined"} +{"d:Title": "Allreaders Review - A Fistful of Dollars", "d:Description": "Detailed analysis of the Clint Eastwood film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fistful_of_Dollars_Series/Fistful_of_Dollars,_A", "url": "http://allreaders.com/movie-review-summary/fistful-of-dollars-3643"} +{"d:Title": "IMDb: Per qualche dollaro in pi\u00f9", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/F/Fistful_of_Dollars_Series/For_a_Few_Dollars_More", "url": "http://www.imdb.com/title/tt0059578/"} +{"d:Title": "AllReaders.com - For A Few Dollars More", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Clint Eastwood and Lee Van Cleef, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Fistful_of_Dollars_Series/For_a_Few_Dollars_More", "url": "http://allreaders.com/movie-review-summary/for-few-dollars-more-6511"} +{"d:Title": "Eastwood and Leone Master Western Genre", "d:Description": "Summary and review of The Good, the Bad, and the Ugly. By Stephen Brophy.", "topic": "Top/Arts/Movies/Titles/F/Fistful_of_Dollars_Series/Good,_the_Bad_and_the_Ugly,_The", "url": "http://tech.mit.edu/V115/N6/goodbadugly.06a.html"} +{"d:Title": "Future Movies: The Good, The Bad And The Ugly", "d:Description": "Review of the Special Edition DVD written by Jay Richardson.", "topic": "Top/Arts/Movies/Titles/F/Fistful_of_Dollars_Series/Good,_the_Bad_and_the_Ugly,_The", "url": "http://www.futuremovies.co.uk/reviews/the-good-the-bad-and-the-ugly-special-edition/jay-richardson"} +{"d:Title": "IMDb - Fist of Legend (Jing wu ying xiong)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fist_of_Legend", "url": "http://www.imdb.com/title/tt0110200/"} +{"d:Title": "Greatest Films - Five Easy Pieces", "d:Description": "Brief review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/F/Five_Easy_Pieces", "url": "http://www.filmsite.org/five.html"} +{"d:Title": "IMDb - Five Easy Pieces", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Five_Easy_Pieces", "url": "http://www.imdb.com/title/tt0065724/"} +{"d:Title": "TV Guide Online: Five Easy Pieces", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/F/Five_Easy_Pieces", "url": "http://www.tvguide.com/movies/easy-pieces/114818/"} +{"d:Title": "PopMatters: The Five Senses", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/F/Five_Senses,_The", "url": "http://popmatters.com/film/reviews/f/five-senses.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Five_Senses,_The", "url": "http://www.haro-online.com/movies/five_senses.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Five_Senses,_The", "url": "http://www.all-reviews.com/videos-3/five-senses.htm"} +{"d:Title": "IMDb - The Five Senses (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Five_Senses,_The", "url": "http://www.imdb.com/title/tt0168794/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Five_Senses,_The", "url": "http://www.metacritic.com/movie/the-five-senses"} +{"d:Title": "TV Guide Online: Fixer, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fixer,_The", "url": "http://www.tvguide.com/movies/fixer/133682/"} +{"d:Title": "The 80's Movies Gateway", "d:Description": "Review and trivia.", "topic": "Top/Arts/Movies/Titles/F/Flamingo_Kid", "url": "http://www.fast-rewind.com/flamingo.htm"} +{"d:Title": "IMDb: Flamingo Kid (1984)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Flamingo_Kid", "url": "http://www.imdb.com/title/tt0087265/"} +{"d:Title": "Cinebooks Database - Flamingo Kid, The", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/F/Flamingo_Kid", "url": "http://www.tvguide.com/movies/flamingo-kid/114885/"} +{"d:Title": "IMDb: Flandres (2006)", "d:Description": "Photo gallery, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Flanders", "url": "http://www.imdb.com/title/tt0450680/"} +{"d:Title": "Rotten Tomatoes: Flanders", "d:Description": "Review links, synopsis, critical consensus, credits, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Flanders", "url": "http://www.rottentomatoes.com/m/flanders/"} +{"d:Title": "MovieWeb: Flanders (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/F/Flanders", "url": "http://www.movieweb.com/movie/flanders"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/F/Flashdance", "url": "http://www.fast-rewind.com/flshdance.htm"} +{"d:Title": "IMDb - Flashdance (1983)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Flashdance", "url": "http://www.imdb.com/title/tt0085549/"} +{"d:Title": "IMDb - Flashfire (1993)", "d:Description": "Cast, credits, contact information.", "topic": "Top/Arts/Movies/Titles/F/Flashfire", "url": "http://www.imdb.com/title/tt0106925/"} +{"d:Title": "TV Guide - Flashfire", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/Flashfire", "url": "http://www.tvguide.com/movies/flashfire/130710/"} +{"d:Title": "Flash Gordon", "d:Description": "Fan site with multimedia and links.", "topic": "Top/Arts/Movies/Titles/F/Flash_Gordon_Series", "url": "http://www.angelfire.com/stars3/yank2010/fg_main.htm"} +{"d:Title": "The Unofficial Flash Gordon Movie Page", "d:Description": "Pictures, music, the plot, and quotes.", "topic": "Top/Arts/Movies/Titles/F/Flash_Gordon_Series", "url": "http://sites.google.com/site/flashgordonmovie/"} +{"d:Title": "IMDb: Flash Gordon (1980)", "d:Description": "Cast and credits, reviews, and synopsis.", "topic": "Top/Arts/Movies/Titles/F/Flash_Gordon_Series", "url": "http://www.imdb.com/title/tt0080745/"} +{"d:Title": "PopMatters", "d:Description": "Review: \"What's wrong with this picture?\"", "topic": "Top/Arts/Movies/Titles/F/Flawless_-_1999", "url": "http://popmatters.com/film/reviews/f/flawless.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Flawless_-_1999", "url": "http://www.all-reviews.com/videos-3/flawless.htm"} +{"d:Title": "MGM Movie Database - Flawless", "d:Description": "Plot and character information, photographs and multimedia clips.", "topic": "Top/Arts/Movies/Titles/F/Flawless_-_1999", "url": "http://www.mgm.com/title_title.php?title_star=FLAWLESS"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Flawless_-_1999", "url": "http://www.metacritic.com/movie/flawless-1999"} +{"d:Title": "IMDb - Flawless (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Flawless_-_1999", "url": "http://www.imdb.com/title/tt0155711/"} +{"d:Title": "All-Reviews.com - Fled", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Fled", "url": "http://www.all-reviews.com/videos-3/fled.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/F/Fletch", "url": "http://www.fast-rewind.com/fletch.htm"} +{"d:Title": "IMDb - Fletch (1985)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Fletch", "url": "http://www.imdb.com/title/tt0089155/"} +{"d:Title": "Allreaders Fletch Spotlight", "d:Description": "Detailed analysis of the film starring Chevy Chase, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fletch", "url": "http://allreaders.com/movie-review-summary/fletch-3792"} +{"d:Title": "TV Guide Online: Fletch", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fletch", "url": "http://www.tvguide.com/movies/fletch/114922/"} +{"d:Title": "The Flintstones In Viva Rock Vegas", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/F/Flintstones_in_Viva_Rock_Vegas,_The", "url": "http://www.vivarockvegas.com/"} +{"d:Title": "All-Reviews.com - The Flintstones in Viva Rock Vegas", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/F/Flintstones_in_Viva_Rock_Vegas,_The", "url": "http://www.all-reviews.com/videos/flintstones-viva.htm"} +{"d:Title": "Rotten Tomatoes - The Flintstones in Viva Rock Vegas (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/F/Flintstones_in_Viva_Rock_Vegas,_The", "url": "http://www.rottentomatoes.com/m/flintstones_in_viva_rock_vegas/"} +{"d:Title": "Box Office Mojo - The Flintstones in Viva Rock Vegas", "d:Description": "Box office data for the film. Includes comparisons with the original and other family movies.", "topic": "Top/Arts/Movies/Titles/F/Flintstones_in_Viva_Rock_Vegas,_The", "url": "http://www.boxofficemojo.com/movies/?id=flintstones2.htm"} +{"d:Title": "IMDb - The Flintstones in Viva Rock Vegas (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Flintstones_in_Viva_Rock_Vegas,_The", "url": "http://www.imdb.com/title/tt0158622/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Flintstones_in_Viva_Rock_Vegas,_The", "url": "http://www.metacritic.com/movie/the-flintstones-in-viva-rock-vegas"} +{"d:Title": "All-Reviews.com: Flipper (1996)", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/F/Flipper_-_1996", "url": "http://www.all-reviews.com/videos-3/flipper-1996.htm"} +{"d:Title": "IMDb: Flipper (1996)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Flipper_-_1996", "url": "http://www.imdb.com/title/tt0116322/"} +{"d:Title": "HARO Online: The Flip Side", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Flip_Side,_The", "url": "http://www.haro-online.com/movies/flip_side.html"} +{"d:Title": "Rotten Tomatoes: The Flip Side", "d:Description": "Links to reviews and forum.", "topic": "Top/Arts/Movies/Titles/F/Flip_Side,_The", "url": "http://www.metacritic.com/movie/the-flip-side"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/Flirting_with_Disaster", "url": "http://crazy4cinema.com/Review/FilmsF/f_flirting_disaster.html"} +{"d:Title": "All-Reviews.com - Flirting with Disaster", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Flirting_with_Disaster", "url": "http://www.all-reviews.com/videos-3/flirting-with-disaster.htm"} +{"d:Title": "IMDb: The Flock (2007)", "d:Description": "Plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Flock,_The", "url": "http://www.imdb.com/title/tt0473356/"} +{"d:Title": "Rotten Tomatoes: The Flock", "d:Description": "Preview, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Flock,_The", "url": "http://www.rottentomatoes.com/m/the-flock/"} +{"d:Title": "MovieWeb: The Flock (2007)", "d:Description": "Summary, videos, and photos.", "topic": "Top/Arts/Movies/Titles/F/Flock,_The", "url": "http://www.movieweb.com/movie/the-flock"} +{"d:Title": "The Washington Post: Flowers in the Attic", "d:Description": "Review by Richard Harrington.", "topic": "Top/Arts/Movies/Titles/F/Flowers_in_the_Attic", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/flowersintheatticpg13harrington_a0aa76.htm"} +{"d:Title": "Hollywood Bitchslap: Flowers in the Attic", "d:Description": "Review, ratings, and viewer comments.", "topic": "Top/Arts/Movies/Titles/F/Flowers_in_the_Attic", "url": "http://hollywoodbitchslap.com/review.php?movie=2849"} +{"d:Title": "Internet Movie Database: Flowers in the Attic (1987)", "d:Description": "Synopsis, cast and crew list, viewer comments and ratings, quotes, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/F/Flowers_in_the_Attic", "url": "http://imdb.com/title/tt0093036/"} +{"d:Title": "IMDb: Flower Drum Song (1961)", "d:Description": "Cast, crew, plot summary, viewer comments, and other related movie data.", "topic": "Top/Arts/Movies/Titles/F/Flower_Drum_Song", "url": "http://www.imdb.com/title/tt0054885/"} +{"d:Title": "HARO Online: The Flower of Evil", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Flower_of_Evil,_The", "url": "http://www.haro-online.com/movies/flower_of_evil.html"} +{"d:Title": "IMDb: The Flower of Evil", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Flower_of_Evil,_The", "url": "http://www.imdb.com/title/tt0322289/"} +{"d:Title": "Rotten Tomatoes: The Flower of Evil", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/F/Flower_of_Evil,_The", "url": "http://www.rottentomatoes.com/m/flower_of_evil/"} +{"d:Title": "Chicago Sun-Times: The Flower of Evil", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Flower_of_Evil,_The", "url": "http://www.rogerebert.com/reviews/the-flower-of-evil-2003"} +{"d:Title": "IMDb: Flor de mi secreto, La (1995)", "d:Description": "Cast, crew, reviews and plot summary.", "topic": "Top/Arts/Movies/Titles/F/Flower_of_My_Secret,_The", "url": "http://www.imdb.com/title/tt0113083/"} +{"d:Title": "IMDb: The Fluffer (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fluffer,_The", "url": "http://www.imdb.com/title/tt0245115/"} +{"d:Title": "Rotten Tomatoes: The Fluffer", "d:Description": "Links to movie reviews, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Fluffer,_The", "url": "http://www.rottentomatoes.com/m/the_fluffer/"} +{"d:Title": "Reel Classics: Flying Down to Rio", "d:Description": "Film information and analysis.", "topic": "Top/Arts/Movies/Titles/F/Flying_Down_to_Rio", "url": "http://www.reelclassics.com/Teams/Fred&Ginger/fred&ginger1.htm"} +{"d:Title": "The Fly (1986)", "d:Description": "Cast, crew, reviews, plot summary, viewer comments, discussion, taglines, trailers, posters, and related material from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Fly_Series/Fly,_The_-_1986", "url": "http://www.imdb.com/title/tt0091064/"} +{"d:Title": "All-Reviews.com: Focus", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Focus_-_2001", "url": "http://all-reviews.com/videos-4/focus.htm"} +{"d:Title": "HARO Online: Focus", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Focus_-_2001", "url": "http://www.haro-online.com/movies/focus.html"} +{"d:Title": "Rotten Tomatoes: Focus", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Focus_-_2001", "url": "http://www.rottentomatoes.com/m/focus/"} +{"d:Title": "IMDb: Focus (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Focus_-_2001", "url": "http://www.imdb.com/title/tt0246628/"} +{"d:Title": "Film and Film-making in Africa: Fogata", "d:Description": "Review of Joao Ribeiro's film by Martin Mhando.", "topic": "Top/Arts/Movies/Titles/F/Fogata", "url": "http://www.ed.mtu.edu/~khungwe/afrika/martin-mhando/fogata.html"} +{"d:Title": "HARO Online: The Fog of War", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The", "url": "http://www.haro-online.com/movies/fog_of_war.html"} +{"d:Title": "IMDb: The Fog of War", "d:Description": "Description, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The", "url": "http://www.imdb.com/title/tt0317910/"} +{"d:Title": "Rotten Tomatoes: The Fog of War", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The", "url": "http://www.rottentomatoes.com/m/fog_of_war/"} +{"d:Title": "The Fog of War - Eleven Lessons from the Life of Robert S. McNamara", "d:Description": "Official site for the Oscar Winning 2004 documentary.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The", "url": "http://www.sonyclassics.com/fogofwar/"} +{"d:Title": "PopEntertainment.com: The Fog of War", "d:Description": "Review by Jay S. Jacobs.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The/Reviews", "url": "http://www.popentertainment.com/fogofwar.htm"} +{"d:Title": "Boston Phoenix: The Fog of Words", "d:Description": "Review by Peter Keough.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The/Reviews", "url": "http://www.bostonphoenix.com/boston/movies/reviews/documents/03539311.asp"} +{"d:Title": "Deseret News: The Fog of War", "d:Description": "Review by Jeff Vice.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The/Reviews", "url": "http://www.deseretnews.com/article/700003457/Fog-of-War-Eleven-Lessons-From-the-Life-of-Robert-S-McNamara-The.html"} +{"d:Title": "Chicago Sun-Times: The Fog of War", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-fog-of-war-2004"} +{"d:Title": "Montreal Film Journal: The Fog of War", "d:Description": "Review by Kevin Laforest.", "topic": "Top/Arts/Movies/Titles/F/Fog_of_War,_The/Reviews", "url": "http://montrealfilmjournal.com/the-fog-of-war/"} +{"d:Title": "Movie Mirrors: Follow the Fleet (1936)", "d:Description": "Detailed account of the plot of this musical. Rated 7/9 for entertainment, and 6/9 for educational value.", "topic": "Top/Arts/Movies/Titles/F/Follow_the_Fleet", "url": "http://www.san.beck.org/MM/1936/FollowtheFleet.html"} +{"d:Title": "Crazy for Cinema: Follow the Fleet (1936)", "d:Description": "Detailed review. Rated 2.5/4.", "topic": "Top/Arts/Movies/Titles/F/Follow_the_Fleet", "url": "http://crazy4cinema.com/Review/FilmsF/f_follow_fleet.html"} +{"d:Title": "Reel Classics: Follow the Fleet", "d:Description": "Film information, review, and photographs.", "topic": "Top/Arts/Movies/Titles/F/Follow_the_Fleet", "url": "http://www.reelclassics.com/Teams/Fred&Ginger/fred&ginger5.htm"} +{"d:Title": "IMDb: Follow the Fleet (1936)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/F/Follow_the_Fleet", "url": "http://www.imdb.com/title/tt0027630/"} +{"d:Title": "HARO Online: Food of Love", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Food_of_Love_-_2002", "url": "http://www.haro-online.com/movies/food_of_love.html"} +{"d:Title": "Rotten Tomatoes: Food of Love", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Food_of_Love_-_2002", "url": "http://www.rottentomatoes.com/m/food_of_love/"} +{"d:Title": "Internet Movie Database: Food of Love (2002)", "d:Description": "Synopsis, cast and crew, viewer comments and ratings, promotional information, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/F/Food_of_Love_-_2002", "url": "http://www.imdb.com/title/tt0309600/"} +{"d:Title": "IMDb: Fool's Gold (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Fool's_Gold", "url": "http://www.imdb.com/title/tt0770752/"} +{"d:Title": "Moviefone", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Fool's_Gold", "url": "http://www.moviefone.com/movie/fools-gold/27065/main"} +{"d:Title": "IGN Movies: Fool's Gold", "d:Description": "Photos, videos, message board, and a review (rating: 0.5 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Fool's_Gold", "url": "http://www.ign.com/movies/fools-gold/theater-14208397"} +{"d:Title": "MovieWeb: Fool's Gold (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Fool's_Gold", "url": "http://www.movieweb.com/movie/fools-gold"} +{"d:Title": "The Boston Globe", "d:Description": "Review, by Wesley Morris: \"A tedious adventure-romance.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Fool's_Gold", "url": "http://archive.boston.com/ae/movies/articles/2008/02/08/a_pair_of_fools_little_to_treasure/"} +{"d:Title": "Fool's Gold", "d:Description": "Official site. Story, downloads, video, photos, facts, and a contest.", "priority": "1", "topic": "Top/Arts/Movies/Titles/F/Fool's_Gold", "url": "http://www.warnerbros.com/fools-gold"} +{"d:Title": "Fools Rush In", "d:Description": "Two people from two distinctly different cultures find themselves married and expecting a baby, knowing very little about one another or their respective backgrounds.", "topic": "Top/Arts/Movies/Titles/F/Fools_Rush_In", "url": "http://www.movieweb.com/movie/fools-rush-in"} +{"d:Title": "TV Guide Online: Fools Rush In", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fools_Rush_In", "url": "http://www.tvguide.com/movies/fools-rush/132080/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/F/Footloose", "url": "http://www.fast-rewind.com/footlse.htm"} +{"d:Title": "IMDb - Footloose (1984)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Footloose", "url": "http://www.imdb.com/title/tt0087277/"} +{"d:Title": "TV Guide Online: Footloose", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Footloose", "url": "http://www.tvguide.com/movies/footloose/123486/"} +{"d:Title": "eFilmCritic: Foot Fist Way, The", "d:Description": "Review, by Erik Childress: \"The film's funniest moment caught me at a loss of breath, and at its best has other moments providing extended laughs, if not of the breathtaking variety.\" [Rating: 4 out of 5]", "topic": "Top/Arts/Movies/Titles/F/Foot_Fist_Way,_The", "url": "http://efilmcritic.com/review.php?movie=13567&reviewer=198"} +{"d:Title": "Rotten Tomatoes: The Foot Fist Way", "d:Description": "Synopsis, cast members, photos, trailer, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Foot_Fist_Way,_The", "url": "http://www.rottentomatoes.com/m/the-foot-fist-way/"} +{"d:Title": "MovieWeb: The Foot Fist Way (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Foot_Fist_Way,_The", "url": "http://www.movieweb.com/movie/the-foot-fist-way"} +{"d:Title": "Rotten Tomatoes: The Forbidden Kingdom", "d:Description": "Synopsis, cast members, photos, videos, showtimes, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Kingdom,_The", "url": "http://www.rottentomatoes.com/m/forbidden_kingdom/"} +{"d:Title": "BrianOrndorf.com: The Forbidden Kingdom", "d:Description": "Review by Brian Orndorf: \"Kingdom is a stiff, disturbingly ill-conceived fantasy film more consumed with playing slack-jawed fanboy than telling a compelling story worthy of these two giants.\" [Grade: D]", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Kingdom,_The", "url": "http://www.brianorndorf.com/2008/04/film-review-t-1.html"} +{"d:Title": "MovieWeb: Forbidden Kingdom (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Kingdom,_The", "url": "http://www.movieweb.com/movie/forbidden-kingdom"} +{"d:Title": "IGN Movies: The Forbidden Kingdom", "d:Description": "News, photos, videos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Kingdom,_The", "url": "http://www.ign.com/movies/the-forbidden-kingdom/theater-863783"} +{"d:Title": "New York Times: The Forbidden Kingdom", "d:Description": "Review, by A.O. Scott: \"A faithful and disarmingly earnest attempt to honor some venerable and popular Chinese cinematic traditions.\"", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Kingdom,_The", "url": "http://www.nytimes.com/2008/04/18/movies/18king.html?ref=movies"} +{"d:Title": "News Blaze: The Forbidden Kingdom", "d:Description": "Review, by Prairie Miller: \"Kung fu fever and fluff mix it up with hordes of floating knights in shining armor with bad attitude, and just a little heavy on the dressing, courtesy of the costume department.\"", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Kingdom,_The", "url": "http://newsblaze.com/entertainment/movie-reviews/the-forbidden-kingdom-movie-review_4501/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Planet,_The", "url": "http://www.all-reviews.com/videos-2/forbidden-planet.htm"} +{"d:Title": "IMDb.com - Forbidden Planet (1956)", "d:Description": "Poster, cast, plot outline and comments.", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Planet,_The", "url": "http://www.imdb.com/title/tt0049223/"} +{"d:Title": "TV Guide Online: Forbidden Planet", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Forbidden_Planet,_The", "url": "http://www.tvguide.com/movies/forbidden-planet/123537/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/Forces_of_Nature", "url": "http://crazy4cinema.com/Review/FilmsF/f_forces.html"} +{"d:Title": "All-Reviews.com- Forces of Nature", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/F/Forces_of_Nature", "url": "http://www.all-reviews.com/videos/forces-of-nature.htm"} +{"d:Title": "IMDb - Forces of Nature (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Forces_of_Nature", "url": "http://www.imdb.com/title/tt0141098/"} +{"d:Title": "IMDb: Force 10 from Navarone (1978)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Force_Ten_From_Navarone", "url": "http://www.imdb.com/title/tt0077572/"} +{"d:Title": "Allreaders Review - Force Ten From Navarone", "d:Description": "Detailed analysis of the Harrison Ford film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Force_Ten_From_Navarone", "url": "http://allreaders.com/movie-review-summary/force-10-from-navarone-3573"} +{"d:Title": "HARO Online: A Foreign Affair", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Foreign_Affair,_A_-_2002", "url": "http://www.haro-online.com/movies/foreign_affair.html"} +{"d:Title": "Internet Movie Database: Foreign Affair, A (2002)", "d:Description": "Synopsis, cast and crew, production information, film recommendations, and links.", "topic": "Top/Arts/Movies/Titles/F/Foreign_Affair,_A_-_2002", "url": "http://www.imdb.com/title/tt0305583/"} +{"d:Title": "TV Guide Online: Foreign Correspondent", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Foreign_Correspondent", "url": "http://www.tvguide.com/movies/foreign-correspondent/123561/"} +{"d:Title": "IMDb: Foreign Correspondents (1999)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Foreign_Correspondents", "url": "http://www.imdb.com/title/tt0123078/"} +{"d:Title": "IMDb - Forever (1992)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/F/Forever_-_1992", "url": "http://www.imdb.com/title/tt0104290/"} +{"d:Title": "TV Guide Online: Forever", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Forever_-_1992", "url": "http://www.tvguide.com/movies/forever/129879/"} +{"d:Title": "Rotten Tomatoes: Forgetting Sarah Marshall", "d:Description": "Synopsis, showtimes, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Forgetting_Sarah_Marshall", "url": "http://www.rottentomatoes.com/m/forgetting_sarah_marshall/"} +{"d:Title": "IGN Movies: Forgetting Sarah Marshall", "d:Description": "Photos, videos, news, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Forgetting_Sarah_Marshall", "url": "http://www.ign.com/movies/forgetting-sarah-marshall/theater-904509"} +{"d:Title": "MovieWeb: Forgetting Sarah Marshall (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/F/Forgetting_Sarah_Marshall", "url": "http://www.movieweb.com/movie/forgetting-sarah-marshall"} +{"d:Title": "ReelViews: Forgetting Sarah Marshall", "d:Description": "Review, by James Berardinelli: \"There's a wit in Segel's writing that marks him as every bit Apatow's equal in this arena.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Forgetting_Sarah_Marshall", "url": "http://www.reelviews.net/reelviews/forgetting-sarah-marshall"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Forget_Paris", "url": "http://www.all-reviews.com/videos-2/forget-paris.htm"} +{"d:Title": "IMDb - Forget Paris (1995)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Forget_Paris", "url": "http://www.imdb.com/title/tt0113097/"} +{"d:Title": "Forget Paris: Review from Ebert", "d:Description": "Ebert of the \"Chicago Sun-Times\" reviewing the movie.", "topic": "Top/Arts/Movies/Titles/F/Forget_Paris", "url": "http://www.rogerebert.com/reviews/forget-paris-1995"} +{"d:Title": "TV Guide Online: Forget Paris", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Forget_Paris", "url": "http://www.tvguide.com/movies/forget-paris/130795/"} +{"d:Title": "Rotten Tomatoes: Forgiveness", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Forgiveness", "url": "http://www.rottentomatoes.com/m/forgiveness2006/"} +{"d:Title": "The New York Times: Forgiveness", "d:Description": "Review, by Jeannette Catsoulis: \"The message may be clear -- suppress the past at your peril -- but the execution is a mess. As for the line-dancing soldiers, your guess is as good as mine.\"", "topic": "Top/Arts/Movies/Titles/F/Forgiveness", "url": "http://www.nytimes.com/2008/09/12/movies/12forg.html?ref=movies"} +{"d:Title": "Village Voice: Forgiveness", "d:Description": "Review, by Michelle Orange: \"David's trauma, madness, and recovery (including a relationship with a Palestinian woman) is arranged as a puzzle of dreams, flashbacks, hallucinations, and strikingly choreographed numbers that, while occasionally dazzling, remains in pieces at film's end.\"", "topic": "Top/Arts/Movies/Titles/F/Forgiveness", "url": "http://www.villagevoice.com/film/forgiveness-6390728"} +{"d:Title": "IMDb: Forgiving the Franklins (2006)", "d:Description": "Photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Forgiving_the_Franklins", "url": "http://www.imdb.com/title/tt0443512/"} +{"d:Title": "Rotten Tomatoes: Forgiving the Franklins", "d:Description": "Synopsis, credits, photos, links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Forgiving_the_Franklins", "url": "http://www.rottentomatoes.com/m/forgiving_the_franklins/"} +{"d:Title": "IMDb.com: Forgotten, The", "d:Description": "Contains cast and crew, user comments, plot summary, and trailers.", "topic": "Top/Arts/Movies/Titles/F/Forgotten,_The", "url": "http://imdb.com/title/tt0356618/"} +{"d:Title": "Qwipster's Movie Reviews", "d:Description": "[2.5/5] Review by Vince Leo. \"Too ridiculous to hold up to the end, The Forgotten manages to entertain most of the way, until the bottom drops out completely with a mostly nonsensical and overreaching finale that just can't match the solid build-up.\"", "topic": "Top/Arts/Movies/Titles/F/Forgotten,_The", "url": "http://qwipster.net/forgotten.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"The Forgotten.\"", "topic": "Top/Arts/Movies/Titles/F/Forgotten,_The", "url": "http://www.haro-online.com/movies/forgotten.html"} +{"d:Title": "IMDb - Forgotten City (aka The Vivero Letter) (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Forgotten_City", "url": "http://www.imdb.com/title/tt0159103/"} +{"d:Title": "IMDb: Forgotten Silver (1995)", "d:Description": "Cast and crew, production details, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/F/Forgotten_Silver", "url": "http://www.imdb.com/title/tt0116344/"} +{"d:Title": "Off Screen: Forgotten Silver on DVD", "d:Description": "Positive review by Donato Totaro. Includes DVD information.", "topic": "Top/Arts/Movies/Titles/F/Forgotten_Silver", "url": "http://offscreen.com/view/forgotten_silver"} +{"d:Title": "IMDb - 7, Hyden Park: la casa maledetta (1985)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/Formula_for_a_Murder", "url": "http://www.imdb.com/title/tt0086288/"} +{"d:Title": "Grudge Match: Forrest Gump vs. Rain Man", "d:Description": "Who would be the first to fill-in a multiplication table and then run a 100-yard dash?", "topic": "Top/Arts/Movies/Titles/F/Forrest_Gump", "url": "http://www.grudge-match.com/History/gump-rainman.shtml"} +{"d:Title": "All-Reviews.com- Forrest Gump", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/Forrest_Gump", "url": "http://www.all-reviews.com/videos-2/forrest-gump.htm"} +{"d:Title": "About.com: Forrest Gump", "d:Description": "Review by Ivana Redwine and DVD information.", "topic": "Top/Arts/Movies/Titles/F/Forrest_Gump", "url": "http://homevideo.about.com/library/weekly/aa083101a.htm"} +{"d:Title": "IMDb - Forrest Gump", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Forrest_Gump", "url": "http://www.imdb.com/title/tt0109830/"} +{"d:Title": "Metacritic.com: Forrest Gump", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Forrest_Gump", "url": "http://www.metacritic.com/movie/forrest-gump"} +{"d:Title": "Allreaders Review - Forrest Gump", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Tom Hanks film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Forrest_Gump", "url": "http://allreaders.com/movie-review-summary/forrest-gump-3663"} +{"d:Title": "TV Guide Online: Forrest Gump", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Forrest_Gump", "url": "http://www.tvguide.com/movies/forrest-gump/129960/"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Forsaken,_The", "url": "http://www.all-reviews.com/videos-3/forsaken.htm"} +{"d:Title": "HARO Online", "d:Description": "Three paragraph review.", "topic": "Top/Arts/Movies/Titles/F/Forsaken,_The", "url": "http://www.haro-online.com/movies/forsaken.html"} +{"d:Title": "The Forsaken (2001)", "d:Description": "Includes a review, synopsis, and cast.", "topic": "Top/Arts/Movies/Titles/F/Forsaken,_The", "url": "http://www.rottentomatoes.com/m/forsaken/"} +{"d:Title": "IMDB: Forsaken, The (2001)", "d:Description": "Includes a review, and cast.", "topic": "Top/Arts/Movies/Titles/F/Forsaken,_The", "url": "http://www.imdb.com/title/tt0245120/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on others sites.", "topic": "Top/Arts/Movies/Titles/F/Forsaken,_The", "url": "http://www.metacritic.com/movie/the-forsaken"} +{"d:Title": "All-Reviews.com: Fortress", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/F/Fortress", "url": "http://www.all-reviews.com/videos-4/fortress.htm"} +{"d:Title": "TV Guide Online: Fortress", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Fortress", "url": "http://www.tvguide.com/movies/fortress/129644/"} +{"d:Title": "All-Reviews.com: Fort Apache", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/F/Fort_Apache", "url": "http://www.all-reviews.com/videos-4/fort-apache.htm"} +{"d:Title": "CNN: Costner hits home run 'For Love of the Game'", "d:Description": "Critique of the movie with stills, trailer, and links.", "topic": "Top/Arts/Movies/Titles/F/For_Love_of_the_Game", "url": "http://www.cnn.com/SHOWBIZ/Movies/9909/21/review.forloveofthegame/index.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/F/For_Love_of_the_Game", "url": "http://www.rottentomatoes.com/m/for_love_of_the_game/"} +{"d:Title": "Box Office Mojo: For Love of the Game", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/F/For_Love_of_the_Game", "url": "http://www.boxofficemojo.com/movies/?id=forloveofthegame.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/For_Love_of_the_Game", "url": "http://www.metacritic.com/movie/for-love-of-the-game"} +{"d:Title": "IMDB: For Love of the Game (1999)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/For_Love_of_the_Game", "url": "http://www.imdb.com/title/tt0126916/"} +{"d:Title": "For Love of the Game", "d:Description": "Official site with plot and character information. [Please use this URL to replace the listed official site; its domain has expired.]", "topic": "Top/Arts/Movies/Titles/F/For_Love_of_the_Game", "url": "https://www.uphe.com/movies/for-love-of-the-game"} +{"d:Title": "IMDb - For Pete's Sake (1974)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/For_Pete's_Sake", "url": "http://www.imdb.com/title/tt0071514/"} +{"d:Title": "TV Guide Online - For Pete's Sake", "d:Description": "Unsigned review (negative) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/F/For_Pete's_Sake", "url": "http://www.tvguide.com/movies/petes-sake/123506/"} +{"d:Title": "IMDb.com: For Real", "d:Description": "Cast and credit information.", "topic": "Top/Arts/Movies/Titles/F/For_Real", "url": "http://www.imdb.com/title/tt0314080/"} +{"d:Title": "IMDb: Roseanna's Grave", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/F/For_Roseanna", "url": "http://www.imdb.com/title/tt0120034/"} +{"d:Title": "TV Guide Online: For Roseanna", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/For_Roseanna", "url": "http://www.tvguide.com/movies/roseanna/132107/"} +{"d:Title": "Rotten Tomatoes: Four Christmases", "d:Description": "Synopsis, cast members, trailers, photos, showtimes, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Four_Christmases", "url": "http://www.rottentomatoes.com/m/four_christmases/"} +{"d:Title": "IGN: Four Christmases", "d:Description": "News, photos, videos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Four_Christmases", "url": "http://www.ign.com/movies/four-christmases/theater-14229273"} +{"d:Title": "USA Today: Four Christmases", "d:Description": "Review, by Claudia Puig: \"The film has its funny moments, but they are too few to make the holiday excursion worthwhile.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Four_Christmases", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-11-25-four-christmases_N.htm"} +{"d:Title": "TV Guide: Four Christmases", "d:Description": "Review, by Cammila Albertson: \"It's well acted and it's entertaining -- and who can resist a movie where Vince Vaughn and Jon Favreau are brothers, and Robert Duvall is their dad?\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Four_Christmases", "url": "http://www.tvguide.com/movies/christmases/review/295990/"} +{"d:Title": "ReelViews: Four Christmases", "d:Description": "Review, by James Berardinelli: \"Four Christmases is waste of time and a disappointment, but it's also relatively painless.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Four_Christmases", "url": "http://www.reelviews.net/reelviews/four-christmases"} +{"d:Title": "TV Guide Online: Four Days", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Four_Days", "url": "http://www.tvguide.com/movies/days/123648/"} +{"d:Title": "Internet Movie Database: Four Dogs Playing Poker (2000)", "d:Description": "Synopsis, cast and crew list, reviews, promotional details, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/F/Four_Dogs_Playing_Poker", "url": "http://imdb.com/title/tt0160289/"} +{"d:Title": "All-Reviews.com: Four Feathers", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Four_Feathers,_The_-_2002", "url": "http://www.all-reviews.com/videos-4/four-feathers.htm"} +{"d:Title": "HARO Online: The Four Feathers", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/F/Four_Feathers,_The_-_2002", "url": "http://www.haro-online.com/movies/four_feathers.html"} +{"d:Title": "Internet Movie Database: Four Feathers, The (2002)", "d:Description": "Plot outline, cast and crew listing, reviews, trivia, promotional and technical details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/F/Four_Feathers,_The_-_2002", "url": "http://imdb.com/title/tt0240510/"} +{"d:Title": "Awesome Stories: The Four Feathers", "d:Description": "Historical background of the film and links to further information.", "topic": "Top/Arts/Movies/Titles/F/Four_Feathers,_The_-_2002", "url": "https://www.awesomestories.com/asset/view/Four-Feathers-The"} +{"d:Title": "EW.com: The Four Feathers", "d:Description": "September 18, 2002 review by Owen Gleiberman.", "topic": "Top/Arts/Movies/Titles/F/Four_Feathers,_The_-_2002", "url": "http://www.ew.com/article/2002/09/18/four-feathers"} +{"d:Title": "All-Reviews.com - Four Rooms", "d:Description": "Reviews and ratings of the film.", "topic": "Top/Arts/Movies/Titles/F/Four_Rooms", "url": "http://www.all-reviews.com/videos-5/four-rooms.htm"} +{"d:Title": "IMDb: Four Rooms", "d:Description": "Synopsis, cast and crew, reviews, quotes, trivia, bloopers, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/F/Four_Rooms", "url": "http://www.imdb.com/title/tt0113101/"} +{"d:Title": "All-Reviews.com - Four Weddings and a Funeral", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Four_Weddings_and_a_Funeral", "url": "http://www.all-reviews.com/videos-3/four-weddings-funeral.htm"} +{"d:Title": "AllReaders.com Review - Four Weddings and a Funeral", "d:Description": "Detailed analysis of the Hugh Grant film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Four_Weddings_and_a_Funeral", "url": "http://allreaders.com/movie-review-summary/four-weddings-and-funeral-3804"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Four_Weddings_and_a_Funeral", "url": "http://www.metacritic.com/movie/four-weddings-and-a-funeral"} +{"d:Title": "IMDb - Four Weddings and a Funeral (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Four_Weddings_and_a_Funeral", "url": "http://www.imdb.com/title/tt0109831/"} +{"d:Title": "Roger Ebert - Four Weddings and a Funeral", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/F/Four_Weddings_and_a_Funeral", "url": "http://www.rogerebert.com/reviews/four-weddings-and-a-funeral-1994"} +{"d:Title": "All-Reviews.com: Frailty", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Frailty", "url": "http://www.all-reviews.com/videos-4/frailty.htm"} +{"d:Title": "HARO Online: Frailty", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Frailty", "url": "http://www.haro-online.com/movies/frailty.html"} +{"d:Title": "Metacritic.com: Frailty", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/F/Frailty", "url": "http://www.metacritic.com/movie/frailty"} +{"d:Title": "Chicago Sun-Times: Frailty", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Frailty", "url": "http://www.rogerebert.com/reviews/frailty-2002"} +{"d:Title": "IMDb: Frailty (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Frailty", "url": "http://www.imdb.com/title/tt0264616/"} +{"d:Title": "TV Guide Online: Frances", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Frances", "url": "http://www.tvguide.com/movies/frances/123716/"} +{"d:Title": "AllMovie: Frankenstein", "d:Description": "Links to synopses and cast and crew details for most of the films in the Universal series.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies", "url": "http://www.allmovie.com/movie/frankenstein-universal-film-series-v256031"} +{"d:Title": "Greatest Films - Bride Of Frankenstein", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Bride_of_Frankenstein,_The", "url": "http://www.filmsite.org/bride.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Bride_of_Frankenstein,_The", "url": "http://www.imdb.com/title/tt0026138/"} +{"d:Title": "Roger Ebert - Bride of Frankenstein", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Bride_of_Frankenstein,_The", "url": "http://www.rogerebert.com/reviews/great-movie-bride-of-frankenstein"} +{"d:Title": "The Greatest Films", "d:Description": "Offers analysis of the film.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1931", "url": "http://www.filmsite.org/fran.html"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "Mary Shelley's story of a man playing God", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1931", "url": "http://www.brightlightsfilm.com/23/universalhorror.html#frankenstein"} +{"d:Title": "The Frankenstein Files", "d:Description": "Clippings about the original release of the movie, including interviews with James Whale and Jack Pierce.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1931", "url": "http://www.tabula-rasa.info/Horror/FrankensteinFiles.html"} +{"d:Title": "All-Reviews.com: Frankenstein (1931)", "d:Description": "Reviews of the movie by Brian Koller and Jerry Saravia.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1931", "url": "http://www.all-reviews.com/videos-4/frankenstein.htm"} +{"d:Title": "IMDb - Frankenstein (1931)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1931", "url": "http://www.imdb.com/title/tt0021884/"} +{"d:Title": "TV Guide Online: Frankenstein", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1931", "url": "http://www.tvguide.com/movies/frankenstein/123728/"} +{"d:Title": "Rotten Tomatoes: Mary Shelley's Frankenstein", "d:Description": "Reviews, movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1994", "url": "http://www.rottentomatoes.com/m/mary_shelleys_frankenstein/"} +{"d:Title": "Yahoo! Movies: Mary Shelley's Frankenstein", "d:Description": "Synopsis, cast and crew, and message board.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1994", "url": "http://movies.yahoo.com/movie/mary-shelley-s-frankenstein/"} +{"d:Title": "IMDb: Frankenstein (1994)", "d:Description": "Synopsis, cast and crew,and user comments.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Frankenstein_-_1994", "url": "http://www.imdb.com/title/tt0109836/"} +{"d:Title": "IMDb: Rock 'n' Roll Frankenstein", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Rock_'n'_Roll_Frankenstein", "url": "http://www.imdb.com/title/tt0183717/"} +{"d:Title": "All-Reviews.com: Young Frankenstein", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Young_Frankenstein", "url": "http://www.all-reviews.com/videos-4/young-frankenstein.htm"} +{"d:Title": "Internet Movie Database: Young Frankenstein", "d:Description": "Cast and crew, synopsis, reviews, user comments and ratings, quotes, trivia, bloopers, soundtrack, related films, production information, and links.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Young_Frankenstein", "url": "http://imdb.com/title/tt0072431/"} +{"d:Title": "TV Guide Online: Young Frankenstein", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Young_Frankenstein", "url": "http://www.tvguide.com/movies/young-frankenstein/110142/"} +{"d:Title": "AllReaders.com Review: Young Frankenstein", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/F/Frankenstein_Movies/Young_Frankenstein", "url": "http://allreaders.com/movie-review-summary/young-frankenstein-4066"} +{"d:Title": "IMDb: Frankie and Johnny (1966)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/F/Frankie_and_Johnny_-_1966", "url": "http://www.imdb.com/title/tt0060429/"} +{"d:Title": "TV Guide Online: Frankie And Johnny", "d:Description": "Movie review, cast and credits.", "topic": "Top/Arts/Movies/Titles/F/Frankie_and_Johnny_-_1966", "url": "http://www.tvguide.com/movies/frankie-johnny/123741/"} +{"d:Title": "IMDb.com - Frankie and Johnny (1991)", "d:Description": "Includes cast, credits and comments.", "topic": "Top/Arts/Movies/Titles/F/Frankie_and_Johnny_-_1991", "url": "http://www.imdb.com/title/tt0101912/"} +{"d:Title": "HARO Online: Frankie and Johnny Are Married", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Frankie_and_Johnny_Are_Married", "url": "http://www.haro-online.com/movies/frankie_and_johnny.html"} +{"d:Title": "IMDb: Frankie and Johnny Are Married (2004)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Frankie_and_Johnny_Are_Married", "url": "http://www.imdb.com/title/tt0400446/"} +{"d:Title": "IMDb: Frank McKlusky, C.I. (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Frank_McKlusky,_C.I.", "url": "http://www.imdb.com/title/tt0281865/"} +{"d:Title": "IMDb - Freaks (1932)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Freaks", "url": "http://www.imdb.com/title/tt0022913/"} +{"d:Title": "TV Guide Online: Freaks", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Freaks", "url": "http://www.tvguide.com/movies/freaks/123748/"} +{"d:Title": "All-Reviews.com: Freaky Friday", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/F/Freaky_Friday_-_2003", "url": "http://www.all-reviews.com/videos-5/freaky-friday.htm"} +{"d:Title": "Freaky Friday filming locations", "d:Description": "A list of actual filming locations. Includes images, addresses and map links.", "topic": "Top/Arts/Movies/Titles/F/Freaky_Friday_-_2003", "url": "http://www.seeing-stars.com/Locations/FreakyFriday.shtml"} +{"d:Title": "Mooviees!: Freaky Friday", "d:Description": "Plot synopsis, cast and crew profiles, images, reviews and related films.", "topic": "Top/Arts/Movies/Titles/F/Freaky_Friday_-_2003", "url": "http://www.mooviees.com/1987-freaky-friday/movie"} +{"d:Title": "IMDb: Freaky Friday (2003)", "d:Description": "Cast list, filming locations, and production status.", "topic": "Top/Arts/Movies/Titles/F/Freaky_Friday_-_2003", "url": "http://www.imdb.com/title/tt0322330/"} +{"d:Title": "Roger Ebert: Freaky Friday", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/F/Freaky_Friday_-_2003", "url": "http://www.rogerebert.com/reviews/freaky-friday-2003"} +{"d:Title": "Freaky Friday", "d:Description": "Official site. Includes a plot synopsis, crew biographies and trailer. Requires Macromedia Flash.", "topic": "Top/Arts/Movies/Titles/F/Freaky_Friday_-_2003", "url": "http://movies.disney.com/freaky-friday-2003"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Freddy_Got_Fingered", "url": "http://www.all-reviews.com/videos-3/freddy-got-fingered.htm"} +{"d:Title": "IMDb: Freddy Got Fingered (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Freddy_Got_Fingered", "url": "http://www.imdb.com/title/tt0240515/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on others sites.", "topic": "Top/Arts/Movies/Titles/F/Freddy_Got_Fingered", "url": "http://www.metacritic.com/movie/freddy-got-fingered"} +{"d:Title": "IMDb: Freedom Writers (2007)", "d:Description": "Includes cast, trailer, photographs, trivia, and quotes.", "topic": "Top/Arts/Movies/Titles/F/Freedom_Writers", "url": "http://www.imdb.com/title/tt0463998/"} +{"d:Title": "The My Hero Project: Erin Gruwell", "d:Description": "Article about the teacher featured in the movie and founder of the Freedom Writers Foundation.", "topic": "Top/Arts/Movies/Titles/F/Freedom_Writers", "url": "http://myhero.com/myhero/hero.asp?hero=e_gruwell"} +{"d:Title": "IMDb - Freeway (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Freeway_Series/Freeway", "url": "http://www.imdb.com/title/tt0116361/"} +{"d:Title": "TV Guide Online: Freeway", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Freeway_Series/Freeway", "url": "http://www.tvguide.com/movies/freeway/131840/"} +{"d:Title": "Internet Movie Database: Freeway II: Confessions of a Trickbaby (1999)", "d:Description": "Cast and crew, synopsis, promotional information, reviews, viewer comments and ratings, related films, and links.", "topic": "Top/Arts/Movies/Titles/F/Freeway_Series/Freeway_II_-_Confessions_of_a_Trickbaby", "url": "http://imdb.com/title/tt0175536/"} +{"d:Title": "IMDb - Free Money (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Free_Money", "url": "http://www.imdb.com/title/tt0120678/"} +{"d:Title": "All-Reviews.com - Free Willy 2: The Adventure Home", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Free_Willy_2", "url": "http://www.all-reviews.com/videos-3/free-willy2.htm"} +{"d:Title": "IMDb: Free Willy 2: The Adventure Home (1995)", "d:Description": "Includes cast and crew, plot summary, external reviews, user comments, trailer and film details.", "topic": "Top/Arts/Movies/Titles/F/Free_Willy_2", "url": "http://www.imdb.com/title/tt0113114/"} +{"d:Title": "Greatest Films - The French Connection", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/F/French_Connection,_The", "url": "http://www.filmsite.org/fren.html"} +{"d:Title": "All-Reviews.com: The French Connection", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/F/French_Connection,_The", "url": "http://all-reviews.com/videos-4/french-connection.htm"} +{"d:Title": "Rotten Tomatoes: The French Connection", "d:Description": "Links to reviews, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/F/French_Connection,_The", "url": "http://www.rottentomatoes.com/m/french_connection/"} +{"d:Title": "IMDb - The French Connection", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/French_Connection,_The", "url": "http://www.imdb.com/title/tt0067116/"} +{"d:Title": "TV Guide Online: French Connection, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/French_Connection,_The", "url": "http://www.tvguide.com/movies/french-connection/123769/"} +{"d:Title": "All-Reviews.com - French Kiss", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/F/French_Kiss", "url": "http://www.all-reviews.com/videos-2/french-kiss.htm"} +{"d:Title": "IMDb: French Kiss (1995)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/French_Kiss", "url": "http://www.imdb.com/title/tt0113117/"} +{"d:Title": "dOc DVD Review: The French Lieutenant's Woman", "d:Description": "Mark Zimmer's review: \"An interesting and thought-provoking examination of romance in life imitating art, given a decent transfer. Worth a look, but don't be put off by the image quality of the opening sequence.\"", "topic": "Top/Arts/Movies/Titles/F/French_Lieutenant's_Woman,_The", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1584"} +{"d:Title": "IMDb: French Lieutenant's Woman, The", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/F/French_Lieutenant's_Woman,_The", "url": "http://www.imdb.com/title/tt0082416/"} +{"d:Title": "HARO Online - Frequency", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/F/Frequency", "url": "http://www.haro-online.com/movies/frequency.html"} +{"d:Title": "PopMatters - Frequency", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/F/Frequency", "url": "http://popmatters.com/film/reviews/f/frequency.html"} +{"d:Title": "All-Reviews.com - Frequency (2000)", "d:Description": "A collection of reviews and other information about the film.", "topic": "Top/Arts/Movies/Titles/F/Frequency", "url": "http://www.all-reviews.com/videos/frequency.htm"} +{"d:Title": "Rotten Tomatoes - Frequency (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/F/Frequency", "url": "http://www.rottentomatoes.com/m/frequency/"} +{"d:Title": "Box Office Mojo - Frequency", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/F/Frequency", "url": "http://www.boxofficemojo.com/movies/?id=frequency.htm"} +{"d:Title": "IMDb - Frequency (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Frequency", "url": "http://www.imdb.com/title/tt0186151/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Frequency", "url": "http://www.metacritic.com/movie/frequency"} +{"d:Title": "IMDb: Home of Phobia (2004)", "d:Description": "Photo gallery, plot summary, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/F/Freshman_Orientation", "url": "http://www.imdb.com/title/tt0380420/"} +{"d:Title": "Rotten Tomatoes: Freshman Orientation", "d:Description": "Review links, synopsis, critical consensus, credits, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Freshman_Orientation", "url": "http://www.rottentomatoes.com/m/freshman_orientation/"} +{"d:Title": "MovieWeb: Freshman Orientation (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/F/Freshman_Orientation", "url": "http://www.movieweb.com/movie/freshman-orientation"} +{"d:Title": "ReelViews: Freshman Orientation", "d:Description": "Review, by James Berardinelli: \"Feels a bit like a missed opportunity. It's too bad the motion picture as a whole isn't as quirky and clever as its double-edged title.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Freshman_Orientation", "url": "http://www.reelviews.net/reelviews/freshman-orientation"} +{"d:Title": "HARO Online: Frida", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://www.haro-online.com/movies/frida.html"} +{"d:Title": "All-Reviews.com: Frida", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://www.all-reviews.com/videos-5/frida.htm"} +{"d:Title": "Filmtracks: Frida", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://www.filmtracks.com/titles/frida.html"} +{"d:Title": "About.com - Frida", "d:Description": "DVD information and review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://homevideo.about.com/library/weekly/aafpr060303.htm"} +{"d:Title": "Reel Faces - Frida", "d:Description": "Explores the real people featured in the film's plot. Includes photographs and related links.", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://www.chasingthefrog.com/reelfaces/frida.php"} +{"d:Title": "Internet Movie Database: Frida (2002)", "d:Description": "Synopsis, cast and crew list, reviews, trivia, viewer comments, promotional details, multimedia, technical specifications, and links.", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://imdb.com/title/tt0120679/"} +{"d:Title": "Rotten Tomatoes: Frida", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://www.rottentomatoes.com/m/frida/"} +{"d:Title": "Smithsonian Magazine: Frida Kahlo", "d:Description": "Article about the film and its inspiration by Phyllis Tuchman.", "topic": "Top/Arts/Movies/Titles/F/Frida", "url": "http://www.smithsonianmag.com/arts-culture/frida-kahlo-70745811/"} +{"d:Title": "HARO Online: Friday Night", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Friday_Night_-_2002", "url": "http://www.haro-online.com/movies/friday_night.html"} +{"d:Title": "Internet Movie Database: Vendredi soir", "d:Description": "Synopsis, cast and crew, user ratings and comments, reviews, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/F/Friday_Night_-_2002", "url": "http://imdb.com/title/tt0295743/"} +{"d:Title": "The Internet Movie Database (IMDb): Friday Night Lights", "d:Description": "Cast and crew listing, technical details, trivia, pictures, reviews and user comments.", "topic": "Top/Arts/Movies/Titles/F/Friday_Night_Lights", "url": "http://www.imdb.com/title/tt0390022/"} +{"d:Title": "Today: \"Friday Night Lights\" finally hits big screen", "d:Description": "Associated Press story about the history behind attempts to adapt H.G. Bissinger's book for the big screen.", "topic": "Top/Arts/Movies/Titles/F/Friday_Night_Lights", "url": "http://www.today.com/id/6185563"} +{"d:Title": "Friday Night Lights", "d:Description": "Official site with synopsis, cast and crew information, pictures, production notes, downloads, video clips and quizzes. [Requires Flash MX.]", "topic": "Top/Arts/Movies/Titles/F/Friday_Night_Lights", "url": "https://www.uphe.com/movies/friday-night-lights"} +{"d:Title": "HARO Online: Friday After Next", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series", "url": "http://www.haro-online.com/movies/friday_after_next.html"} +{"d:Title": "Metacritic: Friday After Next", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series", "url": "http://www.metacritic.com/movie/friday-after-next"} +{"d:Title": "Hollywood Bitchslap: Friday", "d:Description": "Review with viewer ratings and comments.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Friday", "url": "http://www.hollywoodbitchslap.com/review.php?movie=2167"} +{"d:Title": "Kids-in-Mind.com: Friday", "d:Description": "Ratings of interest to parents.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Friday", "url": "http://www.kids-in-mind.com/F/friday_1995__3510.htm"} +{"d:Title": "Movie Ram-blings: Friday", "d:Description": "Ram Samudrala reviews the movie.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Friday", "url": "http://www.ram.org/ramblings/movies/friday.html"} +{"d:Title": "Friday", "d:Description": "Richard Harrington reviews the movie for the Washington Post.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Friday", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/fridayrharrington_c012b2.htm"} +{"d:Title": "Internet Movie Database: Friday (1995)", "d:Description": "Synopsis, cast and crew, viewer comments and ratings, trivia, bloopers, related films, promotional and release information, technical details, and links.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Friday", "url": "http://imdb.com/title/tt0113118/"} +{"d:Title": "Rotten Tomatoes: Friday", "d:Description": "Film information, quotes from and links to reviews of the film, and forum.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Friday", "url": "http://www.rottentomatoes.com/m/friday/"} +{"d:Title": "Low-Key `Friday' Goes Up in Smoke", "d:Description": "Mick LaSalle reviews the film [San Francisco Chronicle].", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Friday", "url": "http://www.sfgate.com/movies/article/Low-Key-Friday-Goes-Up-in-Smoke-3035157.php"} +{"d:Title": "PopMatters: Next Friday", "d:Description": "Review: \"Next Friday wants to mess with you.\"", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Next_Friday", "url": "http://popmatters.com/film/reviews/n/next-friday.html"} +{"d:Title": "Haro Online: Next Friday", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Next_Friday", "url": "http://www.haro-online.com/movies/next_friday.html"} +{"d:Title": "Rotten Tomatoes: Next Friday", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Next_Friday", "url": "http://www.rottentomatoes.com/m/next_friday/"} +{"d:Title": "Box Office Mojo: Next Friday", "d:Description": "Box office data for the film. Includes a comparison with other urban pictures.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Next_Friday", "url": "http://www.boxofficemojo.com/movies/?id=nextfriday.htm"} +{"d:Title": "IMDb: Next Friday", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Next_Friday", "url": "http://www.imdb.com/title/tt0195945/"} +{"d:Title": "Metacritic.com: Next Friday", "d:Description": "A cross-section of reviews about the movie.", "topic": "Top/Arts/Movies/Titles/F/Friday_Series/Next_Friday", "url": "http://www.metacritic.com/movie/next-friday"} +{"d:Title": "Friday the 13th: The Website", "d:Description": "Includes message boards, behind the scenes information, pictures, sounds, bloopers, timeline, artwork, and links.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series", "url": "http://www.fridaythe13thfilms.com/"} +{"d:Title": "JasonVoorhees.com", "d:Description": "Reviews of all the films in the series, with links to horror sites.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series", "url": "http://www.jasonvoorhees.com/"} +{"d:Title": "The Lair Of Horror", "d:Description": "Fan site with synopses, credits, multimedia, kill lists, bloopers, screenplays, trivia, alternate scripts, information about novelizations, and related links.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series", "url": "http://lairofhorror.tripod.com/voorheeslair/index2.html"} +{"d:Title": "Camp Blood: The Home of Jason Voorhees", "d:Description": "Fansite with synopses, reviews, timelines, interviews, deleted scenes, production extras, multimedia, trivia, bloopers, photographs, message board, chat room, and related links.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series", "url": "http://www.campblood.net/"} +{"d:Title": "Rotten Tomatoes: Friday the 13th Part VII - The New Blood", "d:Description": "Links to revies, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series/Friday_the_13th_Part_VII_-_The_New_Blood", "url": "http://www.rottentomatoes.com/m/friday_the_13th_part_7_the_new_blood/"} +{"d:Title": "IMDb: Friday the 13th Part VII - The New Blood (1988)", "d:Description": "Plot outline, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series/Friday_the_13th_Part_VII_-_The_New_Blood", "url": "http://www.imdb.com/title/tt0095179/"} +{"d:Title": "All-Reviews.com: Jason X", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series/Jason_X", "url": "http://www.all-reviews.com/videos-4/jason-x.htm"} +{"d:Title": "HARO Online: Jason X", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series/Jason_X", "url": "http://www.haro-online.com/movies/jason_x.html"} +{"d:Title": "Rotten Tomatoes: Jason X", "d:Description": "Links to movie reviews, synopsis, cast information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series/Jason_X", "url": "http://www.rottentomatoes.com/m/jason_x/"} +{"d:Title": "IMDb: Jason X (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series/Jason_X", "url": "http://www.imdb.com/title/tt0211443/"} +{"d:Title": "Metacritic.com: Jason X", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/F/Friday_the_13th_Series/Jason_X", "url": "http://www.metacritic.com/movie/jason-x"} +{"d:Title": "IMDb: Fried Green Tomatoes", "d:Description": "Includes plot overview, cast, message boards and trailer.", "topic": "Top/Arts/Movies/Titles/F/Fried_Green_Tomatoes", "url": "http://www.imdb.com/title/tt0101921/"} +{"d:Title": "Wikipedia: Fried Green Tomatoes", "d:Description": "Encyclopedia article about the 1991 drama film based on the novel \"Fried Green Tomatoes at the Whistle Stop Cafe\" by Fannie Flagg.", "topic": "Top/Arts/Movies/Titles/F/Fried_Green_Tomatoes", "url": "http://en.wikipedia.org/wiki/Fried_Green_Tomatoes_(film)"} +{"d:Title": "Rotten Tomatoes: Fried Green Tomatoes", "d:Description": "Synopsis, reviews, movie information, cast and crew.", "topic": "Top/Arts/Movies/Titles/F/Fried_Green_Tomatoes", "url": "http://www.rottentomatoes.com/m/fried_green_tomatoes/"} +{"d:Title": "Friendly Persuasion (1956)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Friendly_Persuasion", "url": "http://www.imdb.com/title/tt0049233/"} +{"d:Title": "DVD Savant Review - Friendly Persuasion", "d:Description": "Synopsis and review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/F/Friendly_Persuasion", "url": "http://www.dvdtalk.com/dvdsavant/s169persua.html"} +{"d:Title": "Internet Movie Database: Friends 'Til the End", "d:Description": "Synopsis, cast and crew, user comments and ratings, awards, production details, and trivia.", "topic": "Top/Arts/Movies/Titles/F/Friends_'Til_the_End", "url": "http://www.imdb.com/title/tt0119158/"} +{"d:Title": "IMDB: Frighteners, The (1996)", "d:Description": "Cast and crew for the film, awards and nominations, user ratings, plot and quotes, trivia, goods, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Frighteners,_The", "url": "http://www.imdb.com/title/tt0116365/"} +{"d:Title": "TV Guide Online: Frighteners, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Frighteners,_The", "url": "http://www.tvguide.com/movies/frighteners/131516/"} +{"d:Title": "Fright Night", "d:Description": "Pictures, character descriptions, fan fiction and links.", "topic": "Top/Arts/Movies/Titles/F/Fright_Night", "url": "http://www.angelfire.com/film/frightnight/"} +{"d:Title": "Rotten Tomatoes: Fright Night", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Fright_Night", "url": "http://www.rottentomatoes.com/m/1007910-fright_night/"} +{"d:Title": "IMDb: Fright Night (1985)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Fright_Night", "url": "http://www.imdb.com/title/tt0089175/"} +{"d:Title": "Chicago Sun-Times: Fright Night", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/F/Fright_Night", "url": "http://www.rogerebert.com/reviews/fright-night-1985"} +{"d:Title": "Frogs (1972)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Frogs", "url": "http://www.imdb.com/title/tt0068615/"} +{"d:Title": "TV Guide Online: Frogs", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Frogs", "url": "http://www.tvguide.com/movies/frogs/123938/"} +{"d:Title": "IMDb - Frogs for Snakes (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Frogs_for_Snakes", "url": "http://www.imdb.com/title/tt0120680/"} +{"d:Title": "Roger Ebert - Frogs for Snakes", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/F/Frogs_for_Snakes", "url": "http://www.rogerebert.com/reviews/frogs-for-snakes-1999"} +{"d:Title": "IMDb - Da Corleone a Brooklyn (1978)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/From_Corleone_to_Brooklyn", "url": "http://www.imdb.com/title/tt0123025/"} +{"d:Title": "All Reviews: From Dusk Till Dawn", "d:Description": "Positive review of the film. Includes links to compiled external reviews.", "topic": "Top/Arts/Movies/Titles/F/From_Dusk_Till_Dawn_Series/From_Dusk_Till_Dawn_1", "url": "http://www.all-reviews.com/videos-2/from-dusk-till-dawn.htm"} +{"d:Title": "Official Page", "d:Description": "From Dimension Films, this site deals with the movie itself and internet censorship in general.", "topic": "Top/Arts/Movies/Titles/F/From_Dusk_Till_Dawn_Series/From_Dusk_Till_Dawn_1", "url": "http://archives.obs-us.com/obs/english/films/mx/dusk/top.htm"} +{"d:Title": "IMDb: From Dusk Till Dawn.", "d:Description": "Detailed cast and crew credits. Includes reviews submitted from users.", "topic": "Top/Arts/Movies/Titles/F/From_Dusk_Till_Dawn_Series/From_Dusk_Till_Dawn_1", "url": "http://www.imdb.com/title/tt0116367/"} +{"d:Title": "eOpinions: From Dusk Till Dawn", "d:Description": "Compilation of reviews of the film.", "topic": "Top/Arts/Movies/Titles/F/From_Dusk_Till_Dawn_Series/From_Dusk_Till_Dawn_1", "url": "http://www.epinions.com/prices/mvie_mu-1069340"} +{"d:Title": "Mark Stewart", "d:Description": "Frequently asked questions, exclusive interviews, a guide to scenes cut from the film, sound clips and screen captures.", "topic": "Top/Arts/Movies/Titles/F/From_Dusk_Till_Dawn_Series/From_Dusk_Till_Dawn_1", "url": "http://home.earthlink.net/~markstewart/DuskPage.index.html"} +{"d:Title": "IMDb - From Dusk Till Dawn 3", "d:Description": "Credits", "topic": "Top/Arts/Movies/Titles/F/From_Dusk_Till_Dawn_Series/From_Dusk_Till_Dawn_3", "url": "http://www.imdb.com/title/tt0120695/"} +{"d:Title": "Plume-Noire: From Hell", "d:Description": "Review that fins the film doesn't work as a thriller and is over long.", "topic": "Top/Arts/Movies/Titles/F/From_Hell", "url": "http://www.plume-noire.com/movies/reviews/fromhell.html"} +{"d:Title": "All-Reviews.com: From Hell", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/F/From_Hell", "url": "http://www.all-reviews.com/videos-3/from-hell.htm"} +{"d:Title": "HARO Online: From Hell", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/From_Hell", "url": "http://www.haro-online.com/movies/from_hell.html"} +{"d:Title": "Rotten Tomatoes: From Hell", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/From_Hell", "url": "http://www.rottentomatoes.com/m/from_hell/"} +{"d:Title": "IMDb: From Hell (2001)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Movies/Titles/F/From_Hell", "url": "http://www.imdb.com/title/tt0120681/"} +{"d:Title": "Metacritic.com: From Hell", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/F/From_Hell", "url": "http://www.metacritic.com/movie/from-hell"} +{"d:Title": "Greatest Films - From Here To Eternity", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/F/From_Here_to_Eternity", "url": "http://www.filmsite.org/from.html"} +{"d:Title": "All-Reviews.com: From Here to Eternity", "d:Description": "Reviews of the movie by three contributors.", "topic": "Top/Arts/Movies/Titles/F/From_Here_to_Eternity", "url": "http://www.all-reviews.com/videos-4/from-here-to-eternity.htm"} +{"d:Title": "IMDb - From Here to Eternity", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/From_Here_to_Eternity", "url": "http://www.imdb.com/title/tt0045793/"} +{"d:Title": "IMDb - From Mao to Mozart: Isaac Stern in China", "d:Description": "Credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/From_Mao_to_Mozart", "url": "http://www.imdb.com/title/tt0080762/"} +{"d:Title": "Fucking \u00c5m\u00e5l (1998)", "d:Description": "IMDB details for Fucking \u00c5m\u00e5l (1998)", "topic": "Top/Arts/Movies/Titles/F/Fucking_\u00c5m\u00e5l", "url": "http://imdb.com/title/tt0150662/combined"} +{"d:Title": "Metacritic.com: Show Me Love", "d:Description": "Quotes from and links to reviews of the movie, with user comments.", "topic": "Top/Arts/Movies/Titles/F/Fucking_\u00c5m\u00e5l", "url": "http://www.metacritic.com/movie/show-me-love"} +{"d:Title": "HARO Online", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/F/Fucking_\u00c5m\u00e5l/Reviews", "url": "http://www.haro-online.com/movies/show_me_love.html"} +{"d:Title": "PopMatters", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/Fucking_\u00c5m\u00e5l/Reviews", "url": "http://popmatters.com/film/reviews/s/show-me-love.html"} +{"d:Title": "The Fugitive", "d:Description": "A page devoted entirely to The Fugitive, including pictures and sounds from the movie.", "topic": "Top/Arts/Movies/Titles/F/Fugitive,_The", "url": "http://www.angelfire.com/hi2/Kathy2/fugitive.html"} +{"d:Title": "IMDb: Fugitive, The (1993)", "d:Description": "Contains plot outline, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/F/Fugitive,_The", "url": "http://www.imdb.com/title/tt0106977/"} +{"d:Title": "AllReaders.com Review - The Fugitive", "d:Description": "Detailed analysis of the Harrison Ford and Tommy Lee Jones film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Fugitive,_The", "url": "http://allreaders.com/movie-review-summary/the-fugitive-4790"} +{"d:Title": "IMDb - Fugitive Road (1934)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/F/Fugitive_Road", "url": "http://www.imdb.com/title/tt0025152/"} +{"d:Title": "Cinebooks Database - Fugitive Road", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/F/Fugitive_Road", "url": "http://www.tvguide.com/movies/fugitive-road/124013/"} +{"d:Title": "HARO Online: Full Frontal", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/F/Full_Frontal", "url": "http://www.haro-online.com/movies/full_frontal.html"} +{"d:Title": "All-Reviews.com: Full Frontal", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/F/Full_Frontal", "url": "http://www.all-reviews.com/videos-4/full-frontal.htm"} +{"d:Title": "Rotten Tomatoes: Full Frontal", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Full_Frontal", "url": "http://www.rottentomatoes.com/m/full_frontal/"} +{"d:Title": "Coldbacon: Full Metal Jacket", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/F/Full_Metal_Jacket", "url": "http://www.coldbacon.com/movies/fullmetaljacket.html"} +{"d:Title": "IMDB : Full Metal Jacket (1987)", "d:Description": "Full cast and crew for the film, company credits, awards and nominations, trivia, quotes, goofs, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Full_Metal_Jacket", "url": "http://www.imdb.com/title/tt0093058/combined"} +{"d:Title": "AllReaders.com Review - Full Metal Jacket", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Full_Metal_Jacket", "url": "http://allreaders.com/movie-review-summary/full-metal-jacket-3763"} +{"d:Title": "Metacritic: Full Metal Jacket", "d:Description": "Quotes from and links to reviews, home video information, user comments, and an overall rating.", "topic": "Top/Arts/Movies/Titles/F/Full_Metal_Jacket", "url": "http://www.metacritic.com/movie/full-metal-jacket"} +{"d:Title": "TV Guide Online: Full Metal Jacket", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/F/Full_Metal_Jacket", "url": "http://www.tvguide.com/movies/metal-jacket/124019/"} +{"d:Title": "All-Reviews.com- The Full Monty", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/F/Full_Monty,_The", "url": "http://www.all-reviews.com/videos/full-monty.htm"} +{"d:Title": "IMDB : Full Monty, The (1997)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/F/Full_Monty,_The", "url": "http://www.imdb.com/title/tt0119164/"} +{"d:Title": "AllReaders.com Review - The Full Monty", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Full_Monty,_The", "url": "http://allreaders.com/movie-review-summary/the-full-monty-4068"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/F/Full_Monty,_The", "url": "http://www.metacritic.com/movie/the-full-monty"} +{"d:Title": "Roger Ebert - The Full Monty", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/F/Full_Monty,_The", "url": "http://www.rogerebert.com/reviews/the-full-monty-1997"} +{"d:Title": "TV Guide Online: Full Monty, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/F/Full_Monty,_The", "url": "http://www.tvguide.com/movies/monty/132311/"} +{"d:Title": "Funkytown", "d:Description": "The official Funkytown website from the original creators of the smash hit song.", "topic": "Top/Arts/Movies/Titles/F/Funkytown", "url": "http://www.funkytown.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/F/Funny_Face", "url": "http://crazy4cinema.com/Review/FilmsF/f_funny_face.html"} +{"d:Title": "IMDb - Funny Face (1957)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Funny_Face", "url": "http://www.imdb.com/title/tt0050419/"} +{"d:Title": "AllReaders.com Review - Funny Face", "d:Description": "Detailed analysis of the film starring Audrey Hepburn and Fred Astaire, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/F/Funny_Face", "url": "http://allreaders.com/movie-review-summary/funny-face-1957-4384"} +{"d:Title": "Rotten Tomatoes: Funny Games", "d:Description": "Synopsis, cast list, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/F/Funny_Games", "url": "http://www.rottentomatoes.com/m/1175174-funny_games/"} +{"d:Title": "Moviefone", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/F/Funny_Games", "url": "http://www.moviefone.com/movie/funny-games/27114/main"} +{"d:Title": "IGN Movies: Funny Games", "d:Description": "Photos, videos, articles, message board, and a review (rating: 5 out of 5).", "topic": "Top/Arts/Movies/Titles/F/Funny_Games", "url": "http://www.ign.com/movies/funny-games/theater-960210"} +{"d:Title": "MovieWeb: Funny Games (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/F/Funny_Games", "url": "http://www.movieweb.com/movie/funny-games"} +{"d:Title": "The Boston Globe: Funny Games", "d:Description": "Review, by Ty Burr: \"If this is daring in theory, it's a failure in practice. Exactingly well-made, the movie is grueling and unpleasant in the extreme - that's the point - but it's also working from a specious premise, that film-school Brechtian devices can bring on mass enlightenment.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/F/Funny_Games", "url": "http://archive.boston.com/ae/movies/articles/2008/03/14/funny_games_plays_violent_game_of_truth_or_dare/?camp=pm"} +{"d:Title": "Rotten Tomatoes: Funny Girl", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/F/Funny_Girl", "url": "http://www.rottentomatoes.com/m/funny_girl/"} +{"d:Title": "IMDb: Funny Girl (1968)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/F/Funny_Girl", "url": "http://www.imdb.com/title/tt0062994/"} +{"d:Title": "IMDb - A Funny Thing Happened on the Way to the Forum (1966)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/F/Funny_Thing_Happened_on_the_Way_to_the_Forum,_A", "url": "http://www.imdb.com/title/tt0060438/"} +{"d:Title": "IMDb - Fun on the Steeple-Chase (1897)", "d:Description": "Synopsis, production information.", "topic": "Top/Arts/Movies/Titles/F/Fun_on_the_Steeple-Chase", "url": "http://www.imdb.com/title/tt0217504/"} +{"d:Title": "IMDb: G.I. Jane (1997)", "d:Description": "Includes cast list, synopsis, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/G/G.I._Jane", "url": "http://www.imdb.com/title/tt0119173/"} +{"d:Title": "TV Guide Online: G.I. Jane", "d:Description": "Three star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/G.I._Jane", "url": "http://www.tvguide.com/movies/gi-jane/132229/"} +{"d:Title": "IMDb: G2 Mortal Conquest (1998)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/G/G2_Mortal_Conquest", "url": "http://www.imdb.com/title/tt0176741/"} +{"d:Title": "HARO Online - Gabriela", "d:Description": "Mongoose reviews \"Gabriela.\" Rates it 'Pretty Bad'.", "topic": "Top/Arts/Movies/Titles/G/Gabriela", "url": "http://www.haro-online.com/movies/gabriela.html"} +{"d:Title": "IMDb: Gabriela (2001)", "d:Description": "Includes cast and crew, external reviews, user comments and film details.", "topic": "Top/Arts/Movies/Titles/G/Gabriela", "url": "http://www.imdb.com/title/tt0189541/"} +{"d:Title": "Gadjo Dilo", "d:Description": "Short review of the film with cast and crew information.", "topic": "Top/Arts/Movies/Titles/G/Gadjo_Dilo", "url": "http://www.imdb.com/title/tt0122082/"} +{"d:Title": "Big Movie Zone: Galapagos", "d:Description": "Offers video clips, a review, and plot summary.", "topic": "Top/Arts/Movies/Titles/G/Galapagos", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=21"} +{"d:Title": "Imdb.com", "d:Description": "Review of the IMAX film with cast/crew information, user comments and user ratings.", "topic": "Top/Arts/Movies/Titles/G/Galapagos", "url": "http://www.imdb.com/title/tt0163639/"} +{"d:Title": "Galapagos: An IMAX Experience", "d:Description": "The official site for the IMAX 3D film Galapagos. Get information about the film, behind the scenes and where to see it.", "topic": "Top/Arts/Movies/Titles/G/Galapagos", "url": "http://galapagos.imax.com/"} +{"d:Title": "Flash Film Works - Galaxis (1995)", "d:Description": "Features behind the scenes special effects pictures and information.", "topic": "Top/Arts/Movies/Titles/G/Galaxis_-_1995", "url": "http://www.flashfilmworks.com/MovieGuide/Galaxis/MG_Galaxis.htm"} +{"d:Title": "IMDb - Galaxis (1995)", "d:Description": "Features cast and crew details along with links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Galaxis_-_1995", "url": "http://www.imdb.com/title/tt0113140/"} +{"d:Title": "PopMatters", "d:Description": "Positive review of the film.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.popmatters.com/film/reviews/g/galaxy-quest.html"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie. Gives an 'ok' rating.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.haro-online.com/movies/galaxy_quest.html"} +{"d:Title": "All-Reviews.com", "d:Description": "3 out of 4 stars given by multiple reviewers.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.all-reviews.com/videos/galaxy-quest.htm"} +{"d:Title": "The Questarian", "d:Description": "Home of the Galaxy Quest WebRing.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.questarian.com/"} +{"d:Title": "Filmtracks: Galaxy Quest", "d:Description": "Soundtrack review with audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.filmtracks.com/titles/galaxy_quest.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.rottentomatoes.com/m/galaxy_quest/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for Galaxy Quest since day one. Includes a comparison with other Dreamworks family movies.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.boxofficemojo.com/movies/?id=galaxyquest.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.metacritic.com/movie/galaxy-quest"} +{"d:Title": "IMDb", "d:Description": "7.5/10 rating by multiple reviewers. Cast/credits, recommendations, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.imdb.com/title/tt0177789/"} +{"d:Title": "The Flick Filosopher - Galaxy Quest", "d:Description": "Humorous and positive review.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.flickfilosopher.com/1999/12/galaxy-quest-review.html"} +{"d:Title": "Austin Chronicle Movie Guide: Galaxy Quest", "d:Description": "3 star review of this parody of Star Trek and its loyal fans with links to movie times and a filmography of the actors and director.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.austinchronicle.com/calendar/film/1999-12-31/galaxy-quest/"} +{"d:Title": "TV Guide.com: Galaxy Quest", "d:Description": "3 1/2 star review with cast/crew credits, synopsis, and other background information.", "topic": "Top/Arts/Movies/Titles/G/Galaxy_Quest", "url": "http://www.tvguide.com/movies/galaxy-quest/133996/"} +{"d:Title": "Gallipoli", "d:Description": "Cast list, photos, quotes, and DVD details.", "topic": "Top/Arts/Movies/Titles/G/Gallipoli", "url": "http://www10.pair.com/crazydv/weir/gallipoli/"} +{"d:Title": "IMDb.com - Gallipoli", "d:Description": "Review of the film, with cast/crew information, user comments and user ratings.", "topic": "Top/Arts/Movies/Titles/G/Gallipoli", "url": "http://www.imdb.com/title/tt0082432/"} +{"d:Title": "Allreaders Review - Gallipoli", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Gallipoli", "url": "http://allreaders.com/movie-review-summary/gallipoli-4064"} +{"d:Title": "IMDb: Game", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles and links.", "topic": "Top/Arts/Movies/Titles/G/Game", "url": "http://www.imdb.com/title/tt1034032/"} +{"d:Title": "Game Test Screening Review", "d:Description": "If you want action, Game has it. It had some of the most entertaining and unique actions scenes I\u2019ve seen in a while. By Michael Ransom.", "topic": "Top/Arts/Movies/Titles/G/Game", "url": "http://www.collider.com/entertainment/reviews/article.asp?aid=9603&tcid=1"} +{"d:Title": "First Showing: Crank + The Condemned + Gerard Butler = 'Game\u2019", "d:Description": "Creators Mark Neveldine and Brian Taylor are joining with 300\u2032s Gerard Butler for a new film titled Game about a futuristic world where humans control other humans in mass-scale, multiplayer online game called \u201cSlayers\".", "topic": "Top/Arts/Movies/Titles/G/Game", "url": "http://www.firstshowing.net/2007/crank-the-condemned-gerard-butler-game/"} +{"d:Title": "MovieWeb: Gerard Butler on the Set of His Latest Action Extravaganza", "d:Description": "The two directors responsible for Crank have cooked up an all new movie starring 300's Gerard Butler, and it looks like it will be as unique and fun as their first cult outing.", "topic": "Top/Arts/Movies/Titles/G/Game", "url": "http://www.movieweb.com/set-visit-we-visit-gerard-butler-on-the-set-of-his-latest-action-extravaganza"} +{"d:Title": "SlashFilm: First Look - Gerard Butler in Game", "d:Description": "Caught in the crosshairs of two opposing forces and under the command of a teenager\u2019s remote device, Kabel (Butler) must use his extraordinary fighting skills to escape the game, bring down Castle and overthrow the system. By Peter Sciretta.", "topic": "Top/Arts/Movies/Titles/G/Game", "url": "http://www.slashfilm.com/first-look-gerard-buter-in-game/"} +{"d:Title": "ComingSoon.net: Butler Plays Game with Crank Creators", "d:Description": "Gerard Butler plays Kable, the No. 1-ranked warrior in the highest-rated game, called \"Slayers.\"", "topic": "Top/Arts/Movies/Titles/G/Game", "url": "http://www.comingsoon.net/movies/news/20456-butler-plays-game-with-crank-creators"} +{"d:Title": "All-Reviews.com", "d:Description": "3 star review by multiple reviewers.", "topic": "Top/Arts/Movies/Titles/G/Game,_The", "url": "http://www.all-reviews.com/videos/game.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/G/Game,_The", "url": "http://crazy4cinema.com/Review/FilmsG/f_game.html"} +{"d:Title": "The Game", "d:Description": "Shooting script by John Brancato and Michael Ferris.", "topic": "Top/Arts/Movies/Titles/G/Game,_The", "url": "http://www.dailyscript.com/scripts/the-game_shooting.html"} +{"d:Title": "IMDb.com - The Game", "d:Description": "Synopsis, cast/crew credits, with movie recommendations, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Game,_The", "url": "http://www.imdb.com/title/tt0119174/"} +{"d:Title": "Allreaders Review - The Game", "d:Description": "Detailed analysis of the Michael Douglas film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Game,_The", "url": "http://allreaders.com/movie-review-summary/the-game-4215"} +{"d:Title": "IMDb: The Game of Their Lives (2005)", "d:Description": "Includes cast and crew, plot summary and user comments.", "topic": "Top/Arts/Movies/Titles/G/Game_of_Their_Lives,_The", "url": "http://www.imdb.com/title/tt0354595/"} +{"d:Title": "Rotten Tomatoes: The Game of Their Lives", "d:Description": "Provides cast, crew, synopsis and reviews. Includes trailer and photographs.", "topic": "Top/Arts/Movies/Titles/G/Game_of_Their_Lives,_The", "url": "http://www.rottentomatoes.com/m/game_of_their_lives/"} +{"d:Title": "Tribute.ca: The Game of Their Lives", "d:Description": "Film summary, cast list with links to biographies, producers and photos.", "topic": "Top/Arts/Movies/Titles/G/Game_of_Their_Lives,_The", "url": "http://www.tribute.ca/movies/the-game-of-their-lives/10483/"} +{"d:Title": "IMDb: The Game Plan", "d:Description": "Overview, cast, trivia, user comments, and soundtrack listing.", "topic": "Top/Arts/Movies/Titles/G/Game_Plan,_The", "url": "http://www.imdb.com/title/tt0492956/"} +{"d:Title": "Wikipedia: The Game Plan", "d:Description": "Offers plot, cast, filming locations, box office performance, and reception by critics.", "topic": "Top/Arts/Movies/Titles/G/Game_Plan,_The", "url": "http://en.wikipedia.org/wiki/The_Game_Plan_(film)"} +{"d:Title": "Box Office Mojo: The Game Plan", "d:Description": "Box office summary, analysis and charts.", "topic": "Top/Arts/Movies/Titles/G/Game_Plan,_The", "url": "http://www.boxofficemojo.com/movies/?id=gameplan.htm"} +{"d:Title": "The Game Plan", "d:Description": "Official site with video, cast, crew, synopsis, photo gallery, production notes, and downloads.", "topic": "Top/Arts/Movies/Titles/G/Game_Plan,_The", "url": "http://movies.disney.com/the-game-plan"} +{"d:Title": "About.com Home Video/DVD Review", "d:Description": "Ivana Redwine reviews the DVD version of \"Gandhi.\"", "topic": "Top/Arts/Movies/Titles/G/Gandhi", "url": "http://homevideo.about.com/library/weekly/aa090901a.htm"} +{"d:Title": "All-Reviews.com - Gandhi", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gandhi", "url": "http://www.all-reviews.com/videos-4/gandhi.htm"} +{"d:Title": "IMDb.com - Gandhi", "d:Description": "Synopsis of the film with cast/crew credits, movie recommendations, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gandhi", "url": "http://www.imdb.com/title/tt0083987/"} +{"d:Title": "Flick Philosopher: Gandhi", "d:Description": "Essay includes \"Unforgettable movie moment\".", "topic": "Top/Arts/Movies/Titles/G/Gandhi", "url": "http://www.flickfilosopher.com/1999/03/gandhi-review.html"} +{"d:Title": "TV Guide Online: Gandhi", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Gandhi", "url": "http://www.tvguide.com/movies/gandhi/124151/"} +{"d:Title": "Metacritic.com: Gangster No. 1", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/G/Gangster_No._1", "url": "http://www.metacritic.com/movie/gangster-no-1"} +{"d:Title": "IMDb", "d:Description": "Cast/credits plus additional information about the film. View the trailer, see review comments and rate this movie. Overall rating 6/10.", "topic": "Top/Arts/Movies/Titles/G/Gangster_No._1", "url": "http://www.imdb.com/title/tt0210065/"} +{"d:Title": "Rotten Tomatoes: Gangster No. 1", "d:Description": "Quotes from and links to reviews, photographs, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/G/Gangster_No._1", "url": "http://www.rottentomatoes.com/m/gangster-no-1/"} +{"d:Title": "IMDb - Gangster venuto da Brooklyn, Un (1967)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/G/Gangster_venuto_da_Brooklyn,_Un", "url": "http://www.imdb.com/title/tt0063040/"} +{"d:Title": "All-Reviews.com: Gangs of New York", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "http://www.all-reviews.com/videos-5/gangs-of-new-york.htm"} +{"d:Title": "HARO Online: Gangs of New York", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "http://www.haro-online.com/movies/gangs_of_new_york.html"} +{"d:Title": "Rotten Tomatoes: Gangs of New York", "d:Description": "Reviews, general information, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "http://www.rottentomatoes.com/m/gangs_of_new_york/"} +{"d:Title": "IMDb.com: Gangs of New York", "d:Description": "Cast and crew information with user comments and links.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "http://www.imdb.com/title/tt0217505/"} +{"d:Title": "Metacritic.com: Gangs of New York", "d:Description": "Quotes from and links to reviews, along with cast information and an overall score.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "http://www.metacritic.com/movie/gangs-of-new-york"} +{"d:Title": "Awesome Stories: Gangs of New York", "d:Description": "Provides the story behind the movie with links to pictures of the real people and places.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "https://www.awesomestories.com/asset/view/Gangs-of-New-York"} +{"d:Title": "Flipside Movie Emporium: Gangs of New York", "d:Description": "Mixed review by Rob Vaux.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "http://www.flipsidearchive.com/gangsofnewyork.html"} +{"d:Title": "Salon.com: Gangs of New York", "d:Description": "Stephanie Zacharek's review of the film.", "topic": "Top/Arts/Movies/Titles/G/Gangs_of_New_York", "url": "http://www.salon.com/2002/12/20/gangs_2/"} +{"d:Title": "IMDb - Gang That Couldn't Shoot Straight, The (1971)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/G/Gang_that_Couldn't_Shoot_Straight,_The", "url": "http://www.imdb.com/title/tt0067124/combined"} +{"d:Title": "Cinebooks Database - Gang That Couldn't Shoot Straight, The", "d:Description": "Unsigned review (negative) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/G/Gang_that_Couldn't_Shoot_Straight,_The", "url": "http://www.tvguide.com/movies/gang-shoot-straight/124156/"} +{"d:Title": "IMDb.com - Garden of the Finzi-Contini, Il", "d:Description": "Synopsis, cast/crew information, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Garden_of_the_Finzi-Continis", "url": "http://www.imdb.com/title/tt0065777/"} +{"d:Title": "HARO Online: Garden State", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Garden_State", "url": "http://www.haro-online.com/movies/garden_state.html"} +{"d:Title": "RottenTomatoes.com: Garden State", "d:Description": "Includes synopsis, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/G/Garden_State", "url": "http://www.rottentomatoes.com/m/garden_state/"} +{"d:Title": "IMDb: Garden State", "d:Description": "Includes synopsis, awards, cast and crew.", "topic": "Top/Arts/Movies/Titles/G/Garden_State", "url": "http://www.imdb.com/title/tt0333766/"} +{"d:Title": "HARO Online: Garfield", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Garfield", "url": "http://www.haro-online.com/movies/garfield.html"} +{"d:Title": "IMDb: Garfield (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/G/Garfield", "url": "http://www.imdb.com/title/tt0356634/"} +{"d:Title": "Rotten Tomatoes: Garfield", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/G/Garfield", "url": "http://www.rottentomatoes.com/m/garfield/"} +{"d:Title": "Chicago Sun-Times: Garfield", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/G/Garfield", "url": "http://www.rogerebert.com/reviews/garfield-the-movie-2004"} +{"d:Title": "HARO Online: Garmento", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/G/Garmento", "url": "http://www.haro-online.com/movies/garmento.html"} +{"d:Title": "Garmento", "d:Description": "Official site with synopsis, cast profiles, production details, character details, background information, media, and links.", "topic": "Top/Arts/Movies/Titles/G/Garmento", "url": "http://www.garmentomovie.com/"} +{"d:Title": "Internet Movie Database: Garmento", "d:Description": "Synopsis, cast and crew, reviews, production and release information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/G/Garmento", "url": "http://imdb.com/title/tt0305438/"} +{"d:Title": "Filmsite.org: Gaslight (1944)", "d:Description": "A review by Tim Dirks with dialogue quotes from the film.", "topic": "Top/Arts/Movies/Titles/G/Gaslight_-_1944", "url": "http://www.filmsite.org/gasl.html"} +{"d:Title": "IMDb: Gaslight (1944)", "d:Description": "Features cast and crew details, quotes, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Gaslight_-_1944", "url": "http://www.imdb.com/title/tt0036855/"} +{"d:Title": "All-Reviews.com - Gattaca", "d:Description": "Multiple reviewers rated this movie 3/4 stars, with cast information and release dates.", "topic": "Top/Arts/Movies/Titles/G/Gattaca", "url": "http://www.all-reviews.com/videos/gattaca.htm"} +{"d:Title": "The Daily Script: Gattaca", "d:Description": "Early draft screenplay by Andrew M. Niccol.", "topic": "Top/Arts/Movies/Titles/G/Gattaca", "url": "http://www.dailyscript.com/scripts/gattaca_early.html"} +{"d:Title": "Epinions - Gattaca", "d:Description": "A psychological viewpoint on Gattaca, the movie.", "topic": "Top/Arts/Movies/Titles/G/Gattaca", "url": "http://www.epinions.com/review/Gattaca_Andrew_M_Niccol/mvie-review-73E7-4537525A-3A4B3F08-prod3"} +{"d:Title": "IMDb.com - Gattaca", "d:Description": "Full information about the movie from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/G/Gattaca", "url": "http://www.imdb.com/title/tt0119177/"} +{"d:Title": "Roger Ebert Review", "d:Description": "\"This is one of the smartest and most provocative of science fiction films, a thriller with ideas.\"", "topic": "Top/Arts/Movies/Titles/G/Gattaca", "url": "http://www.rogerebert.com/reviews/gattaca-1997"} +{"d:Title": "TV Guide's Movie Database - Gattaca", "d:Description": "3 star review with plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Gattaca", "url": "http://www.tvguide.com/movies/gattaca/131571/"} +{"d:Title": "IMDB", "d:Description": "Includes cast and crew, reviews, and movie information.", "topic": "Top/Arts/Movies/Titles/G/Gayniggers_From_Outer_Space", "url": "http://imdb.com/title/tt0274518/"} +{"d:Title": "IMDb.com - Gay Cuba", "d:Description": "Synopsis, cast/crew credits, movie recommendations, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gay_Cuba", "url": "http://www.imdb.com/title/tt0113148/"} +{"d:Title": "ReelClassics.com: The Gay Divorcee", "d:Description": "Credits, review and photographs.", "topic": "Top/Arts/Movies/Titles/G/Gay_Divorcee,_The_-_1934", "url": "http://www.reelclassics.com/Teams/Fred&Ginger/fred&ginger2.htm"} +{"d:Title": "IMDb.com - Gay Divorcee, The (1934)", "d:Description": "Synopsis, cast/crew information, movie recommendations, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gay_Divorcee,_The_-_1934", "url": "http://www.imdb.com/title/tt0025164/"} +{"d:Title": "TV Guide Online: Gay Divorcee, The", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/G/Gay_Divorcee,_The_-_1934", "url": "http://www.tvguide.com/movies/gay-divorcee/124232/"} +{"d:Title": "IMDb - Gen-X Cops [Tejing xinrenlei] (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gen-X_Cops", "url": "http://www.imdb.com/title/tt0206334/"} +{"d:Title": "Imdb", "d:Description": "5.6 rating of 10, includes cast/crew information with a synopsis. Rate this movie.", "topic": "Top/Arts/Movies/Titles/G/Gendernauts", "url": "http://www.imdb.com/title/tt0192069/"} +{"d:Title": "Gendernauts", "d:Description": "Film by Monika Treut. Cast/crew information with synopsis of film and reviews.", "topic": "Top/Arts/Movies/Titles/G/Gendernauts", "url": "http://www.hyenafilms.com/filme/gendernauts/"} +{"d:Title": "TV Guide Online: Gendernauts", "d:Description": "3 star review with cast/crew information.", "topic": "Top/Arts/Movies/Titles/G/Gendernauts", "url": "http://www.tvguide.com/movies/gendernauts/134462/"} +{"d:Title": "PopMatters", "d:Description": "Reviewed by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/G/General's_Daughter,_The", "url": "http://popmatters.com/film/reviews/g/generals-daughter.html"} +{"d:Title": "HARO Online", "d:Description": "Review of the film, gives 'ok' rating.", "topic": "Top/Arts/Movies/Titles/G/General's_Daughter,_The", "url": "http://www.haro-online.com/movies/generals_daughter.html"} +{"d:Title": "All-Reviews.com", "d:Description": "2 1/2 star review by multiple reviewers.", "topic": "Top/Arts/Movies/Titles/G/General's_Daughter,_The", "url": "http://www.all-reviews.com/videos/generals-daughter.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis, reviews, media prediction, two cast profiles, and links to more reviews, pictures, trailers, interviews and articles.", "topic": "Top/Arts/Movies/Titles/G/General's_Daughter,_The", "url": "http://www.rottentomatoes.com/m/generals_daughter/"} +{"d:Title": "Box Office Mojo: The General's Daughter", "d:Description": "Box office coverage including detailed breakdowns of the data and comparisons to other John Travolta movies.", "topic": "Top/Arts/Movies/Titles/G/General's_Daughter,_The", "url": "http://www.boxofficemojo.com/movies/?id=generalsdaughter.htm"} +{"d:Title": "IMDb", "d:Description": "6.3/10 rating of film. Gives synopsis, cast list, links to actors and director filmography, allows you to rate film", "topic": "Top/Arts/Movies/Titles/G/General's_Daughter,_The", "url": "http://www.imdb.com/title/tt0144214/"} +{"d:Title": "AllReaders.com Review - The General's Daughter", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring John Travolta, Madeleine Stowe, James Woods, Timothy Hutton, and James Cromwell.", "topic": "Top/Arts/Movies/Titles/G/General's_Daughter,_The", "url": "http://allreaders.com/movie-review-summary/the-generals-daughter-7108"} +{"d:Title": "Allreaders Review - The General", "d:Description": "Detailed analysis of the Buster Keaton film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/G/General,_The_-_1927", "url": "http://allreaders.com/movie-review-summary/the-general-1927-20303"} +{"d:Title": "TV Guide Online: The General", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/G/General,_The_-_1927", "url": "http://www.tvguide.com/movies/general/132136/"} +{"d:Title": "IMDb: The General", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/G/General,_The_-_1998", "url": "http://www.imdb.com/title/tt0120706/"} +{"d:Title": "Allreaders Review - The General", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/General,_The_-_1998", "url": "http://allreaders.com/movie-review-summary/the-general-1998-4305"} +{"d:Title": "IMDb.com - Genesis (2001)", "d:Description": "Cast/crew details with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Genesis", "url": "http://www.imdb.com/title/tt0293822/"} +{"d:Title": "Genevieve - 1953 British Comedy Film", "d:Description": "British film Comedy (1953) about a 1904 Darracq vintage car driven by John Gregson and Dinah Sheridan in the London-to-Brighton run.", "topic": "Top/Arts/Movies/Titles/G/Genevieve_-_1953", "url": "http://www.donbrockway.com/genevieve.htm"} +{"d:Title": "IMDb - Genevieve (1953)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Genevieve_-_1953", "url": "http://www.imdb.com/title/tt0045808/"} +{"d:Title": "TV Guide Online: Genevieve", "d:Description": "4 1/2 stars movie review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Genevieve_-_1953", "url": "http://www.tvguide.com/movies/genevieve/124262/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/G/Gentleman's_Agreement", "url": "http://crazy4cinema.com/Review/FilmsG/f_gentlemans_agreement.html"} +{"d:Title": "IMDb.com - Gentleman's Agreement", "d:Description": "Synopsis, cast/crew information, with movie recommendations, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gentleman's_Agreement", "url": "http://www.imdb.com/title/tt0039416/"} +{"d:Title": "TV Guide Online: Gentleman's Agreement", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/G/Gentleman's_Agreement", "url": "http://www.tvguide.com/movies/gentlemans-agreement/124290/"} +{"d:Title": "All-Reviews.com", "d:Description": "Multiple reviewers give this campy movie 1 star rating.", "topic": "Top/Arts/Movies/Titles/G/George_of_the_Jungle", "url": "http://www.all-reviews.com/videos-2/george-of-jungle.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/G/George_of_the_Jungle", "url": "http://crazy4cinema.com/Review/FilmsG/f_george.html"} +{"d:Title": "AllReaders.com - George of the Jungle", "d:Description": "Detailed analysis of the Brendan Fraser film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/George_of_the_Jungle", "url": "http://allreaders.com/movie-review-summary/george-of-the-jungle-5395"} +{"d:Title": "HARO Online - George Washington", "d:Description": "Mongoose reviews the film, rates it 'not bad'", "topic": "Top/Arts/Movies/Titles/G/George_Washington", "url": "http://www.haro-online.com/movies/george_washington.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/George_Washington", "url": "http://www.all-reviews.com/videos-3/george-washington.htm"} +{"d:Title": "IMDb: George Washington (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/George_Washington", "url": "http://www.imdb.com/title/tt0262432/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/George_Washington", "url": "http://www.metacritic.com/movie/george-washington"} +{"d:Title": "Georgia Rule", "d:Description": "Official site with trailer, synopsis and bonus features.", "topic": "Top/Arts/Movies/Titles/G/Georgia_Rule", "url": "http://www.georgiarulemovie.net/"} +{"d:Title": "IMDb: Georgia Rule", "d:Description": "Photos, overview, cast, crew, trivia, goofs and quotes.", "topic": "Top/Arts/Movies/Titles/G/Georgia_Rule", "url": "http://www.imdb.com/title/tt0791304/"} +{"d:Title": "ReelViews: Georgia Rule", "d:Description": "Review of the movie by James Berardinelli.", "topic": "Top/Arts/Movies/Titles/G/Georgia_Rule", "url": "http://www.reelviews.net/movies/g/georgia_rule.html"} +{"d:Title": "Reelz Channel: Georgia Rule", "d:Description": "Review by Heather Huntington. Includes pictures.", "topic": "Top/Arts/Movies/Titles/G/Georgia_Rule", "url": "http://www.reelzchannel.com/movie/225695/georgia-rule?tab=review#907"} +{"d:Title": "ComingSoon.net: Georgia Rule", "d:Description": "Review of the film by Pete Marra.", "topic": "Top/Arts/Movies/Titles/G/Georgia_Rule", "url": "http://www.comingsoon.net/movies/reviews/20378-georgia-rule-2"} +{"d:Title": "IMDb: Georgy Girl", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Georgy_Girl", "url": "http://www.imdb.com/title/tt0060453/"} +{"d:Title": "Georgy Girl", "d:Description": "Synopsis, and lots of photos of the movie and its premiere, from Lynn Redgrave.", "topic": "Top/Arts/Movies/Titles/G/Georgy_Girl", "url": "http://www.redgrave.com/georgy.htm"} +{"d:Title": "Rottentomatoes: Georgy Girl", "d:Description": "Reviews, and cast and crew.", "topic": "Top/Arts/Movies/Titles/G/Georgy_Girl", "url": "http://www.rottentomatoes.com/m/georgy_girl/"} +{"d:Title": "Britmovie: Georgy Girl", "d:Description": "Synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/G/Georgy_Girl", "url": "http://www.britmovie.co.uk/films/Georgy-Girl"} +{"d:Title": "TV Guide Online: Germany Pale Mother", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/G/Germany_Pale_Mother", "url": "http://www.tvguide.com/movies/germany-pale-mother/124314/"} +{"d:Title": "All-Reviews.com - Germinal", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Germinal", "url": "http://www.all-reviews.com/videos-3/germinal.htm"} +{"d:Title": "Deseret News: Germinal", "d:Description": "Chris Hicks looks at plot, failure points, subplots and acting.", "topic": "Top/Arts/Movies/Titles/G/Germinal", "url": "http://www.deseretnews.com/article/700000669/Germinal.html"} +{"d:Title": "IMDb: Germinal (1993)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, plot summary, quotes, film details and promotional material.", "topic": "Top/Arts/Movies/Titles/G/Germinal", "url": "http://www.imdb.com/title/tt0107002/"} +{"d:Title": "Chicago Sun Times: Germinal", "d:Description": "Roger Ebert looks at the novel the film was based on and the plot of the film.", "topic": "Top/Arts/Movies/Titles/G/Germinal", "url": "http://www.rogerebert.com/reviews/germinal-1994"} +{"d:Title": "Geronimo: An American Legend", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Geronimo", "url": "http://www.all-reviews.com/videos-3/geronimo-american-legend.htm"} +{"d:Title": "IMDb: Geronimo: An American Legend (1993)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, plot summary, soundtrack, film details and promotional material.", "topic": "Top/Arts/Movies/Titles/G/Geronimo", "url": "http://www.imdb.com/title/tt0107004/"} +{"d:Title": "HARO Online - Gerry", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/G/Gerry", "url": "http://www.haro-online.com/movies/gerry.html"} +{"d:Title": "All-Reviews.com: Gerry", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gerry", "url": "http://www.all-reviews.com/videos-5/gerry.htm"} +{"d:Title": "IMDb - Gerry (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/G/Gerry", "url": "http://www.imdb.com/title/tt0302674/"} +{"d:Title": "All-Reviews.com: The Getaway", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/G/Getaway,_The", "url": "http://www.all-reviews.com/videos-4/getaway-1972.htm"} +{"d:Title": "The Getaway (1972)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/G/Getaway,_The", "url": "http://www.imdb.com/title/tt0068638/"} +{"d:Title": "AllReaders.com Review: The Getaway", "d:Description": "Analysis of the Steve McQueen film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/G/Getaway,_The", "url": "http://allreaders.com/movie-review-summary/the-getaway-5878"} +{"d:Title": "Chicago Sun Times", "d:Description": "A Review of Getting Even With Dad, by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/G/Getting_Even_with_Dad", "url": "http://www.rogerebert.com/reviews/getting-even-with-dad-1994"} +{"d:Title": "Imdb.com", "d:Description": "Review of Getting Even With Dad, by John Walker.", "topic": "Top/Arts/Movies/Titles/G/Getting_Even_with_Dad", "url": "http://www.imdb.com/reviews/32/3228.html"} +{"d:Title": "TV Guide Online: Getting Even With Dad", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/G/Getting_Even_with_Dad", "url": "http://www.tvguide.com/movies/getting-dad/129935/"} +{"d:Title": "Cosmopolis", "d:Description": "Synopsis and short review.", "topic": "Top/Arts/Movies/Titles/G/Gettysburg", "url": "http://www.cosmopolis.ch/english/cosmo3/gettysburg.htm"} +{"d:Title": "Teach With Movies", "d:Description": "Learning guide for parents, includes a synopsis, cast/crew information, benefits, possible problems, awards, and background.", "topic": "Top/Arts/Movies/Titles/G/Gettysburg", "url": "http://www.teachwithmovies.org/guides/gettysburg.html"} +{"d:Title": "All-Reviews.com - Gettysburg", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gettysburg", "url": "http://www.all-reviews.com/videos-3/gettysburg.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast/crew lists, plot summary, trivia, goofs, and miscellaneous details with user comments, ratings, and recommendations.", "topic": "Top/Arts/Movies/Titles/G/Gettysburg", "url": "http://www.imdb.com/title/tt0107007/"} +{"d:Title": "Allreaders Gettysburg Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Gettysburg", "url": "http://allreaders.com/movie-review-summary/gettysburg-5330"} +{"d:Title": "TV Guide Online: Gettysburg", "d:Description": "2 star review, plot, cast, pictures, and background information.", "topic": "Top/Arts/Movies/Titles/G/Gettysburg", "url": "http://www.tvguide.com/movies/gettysburg/129350/"} +{"d:Title": "IMDb: Get a Clue", "d:Description": "List of cast and crew, their biographies and filmographies, as well as a plot summary.", "topic": "Top/Arts/Movies/Titles/G/Get_a_Clue", "url": "http://www.imdb.com/title/tt0282521/"} +{"d:Title": "IMDb: Get Carter (1971)", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_1971", "url": "http://www.imdb.com/title/tt0067128/"} +{"d:Title": "TV Guide's Movie Database", "d:Description": "3 star movie review, plot, cast, pictures, and background information about the 1971 version.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_1971", "url": "http://www.tvguide.com/movies/carter/124324/"} +{"d:Title": "All-Reviews.com", "d:Description": "Multiple reviewers give this film 1 1/2 stars.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_2000", "url": "http://www.all-reviews.com/videos-2/get-carter.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie, gives it an 'okay' rating.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_2000", "url": "http://www.haro-online.com/movies/get_carter.html"} +{"d:Title": "Filmtracks: Get Carter", "d:Description": "Soundtrack review with audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_2000", "url": "http://www.filmtracks.com/titles/get_carter.html"} +{"d:Title": "Rotten Tomatoes - Get Carter", "d:Description": "Reviews and reactions from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_2000", "url": "http://www.rottentomatoes.com/m/1100569-get_carter/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_2000", "url": "http://www.metacritic.com/movie/get-carter"} +{"d:Title": "Get Carter (2000)", "d:Description": "Details from the IMDB", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_2000", "url": "http://www.imdb.com/title/tt0208988/"} +{"d:Title": "AllReaders.com Get Carter Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Get_Carter_-_2000", "url": "http://allreaders.com/movie-review-summary/get-carter-1971-3642"} +{"d:Title": "All-Reviews.com - Get on the Bus", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Get_on_the_Bus", "url": "http://www.all-reviews.com/videos-2/get-on-the-bus.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Get_Over_It", "url": "http://www.all-reviews.com/videos-2/get-over-it.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to other sites with reviews.", "topic": "Top/Arts/Movies/Titles/G/Get_Over_It", "url": "http://www.rottentomatoes.com/m/get_over_it/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Get_Over_It", "url": "http://www.metacritic.com/movie/get-over-it"} +{"d:Title": "Stephen's Story", "d:Description": "Site mainly featuring a spinoff story to Get Real, but also including stills from Get Real and Beautiful Thing.", "topic": "Top/Arts/Movies/Titles/G/Get_Real", "url": "http://pekinite.tripod.com/stephensstory/"} +{"d:Title": "IMDB", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/G/Get_Real", "url": "http://www.imdb.com/title/tt0162973/"} +{"d:Title": "All-Reviews.com - Get Shorty", "d:Description": "Multiple reviewers give the movie 2 1/2 stars out of 4.", "topic": "Top/Arts/Movies/Titles/G/Get_Shorty", "url": "http://www.all-reviews.com/videos-2/get-shorty.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/G/Get_Shorty", "url": "http://crazy4cinema.com/Review/FilmsG/f_getshorty.html"} +{"d:Title": "Metacritic.com: Get Shorty", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/G/Get_Shorty", "url": "http://www.metacritic.com/movie/get-shorty"} +{"d:Title": "TV Guide Online: Get Shorty", "d:Description": "3 star movie review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Get_Shorty", "url": "http://www.tvguide.com/movies/shorty/130380/"} +{"d:Title": "IMDb: Get Smart (2008)", "d:Description": "Photos, videos, plot synopsis, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/G/Get_Smart", "url": "http://www.imdb.com/title/tt0425061/"} +{"d:Title": "Rotten Tomatoes: Get Smart", "d:Description": "Synopsis, credits, photos, trailers, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/G/Get_Smart", "url": "http://www.rottentomatoes.com/m/get_smart/"} +{"d:Title": "IGN Movies: Get Smart", "d:Description": "Photos, videos, news, and a message board.", "topic": "Top/Arts/Movies/Titles/G/Get_Smart", "url": "http://www.ign.com/movies/get-smart/theater-572020"} +{"d:Title": "MovieWeb: Get Smart (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/G/Get_Smart", "url": "http://www.movieweb.com/movie/get-smart"} +{"d:Title": "TV Guide Online: Ghost", "d:Description": "3 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Ghost", "url": "http://www.tvguide.com/search/index.aspx?keyword=Ghost"} +{"d:Title": "Imdb.com", "d:Description": "Cast/crew information with a synopsis of the film, user comments and ratings, with recommendations.", "topic": "Top/Arts/Movies/Titles/G/Ghost", "url": "http://www.imdb.com/title/tt0099653/"} +{"d:Title": "Official Ghostbusters Site", "d:Description": "DVD release information, about the director, and sweepstakes contest details.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series", "url": "http://www.ghostbusters.com/"} +{"d:Title": "The Ghostbusters Database", "d:Description": "Includes descriptive information on the history of the movies and television series, an extensive item list, fan fiction and related links.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series", "url": "http://www.angelfire.com/scifi2/agb/enter.html"} +{"d:Title": "Ghostbusters Fans", "d:Description": "Features news and information about the franchise, plans to build props, and community forums.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series", "url": "http://www.gbfans.com/"} +{"d:Title": "Proton Charging", "d:Description": "Ghostbusters news blog, with daily updates and commentary.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series", "url": "http://www.protoncharging.com/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://www.fast-rewind.com/ghostbust.htm"} +{"d:Title": "CBUB Fights: Ghostbusters vs. The X-Files", "d:Description": "The Ghostbusters take on the X-files to see who are the true masters of the paranormal.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://www.electricferret.com/fights/ghost.htm"} +{"d:Title": "WWWF Grudge Match: Beetlejuice vs. The Ghostbusters", "d:Description": "The Ghostbusters land an extermination job out in the country...", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://www.grudge-match.com/History/beetle-ghostb.shtml"} +{"d:Title": "All-Reviews.com: Ghostbusters", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://www.all-reviews.com/videos-4/ghostbusters.htm"} +{"d:Title": "Ghostbusters, Inc: Alaskan Division", "d:Description": "In-character fan site with prop descriptions, news articles, photographs, and links.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://akgb.freeservers.com/"} +{"d:Title": "IMDb - Ghostbusters", "d:Description": "Cast/crew information with user comments, ratings, and synopsis.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://www.imdb.com/title/tt0087332/"} +{"d:Title": "Mr. Stay Puft's World o' Sounds", "d:Description": "Multiple wav files from each main character, other multimedia and various links.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://xenafan.com/movies/ghostbusters/"} +{"d:Title": "TV Guide Online: Ghostbusters", "d:Description": "3 1/2 star movie review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters", "url": "http://www.tvguide.com/movies/ghostbusters/124392/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters_II", "url": "http://www.fast-rewind.com/ghostbust2.htm"} +{"d:Title": "IMDb - Ghostbusters II", "d:Description": "Cast/crew information with user comments, ratings and synopsis of the film.", "topic": "Top/Arts/Movies/Titles/G/Ghostbusters_Series/Ghostbusters_II", "url": "http://www.imdb.com/title/tt0097428/"} +{"d:Title": "IMDb: The Ghosting (1992)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Ghosting,_The", "url": "http://www.imdb.com/title/tt0104335/"} +{"d:Title": "IMDb: Ghosts of Cit\u00e9 Soleil (2006)", "d:Description": "Photo gallery, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Cit\u00e9_Soleil", "url": "http://www.imdb.com/title/tt0479046/"} +{"d:Title": "Rotten Tomatoes: Ghosts of Cite Soleil", "d:Description": "Review links, synopsis, critical consensus, credits, photos, news, and a forum.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Cit\u00e9_Soleil", "url": "http://www.rottentomatoes.com/m/ghosts_of_cite_soleil/"} +{"d:Title": "MovieWeb: Ghosts of Cite Soleil (2007)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Cit\u00e9_Soleil", "url": "http://www.movieweb.com/movie/ghosts-of-cite-soleil"} +{"d:Title": "All-Reviews.com - Ghosts of Mars", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Mars", "url": "http://www.all-reviews.com/videos-3/ghosts-of-mars.htm"} +{"d:Title": "HARO Online: Ghosts of Mars", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Mars", "url": "http://www.haro-online.com/movies/john_carpenters_ghosts_of_mars.html"} +{"d:Title": "Filmtracks: Ghosts of Mars", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Mars", "url": "http://www.filmtracks.com/titles/ghosts_mars.html"} +{"d:Title": "Rotten Tomatoes: Ghosts of Mars", "d:Description": "Links to reviews and news, synopsis, multimedia and forum.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Mars", "url": "http://www.rottentomatoes.com/m/john_carpenters_ghosts_of_mars/"} +{"d:Title": "Metacritic.com: Ghosts of Mars", "d:Description": "Information and a cross-section of reviews.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Mars", "url": "http://www.metacritic.com/movie/ghosts-of-mars"} +{"d:Title": "IMDb: Ghosts of Mississippi (1996)", "d:Description": "Includes a plot outline, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Mississippi", "url": "http://www.imdb.com/title/tt0116410/"} +{"d:Title": "AllReaders.com - Ghosts of Mississippi", "d:Description": "Detailed analysis of the Alec Baldwin film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Ghosts_of_Mississippi", "url": "http://allreaders.com/movie-review-summary/ghosts-of-mississippi-4952"} +{"d:Title": "DVDSavant.com - Ghost and Mrs Muir, The (1947)", "d:Description": "Review and plot summary for the DVD released version of the film.", "topic": "Top/Arts/Movies/Titles/G/Ghost_and_Mrs_Muir,_The_-_1947", "url": "http://www.dvdsavant.com/s771muir.html"} +{"d:Title": "IMDb - Ghost and Mrs. Muir, The (1947)", "d:Description": "Features cast and crew details, plot summary, quotes, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Ghost_and_Mrs_Muir,_The_-_1947", "url": "http://www.imdb.com/title/tt0039420/"} +{"d:Title": "PopMatters - Ghost Dog", "d:Description": "Review of the film, plus interview with Forest Whitaker.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Dog_-_The_Way_of_the_Samurai", "url": "http://popmatters.com/film/reviews/g/ghost-dog.html"} +{"d:Title": "HARO Online - Ghost Dog: The Way of the Samurai", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Dog_-_The_Way_of_the_Samurai", "url": "http://www.haro-online.com/movies/ghost_dog.html"} +{"d:Title": "Ghost Dog", "d:Description": "Film review and biographical information on director Jim Jarmusch and actor Forest Whitaker", "topic": "Top/Arts/Movies/Titles/G/Ghost_Dog_-_The_Way_of_the_Samurai", "url": "http://www.cosmopolis.ch/english/cosmo11/ghostdog.htm"} +{"d:Title": "Rotten Tomatoes - Ghost Dog - The Way of the Samurai", "d:Description": "Reviews, box office, photos, and news.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Dog_-_The_Way_of_the_Samurai", "url": "http://www.rottentomatoes.com/m/ghost_dog_the_way_of_the_samurai/"} +{"d:Title": "Metacritic.com: Ghost Dog", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Dog_-_The_Way_of_the_Samurai", "url": "http://www.metacritic.com/movie/ghost-dog-the-way-of-the-samurai"} +{"d:Title": "IMDb - Ghost Dog: The Way of the Samurai", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Dog_-_The_Way_of_the_Samurai", "url": "http://www.imdb.com/title/tt0165798/"} +{"d:Title": "Ghost Rider - Official Site", "d:Description": "Characters info, production diary, trailer, gallery, downloads and games.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Rider", "url": "http://www.sonypictures.com/movies/ghostrider/"} +{"d:Title": "JoBlo.com: Ghostship", "d:Description": "Preview and pictures.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Ship_-_2002", "url": "http://www.joblo.com/upcomingmovies/2002/ghostship.htm"} +{"d:Title": "HARO Online: Ghost Ship", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Ship_-_2002", "url": "http://www.haro-online.com/movies/ghost_ship.html"} +{"d:Title": "All-Reviews.com - Ghost Ship", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Ship_-_2002", "url": "http://www.all-reviews.com/videos-5/ghost-ship.htm"} +{"d:Title": "The Official Antonia Graza Fanlisting", "d:Description": "Revolving around all the characters in the 2002 hit, 'Ghost Ship' movie.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Ship_-_2002", "url": "http://www.deadtime.net/graza/"} +{"d:Title": "Ghost Ship Movie Script", "d:Description": "The original script to the 2002 horror hit 'Ghost Ship'.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Ship_-_2002", "url": "http://www.dailyscript.com/scripts/ghost_ship.html"} +{"d:Title": "Rotten Tomatoes: Ghost Ship", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Ship_-_2002", "url": "http://www.rottentomatoes.com/m/ghost_ship/"} +{"d:Title": "Contactmusic.com: Ghost Ship", "d:Description": "Features plot overview, pictures, and links.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Ship_-_2002", "url": "http://www.contactmusic.com/pages/ghostshipx16x01x03"} +{"d:Title": "ReelViews: Ghost Town", "d:Description": "Review, by James Berardinelli: \"One of those romantic comedies that never quite clicks. At times, its humor is effective, provoking chuckles and laughs. At other times, the comedy feels forced and awkward.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/G/Ghost_Town", "url": "http://www.reelviews.net/php_review_template.php?identifier=1334"} +{"d:Title": "Rotten Tomatoes: Ghost Town", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/G/Ghost_Town", "url": "http://www.rottentomatoes.com/m/1190970-ghost_town/"} +{"d:Title": "IGN: Ghost Town", "d:Description": "Photos, videos, previews, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/G/Ghost_Town", "url": "http://www.ign.com/movies/ghost-town/theater-14224188"} +{"d:Title": "TV Guide: Ghost Town", "d:Description": "Review, by Ken Fox: \"In Koepp's comedic variation on a similar theme, the dead are not just unhappy -- they're irritatingly needy.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/G/Ghost_Town", "url": "http://www.tvguide.com/movies/ghost-town/review/294804/"} +{"d:Title": "The Boston Globe: Ghost Town", "d:Description": "Review, by Ty Burr: \"Someone once said about W.C. Fields that he had the rare ability to despise amusingly. I can imagine no greater compliment than to say that Ricky Gervais seems, at his best, like a young Fields.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/G/Ghost_Town", "url": "http://archive.boston.com/ae/movies/articles/2008/09/19/gervais_keeps_ghost_alive/?camp=pm"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.haro-online.com/movies/ghost_world.html"} +{"d:Title": "All-Reviews.com: Ghost World", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.all-reviews.com/videos-3/ghost-world.htm"} +{"d:Title": "About.com: Ghost World", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://homevideo.about.com/library/weekly/aafpr021302.htm"} +{"d:Title": "Coldbacon: Ghost World", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.coldbacon.com/movies/ghostworld.html"} +{"d:Title": "Rotten Tomatoes - Ghost World", "d:Description": "Links to reviews and news, synopsis, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.rottentomatoes.com/m/ghost_world/"} +{"d:Title": "IMDb: Ghost World", "d:Description": "Credits and additional information about the film, with comments, recommendations, and links.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.imdb.com/title/tt0162346/"} +{"d:Title": "Metacritic.com: Ghost World", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.metacritic.com/movie/ghost-world"} +{"d:Title": "The Flick Filosopher: The Princess Diaries&Ghost World", "d:Description": "MaryAnn Johanson discusses both films.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.flickfilosopher.com/2001/08/the-princess-diaries-and-ghost-world-review.html"} +{"d:Title": "Flipside Movie Emporium: Ghost World", "d:Description": "Glowing review by Michael B. Scrutchin.", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://www.flipsidearchive.com/ghostworld.html"} +{"d:Title": "Deep Focus: Ghost World", "d:Description": "Review by Bryant Frazer, who says: \"Rarely has adolescent ennui been so rewarding.\"", "topic": "Top/Arts/Movies/Titles/G/Ghost_World", "url": "http://deep-focus.com/ghost_world/"} +{"d:Title": "IMDb", "d:Description": "Cast/credits, with synopsis of the film, recommendations, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gia", "url": "http://www.imdb.com/title/tt0123865/"} +{"d:Title": "TV Guide Online: Gia", "d:Description": "3 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Gia", "url": "http://www.tvguide.com/movies/gia/133394/"} +{"d:Title": "Giant (1956)", "d:Description": "Cast, crew, plot synopsis, user comments and ratings with recommendations.", "topic": "Top/Arts/Movies/Titles/G/Giant", "url": "http://www.imdb.com/title/tt0049261/"} +{"d:Title": "TV Guide Online: Giant", "d:Description": "4 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Giant", "url": "http://www.tvguide.com/movies/giant/124399/"} +{"d:Title": "Monster at the Soda Shop: The Giant Gila Monster", "d:Description": "Review by Cyndy Hendershot and pictures.", "topic": "Top/Arts/Movies/Titles/G/Giant_Gila_Monster,_The", "url": "http://www.imagesjournal.com/issue10/features/monster/text2.htm"} +{"d:Title": "The DVD Journal: The Giant Gila Monster/The Wasp Woman", "d:Description": "Review and DVD information for the two movies.", "topic": "Top/Arts/Movies/Titles/G/Giant_Gila_Monster,_The", "url": "http://www.dvdjournal.com/quickreviews/g/giantgilamonster.q.shtml"} +{"d:Title": "Badmovies.org: The Giant Gila Monster", "d:Description": "A humorous take on the movie, with sound clips and pictures.", "topic": "Top/Arts/Movies/Titles/G/Giant_Gila_Monster,_The", "url": "http://www.badmovies.org/movies/giantgila/"} +{"d:Title": "TV Guide Online: The Giant Gila Monster", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/G/Giant_Gila_Monster,_The", "url": "http://movies.tvguide.com/giant-gila-monster/124402"} +{"d:Title": "IMDb: The Giant Gila Monster (1959)", "d:Description": "Cast and crew information, plot outline, user comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Giant_Gila_Monster,_The", "url": "http://www.imdb.com/title/tt0052846/"} +{"d:Title": "All-Reviews.com - The Gift", "d:Description": "Reviews of the movie", "topic": "Top/Arts/Movies/Titles/G/Gift,_The", "url": "http://www.all-reviews.com/videos-2/gift.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"The Gift.\" Rates it OK", "topic": "Top/Arts/Movies/Titles/G/Gift,_The", "url": "http://www.haro-online.com/movies/gift.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/G/Gift,_The", "url": "http://popmatters.com/film/reviews/g/gift.html"} +{"d:Title": "IMDb", "d:Description": "Cast/credits plus additional information about the film, user comments and ratings with recommendations.", "topic": "Top/Arts/Movies/Titles/G/Gift,_The", "url": "http://www.imdb.com/title/tt0219699/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Gift,_The", "url": "http://www.metacritic.com/movie/the-gift"} +{"d:Title": "TV Guide Online: The Gift", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Gift,_The", "url": "http://www.tvguide.com/movies/gift/124410/"} +{"d:Title": "All-Reviews.com: Gigi", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/G/Gigi", "url": "http://www.all-reviews.com/videos-4/gigi.htm"} +{"d:Title": "IMDb: Gigi", "d:Description": "Plot summary, cast, and reviews.", "topic": "Top/Arts/Movies/Titles/G/Gigi", "url": "http://www.imdb.com/title/tt0051658/"} +{"d:Title": "The Flick Filosopher: Gigi", "d:Description": "MaryAnn Johanson's review: \"kinda the Pretty Woman of the 50s.\"", "topic": "Top/Arts/Movies/Titles/G/Gigi", "url": "http://www.flickfilosopher.com/1999/02/gigi-review.html"} +{"d:Title": "Filmtracks: Gigli", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gigli", "url": "http://www.filmtracks.com/titles/gigli.html"} +{"d:Title": "IMDb - Gigli", "d:Description": "Features cast and crew details, quotes, photos, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Gigli", "url": "http://www.imdb.com/title/tt0299930/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Gimme_Shelter", "url": "http://www.metacritic.com/movie/gimme-shelter"} +{"d:Title": "Imdb.com", "d:Description": "Cast/crew information with user comments and ratings, synopsis, and tag lines.", "topic": "Top/Arts/Movies/Titles/G/Gimme_Shelter", "url": "http://www.imdb.com/title/tt0065780/"} +{"d:Title": "All-Reviews.com - The Gingerbread Man", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/G/Gingerbread_Man,_The", "url": "http://www.all-reviews.com/videos/gingerbread-man.htm"} +{"d:Title": "TV Guide Online: Gingerbread Man, The", "d:Description": "2 1/2 star movie review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Gingerbread_Man,_The", "url": "http://www.tvguide.com/movies/gingerbread-man/132634/"} +{"d:Title": "Mark's Lists: Ginger Snaps", "d:Description": "Review finds the film to be refreshingly disturbing.", "topic": "Top/Arts/Movies/Titles/G/Ginger_Snaps", "url": "http://www.markslists.net/films/gingersnaps/index.html"} +{"d:Title": "Metacritic.com: Ginger Snaps", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/G/Ginger_Snaps", "url": "http://www.metacritic.com/movie/ginger-snaps"} +{"d:Title": "HARO Online - Girl, The", "d:Description": "Very short review.", "topic": "Top/Arts/Movies/Titles/G/Girl,_The", "url": "http://www.haro-online.com/movies/girl.html"} +{"d:Title": "Metacritic.com - Girl, The", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Girl,_The", "url": "http://www.metacritic.com/movie/the-girl"} +{"d:Title": "PopMatters", "d:Description": "Review of the film by Cynthia Fuchs and interview with the director.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://popmatters.com/film/reviews/g/girlfight.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Multiple reviewers give this movie 2 stars.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://www.all-reviews.com/videos-2/girlfight.htm"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film. Overall rating 'pretty good'.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://www.haro-online.com/movies/girlfight.html"} +{"d:Title": "About.com: Girlfight", "d:Description": "Movie review, DVD information, and links.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://homevideo.about.com/library/blpick040501.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from the nation's top critics. Plus news, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://www.rottentomatoes.com/m/girlfight/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://www.metacritic.com/movie/girlfight"} +{"d:Title": "IMDb", "d:Description": "Cast/credits plus additional information about the film. Reviewed by multiple reviewers, overall rating 7.3/10.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://www.imdb.com/title/tt0210075/"} +{"d:Title": "Allreaders Review - Girlfight", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://allreaders.com/movie-review-summary/girlfight-5853"} +{"d:Title": "TV Guide Online: Girlfight", "d:Description": "Review by Maitland McDonagh (positive), rating.", "topic": "Top/Arts/Movies/Titles/G/Girlfight", "url": "http://www.tvguide.com/movies/girlfight/134703/"} +{"d:Title": "IMDb - The Girls' Room", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Girls'_Room,_The", "url": "http://www.imdb.com/title/tt0167183/"} +{"d:Title": "Rotten Tomatoes - Girls Can't Swim", "d:Description": "Provides links to review sites both positive and negative for the film.", "topic": "Top/Arts/Movies/Titles/G/Girls_Can't_Swim", "url": "http://www.rottentomatoes.com/m/girls_cant_swim/"} +{"d:Title": "IMDb - Girls Can't Swim", "d:Description": "Provides cast and crew info, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Girls_Can't_Swim", "url": "http://www.imdb.com/title/tt0239507/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/G/Girls_Just_Want_to_Have_Fun", "url": "http://www.fast-rewind.com/girlsjustwant.htm"} +{"d:Title": "Rotten Tomatoes: Girls Just Want to Have Fun", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Girls_Just_Want_to_Have_Fun", "url": "http://www.rottentomatoes.com/m/girls_just_want_to_have_fun/"} +{"d:Title": "IMDb: Girls Just Want to Have Fun (1985)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Girls_Just_Want_to_Have_Fun", "url": "http://www.imdb.com/title/tt0089208/"} +{"d:Title": "All-Reviews.com - Girls Town", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Girls_Town", "url": "http://www.all-reviews.com/videos-3/girls-town.htm"} +{"d:Title": "HARO Online: Girls Will Be Girls", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/G/Girls_Will_Be_Girls", "url": "http://www.haro-online.com/movies/girls_will_be_girls.html"} +{"d:Title": "PopMatters - Girl, Interrupted", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://popmatters.com/film/reviews/g/girl-interrupted.html"} +{"d:Title": "HARO Online - Girl, Interrupted", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://www.haro-online.com/movies/girl_interrupted.html"} +{"d:Title": "All-Reviews.com - Girl, Interrupted", "d:Description": "a collection of reviews.", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://www.all-reviews.com/videos/girl-interrupted.htm"} +{"d:Title": "Rotten Tomatoes: Girl Interrupted", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://www.rottentomatoes.com/m/girl_interrupted/"} +{"d:Title": "Box Office Mojo: Girl, Interrupted", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://www.boxofficemojo.com/movies/?id=girlinterrupted.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://www.metacritic.com/movie/girl-interrupted"} +{"d:Title": "IMDb: Girl, Interrupted", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://www.imdb.com/title/tt0172493/"} +{"d:Title": "AllReaders.com - Girl Interrupted", "d:Description": "Detailed analysis of the Winona Ryder film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Girl_Interrupted", "url": "http://allreaders.com/movie-review-summary/girl-interrupted-4096"} +{"d:Title": "HARO Online: The Girl Next Door", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Girl_Next_Door,_The_-_2004", "url": "http://www.haro-online.com/movies/girl_next_door.html"} +{"d:Title": "IMDb.com: Girl Next Door, The (2004)", "d:Description": "Contains cast and crew, a plot summary, comments, trailers, and photos.", "topic": "Top/Arts/Movies/Titles/G/Girl_Next_Door,_The_-_2004", "url": "http://imdb.com/title/tt0265208/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Girl_Next_Door,_The_-_2004", "url": "http://www.metacritic.com/movie/the-girl-next-door-2000"} +{"d:Title": "Future Movies: The Girl Next Door", "d:Description": "Review by Jay Richardson.", "topic": "Top/Arts/Movies/Titles/G/Girl_Next_Door,_The_-_2004", "url": "http://www.futuremovies.co.uk/reviews/the-girl-next-door/jay-richardson"} +{"d:Title": "HackWriters.com: Girl On the Bridge", "d:Description": "French black and white film about a young girl, who has decided to commit suicide, but is stopped by a job offer: to be a target in a knife-throwing act.", "topic": "Top/Arts/Movies/Titles/G/Girl_on_the_Bridge,_The", "url": "http://www.hackwriters.com/Girlonthebridge.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Girl_on_the_Bridge,_The", "url": "http://www.metacritic.com/movie/girl-on-the-bridge"} +{"d:Title": "IMDb: Fille le sur le pont, La", "d:Description": "Synopsis, cast and crew, reviews, technical details, promotional information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/G/Girl_on_the_Bridge,_The", "url": "http://www.imdb.com/title/tt0144201/"} +{"d:Title": "Lions Gate: Girl with a Pearl Earring", "d:Description": "Official site. Synopsis, cast and crew, photos, and information about Vermeer.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://www.girlwithapearlearringmovie.com/"} +{"d:Title": "All-Reviews.com: Girl with a Pearl Earring", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://www.all-reviews.com/videos-5/girl-with-pearl-earring.htm"} +{"d:Title": "HARO Online: Girl with a Pearl Earring", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://www.haro-online.com/movies/girl_with_a_pearl.html"} +{"d:Title": "DreamLogic: Girl with a Pearl Earring", "d:Description": "Review by Chris Nelson and Kris Kobayashi.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://dreamlogic.net/REVIEWS/girlpearl.html"} +{"d:Title": "Rottentomatoes: Girl with a Pearl Earring", "d:Description": "Reviews, photos, cast and crew, and other movie information.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://www.rottentomatoes.com/m/girl_with_a_pearl_earring/"} +{"d:Title": "Yahoo! Movies: Girl with a Pearl Earring", "d:Description": "Synopsis, cast and crew, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://movies.yahoo.com/movie/girl-with-a-pearl-earring/"} +{"d:Title": "IMDb: Girl with a Pearl Earring", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://www.imdb.com/title/tt0335119/"} +{"d:Title": "Future Movies: Girl With A Pearl Earring", "d:Description": "A review of the film by Nik Huggins, including an interview with director Peter Webber.", "topic": "Top/Arts/Movies/Titles/G/Girl_with_a_Pearl_Earring", "url": "http://www.futuremovies.co.uk/reviews/girl-with-a-pearl-earring/nik-huggins"} +{"d:Title": "Gladiator (1992) - IMDb", "d:Description": "Features cast and crew details, quotes, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_1992", "url": "http://www.imdb.com/title/tt0104346/"} +{"d:Title": "Chicago Sun-Times: Gladiator", "d:Description": "Critic Roger Ebert reviews the boxing film.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_1992", "url": "http://www.rogerebert.com/reviews/gladiator-1992-1992"} +{"d:Title": "Gladiator: The Real Story", "d:Description": "Provides historical insight into the actual characters and events portrayed in Ridley Scott's film Gladiator.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000", "url": "http://www.exovedate.com/the_real_gladiator.html"} +{"d:Title": "Maximus's Colosseum", "d:Description": "Contains pictures, cast information, history, story behind the movie, updates daily.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000", "url": "http://vasia_v.tripod.com/moviegladiator"} +{"d:Title": "Filmtracks: Gladiator", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000", "url": "http://www.filmtracks.com/titles/gladiator.html"} +{"d:Title": "Box Office Mojo - Gladiator", "d:Description": "Box office data for the film. Includes Ridley Scott's box office track record and a comparison with other May releases.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000", "url": "http://www.boxofficemojo.com/movies/?id=gladiator.htm"} +{"d:Title": "Yahoo! Movies: Gladiator (2000)", "d:Description": "Synopsis, cast and crew, reviews, awards, and photos.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000", "url": "http://movies.yahoo.com/movie/gladiator-2000/"} +{"d:Title": "IMDb - Gladiator (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000", "url": "http://www.imdb.com/title/tt0172495/"} +{"d:Title": "Awesome Stories: Gladiator", "d:Description": "The historical background of the movie, with links to articles and pictures.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000", "url": "https://www.awesomestories.com/asset/view/Gladiator"} +{"d:Title": "Cosmopolis: Gladiator", "d:Description": "Offers a review and cast list.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.cosmopolis.ch/english/cosmo7/gladiator.htm"} +{"d:Title": "Idlebrain.com", "d:Description": "2.5/5 rating of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.idlebrain.com/angreji/mr-gladiator.html"} +{"d:Title": "HARO Online - Gladiator", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.haro-online.com/movies/gladiator.html"} +{"d:Title": "PopMatters: Gladiator", "d:Description": "Includes a review and cast list.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://popmatters.com/film/reviews/g/gladiator.html"} +{"d:Title": "All-Reviews.com - Gladiator", "d:Description": "A collection of reviews plus movie facts", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.all-reviews.com/videos/gladiator.htm"} +{"d:Title": "Paris Woman Journal - Gladiator (2000)", "d:Description": "Review by Brian W. Fairbanks with background on the \"spectacle\".", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.angelfire.com/oh2/writer/gladiator.html"} +{"d:Title": "Coldbacon: Gladiator", "d:Description": "Negative movie review.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.coldbacon.com/movies/gladiator.html"} +{"d:Title": "The Green Hartnett Reviews: Gladiator", "d:Description": "Detailed review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.hartnett.nl/_fgladia.htm"} +{"d:Title": "Rotten Tomatoes - Gladiator", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.rottentomatoes.com/m/gladiator/"} +{"d:Title": "Metacritic.com - Gladiator", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "http://www.metacritic.com/movie/gladiator"} +{"d:Title": "Yahoo Movies: Gladiator", "d:Description": "Plot synopsis, film overview, credits, trailer, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/G/Gladiator_-_2000/Reviews", "url": "https://www.yahoo.com/movies/film/gladiator-2000"} +{"d:Title": "All-Reviews.com - The Glass House", "d:Description": "Multiple reviews of the movie. Overall rating 2 1/2 stars.", "topic": "Top/Arts/Movies/Titles/G/Glass_House,_The", "url": "http://www.all-reviews.com/videos-3/glass-house.htm"} +{"d:Title": "HARO Online - Glass House", "d:Description": "Haro reviews the movie. Rates it: \"really bad\".", "topic": "Top/Arts/Movies/Titles/G/Glass_House,_The", "url": "http://www.haro-online.com/movies/glass_house.html"} +{"d:Title": "Rotten Tomatoes - Glass House", "d:Description": "Movie guide includes links to reviews and news. Also includes trailers, photos, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/G/Glass_House,_The", "url": "http://www.rottentomatoes.com/m/glass_house/"} +{"d:Title": "Metacritic.com - Glass House", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/G/Glass_House,_The", "url": "http://www.metacritic.com/movie/the-glass-house"} +{"d:Title": "IMDb.com - Gleaners and I, The", "d:Description": "Cast/crew, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gleaners_and_I,_The", "url": "http://www.imdb.com/title/tt0247380/"} +{"d:Title": "Metacritic.com: The Gleaners and I", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/G/Gleaners_and_I,_The", "url": "http://www.metacritic.com/movie/the-gleaners-i"} +{"d:Title": "All-Reviews.com: Glengarry Glen Ross", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/G/Glengarry_Glen_Ross", "url": "http://all-reviews.com/videos-4/glengarry-glen-ross.htm"} +{"d:Title": "About.com: Glengarry Glen Ross", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/G/Glengarry_Glen_Ross", "url": "http://homevideo.about.com/library/weekly/aafpr111902.htm"} +{"d:Title": "RollingStone.com - Glengarry Glen Ross", "d:Description": "Includes a review, synopsis, photos, cast and crew, message board, and trivia.", "topic": "Top/Arts/Movies/Titles/G/Glengarry_Glen_Ross", "url": "http://www.rollingstone.com/movies/reviews/glengarry-glen-ross-19921002"} +{"d:Title": "Glengarry Glen Ross (1992)", "d:Description": "Internet Movie Database entry, including plot summary, cast and crew information, and user reviews.", "topic": "Top/Arts/Movies/Titles/G/Glengarry_Glen_Ross", "url": "http://www.imdb.com/title/tt0104348/"} +{"d:Title": "TV Guide Online: Glengarry Glen Ross", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Glengarry_Glen_Ross", "url": "http://www.tvguide.com/movies/glengarry-glen-ross/128805/"} +{"d:Title": "All-Reviews.com - Glitter", "d:Description": "Unfavorable reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Glitter", "url": "http://www.all-reviews.com/videos-3/glitter.htm"} +{"d:Title": "HARO Online - Glitter", "d:Description": "Haro reviews the film, rates it 'Really Bad'.", "topic": "Top/Arts/Movies/Titles/G/Glitter", "url": "http://www.haro-online.com/movies/glitter.html"} +{"d:Title": "Rotten Tomatoes - Glitter", "d:Description": "Reviews of the movie from the nation's top critics and audiences. Also includes movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/G/Glitter", "url": "http://www.rottentomatoes.com/m/glitter/"} +{"d:Title": "IMDb.com - Glitter (2001)", "d:Description": "Cast/crew information, pictures, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Glitter", "url": "http://www.imdb.com/title/tt0118589/"} +{"d:Title": "Metacritic.com: Glitter", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/G/Glitter", "url": "http://www.metacritic.com/movie/glitter"} +{"d:Title": "TV Guide Online - Glitter", "d:Description": "1 star review with cast/crew information, pictures, background details, and video.", "topic": "Top/Arts/Movies/Titles/G/Glitter", "url": "http://www.tvguide.com/movies/glitter/135318/"} +{"d:Title": "HARO Online: Gloomy Sunday", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Gloomy_Sunday", "url": "http://www.haro-online.com/movies/gloomy_sunday.html"} +{"d:Title": "IMDb: Gloria (1980)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Gloria_-_1980", "url": "http://www.imdb.com/title/tt0080798/"} +{"d:Title": "All-Reviews.com - Gloria", "d:Description": "Four reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gloria_-_1999", "url": "http://www.all-reviews.com/videos-3/gloria-1999.htm"} +{"d:Title": "IMDb - Gloria (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gloria_-_1999", "url": "http://www.imdb.com/title/tt0120683/"} +{"d:Title": "TV Guide Online: Gloria", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Gloria_-_1999", "url": "http://www.tvguide.com/movies/gloria/133495/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/G/Glory", "url": "http://www.fast-rewind.com/glory.htm"} +{"d:Title": "Allreaders Review - Glory", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Glory", "url": "http://allreaders.com/movie-review-summary/glory-5292"} +{"d:Title": "TV Guide Online: Glory", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Glory", "url": "http://www.tvguide.com/movies/glory/127674/"} +{"d:Title": "TV Guide Online: Glove", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Glove", "url": "http://www.tvguide.com/movies/glove/124660/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/G/Go", "url": "http://crazy4cinema.com/Review/FilmsG/f_go.html"} +{"d:Title": "All-Reviews.com - Go", "d:Description": "Eleven reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Go", "url": "http://www.all-reviews.com/videos-3/go.htm"} +{"d:Title": "IMDb - Go (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Go", "url": "http://www.imdb.com/title/tt0139239/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Go", "url": "http://www.metacritic.com/movie/go"} +{"d:Title": "Goatboy and the Music Machines", "d:Description": "Audio clips, lyrics, pictures, and background for the documentary.", "topic": "Top/Arts/Movies/Titles/G/Goatboy_and_the_Music_Machines", "url": "http://www.coolcatdaddy.com/goat.htm"} +{"d:Title": "HARO Online - God's Army", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/G/God's_Army", "url": "http://www.haro-online.com/movies/gods_army.html"} +{"d:Title": "IMDb - God's Army (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/God's_Army", "url": "http://www.imdb.com/title/tt0238247/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/God's_Army", "url": "http://www.metacritic.com/movie/gods-army"} +{"d:Title": "God, Sex&Apple Pie", "d:Description": "Official site with synopsis, production information, multimedia, and cast and crew biographies.", "topic": "Top/Arts/Movies/Titles/G/God,_Sex_and_Apple_Pie", "url": "http://www.godsexapplepie.com/"} +{"d:Title": "IMDb: God, Sex&Apple Pie (1998)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/God,_Sex_and_Apple_Pie", "url": "http://www.imdb.com/title/tt0176774/"} +{"d:Title": "Greatest Films - The Godfather, Part II (1974)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_2,_The", "url": "http://www.filmsite.org/godf2.html"} +{"d:Title": "All-Reviews.com - The Godfather, Part II", "d:Description": "Reviews of the movie", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_2,_The", "url": "http://www.all-reviews.com/videos-2/godfather-part2.htm"} +{"d:Title": "IMDb: Godfather: Part II, The (1974)", "d:Description": "Cast, crew, reviews, plot summary and film facts from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_2,_The", "url": "http://www.imdb.com/title/tt0071562/"} +{"d:Title": "AllReaders.com Review - The Godfather Part 2", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Al Pacino, Robert De Niro, Robert Duvall, Diane Keaton, and John Cazale.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_2,_The", "url": "http://allreaders.com/movie-review-summary/the-godfather-ii-6514"} +{"d:Title": "TV Guide Online: The Godfather, Part II", "d:Description": "5 star review, cast and crew, synopsis, and background information.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_2,_The", "url": "http://www.tvguide.com/movies/godfather-ii/124689/"} +{"d:Title": "IMDb: Godfather: Part III, The (1990)", "d:Description": "Cast, crew, reviews, plot summary and film facts from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_3,_The", "url": "http://www.imdb.com/title/tt0099674/"} +{"d:Title": "Suntimes.com", "d:Description": "3 1/2 star review by Roger Ebert", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_3,_The", "url": "http://www.rogerebert.com/reviews/the-godfather-part-iii-1990"} +{"d:Title": "TV Guide's Movie Database - Godfather, Part 3, The", "d:Description": "2 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_Part_3,_The", "url": "http://www.tvguide.com/movies/godfather-iii/128198/"} +{"d:Title": "The Godfather Trilogy", "d:Description": "The original (unofficial) Corleone home since 1995. Features sights, sounds, trivia, transcripts, wallpaper, and interaction with padrinophiles around the world!", "priority": "1", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The", "url": "http://www.thegodfathertrilogy.com/"} +{"d:Title": "Internet Movie Database: The Godfather (1972)", "d:Description": "Plot summary, cast and crew, viewer comments, and related information.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The", "url": "http://www.imdb.com/title/tt0068646/"} +{"d:Title": "All-Reviews: The Godfather", "d:Description": "Four reviews of the movie and video.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The/Reviews", "url": "http://www.all-reviews.com/videos-2/godfather.htm"} +{"d:Title": "FilmSite: The Godfather", "d:Description": "Detailed synopsis by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The/Reviews", "url": "http://www.filmsite.org/godf.html"} +{"d:Title": "The Godfather", "d:Description": "A fan features a review, pictures, and ratings. Other films also presented.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The/Reviews", "url": "http://seetosee.tripod.com/volumeII.html#godfather"} +{"d:Title": "The Godfather", "d:Description": "A fan presents a summary of the film, reissued during the movie's 25th anniversary. Review and cast listing.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The/Reviews", "url": "http://nyarlethotep.tripod.com/index-2.html"} +{"d:Title": "SunTimes: The Godfather", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-godfather-1972"} +{"d:Title": "Allreaders Review: The Godfather", "d:Description": "Review and viewer comments.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-godfather-4367"} +{"d:Title": "TV Guide: The Godfather", "d:Description": "5 star movie review, plot, cast, picture, and background.", "topic": "Top/Arts/Movies/Titles/G/Godfather_Trilogy/Godfather,_The/Reviews", "url": "http://www.tvguide.com/movies/godfather/124688/"} +{"d:Title": "IMDb: Godmoney", "d:Description": "Review of the film with cast/crew information, user ratings and user comments.", "topic": "Top/Arts/Movies/Titles/G/Godmoney", "url": "http://www.imdb.com/title/tt0119208/"} +{"d:Title": "HARO Online: Godsend", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Godsend", "url": "http://www.haro-online.com/movies/godsend.html"} +{"d:Title": "IMDb: Godsend (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/G/Godsend", "url": "http://www.imdb.com/title/tt0335121/"} +{"d:Title": "Rotten Tomatoes: Godsend", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/G/Godsend", "url": "http://www.rottentomatoes.com/m/godsend/"} +{"d:Title": "Filmtracks: Godsend", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Godsend", "url": "http://www.filmtracks.com/titles/godsend.html"} +{"d:Title": "Ten Movies: Godsend", "d:Description": "Movie review of the film by Abhishek Dey includes credits, photographs and links.", "topic": "Top/Arts/Movies/Titles/G/Godsend", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1097"} +{"d:Title": "Chicago Sun-Times: Godsend", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/G/Godsend", "url": "http://www.rogerebert.com/reviews/godsend-2004"} +{"d:Title": "Godspell (1973)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/G/Godspell", "url": "http://www.imdb.com/title/tt0070121/"} +{"d:Title": "Godspell (1973)", "d:Description": "DVD review by Mark Zimmer of this \"rather disappointing presentation of a musical that deserves better.\"", "topic": "Top/Arts/Movies/Titles/G/Godspell", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=20"} +{"d:Title": "AllMovie: Godspell", "d:Description": "Synopsis, review, partial credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/G/Godspell", "url": "http://www.allmovie.com/movie/godspell-v93405"} +{"d:Title": "All-Reviews.com - Gods and Generals", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Generals", "url": "http://all-reviews.com/videos-5/gods-and-generals.htm"} +{"d:Title": "Filmtracks: Gods and Generals", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Generals", "url": "http://www.filmtracks.com/titles/gods_generals.html"} +{"d:Title": "Rotten Tomatoes - Gods and Generals", "d:Description": "Provides photographs, a forum, and links to reviews about the film.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Generals", "url": "http://www.rottentomatoes.com/m/gods_and_generals/"} +{"d:Title": "IMDb - Gods and Generals (2003)", "d:Description": "Provides cast and crew details, plot summary, quotes, and photographs.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Generals", "url": "http://www.imdb.com/title/tt0279111/"} +{"d:Title": "Awesome Stories: Gods and Generals", "d:Description": "Provides historical background information about the people and places of the film.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Generals", "url": "https://www.awesomestories.com/asset/view/Gods-and-Generals"} +{"d:Title": "Gods And Monsters", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Monsters", "url": "http://www.godsandmonsters.net/"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews of the film. Overall review 3 1/2 stars out of four.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Monsters", "url": "http://www.all-reviews.com/videos/gods-and-monsters.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Monsters", "url": "http://www.metacritic.com/movie/gods-and-monsters"} +{"d:Title": "IMDb - Gods and Monsters (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Monsters", "url": "http://www.imdb.com/title/tt0120684/"} +{"d:Title": "Roger Ebert - Gods and Monsters", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Monsters", "url": "http://www.rogerebert.com/reviews/gods-and-monsters-1998"} +{"d:Title": "AllReaders.com Review - Gods and Monsters", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Monsters", "url": "http://allreaders.com/movie-review-summary/gods-and-monsters-4368"} +{"d:Title": "Guardian Unlimited Film: Gods and Monsters", "d:Description": "Summary, reviews, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gods_and_Monsters/Reviews", "url": "http://www.filmunlimited.co.uk/Film_Page/0,4061,36997,00.html"} +{"d:Title": "Total Danger -", "d:Description": "Read \"Godzilla vs The Mysterians\" and the story behind The Story. By Mick Anger", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.totaldanger.com/"} +{"d:Title": "Malachi's Monster Page", "d:Description": "These are Malachi's interviews with Toho monsters, including Godzilla, Mothra and Anguirus. Now with sketches from the Toho Light Opera Company.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.heptune.com/monsters.html"} +{"d:Title": "Godzilla's World", "d:Description": "Pictures and statistics for Godzilla and his fellow kaiju.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://godzillasworld.8m.com/"} +{"d:Title": "King Ghidorah's Godzilla Page", "d:Description": "Sounds, movie reviews, statistics, collectibles, and movie list.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.angelfire.com/ga/KingGhidorah/"} +{"d:Title": "Alec's Godzilla Page", "d:Description": "Drawings by Alex, images from movies, monster statistics.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.angelfire.com/ga/AlecsGodzilla/"} +{"d:Title": "Godzilla Trivia Quiz", "d:Description": "Test a fan's monster movie IQ.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.quizland.com/godzilla.htm"} +{"d:Title": "Tom's Quest of Godzilla", "d:Description": "Site features Godzilla comics, Kaiju Quest, kreate-a-kaiju, art, stories, history, monster index, fan fiction, pictures, and downloads.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://bigg2k.tripod.com/BigG2K/"} +{"d:Title": "Godzilla: A Hollywood Jesus visual film review.", "d:Description": "A Christian look at Godzilla.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://hollywoodjesus.com/godzilla.htm"} +{"d:Title": "Godzilla Tower", "d:Description": "Monster Museum and ultimate monster poll site. The home of WMW: World Monster Wrestling.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.angelfire.com/movies/GodzillaTower/"} +{"d:Title": "Godzillas Gone on a Rage", "d:Description": "Sound clips, pictures, and animated gifs.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://kylemd.tripod.com/godzillasgoneonarage"} +{"d:Title": "Godzilla Monster Music", "d:Description": "A website devoted to the music of Godzilla movies: reviews of CDs, photos from liner notes, lists of pieces on each CD.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.godzillamonstermusic.com/"} +{"d:Title": "Gary's Godzilla Zone", "d:Description": "Background information, reviews of the films, QuickTime video clips, image galleries, details about rival monsters, fan art, and links [requires Flash].", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://gojirastomp.tripod.com/"} +{"d:Title": "Gojira's Domain", "d:Description": "Fan site with fan fiction and multimedia.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://kaijukommand.tripod.com/"} +{"d:Title": "Consters Museum of Godzilla", "d:Description": "A collection of Godzilla humor, including a page about Godzilla biology and an essay on how to be a beautiful kaiju.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://conster74.users5.50megs.com/welcome.html"} +{"d:Title": "The Godzilla Saga", "d:Description": "Reviews and guides to Godzilla movies, the animated TV series and classic Godzilla commercials.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.angelfire.com/ego/g_saga/"} +{"d:Title": "Club Tokyo: The Godzilla Virtual Museum", "d:Description": "List of Godzilla toys as well as toys of related monsters.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.clubtokyo.org/"} +{"d:Title": "Barry's Temple of Godzilla", "d:Description": "Includes movie reviews, photographs, sound clips, cartoons, and animations.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.godzillatemple.com/"} +{"d:Title": "SpaceGodzilla and Ebirah's reviews!", "d:Description": "Reviews of Toho movies by Toho characters.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://gorosaurus.tripod.com/critics.html"} +{"d:Title": "Kevin's Godzilla Dungeon", "d:Description": "Godzilla ABCs and jokes, daikaiju biology, and pictures.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://godzillaboy.tripod.com/index.html"} +{"d:Title": "Godzilla's Terror of Tokyo", "d:Description": "Movie reviews with a twist, a review of the Godzilla Compendium, a message board, an art contest, pictures, MIDIs, and an online Godzilla story.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://gorosaurus.tripod.com/index-2.html"} +{"d:Title": "Wikizilla - Wikia", "d:Description": "Posting about Godzilla, Gamera and related movie monsters since 2005.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://godzilla.wikia.com/"} +{"d:Title": "Topher's Composite Godzilla Movie Ratings", "d:Description": "Movie ratings on all Godzilla movies by five qualified experts with a composite score also given.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies", "url": "http://www.lavasurfer.com/godzilla/topher-zilla-ratings.html"} +{"d:Title": "My Godzilla", "d:Description": "Fan site dedicated to the movie, with pictures, polls, bumper stickers, and other related information.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_-_1998", "url": "http://evil_overlady_fm.tripod.com/mygodzilla/"} +{"d:Title": "All-Reviews.com", "d:Description": "Multiple reviews submitted by various people. Overall rating 2 stars out of 4 stars.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_-_1998", "url": "http://www.all-reviews.com/videos/godzilla.htm"} +{"d:Title": "IMDb - Godzilla (1998)", "d:Description": "Credits", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_-_1998", "url": "http://www.imdb.com/title/tt0120685/"} +{"d:Title": "Roger Ebert - Godzilla", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_-_1998", "url": "http://www.rogerebert.com/reviews/godzilla-1998"} +{"d:Title": "TV Guide Online: Godzilla 1998", "d:Description": "2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_-_1998", "url": "http://www.tvguide.com/movies/godzilla/133311/"} +{"d:Title": "Godzilla", "d:Description": "Amateur site with some pictures and information about the monster.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_2000", "url": "http://www.godzillajr.50megs.com/"} +{"d:Title": "PopMatters - Godzilla 2000", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_2000", "url": "http://popmatters.com/film/reviews/g/godzilla-2000.html"} +{"d:Title": "HARO Online - Godzilla 2000", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_2000", "url": "http://www.haro-online.com/movies/godzilla2000.html"} +{"d:Title": "All-Reviews.com - Godzilla 2000", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_2000", "url": "http://www.all-reviews.com/videos/godzilla-2000.htm"} +{"d:Title": "Rotten Tomatoes - Godzilla 2000", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_2000", "url": "http://www.rottentomatoes.com/m/godzilla_2000/"} +{"d:Title": "IMDb - Godzilla 2000 [Gojira ni-sen mireniamu]", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_2000", "url": "http://www.imdb.com/title/tt0188640/"} +{"d:Title": "Godzilla 2000", "d:Description": "Sony site with movie trailer.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_2000", "url": "http://www.sonypictures.com/movies/godzilla2000/"} +{"d:Title": "MKSTEEL's Godzilla vs. King Ghidorah Site", "d:Description": "Synopsis, credits, pictures, behind the scenes information, and links.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_vs._King_Ghidorah", "url": "http://mksteel.tripod.com/godzillavskingghidorah/"} +{"d:Title": "IMDb: Godzilla vs. King Ghidorah (1991)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Godzilla_Movies/Godzilla_vs._King_Ghidorah", "url": "http://www.imdb.com/title/tt0101962/"} +{"d:Title": "Rotten Tomatoes: God Said Ha!", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/G/God_Said_Ha", "url": "http://www.rottentomatoes.com/m/god_said_ha/"} +{"d:Title": "Imdb.com", "d:Description": "Review of the film, with cast/crew information, user ratings, and user comments.", "topic": "Top/Arts/Movies/Titles/G/God_Said_Ha", "url": "http://www.imdb.com/title/tt0119207/"} +{"d:Title": "IMDb: Gohatto", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/G/Gohatto", "url": "http://www.imdb.com/title/tt0213682/"} +{"d:Title": "IMDb: Going My Way", "d:Description": "Plot summary, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/G/Going_My_Way", "url": "http://www.imdb.com/title/tt0036872/"} +{"d:Title": "The Flick Filosopher: Going My Way", "d:Description": "MaryAnn Johanson's review: \"a delightful movie confection\".", "topic": "Top/Arts/Movies/Titles/G/Going_My_Way", "url": "http://www.flickfilosopher.com/1999/01/going-my-way-review.html"} +{"d:Title": "ImDb.com: Going Overboard", "d:Description": "Cast/crew information with user ratings, and reviews.", "topic": "Top/Arts/Movies/Titles/G/Going_Overboard", "url": "http://www.imdb.com/title/tt0096870/"} +{"d:Title": "Going Upriver: The Long War of John Kerry (2004)", "d:Description": "IMDB entry, including crew listing, list of people who appear in the film, and trailer.", "topic": "Top/Arts/Movies/Titles/G/Going_Upriver_-_The_Long_War_of_John_Kerry", "url": "http://www.imdb.com/title/tt0407263/"} +{"d:Title": "Rotten Tomatoes: Going Upriver: The Long War of John Kerry (2004)", "d:Description": "Synthesis of critical opinion on this documentary, with quotations from reviews and links.", "topic": "Top/Arts/Movies/Titles/G/Going_Upriver_-_The_Long_War_of_John_Kerry", "url": "http://www.rottentomatoes.com/m/going_upriver/"} +{"d:Title": "HARO Online: Going Upriver - The Long War of John Kerry", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Going_Upriver_-_The_Long_War_of_John_Kerry", "url": "http://www.haro-online.com/movies/going_upriver.html"} +{"d:Title": "Rogerebert.com: Going Upriver - The Long War of John Kerry", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/G/Going_Upriver_-_The_Long_War_of_John_Kerry", "url": "http://www.rogerebert.com/reviews/going-upriver-the-long-war-of-john-kerry-2004"} +{"d:Title": "IMDb - Goldbergs, The (1950)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/G/Goldbergs,_The", "url": "http://www.imdb.com/title/tt0042510/"} +{"d:Title": "Cinebooks Database - Goldbergs, The", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/G/Goldbergs,_The", "url": "http://www.tvguide.com/movies/goldbergs/125995/"} +{"d:Title": "IMDb: The Golden Age", "d:Description": "Offers full cast and crew, filming locations, news articles and discussion board.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.imdb.com/title/tt0414055/"} +{"d:Title": "Abbie's Excellent Adventure", "d:Description": "Australian actress will begin work with Cate Blanchett on the period drama sequel Elizabeth: The Golden Age, directed by Shekhar Kapur.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.smh.com.au/news/film/abbies-excellent-adventure/2006/04/06/1143916647420.html"} +{"d:Title": "Ruff Stuff: Blanchett Back as Elizabeth", "d:Description": "The actor is preparing to reprise the role that made her an international star, writes Charlotte Higgins.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.smh.com.au/articles/2006/03/14/1142098462622.html"} +{"d:Title": "Movie Makers Offer the Chance to Star Alongside Cate Blanchett", "d:Description": "The cast and crew of a new film called The Golden Age will be filming on Brean Beach and they are appealing for local men to be extras.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.burnham-on-sea.com/news/2006/movie-03-06-06.shtml"} +{"d:Title": "Cate Revives Her Elizabethan Role", "d:Description": "Cate Blanchett is set to reign again in the role that catapulted her into the Hollywood stratosphere. By Miranda Wood.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.smh.com.au/news/film/cate-revives-her-elizabethan-role/2006/05/20/1147545567910.html"} +{"d:Title": "The Golden Age", "d:Description": "Photos from the set of The Golden Age as filming got underway on Brean.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.burnham-on-sea.com/news/2006/the-golden-age-movie-07-06-06.shtml"} +{"d:Title": "Courtier, Poet, and Adventurer", "d:Description": "Biography and informational article on the life of Sir Walter Raleigh.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.infoplease.com/encyclopedia/people/raleigh-sir-walter-courtier-poet-adventurer.html"} +{"d:Title": "Popwatch: Trailer Blazer -'Elizabeth, The Golden Age", "d:Description": "Shekhar Kapur and Cate Blanchett have reunited to tell the rest of Elizabeth's story, the lethal rivalry with Mary Queen of Scots against the Spanish armada. By Gary Susman.", "topic": "Top/Arts/Movies/Titles/G/Golden_Age,_The", "url": "http://www.ew.com/article/2007/06/27/elizabeth-golde"} +{"d:Title": "HARO Online", "d:Description": "Very short review.", "topic": "Top/Arts/Movies/Titles/G/Golden_Bowl,_The", "url": "http://www.haro-online.com/movies/golden_bowl.html"} +{"d:Title": "Rotten Tomatoes - The Golden Bowl (2000)", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Golden_Bowl,_The", "url": "http://www.rottentomatoes.com/m/golden_bowl/"} +{"d:Title": "IMDb - The Golden Bowl (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Golden_Bowl,_The", "url": "http://www.imdb.com/title/tt0200669/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Golden_Bowl,_The", "url": "http://www.metacritic.com/movie/the-golden-bowl"} +{"d:Title": "IMDb - Golden Child, The", "d:Description": "Features cast and crew details, quotes, trivia, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Golden_Child,_The", "url": "http://www.imdb.com/title/tt0091129/"} +{"d:Title": "Suntimes.com - Golden Child, The", "d:Description": "Roger Ebert's positive review of the film in which he states the film is \"jolly good fun.\"", "topic": "Top/Arts/Movies/Titles/G/Golden_Child,_The", "url": "http://www.rogerebert.com/reviews/the-golden-child-1986"} +{"d:Title": "IMDb: The Golden Compass", "d:Description": "Overview, trivia, quotes, cast, crew and user comments.", "topic": "Top/Arts/Movies/Titles/G/Golden_Compass,_The", "url": "http://www.imdb.com/title/tt0385752/"} +{"d:Title": "Urban Legends Reference Pages: The Golden Compass", "d:Description": "Analyzes the film and tries to show if it is based on books with anti-religious themes.", "topic": "Top/Arts/Movies/Titles/G/Golden_Compass,_The", "url": "http://www.snopes.com/politics/religion/compass.asp"} +{"d:Title": "Wikipedia: The Golden Compass", "d:Description": "Contains title, cast, development, production, controversies and reception.", "topic": "Top/Arts/Movies/Titles/G/Golden_Compass,_The", "url": "http://en.wikipedia.org/wiki/The_Golden_Compass_(film)"} +{"d:Title": "Box Office Mojo: The Golden Compass", "d:Description": "Review of the film by Scott Holleran.", "topic": "Top/Arts/Movies/Titles/G/Golden_Compass,_The", "url": "http://www.boxofficemojo.com/reviews/?id=2427&p=s.htm"} +{"d:Title": "The Golden Compass", "d:Description": "Official site with trailer, information, showtimes and downloads.", "topic": "Top/Arts/Movies/Titles/G/Golden_Compass,_The", "url": "http://www.warnerbros.com/golden-compass"} +{"d:Title": "ReelViews: The Golden Compass", "d:Description": "Review of the film by James Berardinelli. \"'The Golden Compass' ... is an adequate but not inspired translation of the source material.\"", "topic": "Top/Arts/Movies/Titles/G/Golden_Compass,_The", "url": "http://www.reelviews.net/reelviews/golden-compass-the"} +{"d:Title": "Rotten Tomatoes: Golden Door", "d:Description": "Review links, synopsis, critical consensus, credits, photos, news, and a forum.", "topic": "Top/Arts/Movies/Titles/G/Golden_Door,_The", "url": "http://www.rottentomatoes.com/m/golden_door/"} +{"d:Title": "MovieWeb: Golden Door (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and box office data.", "topic": "Top/Arts/Movies/Titles/G/Golden_Door,_The", "url": "http://www.movieweb.com/movie/golden-door"} +{"d:Title": "IMDb - Goldrush: A Real Life Alaskan Adventure", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Goldrush", "url": "http://www.imdb.com/title/tt0119213/"} +{"d:Title": "Moviediva - Gold Diggers of 1933", "d:Description": "Contains plot summary, production history, and pictures.", "topic": "Top/Arts/Movies/Titles/G/Gold_Diggers_of_1933", "url": "http://www.moviediva.com/MD_root/reviewpages/MDGoldDiggers1933.htm"} +{"d:Title": "IMDb - Gold Diggers of 1933", "d:Description": "Features cast and crew details, plot summary, trivia, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Gold_Diggers_of_1933", "url": "http://www.imdb.com/title/tt0024069/"} +{"d:Title": "Greatest Films - The Gold Rush", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/G/Gold_Rush,_The", "url": "http://www.filmsite.org/gold.html"} +{"d:Title": "IMDb - The Gold Rush", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gold_Rush,_The", "url": "http://www.imdb.com/title/tt0015864/"} +{"d:Title": "AllReaders.com - The Gold Rush", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Charlie Chaplan film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/G/Gold_Rush,_The", "url": "http://allreaders.com/movie-review-summary/the-gold-rush-5708"} +{"d:Title": "TV Guide Online: The Gold Rush", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Gold_Rush,_The", "url": "http://www.tvguide.com/movies/gold-rush/132139/"} +{"d:Title": "The Unofficial Gone in 60 Seconds Fan Webpage", "d:Description": "One fan's modest tribute to the 1974 car chase classic.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_1974", "url": "http://tcotrel.tripod.com/gonein60.html"} +{"d:Title": "GoneIn60Seconds.com", "d:Description": "Official site of the 1974 cult classic. Trailers, photos, online greeting cards, cast and crew list.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_1974", "url": "http://www.gonein60seconds.com/"} +{"d:Title": "IMDb - Gone in 60 Seconds (1974)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_1974", "url": "http://www.imdb.com/title/tt0071571/"} +{"d:Title": "Filmtracks: Gone in 60 Seconds", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000", "url": "http://www.filmtracks.com/titles/gone_60_seconds.html"} +{"d:Title": "Rotten Tomatoes - Gone in Sixty Seconds", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000", "url": "http://www.rottentomatoes.com/m/1097865-gone_in_60_seconds/"} +{"d:Title": "Box Office Mojo - Gone in Sixty Seconds", "d:Description": "Daily box office data for Gone in Sixty Seconds. Includes the box office track records of Nicolas Cage and Jerry Bruckheimer.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000", "url": "http://www.boxofficemojo.com/movies/?id=gonein60seconds.htm"} +{"d:Title": "Yahoo Movies: Gone in 60 Seconds", "d:Description": "Includes cast, crew and reviews.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000", "url": "http://movies.yahoo.com/movie/gone-in-60-seconds-2000/"} +{"d:Title": "IMDb - Gone in Sixty Seconds (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000", "url": "http://www.imdb.com/title/tt0187078/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000", "url": "http://www.metacritic.com/movie/gone-in-sixty-seconds"} +{"d:Title": "AllReaders.com Review - Gone in 60 Seconds", "d:Description": "Detailed analysis of the Nicolas Cage film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000", "url": "http://allreaders.com/movie-review-summary/gone-in-60-seconds-5158"} +{"d:Title": "All-Reviews.com: Gone in 60 Seconds", "d:Description": "Offers a collection of reviews.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000/Reviews", "url": "http://www.all-reviews.com/videos/gone-in-60-seconds.htm"} +{"d:Title": "Idlebrain: Gone in Sixty Seconds", "d:Description": "Includes a review of the film.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000/Reviews", "url": "http://www.idlebrain.com/angreji/mr-giss.html"} +{"d:Title": "PopMatters: Gone in 60 Seconds", "d:Description": "Offers a review and cast list.", "topic": "Top/Arts/Movies/Titles/G/Gone_in_Sixty_Seconds_-_2000/Reviews", "url": "http://popmatters.com/film/reviews/g/gone-in-60-seconds.html"} +{"d:Title": "Scarlett Letter, The", "d:Description": "A quarterly periodical for fans.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind", "url": "http://www.thescarlettletter.com/"} +{"d:Title": "Greatest Films: Gone With The Wind", "d:Description": "Plot description and production information.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind", "url": "http://www.filmsite.org/gone.html"} +{"d:Title": "Gone With The Wind", "d:Description": "Official site. Includes merchandise, history, pictures, sweepstakes and postcards.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind", "url": "http://www.gonewiththewindmovie.com/"} +{"d:Title": "Meredy's \"Gone with the Wind\" Tribute", "d:Description": "Includes images, movie synopsis, games, free e-mail, screen saver and desktop theme.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind/Fan_Pages", "url": "http://www.meredy.com/gwtw/"} +{"d:Title": "Scarlett O'Hary's Gone With the Wind Museum", "d:Description": "Information on the museum that offers the largest private collection of GWTW memorabilia.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind/Fan_Pages", "url": "http://www.scarlettohardy.com/"} +{"d:Title": "Gone With The Wind Sound Library", "d:Description": "Over 70 wav files from the memorable film.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind/Fan_Pages", "url": "http://gonewiththewind.50megs.com/"} +{"d:Title": "Scarlett's Tara", "d:Description": "Includes photos, biographies and description.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind/Fan_Pages", "url": "http://www.angelfire.com/ar/gwtw/index.html"} +{"d:Title": "TV Guide Online: Gone With The Wind", "d:Description": "Movie review, plot synopsis, cast list, picture, and history.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind/Fan_Pages", "url": "http://www.tvguide.com/movies/gone-wind/124809/"} +{"d:Title": "All-Reviews.com - Gone with the Wind", "d:Description": "Includes a review for Gone with the Wind and links to other reviews.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind/Reviews", "url": "http://www.all-reviews.com/videos/gone-with-wind.htm"} +{"d:Title": "AllReaders.com - Gone With The Wind", "d:Description": "Detailed analysis of the Clark Gable film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Gone_with_the_Wind/Reviews", "url": "http://allreaders.com/movie-review-summary/gone-with-the-wind-4014"} +{"d:Title": "Rita Kempley: \"Au Revoir Les Enfants\"", "d:Description": "Review of director Louis Malle's \"epitaph to innocence.\"", "topic": "Top/Arts/Movies/Titles/G/Goodbye,_Children", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/aurevoirlesenfantspgkempley_a0ca2d.htm"} +{"d:Title": "Desson Howe: \"Au Revoir Les Enfants\"", "d:Description": "A negative review of the video. Faults it for lack of characterization.", "topic": "Top/Arts/Movies/Titles/G/Goodbye,_Children", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/aurevoirlesenfantspghowe_a0b14d.htm"} +{"d:Title": "IMDb: Au revoir les enfants (1987)", "d:Description": "Plot summaries, viewer comments and rating, cast and credits, nominations and awards.", "topic": "Top/Arts/Movies/Titles/G/Goodbye,_Children", "url": "http://www.imdb.com/title/tt0092593/"} +{"d:Title": "Roger Ebert: Au Revoir les Enfants", "d:Description": "Thoughtful, in-depth review of this film. Gives it 4 stars (highest rating).", "topic": "Top/Arts/Movies/Titles/G/Goodbye,_Children", "url": "http://www.rogerebert.com/reviews/au-revoir-les-enfants-1988"} +{"d:Title": "IMDb - Goodbye Girl, The", "d:Description": "Features cast and crew details, quotes, trivia, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_Girl,_The", "url": "http://www.imdb.com/title/tt0076095/"} +{"d:Title": "Rotten Tomatoes: Goodbye Lover", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_Lover", "url": "http://www.rottentomatoes.com/m/goodbye_lover/"} +{"d:Title": "IMDb: Goodbye Lover (1999)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_Lover", "url": "http://www.imdb.com/title/tt0119219/"} +{"d:Title": "TV Guide Online: Goodbye Lover", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_Lover", "url": "http://www.tvguide.com/movies/goodbye-lover/133657/"} +{"d:Title": "Filmsite.org - Goodbye, Mr. Chips (1939)", "d:Description": "A multipage review by Tim Dirks with dialogue quotes from the film.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_Mr._Chips_-_1939", "url": "http://www.filmsite.org/good.html"} +{"d:Title": "IMDb - Goodbye, Mr. Chips (1939)", "d:Description": "Features cast and crew details, trivia, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_Mr._Chips_-_1939", "url": "http://www.imdb.com/title/tt0031385/"} +{"d:Title": "IMDb - Goodbye People, The (1984)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_People,_The", "url": "http://www.imdb.com/title/tt0091141/"} +{"d:Title": "TV Guide Online: Goodbye People, The", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/G/Goodbye_People,_The", "url": "http://www.tvguide.com/movies/goodbye-people/124964/"} +{"d:Title": "Greatest Films - GoodFellas", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://www.filmsite.org/goodf.html"} +{"d:Title": "All-Reviews.com- Goodfellas", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://www.all-reviews.com/videos-2/goodfellas.htm"} +{"d:Title": "Goodfellas Movie Clips", "d:Description": "Clips from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://thomasanderson89.tripod.com/goodfellas.html"} +{"d:Title": "Reel Faces - Goodfellas", "d:Description": "Explores the real people featured in the film's story, with photographs and related links.", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://www.chasingthefrog.com/reelfaces/goodfellas.php"} +{"d:Title": "Metacritic.com: Goodfellas", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://www.metacritic.com/movie/goodfellas"} +{"d:Title": "IMDb - GoodFellas", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://www.imdb.com/title/tt0099685/"} +{"d:Title": "Allreaders Review - Goodfellas", "d:Description": "Detailed analysis of the Robert De Niro film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://allreaders.com/movie-review-summary/goodfellas-4413"} +{"d:Title": "TV Guide Online: Goodfellas", "d:Description": "4 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Goodfellas", "url": "http://www.tvguide.com/movies/goodfellas/128113/"} +{"d:Title": "HARO Online: Good Boy!", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Good_Boy_-_2003", "url": "http://www.haro-online.com/movies/good_boy.html"} +{"d:Title": "Internet Movie Database: Good Boy!", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/G/Good_Boy_-_2003", "url": "http://imdb.com/title/tt0326900/"} +{"d:Title": "All-Reviews.com - Good Boy!", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Good_Boy_-_2003", "url": "http://www.all-reviews.com/videos-5/good-boy.htm"} +{"d:Title": "An UnOfficial Good Burger Page", "d:Description": "A page dedicated to the movie Good Burger.", "topic": "Top/Arts/Movies/Titles/G/Good_Burger", "url": "http://www.angelfire.com/ca/LumpMaroon/"} +{"d:Title": "All-Reviews.com - Good Burger", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Good_Burger", "url": "http://www.all-reviews.com/videos/good-burger.htm"} +{"d:Title": "TV Guide Movie db.com - Good Burger", "d:Description": "3 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Good_Burger", "url": "http://www.tvguide.com/movies/good-burger/132441/"} +{"d:Title": "IMDB: Good Bye, Lenin! (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/G/Good_Bye,_Lenin", "url": "http://www.imdb.com/title/tt0301357/"} +{"d:Title": "Rotten Tomatoes: Good Bye, Lenin!", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/G/Good_Bye,_Lenin", "url": "http://www.rottentomatoes.com/m/good_bye_lenin/"} +{"d:Title": "HARO Online: Good Bye, Lenin!", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Good_Bye,_Lenin", "url": "http://www.haro-online.com/movies/good_bye_lenin.html"} +{"d:Title": "Chicago Sun-Times: Good Bye, Lenin!", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/G/Good_Bye,_Lenin", "url": "http://www.rogerebert.com/reviews/goodbye-lenin-2004"} +{"d:Title": "IMDb - Good Earth, The (1937)", "d:Description": "Features cast and crew details, plot summary, trivia, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Good_Earth,_The_-_1937", "url": "http://www.imdb.com/title/tt0028944/"} +{"d:Title": "All-Reviews.com - The Good Girl", "d:Description": "Several reviews.", "topic": "Top/Arts/Movies/Titles/G/Good_Girl,_The", "url": "http://www.all-reviews.com/videos-4/good-girl.htm"} +{"d:Title": "HARO Online", "d:Description": "A review by Mongoose. Includes several photos and related links.", "topic": "Top/Arts/Movies/Titles/G/Good_Girl,_The", "url": "http://www.haro-online.com/movies/good_girl.html"} +{"d:Title": "Rotten Tomatoes: The Good Girl", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Good_Girl,_The", "url": "http://www.rottentomatoes.com/m/good_girl/"} +{"d:Title": "Good Girl, The (2002)", "d:Description": "Cast and crew, synopsis, trailer, and related information at IMDb.", "topic": "Top/Arts/Movies/Titles/G/Good_Girl,_The", "url": "http://www.imdb.com/title/tt0279113/"} +{"d:Title": "The Good Girl", "d:Description": "Roger Ebert reviews the film. 3.5 stars. [Chicago Sun-Times]", "topic": "Top/Arts/Movies/Titles/G/Good_Girl,_The", "url": "http://www.rogerebert.com/reviews/the-good-girl-2002"} +{"d:Title": "A.V. Club: The Good Girl", "d:Description": "Review by Keith Phipps.", "topic": "Top/Arts/Movies/Titles/G/Good_Girl,_The", "url": "http://www.avclub.com/review/the-good-girl-17172"} +{"d:Title": "Good Kurds, Bad Kurds", "d:Description": "Official homepage of photojournalist Kevin McKiernan. Includes reviews, festivals and screening schedule and order form.", "topic": "Top/Arts/Movies/Titles/G/Good_Kurds,_Bad_Kurds", "url": "http://www.kevinmckiernan.com/doc.html"} +{"d:Title": "Wikipedia: Good Luck Chuck", "d:Description": "Plot synopsis, cast, trivia, performance, and negative reception from critics.", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://en.wikipedia.org/wiki/Good_Luck_Chuck"} +{"d:Title": "Good Luck Chuck", "d:Description": "Official site with trailer, soundtrack, cast and crew, synopsis and downloads.", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://www.goodluckchuckthemovie.com/"} +{"d:Title": "IMDb: Good Luck Chuck", "d:Description": "Overview, cast, trivia, goofs, user comments and quotes.", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://www.imdb.com/title/tt0452625/"} +{"d:Title": "Rotten Tomatoes: Good Luck Chuck", "d:Description": "Synopsis, photos, videos, news, review links, and showtimes.", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://www.rottentomatoes.com/m/good_luck_chuck/"} +{"d:Title": "New York Daily News: Good Luck Chuck", "d:Description": "Review, by Jack Mathews: \"There's still time, but for now, Fogler gets my vote for the worst performance of the year.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://www.nydailynews.com/entertainment/movies/2007/09/21/2007-09-21_a_pox_on_good_luck_chuck.html"} +{"d:Title": "RogerEbert.com: Good Luck Chuck", "d:Description": "Review by Roger Ebert including a picture. \"The startling thing about the movie is how juvenile it is.\"", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://www.rogerebert.com/reviews/good-luck-chuck-2007"} +{"d:Title": "IGN Movies: Good Luck Chuck", "d:Description": "Photos, videos, news, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://www.ign.com/movies/good-luck-chuck/theater-896779"} +{"d:Title": "MovieWeb: Good Luck Chuck (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/G/Good_Luck_Chuck", "url": "http://www.movieweb.com/movie/good-luck-chuck"} +{"d:Title": "Washington Post - A Good Man in Africa", "d:Description": "Review by Hal Hinson (predominantly negative).", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/agoodmaninafricarhinson_a0a84c.htm"} +{"d:Title": "Washington Post - A Good Man in Africa", "d:Description": "Review by Joe Brown (negative).", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/agoodmaninafricarbrown_a0ae28.htm"} +{"d:Title": "Deseret News - A Good Man in Africa", "d:Description": "Review by Chris Hicks (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.deseretnews.com/article/700000700/Good-Man-in-Africa-A.html"} +{"d:Title": "IMDb - Good Man in Africa, A (1994)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.imdb.com/title/tt0109920/"} +{"d:Title": "rec.arts.movies.reviews - Good Man in Africa, A (1994)", "d:Description": "Review by Eric Mankin (negative).", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.imdb.com/reviews/28/2849.html"} +{"d:Title": "San Francisco Chronicle - Stern Lessons from Good Man", "d:Description": "Review by Mick LaSalle (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.sfgate.com/movies/article/Stern-Lessons-From-Good-Man-3044583.php"} +{"d:Title": "Chicago Sun-Times - A Good Man in Africa", "d:Description": "Review by Roger Ebert (mixed), rating.", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.rogerebert.com/reviews/a-good-man-in-africa-1994"} +{"d:Title": "Austin Chronicle - A Good Man in Africa", "d:Description": "Review by Robert Faires (negative), rating.", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.austinchronicle.com/calendar/film/1994-09-16/138471/"} +{"d:Title": "Cinebooks Database - Good Man in Africa, A", "d:Description": "Review by Brian Camp (negative), rating.", "topic": "Top/Arts/Movies/Titles/G/Good_Man_in_Africa,_A", "url": "http://www.tvguide.com/movies/good-man-africa/130230/"} +{"d:Title": "WWWF Grudge Match: Adrian Cronauer vs. Dr. Johnny Fever", "d:Description": "Adrian Cronauer battles fellow disc jockey Dr. Johnny Fever (WKRP in Cincinnati) over a promo gig. [Humor]", "topic": "Top/Arts/Movies/Titles/G/Good_Morning,_Vietnam", "url": "http://www.grudge-match.com/History/cronauer-fever.shtml"} +{"d:Title": "Rotten Tomatoes: Good Morning, Vietnam", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Good_Morning,_Vietnam", "url": "http://www.rottentomatoes.com/m/good_morning_vietnam/"} +{"d:Title": "IMDb: Good Morning, Vietnam (1987)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Good_Morning,_Vietnam", "url": "http://www.imdb.com/title/tt0093105/"} +{"d:Title": "Chicago Sun-Times: Good Morning, Vietnam", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/G/Good_Morning,_Vietnam", "url": "http://www.rogerebert.com/reviews/good-morning-vietnam-1988"} +{"d:Title": "TV Guide Movie db.com - Good Morning, Vietnam", "d:Description": "3 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Good_Morning,_Vietnam", "url": "http://www.tvguide.com/movies/good-morning-vietnam/124935/"} +{"d:Title": "IMDb - Good Night, and Good Luck. (2005)", "d:Description": "Cast, crew, reviews, plot summary, user comments and showtimes.", "topic": "Top/Arts/Movies/Titles/G/Good_Night,_and_Good_Luck", "url": "http://www.imdb.com/title/tt0433383/"} +{"d:Title": "Wikipedia - Good Night, and Good Luck", "d:Description": "Hyperlinked encyclopedia article includes awards and nominations, plot synopsis, character profiles and film trivia.", "topic": "Top/Arts/Movies/Titles/G/Good_Night,_and_Good_Luck", "url": "http://en.wikipedia.org/wiki/Good_Night,_and_Good_Luck."} +{"d:Title": "Script-O-Rama - Good Night And Good Luck", "d:Description": "Full script taken from a transcript of the screenplay and/or viewings of the film about Edward R. Murrow, CBS and Senator Joe McCarthy.", "topic": "Top/Arts/Movies/Titles/G/Good_Night,_and_Good_Luck", "url": "http://www.script-o-rama.com/movie_scripts/g/good-night-and-good-luck-script.html"} +{"d:Title": "Metacritic - Good Night, and Good Luck (2005)", "d:Description": "Collection of reviews plus metascore and user rating.", "topic": "Top/Arts/Movies/Titles/G/Good_Night,_and_Good_Luck", "url": "http://www.metacritic.com/movie/good-night-and-good-luck"} +{"d:Title": "Teen Movie Critic", "d:Description": "Review of The Good Son, also contain other daily and weekly reviews.", "topic": "Top/Arts/Movies/Titles/G/Good_Son,_The", "url": "http://www.dreamagic.com/vivianrose/11-10-97.html"} +{"d:Title": "Washingtonpost.com", "d:Description": "A Review of The Good Son, by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/G/Good_Son,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thegoodsonrhinson_a0a843.htm"} +{"d:Title": "TV Guide Online: The Good Son", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Good_Son,_The", "url": "http://www.tvguide.com/movies/good-son/129433/"} +{"d:Title": "Popentertainment.com: The Good Thief", "d:Description": "Jay S. Jacobs reviews the Nick Nolte film.", "topic": "Top/Arts/Movies/Titles/G/Good_Thief,_The", "url": "http://www.popentertainment.com/goodthief.htm"} +{"d:Title": "All-Reviews.com: The Good Thief", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Good_Thief,_The", "url": "http://www.all-reviews.com/videos-5/good-thief.htm"} +{"d:Title": "The London Movie Review: Good Thief", "d:Description": "A review by Matthew Turner of Neil Jordan's 'The Good Thief,' starring Nick Nolte, Nutsa Kukhiandze, Tcheky Karyo, Ralph Fiennes and Emir Kusturica.", "topic": "Top/Arts/Movies/Titles/G/Good_Thief,_The", "url": "http://www.viewlondon.co.uk/films/the-good-thief-film-review-3831.html"} +{"d:Title": "IMDb: Good Thief, The (2002)", "d:Description": "Features cast and crew details, quotes, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Good_Thief,_The", "url": "http://www.imdb.com/title/tt0281820/"} +{"d:Title": "Chicago Sun-Times: The Good Thief", "d:Description": "Roger Ebert's take on the film.", "topic": "Top/Arts/Movies/Titles/G/Good_Thief,_The", "url": "http://www.rogerebert.com/reviews/the-good-thief-2003"} +{"d:Title": "All-Reviews.com", "d:Description": "A selection of reviews submitted by different authors.", "topic": "Top/Arts/Movies/Titles/G/Good_Will_Hunting", "url": "http://www.all-reviews.com/videos/good-will-hunting.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Good_Will_Hunting", "url": "http://www.metacritic.com/movie/good-will-hunting"} +{"d:Title": "IMDb - Good Will Hunting (1997)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/G/Good_Will_Hunting", "url": "http://www.imdb.com/title/tt0119217/"} +{"d:Title": "Roger Ebert - Good Will Hunting", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/G/Good_Will_Hunting", "url": "http://www.rogerebert.com/reviews/good-will-hunting-1997"} +{"d:Title": "AllReaders.com - Good Will Hunting", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Good_Will_Hunting", "url": "http://allreaders.com/movie-review-summary/good-will-hunting-4691"} +{"d:Title": "TV Guide Movie: Good Will Hunting", "d:Description": "Plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Good_Will_Hunting", "url": "http://www.tvguide.com/movies/good-hunting/132732/"} +{"d:Title": "ReelWavs.com - Good Will Hunting", "d:Description": "Features sound files from the film including lengthy dialog about why working for the National Security Administration is not for Will Hunting.", "topic": "Top/Arts/Movies/Titles/G/Good_Will_Hunting/Downloads", "url": "http://www.reelwavs.com/sounds/goodwillhunting/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/G/Goonies,_The", "url": "http://www.fast-rewind.com/goonies.htm"} +{"d:Title": "LynchBizkit's Site", "d:Description": "Contains pictures, sounds, and links.", "topic": "Top/Arts/Movies/Titles/G/Goonies,_The", "url": "http://www.angelfire.com/tn2/goonies/"} +{"d:Title": "The Goonies Sound Site", "d:Description": "Sounds files of each character, as well as deleted scenes sounds.", "topic": "Top/Arts/Movies/Titles/G/Goonies,_The", "url": "http://goonies.freewebspace.com/"} +{"d:Title": "HARO Online: Gosford Park", "d:Description": "Review looking at the large cast and many sub-plots.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://www.haro-online.com/movies/gosford_park.html"} +{"d:Title": "All-Reviews: Gosford Park", "d:Description": "Various reviews and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://www.all-reviews.com/videos-4/gosford-park.htm"} +{"d:Title": "About.com: Gosford Park", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://homevideo.about.com/library/weekly/aafpr060602.htm"} +{"d:Title": "Cosmopolis: Gosford Park", "d:Description": "Review of the film's artistic merit by Louis Gerber.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://www.cosmopolis.ch/english/cosmo28/gosford_park.htm"} +{"d:Title": "Coldbacon: Gosford Park", "d:Description": "Review and related links.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://www.coldbacon.com/movies/gosfordpark.html"} +{"d:Title": "BBC News: Altman meets Agatha in Gosford Park", "d:Description": "Gosford Park, from American director Robert Altman, is a sumptous, old-fashioned British epic with a star-studded cast.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://news.bbc.co.uk/1/hi/entertainment/reviews/1639433.stm"} +{"d:Title": "IMDb: Gosford Park", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://www.imdb.com/title/tt0280707/"} +{"d:Title": "Metacritic.com: Gosford Park", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/G/Gosford_Park", "url": "http://www.metacritic.com/movie/gosford-park"} +{"d:Title": "HARO Online: Gospel of John", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Gospel_of_John", "url": "http://www.haro-online.com/movies/gospel_of_john.html"} +{"d:Title": "Internet Movie Database: Gospel of John (2003)", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, trivia, production and distribution details, trailer, and links.", "topic": "Top/Arts/Movies/Titles/G/Gospel_of_John", "url": "http://imdb.com/title/tt0377992/"} +{"d:Title": "Filmtracks: The Gospel of John", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gospel_of_John", "url": "http://www.filmtracks.com/titles/gospel_john.html"} +{"d:Title": "All-Reviews.com: Gossip", "d:Description": "Collection of movie reviews and other information.", "topic": "Top/Arts/Movies/Titles/G/Gossip", "url": "http://www.all-reviews.com/videos/gossip.htm"} +{"d:Title": "HARO Online - Gossip", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/G/Gossip", "url": "http://www.haro-online.com/movies/gossip.html"} +{"d:Title": "PopMatters - Gossip", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/G/Gossip", "url": "http://popmatters.com/film/reviews/g/gossip.html"} +{"d:Title": "Rotten Tomatoes - Gossip", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/G/Gossip", "url": "http://www.rottentomatoes.com/m/gossip/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Gossip", "url": "http://www.metacritic.com/movie/gossip"} +{"d:Title": "IMDb - Gossip", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Gossip", "url": "http://www.imdb.com/title/tt0176783/"} +{"d:Title": "John Polidori and the Vampyre Byron", "d:Description": "The story behind the film 'Gothic'.", "topic": "Top/Arts/Movies/Titles/G/Gothic", "url": "http://www.angelfire.com/jazz/louxsie/polidori.html"} +{"d:Title": "The Science Fiction, Horror and Fantasy Film Review: Gothic", "d:Description": "Review by Richard Scheib.", "topic": "Top/Arts/Movies/Titles/G/Gothic", "url": "http://www.moria.co.nz/horror/gothic.htm"} +{"d:Title": "Rotten Tomatoes: Gothic", "d:Description": "Reviews, and other movie info.", "topic": "Top/Arts/Movies/Titles/G/Gothic", "url": "http://www.rottentomatoes.com/m/gothic/"} +{"d:Title": "IMDb: Gothic", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Gothic", "url": "http://www.imdb.com/title/tt0091142/"} +{"d:Title": "KillerMovies: Gothika", "d:Description": "Contains synopsis, trailers and production news archive.", "topic": "Top/Arts/Movies/Titles/G/Gothika", "url": "http://www.killermovies.com/g/gothika/"} +{"d:Title": "TEN Movies: Gothika", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/G/Gothika", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1065"} +{"d:Title": "Mooviees!: Gothika", "d:Description": "Features images, trailers, reviews, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/G/Gothika", "url": "http://www.mooviees.com/2004-gothika/movie"} +{"d:Title": "Rotten Tomatoes: Gothika", "d:Description": "Includes synopsis, production notes, trailers, news, cast and crew.", "topic": "Top/Arts/Movies/Titles/G/Gothika", "url": "http://www.rottentomatoes.com/m/gothika/"} +{"d:Title": "Internet Movie Database: Gothika", "d:Description": "Contains synopsis, trailer, news, images, cast and crew.", "topic": "Top/Arts/Movies/Titles/G/Gothika", "url": "http://www.imdb.com/title/tt0348836/"} +{"d:Title": "All-Reviews.com: Gothika", "d:Description": "Reviews and ratings.", "topic": "Top/Arts/Movies/Titles/G/Gothika/Reviews", "url": "http://www.all-reviews.com/videos-5/gothika.htm"} +{"d:Title": "Filmtracks: Gothika", "d:Description": "Soundtrack review, track listings and audio clips.", "topic": "Top/Arts/Movies/Titles/G/Gothika/Reviews", "url": "http://www.filmtracks.com/titles/gothika.html"} +{"d:Title": "HARO Online: Gothika", "d:Description": "Contains review and movie stills.", "topic": "Top/Arts/Movies/Titles/G/Gothika/Reviews", "url": "http://www.haro-online.com/movies/gothika.html"} +{"d:Title": "All-Reviews.com: The Governess", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/G/Governess,_The", "url": "http://www.all-reviews.com/videos-4/governess.htm"} +{"d:Title": "IMDb: The Governess", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Governess,_The", "url": "http://www.imdb.com/title/tt0120687/"} +{"d:Title": "TV Guide Online: The Governess", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Governess,_The", "url": "http://www.tvguide.com/movies/governess/133302/"} +{"d:Title": "IMDb: Goya's Ghosts (2006)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/G/Goya's_Ghosts", "url": "http://www.imdb.com/title/tt0455957/"} +{"d:Title": "Rotten Tomatoes: Goya's Ghosts", "d:Description": "Review links, synopsis, critical consensus, production notes, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/G/Goya's_Ghosts", "url": "http://www.rottentomatoes.com/m/goyas_ghosts/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, and box office performance.", "topic": "Top/Arts/Movies/Titles/G/Goya's_Ghosts", "url": "http://en.wikipedia.org/wiki/Goya's_Ghosts"} +{"d:Title": "IGN Movies: Goya's Ghosts", "d:Description": "Photos, videos, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/G/Goya's_Ghosts", "url": "http://www.ign.com/movies/goyas-ghosts/theater-903821"} +{"d:Title": "MovieWeb: Goya's Ghosts (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/G/Goya's_Ghosts", "url": "http://www.movieweb.com/movie/goyas-ghosts"} +{"d:Title": "HARO Online", "d:Description": "Review by Mongoose. Overall rating: 'OK'", "topic": "Top/Arts/Movies/Titles/G/Goya_in_Bordeaux", "url": "http://www.haro-online.com/movies/goya_in_bordeaux.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Goya_in_Bordeaux", "url": "http://www.metacritic.com/movie/goya-in-bordeaux"} +{"d:Title": "Voice Yourself", "d:Description": "Project started by Woody Harrelson and Laura Louie featured in the movie. Includes information about the bike and bus tour, recipes, a guide to farms, and a forum.", "topic": "Top/Arts/Movies/Titles/G/Go_Further", "url": "http://www.voiceyourself.com/"} +{"d:Title": "HARO Online: Go Further", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Go_Further", "url": "http://www.haro-online.com/movies/go_further.html"} +{"d:Title": "TV Guide Online: Go Now", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Go_Now", "url": "http://www.tvguide.com/movies/now/133036/"} +{"d:Title": "HARO Online - Go Tigers!", "d:Description": "Mongoose reviews the documentary \"Go Tigers!\" Rates it \"Pretty Good\".", "topic": "Top/Arts/Movies/Titles/G/Go_Tigers", "url": "http://www.haro-online.com/movies/go_tigers.html"} +{"d:Title": "IMDb.com - Go Tigers!", "d:Description": "Cast/crew information, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Go_Tigers", "url": "http://www.imdb.com/title/tt0270971/"} +{"d:Title": "Metacritic.com: Go Tigers", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/G/Go_Tigers", "url": "http://www.metacritic.com/movie/go-tigers!"} +{"d:Title": "GPS - The Movie", "d:Description": "A film based on GPS treasure hunting.", "topic": "Top/Arts/Movies/Titles/G/GPS", "url": "http://www.gpsthemovie.com/"} +{"d:Title": "G.P.S. (2007)", "d:Description": "Cast and credits, summary, trivia.", "topic": "Top/Arts/Movies/Titles/G/GPS", "url": "http://www.imdb.com/title/tt0464006/"} +{"d:Title": "IMDb: Gracie (2007)", "d:Description": "Photo gallery, videos, plot outline, cast list, trivia, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/G/Gracie", "url": "http://www.imdb.com/title/tt0441007/"} +{"d:Title": "Rotten Tomatoes: Gracie", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/G/Gracie", "url": "http://www.rottentomatoes.com/m/gracie/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, historical background, production, reception, and soundtrack.", "topic": "Top/Arts/Movies/Titles/G/Gracie", "url": "http://en.wikipedia.org/wiki/Gracie_(film)"} +{"d:Title": "The Boston Globe: Gracie", "d:Description": "Review, by Ty Burr: \"An inspirational sports movie, soccer subdivision, and it stops at every expected station of the cross on its road to the triumphant against-all-odds finale (in sudden-death overtime, yet). Yet it also feels appealingly handmade in a way most jock dramas don't.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/G/Gracie", "url": "http://www.boston.com/ae/movies/articles/2007/06/01/for_the_love_of_family_and_a_game_in_gracie/"} +{"d:Title": "New York Times: Gracie", "d:Description": "Review, by Jeannette Catsoulis: \"A familiar underdog story told with unusual sensitivity.\"", "topic": "Top/Arts/Movies/Titles/G/Gracie", "url": "http://www.nytimes.com/2007/05/31/movies/01grac.html?ref=movies"} +{"d:Title": "MovieWeb: Gracie (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/G/Gracie", "url": "http://www.movieweb.com/movie/gracie"} +{"d:Title": "The Graduate - A Film of Dreams", "d:Description": "Includes reviews, script, quotes from interviews, pictures, and sound files.", "topic": "Top/Arts/Movies/Titles/G/Graduate,_The", "url": "http://sarcasmalley.com/"} +{"d:Title": "Greatest Films - The Graduate", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/G/Graduate,_The", "url": "http://www.filmsite.org/grad.html"} +{"d:Title": "All-Reviews.com: The Graduate", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/G/Graduate,_The", "url": "http://www.all-reviews.com/videos-4/graduate.htm"} +{"d:Title": "The Graduate: A Resource for Film Students and Fans", "d:Description": "Reviews and essays, pictures, actor biographies, trivia, and soundtrack information on the 1967 film.", "topic": "Top/Arts/Movies/Titles/G/Graduate,_The", "url": "http://web.blomand.net/~dennmac/"} +{"d:Title": "IMDB : Graduate, The (1967)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, trivia, goofs, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/G/Graduate,_The", "url": "http://www.imdb.com/title/tt0061722/"} +{"d:Title": "Allreaders Review - The Graduate", "d:Description": "Detailed analysis of the Dustin Hoffman film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Graduate,_The", "url": "http://allreaders.com/movie-review-summary/the-graduate-4485"} +{"d:Title": "TV Guide Online: Graduate, The", "d:Description": "4 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Graduate,_The", "url": "http://www.tvguide.com/movies/graduate/124996/"} +{"d:Title": "All-Reviews.com - Grand Canyon", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Grand_Canyon", "url": "http://www.all-reviews.com/videos-3/grand-canyon.htm"} +{"d:Title": "Greatest Films: Grand Hotel", "d:Description": "Overview of the movie with quotes.", "topic": "Top/Arts/Movies/Titles/G/Grand_Hotel", "url": "http://www.filmsite.org/gran.html"} +{"d:Title": "Rotten Tomatoes: Grand Hotel", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Grand_Hotel", "url": "http://www.rottentomatoes.com/m/grand_hotel/"} +{"d:Title": "IMDb: Grand Hotel", "d:Description": "Plot summary, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/G/Grand_Hotel", "url": "http://www.imdb.com/title/tt0022958/"} +{"d:Title": "The Flick Filosopher: Grand Hotel", "d:Description": "MaryAnn Johanson's review: \"the ultimate in Depression-era escapism\".", "topic": "Top/Arts/Movies/Titles/G/Grand_Hotel", "url": "http://www.flickfilosopher.com/1999/01/grand-hotel-review.html"} +{"d:Title": "About.com Home Video: Grand Illusion", "d:Description": "Ivana Redwine reviews the Criterion Collection DVD version of this film.", "topic": "Top/Arts/Movies/Titles/G/Grand_Illusion", "url": "http://homevideo.about.com/library/weekly/aa080801a.htm"} +{"d:Title": "Jonathan Rosenbaum: Grand Illusion", "d:Description": "Capsule review of this antiwar film without a single combat scene.", "topic": "Top/Arts/Movies/Titles/G/Grand_Illusion", "url": "http://onfilm.chicagoreader.com/movies/capsules/18020_GRAND_ILLUSION__RESTORATION_.html"} +{"d:Title": "IMDb: Grande illusion, La (1937)", "d:Description": "Cast and credits, user comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/G/Grand_Illusion", "url": "http://www.imdb.com/title/tt0028950/"} +{"d:Title": "Chicago Sun-Times: Grand Illusion", "d:Description": "Full review by Roger Ebert, part of a series on his hand-picked \"great movies.\"", "topic": "Top/Arts/Movies/Titles/G/Grand_Illusion", "url": "http://www.rogerebert.com/reviews/great-movie-grand-illusion-1937"} +{"d:Title": "Dave Kehr: Grand Illusion", "d:Description": "Capsule review of what was long considered Jean Renoir's masterpiece.", "topic": "Top/Arts/Movies/Titles/G/Grand_Illusion", "url": "http://www.chicagoreader.com/chicago/grand-illusion/Film?oid=1150958"} +{"d:Title": "TV Guide: Grand Illusion", "d:Description": "Includes review, plot summary, and cast information. Rated 5/5.", "topic": "Top/Arts/Movies/Titles/G/Grand_Illusion", "url": "http://www.tvguide.com/movies/grand-illusion/125008/"} +{"d:Title": "Greatest Films - The Grapes Of Wrath", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/G/Grapes_of_Wrath,_The_-_1940", "url": "http://www.filmsite.org/grap.html"} +{"d:Title": "Teach with Movies - The Grapes of Wrath", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/G/Grapes_of_Wrath,_The_-_1940", "url": "http://www.teachwithmovies.org/guides/grapes-of-wrath.html"} +{"d:Title": "All-Reviews.com: The Grapes of Wrath", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/G/Grapes_of_Wrath,_The_-_1940", "url": "http://www.all-reviews.com/videos-4/grapes-of-wrath.htm"} +{"d:Title": "IMDb - The Grapes of Wrath", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Grapes_of_Wrath,_The_-_1940", "url": "http://www.imdb.com/title/tt0032551/"} +{"d:Title": "Sphinx Productions: Grass", "d:Description": "Includes a trailer, photos, and links to reviews.", "topic": "Top/Arts/Movies/Titles/G/Grass", "url": "http://www.sphinxproductions.com/films/grass/"} +{"d:Title": "IMDb: Grass", "d:Description": "Cast, crew, reviews, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Grass", "url": "http://www.imdb.com/title/tt0214730/"} +{"d:Title": "Metacritic.com: Grass", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Grass", "url": "http://www.metacritic.com/movie/grass"} +{"d:Title": "HARO Online: Grateful Dawg", "d:Description": "Documentary review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Grateful_Dawg", "url": "http://www.haro-online.com/movies/grateful_dawg.html"} +{"d:Title": "Rotten Tomatoes: Grateful Dawg", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Grateful_Dawg", "url": "http://www.rottentomatoes.com/m/grateful_dawg/"} +{"d:Title": "IMDb: Grateful Dawg (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Grateful_Dawg", "url": "http://www.imdb.com/title/tt0265212/"} +{"d:Title": "New York Times - In Brooklyn, Boredom Can Be Fatal", "d:Description": "Review by Stephen Holden (mixed). [Requires free registration.]", "topic": "Top/Arts/Movies/Titles/G/Gravesend", "url": "http://www.nytimes.com/library/film/gravesend-film-review.html"} +{"d:Title": "IMDb - Gravesend (1997)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/G/Gravesend", "url": "http://www.imdb.com/title/tt0119220/"} +{"d:Title": "San Francisco Chronicle - Bodies Pile Up On Four Buddies, The", "d:Description": "Review by Peter Stack (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/G/Gravesend", "url": "http://www.sfgate.com/movies/article/The-Bodies-Pile-Up-On-Four-Buddies-Young-2804459.php"} +{"d:Title": "San Francisco Examiner - A Wunderkind Visits Mean Streets", "d:Description": "Review by David Armstrong (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/G/Gravesend", "url": "http://www.sfgate.com/news/article/A-wunderkind-visits-mean-streets-3098965.php"} +{"d:Title": "rec.arts.movies.reviews - Gravesend (1997)", "d:Description": "Review by Ben Hoffman (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/G/Gravesend", "url": "http://www.imdb.com/reviews/90/9009.html"} +{"d:Title": "rec.arts.movies.reviews - Gravesend (1997)", "d:Description": "Review by Steve Rhodes (negative), rating.", "topic": "Top/Arts/Movies/Titles/G/Gravesend", "url": "http://www.imdb.com/reviews/90/9093.html"} +{"d:Title": "Chicago Sun-Times - Gravesend", "d:Description": "Review by Roger Ebert (mixed), rating.", "topic": "Top/Arts/Movies/Titles/G/Gravesend", "url": "http://www.rogerebert.com/reviews/gravesend-1997"} +{"d:Title": "All-Reviews.com- Grease", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease", "url": "http://www.all-reviews.com/videos/grease.htm"} +{"d:Title": "Rotten Tomatoes: Grease", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease", "url": "http://www.rottentomatoes.com/m/grease/"} +{"d:Title": "IMDb: Grease (1978)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease", "url": "http://www.imdb.com/title/tt0077631/"} +{"d:Title": "Allreaders Grease Spotlight", "d:Description": "Analysis of the movie and reviews by site members.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease", "url": "http://allreaders.com/movie-review-summary/grease-3693"} +{"d:Title": "TV Guide - Grease", "d:Description": "2 1/2 star review, plot, cast, picture, with background information.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease", "url": "http://www.tvguide.com/movies/grease/125036/"} +{"d:Title": "WebRing: Grease", "d:Description": "Listing fan pages about Grease and the stars.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease/Directories", "url": "http://nav.webring.org/navcgi?ring=frogstone"} +{"d:Title": "Son of Grease: A Tribute to Grease 2", "d:Description": "Fan site with plot overview, cast and characters, multimedia, forum, and links.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease_2", "url": "http://www.sonofgrease.org/"} +{"d:Title": "Grease 2 The Ultimate Fansite", "d:Description": "Fan site dedicated to the movie musical, Grease 2. Stars Maxwell Caulfield and Michelle Pfeiffer as part of the Class of 1961 of Rydell High.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease_2", "url": "http://grease2.net/"} +{"d:Title": "TV Guide Movie db.com - Grease 2", "d:Description": "2 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/G/Grease_Series/Grease_2", "url": "http://www.tvguide.com/movies/grease-2/125037/"} +{"d:Title": "IMDb.com - Greatest Places, The", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/G/Greatest_Places,_The", "url": "http://www.imdb.com/title/tt0157721/"} +{"d:Title": "Charlton Heston World - The Greatest Show on Earth", "d:Description": "Fan site with cast/crew information, pictures, background information, and synopsis.", "topic": "Top/Arts/Movies/Titles/G/Greatest_Show_on_Earth,_The", "url": "http://charltonhestonworld.homestead.com/GreatestShowOnEarth.html"} +{"d:Title": "IMDb - Greatest Show on Earth, The", "d:Description": "Contains cast and crew details, plot summary, quotes, goofs, trivia, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Greatest_Show_on_Earth,_The", "url": "http://www.imdb.com/title/tt0044672/"} +{"d:Title": "AllReaders.com - Great Balls of Fire", "d:Description": "Detailed analysis of the Winona Ryder film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Great_Balls_of_Fire", "url": "http://allreaders.com/movie-review-summary/great-balls-of-fire-4095"} +{"d:Title": "Imperialclub.com: The Great Dictator", "d:Description": "Features still photos and video clips of the 1932 Chrysler Imperial from the film.", "topic": "Top/Arts/Movies/Titles/G/Great_Dictator,_The", "url": "http://www.imperialclub.com/Movies/Dictator/index.htm"} +{"d:Title": "IMDb - Great Dictator, The", "d:Description": "Features cast and crew details, quotes, trivia, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Great_Dictator,_The", "url": "http://www.imdb.com/title/tt0032553/"} +{"d:Title": "IMDb: The Great Escape", "d:Description": "Movie poster, plot outline, cast, comments and film summary.", "topic": "Top/Arts/Movies/Titles/G/Great_Escape,_The", "url": "http://www.imdb.com/title/tt0057115/"} +{"d:Title": "TV Guide Online: The Great Escape", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Great_Escape,_The", "url": "http://www.tvguide.com/movies/great-escape/125065/"} +{"d:Title": "IMDb: Great Expectations (1946)", "d:Description": "Cast, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/G/Great_Expectations_-_1946", "url": "http://www.imdb.com/title/tt0038574/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/G/Great_Expectations_-_1998", "url": "http://crazy4cinema.com/Review/FilmsG/f_expectations.html"} +{"d:Title": "The New York Times: Great Expectations", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/G/Great_Expectations_-_1998", "url": "http://www.nytimes.com/1998/01/30/movies/film-review-tale-of-two-stories-this-one-with-a-ms.html"} +{"d:Title": "AllReaders.com Great Expectations Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Great_Expectations_-_1998", "url": "http://allreaders.com/movie-review-summary/great-expectations-5049"} +{"d:Title": "TV Guide Online: Great Expectations", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/G/Great_Expectations_-_1998", "url": "http://www.tvguide.com/movies/great-expectations/132383/"} +{"d:Title": "IMDb - The Great Santini", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Great_Santini,_The", "url": "http://www.imdb.com/title/tt0079239/"} +{"d:Title": "TV Guide Online: The Great Santini", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Great_Santini,_The", "url": "http://www.tvguide.com/movies/great-santini/125125/"} +{"d:Title": "IMDb: The First Great Train Robbery", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Great_Train_Robbery,_The", "url": "http://www.imdb.com/title/tt0079240/"} +{"d:Title": "AllReaders.com Great Train Robbery Spotlight", "d:Description": "A detailed analysis of the film and list of similar films.", "topic": "Top/Arts/Movies/Titles/G/Great_Train_Robbery,_The", "url": "http://allreaders.com/movie-review-summary/the-great-train-robbery-4145"} +{"d:Title": "Screenit.com - Great White Hype, The", "d:Description": "A parent's guide to the film which describes inappropriate behavior and dialogue in the movie.", "topic": "Top/Arts/Movies/Titles/G/Great_White_Hype,_The", "url": "http://www.screenit.com/movies/1996/the_great_white_hype.html"} +{"d:Title": "IMDb - Great White Hype, The", "d:Description": "Features cast and crew details, quotes, goofs, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Great_White_Hype,_The", "url": "http://www.imdb.com/title/tt0116448/"} +{"d:Title": "Movie Mirrors: The Great Ziegfeld", "d:Description": "Summary of the movie.", "topic": "Top/Arts/Movies/Titles/G/Great_Ziegfeld,_The", "url": "http://www.san.beck.org/MM/1936/GreatZiegfeld.html"} +{"d:Title": "IMDb: The Great Ziegfeld", "d:Description": "Plot outline, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/G/Great_Ziegfeld,_The", "url": "http://www.imdb.com/title/tt0027698/"} +{"d:Title": "The Flick Filosopher", "d:Description": "MaryAnn Johanson's review: \"Get past the set pieces that date the movie and make it twice as long as it might be, and The Great Ziegfeld...is a moving story\".", "topic": "Top/Arts/Movies/Titles/G/Great_Ziegfeld,_The", "url": "http://www.flickfilosopher.com/1999/01/the-great-ziegfeld-review.html"} +{"d:Title": "TV Guide Online: Greed", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Greed", "url": "http://www.tvguide.com/movies/greed/132236/"} +{"d:Title": "HARO Online: Greendale", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/G/Greendale", "url": "http://www.haro-online.com/movies/greendale.html"} +{"d:Title": "IMDb: Greendale (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/G/Greendale", "url": "http://www.imdb.com/title/tt0379307/"} +{"d:Title": "Rotten Tomatoes: Greendale", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/G/Greendale", "url": "http://www.rottentomatoes.com/m/neil_young_greendale/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Greenfingers", "url": "http://www.haro-online.com/movies/greenfingers.html"} +{"d:Title": "IMDb: Green Fingers", "d:Description": "Review of the film, with cast/crew information, user comments, and user ratings.", "topic": "Top/Arts/Movies/Titles/G/Greenfingers", "url": "http://www.imdb.com/title/tt0203540/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Greenfingers", "url": "http://www.metacritic.com/movie/greenfingers"} +{"d:Title": "HARO Online: The Green Dragon", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Green_Dragon,_The", "url": "http://www.haro-online.com/movies/green_dragon.html"} +{"d:Title": "All-Reviews.com: Green Dragon", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Green_Dragon,_The", "url": "http://www.all-reviews.com/videos-4/green-dragon.htm"} +{"d:Title": "IMDb: Green Dragon (2001)", "d:Description": "Includes a plot summary, cast list, and message board.", "topic": "Top/Arts/Movies/Titles/G/Green_Dragon,_The", "url": "http://www.imdb.com/title/tt0229002/"} +{"d:Title": "Metacritic.com: The Green Dragon", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/G/Green_Dragon,_The", "url": "http://www.metacritic.com/movie/green-dragon"} +{"d:Title": "Green for Danger - IMDb", "d:Description": "Features cast and crew details along with links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Green_for_Danger", "url": "http://www.imdb.com/title/tt0038577/"} +{"d:Title": "Filmtracks: The Green Mile", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The", "url": "http://www.filmtracks.com/titles/green_mile.html"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for the film, including comparisons to other related titles.", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The", "url": "http://www.boxofficemojo.com/movies/?id=greenmile.htm"} +{"d:Title": "Movieweb", "d:Description": "Cast/crew information with detailed synopsis of the film. Includes film stills.", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The", "url": "http://www.movieweb.com/movie/the-green-mile"} +{"d:Title": "IMDb: The Green Mile", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The", "url": "http://www.imdb.com/title/tt0120689/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The", "url": "http://www.metacritic.com/movie/the-green-mile"} +{"d:Title": "All-Reviews.com - Green Mile, The", "d:Description": "Multiple reviewers give this movie 3 1/2 stars.", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The/Reviews", "url": "http://www.all-reviews.com/videos/the-green-mile.htm"} +{"d:Title": "HARO Online - The Green Mile", "d:Description": "Haro reviews the movie", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The/Reviews", "url": "http://www.haro-online.com/movies/green_mile.html"} +{"d:Title": "Shade.ca: The Green Mile", "d:Description": "Synopsis, review, and film recommendations.", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The/Reviews", "url": "http://www.shade.ca/getarticle.asp?i=260"} +{"d:Title": "Rotten Tomatoes - Green Mile, The", "d:Description": "Reviews, news, articles, previews, and trailers of The Green Mile.", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The/Reviews", "url": "http://www.rottentomatoes.com/m/green_mile/"} +{"d:Title": "The Green Mile", "d:Description": "A thoughtful review of the movie \"The Green Mile.\"", "topic": "Top/Arts/Movies/Titles/G/Green_Mile,_The/Reviews", "url": "http://www.epinions.com/review/mvie_mu-1093549/mvie-review-25AB-48CEAB60-3A547086-prod3"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/G/Gregory's_Girl", "url": "http://www.fast-rewind.com/gregorysgirl.htm"} +{"d:Title": "IMDb: Gregory's Girl", "d:Description": "Synopsis, cast and crew, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Gregory's_Girl", "url": "http://www.imdb.com/title/tt0082477/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/G/Gremlins", "url": "http://www.fast-rewind.com/gremlins.htm"} +{"d:Title": "IMDb: Grey Gardens (1975)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/G/Grey_Gardens", "url": "http://www.imdb.com/title/tt0073076/"} +{"d:Title": "Grey Gardens", "d:Description": "Fan site featuring interviews, memorabilia, photos, essays, and news.", "topic": "Top/Arts/Movies/Titles/G/Grey_Gardens", "url": "http://greygardensonline.com/"} +{"d:Title": "Grey Owl As a Parallel to Zion", "d:Description": "Review of outstanding movie, 'Grey Owl', with a comparison to prescriptive easements, marriage laws, and religious issues.", "topic": "Top/Arts/Movies/Titles/G/Grey_Owl", "url": "http://www.greaterthings.com/Essays/AprilFools.htm"} +{"d:Title": "IMDb - Grey Owl (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Grey_Owl", "url": "http://www.imdb.com/title/tt0128239/"} +{"d:Title": "HARO Online: The Grey Zone", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Grey_Zone,_The", "url": "http://www.haro-online.com/movies/grey_zone.html"} +{"d:Title": "All-Reviews.com - The Grey Zone", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Grey_Zone,_The", "url": "http://all-reviews.com/videos-5/grey-zone.htm"} +{"d:Title": "''Grey Zone'' Revisits Grim Territory", "d:Description": "A review by Todd McCarthy for Variety, with a complete cast list.", "topic": "Top/Arts/Movies/Titles/G/Grey_Zone,_The", "url": "http://www.writing.upenn.edu/~afilreis/Holocaust/levi-greyzone.html"} +{"d:Title": "IMDb: The Grey Zone", "d:Description": "Cast and user comments.", "topic": "Top/Arts/Movies/Titles/G/Grey_Zone,_The", "url": "http://www.imdb.com/title/tt0252480/"} +{"d:Title": "Rotten Tomatoes: The Grey Zone", "d:Description": "Quotes from and links to reviews, photos, trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/G/Grey_Zone,_The", "url": "http://www.rottentomatoes.com/m/the_grey_zone/"} +{"d:Title": "IMDb - The Grifters", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Grifters,_The", "url": "http://www.imdb.com/title/tt0099703/"} +{"d:Title": "TV Guide Online: The Grifters", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Grifters,_The", "url": "http://www.tvguide.com/movies/grifters/128143/"} +{"d:Title": "HARO Online: Grind", "d:Description": "Movie review and summary.", "topic": "Top/Arts/Movies/Titles/G/Grind", "url": "http://www.haro-online.com/movies/grind.html"} +{"d:Title": "IMDb: Grind (2003)", "d:Description": "Cast, crew, plot summary, viewer comments, message board, and related movie data.", "topic": "Top/Arts/Movies/Titles/G/Grind", "url": "http://www.imdb.com/title/tt0338077/"} +{"d:Title": "All-Reviews.com - Grind", "d:Description": "Review of the movie by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/G/Grind", "url": "http://all-reviews.com/videos-5/grind.htm"} +{"d:Title": "Warner Bros: Grind", "d:Description": "Official site offers information, photograph gallery, production notes, and trailer.", "priority": "1", "topic": "Top/Arts/Movies/Titles/G/Grind", "url": "http://www.warnerbros.com/grind/"} +{"d:Title": "HARO Online - Groove", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/G/Groove", "url": "http://www.haro-online.com/movies/groove.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Very short review.", "topic": "Top/Arts/Movies/Titles/G/Groove", "url": "http://www.all-reviews.com/videos-3/groove.htm"} +{"d:Title": "PopMatters", "d:Description": "Reviewed by Beth Armitage, who gives it an overall positive rating.", "topic": "Top/Arts/Movies/Titles/G/Groove", "url": "http://popmatters.com/film/reviews/g/groove.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Groove", "url": "http://www.metacritic.com/movie/groove"} +{"d:Title": "IMDb - Groove (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Groove", "url": "http://www.imdb.com/title/tt0212974/"} +{"d:Title": "All-Reviews.com: Grosse Pointe Blank", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/G/Grosse_Pointe_Blank", "url": "http://all-reviews.com/videos-4/grosse-pointe-blank.htm"} +{"d:Title": "TV Guide Online: Grosse Pointe Blank", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Grosse_Pointe_Blank", "url": "http://www.tvguide.com/movies/grosse-pointe-blank/131508/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/G/Groundhog_Day", "url": "http://crazy4cinema.com/Review/FilmsG/f_groundhog.html"} +{"d:Title": "All-Reviews.com: Groundhog Day", "d:Description": "Reviews of the movie by Dragan Antulov and David Wilcock.", "topic": "Top/Arts/Movies/Titles/G/Groundhog_Day", "url": "http://www.all-reviews.com/videos-4/groundhog-day.htm"} +{"d:Title": "Transparency Now: Groundhog Day: Breakthrough to the True Self", "d:Description": "Analysis of the movie as being about how we can break through to our true selves so that compassion, creativity and love are an inherent part of life.", "topic": "Top/Arts/Movies/Titles/G/Groundhog_Day", "url": "http://www.transparencynow.com/groundhog.htm"} +{"d:Title": "IMDb - Groundhog Day", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Groundhog_Day", "url": "http://www.imdb.com/title/tt0107048/"} +{"d:Title": "AllReaders.com - Groundhog Day", "d:Description": "Detailed analysis of the Bill Murray film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Groundhog_Day", "url": "http://allreaders.com/movie-review-summary/groundhog-day-4218"} +{"d:Title": "TV Guide Online: Groundhog Day", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Groundhog_Day", "url": "http://www.tvguide.com/movies/groundhog-day/129638/"} +{"d:Title": "Imdb.com", "d:Description": "Review of the film, with cast/crew information, user comments, and user ratings.", "topic": "Top/Arts/Movies/Titles/G/Ground_Control", "url": "http://www.imdb.com/title/tt0137799/"} +{"d:Title": "IMDb: The Grudge (2004)", "d:Description": "Includes cast and crew, reviews, plot summary, trailers, tag lines, and news.", "topic": "Top/Arts/Movies/Titles/G/Grudge,_The_-_2004", "url": "http://www.imdb.com/title/tt0391198/"} +{"d:Title": "Sony Pictures - The Grudge", "d:Description": "Official site includes interactive tour of the house.", "topic": "Top/Arts/Movies/Titles/G/Grudge,_The_-_2004", "url": "http://www.sonypictures.com/movies/thegrudge/"} +{"d:Title": "All-Reviews.com - Grumpy Old Men", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/G/Grumpy_Old_Men", "url": "http://www.all-reviews.com/videos-2/grumpy-old-men.htm"} +{"d:Title": "DVD Savant - Fox War Films", "d:Description": "Review by Glenn Erickson (predominantly positive).", "topic": "Top/Arts/Movies/Titles/G/Guadalcanal_Diary", "url": "http://www.dvdtalk.com/dvdsavant/s347war.html"} +{"d:Title": "DVDFuture.com - Guadalcanal Diary", "d:Description": "Review by George Castillo (positive).", "topic": "Top/Arts/Movies/Titles/G/Guadalcanal_Diary", "url": "http://www.dvdfuture.com/review.php?id=79"} +{"d:Title": "IMDb - Guadalcanal Diary (1943)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/G/Guadalcanal_Diary", "url": "http://www.imdb.com/title/tt0035957/"} +{"d:Title": "Digitally Obsessed - Guadalcanal Diary (1943)", "d:Description": "Review by Mark Zimmer (predominantly positive) with emphasis on DVD production values, ratings.", "topic": "Top/Arts/Movies/Titles/G/Guadalcanal_Diary", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1784"} +{"d:Title": "Need Coffee - Guadalcanal Diary", "d:Description": "Pseudonymously signed review (predominantly positive) with emphasis on DVD production values, ratings.", "topic": "Top/Arts/Movies/Titles/G/Guadalcanal_Diary", "url": "http://www.needcoffee.com/2003/12/07/guadalcanal-diary-dvd-review/"} +{"d:Title": "Cinebooks Database - Guadalcanal Diary", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/G/Guadalcanal_Diary", "url": "http://www.tvguide.com/movies/guadalcanal-diary/125219/"} +{"d:Title": "TV Guide Online: Guantanamera", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Guantanamera", "url": "http://www.tvguide.com/movies/guantanamera/132408/"} +{"d:Title": "AllReaders.com Review - Guarding Tess", "d:Description": "Detailed analysis of the film starring Nicolas Cage and Shirley MacLaine, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/G/Guarding_Tess", "url": "http://allreaders.com/movie-review-summary/guarding-tess-4554"} +{"d:Title": "Teach with Movies - Guess Who's Coming To Dinner", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/G/Guess_Who's_Coming_to_Dinner", "url": "http://www.teachwithmovies.org/guides/guess-whos-coming-to-dinner.html"} +{"d:Title": "Guess Who's Coming to Dinner (1967)", "d:Description": "IMDb - credits.", "topic": "Top/Arts/Movies/Titles/G/Guess_Who's_Coming_to_Dinner", "url": "http://www.imdb.com/title/tt0061735/"} +{"d:Title": "Turner Classic Movies: Guess Who's Coming to Dinner", "d:Description": "Synopsis, full cast and crew, original print and soundtrack information, awards, trivia, technical details and articles.", "topic": "Top/Arts/Movies/Titles/G/Guess_Who's_Coming_to_Dinner", "url": "http://www.tcm.com/tcmdb/title/23790/Guess-Who-s-Coming-to-Dinner/"} +{"d:Title": "IMDb: Guilty by Suspicion (1991)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/G/Guilty_by_Suspicion", "url": "http://www.imdb.com/title/tt0101984/"} +{"d:Title": "Rotten Tomatoes: Guilty by Suspicion", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/G/Guilty_by_Suspicion", "url": "http://www.rottentomatoes.com/m/guilty_by_suspicion/"} +{"d:Title": "The New York Times: Guilty by Suspicion", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/G/Guilty_by_Suspicion", "url": "http://www.nytimes.com/1991/03/15/movies/review-film-reliving-the-grim-days-of-hollywood-s-blacklist.html"} +{"d:Title": "Chicago Sun-Times: Guilty by Suspicion", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/G/Guilty_by_Suspicion", "url": "http://www.rogerebert.com/reviews/guilty-by-suspicion-1991"} +{"d:Title": "Imdb.com", "d:Description": "Review of the film with cast/crew information, user comments, and user ratings.", "topic": "Top/Arts/Movies/Titles/G/Guimba_the_Tyrant", "url": "http://www.imdb.com/title/tt0113233/"} +{"d:Title": "Haro movie review", "d:Description": "A review of Gunievere by Mongoose.", "topic": "Top/Arts/Movies/Titles/G/Guinevere_-_1999", "url": "http://www.haro-online.com/movies/guinevere.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Guinevere_-_1999", "url": "http://www.metacritic.com/movie/guinevere"} +{"d:Title": "IMDb - Guinevere (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Guinevere_-_1999", "url": "http://www.imdb.com/title/tt0160338/"} +{"d:Title": "TV Guide Online: Gummo", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/G/Gummo", "url": "http://www.tvguide.com/movies/gummo/132299/"} +{"d:Title": "Imdb.com", "d:Description": "Review of the film with cast/crew information, user comments, and user ratings.", "topic": "Top/Arts/Movies/Titles/G/Gun_Shy_-_1998", "url": "http://www.imdb.com/title/tt0119238/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Multiple reviews give this movie a 'rotten' rating. Submit your own comments. Cast/crew and plot information available.", "topic": "Top/Arts/Movies/Titles/G/Gun_Shy_-_2000", "url": "http://www.rottentomatoes.com/m/gun_shy/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/G/Gun_Shy_-_2000", "url": "http://www.metacritic.com/movie/gun-shy"} +{"d:Title": "IMDb", "d:Description": "Cast/crew information, tag line, plot line. Overall rating 5.1/10. Rate this movie and see others comments.", "topic": "Top/Arts/Movies/Titles/G/Gun_Shy_-_2000", "url": "http://www.imdb.com/title/tt0171356/"} +{"d:Title": "HARO Online: The Guru", "d:Description": "Review and photos.", "topic": "Top/Arts/Movies/Titles/G/Guru,_The", "url": "http://www.haro-online.com/movies/guru.html"} +{"d:Title": "MRQE: Guru, The", "d:Description": "Reviews from around the world.", "topic": "Top/Arts/Movies/Titles/G/Guru,_The", "url": "http://www.mrqe.com/movie_reviews/the-guru-m100011831"} +{"d:Title": "Movies.com: The Guru", "d:Description": "Synopsis, cast and crew, reviews, photo gallery, trailers and clips.", "topic": "Top/Arts/Movies/Titles/G/Guru,_The", "url": "http://www.movies.com/the-guru/m60582"} +{"d:Title": "Rotten Tomatoes: The Guru", "d:Description": "Reviews, synopsis, trailer, photos, news and articles.", "topic": "Top/Arts/Movies/Titles/G/Guru,_The", "url": "http://www.rottentomatoes.com/m/1119875-guru/"} +{"d:Title": "MovieWeb: The Guru", "d:Description": "Synopsis, release date, image gallery, trailers and ratings.", "topic": "Top/Arts/Movies/Titles/G/Guru,_The", "url": "http://www.movieweb.com/movie/the-guru-2003"} +{"d:Title": "IMDb: Guru, The", "d:Description": "Reviews, plot summary, trailers and photos.", "topic": "Top/Arts/Movies/Titles/G/Guru,_The", "url": "http://www.imdb.com/title/tt0280720/"} +{"d:Title": "IMDb - Guyana Tragedy: The Story of Jim Jones", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/G/Guyana_Tragedy_-_The_Story_of_Jim_Jones", "url": "http://www.imdb.com/title/tt0080832/"} +{"d:Title": "AllReaders.com Review - Guys and Dolls", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Frank Sinatra film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/G/Guys_and_Dolls", "url": "http://allreaders.com/movie-review-summary/guys-and-dolls-5679"} +{"d:Title": "All-Reviews.com: A Guy Thing", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/G/Guy_Thing,_A", "url": "http://www.all-reviews.com/videos-5/a-guy-thing.htm"} +{"d:Title": "IMDb - Guy Thing, A (2003)", "d:Description": "Contains cast and crew details, plot summary, trivia, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/G/Guy_Thing,_A", "url": "http://www.imdb.com/title/tt0295289/"} +{"d:Title": "Future Movies: A Guy Thing", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/G/Guy_Thing,_A", "url": "http://www.futuremovies.co.uk/reviews/a-guy-thing/ed-colley"} +{"d:Title": "IMDb: Gypsy (1962)", "d:Description": "Cast and crew, reviews, and other production details.", "topic": "Top/Arts/Movies/Titles/G/Gypsy_-_1962", "url": "http://imdb.com/title/tt0056048/"} +{"d:Title": "Gitano (2000)", "d:Description": "Plot summary and cast list from IMDb.com.", "topic": "Top/Arts/Movies/Titles/G/Gypsy_-_2000", "url": "http://www.imdb.com/title/tt0239432/"} +{"d:Title": "All-Reviews.com - Hackers", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hackers", "url": "http://www.all-reviews.com/videos-3/hackers.htm"} +{"d:Title": "Hackers", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/H/Hackers", "url": "http://www.mgm.com/title_title.php?title_star=HACKERS"} +{"d:Title": "IMDb: Hackers (1995)", "d:Description": "Plot summary, user comments, cast and crew information.", "topic": "Top/Arts/Movies/Titles/H/Hackers", "url": "http://www.imdb.com/title/tt0113243/"} +{"d:Title": "Epinions.com: Hackers", "d:Description": "A collection of over 40 viewer comments.", "topic": "Top/Arts/Movies/Titles/H/Hackers", "url": "http://www.epinions.com/prices/mvie_mu-1064439"} +{"d:Title": "TV Guide Online: Hackers", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/H/Hackers", "url": "http://www.tvguide.com/movies/hackers/130860/"} +{"d:Title": "HARO Online: Haiku Tunnel", "d:Description": "Postive review which looks at plot and acting. \"Pretty Good\" is the rating given to the film.", "topic": "Top/Arts/Movies/Titles/H/Haiku_Tunnel", "url": "http://www.haro-online.com/movies/haiku_tunnel.html"} +{"d:Title": "Metacritic.com: Haiku Tunnel", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Haiku_Tunnel", "url": "http://www.metacritic.com/movie/haiku-tunnel"} +{"d:Title": "IMDb: Haiku Tunnel (2001)", "d:Description": "Includes cast and crew, user reviews and rating, external reviews, film details, plot summary, trailers and links.", "topic": "Top/Arts/Movies/Titles/H/Haiku_Tunnel", "url": "http://www.imdb.com/title/tt0273253/"} +{"d:Title": "IMDb: Hairspray (1988)", "d:Description": "Cast, crew, and credits. Reviews, plot summary, memorable quotes, merchandising links and other information.", "topic": "Top/Arts/Movies/Titles/H/Hairspray", "url": "http://www.imdb.com/title/tt0095270/"} +{"d:Title": "Sun Times: Hairspray", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/Hairspray", "url": "http://www.rogerebert.com/reviews/hairspray-1988"} +{"d:Title": "TV Guide Online: Hairspray", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hairspray", "url": "http://www.tvguide.com/movies/hairspray/127079/"} +{"d:Title": "Rotten Tomatoes: Hairspray", "d:Description": "Synopsis, critical consensus, credits, photos, trailers, news, numbers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Hairspray_-_2007", "url": "http://www.rottentomatoes.com/m/hairspray/"} +{"d:Title": "IMDb: Hairspray (2007)", "d:Description": "Photo gallery, plot, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hairspray_-_2007", "url": "http://www.imdb.com/title/tt0427327/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, production, musical numbers, reception, merchandising, and awards.", "topic": "Top/Arts/Movies/Titles/H/Hairspray_-_2007", "url": "http://en.wikipedia.org/wiki/Hairspray_(2007_film)"} +{"d:Title": "IGN Movies: Hairspray", "d:Description": "Review, news, photos, and videos.", "topic": "Top/Arts/Movies/Titles/H/Hairspray_-_2007", "url": "http://www.ign.com/movies/hairspray-2007/theater-731787"} +{"d:Title": "MovieWeb: Hairspray (2007)", "d:Description": "Reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Hairspray_-_2007", "url": "http://www.movieweb.com/movie/hairspray-2007"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, cast and credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hairspray_-_2007", "url": "https://www.yahoo.com/movies/film/hairspray-2007"} +{"d:Title": "IMDb - Half Baked", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Half_Baked", "url": "http://www.imdb.com/title/tt0120693/"} +{"d:Title": "Allreaders Review - Half Baked", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Half_Baked", "url": "http://allreaders.com/movie-review-summary/half-baked-5642"} +{"d:Title": "TV Guide Online: Half Baked", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Half_Baked", "url": "http://www.tvguide.com/movies/half-baked/132932/"} +{"d:Title": "All-Reviews.com - Half Past Dead", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/H/Half_Past_Dead", "url": "http://www.all-reviews.com/videos-5/half-past-dead.htm"} +{"d:Title": "Internet Movie Database: Half Past Dead", "d:Description": "Plot summary, cast and crew, reviews, awards, user comments and ratings, quotations, trivia, production and distribution information, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/Half_Past_Dead", "url": "http://imdb.com/title/tt0297162/"} +{"d:Title": "HalloweenMovies", "d:Description": "The official website for the entire series. Includes pictures, downloads, and interviews.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.halloweenmovies.com/"} +{"d:Title": "Sites That Suck", "d:Description": "A list of Halloween sites that stink, and why.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/ia/halloweensucks/"} +{"d:Title": "Amazing Kat's Halloween", "d:Description": "Contains links, news, video clips, articles, fan fiction, message boards, pictures, audio clips, and links. Entire series.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/ma/babybrownsplace/"} +{"d:Title": "Michael Myers : Pure Evil", "d:Description": "Contains cast and crew, timeline, pictures, and thorn information. Entire series.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/ct2/ScaryKeith/index.html"} +{"d:Title": "Michael Myers House Of Horror", "d:Description": "Contains links, pictures, sounds, chat, and scripts. Entire series.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/ny2/MichaelMyers/"} +{"d:Title": "Halloween Filming Locations", "d:Description": "Actual locations where the movie \"Halloween\" was filmed, including addresses, pictures and maps.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://seeing-stars.com/Locations/Halloween/index.shtml"} +{"d:Title": "Halloween: Escape From Haddonfield", "d:Description": "Contains articles, links, multimedia, scripts, interviews, and news. Entire Series.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/il/haddonfield/"} +{"d:Title": "All-Reviews.com - Halloween", "d:Description": "Offers a review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.all-reviews.com/videos-3/halloween.htm"} +{"d:Title": "John Carpenter's Halloween", "d:Description": "News, production information, reviews, cast biographies, scripts, pictures, message board, and links.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/film/jc-halloween/home.html"} +{"d:Title": "Brandon's Halloween Site", "d:Description": "Fan site with synopses, photographs, trivia, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/nt2/halloweensite/"} +{"d:Title": "Halloween: A New Season", "d:Description": "A fan film. Synopsis, credits, script, and multimedia.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.lynxenterprisesonline.com/halloween/"} +{"d:Title": "Ralph's Halloween Site", "d:Description": "Includes, pictures, and links. Entire series.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://www.angelfire.com/il2/main1/R_halloween.html"} +{"d:Title": "Halloween: The Lair of Horror", "d:Description": "Fan site with synopses, credits, multimedia, kill lists, bloopers, screenplays, trivia, alternate scripts, information about novelizations, and related links.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series", "url": "http://lairofhorror.tripod.com/myerslair/index2.html"} +{"d:Title": "IMDb: Halloween (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_2007", "url": "http://www.imdb.com/title/tt0373883/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, production, trailer, and soundtrack.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_2007", "url": "http://en.wikipedia.org/wiki/Halloween_(2007_film)"} +{"d:Title": "Rotten Tomatoes: Halloween", "d:Description": "Review links, synopsis, critical consensus, credits, photographs, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_2007", "url": "http://www.rottentomatoes.com/m/1179254-halloween/"} +{"d:Title": "IGN Movies: Halloween", "d:Description": "Photos, videos, news, and a review by Todd Gilchrist (rating: 0 out of 5).", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_2007", "url": "http://www.ign.com/movies/halloween-2007/theater-833201"} +{"d:Title": "MovieWeb: Halloween (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_2007", "url": "http://www.movieweb.com/movie/halloween-2007"} +{"d:Title": "The Arrow's Halloween 8 Script Review", "d:Description": "Includes a review of the Halloween 8 script..", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_Resurrection", "url": "http://www.joblo.com/arrow/scriptreview3.htm"} +{"d:Title": "HARO Online: Halloween: Resurrection", "d:Description": "Haro's very unfavorable review.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_Resurrection", "url": "http://www.haro-online.com/movies/halloween_resurrection.html"} +{"d:Title": "All-Reviews.com: Halloween Resurrection", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_Resurrection", "url": "http://www.all-reviews.com/videos-4/halloween-resurrection.htm"} +{"d:Title": "Halloween Resurrection", "d:Description": "Stills from the film.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_Resurrection", "url": "http://www.angelfire.com/movies/bensfamoushorror/hmp.html"} +{"d:Title": "Rotten Tomatoes: Halloween Resurrection", "d:Description": "Quotes from and links to reviews, news, photos, trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_Resurrection", "url": "http://www.rottentomatoes.com/m/halloween_resurrection/"} +{"d:Title": "Metacritic.com: Halloween: Resurrection", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_Resurrection", "url": "http://www.metacritic.com/movie/halloween-resurrection"} +{"d:Title": "EW.com: Halloween: Resurrection", "d:Description": "July 12, 2002 review by Owen Gleiberman.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_-_Resurrection", "url": "http://www.ew.com/article/2002/07/26/halloween-resurrection"} +{"d:Title": "Halloween 6 Producer's Cut", "d:Description": "Brief review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/H/Halloween_Series/Halloween_6_-_The_Curse_of_Michael_Myers", "url": "http://www.upcominghorrormovies.com/reviews/halloween6.php"} +{"d:Title": "IMDb: Hall of Mirrors", "d:Description": "Production credits, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/H/Hall_of_Mirrors", "url": "http://www.imdb.com/title/tt0237295/"} +{"d:Title": "The Flick Filosopher | Hamlet", "d:Description": "Review and discussion of the film", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1948", "url": "http://www.flickfilosopher.com/1999/01/hamlet-review.html"} +{"d:Title": "IMDb - Hamlet (1948)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1948", "url": "http://www.imdb.com/title/tt0040416/"} +{"d:Title": "TV Guide Online: Hamlet", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1948", "url": "http://www.tvguide.com/movies/hamlet/125505/"} +{"d:Title": "IMDb: Hamlet (1964/I)", "d:Description": "Includes user ratings and comments, cast and film details.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1964", "url": "http://www.imdb.com/title/tt0058175/"} +{"d:Title": "TV Guide Online: Hamlet", "d:Description": "Review, cast and credits", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1964", "url": "http://www.tvguide.com/movies/hamlet/125507/"} +{"d:Title": "IMDb: Hamlet (1969)", "d:Description": "Includes cast, user reviews and ratings and film details.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1969", "url": "http://www.imdb.com/title/tt0064400/"} +{"d:Title": "TV Guide Online: Hamlet", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1969", "url": "http://www.tvguide.com/movies/hamlet/125509/"} +{"d:Title": "Scotland the Movie Location Guide", "d:Description": "Scottish filming locations of Hamlet.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1990", "url": "http://www.scotlandthemovie.com/movies/fhamlet.html"} +{"d:Title": "IMDb: Hamlet (1990)", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1990", "url": "http://www.imdb.com/title/tt0099726/"} +{"d:Title": "TV Guide Online: Hamlet", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1990", "url": "http://www.tvguide.com/movies/hamlet/128220/"} +{"d:Title": "William Shakespeare's Hamlet", "d:Description": "Information on and links to Kenneth Branagh's film version.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1996", "url": "http://members.tripod.com/~Moon_Faery/hamlet.html"} +{"d:Title": "MetroActive Movies | Hamlet", "d:Description": "Kenneth Branagh talks about his epic, uncut version of 'Hamlet'.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1996", "url": "http://www.metroactive.com/papers/metro/02.20.97/branagh-9708.html"} +{"d:Title": "All-Reviews.com: Hamlet (1996)", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1996", "url": "http://www.all-reviews.com/videos-4/hamlet.htm"} +{"d:Title": "Rotten Tomatoes: Hamlet (1996)", "d:Description": "Movie reviews and information.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1996", "url": "http://www.rottentomatoes.com/m/1075422-hamlet/"} +{"d:Title": "Discovering Hamlet", "d:Description": "Review of video documentary of Branagh's first stage Hamlet directed by Derek Jacobi.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1996", "url": "http://dailytelegiraffe.tripod.com/branaghdiscoveringhamlet.html"} +{"d:Title": "IMDb: Hamlet (1996)", "d:Description": "Includes plot outline, cast, user comments and ratings and film details.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1996", "url": "http://www.imdb.com/title/tt0116477/"} +{"d:Title": "TV Guide Online: Hamlet", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_1996", "url": "http://www.tvguide.com/movies/hamlet/131631/"} +{"d:Title": "About.com: Home Video: Hamlet", "d:Description": "Ivana Redwine's positive review looks at plot, changes from the Shakespeare play, visual style and acting.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "http://homevideo.about.com/library/blpick050201.htm"} +{"d:Title": "HARO Online", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "http://www.haro-online.com/movies/hamlet.html"} +{"d:Title": "PopMatters - Hamlet", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "http://popmatters.com/film/reviews/h/hamlet.html"} +{"d:Title": "Rotten Tomatoes: Hamlet (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "http://www.rottentomatoes.com/m/1097245-hamlet/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "http://www.metacritic.com/movie/hamlet-2000"} +{"d:Title": "IMDb - Hamlet (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "http://www.imdb.com/title/tt0171359/"} +{"d:Title": "Yahoo Movies: Hamlet", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "https://www.yahoo.com/movies/film/hamlet-2000"} +{"d:Title": "AllReaders.com Review - Hamlet", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Ethan Hawke, Julia Stiles, and Kyle MacLachlan, and links to similar films.", "topic": "Top/Arts/Movies/Titles/H/Hamlet_Movies/Hamlet_-_2000", "url": "http://allreaders.com/movie-review-summary/hamlet-2000-6116"} +{"d:Title": "IMDb - Hamsun (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hamsun", "url": "http://www.imdb.com/title/tt0116480/"} +{"d:Title": "TV Guide Online: Hamsun", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hamsun", "url": "http://www.tvguide.com/movies/hamsun/132515/"} +{"d:Title": "Rotten Tomatoes: Hancock", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Hancock", "url": "http://www.rottentomatoes.com/m/hancock/"} +{"d:Title": "Cinema Blend: Hancock", "d:Description": "Preview, by Josh Tyler: \"It all depends on Will really. Will he let it be I Am Legend, full of unflinching, hard-to swallow commentary? Or will he use his star-power to mold the film around his persona, blunt some of those sharper edges and give us an entertaining, yet watered down version of what an idea like this could be?\"", "topic": "Top/Arts/Movies/Titles/H/Hancock", "url": "http://www.cinemablend.com/previews/Hancock-2864.html"} +{"d:Title": "IGN Movies: Hancock", "d:Description": "News, previews, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hancock", "url": "http://www.ign.com/movies/hancock/theater-487297"} +{"d:Title": "MovieWeb: Hancock (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Hancock", "url": "http://www.movieweb.com/movie/hancock"} +{"d:Title": "Internet Movie Database: Hand, The", "d:Description": "Synopsis, cast and crew, user comments and ratings, production and distribution information, quotations, and links.", "topic": "Top/Arts/Movies/Titles/H/Hand,_The_-_1981", "url": "http://imdb.com/title/tt0082497/"} +{"d:Title": "Handsome Siblings", "d:Description": "Photos and commentary.", "topic": "Top/Arts/Movies/Titles/H/Handsome_Siblings", "url": "http://www.brns.com/pages3/fantsy40.html"} +{"d:Title": "IMDb: Jue Dai Shuang Jiao (1992)", "d:Description": "Includes cast, crew, plot summary, user reviews and ratings, external reviews and film details.", "topic": "Top/Arts/Movies/Titles/H/Handsome_Siblings", "url": "http://www.imdb.com/title/tt0104572/"} +{"d:Title": "IOFilm: The Hanging Garden", "d:Description": "Review of the movie by Jampel. Rated four stars out of five.", "topic": "Top/Arts/Movies/Titles/H/Hanging_Garden,_The", "url": "http://www.iofilm.co.uk/fm/h/hanging_garden_1997.shtml"} +{"d:Title": "IMDb: Hanging Garden, The (1997)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/Hanging_Garden,_The", "url": "http://www.imdb.com/title/tt0125128/"} +{"d:Title": "Chicage Sun-Times: The Hanging Garden", "d:Description": "Review by Roger Ebert. Rated three stars.", "topic": "Top/Arts/Movies/Titles/H/Hanging_Garden,_The", "url": "http://www.rogerebert.com/reviews/the-hanging-garden-1998"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "The fairy tale about the ugly duckling who turns into a swan gets the gay once-over in writer-director Thom Fitzgerald's striking debut feature", "topic": "Top/Arts/Movies/Titles/H/Hanging_Garden,_The", "url": "http://brightlightsfilm.com/thom-fitzgeralds-hanging-garden/"} +{"d:Title": "IMDb Hanging on a Star (1978)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/Hanging_on_a_Star", "url": "http://www.imdb.com/title/tt0077653/"} +{"d:Title": "PopMatters - Hanging Up", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Hanging_Up", "url": "http://popmatters.com/film/reviews/h/hanging-up.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hanging_Up", "url": "http://www.all-reviews.com/videos-3/hanging-up.htm"} +{"d:Title": "Rotten Tomatoes - Hanging Up", "d:Description": "Reviews, news, articles, previews, and trailers of the film.", "topic": "Top/Arts/Movies/Titles/H/Hanging_Up", "url": "http://www.rottentomatoes.com/m/hanging_up/"} +{"d:Title": "Box Office Mojo - Hanging Up", "d:Description": "Box office data including a comparison with other chick flicks and Meg Ryan's box office track record.", "topic": "Top/Arts/Movies/Titles/H/Hanging_Up", "url": "http://www.boxofficemojo.com/movies/?id=hangingup.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Hanging_Up", "url": "http://www.metacritic.com/movie/hanging-up"} +{"d:Title": "IMDb - Hanging Up", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hanging_Up", "url": "http://www.imdb.com/title/tt0162983/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews of the film from top critics, index of their ratings, users' ratings", "topic": "Top/Arts/Movies/Titles/H/Hannah_and_Her_Sisters", "url": "http://www.metacritic.com/movie/hannah-and-her-sisters"} +{"d:Title": "IMDb: Hannah and Her Sisters (1986)", "d:Description": "Includes cast and crew, user comments and rating, external reviews, awards, plot summary, memorable quotes, trivia, goofs, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/H/Hannah_and_Her_Sisters", "url": "http://www.imdb.com/title/tt0091167/"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.haro-online.com/movies/hannibal.html"} +{"d:Title": "All-Reviews.com - Hannibal", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.all-reviews.com/videos-2/hannibal.htm"} +{"d:Title": "PopMatters - Hannibal", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://popmatters.com/film/reviews/h/hannibal.html"} +{"d:Title": "Dr Lecter", "d:Description": "Fan fiction, pictures and information about the film.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.angelfire.com/movies/HLbites/"} +{"d:Title": "KillerMovies: Hannibal", "d:Description": "Synopsis, multimedia, and related articles.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.killermovies.com/h/hannibal/"} +{"d:Title": "Filmtracks: Hannibal", "d:Description": "Soundtrack review with audio samples.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.filmtracks.com/titles/hannibal.html"} +{"d:Title": "Rotten Tomatoes: Hannibal (2001)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.rottentomatoes.com/m/1104385-hannibal/"} +{"d:Title": "Hannibal", "d:Description": "Official site from MGM. Includes a preview trailer for the film.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.mgm.com/title_title.php?title_star=HANNIBL"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.metacritic.com/movie/hannibal"} +{"d:Title": "IMDb: Hannibal (2001)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://www.imdb.com/title/tt0212985/"} +{"d:Title": "AllReaders.com Review - Hannibal", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Anthony Hopkins and Jodie Foster, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Hannibal", "url": "http://allreaders.com/movie-review-summary/hannibal-4850"} +{"d:Title": "Manhunter - A Michael Mann film", "d:Description": "Fansite for the 1986 film directed by Michael Mann based on 'Red Dragon' by Thomas Harris featuring Doctor Hannibal Lecter.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Manhunter", "url": "http://www.manhunter.net/"} +{"d:Title": "IMDb - Manhunter", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Manhunter", "url": "http://www.imdb.com/title/tt0091474/"} +{"d:Title": "TV Guide Online: Manhunter", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Manhunter", "url": "http://www.tvguide.com/movies/manhunter/105599/"} +{"d:Title": "KillerMovies.com: Red Dragon", "d:Description": "Synopsis, trailer, and related news.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Red_Dragon", "url": "http://www.killermovies.com/r/reddragon/"} +{"d:Title": "HARO Online: Red Dragon", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Red_Dragon", "url": "http://www.haro-online.com/movies/red_dragon.html"} +{"d:Title": "All-Reviews.com: Red Dragon", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Red_Dragon", "url": "http://www.all-reviews.com/videos-5/red-dragon.htm"} +{"d:Title": "Rotten Tomatoes: Red Dragon", "d:Description": "Synopsis, multimedia, quotes from and links to reviews, box office statistics, and forum.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Red_Dragon", "url": "http://www.rottentomatoes.com/m/red_dragon/"} +{"d:Title": "IMDb.com - Red Dragon", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Red_Dragon", "url": "http://www.imdb.com/title/tt0289765/"} +{"d:Title": "Metacritic: Red Dragon", "d:Description": "Quotes from and links to reviews, with viwer comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Red_Dragon", "url": "http://www.metacritic.com/movie/red-dragon"} +{"d:Title": "Yahoo Movies: Red Dragon", "d:Description": "Plot synopsis, film overview, credits, trailer, video clips, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Red_Dragon", "url": "https://www.yahoo.com/movies/film/red-dragon-2002"} +{"d:Title": "Clarice Starling", "d:Description": "Fan fiction, pictures and information about the films.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.angelfire.com/movies/HLbites/"} +{"d:Title": "Greatest Films - The Silence Of The Lambs", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.filmsite.org/sile.html"} +{"d:Title": "WWWF Grudge Match: Hannibal Lecter vs. Jeffrey Dahmer", "d:Description": "A human bake-off.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.grudge-match.com/History/lector-dahmer.shtml"} +{"d:Title": "All-Reviews.com: Silence of the Lambs", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.all-reviews.com/videos-4/silence-of-lambs.htm"} +{"d:Title": "Rotten Tomatoes.com - Silence of the Lambs", "d:Description": "Reviews of the movie from the nation's top critics and audiences. Also includes movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.rottentomatoes.com/m/silence_of_the_lambs/"} +{"d:Title": "MGM - The Silence of the Lambs", "d:Description": "Official site with cast/crew information, pictures, multimedia and other related information.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.mgm.com/title_title.php?title_star=SILENCEO"} +{"d:Title": "Metacritic.com: The Silence of the Lambs", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.metacritic.com/movie/the-silence-of-the-lambs"} +{"d:Title": "IMDb - The Silence of the Lambs (1991)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.imdb.com/title/tt0102926/"} +{"d:Title": "AllReaders.com Review - Silence of the Lambs", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Jodie Foster and Anthony Hopkins film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://allreaders.com/movie-review-summary/the-silence-of-the-lambs-6419"} +{"d:Title": "TV Guide Online - Silence of the Lambs, The", "d:Description": "4 1/2 star review of the film with cast, crew, production notes, pictures and other related information.", "topic": "Top/Arts/Movies/Titles/H/Hannibal_Series/Silence_of_the_Lambs,_The", "url": "http://www.tvguide.com/movies/silence-lambs/128686/"} +{"d:Title": "HARO Online: Hansel&Gretel", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/H/Hansel_&_Gretel_-_2002", "url": "http://www.haro-online.com/movies/hansel_and_gretel.html"} +{"d:Title": "Internet Movie Database: Hansel&Gretel", "d:Description": "Plot synopsis, cast and crew, user comments and ratings, production and distribution information, trailer, and links.", "topic": "Top/Arts/Movies/Titles/H/Hansel_&_Gretel_-_2002", "url": "http://imdb.com/title/tt0293832/"} +{"d:Title": "Rotten Tomatoes: The Happening", "d:Description": "Synopsis, cast members, showtimes, photos, videos, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Happening,_The", "url": "http://www.rottentomatoes.com/m/10007985-happening/"} +{"d:Title": "Cinema Blend: The Happening", "d:Description": "Preview, by Josh Tyler: \"Stated plainly, Shyamalan needs The Happening to be a hit, or he\u2019s going to end up directing lame Nickelodeon anime adaptations for the rest of his career.\"", "topic": "Top/Arts/Movies/Titles/H/Happening,_The", "url": "http://www.cinemablend.com/previews/The-Happening-2817.html"} +{"d:Title": "Collider: The Happening", "d:Description": "Review, by Frosty: \"...prepare to feel very, very cheated and figure out in advance what consultation you can offer when the person next you confusedly asks, `Is that it?`\"", "topic": "Top/Arts/Movies/Titles/H/Happening,_The", "url": "http://www.collider.com/entertainment/reviews/article.asp?aid=7903&tcid=1"} +{"d:Title": "Moviefone: The Happening", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Happening,_The", "url": "http://www.moviefone.com/movie/the-happening/29354/main"} +{"d:Title": "IGN Movies: The Happening", "d:Description": "News, previews, photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/H/Happening,_The", "url": "http://www.ign.com/movies/the-happening/theater-891782"} +{"d:Title": "TV Guide: The Happening", "d:Description": "Review, by Maitland McDonagh: \"M. Night Shyamalan's sixth film mines a rich lode of end-of-the-world-as-we-know-it clich\u00e9s, but while the set up is spooky, the development is heavy handed and marred by Shyamalan's inability to write natural-sounding dialogue or convincing characters.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Happening,_The", "url": "http://www.tvguide.com/movies/happening/review/292489/"} +{"d:Title": "Metacritic.com: Happenstance", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Happenstance_-_2001", "url": "http://www.metacritic.com/movie/happenstance"} +{"d:Title": "IMDb: Happenstance (Le Battement d'ailes du papillon) (2000)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Happenstance_-_2001", "url": "http://www.imdb.com/title/tt0243135/"} +{"d:Title": "Guardian Unlimited Film: Happiness", "d:Description": "Includes plot and interview with the director.", "topic": "Top/Arts/Movies/Titles/H/Happiness_-_1998", "url": "http://www.filmunlimited.co.uk/Feature_Story/Guardian/0,4120,37590,00.html"} +{"d:Title": "eFilmCritic: Happiness", "d:Description": "Various reviews and ratings from different critics on this site.", "topic": "Top/Arts/Movies/Titles/H/Happiness_-_1998", "url": "http://www.efilmcritic.com/review.php?movie=432"} +{"d:Title": "IMDb: Happiness (1998)", "d:Description": "Includes cast and crew, user comments and rating, plot summary, memorable quotes, soundtrack listing, awards and external reviews.", "topic": "Top/Arts/Movies/Titles/H/Happiness_-_1998", "url": "http://www.imdb.com/title/tt0147612/"} +{"d:Title": "A.V. Club: Happiness", "d:Description": "Short review which makes comparisons between other Todd Solondz films and looks at plot and director's style.", "topic": "Top/Arts/Movies/Titles/H/Happiness_-_1998", "url": "http://www.avclub.com/review/happiness-18938"} +{"d:Title": "Allreaders: Happiness", "d:Description": "Analysis of the characters, plot, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Happiness_-_1998", "url": "http://allreaders.com/movie-review-summary/happiness-6338"} +{"d:Title": "HARO Online - Happy, Texas", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/H/Happy,_Texas", "url": "http://www.haro-online.com/movies/happy_texas.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Happy,_Texas", "url": "http://www.all-reviews.com/videos-3/happy-texas.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Happy,_Texas", "url": "http://www.metacritic.com/movie/happy-texas"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "Mark Illsley's debut feature about faux gay cons in the hideous heartland", "topic": "Top/Arts/Movies/Titles/H/Happy,_Texas", "url": "http://brightlightsfilm.com/unhappy-critic-happy-texas/"} +{"d:Title": "HARO Online: Happy Accidents", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/H/Happy_Accidents", "url": "http://www.haro-online.com/movies/happy_accidents.html"} +{"d:Title": "Metacritic.com: Happy Accidents", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Happy_Accidents", "url": "http://www.metacritic.com/movie/happy-accidents"} +{"d:Title": "IMDb: Happy Accidents (2000)", "d:Description": "Includes cast and crew, user comments and rating, external review and awards.", "topic": "Top/Arts/Movies/Titles/H/Happy_Accidents", "url": "http://www.imdb.com/title/tt0208196/"} +{"d:Title": "Chicago Sun Times: Happy Accidents", "d:Description": "Roger Ebert looks at plot, themes and acting in this film.", "topic": "Top/Arts/Movies/Titles/H/Happy_Accidents", "url": "http://www.rogerebert.com/reviews/happy-accidents-2001"} +{"d:Title": "San Francisco Chronicle: Happy Accidents", "d:Description": "Bob Graham reviews the plot and the acting. Includes quotes from the film.", "topic": "Top/Arts/Movies/Titles/H/Happy_Accidents", "url": "http://www.sfgate.com/movies/article/FILMCLIPS-Also-opening-today-2873419.php"} +{"d:Title": "eFilmCritic: Happy Campers", "d:Description": "Postive review which looks comments on the screenplay and the adult content in the film.", "topic": "Top/Arts/Movies/Titles/H/Happy_Campers", "url": "http://www.efilmcritic.com/review.php?movie=4401"} +{"d:Title": "IMDb: Happy Campers (2000)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/H/Happy_Campers", "url": "http://www.imdb.com/title/tt0210094/"} +{"d:Title": "Crazy4cinema.com - Happy Gilmore", "d:Description": "Cast and review.", "topic": "Top/Arts/Movies/Titles/H/Happy_Gilmore", "url": "http://crazy4cinema.com/Review/FilmsH/gilmore.html"} +{"d:Title": "Happy Gilmore (1996)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/H/Happy_Gilmore", "url": "http://www.imdb.com/title/tt0116483/"} +{"d:Title": "IGN: Happy Gilmore", "d:Description": "News archive and video.", "topic": "Top/Arts/Movies/Titles/H/Happy_Gilmore", "url": "http://www.ign.com/movies/happy-gilmore/theater-775711"} +{"d:Title": "TV Guide Online: Happy Gilmore", "d:Description": "Review, plot, cast, and image.", "topic": "Top/Arts/Movies/Titles/H/Happy_Gilmore", "url": "http://www.tvguide.com/movies/happy-gilmore/131307/"} +{"d:Title": "B. A. Affair", "d:Description": "A site with information and analysis of Happy Together and the documentary film Buenos Aires Zero Degrees.", "topic": "Top/Arts/Movies/Titles/H/Happy_Together", "url": "http://members.jcom.home.ne.jp/baht/"} +{"d:Title": "Wong Kar-Wai Exclusive Interview", "d:Description": "Interview about the film by Khoi Lebinh and David Eng.", "topic": "Top/Arts/Movies/Titles/H/Happy_Together", "url": "http://bbs.ent.163.com/bbs/liangchaowei/28690.html"} +{"d:Title": "Media Circus: Happy Together", "d:Description": "Review of the film by Anthony Leong. \"...a straightforward narrative with the existential philosophy and the stylish-camera work toned-down, which made it an average Wong Kar-Wai film.\"", "topic": "Top/Arts/Movies/Titles/H/Happy_Together", "url": "http://www.mediacircus.net/happy.html"} +{"d:Title": "TV Guide Online: Happy Together", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Happy_Together", "url": "http://www.tvguide.com/movies/happy/132777/"} +{"d:Title": "HARO Online: Hardball", "d:Description": "Review which looks at plot and failures in the film. A \"Not That Good\" rating was given to the film.", "topic": "Top/Arts/Movies/Titles/H/Hardball_-_2001", "url": "http://www.haro-online.com/movies/hardball.html"} +{"d:Title": "All-Reviews.com - Hardball", "d:Description": "Reviews of the film from site reviewers including ratings and film details.", "topic": "Top/Arts/Movies/Titles/H/Hardball_-_2001", "url": "http://www.all-reviews.com/videos-3/hardball.htm"} +{"d:Title": "Rotten Tomatoes: Hardball", "d:Description": "Quotes from and links to reviews, photographs, trailers, and a discussion forum.", "topic": "Top/Arts/Movies/Titles/H/Hardball_-_2001", "url": "http://www.rottentomatoes.com/m/hardball/"} +{"d:Title": "IMDb: Hardball (2001)", "d:Description": "Includes cast and crew, film details, user comments and rating, plot outline, external reviews, photo gallery and links.", "topic": "Top/Arts/Movies/Titles/H/Hardball_-_2001", "url": "http://www.imdb.com/title/tt0180734/"} +{"d:Title": "Metacritic.com: Hardball", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Hardball_-_2001", "url": "http://www.metacritic.com/movie/hard-ball"} +{"d:Title": "Hard Boiled", "d:Description": "The 1992-film by John Woo starring Chow Yun Fat; with a biography of John Woo.", "topic": "Top/Arts/Movies/Titles/H/Hard_Boiled", "url": "http://www.cosmopolis.ch/english/cosmo5/boiled.htm"} +{"d:Title": "IMDb - Hard Boiled [Lashou shentan] (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hard_Boiled", "url": "http://www.imdb.com/title/tt0104684/"} +{"d:Title": "TV Guide Online: Hard Boiled Mahoney", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/H/Hard_Boiled_Mahoney", "url": "http://www.tvguide.com/movies/hard-boiled-mahoney/125425/"} +{"d:Title": "TV Guide Online: Hard Core Logo", "d:Description": "Review, credits and cast.", "topic": "Top/Arts/Movies/Titles/H/Hard_Core_Logo", "url": "http://www.tvguide.com/movies/hard-core-logo/133073/"} +{"d:Title": "IMDb: Hard Day's Night, A", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hard_Day's_Night,_A", "url": "http://www.imdb.com/title/tt0058182/"} +{"d:Title": "AllReaders.com Review - A Hard Day's Night", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring John Lennon, Paul McCartney, George Harrison, Ringo Starr, and Wilfrid Brambell.", "topic": "Top/Arts/Movies/Titles/H/Hard_Day's_Night,_A", "url": "http://allreaders.com/movie-review-summary/hard-days-night-4320"} +{"d:Title": "IMDb: Sydney (1996)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, plot summary, film details, trivia, soundtrack listing and promotional material.", "topic": "Top/Arts/Movies/Titles/H/Hard_Eight", "url": "http://www.imdb.com/title/tt0119256/"} +{"d:Title": "Chicago Sun Times: Hard Eight", "d:Description": "Roger Ebert focuses on the plot and also looks at actor Philip Baker Hall.", "topic": "Top/Arts/Movies/Titles/H/Hard_Eight", "url": "http://www.rogerebert.com/reviews/hard-eight-1997"} +{"d:Title": "AllReaders.com: Hard Eight", "d:Description": "Analytical review of the plot, setting, theme, characters and structure of the film.", "topic": "Top/Arts/Movies/Titles/H/Hard_Eight", "url": "http://allreaders.com/movie-review-summary/hard-eight-4740"} +{"d:Title": "Hard Luck", "d:Description": "Official site with synopsis, cast and crew, reviews, and contact information.", "topic": "Top/Arts/Movies/Titles/H/Hard_Luck", "url": "http://www.roguearts.com/hardluck/"} +{"d:Title": "IMDb - Hard Luck (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hard_Luck", "url": "http://www.imdb.com/title/tt0218323/"} +{"d:Title": "All-Reviews.com: Hard Rain", "d:Description": "Several reviews from viewers.", "topic": "Top/Arts/Movies/Titles/H/Hard_Rain", "url": "http://www.all-reviews.com/videos/hard-rain.htm"} +{"d:Title": "IMDB : Hard Rain (1998)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/Hard_Rain", "url": "http://www.imdb.com/title/tt0120696/"} +{"d:Title": "IMDb: The Harmonists", "d:Description": "Cast and credits, awards, and reviews of this 1997 German historical drama.", "topic": "Top/Arts/Movies/Titles/H/Harmonists,_The", "url": "http://www.imdb.com/title/tt0128133/"} +{"d:Title": "Roger Ebert: The Harmonists", "d:Description": "Review of the U.S. version of this film, almost a standard biopic, with sorrow looming. Rated 3/4.", "topic": "Top/Arts/Movies/Titles/H/Harmonists,_The", "url": "http://www.rogerebert.com/reviews/the-harmonists-1999"} +{"d:Title": "Rotten Tomatoes: Harold and Kumar Escape from Guantanamo Bay", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Escape_from_Guantanamo_Bay", "url": "http://www.rottentomatoes.com/m/harold_and_kumar_2/"} +{"d:Title": "Eric D. Snider: Harold&Kumar Escape from Guantanamo Bay", "d:Description": "Review, by Eric D. Snider: \"Like most comedy sequels, it is not as funny as its predecessor...\" [Rating: C+]", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Escape_from_Guantanamo_Bay", "url": "http://www.ericdsnider.com/movies/harold-kumar-escape-from-guantanamo-bay/"} +{"d:Title": "Harold&Kumar Escape from Guantanamo Bay", "d:Description": "Official site includes a synopsis, trailers and other videos, downloads, cast and crew information and pictures. [Requires Flash.]", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Escape_from_Guantanamo_Bay", "url": "http://www.haroldandkumar.com/"} +{"d:Title": "IGN Movies: Harold&Kumar Escape from Guantanamo Bay", "d:Description": "News, preview, message board, photos, and videos.", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Escape_from_Guantanamo_Bay", "url": "http://www.ign.com/movies/harold-kumar-escape-from-guantanamo-bay/theater-14212913"} +{"d:Title": "News Blaze: Harold And Kumar Escape From Guantanamo Bay", "d:Description": "Review, by Prairie Miller: \"...there's just something about that ballsy duo and their Blue State versus Red State rant, that's nearly impossible to resist.\" [Rating: 3 stars]", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Escape_from_Guantanamo_Bay", "url": "http://newsblaze.com/entertainment/movie-reviews/harold-and-kumar-escape-from-guantanamo-bay-movie-review_4521/"} +{"d:Title": "HARO Online: Harold&Kumar Go to White Castle", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Go_to_White_Castle", "url": "http://www.haro-online.com/movies/harold_and_kumar.html"} +{"d:Title": "Rotten Tomatoes: Harold&Kumar Go To White Castle", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Go_to_White_Castle", "url": "http://www.rottentomatoes.com/m/harold_and_kumar_go_to_white_castle/"} +{"d:Title": "IMDb: Harold&Kumar Go to White Castle (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Go_to_White_Castle", "url": "http://www.imdb.com/title/tt0366551/"} +{"d:Title": "Chicago Sun-Times: Harold&Kumar Go to White Castle", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Go_to_White_Castle", "url": "http://www.rogerebert.com/reviews/harold-and-kumar-go-to-white-castle-2004"} +{"d:Title": "Future Movies: Harold and Kumar Get the Munchies", "d:Description": "UK-titled review and photographs.", "topic": "Top/Arts/Movies/Titles/H/Harold_&_Kumar_Series/Harold_&_Kumar_Go_to_White_Castle", "url": "http://www.futuremovies.co.uk/reviews/harold-and-kumar-get-the-munchies/michelle-thomas"} +{"d:Title": "Images - The Films and Career of Hal Ashby", "d:Description": "Images Journal article about Hal Ashby, the director of Harold and Maude.", "topic": "Top/Arts/Movies/Titles/H/Harold_and_Maude", "url": "http://www.imagesjournal.com/issue08/features/halashby/halashby-nf.htm"} +{"d:Title": "Northern California Movies: Harold and Maude", "d:Description": "Maps and photos of locations used in the film.", "topic": "Top/Arts/Movies/Titles/H/Harold_and_Maude", "url": "http://www.filminamerica.com/Movies/HaroldAndMaude/"} +{"d:Title": "IMDb - Harold and Maude", "d:Description": "Cast/credits plus reviews, trivia, and additional information about the film.", "topic": "Top/Arts/Movies/Titles/H/Harold_and_Maude", "url": "http://www.imdb.com/title/tt0067185/"} +{"d:Title": "Harold and Maude", "d:Description": "The original text of Harold and Maude, by Colin Higgins.", "topic": "Top/Arts/Movies/Titles/H/Harold_and_Maude", "url": "http://filmtv.eserver.org/harold-and-maude.txt"} +{"d:Title": "AllReaders.com Harold and Maude Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Harold_and_Maude", "url": "http://allreaders.com/movie-review-summary/harold-and-maude-3664"} +{"d:Title": "USA Today: For the Love of 'Harold and Maude'", "d:Description": "Ten reasons to watch the film.", "topic": "Top/Arts/Movies/Titles/H/Harold_and_Maude", "url": "http://usatoday30.usatoday.com/life/candy/candy23.htm"} +{"d:Title": "Turner Classic Movies: Harold and Maude", "d:Description": "Synopsis, credits, production notes, soundtrack and original print details, user reviews, quotations, trivia, technical specifications, and distribution information.", "topic": "Top/Arts/Movies/Titles/H/Harold_and_Maude", "url": "http://www.tcm.com/tcmdb/title/4627/Harold-and-Maude/"} +{"d:Title": "HARO Online - Harrison's Flowers", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/H/Harrison's_Flowers", "url": "http://www.haro-online.com/movies/harrisons_flowers.html"} +{"d:Title": "Filmtracks: Harrison's Flowers", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Harrison's_Flowers", "url": "http://www.filmtracks.com/titles/harrisons_flowers.html"} +{"d:Title": "IMDb - Harrison's Flowers (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/Harrison's_Flowers", "url": "http://www.imdb.com/title/tt0216799/"} +{"d:Title": "IMDb: Harry and Tonto (1974)", "d:Description": "Cast and crew, viewer comments, and plot summary.", "topic": "Top/Arts/Movies/Titles/H/Harry_and_Tonto", "url": "http://www.imdb.com/title/tt0071598/"} +{"d:Title": "SoundtrackCollector: Harry And Tonto", "d:Description": "Soundtrack details with track listing.", "topic": "Top/Arts/Movies/Titles/H/Harry_and_Tonto", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=23721"} +{"d:Title": "Harry Potter's Page", "d:Description": "Fan site offering biographies, interviews, pictures, videos, dialogue center, and information on the books and movies.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://www.harrypotterspage.com/"} +{"d:Title": "From Bestseller to Blockbuster", "d:Description": "Gloria Goodale discusses the pros and contras of turning a bestselling book into a film. [Christian Science Monitor]", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://www.csmonitor.com/2001/1116/p13s1-almo.html"} +{"d:Title": "Magic By Midnight", "d:Description": "Information about the films, multimedia, photographs, forum, and links.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://www.angelfire.com/magic/mbym/"} +{"d:Title": "The Harry Potter Fan Zone", "d:Description": "Multimedia, articles, reviews, fan works, books, gaming, humor, merchandise, and tips for webmasters.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://harrypotterfanzone.com/"} +{"d:Title": "Hogwarts Shrine, The", "d:Description": "Screen captures from the films.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://www.ycdtotv.de/hp/"} +{"d:Title": "Harry Potter Hangout", "d:Description": "Pictures, news, and humor.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://harrypotterhangout0.tripod.com/index.html"} +{"d:Title": "Harry Potter Movie Message Board", "d:Description": "Fan discussions.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://greggorysworldoftalkies.yuku.com/"} +{"d:Title": "Trio", "d:Description": "A Harry Potter fan art forum with fan works and general discussion.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://scarletwoman.proboards.com/"} +{"d:Title": "Hogwarts School of Witchcraft and Wizardry", "d:Description": "A fan message board.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://hogwartstudents.proboards.com/"} +{"d:Title": "The Guardian : Harry Potter", "d:Description": "News, analysis and commentary, photo gallery, forum, poll, quizzes, trailers and links.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "http://www.theguardian.com/film/harrypotter"} +{"d:Title": "Yahoo! Movies: Harry Potter and the Goblet of Fire", "d:Description": "Plot synopsis, film overview, credits, trailer, video clips, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "https://www.yahoo.com/movies/film/harry-potter-and-the-goblet-of-fire"} +{"d:Title": "The Official Harry Potter Site", "d:Description": "Information and news from Warner Bros. Also includes fan community, desktop downloads, postcards, and movie merchandise.", "priority": "1", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series", "url": "https://www.warnerbros.co.uk/franchises/harry-potter"} +{"d:Title": "R&H Romance", "d:Description": "Wallpapers, avatar and signature images of Ron Weasley and Hermione Granger. [English/Japanese].", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Characters", "url": "http://rhromance.tripod.com/"} +{"d:Title": "Severus Snape Society", "d:Description": "Character description, pictures, and links.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Characters/Snape,_Severus", "url": "http://seraphinasnape.tripod.com/severussnapesociety/index.html"} +{"d:Title": "Ensnared", "d:Description": "Fanlisting for all genres of fan fiction featuring the character. Rules, categories, and joining instructions.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Characters/Snape,_Severus", "url": "http://ensnaring.com/severussnape/"} +{"d:Title": "KillerMovies: Harry Potter 2", "d:Description": "Synopsis, trailer, and related news.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "http://www.killermovies.com/h/harrypotter2/"} +{"d:Title": "All-Reviews.com: Harry Potter and the Chamber of Secrets", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "http://www.all-reviews.com/videos-5/harry-potter-chamber-secrets.htm"} +{"d:Title": "HARO Online: Harry Potter and the Chamber of Secrets", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "http://www.haro-online.com/movies/harry_potter_cos.html"} +{"d:Title": "Filmtracks: Harry Potter and the Chamber of Secrets", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "http://www.filmtracks.com/titles/chamber_secrets.html"} +{"d:Title": "Scotland the Movie Location Guide: Harry Potter and the Chamber of Secrets", "d:Description": "Scottish filming locations for the film, with pictures.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "http://www.scotlandthemovie.com/movies/fpotter2.html"} +{"d:Title": "Rotten Tomatoes: Harry Potter and the Chamber of Secrets", "d:Description": "The movie guide includes a photo, description, and a fan forum.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "http://www.rottentomatoes.com/m/harry_potter_and_the_chamber_of_secrets/"} +{"d:Title": "IMDb: Harry Potter and the Chamber of Secrets", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "http://www.imdb.com/title/tt0295297/"} +{"d:Title": "Yahoo Movies: Harry Potter and the Chamber of Secrets", "d:Description": "Plot synopsis, film overview, credits, trailer, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Chamber_of_Secrets", "url": "https://www.yahoo.com/movies/film/harry-potter-and-the-chamber-of-secrets"} +{"d:Title": "Harry Potter Ethics", "d:Description": "\"Topic: Sports and Entertainment Harry Potter Ethics (11/21/2005) Essay. \"'Harry Potter and the Goblet of Fire,' the latest in the series of films based on the phenomenally popular J.K. Rowling novels about a student wizard and his friends, is in some ways the best so far. Unfortunately, it is also the most ethically insensitive.\"", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Goblet_of_Fire", "url": "http://www.ethicsscoreboard.com/list/harrypotter.html"} +{"d:Title": "Slant Magazine: Harry Potter and the Goblet of Fire", "d:Description": "Mixed review by Jeremiah Kipp.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Goblet_of_Fire", "url": "http://www.slantmagazine.com/dvd/review/harry-potter-and-the-goblet-of-fire"} +{"d:Title": "IMDb: Harry Potter and the Half-Blood Prince (2009)", "d:Description": "Cast, crew, and production information for the sixth movie of the Harry Potter series.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Half-Blood_Prince", "url": "http://www.imdb.com/title/tt0417741/"} +{"d:Title": "Wikipedia: Harry Potter and the Half-Blood Prince", "d:Description": "Encyclopedia article discusses the plot and development of the film.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Half-Blood_Prince", "url": "http://en.wikipedia.org/wiki/Harry_Potter_and_the_Half-Blood_Prince"} +{"d:Title": "Rotten Tomatoes: Harry Potter and the Half-Blood Prince Movie Reviews, Pictures", "d:Description": "Synopsis, clips, pictures, critic and user reviews.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Half-Blood_Prince", "url": "http://www.rottentomatoes.com/m/harry_potter_and_the_half_blood_prince/"} +{"d:Title": "MTV.com: Harry Potter And The Half-Blood Prince", "d:Description": "Movie review by Sohyung Kang, with reader's comments.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Half-Blood_Prince", "url": "http://www.mtv.com/news/1616312/harry-potter-and-the-half-blood-prince-reviews-are-in/"} +{"d:Title": "NYTimes.com: Harry Potter and the Half-Blood Prince", "d:Description": "Movie review, trailer, clips and photographs. Written by Manohla Dargis.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Half-Blood_Prince", "url": "http://www.nytimes.com/2009/07/15/movies/15harry.html"} +{"d:Title": "Rotten Tomatoes: Harry Potter and the Order of the Phoenix", "d:Description": "Review links, synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Order_of_the_Phoenix", "url": "http://www.rottentomatoes.com/m/harry_potter_and_the_order_of_the_phoenix/"} +{"d:Title": "IMDb: Harry Potter and the Order of the Phoenix (2007)", "d:Description": "Plot outlines, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Order_of_the_Phoenix", "url": "http://www.imdb.com/title/tt0373889/"} +{"d:Title": "Wikipedia: Harry Potter and the Order of the Phoenix", "d:Description": "Article describing the plot, production, cast, distribution, and critical reception.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Order_of_the_Phoenix", "url": "http://en.wikipedia.org/wiki/Harry_Potter_and_the_Order_of_the_Phoenix_(film)"} +{"d:Title": "IGN Movies: Harry Potter and the Order of the Phoenix", "d:Description": "News, preview, photos, trailers, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Order_of_the_Phoenix", "url": "http://www.ign.com/movies/harry-potter-and-the-order-of-the-phoenix/theater-40689"} +{"d:Title": "Movie Forums: Harry Potter and the Order of the Phoenix", "d:Description": "Review by Chris Bowyer. \"...this film works best when viewed as merely priming us for the still darker road ahead.\"", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Order_of_the_Phoenix", "url": "http://www.movieforums.com/reviews/1081593-harry-potter-and-the-order-of-the-phoenix.html"} +{"d:Title": "MovieWeb: Harry Potter and the Order of the Phoenix (2007)", "d:Description": "Synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Order_of_the_Phoenix", "url": "http://www.movieweb.com/movie/harry-potter-and-the-order-of-the-phoenix"} +{"d:Title": "Yahoo Movies: Harry Potter and the Order of the Phoenix", "d:Description": "Plot synopsis, film overview, credits, trailer, video clips, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Order_of_the_Phoenix", "url": "https://www.yahoo.com/movies/film/harry-potter-and-the-order-of-the-phoenix"} +{"d:Title": "Filmtracks: Harry Potter and the Prisoner of Azkaban", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Prisoner_of_Azkaban", "url": "http://www.filmtracks.com/titles/prisoner_azkaban.html"} +{"d:Title": "All-Reviews.com: Harry Potter and the Prisoner of Azkaban", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Prisoner_of_Azkaban", "url": "http://www.all-reviews.com/videos-5/harry-potter-prisoner.htm"} +{"d:Title": "HARO Online: Harry Potter and the Prisoner of Azkaban", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Prisoner_of_Azkaban", "url": "http://www.haro-online.com/movies/harry_potter_azkaban.html"} +{"d:Title": "TEN Movies: Harry Potter and the Prisoner of Azkaban", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Prisoner_of_Azkaban", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1079"} +{"d:Title": "Rotten Tomatoes: Harry Potter and the Prisoner of Azkaban.", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Prisoner_of_Azkaban", "url": "http://www.rottentomatoes.com/m/harry_potter_and_the_prisoner_of_azkaban/"} +{"d:Title": "IMdb: Harry Potter and the Prisoner of Azkaban", "d:Description": "Cast and crew, production information, and discussion.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Prisoner_of_Azkaban", "url": "http://www.imdb.com/title/tt0304141/"} +{"d:Title": "Filmtracks: Harry Potter and the Sorcerer's Stone", "d:Description": "Article about John Williams' score for the film.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://www.filmtracks.com/titles/harry_potter.html"} +{"d:Title": "Nick's Harry Potter Pics", "d:Description": "Image gallery.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://www.angelfire.com/my/nickorific/index.html"} +{"d:Title": "BBC News: Potter Fans Fly in for Film", "d:Description": "Fans cross an ocean to see the first screening of the Harry Potter film in London - but tickets are still available at the door. By Alex Webb.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1660017.stm"} +{"d:Title": "The Christian Science Monitor: Bringing 'Harry Potter' to Life", "d:Description": "Interview with director Chris Columbus by Bonnie Churchill.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://www.csmonitor.com/2001/1116/p17s1-alip.html"} +{"d:Title": "Lair 2000: Harry Potter", "d:Description": "Image gallery, character profiles, MIDI files, and links.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://lair2000.net/wHarry_Potter/Harry_Potter.html"} +{"d:Title": "Virtual Hogwarts: Movie Bloopers", "d:Description": "A list of mistakes from the film.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://www.angelfire.com/nb/130/mistakes.html"} +{"d:Title": "The Harry Potter Gallery", "d:Description": "Over a thousand pictures from the film.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://www.ycdtot.com/hp1"} +{"d:Title": "KillerMovies: Harry Potter", "d:Description": "Movie news, cast list, and trailer.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://www.killermovies.com/h/harrypotter/"} +{"d:Title": "IMDb: Harry Potter and the Sorcerer's Stone", "d:Description": "Cast information and reviews.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone", "url": "http://www.imdb.com/title/tt0241527/"} +{"d:Title": "All-Reviews.com: Harry Potter and the Sorcerer's Stone", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://www.all-reviews.com/videos-4/harry-potter-sorcerers-stone.htm"} +{"d:Title": "HARO Online: Harry Potter", "d:Description": "Review of the film by Haro. Includes some still images from the film.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://www.haro-online.com/movies/harry_potter.html"} +{"d:Title": "Nitrate Online: Harry Potter and the Sorcerer's Stone", "d:Description": "KJ Doughton finds the plodding pace and lack of genuine sparkle insufferable.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://www.nitrateonline.com/2001/rhpotter.html"} +{"d:Title": "PopMatters: Harry Potter", "d:Description": "Review of the film and the phenomenon.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://popmatters.com/film/reviews/h/harry-potter.html"} +{"d:Title": "Rotten Tomatoes: Harry Potter and the Sorcerer's Stone", "d:Description": "Synopsis, photos, trailers, and links to reviews.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://www.rottentomatoes.com/m/harry_potter_and_the_sorcerers_stone/"} +{"d:Title": "DVDTalk: Harry Potter and the Sorcerer's Stone", "d:Description": "Review of the movie and DVD product information.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://www.dvdtalk.com/reviews/3809/harry-potter-and-the-sorcerers-stone/"} +{"d:Title": "Metacritic: Harry Potter and the Sorcerer's Stone", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, and rating.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://www.metacritic.com/movie/harry-potter-and-the-sorcerers-stone"} +{"d:Title": "Chicago Sun-Times: Harry Potter and the Sorcerer's Stone", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://www.rogerebert.com/reviews/harry-potter-and-the-sorcerers-stone-2001"} +{"d:Title": "An Enchanted, Spirited 'Potter'", "d:Description": "\"Harry Potter And The Sorcerer's Stone is - to borrow a phrase from a popular breakfast cereal's advertising campaign - magically delicious.\" By Paul Clinton [CNN].", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://edition.cnn.com/2001/SHOWBIZ/Movies/11/15/hol.clinton.potter/index.html"} +{"d:Title": "'Potter' Well Acted, Heavy Handed", "d:Description": "\"...rises and falls on the waves of Rowling's rightfully celebrated imagination.\" By Paul Tatara [CNN].", "topic": "Top/Arts/Movies/Titles/H/Harry_Potter_Series/Harry_Potter_and_the_Sorcerer's_Stone/Reviews", "url": "http://edition.cnn.com/2001/SHOWBIZ/Movies/11/15/hol.tatara.potter/"} +{"d:Title": "IMDb: Harsh Times", "d:Description": "Provides full cast and crew, external reviews, box office details, filming locations, photos and discussion board.", "topic": "Top/Arts/Movies/Titles/H/Harsh_Times", "url": "http://www.imdb.com/title/tt0433387/"} +{"d:Title": "IndieLondon: Harsh Times - Review", "d:Description": "Like it\u2019s name suggests, Harsh Times is hard, often gruelling viewing, but the ride-along is damn-near essential. By Jack Foley.", "topic": "Top/Arts/Movies/Titles/H/Harsh_Times", "url": "http://www.indielondon.co.uk/Film-Review/harsh-times-review"} +{"d:Title": "OutNow.CH :Images: Harsh Times", "d:Description": "Features small image gallery of production stills.", "topic": "Top/Arts/Movies/Titles/H/Harsh_Times", "url": "http://outnow.ch/Movies/2005/HarshTimes/Bilder/"} +{"d:Title": "Movieweb.com: Harsh Times", "d:Description": "Features film synopsis, cast list, production notes in PDF format and high-resolution movie stills.", "topic": "Top/Arts/Movies/Titles/H/Harsh_Times", "url": "http://www.movieweb.com/movie/harsh-times"} +{"d:Title": "All-Reviews: Hart's War", "d:Description": "Various reviews and ratings.", "topic": "Top/Arts/Movies/Titles/H/Hart's_War", "url": "http://www.all-reviews.com/videos-4/harts-war.htm"} +{"d:Title": "HARO Online: Hart's War", "d:Description": "Review looking at its familiar plot. Accompanied by images.", "topic": "Top/Arts/Movies/Titles/H/Hart's_War", "url": "http://www.haro-online.com/movies/harts_war.html"} +{"d:Title": "Rotten Tomatoes: Hart's War", "d:Description": "Movie reviews and information.", "topic": "Top/Arts/Movies/Titles/H/Hart's_War", "url": "http://www.rottentomatoes.com/m/harts_war/"} +{"d:Title": "Metacritic.com: Hart's War", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Hart's_War", "url": "http://www.metacritic.com/movie/harts-war"} +{"d:Title": "HARO Online: Harvard Man", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/H/Harvard_Man", "url": "http://www.haro-online.com/movies/harvard_man.html"} +{"d:Title": "Internet Movie Database: Harvard Man", "d:Description": "Plot summary, cast and crew, reviews, user ratings and comments, production and distribution information, trivia, photographs, and links.", "topic": "Top/Arts/Movies/Titles/H/Harvard_Man", "url": "http://imdb.com/title/tt0242508/"} +{"d:Title": "IMDb - Harvey (1950)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Harvey", "url": "http://www.imdb.com/title/tt0042546/"} +{"d:Title": "Allreaders Review - Harvey", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Jimmy Stewart film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Harvey", "url": "http://allreaders.com/movie-review-summary/harvey-4280"} +{"d:Title": "TV Guide Online: Harvey", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Harvey", "url": "http://www.tvguide.com/movies/harvey/125489/"} +{"d:Title": "HARO Online: The Haunted Mansion", "d:Description": "Contains review and movie stills.", "topic": "Top/Arts/Movies/Titles/H/Haunted_Mansion,_The_-_2003", "url": "http://www.haro-online.com/movies/haunted_mansion.html"} +{"d:Title": "All-Reviews.com: The Haunted Mansion", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Haunted_Mansion,_The_-_2003", "url": "http://all-reviews.com/videos-5/haunted-mansion.htm"} +{"d:Title": "Rotten Tomatoes: The Haunted Mansion", "d:Description": "Contains trailers, news, synopsis, reviews, image gallery, cast and credits", "topic": "Top/Arts/Movies/Titles/H/Haunted_Mansion,_The_-_2003", "url": "http://www.rottentomatoes.com/m/haunted_mansion/"} +{"d:Title": "Yahoo! Movies: The Haunted Mansion", "d:Description": "Contains synopsis, production images, trailers, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Haunted_Mansion,_The_-_2003", "url": "http://movies.yahoo.com/movie/the-haunted-mansion/"} +{"d:Title": "Internet Movie Database: Haunted Mansion, The", "d:Description": "Includes plot summary, news, trailer, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/H/Haunted_Mansion,_The_-_2003", "url": "http://www.imdb.com/title/tt0338094/"} +{"d:Title": "IMdb: The Haunting (1963)", "d:Description": "Full cast information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1963", "url": "http://www.imdb.com/title/tt0057129/"} +{"d:Title": "TV Guide Online: The Haunting", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1963", "url": "http://www.tvguide.com/movies/haunting/125611/"} +{"d:Title": "HARO Online", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1999", "url": "http://www.haro-online.com/movies/haunting.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, synopsis, and trailers.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1999", "url": "http://www.rottentomatoes.com/m/1090789-haunting/"} +{"d:Title": "Box Office Mojo - The Haunting", "d:Description": "Box office data for The Haunting since day one. Includes a comparison with other horror movies.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1999", "url": "http://www.boxofficemojo.com/movies/?id=haunting.htm"} +{"d:Title": "IMDb: The Haunting (1999)", "d:Description": "Cast and credits, synopsis, and related details.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1999", "url": "http://www.imdb.com/title/tt0171363/"} +{"d:Title": "Yahoo Movies: The Haunting", "d:Description": "Plot synopsis, film overview, credits, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1999", "url": "https://www.yahoo.com/movies/film/the-haunting-1999"} +{"d:Title": "TV Guide Online: The Haunting", "d:Description": "Review, credits and cast.", "topic": "Top/Arts/Movies/Titles/H/Haunting,_The_-_1999", "url": "http://www.tvguide.com/movies/haunting/133976/"} +{"d:Title": "IGN: The Haunting in Connecticut", "d:Description": "News, photos, videos, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/H/Haunting_in_Connecticut,_The", "url": "http://www.ign.com/movies/the-haunting-in-connecticut/theater-906457"} +{"d:Title": "TV Guide: The Haunting In Connecticut", "d:Description": "Review, by Jason Buchanan: \"A film that pays lip service to some interesting ideas, but is far too concerned with pleasing a large crowd to be anything more than another instantly forgettable fright flick.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Haunting_in_Connecticut,_The", "url": "http://www.tvguide.com/movies/haunting-connecticut/review/296815/"} +{"d:Title": "ReelViews: Haunting in Connecticut, The", "d:Description": "Review, by James Berardinelli: \"Boring and uninspired, this movie gives ghost stories a bad name.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Haunting_in_Connecticut,_The", "url": "http://www.reelviews.net/reelviews/haunting-in-connecticut-the"} +{"d:Title": "IMDB: Haunting of Morella, The (1990)", "d:Description": "Includes a review, and cast.", "topic": "Top/Arts/Movies/Titles/H/Haunting_of_Morella,_The", "url": "http://www.imdb.com/title/tt0099743/"} +{"d:Title": "IMDb: Having Wonderful Crime", "d:Description": "Cast and crew details.", "topic": "Top/Arts/Movies/Titles/H/Having_Wonderful_Crime", "url": "http://www.imdb.com/title/tt0037767/"} +{"d:Title": "AllMovie: Having Wonderful Crime", "d:Description": "Synopsis, review, and credits.", "topic": "Top/Arts/Movies/Titles/H/Having_Wonderful_Crime", "url": "http://www.allmovie.com/movie/having-wonderful-crime-v139895"} +{"d:Title": "Having Wonderful Crime", "d:Description": "Review by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/H/Having_Wonderful_Crime", "url": "http://homepages.sover.net/~ozus/havingwonderfulcrime.htm"} +{"d:Title": "TV Guide Online: Hav Plenty", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hav_Plenty", "url": "http://www.tvguide.com/movies/hav-plenty/133070/"} +{"d:Title": "Rotten Tomatoes: He's Just Not That Into You", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, news, and a message board.", "topic": "Top/Arts/Movies/Titles/H/He's_Just_Not_That_Into_You", "url": "http://www.rottentomatoes.com/m/hes_just_not_that_into_you/"} +{"d:Title": "IGN: He's Just Not That Into You", "d:Description": "News, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/H/He's_Just_Not_That_Into_You", "url": "http://www.ign.com/movies/hes-just-not-that-into-you/theater-957619"} +{"d:Title": "USA Today: He's Just Not That Into You", "d:Description": "Review, by Claudia Puig: \"The movie has a few too many story threads, but it also has some very funny lines and offers sharp-eyed commentary on the state of relationships in the era of instant messages and MySpace.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/H/He's_Just_Not_That_Into_You", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2009-02-05-not-that-into-you_N.htm"} +{"d:Title": "ReelViews: He's Just Not That Into You", "d:Description": "Review, by James Berardinelli: \"While \"Love, Actually\" succeeded in providing well-developed characters in (mostly) interesting situations, He's Just Not That Into You is often flat with subplots that feel rushed and/or contrived.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/H/He's_Just_Not_That_Into_You", "url": "http://www.reelviews.net/reelviews/he-s-just-not-that-into-you"} +{"d:Title": "TV Guide: He's Just Not That Into You", "d:Description": "Review, by Perry Seibert: \"There's tons of professionalism in He's Just Not That Into You, but it lacks passion -- they should have called it \"Like, Actually.\"\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/H/He's_Just_Not_That_Into_You", "url": "http://www.tvguide.com/movies/hes-just/review/293502/"} +{"d:Title": "AllReaders.com Review - Head Above Water", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Cameron Diaz film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Head_Above_Water", "url": "http://allreaders.com/movie-review-summary/head-above-water-4386"} +{"d:Title": "Rotten Tomatoes: Head of State", "d:Description": "Include reviews, synopsis, trailer and image gallery.", "topic": "Top/Arts/Movies/Titles/H/Head_of_State", "url": "http://www.rottentomatoes.com/m/head_of_state/"} +{"d:Title": "Yahoo! Movies: Head of State", "d:Description": "Includes synopsis, cast and credits, reviews, trailers and images.", "topic": "Top/Arts/Movies/Titles/H/Head_of_State", "url": "http://movies.yahoo.com/movie/head-of-state/"} +{"d:Title": "Internet Movie Database: Head of State", "d:Description": "Contains reviews, plot summary, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/H/Head_of_State", "url": "http://www.imdb.com/title/tt0325537/"} +{"d:Title": "TV Guide Online: Head On", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Head_On_-_1980", "url": "http://www.tvguide.com/movies/head/125664/"} +{"d:Title": "IMDb - Head On", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Head_On_-_1998", "url": "http://www.imdb.com/title/tt0138487/"} +{"d:Title": "Bright Lights Film Journal: Head On", "d:Description": "Review: \"A solid exercise in generational angst - in this case the angst-ridden youth is gorgeous 19-year-old Ari (Alex Dimitriades), a double outsider -- gay and a Greek living in Australia.", "topic": "Top/Arts/Movies/Titles/H/Head_On_-_1998", "url": "http://brightlightsfilm.com/27/headon.php"} +{"d:Title": "Head Over Heels", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://www.headoverheels.net/"} +{"d:Title": "PopMatters - Head Over Heels", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://popmatters.com/film/reviews/h/head-over-heels.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://www.all-reviews.com/videos-2/head-over-heels.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://www.rottentomatoes.com/m/1104725-head_over_heels/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://www.metacritic.com/movie/head-over-heels"} +{"d:Title": "IMDb: Head Over Heels (2000)", "d:Description": "Cast and credits, synopsis, user comments, and related information.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://www.imdb.com/title/tt0192111/"} +{"d:Title": "Filmbug", "d:Description": "Summary, cast information, and links.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://www.filmbug.com/asin/B00005JCCB"} +{"d:Title": "Yahoo Movies: Head Over Heels", "d:Description": "Plot synopsis, film overview, credits, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "https://www.yahoo.com/movies/film/head-over-heels"} +{"d:Title": "AllReaders.com Review - Head Over Heels", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Head_Over_Heels_-_2001", "url": "http://allreaders.com/movie-review-summary/head-over-heels-4822"} +{"d:Title": "All-Reviews.com - Heartbreakers", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Heartbreakers", "url": "http://www.all-reviews.com/videos-2/heartbreakers.htm"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/H/Heartbreakers", "url": "http://www.haro-online.com/movies/heartbreakers.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Heartbreakers", "url": "http://www.rottentomatoes.com/m/1105990-heartbreakers/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Heartbreakers", "url": "http://www.metacritic.com/movie/heartbreakers"} +{"d:Title": "BBC Films: Heartlands", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Heartlands", "url": "http://www.bbc.co.uk/films/2003/04/16/heartlands_2003_review.shtml"} +{"d:Title": "IMDb: Heartlands", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Heartlands", "url": "http://www.imdb.com/title/tt0295303/"} +{"d:Title": "All-Reviews.com: Hearts in Atlantis", "d:Description": "Reviews of the movie with ratings and film details.", "topic": "Top/Arts/Movies/Titles/H/Hearts_in_Atlantis", "url": "http://www.all-reviews.com/videos-3/hearts-in-atlantis.htm"} +{"d:Title": "HARO Online: Hearts in Atlantis", "d:Description": "Review which looks at plot and director's style. A rating of \"Not Bad\" was given to this film.", "topic": "Top/Arts/Movies/Titles/H/Hearts_in_Atlantis", "url": "http://www.haro-online.com/movies/hearts_in_atlantis.html"} +{"d:Title": "Metacritic.com: Hearts in Atlantis", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Hearts_in_Atlantis", "url": "http://www.metacritic.com/movie/hearts-in-atlantis"} +{"d:Title": "All-Reviews.com - Heat", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Heat", "url": "http://www.all-reviews.com/videos-2/heat.htm"} +{"d:Title": "Heat Filming Locations", "d:Description": "Six pages with 30 actual filming locations for the 1996 Al Pacino / Robert De Niro film \"Heat\". Includes photos, maps, and addresses.", "topic": "Top/Arts/Movies/Titles/H/Heat", "url": "http://www.seeing-stars.com/Locations/Heat1.shtml"} +{"d:Title": "Heat", "d:Description": "Feature the cast and crew. From IMDb", "topic": "Top/Arts/Movies/Titles/H/Heat", "url": "http://www.imdb.com/title/tt0113277/"} +{"d:Title": "AllReaders.com Review - Heat", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Robert De Niro, Al Pacino, Val Kilmer, Ashley Judd, and Natalie Portman.", "topic": "Top/Arts/Movies/Titles/H/Heat", "url": "http://allreaders.com/movie-review-summary/heat-3702"} +{"d:Title": "Yahoo Groups : HeathersRPG", "d:Description": "Join a RPG about the film.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://groups.yahoo.com/group/HeathersRPG/"} +{"d:Title": "Heathers and Xena: Warrior Princess", "d:Description": "Comparison between the film and the television show.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://www.whoosh.org/issue27/heller1.html"} +{"d:Title": "Yahoo Groups : heathers", "d:Description": "Mailing list for fans of the movie.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://groups.yahoo.com/group/heathers/"} +{"d:Title": "The Daily Script: Heathers", "d:Description": "Shooting script by Daniel Waters.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://www.dailyscript.com/scripts/heathers_shooting.html"} +{"d:Title": "Rotten Tomatoes - Heathers", "d:Description": "Reviews of Heathers from the nation's top critics and audiences. Also includes movie information and comparison shopping for DVDs and videos.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://www.rottentomatoes.com/m/heathers/"} +{"d:Title": "The Heathers Web Site - The Slate Is Clean", "d:Description": "A fan site with pictures, sounds, links, quotes, downloads, and other news and information.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://heathersfilm.tripod.com/"} +{"d:Title": "IMDb.com - Heathers", "d:Description": "Cast/crew information with user comments and ratings and other background details.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://www.imdb.com/title/tt0097493/"} +{"d:Title": "TV Guide Online - Heathers", "d:Description": "3 1/2 star rating with synopsis, cast/crew information, picture, and other background details.", "topic": "Top/Arts/Movies/Titles/H/Heathers", "url": "http://www.tvguide.com/movies/heathers/127716/"} +{"d:Title": "Washington Post.com - Heathers", "d:Description": "Reviewed by Rita Kempley", "topic": "Top/Arts/Movies/Titles/H/Heathers/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/heathersrkempley_a09fb6.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/H/Heathers/Reviews", "url": "http://www.fast-rewind.com/heathers.htm"} +{"d:Title": "IMDb.com - Heathers", "d:Description": "Reviewed by Jeff Meyer (1989)", "topic": "Top/Arts/Movies/Titles/H/Heathers/Reviews", "url": "http://www.imdb.com/reviews/06/0666.html"} +{"d:Title": "Suntimes.com: Roger Ebert - Heathers", "d:Description": "2 1/2 star rating by the critic.", "topic": "Top/Arts/Movies/Titles/H/Heathers/Reviews", "url": "http://www.rogerebert.com/reviews/heathers-1989"} +{"d:Title": "Allreaders: Heathers", "d:Description": "Analysis of the Winona Ryder film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Heathers/Reviews", "url": "http://allreaders.com/movie-review-summary/heathers-3794"} +{"d:Title": "IMDb - 7 pra-jan-barn (2002)", "d:Description": "Cast, credits, production.", "topic": "Top/Arts/Movies/Titles/H/Heaven's_Seven", "url": "http://www.imdb.com/title/tt0329962/"} +{"d:Title": "All-Reviews.com - Heavenly Creatures", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Heavenly_Creatures", "url": "http://www.all-reviews.com/videos-2/heavenly-creatures.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Heavenly_Creatures", "url": "http://crazy4cinema.com/Review/FilmsH/f_heavenly_creatures.html"} +{"d:Title": "Heavenly Christchurch", "d:Description": "Photographs and commentary concerning film locations.", "topic": "Top/Arts/Movies/Titles/H/Heavenly_Creatures", "url": "http://anthonylarme.tripod.com/hc/index.html"} +{"d:Title": "IMDb: Heavenly Creatures", "d:Description": "Synopsis, cast and crew, awards, trivia, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/H/Heavenly_Creatures", "url": "http://www.imdb.com/title/tt0110005/"} +{"d:Title": "TV Guide Online: Heavenly Creatures", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Heavenly_Creatures", "url": "http://www.tvguide.com/movies/heavenly-creatures/130300/"} +{"d:Title": "Heaven (1987)", "d:Description": "Cast and crew information about the documentary with reviews, comments and discussion, and links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/Heaven_-_1987", "url": "http://www.imdb.com/title/tt0093166/"} +{"d:Title": "TV Guide Online: Heaven", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Heaven_-_1987", "url": "http://www.tvguide.com/movies/heaven/132680/"} +{"d:Title": "Internet Movie Database: Heavyweights", "d:Description": "Synopsis, cast and crew, user comments and ratings, trivia, production and distribution information, articles, photographs, and links.", "topic": "Top/Arts/Movies/Titles/H/Heavyweights", "url": "http://imdb.com/title/tt0110006/"} +{"d:Title": "Screen It: Heavyweights", "d:Description": "Detailed analysis of the film's suitability for younger viewers.", "topic": "Top/Arts/Movies/Titles/H/Heavyweights", "url": "http://www.screenit.com/movies/1995/heavyweights.html"} +{"d:Title": "HARO Online: Hedwig and the Angry Inch", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/H/Hedwig_and_the_Angry_Inch", "url": "http://www.haro-online.com/movies/hedwig.html"} +{"d:Title": "All-Reviews.com: Hedwig and the Angry Inch", "d:Description": "Several reviews of the film.", "topic": "Top/Arts/Movies/Titles/H/Hedwig_and_the_Angry_Inch", "url": "http://www.all-reviews.com/videos-3/hedwig-angry-inch.htm"} +{"d:Title": "BBC Review", "d:Description": "BBC's review of the film, including commentary and facts about the film.", "topic": "Top/Arts/Movies/Titles/H/Hedwig_and_the_Angry_Inch", "url": "http://www.bbc.co.uk/films/2001/07/24/hedwig_and_the_angry_inch_2001_review.shtml"} +{"d:Title": "PopMatters: Hedwig and the Angry Inch", "d:Description": "Review by Todd Ramlow. Includes links to an interview with the director and another review.", "topic": "Top/Arts/Movies/Titles/H/Hedwig_and_the_Angry_Inch", "url": "http://www.popmatters.com/film/reviews/h/hedwig-and-the-angry-inch.html"} +{"d:Title": "Metacritic.com: Hedwig and the Angry Inch", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/H/Hedwig_and_the_Angry_Inch", "url": "http://www.metacritic.com/movie/hedwig-and-the-angry-inch"} +{"d:Title": "IMDb: Hedwig and the Angry Inch", "d:Description": "Overview, cast and crew details, awards, quotes, trivia, and promotional information.", "topic": "Top/Arts/Movies/Titles/H/Hedwig_and_the_Angry_Inch", "url": "http://www.imdb.com/title/tt0248845/"} +{"d:Title": "John Cameron Mitchell: A Hansel Transformed Into His/Her Own Gretel", "d:Description": "John Leland of the New York Times writes about John Cameron Mitchell and the movie. Free registration required.", "topic": "Top/Arts/Movies/Titles/H/Hedwig_and_the_Angry_Inch", "url": "http://www.nytimes.com/2001/05/13/movies/summer-films-rising-stars-a-hansel-transformed-into-his-her-own-gretel.html"} +{"d:Title": "HARO Online: Heist", "d:Description": "Review which looks at dialogue, director's style and plot.", "topic": "Top/Arts/Movies/Titles/H/Heist_-_2001", "url": "http://www.haro-online.com/movies/heist.html"} +{"d:Title": "All-Reviews.com: Heist", "d:Description": "Collection of reviews by the reviewers at this site. Includes ratings and film details.", "topic": "Top/Arts/Movies/Titles/H/Heist_-_2001", "url": "http://www.all-reviews.com/videos-4/heist.htm"} +{"d:Title": "Metacritic.com: Heist", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/H/Heist_-_2001", "url": "http://www.metacritic.com/movie/heist"} +{"d:Title": "IMDb: Heist (2001)", "d:Description": "Includes cast and crew, user comments and reviews, external reviews, plot summary, trivia, film references, trailers, photo gallery and film details.", "topic": "Top/Arts/Movies/Titles/H/Heist_-_2001", "url": "http://www.imdb.com/title/tt0252503/"} +{"d:Title": "Rotten Tomatoes - Held Up", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Held_Up", "url": "http://www.rottentomatoes.com/m/held_up/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Held_Up", "url": "http://www.metacritic.com/movie/held-up"} +{"d:Title": "Yahoo Movies: Held Up", "d:Description": "Plot synopsis, film overview, credits, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Held_Up", "url": "https://www.yahoo.com/movies/film/held-up"} +{"d:Title": "IMDb - Enfer, L' (1994)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/Hell", "url": "http://www.imdb.com/title/tt0109731/"} +{"d:Title": "HARO Online: Hellboy", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hellboy", "url": "http://www.haro-online.com/movies/hellboy.html"} +{"d:Title": "IMDb: Hellboy (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/H/Hellboy", "url": "http://www.imdb.com/title/tt0167190/"} +{"d:Title": "Rotten Tomatoes: Hellboy", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/H/Hellboy", "url": "http://www.rottentomatoes.com/m/hellboy/"} +{"d:Title": "Future Movies: Hellboy", "d:Description": "Review by Adrian Mackinder.", "topic": "Top/Arts/Movies/Titles/H/Hellboy", "url": "http://www.futuremovies.co.uk/reviews/hellboy/adrian-mackinder"} +{"d:Title": "Chicago Sun-Times: Hellboy", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/Hellboy", "url": "http://www.rogerebert.com/reviews/hellboy-2004"} +{"d:Title": "Hello, Dolly (1969)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/Hello,_Dolly", "url": "http://www.imdb.com/title/tt0064418/"} +{"d:Title": "Hello, Dolly", "d:Description": "Review by Nick Davis.", "topic": "Top/Arts/Movies/Titles/H/Hello,_Dolly", "url": "http://www.nicksflickpicks.com/hellodol.html"} +{"d:Title": "AllMovie: Hello, Dolly", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/H/Hello,_Dolly", "url": "http://www.allmovie.com/movie/hello-dolly-v22113"} +{"d:Title": "IMDb: Hellbound - Hellraiser II (1988)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hellraiser_Series/Hellbound_-_Hellraiser_II", "url": "http://www.imdb.com/title/tt0095294/"} +{"d:Title": "IMDb: Hellraiser (1987)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hellraiser_Series/Hellraiser", "url": "http://www.imdb.com/title/tt0093177/"} +{"d:Title": "IMDb: Hellraiser - Bloodline (1996)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hellraiser_Series/Hellraiser_-_Bloodline", "url": "http://www.imdb.com/title/tt0116514/"} +{"d:Title": "IMDb: Hellraiser - Inferno", "d:Description": "Plot outline, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/H/Hellraiser_Series/Hellraiser_-_Inferno", "url": "http://www.imdb.com/title/tt0229440/"} +{"d:Title": "IMDb: Hellraiser III - Hell on Earth (1992)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hellraiser_Series/Hellraiser_III_-_Hell_on_Earth", "url": "http://www.imdb.com/title/tt0104409/"} +{"d:Title": "IMDb: Hellraiser VI - Hellseeker (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hellraiser_Series/Hellraiser_VI_-_Hellseeker", "url": "http://www.imdb.com/title/tt0274546/"} +{"d:Title": "Badmovies.org: Hell Comes to Frogtown", "d:Description": "Character profiles, sound files, images, plot, and things to watch for.", "topic": "Top/Arts/Movies/Titles/H/Hell_Comes_to_Frogtown", "url": "http://www.badmovies.org/movies/hellfrog/"} +{"d:Title": "IMDB: Hell Comes to Frogtown", "d:Description": "Cast list, summary, and general information.", "topic": "Top/Arts/Movies/Titles/H/Hell_Comes_to_Frogtown", "url": "http://www.imdb.com/title/tt0093171/"} +{"d:Title": "Stop Worrying: A Tribute to the Beatles Film", "d:Description": "Photographs, sounds, a detailed summary with screen captures, cast details, information on deleted scenes, and links.", "topic": "Top/Arts/Movies/Titles/H/Help", "url": "http://beatleshelp.50megs.com/"} +{"d:Title": "IMDb: Help!", "d:Description": "Plot outline, cast and crew listing, and viewer comments.", "topic": "Top/Arts/Movies/Titles/H/Help", "url": "http://www.imdb.com/title/tt0059260/"} +{"d:Title": "Henry: Portrait of a Serial Killer", "d:Description": "Contains story outline, facts, and pictures.", "topic": "Top/Arts/Movies/Titles/H/Henry_-_Portrait_of_a_Serial_Killer", "url": "http://www.houseofhorrors.com/henry.htm"} +{"d:Title": "TV Guide Online: Henry Fool", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Henry_Fool", "url": "http://www.tvguide.com/movies/henry-fool/133210/"} +{"d:Title": "BBC Films: Henry V (1944)", "d:Description": "Film review, and cast and crew links.", "topic": "Top/Arts/Movies/Titles/H/Henry_V_-_1944", "url": "http://www.bbc.co.uk/films/2002/02/19/henry_v_1944_review.shtml"} +{"d:Title": "Rotten Tomatoes: Henry V (1944", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/H/Henry_V_-_1944", "url": "http://www.rottentomatoes.com/m/1009505-henry_v/"} +{"d:Title": "IMDb: Henry V (1944)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Henry_V_-_1944", "url": "http://www.imdb.com/title/tt0036910/"} +{"d:Title": "Christian Answers Spotlight on the Movies: Henry V", "d:Description": "Ryan Kelly looks at the violence and references to God in the film. Gives a moral rating, a primary audience age band and moviemaking quality star rating.", "topic": "Top/Arts/Movies/Titles/H/Henry_V_-_1989", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/rvu-henryv.html"} +{"d:Title": "IMDb: Henry V (1989)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, plot summary, quotes, trivia and errors, film details, promotional materials and links.", "topic": "Top/Arts/Movies/Titles/H/Henry_V_-_1989", "url": "http://www.imdb.com/title/tt0097499/"} +{"d:Title": "Chicago Sun Times: Henry V", "d:Description": "Roger Ebert makes comparisons with the 1944 film and looks at timing, the director and his approach.", "topic": "Top/Arts/Movies/Titles/H/Henry_V_-_1989", "url": "http://www.rogerebert.com/reviews/henry-v-1989"} +{"d:Title": "AllReaders.com Review - Henry V", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Kenneth Branagh,Emma Thompson, Derek Jacobi, Ian Holm, and Brian Blessed.", "topic": "Top/Arts/Movies/Titles/H/Henry_V_-_1989", "url": "http://allreaders.com/movie-review-summary/henry-v-6723"} +{"d:Title": "PopMatters - Here on Earth", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/H/Here_on_Earth", "url": "http://popmatters.com/film/reviews/h/here-on-earth.html"} +{"d:Title": "IMDb - Here on Earth (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Here_on_Earth", "url": "http://www.imdb.com/title/tt0195778/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Here_on_Earth", "url": "http://www.metacritic.com/movie/here-on-earth"} +{"d:Title": "AllReaders.com Review - Here On Earth", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Chris Klein, Leelee Sobieski, and Josh Hartnett.", "topic": "Top/Arts/Movies/Titles/H/Here_on_Earth", "url": "http://allreaders.com/movie-review-summary/here-on-earth-4024"} +{"d:Title": "Internet Movie Database: Su qi er", "d:Description": "Plot synopsis, cast and crew, and user comments with ratings.", "topic": "Top/Arts/Movies/Titles/H/Heroes_Among_Heroes", "url": "http://imdb.com/title/tt0106376/"} +{"d:Title": "Alex in Wonderland: Heroes Among Heroes", "d:Description": "Capsule review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Heroes_Among_Heroes", "url": "http://www.alex-in-wonderland.com/MovieReviews/Asian-H/HeroesAmongHeroes.html"} +{"d:Title": "Rotten Tomatoes: Heroic Trio", "d:Description": "Movie reviews and information.", "topic": "Top/Arts/Movies/Titles/H/Heroic_Trio_Series", "url": "http://www.rottentomatoes.com/m/heroic_trio/"} +{"d:Title": "IMDb: Ma Wing-Jing (1997)", "d:Description": "Cast and crew, reviews, plot summary and trivia.", "topic": "Top/Arts/Movies/Titles/H/Hero_-_1997", "url": "http://imdb.com/title/tt0136242/"} +{"d:Title": "Internet Movie Database: Ying xiong", "d:Description": "Synopsis, cast and crew, user ratings and comments, reviews, quotations, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/Hero_-_2002", "url": "http://imdb.com/title/tt0299977/"} +{"d:Title": "HARO Online: Hero", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hero_-_2002", "url": "http://www.haro-online.com/movies/hero.html"} +{"d:Title": "Filmtracks: Hero", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Hero_-_2002", "url": "http://www.filmtracks.com/titles/hero.html"} +{"d:Title": "Future Movies: Hero", "d:Description": "Illustrated review by Michelle Thomas with related links.", "topic": "Top/Arts/Movies/Titles/H/Hero_-_2002", "url": "http://www.futuremovies.co.uk/reviews/hero/michelle-thomas"} +{"d:Title": "Rotten Tomatoes: Hester Street", "d:Description": "Synopsis, film details, and five reviews of Joan Micklin Silver's 1975 film.", "topic": "Top/Arts/Movies/Titles/H/Hester_Street", "url": "http://www.rottentomatoes.com/m/hester_street/"} +{"d:Title": "IMDb: Hester Street (1975)", "d:Description": "Cast/credits plus additional information about the academy award-nominated film.", "topic": "Top/Arts/Movies/Titles/H/Hester_Street", "url": "http://www.imdb.com/title/tt0073107/"} +{"d:Title": "TV Guide Online: Hester Street", "d:Description": "Review, cast information, and photos from the movie starring Carol Kane.", "topic": "Top/Arts/Movies/Titles/H/Hester_Street", "url": "http://www.tvguide.com/movies/hester-street/100810/"} +{"d:Title": "Film Scouts: He Got Game", "d:Description": "Cast and crew biographies, production information, synopsis, and multimedia.", "topic": "Top/Arts/Movies/Titles/H/He_Got_Game", "url": "http://www.filmscouts.com/matinee/he-got/clips.asp"} +{"d:Title": "Rotten Tomatoes: He Got Game", "d:Description": "Movie reviews and information.", "topic": "Top/Arts/Movies/Titles/H/He_Got_Game", "url": "http://www.rottentomatoes.com/m/he_got_game/"} +{"d:Title": "TV Guide Online: He Got Game", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/He_Got_Game", "url": "http://www.tvguide.com/movies/got-game/132905/"} +{"d:Title": "HARO Online: He Loves Me, He Loves Me Not", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/H/He_Loves_Me,_He_Loves_Me_Not", "url": "http://www.haro-online.com/movies/he_loves_me.html"} +{"d:Title": "Internet Movie Database: \u00c0 la folie... pas du tout", "d:Description": "Plot synopsis, cast and crew, reviews, awards, user comments and ratings, soundtrack listing, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/He_Loves_Me,_He_Loves_Me_Not", "url": "http://imdb.com/title/tt0291579/"} +{"d:Title": "He Walked By Night (1948)", "d:Description": "Review by Roger Westcombe of the film that was the principal inspiration for \"Dragnet.\"", "topic": "Top/Arts/Movies/Titles/H/He_Walked_By_Night", "url": "http://www.crimeculture.com/Contents/FilmReviews/HeWalked.htm"} +{"d:Title": "He Walked By Night (1948)", "d:Description": "Review, plot summary and photos.", "topic": "Top/Arts/Movies/Titles/H/He_Walked_By_Night", "url": "http://www.eskimo.com/~noir/ftitles/hewalked/"} +{"d:Title": "He Walked By Night (1948)", "d:Description": "Cast, crew and plot summary from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/He_Walked_By_Night", "url": "http://www.imdb.com/title/tt0040427/"} +{"d:Title": "DVD Savant Review: He Walked By Night", "d:Description": "Review by Glenn Erickson of \"one of the very best\" of film noir's second wave.", "topic": "Top/Arts/Movies/Titles/H/He_Walked_By_Night", "url": "http://www.dvdtalk.com/dvdsavant/s1045night.html"} +{"d:Title": "Turner Classic Movies: He Walked By Night", "d:Description": "Synopsis, full cast and crew, soundtrack and original print information, user reviews and articles.", "topic": "Top/Arts/Movies/Titles/H/He_Walked_By_Night", "url": "http://www.tcm.com/tcmdb/title/17580/He-Walked-by-Night/"} +{"d:Title": "He Walked By Night", "d:Description": "Cast, synopsis and review from the All Movie Guide.", "topic": "Top/Arts/Movies/Titles/H/He_Walked_By_Night", "url": "http://www.allmovie.com/movie/v21761"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Hi-Lo_Country,_The", "url": "http://www.rottentomatoes.com/m/hilo_country/"} +{"d:Title": "IMDb - The Hi-Lo Country (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hi-Lo_Country,_The", "url": "http://www.imdb.com/title/tt0120699/"} +{"d:Title": "Roger Ebert - The Hi-Lo Country", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Hi-Lo_Country,_The", "url": "http://www.rogerebert.com/reviews/the-hi-lo-country-1999"} +{"d:Title": "IMDb: Hidalgo (2004)", "d:Description": "Features plot synopsis and information about cast and crew.", "topic": "Top/Arts/Movies/Titles/H/Hidalgo", "url": "http://www.imdb.com/title/tt0317648/"} +{"d:Title": "HARO Online: Reviews Hidalgo", "d:Description": "Images and short review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hidalgo", "url": "http://www.haro-online.com/movies/hidalgo.html"} +{"d:Title": "Filmtracks: Hidalgo", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Hidalgo", "url": "http://www.filmtracks.com/titles/hidalgo.html"} +{"d:Title": "All-Reviews.com: Hidalgo", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hidalgo", "url": "http://www.all-reviews.com/videos-5/hidalgo.htm"} +{"d:Title": "Rotten Tomatoes: Hidalgo (2004)", "d:Description": "Provides reviews, rating, synopsis, articles, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Hidalgo", "url": "http://www.rottentomatoes.com/m/hidalgo/"} +{"d:Title": "IMDb - The Hidden (1987)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hidden,_The", "url": "http://www.imdb.com/title/tt0093185/"} +{"d:Title": "TV Guide Online: The Hidden", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hidden,_The", "url": "http://www.tvguide.com/movies/hidden/100836/"} +{"d:Title": "Coldbacon Review: Hidden Fortress", "d:Description": "Review of the film with links to related multimedia embedded into the text.", "topic": "Top/Arts/Movies/Titles/H/Hidden_Fortress,_The", "url": "http://www.coldbacon.com/movies/hiddenfortress.html"} +{"d:Title": "Digitally Obsessed Review", "d:Description": "Review of Criterion DVD. \"Epic yet compelling on a personal level, this film is beyond must see.\"", "topic": "Top/Arts/Movies/Titles/H/Hidden_Fortress,_The", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1221"} +{"d:Title": "IMDb: Kakushi Toride no San Akunin (1958)", "d:Description": "Cast, production credits, and additional information.", "topic": "Top/Arts/Movies/Titles/H/Hidden_Fortress,_The", "url": "http://www.imdb.com/title/tt0051808/combined"} +{"d:Title": "AllReaders.com Review - The Hidden Fortress", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Toshiro Mifune.", "topic": "Top/Arts/Movies/Titles/H/Hidden_Fortress,_The", "url": "http://allreaders.com/movie-review-summary/the-hidden-fortress-3706"} +{"d:Title": "HARO Online - Hideous Kinky", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/H/Hideous_Kinky", "url": "http://www.haro-online.com/movies/hideous_kinky.html"} +{"d:Title": "Rotten Tomatoes: Hideous Kinky", "d:Description": "Movie reviews and information.", "topic": "Top/Arts/Movies/Titles/H/Hideous_Kinky", "url": "http://www.rottentomatoes.com/m/hideous_kinky/"} +{"d:Title": "IMDb - Hideous Kinky (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hideous_Kinky", "url": "http://www.imdb.com/title/tt0136244/"} +{"d:Title": "Roger Ebert - Hideous Kinky", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Hideous_Kinky", "url": "http://www.rogerebert.com/reviews/hideous-kinky-1999"} +{"d:Title": "IMDb: Hide and Seek", "d:Description": "List of the cast and crew, plot synopsis and discussion forum.", "topic": "Top/Arts/Movies/Titles/H/Hide_and_Seek", "url": "http://www.imdb.com/title/tt0382077/"} +{"d:Title": "HARO Online", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/H/Hide_and_Seek", "url": "http://www.haro-online.com/movies/hide_seek.html"} +{"d:Title": "Hide and Seek: Yahoo! Movies", "d:Description": "Image gallery, trailer, discussion board and list of the cast and crew.", "topic": "Top/Arts/Movies/Titles/H/Hide_and_Seek", "url": "http://movies.yahoo.com/movie/hide-and-seek-2005/"} +{"d:Title": "Apple.com Trailers: Hide and Seek", "d:Description": "Trailer of the film. [QuickTime required.]", "topic": "Top/Arts/Movies/Titles/H/Hide_and_Seek", "url": "http://trailers.apple.com/trailers/fox/hide_and_seek/"} +{"d:Title": "Rotten Tomatoes: Higher Learning", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/H/Higher_Learning", "url": "http://www.rottentomatoes.com/m/higher_learning/"} +{"d:Title": "The New York Times: Higher Learning", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/H/Higher_Learning", "url": "http://www.nytimes.com/1995/01/11/movies/film-review-higher-learning-short-course-in-racism-on-a-college-campus.html"} +{"d:Title": "Chicago Sun-Times: Higher Learning", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/Higher_Learning", "url": "http://www.rogerebert.com/reviews/higher-learning-1995"} +{"d:Title": "Grudge Match: Darth Maul vs. Connor MacLeod", "d:Description": "Swords and light sabres in a battle to the death. [Humor]", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series", "url": "http://www.grudge-match.com/History/Maul_MacLeod.shtml"} +{"d:Title": "Scotland the Movie Location Guide - Highlander", "d:Description": "Scottish filming locations of Highlander", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander", "url": "http://www.scotlandthemovie.com/movies/fhighlander.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander", "url": "http://www.fast-rewind.com/highlander.htm"} +{"d:Title": "IMDb - Highlander (1986)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander", "url": "http://www.imdb.com/title/tt0091203/"} +{"d:Title": "TVGuide.com: Highlander", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander", "url": "http://www.tvguide.com/movies/highlander/100930/"} +{"d:Title": "Scotland the Movie Location Guide", "d:Description": "Pages on six filming locations for the film in Scotland.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_Endgame", "url": "http://www.scotlandthemovie.com/movies/fhighlander4.html"} +{"d:Title": "All-Reviews.com: Highlander - Endgame", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_Endgame", "url": "http://www.all-reviews.com/videos/highlander-endgame.htm"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_Endgame", "url": "http://www.haro-online.com/movies/highlander_endgame.html"} +{"d:Title": "PopMatters: Highlander - Endgame", "d:Description": "Review of the film by John G. Nettles.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_Endgame", "url": "http://popmatters.com/film/reviews/h/highlander-endgame.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_Endgame", "url": "http://www.rottentomatoes.com/m/highlander_endgame/"} +{"d:Title": "Greg's Previews: Highlander: Endgame", "d:Description": "Cast and plot information, release dates, and preview commentary on what the movie might be like.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_Endgame", "url": "http://movies.yahoo.com/movie/highlander-endgame/"} +{"d:Title": "IMDb: Highlander: Endgame", "d:Description": "Plot summary, cast and crew information, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_Endgame", "url": "http://www.imdb.com/title/tt0144964/"} +{"d:Title": "Highlander: The Source (2007)", "d:Description": "Includes photos, showtimes, cast, crew, reviews, plot summary, trailers, and related sites.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_The_Source", "url": "http://www.imdb.com/title/tt0299981/"} +{"d:Title": "Highlander: The Source - Wikipedia", "d:Description": "Plot, production notes, and cast.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_-_The_Source", "url": "http://en.wikipedia.org/wiki/Highlander:_The_Source"} +{"d:Title": "Scotland the Movie Location Guide - Highlander 3", "d:Description": "Scottish filming locations of Highlander 3", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_III_-_The_Sorcerer", "url": "http://www.scotlandthemovie.com/movies/fhighlander3.html"} +{"d:Title": "IMDb - Highlander III: The Sorcerer (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_III_-_The_Sorcerer", "url": "http://www.imdb.com/title/tt0110027/"} +{"d:Title": "Rambles - Highlander II: The Quickening", "d:Description": "Review of the sequel.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_II_-_The_Quickening", "url": "http://rambles.net/highlander2.html"} +{"d:Title": "IMDb - Highlander II: The Quickening", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/H/Highlander_Series/Highlander_II_-_The_Quickening", "url": "http://www.imdb.com/title/tt0102034/"} +{"d:Title": "IMDb - Highwayman, The (1999)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/H/Highwayman,_The", "url": "http://www.imdb.com/title/tt0120698/"} +{"d:Title": "IMDb: Pass, The (1998)", "d:Description": "Cast and crew listing, and a viewer comment.", "topic": "Top/Arts/Movies/Titles/H/Highway_Hitcher", "url": "http://www.imdb.com/title/tt0144548/"} +{"d:Title": "IMDb: Tengoku to Jigoku (1963)", "d:Description": "Cast, production credits, and additional information.", "topic": "Top/Arts/Movies/Titles/H/High_and_Low", "url": "http://www.imdb.com/title/tt0057565"} +{"d:Title": "AllReaders.com Review - High And Low", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Akira Kurosawa film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/High_and_Low", "url": "http://allreaders.com/movie-review-summary/high-and-low-5824"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/High_Art", "url": "http://www.all-reviews.com/videos-3/high-art.htm"} +{"d:Title": "IMDb - High Art", "d:Description": "Includes cast, crew, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/H/High_Art", "url": "http://www.imdb.com/title/tt0139362/"} +{"d:Title": "TV Guide Online: High Art", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/High_Art", "url": "http://www.tvguide.com/movies/high-art/133261/"} +{"d:Title": "HARO Online: High Crimes", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/High_Crimes", "url": "http://www.haro-online.com/movies/high_crimes.html"} +{"d:Title": "All-Reviews.com: High Crimes", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/H/High_Crimes", "url": "http://www.all-reviews.com/videos-4/high-crimes.htm"} +{"d:Title": "Rotten Tomatoes: High Crimes", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/H/High_Crimes", "url": "http://www.rottentomatoes.com/m/high_crimes/"} +{"d:Title": "IMDb: High Crimes (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/H/High_Crimes", "url": "http://www.imdb.com/title/tt0257756/"} +{"d:Title": "PopMatters - High Fidelity", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/H/High_Fidelity", "url": "http://popmatters.com/film/reviews/h/high-fidelity.html"} +{"d:Title": "All-Reviews.com - High Fidelity (2000)", "d:Description": "Collection of movie reviews.", "topic": "Top/Arts/Movies/Titles/H/High_Fidelity", "url": "http://www.all-reviews.com/videos/high-fidelity.htm"} +{"d:Title": "Rotten Tomatoes: High Fidelity", "d:Description": "Reviews, information, trailer, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/High_Fidelity", "url": "http://www.rottentomatoes.com/m/1095420-high_fidelity/"} +{"d:Title": "Box Office Mojo - High Fidelity", "d:Description": "Box office data for the film. Includes John Cusack's box office track record.", "topic": "Top/Arts/Movies/Titles/H/High_Fidelity", "url": "http://www.boxofficemojo.com/movies/?id=highfidelity.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/High_Fidelity", "url": "http://www.metacritic.com/movie/high-fidelity"} +{"d:Title": "IMDb - High Fidelity (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/High_Fidelity", "url": "http://www.imdb.com/title/tt0146882/"} +{"d:Title": "Filmbug - High Fidelity", "d:Description": "Summary and cast information.", "topic": "Top/Arts/Movies/Titles/H/High_Fidelity", "url": "http://www.filmbug.com/asin/B00003CXGA"} +{"d:Title": "HARO Online: High Heels and Low Lifes", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/H/High_Heels_and_Low_Lifes", "url": "http://www.haro-online.com/movies/high_heels_and_low_lifes.html"} +{"d:Title": "Internet Movie Database: High Heels and Low Lifes", "d:Description": "Plot summary, cast and crew, reviews, awards, user ratings and comments, quotations, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/High_Heels_and_Low_Lifes", "url": "http://imdb.com/title/tt0253126/"} +{"d:Title": "Greatest Films", "d:Description": "Offers analysis of the film.", "topic": "Top/Arts/Movies/Titles/H/High_Noon", "url": "http://www.filmsite.org/high.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/High_Noon", "url": "http://crazy4cinema.com/Review/FilmsH/f_high_noon.html"} +{"d:Title": "All-Reviews.com: High Noon", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/H/High_Noon", "url": "http://www.all-reviews.com/videos-4/high-noon.htm"} +{"d:Title": "High Noon (1952)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/H/High_Noon", "url": "http://www.imdb.com/title/tt0044706/"} +{"d:Title": "Turner Classic Movies: High Noon", "d:Description": "Synopsis, full cast and crew, soundtrack and original print information, screenplay details, awards, quotations, trivia and articles.", "topic": "Top/Arts/Movies/Titles/H/High_Noon", "url": "http://www.tcm.com/tcmdb/title/24083/High-Noon/"} +{"d:Title": "TV Guide Online: High Noon", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/High_Noon", "url": "http://www.tvguide.com/movies/karate-kid-iii/127447/"} +{"d:Title": "IMDb - Shu dan long wei (1995)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/High_Risk", "url": "http://www.imdb.com/title/tt0114437/"} +{"d:Title": "At-A-Glance Film Reviews: High Society (1956)", "d:Description": "Rating, reviews, comments and related links.", "topic": "Top/Arts/Movies/Titles/H/High_Society_-_1956", "url": "http://rinkworks.com/movies/m/high.society.1956.shtml"} +{"d:Title": "High Society (1956)", "d:Description": "Information from IMDb.", "topic": "Top/Arts/Movies/Titles/H/High_Society_-_1956", "url": "http://www.imdb.com/title/tt0049314/"} +{"d:Title": "IMDb: Hilary and Jackie", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hilary_and_Jackie", "url": "http://www.imdb.com/title/tt0150915/"} +{"d:Title": "John Larsen's Reviews", "d:Description": "\"It comes with all the obvious Oscar trappings: two strong female characters, accomplished writing and direction, it's a period piece that spans four decades, and it's British.\"", "topic": "Top/Arts/Movies/Titles/H/Hilary_and_Jackie/Reviews", "url": "http://www.lightviews.com/hilaryandjackie.htm"} +{"d:Title": "All-Reviews.com - Hilary and Jackie", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Hilary_and_Jackie/Reviews", "url": "http://www.all-reviews.com/videos-3/hilary-and-jackie.htm"} +{"d:Title": "RogerEbert.com: Hilary and Jackie", "d:Description": "\"'Hilary and Jackie' is an extraordinary film about riding the tiger of genius, and how that cuts through conventional rules and invests the rider with special license.\" Roger Ebert. [Sun-Times]", "topic": "Top/Arts/Movies/Titles/H/Hilary_and_Jackie/Reviews", "url": "http://www.rogerebert.com/reviews/hilary-and-jackie-1999"} +{"d:Title": "IMDb: The Hill", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hill,_The", "url": "http://www.imdb.com/title/tt0059274/"} +{"d:Title": "TV Guide Online: The Hill", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hill,_The", "url": "http://www.tvguide.com/movies/hill/100947/"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/H/Himalaya", "url": "http://www.haro-online.com/movies/himalaya.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Himalaya", "url": "http://www.metacritic.com/movie/himalaya"} +{"d:Title": "IMDb: Himitsu (1999)", "d:Description": "Detailed credits and a review.", "topic": "Top/Arts/Movies/Titles/H/Himitsu", "url": "http://www.imdb.com/title/tt0211413/"} +{"d:Title": "Rotten Tomatoes: The Hip Hop Project", "d:Description": "Review links, synopsis, critical consensus, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Hip_Hop_Project,_The", "url": "http://www.rottentomatoes.com/m/hip_hop_project/"} +{"d:Title": "IMDb: The Hip Hop Project (2006)", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hip_Hop_Project,_The", "url": "http://www.imdb.com/title/tt0800272/"} +{"d:Title": "MovieWeb: The Hip Hop Project (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Hip_Hop_Project,_The", "url": "http://www.movieweb.com/movie/the-hip-hop-project"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, cast and credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hip_Hop_Project,_The", "url": "https://www.yahoo.com/movies/film/the-hip-hop-project"} +{"d:Title": "Greatest Films - His Girl Friday", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/H/His_Girl_Friday", "url": "http://www.filmsite.org/hisg.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/His_Girl_Friday", "url": "http://crazy4cinema.com/Review/FilmsH/f_girl_friday.html"} +{"d:Title": "IMDb - His Girl Friday", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/His_Girl_Friday", "url": "http://www.imdb.com/title/tt0032599/"} +{"d:Title": "TV Guide Online: His Girl Friday", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/His_Girl_Friday", "url": "http://www.tvguide.com/movies/girl-friday/100986/"} +{"d:Title": "IMDb - Zayn vaybs lubovnik (1931)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/His_Wife's_Lover", "url": "http://www.imdb.com/title/tt0022593/"} +{"d:Title": "Allreaders Review - The Hitcher", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Rutger Hauer film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Hitcher,_The", "url": "http://allreaders.com/movie-review-summary/the-hitcher-7213"} +{"d:Title": "IMDb: The Hitchhiker's Guide to the Galaxy (2005)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The", "url": "http://www.imdb.com/title/tt0371724/"} +{"d:Title": "Douglas Adams.com: The Movie", "d:Description": "An official source of information about the movie.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The", "url": "http://www.douglasadams.com/movie/"} +{"d:Title": "The Hitchhiker's Guide to the Galaxy", "d:Description": "Official UK site with production information, multimedia, and character profiles.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The", "url": "http://www.thefilmfactory.co.uk/hitchhikers/global/index.html?countryID=§ion=&datastr=&"} +{"d:Title": "CinemaReview.com: The Hitchhiker's Guide to the Galaxy", "d:Description": "Production notes, reviews, pictures, and content information.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The", "url": "http://www.cinemareview.com/main.asp?movieid=449950"} +{"d:Title": "MovieWeb: The Hitchhiker's Guide to the Galaxy", "d:Description": "A collection of video clips and interviews, plus reviews, news stories, and articles.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The", "url": "http://www.movieweb.com/movie/the-hitchhikers-guide-to-the-galaxy"} +{"d:Title": "Yahoo! Movies: The Hitchhiker's Guide to the Galaxy", "d:Description": "Preview information, news, and message board.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The", "url": "https://www.yahoo.com/movies/film/the-hitchhiker-s-guide-to-the-galaxy"} +{"d:Title": "Film Telegraph: Q&A - Zooey Deschanel", "d:Description": "The actress discusses her role in the film.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Articles_and_Interviews", "url": "http://www.telegraph.co.uk/culture/film/3641108/QandA-Zooey-Deschanel.html"} +{"d:Title": "Guardian Unlimited: Who's Who in The Hitchhiker's Guide to the Galaxy", "d:Description": "A guide to the main characters, including pictures.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Articles_and_Interviews", "url": "http://www.theguardian.com/film/gall/0,8544,1459580,00.html"} +{"d:Title": "Movie Vault: The Hitchhiker's Guide to the Galaxy", "d:Description": "Avril Carruthers reviews the film, with a 10/10 rating.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.movie-vault.com/reviews/yvuoFKnhwRnGyJwM"} +{"d:Title": "Rotten Tomatoes: The Hitchhiker's Guide to the Galaxy", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.rottentomatoes.com/m/hitchhikers_guide_to_the_galaxy/"} +{"d:Title": "Space.com: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review by Jasmin Chua.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.space.com/entertainment/050429_hitchiker_review.html"} +{"d:Title": "Entertainmentopia: The Hitchhiker's Guide to the Galaxy", "d:Description": "Erich Becker's review of the film.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.entertainmentopia.com/reviews/movies/hitchhikersGuide.shtml"} +{"d:Title": "Entertain Your Brain: The Hitchhiker's Guide to the Galaxy", "d:Description": "Shawn McKenzie rates the movie 3/5.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.entertainyourbrain.com/hitchhikersguidetothegalaxyrev.htm"} +{"d:Title": "HARO Online: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.haro-online.com/movies/hitchhikers_guide.html"} +{"d:Title": "Film Blather: The Hitchhiker's Guide to the Galaxy", "d:Description": "Eugene Novikov gives it a B.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.filmblather.com/review.php?n=hitchhikersguide"} +{"d:Title": "St. Petersburg Times: The Hitchhiker's Guide to the Galaxy", "d:Description": "Steve Persall's negative review.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.sptimes.com/2005/04/28/Weekend/Stay_out_of_this__Gal.shtml"} +{"d:Title": "Hollywood Bitchslap: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review by J. K. Radtke and user ratings.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://hollywoodbitchslap.com/review.php?movie=11932&reviewer=386"} +{"d:Title": "Qwipster's Movie Reviews: The Hitchhiker's Guide to the Galaxy", "d:Description": "A 3/5 review by Vince Leo.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://qwipster.net/hitchhikersguide.htm"} +{"d:Title": "eFilmCritic: The Hitchhiker's Guide to the Galaxy", "d:Description": "Scott Weinberg gives the movie four stars.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.efilmcritic.com/review.php?movie=11932&reviewer=128"} +{"d:Title": "Entertainment Ave: The Hitchhiker's Guide to the Galaxy", "d:Description": "The Dude on the Right rates it 3 1/2 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.entertainmentavenue.com/movie_theater/h/hitchhikers_guide/hitchhikers_guide.htm"} +{"d:Title": "Crunchable: The Hitchhiker's Guide to the Galaxy", "d:Description": "A mixed review from Chris Klimas.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://crunchable.net/?p=258"} +{"d:Title": "Reeling Reviews: The Hitchhiker's Guide to the Galaxy", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.reelingreviews.com/thehitchhikersguidetothegalaxy.htm"} +{"d:Title": "TheMovieBoy Review: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review by Dustin Putman and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://themovieboy.com/directlinks/05hitchhikers.htm"} +{"d:Title": "Movieman's Guide to the Movies: The Hitchhiker's Guide to the Galaxy", "d:Description": "Kushmeer Farakhan gives the film a mixed review.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.moviemansguide.com/reviews/movie/read.php?id=hitchhikersguide_xmenfan"} +{"d:Title": "Cinema Blend: The Hitchhiker's Guide to the Galaxy", "d:Description": "Positive review by Joshua Tyler and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.cinemablend.com/review.php?id=955"} +{"d:Title": "FilmJerk.com: The Hitchhiker's Guide to the Galaxy", "d:Description": "Brian Orndorf gives the movie a grade of B.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.filmjerk.com/reviews/article.php?id_rev=567"} +{"d:Title": "London Times: The Hitchhiker's Guide to the Galaxy", "d:Description": "James Christopher gives the film 3 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://entertainment.timesonline.co.uk/tol/arts_and_entertainment/film/article385912.ece"} +{"d:Title": "Mutant Reviewers From Hell: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review, rating, and trivia.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://mutantreviewers.wordpress.com/2013/01/23/the-hitchhikers-guide-to-the-galaxy/"} +{"d:Title": "TalkTalk: The Hitchhiker's Guide to the Galaxy", "d:Description": "8/10 review and related links.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/the-hitchhikers-guide-to-the-galaxy/1618"} +{"d:Title": "The World's Greatest Critic: The Hitchhiker's Guide to the Galaxy", "d:Description": "J.C. Ma\u00e7ek III's 3 1/2 star review.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.worldsgreatestcritic.com/hitchhikersguide2005.html"} +{"d:Title": "Kids-in-Mind: The Hitchhiker's Guide to the Galaxy", "d:Description": "A description of how much sex, nudity, violence, gore or profanity is in the film.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.kids-in-mind.com/h/hitchhikersguidetothegalaxy.htm"} +{"d:Title": "Rogerebert.com: The Hitchhiker's Guide to the Galaxy", "d:Description": "Roger Ebert's review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-hitchhikers-guide-to-the-galaxy-2005"} +{"d:Title": "Eric D. Snider: The Hitchhiker's Guide to the Galaxy", "d:Description": "Movie review giving the film a B- grade.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.ericdsnider.com/movies/the-hitchhikers-guide-to-the-galaxy/"} +{"d:Title": "Decent Films: The Hitchhiker's Guide to the Galaxy", "d:Description": "Positive review by Steven D. Greydanus.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://decentfilms.com/reviews/hitchhikersguide2005"} +{"d:Title": "Future Movies: The Hitchhiker's Guide To The Galaxy", "d:Description": "Review by Jay Richardson.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.futuremovies.co.uk/reviews/the-hitchhikers-guide-to-the-galaxy/jay-richardson"} +{"d:Title": "San Francisco Chronicle: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review by Mick LaSalle.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.sfgate.com/movies/article/The-Earth-blows-up-then-things-get-crazy-2638644.php"} +{"d:Title": "ThreeMovieBuffs:The Hitchhiker's Guide to the Galaxy", "d:Description": "Three reviews with ratings ranging from 2 to 3 1/2 stars, plus pictures.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.threemoviebuffs.com/review/hitchhikers-guide-to-the-galaxy"} +{"d:Title": "Hoopla.nu: The Hitchhiker's Guide to the Galaxy", "d:Description": "Two reviews with ratings and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://hoopla.nu/films/hitchhikers-guide-to-the-galaxy"} +{"d:Title": "FlickFilosopher.com: The Hitchhiker's Guide to the Galaxy", "d:Description": "MaryAnn Johanson's review.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.flickfilosopher.com/2005/04/the-hitchhikers-guide-to-the-galaxy-review.html"} +{"d:Title": "Movie Criticism for the Retarded: The Hitchhiker's Guide to the Galaxy", "d:Description": "Mixed review by Noel Wood.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.fortheretarded.com/?p=402"} +{"d:Title": "Slate: The Hitchhiker's Guide to the Galaxy", "d:Description": "A review by David Edelstein.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.slate.com/articles/arts/movies/2005/04/dont_panic.html"} +{"d:Title": "Slant Magazine: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review by Jeremiah Kipp.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.slantmagazine.com/film/review/the-hitchhikers-guide-to-the-galaxy"} +{"d:Title": "Classicscifi.com: The Hitchhiker's Guide to the Galaxy", "d:Description": "Review by Dave Ganin and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://classicscifi.com/title-details/?sku=643"} +{"d:Title": "The Village Voice: The Hitchhiker's Guide to the Galaxy", "d:Description": "Mixed review by Jessica Winter.", "topic": "Top/Arts/Movies/Titles/H/Hitchhiker's_Guide_to_the_Galaxy,_The/Reviews", "url": "http://www.villagevoice.com/film/mostly-harmless-6403909"} +{"d:Title": "IMDb: Hitman", "d:Description": "Cast, crew, trivia, goofs and information.", "topic": "Top/Arts/Movies/Titles/H/Hitman", "url": "http://www.imdb.com/title/tt0465494/"} +{"d:Title": "Wikipedia: Hitman", "d:Description": "Plot, cast, trivia, production and information.", "topic": "Top/Arts/Movies/Titles/H/Hitman", "url": "http://en.wikipedia.org/wiki/Hitman_(2007_film)"} +{"d:Title": "Box Office Mojo: Hitman", "d:Description": "Contains box office results, charts and information.", "topic": "Top/Arts/Movies/Titles/H/Hitman", "url": "http://www.boxofficemojo.com/movies/?id=hitman07.htm"} +{"d:Title": "Hollie Hocus Pocus", "d:Description": "Fan dedication to the film with cast and crew list, image gallery, and sound clips.", "topic": "Top/Arts/Movies/Titles/H/Hocus_Pocus", "url": "http://www.angelfire.com/rebellion/hocuspocus/"} +{"d:Title": "TV Guide Online: Hocus Pocus", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hocus_Pocus", "url": "http://www.tvguide.com/movies/hocus-pocus/129627/"} +{"d:Title": "Allreaders: Hoffa", "d:Description": "Analysis of the characters, plot, theme, and structure of the Jack Nicholson film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Hoffa", "url": "http://allreaders.com/movie-review-summary/hoffa-6343"} +{"d:Title": "IMDb: Holcroft Covenant, The", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/H/Holcroft_Covenant,_The", "url": "http://www.imdb.com/title/tt0089283/"} +{"d:Title": "AllReaders.com Review - The Holcroft Covenant", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Michael Caine and Anthony Andrews.", "topic": "Top/Arts/Movies/Titles/H/Holcroft_Covenant,_The", "url": "http://allreaders.com/movie-review-summary/the-holcroft-covenant-4283"} +{"d:Title": "HARO Online: Holes", "d:Description": "Review and photos.", "topic": "Top/Arts/Movies/Titles/H/Holes", "url": "http://www.haro-online.com/movies/holes.html"} +{"d:Title": "MRQE: Holes", "d:Description": "Movie reviews from around the world.", "topic": "Top/Arts/Movies/Titles/H/Holes", "url": "http://www.mrqe.com/movie_reviews/holes-m100001918"} +{"d:Title": "Movies.com: Holes", "d:Description": "Synopsis, cast and crew, reviews, photo gallery, trailers and clips.", "topic": "Top/Arts/Movies/Titles/H/Holes", "url": "http://www.movies.com/holes/m8401"} +{"d:Title": "Rotten Tomatoes: Holes", "d:Description": "Reviews, synopsis, trailer, photos, news and articles.", "topic": "Top/Arts/Movies/Titles/H/Holes", "url": "http://www.rottentomatoes.com/m/holes/"} +{"d:Title": "IMDb: Holes", "d:Description": "Reviews, plot summary, trailers and photos.", "topic": "Top/Arts/Movies/Titles/H/Holes", "url": "http://www.imdb.com/title/tt0311289/"} +{"d:Title": "Holes", "d:Description": "Official site by Walt Disney Pictures. Includes cast and crew, trailer and behind the scenes.", "priority": "1", "topic": "Top/Arts/Movies/Titles/H/Holes", "url": "http://movies.disney.com/holes"} +{"d:Title": "Yahoo! Movies: Holes", "d:Description": "Synopsis, credits, reviews, trailers and photos.", "topic": "Top/Arts/Movies/Titles/H/Holes", "url": "https://www.yahoo.com/movies/film/hole"} +{"d:Title": "Chicago Reader: Holiday Inn", "d:Description": "Capsule review by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/H/Holiday_Inn", "url": "http://spacefinder.chicagoreader.com/movies/capsules/19896_HOLIDAY_INN.html"} +{"d:Title": "Two Guys and a Girl", "d:Description": "The Flick Filosopher (MaryAnn Johanson) reviews \"Holiday Inn\": a film with a thin plot, but astounding dancing by Fred Astaire and great music by Irving Berlin--and a dark undercurrent.", "topic": "Top/Arts/Movies/Titles/H/Holiday_Inn", "url": "http://www.flickfilosopher.com/1999/12/holiday-inn-review.html"} +{"d:Title": "IMDb: Holiday Inn (1942)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/H/Holiday_Inn", "url": "http://www.imdb.com/title/tt0034862/"} +{"d:Title": "Epinions.com: Holiday Inn", "d:Description": "Ordinary viewers review and rate this movie.", "topic": "Top/Arts/Movies/Titles/H/Holiday_Inn", "url": "http://www.epinions.com/prices/mvie_mu-1009745"} +{"d:Title": "All-Reviews.com: Hollow Man", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://www.all-reviews.com/videos/hollow-man.htm"} +{"d:Title": "PopMatters: Hollow Man", "d:Description": "Review by Jon Munn.", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://popmatters.com/film/reviews/h/hollow-man.html"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://www.haro-online.com/movies/hollow_man.html"} +{"d:Title": "Filmtracks: Hollow Man", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://www.filmtracks.com/titles/hollow_man.html"} +{"d:Title": "Rotten Tomatoes: The Hollow Man (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://www.rottentomatoes.com/m/hollow_man/"} +{"d:Title": "Box Office Mojo: Hollow Man", "d:Description": "Daily box office data. Includes director Paul Verhoeven's box office track record.", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://www.boxofficemojo.com/movies/?id=hollowman.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://www.metacritic.com/movie/hollow-man"} +{"d:Title": "IMDb - The Hollow Man (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hollow_Man", "url": "http://www.imdb.com/title/tt0164052/"} +{"d:Title": "IMDb: Hollywoodland", "d:Description": "Includes full cast and crew, external reviews, trivia, memorable quotes, filming locations, photos, news articles and discussion board.", "topic": "Top/Arts/Movies/Titles/H/Hollywoodland", "url": "http://www.imdb.com/title/tt0427969/"} +{"d:Title": "Wikipedia: Hollywoodland", "d:Description": "Offers plot, trivia and related links.", "topic": "Top/Arts/Movies/Titles/H/Hollywoodland", "url": "http://en.wikipedia.org/wiki/Hollywoodland"} +{"d:Title": "BoxOfficeMojo: Hollywoodland", "d:Description": "Provides box office information, articles, news and analysis.", "topic": "Top/Arts/Movies/Titles/H/Hollywoodland", "url": "http://www.boxofficemojo.com/movies/?id=hollywoodland.htm"} +{"d:Title": "All-Reviews.com: Hollywood Ending", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Ending", "url": "http://www.all-reviews.com/videos-4/hollywood-ending.htm"} +{"d:Title": "HARO Online: Hollywood Ending", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Ending", "url": "http://www.haro-online.com/movies/hollywood_ending.html"} +{"d:Title": "Rotten Tomatoes: Hollywood Ending", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Ending", "url": "http://www.rottentomatoes.com/m/hollywood_ending/"} +{"d:Title": "DVD Talk: Hollywood Ending", "d:Description": "Review, DVD information, and pictures.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Ending", "url": "http://www.dvdtalk.com/reviews/4603/hollywood-ending/"} +{"d:Title": "IMDb: Hollywood Ending (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Ending", "url": "http://www.imdb.com/title/tt0278823/"} +{"d:Title": "Chicago Sun-Times: Hollywood Ending", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Ending", "url": "http://www.rogerebert.com/reviews/hollywood-ending-2002"} +{"d:Title": "HARO Online: Hollywood Homicide", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Homicide", "url": "http://www.haro-online.com/movies/hollywood_homicide.html"} +{"d:Title": "Internet Movie Database: Hollywood Homicide", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, quotations, trivia, soundtrack listing, production and distribution information, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Homicide", "url": "http://imdb.com/title/tt0329717/"} +{"d:Title": "Hollywood Homicide Filming Locations", "d:Description": "Addresses and photographs of the places where the movie was filmed.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Homicide", "url": "http://seeing-stars.com/Locations/HH/HollywoodHomicide1.shtml"} +{"d:Title": "All-Reviews.com: Hollywood Homicide", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hollywood_Homicide", "url": "http://all-reviews.com/videos-5/hollywood-homicide.htm"} +{"d:Title": "All-Reviews.com: Holy Man", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Holy_Man", "url": "http://www.all-reviews.com/videos/holy-man.htm"} +{"d:Title": "IMDb - Holy Man (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Holy_Man", "url": "http://www.imdb.com/title/tt0120701/"} +{"d:Title": "Roger Ebert - Holy Man", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Holy_Man", "url": "http://www.rogerebert.com/reviews/holy-man-1998"} +{"d:Title": "PopMatters - Holy Smoke", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Holy_Smoke", "url": "http://popmatters.com/film/reviews/h/holy-smoke.html"} +{"d:Title": "HARO Online - Holy Smoke", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Holy_Smoke", "url": "http://www.haro-online.com/movies/holy_smoke.html"} +{"d:Title": "Filmtracks: Holy Smoke", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Holy_Smoke", "url": "http://www.filmtracks.com/titles/holy_smoke.html"} +{"d:Title": "Rotten Tomatoes - Holy Smoke", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Holy_Smoke", "url": "http://www.rottentomatoes.com/m/holy_smoke/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Holy_Smoke", "url": "http://www.metacritic.com/movie/holy-smoke"} +{"d:Title": "IMDb - Holy Smoke", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Holy_Smoke", "url": "http://www.imdb.com/title/tt0144715/"} +{"d:Title": "Yahoo Movies: Holy Smoke", "d:Description": "Plot synopsis, film overview, credits, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Holy_Smoke", "url": "http://movies.yahoo.com/movie/holy-smoke"} +{"d:Title": "TV Guide Online: Homegrown", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Homegrown", "url": "http://www.tvguide.com/movies/homegrown/132623/"} +{"d:Title": "Internet Movie Database: Hometown Legend", "d:Description": "Plot summary, cast and crew, user ratings and comments, soundtrack listing, production and distribution information, trailer, and links.", "topic": "Top/Arts/Movies/Titles/H/Hometown_Legend", "url": "http://imdb.com/title/tt0260695/"} +{"d:Title": "All-Reviews.com - Homeward Bound II", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Homeward_Bound_II", "url": "http://www.all-reviews.com/videos-3/homeward-bound2.htm"} +{"d:Title": "WWWF Grudge Match", "d:Description": "Macaulay Culkin is once again 'Home Alone', but this time he faces tougher competition--'Night of The Living Dead'. [Humor]", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone", "url": "http://www.grudge-match.com/History/culkin-zombies.shtml"} +{"d:Title": "Washingtonpost.com", "d:Description": "Review of Home Alone, by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/homealonepghinson_a0a9b9.htm"} +{"d:Title": "Imdb.com", "d:Description": "Home Alone, reviewed by Greg Goebel.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone", "url": "http://www.imdb.com/reviews/08/0888.html"} +{"d:Title": "Imdb.com", "d:Description": "Home Alone, reviewed by Marty Mapes.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone", "url": "http://www.imdb.com/reviews/101/10188.html"} +{"d:Title": "IMDb: Home Alone (1990)", "d:Description": "Cast and credits, review, and synopsis.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone", "url": "http://www.imdb.com/title/tt0099785/"} +{"d:Title": "Chicago Sun Times", "d:Description": "A review of Home Alone, by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone", "url": "http://www.rogerebert.com/reviews/home-alone-1990"} +{"d:Title": "TV Guide Online: Home Alone", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone", "url": "http://www.tvguide.com/movies/home/128202/"} +{"d:Title": "Washingtonpost.com", "d:Description": "Review of Home Alone 2, by Desson Howe.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_2", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/homealone2lostinnewyorkpghowe_a0af3a.htm"} +{"d:Title": "TV Guide Online: Home Alone 2: Lost In New York", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_2", "url": "http://www.tvguide.com/movies/home-2-lost-new-york/129081/"} +{"d:Title": "IMDb: Home Alone 3 (1997)", "d:Description": "Synopsis, cast information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_3", "url": "http://www.imdb.com/title/tt0119303/"} +{"d:Title": "MovieWeb: Home Alone 3", "d:Description": "Includes plot, cast and movie stills.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_3", "url": "http://www.movieweb.com/movie/home-alone-3"} +{"d:Title": "TV Guide Online: Home Alone 3", "d:Description": "Brief review and cast information.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_3", "url": "http://www.tvguide.com/movies/home-3/132724/"} +{"d:Title": "Wikipedia: Home Alone 4", "d:Description": "Encyclopedia article on the fourth installment of the series, including cast, crew, ratings, DVD information.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_4", "url": "http://en.wikipedia.org/wiki/Home_Alone_4"} +{"d:Title": "Rotten Tomatoes: Home Alone 4", "d:Description": "Cast and crew, synopsis, review, DVD/VHS specifications, forum.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_4", "url": "http://www.rottentomatoes.com/m/home_alone_4/"} +{"d:Title": "DVD Talk Reviews: Home Alone 4", "d:Description": "Review with DVD specifications.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_4", "url": "http://www.dvdtalk.com/reviews/7528/home-alone-4/"} +{"d:Title": "IMDb: Home Alone 4", "d:Description": "Cast and crew, summarized plot, filming locations and production status, with a message board for the movie.", "topic": "Top/Arts/Movies/Titles/H/Home_Alone_Series/Home_Alone_4", "url": "http://www.imdb.com/title/tt0329200/"} +{"d:Title": "All-Reviews", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/H/Home_for_the_Holidays", "url": "http://www.all-reviews.com/videos-2/home-for-the-holidays.htm"} +{"d:Title": "All-Reviews.com - Home Fries", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Home_Fries", "url": "http://www.all-reviews.com/videos-3/home-fries.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Home Fries - Compiled reviews of the film", "topic": "Top/Arts/Movies/Titles/H/Home_Fries", "url": "http://www.rottentomatoes.com/m/home_fries/"} +{"d:Title": "For Laughs, A Murder By Fright", "d:Description": "Variable critique, with a plot synopsis, MPAA ratings advice and a list of the principal cast and crew. By Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/H/Home_Fries", "url": "http://www.nytimes.com/1998/11/25/movies/film-review-for-laughs-a-murder-by-fright.html"} +{"d:Title": "IMDb - Home Fries (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Home_Fries", "url": "http://www.imdb.com/title/tt0119304/"} +{"d:Title": "Home Fries", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/H/Home_Fries", "url": "http://www.warnerbros.com/home-fries"} +{"d:Title": "IMDb - Home Movie (2001)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/Home_Movie_-_2001", "url": "http://imdb.com/title/tt0275408/"} +{"d:Title": "HARO Online - Home Movie", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/H/Home_Movie_-_2001", "url": "http://www.haro-online.com/movies/home_movie.html"} +{"d:Title": "All-Reviews.com: Home Movie", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/H/Home_Movie_-_2001", "url": "http://all-reviews.com/videos-5/home-movie.htm"} +{"d:Title": "Rotten Tomatoes: Home of the Brave", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Home_of_the_Brave", "url": "http://www.rottentomatoes.com/m/home_of_the_brave/"} +{"d:Title": "IMDb: Home of the Brave (2006)", "d:Description": "Photo gallery, cast list, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Home_of_the_Brave", "url": "http://www.imdb.com/title/tt0763840/"} +{"d:Title": "IGN Movies: Home of the Brave", "d:Description": "News, photo gallery, videos, and a review [Rating: 1.5 out of 5].", "topic": "Top/Arts/Movies/Titles/H/Home_of_the_Brave", "url": "http://www.ign.com/movies/home-of-the-brave/theater-858080"} +{"d:Title": "MovieWeb: Home of the Brave (2007)", "d:Description": "Release data, synopsis, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Home_of_the_Brave", "url": "http://www.movieweb.com/movie/home-of-the-brave"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, cast list, photos, reviews, links, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Home_of_the_Brave", "url": "https://www.yahoo.com/movies/film/home-of-the-brave-2007"} +{"d:Title": "1MovieSearch.com: Honey", "d:Description": "Review and movie stills.", "topic": "Top/Arts/Movies/Titles/H/Honey_-_2003", "url": "http://www.1moviesearch.com/movies/honey.htm"} +{"d:Title": "HARO Online: Honey", "d:Description": "Contains review and movie stills.", "topic": "Top/Arts/Movies/Titles/H/Honey_-_2003", "url": "http://www.haro-online.com/movies/honey.html"} +{"d:Title": "Rotten Tomatoes: Honey", "d:Description": "Features movie stills, trailer, synopsis, news, cast and crew", "topic": "Top/Arts/Movies/Titles/H/Honey_-_2003", "url": "http://www.rottentomatoes.com/m/honey/"} +{"d:Title": "Internet Movie Database: Honey", "d:Description": "Contains plot summary, image gallery, trailer, cast and crew.", "topic": "Top/Arts/Movies/Titles/H/Honey_-_2003", "url": "http://www.imdb.com/title/tt0322589/"} +{"d:Title": "Yahoo! Movies: Honey", "d:Description": "Contains trailer, synopsis, image gallery, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Honey_-_2003", "url": "https://www.yahoo.com/movies/film/honey-2003"} +{"d:Title": "Hoodlum Online", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/H/Hoodlum", "url": "http://www.mgm.com/title_title.php?title_star=HOODS"} +{"d:Title": "IMDb - Hoodlum", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hoodlum", "url": "http://www.imdb.com/title/tt0119311/"} +{"d:Title": "TV Guide Online: Hoodlum", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hoodlum", "url": "http://www.tvguide.com/movies/hoodlum/131782/"} +{"d:Title": "'Hoop Dreams' - PBS Page", "d:Description": "The online companion to this compelling PBS film. Arthur Agee and William Gates biographies. Biographies of directors, producers. Movie critics. Merchandise. Hoop Dreams Reunion. Awards. The site offers biographies, video clips, and a teacher's guide and student playbook.", "topic": "Top/Arts/Movies/Titles/H/Hoop_Dreams", "url": "http://www.pbs.org/ktca/hoopdreams"} +{"d:Title": "'Hoop Dreams' - Unofficial Home Page", "d:Description": "Coverage of this award-winning movie. Resource pages and links.", "topic": "Top/Arts/Movies/Titles/H/Hoop_Dreams", "url": "http://www.well.com/user/srhodes/hoopdreams.html"} +{"d:Title": "'Hoop Dreams' - Reviewed by Hal Hinson", "d:Description": "Washington Post movie critic discusses the movie and calls it \"the best film about sports ever\".", "topic": "Top/Arts/Movies/Titles/H/Hoop_Dreams", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/hoopdreamsnrhinson_a01b70.htm"} +{"d:Title": "All-Reviews.com - Hoop Dreams", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/H/Hoop_Dreams", "url": "http://www.all-reviews.com/videos/hoop-dreams.htm"} +{"d:Title": "Chicago Sun-Times: Hoop Dreams", "d:Description": "Roger Ebert's review. Rated four stars.", "topic": "Top/Arts/Movies/Titles/H/Hoop_Dreams", "url": "http://www.rogerebert.com/reviews/hoop-dreams-1994"} +{"d:Title": "TV Guide Online: Hoop Dreams", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hoop_Dreams", "url": "http://www.tvguide.com/movies/hoop-dreams/129984/"} +{"d:Title": "Allreaders Review - Hoosiers", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Gene Hackman, Barbara Hershey, and Dennis Hopper.", "topic": "Top/Arts/Movies/Titles/H/Hoosiers", "url": "http://allreaders.com/movie-review-summary/hoosiers-4232"} +{"d:Title": "TV Guide Online: Hoosiers", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hoosiers", "url": "http://www.tvguide.com/movies/hoosiers/101215/"} +{"d:Title": "Hopalong Cassidy Collectibles", "d:Description": "Review of the book \"Hopalong Cassidy Collectibles\".", "topic": "Top/Arts/Movies/Titles/H/Hopalong_Cassidy_Series", "url": "http://collectibles.about.com/library/weekly/aa110499.htm"} +{"d:Title": "Hopalong Cassidy", "d:Description": "Official site features archive of movies and radio shows, listing of events, collectibles and licensing information.", "topic": "Top/Arts/Movies/Titles/H/Hopalong_Cassidy_Series", "url": "http://www.hopalong.com/"} +{"d:Title": "AllMovie: Hopalong Cassidy", "d:Description": "Links to synopses, reviews, and credit lists for various films in the series.", "topic": "Top/Arts/Movies/Titles/H/Hopalong_Cassidy_Series", "url": "http://www.allmovie.com/movie/hopalong-cassidy-film-series-v254539"} +{"d:Title": "All-Reviews.com: Hope Floats", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Hope_Floats", "url": "http://www.all-reviews.com/videos/hope-floats.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Hope_Floats", "url": "http://crazy4cinema.com/Review/FilmsH/f_hope_floats.html"} +{"d:Title": "IMDb: Hope Floats (1998)", "d:Description": "Plot outline, comments, and cast information.", "topic": "Top/Arts/Movies/Titles/H/Hope_Floats", "url": "http://www.imdb.com/title/tt0119313/"} +{"d:Title": "IMDb: Horror", "d:Description": "Production credits, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/H/Horror", "url": "http://www.imdb.com/title/tt0304166/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/Horse_Whisperer,_The", "url": "http://crazy4cinema.com/Review/FilmsH/f_horse.html"} +{"d:Title": "All-Reviews.com - The Horse Whisperer", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Horse_Whisperer,_The", "url": "http://www.all-reviews.com/videos/horse-whisperer.htm"} +{"d:Title": "IMDb - The Horse Whisperer (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Horse_Whisperer,_The", "url": "http://www.imdb.com/title/tt0119314/"} +{"d:Title": "Roger Ebert - The Horse Whisperer", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Horse_Whisperer,_The", "url": "http://www.rogerebert.com/reviews/the-horse-whisperer-1998"} +{"d:Title": "AllReaders.com Review - The Horse Whisperer", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Robert Redford film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Horse_Whisperer,_The", "url": "http://allreaders.com/movie-review-summary/the-horse-whisperer-4303"} +{"d:Title": "IMDb: Hostages", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hostages_-_1993", "url": "http://imdb.com/title/tt0107141/"} +{"d:Title": "IMDb: Hotel", "d:Description": "Cast, credits, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/H/Hotel_-_2001", "url": "http://www.imdb.com/title/tt0278487/fullcredits"} +{"d:Title": "Scoopy: Hotel New Hampshire", "d:Description": "Personal reviews of the film by fans of John Irvings books. Includes a discussion of the difficulties of translating the book into a film.", "topic": "Top/Arts/Movies/Titles/H/Hotel_New_Hampshire", "url": "http://www.scoopy.com/hotelnewhampshire.htm"} +{"d:Title": "IMDb: Hotel New Hampshire", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/H/Hotel_New_Hampshire", "url": "http://www.imdb.com/title/tt0087428/"} +{"d:Title": "IMDb: Hotel Splendide", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hotel_Splendide", "url": "http://www.imdb.com/title/tt0177845/"} +{"d:Title": "IMDb: The Hottest State (2006)", "d:Description": "Plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hottest_State,_The", "url": "http://www.imdb.com/title/tt0496319/"} +{"d:Title": "Rotten Tomatoes: The Hottest State", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Hottest_State,_The", "url": "http://www.rottentomatoes.com/m/hottest_state/"} +{"d:Title": "Chicago Sun-Times: The Hottest State", "d:Description": "Review, by Roger Ebert: \"In The Hottest State, Hawke uses fairly standard childhood motivations for his unhappiness and reveals too little real interest in the Sara character.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Hottest_State,_The", "url": "http://www.rogerebert.com/reviews/the-hottest-state-2007"} +{"d:Title": "MovieWeb: The Hottest State (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/H/Hottest_State,_The", "url": "http://www.movieweb.com/movie/the-hottest-state"} +{"d:Title": "New York Post: The Hot Thickens", "d:Description": "Review, by Linda Stasi: \"Could be an overwrought mess if it were in less capable hands. But Webber and Moreno are so good, it's hard to believe they're not really deeply and meaningfully in lust.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Hottest_State,_The", "url": "http://nypost.com/2007/08/24/the-hot-thickens/"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, cast, credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hottest_State,_The", "url": "https://www.yahoo.com/movies/film/the-hottest-state"} +{"d:Title": "IMDb - Hot Chick, The", "d:Description": "Features quotes, goofs, photographs, plot summary, cast and crew details, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/H/Hot_Chick,_The", "url": "http://imdb.com/title/tt0302640/"} +{"d:Title": "All-Reviews.com - The Hot Chick", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hot_Chick,_The", "url": "http://all-reviews.com/videos-5/hot-chick.htm"} +{"d:Title": "HARO Online - The Hot Chick", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/H/Hot_Chick,_The", "url": "http://www.haro-online.com/movies/hot_chick.html"} +{"d:Title": "Rotten Tomatoes: Hot Rod", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "http://www.rottentomatoes.com/m/hot_rod/"} +{"d:Title": "IMDb: Hot Rod (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "http://www.imdb.com/title/tt0787475/"} +{"d:Title": "Wikipedia: Hot Rod", "d:Description": "Article describing the plot, cast, locations, reception, and trivia.", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "http://en.wikipedia.org/wiki/Hot_Rod_(film)"} +{"d:Title": "IGN Movies: Hot Rod", "d:Description": "Photo gallery, videos, and a review [Rating: 3 out of 5].", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "http://www.ign.com/movies/hot-rod/theater-898458"} +{"d:Title": "Chicago Sun-Times: Hot Rod", "d:Description": "Review, by Roger Ebert: \"Rod is played by Andy Samberg from `Saturday Night Live,` who on the basis of this film, I think, could become a very big star.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "http://www.rogerebert.com/reviews/hot-rod-2007"} +{"d:Title": "MovieWeb: Hot Rod (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "http://www.movieweb.com/movie/hot-rod"} +{"d:Title": "USA Today: Hot Rod", "d:Description": "Review, by Claudia Puig: \"In what universe would you expect to see Andy Samberg, Ian McShane and Sissy Spacek in the same movie? You have to give the makers of Hot Rod credit for creative and unlikely casting. But the credit pretty much ends there.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-08-02-hot-rod_N.htm"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, cast and credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/H/Hot_Rod", "url": "https://www.yahoo.com/movies/film/hot-rod-2007"} +{"d:Title": "IMDb: The Hot Spot", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/H/Hot_Spot,_The", "url": "http://www.imdb.com/title/tt0099797/"} +{"d:Title": "Rotten Tomatoes: The Hot Spot", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/H/Hot_Spot,_The", "url": "http://www.rottentomatoes.com/m/1030149-hot_spot/"} +{"d:Title": "The New York Times: The Hot Spot", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/H/Hot_Spot,_The", "url": "http://www.nytimes.com/1990/10/12/movies/reviews-film-taxidermy-and-temptations-dennis-hopper-s-dark-world.html"} +{"d:Title": "Chicago Sun-Times: The Hot Spot", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/Hot_Spot,_The", "url": "http://www.rogerebert.com/reviews/the-hot-spot-1990"} +{"d:Title": "Houdini (1953)", "d:Description": "Full cast information, synopsis and user comments from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/Houdini_-_1953", "url": "http://www.imdb.com/title/tt0045886/"} +{"d:Title": "TV Guide Online: Houdini", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Houdini_-_1953", "url": "http://www.tvguide.com/movies/houdini/101335/"} +{"d:Title": "IMDb: Houdini (1998) (TV)", "d:Description": "Cast information, synopsis, and related details.", "topic": "Top/Arts/Movies/Titles/H/Houdini_-_1998", "url": "http://www.imdb.com/title/tt0159276/"} +{"d:Title": "Rotten Tomatoes: Hounddog", "d:Description": "Synopsis, cast members, showtimes, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/H/Hounddog", "url": "http://www.rottentomatoes.com/m/1195905-hounddog/"} +{"d:Title": "TV Guide: Hounddog", "d:Description": "Review, by Ken Fox: \"A handsomely produced but unintentionally risible film that mistakes high grotesquerie for high gothic. \" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Hounddog", "url": "http://www.tvguide.com/movies/hounddog/review/294735/"} +{"d:Title": "ReelViews: Hounddog", "d:Description": "Review, by James Berardinelli: \"A failure on pretty much every level, Hounddog would never have been known beyond Park City had it not been for the notoriety surrounding the rape scene.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/H/Hounddog", "url": "http://www.reelviews.net/reelviews/hounddog"} +{"d:Title": "BBC News: Actresses share the lure of Woolf", "d:Description": "Nicole Kidman has won a Golden Globe for her role in The Hours, directed by Stephen Daldry. Synopsis, and cast and crew comments.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/2581009.stm"} +{"d:Title": "All-Reviews.com - The Hours", "d:Description": "Review by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://all-reviews.com/videos-5/hours.htm"} +{"d:Title": "HARO Online: The Hours", "d:Description": "Review and photos.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.haro-online.com/movies/hours.html"} +{"d:Title": "Filmtracks: The Hours", "d:Description": "Includes soundtrack review, audio, and ratings.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.filmtracks.com/titles/hours.html"} +{"d:Title": "Cosmopolis: The Hours", "d:Description": "Review by Louis Gerber.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.cosmopolis.ch/english/cosmo34/the_hours_nicole_kidman.htm"} +{"d:Title": "About.com - The Hours", "d:Description": "DVD information and review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://homevideo.about.com/cs/drama/fr/The_Hours_DVD_R.htm"} +{"d:Title": "Reel Faces - The Hours", "d:Description": "Explores the real people behind the film's story. Includes photographs, Virginia Woolf's voice clip, her farewell letter, and the film trailer.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.chasingthefrog.com/reelfaces/thehours.php"} +{"d:Title": "BBC Films: The Hours", "d:Description": "Review, and interviews with some of the cast and crew.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.bbc.co.uk/films/2003/01/23/the_hours_2002_review.shtml"} +{"d:Title": "BBC Films: The Hours DVD", "d:Description": "Review of the DVD and its extra features.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.bbc.co.uk/films/2003/11/21/the_hours_2003_dvd_review.shtml"} +{"d:Title": "Rotten Tomatoes: The Hours", "d:Description": "Find reviews, trailers, photos, and a public forum", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.rottentomatoes.com/m/hours/"} +{"d:Title": "Wikipedia: The Hours", "d:Description": "Includes information about the plot, principal cast, critical reception, box office numbers, soundtrack, and additional awards and nominations.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://en.wikipedia.org/wiki/The_Hours_(film)"} +{"d:Title": "Yahoo! Movies: The Hours (2002)", "d:Description": "Synopsis, cast and crew, reviews, trailer, and large photo section of pictures from the movie and the premiere.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://movies.yahoo.com/movie/the-hours/"} +{"d:Title": "Metacritic.com: The Hours", "d:Description": "Provides quotes from and links to a variety of film reviews, plus cast information and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.metacritic.com/movie/the-hours"} +{"d:Title": "IMDb: The Hours", "d:Description": "Synopsis, cast and crew, photos, awards, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "http://www.imdb.com/title/tt0274558/"} +{"d:Title": "Awesome Stories: The Hours", "d:Description": "Historical background for the film in the form of a story, with links to relevant resources embedded into the text.", "topic": "Top/Arts/Movies/Titles/H/Hours,_The", "url": "https://www.awesomestories.com/asset/view/The-Hours"} +{"d:Title": "BBC - House: Special Edition DVD", "d:Description": "Cast list and review of the DVD specific features.", "topic": "Top/Arts/Movies/Titles/H/House", "url": "http://www.bbc.co.uk/films/2002/01/28/house_2002_dvd_review.shtml"} +{"d:Title": "Roger Cobb's House", "d:Description": "Cast list, original art work, production notes including filming locations, reviews and interviews, soundtrack, and interactive features.", "topic": "Top/Arts/Movies/Titles/H/House", "url": "http://www.rogercobbshouse.com/"} +{"d:Title": "IMDb: House (1986)", "d:Description": "Cast details, links, user comments, trailer, and brief summary.", "topic": "Top/Arts/Movies/Titles/H/House", "url": "http://www.imdb.com/title/tt0091223/"} +{"d:Title": "Rotten Tomatoes: The House Bunny", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/H/House_Bunny,_The", "url": "http://www.rottentomatoes.com/m/house_bunny/"} +{"d:Title": "Seattle Post-Intelligencer: The House Bunny", "d:Description": "Review, by Sean Axmaker: \"It's funny. Dumb, yes, but funny.\"", "topic": "Top/Arts/Movies/Titles/H/House_Bunny,_The", "url": "http://www.seattlepi.com/ae/movies/article/House-Bunny-is-hopping-with-dumb-fun-1282876.php"} +{"d:Title": "IGN: The House Bunny", "d:Description": "News, photos, videos, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/H/House_Bunny,_The", "url": "http://www.ign.com/movies/the-house-bunny/theater-949686"} +{"d:Title": "The Boston Globe: The House Bunny", "d:Description": "Review, by Wesley Morris: \"The movie is a commercial for Hugh Hefner that makes his magazine seem like 'Seventeen.'\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/H/House_Bunny,_The", "url": "http://www.boston.com/ae/movies/articles/2008/08/22/house_bunny_gives_social_satire_the_old_college_try/?camp=pm"} +{"d:Title": "TV Guide: The House Bunny", "d:Description": "Review, by Ken Fox: \"Silly but endearing comedy.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/H/House_Bunny,_The", "url": "http://www.tvguide.com/movies/house-bunny/review/293511/"} +{"d:Title": "ReelViews: The House Bunny", "d:Description": "Review, by James Berardinelli: \"The resulting hodgepodge of unfunny, sophomoric humor and PG-13 T&A, frosted by a sheen of appallingly nauseous \"drama,\" makes for such a noxious brew that it's amazing viewers stay in their seats for the entire production.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/H/House_Bunny,_The", "url": "http://www.reelviews.net/reelviews/house-bunny-the"} +{"d:Title": "Unofficial House Of 1000 Corpses", "d:Description": "Fan site with news, pictures and a message board.", "topic": "Top/Arts/Movies/Titles/H/House_of_1000_Corpses", "url": "http://angelfire.com/film/ho1kc"} +{"d:Title": "Rotten Tomatoes: House of 1000 Corpses", "d:Description": "Reviews, photos, the trailer, a plot synopsis, and public forum.", "topic": "Top/Arts/Movies/Titles/H/House_of_1000_Corpses", "url": "http://www.rottentomatoes.com/m/house_of_1000_corpses/"} +{"d:Title": "IMDb: House of 1000 Corpses", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/H/House_of_1000_Corpses", "url": "http://www.imdb.com/title/tt0251736/"} +{"d:Title": "Future Movies: House of 1000 Corpses", "d:Description": "Review by Matt McAllister.", "topic": "Top/Arts/Movies/Titles/H/House_of_1000_Corpses", "url": "http://www.futuremovies.co.uk/reviews/house-of-1000-corpses/matt-mcallister"} +{"d:Title": "IMDb - House of Dark Shadows (1970)", "d:Description": "Cast and credits, review, synopsis, and information.", "topic": "Top/Arts/Movies/Titles/H/House_of_Dark_Shadows", "url": "http://www.imdb.com/title/tt0065856/"} +{"d:Title": "TV Guide Online: House of Dark Shadows", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/House_of_Dark_Shadows", "url": "http://www.tvguide.com/movies/house-dark-shadows/101372/"} +{"d:Title": "IMDb: House of Flying Daggers", "d:Description": "Cast and crew, synopsis, forum, trailers, posters, photos, showtimes, and links.", "topic": "Top/Arts/Movies/Titles/H/House_of_Flying_Daggers", "url": "http://www.imdb.com/title/tt0385004/"} +{"d:Title": "Rotten Tomatoes: House of Flying Daggers", "d:Description": "Aggregated reviews from critics and website users, movie information, trailers, photo gallery, news, and forum.", "topic": "Top/Arts/Movies/Titles/H/House_of_Flying_Daggers", "url": "http://www.rottentomatoes.com/m/house_of_flying_daggers/"} +{"d:Title": "House of Flying Daggers", "d:Description": "The official site has trailers, a picture gallery, cast information, director's statement, review excerpts, and links.", "topic": "Top/Arts/Movies/Titles/H/House_of_Flying_Daggers", "url": "http://www.sonyclassics.com/houseofflyingdaggers/"} +{"d:Title": "HARO Online: House of Flying Daggers", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/H/House_of_Flying_Daggers", "url": "http://www.haro-online.com/movies/house_flying_daggers.html"} +{"d:Title": "Cannes Film Festival: House of Flying Daggers", "d:Description": "Plot synopsis, articles, photographs, and video clips.", "topic": "Top/Arts/Movies/Titles/H/House_of_Flying_Daggers", "url": "http://www.festival-cannes.fr/en/archives/ficheFilm/id/4200080/year/2004.html"} +{"d:Title": "IMDB: House of Games (1987)", "d:Description": "Cast, crew, reviews, plot summary, comments, taglines, trailers, posters, trivia and soundtrack listings.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://www.imdb.com/title/tt0093223/"} +{"d:Title": "Washington Post: House of Games", "d:Description": "Review by Desson Howard.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/houseofgamesrhowe_a0b145.htm"} +{"d:Title": "Qwipster's Movie Reviews: House of Games", "d:Description": "Review by Vince Leo.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://www.qwipster.net/houseofgames.htm"} +{"d:Title": "Currentfilm.com: House Of Games", "d:Description": "Review by Aaron Beierle.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://www.currentfilm.com/dvdreviews2/houseofgamesdvd.html"} +{"d:Title": "Ovus' World Movie Reviews: House of Games", "d:Description": "Review by Dennis Schwartz.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://homepages.sover.net/~ozus/houseofgames.htm"} +{"d:Title": "Chicago Sun-Times: House of Games", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://www.rogerebert.com/reviews/house-of-games-1987"} +{"d:Title": "Digitally Obsessed: House of Games (1987)", "d:Description": "Review by Dale Dobson.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=791"} +{"d:Title": "TV Guide: House of Games", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/House_of_Games", "url": "http://www.tvguide.com/movies/house-games/101384/"} +{"d:Title": "About.com Home Video/DVD Review: The House of Mirth", "d:Description": "Review by Ivana Redwine looks at opening scene, plot, novel that film was based on and acting.", "topic": "Top/Arts/Movies/Titles/H/House_of_Mirth,_The", "url": "http://homevideo.about.com/library/blpick053001a.htm"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/H/House_of_Mirth,_The", "url": "http://www.haro-online.com/movies/house_of_mirth.html"} +{"d:Title": "PopMatters - The House of Mirth", "d:Description": "Review of the film and nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/H/House_of_Mirth,_The", "url": "http://popmatters.com/film/reviews/h/house-of-mirth.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from top critics. News, trailers, and photos.", "topic": "Top/Arts/Movies/Titles/H/House_of_Mirth,_The", "url": "http://www.rottentomatoes.com/m/house_of_mirth/"} +{"d:Title": "IMDb: The House of Mirth (2000)", "d:Description": "Synopsis, photos, cast and crew information.", "topic": "Top/Arts/Movies/Titles/H/House_of_Mirth,_The", "url": "http://www.imdb.com/title/tt0200720/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/House_of_Mirth,_The", "url": "http://www.metacritic.com/movie/the-house-of-mirth"} +{"d:Title": "HARO Online: House of Sand and Fog", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/H/House_of_Sand_and_Fog", "url": "http://www.haro-online.com/movies/house_of_sand_and_fog.html"} +{"d:Title": "IMDb: House of Sand and Fog (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/H/House_of_Sand_and_Fog", "url": "http://www.imdb.com/title/tt0315983/"} +{"d:Title": "Rotten Tomatoes: House of Sand and Fog", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/H/House_of_Sand_and_Fog", "url": "http://www.rottentomatoes.com/m/house_of_sand_and_fog/"} +{"d:Title": "The New York Times: House of Sand and Fog", "d:Description": "Review by A.O. Scott", "topic": "Top/Arts/Movies/Titles/H/House_of_Sand_and_Fog", "url": "http://www.nytimes.com/2003/12/19/movies/film-review-two-flawed-people-divided-by-a-house.html"} +{"d:Title": "Chicago Sun-Times: House of Sand and Fog", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/House_of_Sand_and_Fog", "url": "http://www.rogerebert.com/reviews/house-of-sand-and-fog-2003"} +{"d:Title": "Internet Movie Database: House of the Damned", "d:Description": "Plot summary, cast and crew, user comments, ratings, and production information.", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Damned_-_1996", "url": "http://imdb.com/title/tt0116573/"} +{"d:Title": "HARO Online: House of the Dead", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Dead", "url": "http://www.haro-online.com/movies/house_of_the_dead.html"} +{"d:Title": "Internet Movie Database: House of the Dead", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Dead", "url": "http://imdb.com/title/tt0317676/"} +{"d:Title": "All-Reviews.com - House of the Dead", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Dead", "url": "http://www.all-reviews.com/videos-5/house-of-dead.htm"} +{"d:Title": "House of the Generals", "d:Description": "Feature Film to be released in 2000.", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Generals", "url": "http://houseofthegenerals.com/"} +{"d:Title": "Washington Post: The House of the Spirits", "d:Description": "Joe Brown's review: \"'House' is performed in English, but the acting is so unaccountably, embarrassingly stilted, it feels dubbed -- you keep looking for subtitles.\"", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Spirits,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thehouseofthespiritsrbrown_a0ae07.htm"} +{"d:Title": "Deseret News: The House of the Spirits", "d:Description": "Chris Hicks' review: \"...the film just plods along in an episodic manner, skimming the surface, skipping over details and never builds any emotional steam whatsoever. August's episodic vignettes seem choppy; and while his actors plunge in with their all, the results are uneven.\"", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Spirits,_The", "url": "http://www.deseretnews.com/article/700000817/House-of-the-Spirits-The.html"} +{"d:Title": "Women's Studies: The House of the Spirits", "d:Description": "Cynthia Fuchs' review of the movie from a feminist perspective. Originally appeared in the Philadelphia City Paper.", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Spirits,_The", "url": "http://mith.umd.edu/WomensStudies/FilmReviews/house-of-spirits-fuchs"} +{"d:Title": "Chicago Sun-Times: The House of the Spirits", "d:Description": "Roger Ebert's review: \"All of the characters have the right names, all of the necessary events occur, and indeed the very best local actors have been engaged. But the soul has been mislaid.\"", "topic": "Top/Arts/Movies/Titles/H/House_of_the_Spirits,_The", "url": "http://www.rogerebert.com/reviews/the-house-of-the-spirits-1994"} +{"d:Title": "IMDb.com: House of Wax (2005)", "d:Description": "Contains cast and crew, a plot summary, and discussions.", "topic": "Top/Arts/Movies/Titles/H/House_of_Wax_-_2005", "url": "http://www.imdb.com/title/tt0397065/"} +{"d:Title": "MovieWeb: House of Wax", "d:Description": "Offers cast and crew, plot summary, and a behind the scenes video.", "topic": "Top/Arts/Movies/Titles/H/House_of_Wax_-_2005", "url": "http://www.movieweb.com/movie/house-of-wax-2005"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/House_of_Yes,_The", "url": "http://www.all-reviews.com/videos-3/house-of-yes.htm"} +{"d:Title": "The Tech", "d:Description": "Review: \"Proof that money doesn't bring happiness -- or sanity\"", "topic": "Top/Arts/Movies/Titles/H/House_of_Yes,_The", "url": "http://tech.mit.edu/V117/N51/houseofyes.51a.html"} +{"d:Title": "All-Reviews.com: House on Haunted Hill", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/House_on_Haunted_Hill_-_1999", "url": "http://www.all-reviews.com/videos/house-on-haunted.htm"} +{"d:Title": "HARO Online - House on Haunted Hill", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/H/House_on_Haunted_Hill_-_1999", "url": "http://www.haro-online.com/movies/house_on_haunted.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/H/House_on_Haunted_Hill_-_1999", "url": "http://www.rottentomatoes.com/m/1093881-house_on_haunted_hill/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/H/House_on_Haunted_Hill_-_1999", "url": "http://the-editing-room.com/houseonhauntedhill.html"} +{"d:Title": "Box Office Mojo - House on Haunted Hill", "d:Description": "Box office data for the film. Includes a comparison with other horror movies.", "topic": "Top/Arts/Movies/Titles/H/House_on_Haunted_Hill_-_1999", "url": "http://www.boxofficemojo.com/movies/?id=houseonhauntedhill.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/House_on_Haunted_Hill_-_1999", "url": "http://www.metacritic.com/movie/house-on-haunted-hill"} +{"d:Title": "IMDb - House on Haunted Hill (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/House_on_Haunted_Hill_-_1999", "url": "http://www.imdb.com/title/tt0185371/"} +{"d:Title": "millaJ.com: House On Turk Street", "d:Description": "The official site of Milla Jovovich (one of the actresses in the movie) provides photographs and a brief summary of the film.", "topic": "Top/Arts/Movies/Titles/H/House_on_Turk_Street,_The", "url": "http://www.millaj.com/film/deed.shtml"} +{"d:Title": "IMDB: House on Turk Street, The (2002)", "d:Description": "Plot summary, cast and crew details and release dates of the movie.", "topic": "Top/Arts/Movies/Titles/H/House_on_Turk_Street,_The", "url": "http://www.imdb.com/title/tt0292610/"} +{"d:Title": "IMDb: Howards End", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/H/Howards_End", "url": "http://www.imdb.com/title/tt0104454/"} +{"d:Title": "AllReaders.com Review - Howard's End", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Anthony Hopkins, Emma Thompson, and Helena Bonham Carter, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Howards_End", "url": "http://allreaders.com/movie-review-summary/howards-end-4765"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/H/Howard_the_Duck", "url": "http://www.fast-rewind.com/howardduck.htm"} +{"d:Title": "Howard the Duck (1986)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/H/Howard_the_Duck", "url": "http://www.imdb.com/title/tt0091225/"} +{"d:Title": "IMDb: The Howling", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Howling,_The", "url": "http://www.imdb.com/title/tt0082533/"} +{"d:Title": "Greatest Films - How Green Was My Valley", "d:Description": "Review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/H/How_Green_Was_My_Valley", "url": "http://www.filmsite.org/howg.html"} +{"d:Title": "All-Reviews.com: How Green Was My Valley", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/H/How_Green_Was_My_Valley", "url": "http://all-reviews.com/videos-4/how-green-my-valley.htm"} +{"d:Title": "IMDb: How Green Was My Valley", "d:Description": "Synopsis, comments, cast and crew information.", "topic": "Top/Arts/Movies/Titles/H/How_Green_Was_My_Valley", "url": "http://www.imdb.com/title/tt0033729/"} +{"d:Title": "TV Guide Online: How Green Was My Valley", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/How_Green_Was_My_Valley", "url": "http://www.tvguide.com/movies/green-valley/101447/"} +{"d:Title": "HARO Online: How High", "d:Description": "Negative review which looks at plot and characters.", "topic": "Top/Arts/Movies/Titles/H/How_High", "url": "http://www.haro-online.com/movies/how_high.html"} +{"d:Title": "All-Reviews.com: How High", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/H/How_High", "url": "http://www.all-reviews.com/videos-4/how-high.htm"} +{"d:Title": "Rotten Tomatoes: How High", "d:Description": "Quotes from and links to reviews, photos, and the trailer.", "topic": "Top/Arts/Movies/Titles/H/How_High", "url": "http://www.rottentomatoes.com/m/how_high/"} +{"d:Title": "Metacritic.com: How High", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/How_High", "url": "http://www.metacritic.com/movie/how-high"} +{"d:Title": "IMDb: How High (2001)", "d:Description": "Includes cast and crew, user comments and rating, film information, plot summary, trivia, external reviews, promotional information and links.", "topic": "Top/Arts/Movies/Titles/H/How_High", "url": "http://www.imdb.com/title/tt0278488/"} +{"d:Title": "Que Absurdo es Haber Crecido", "d:Description": "Official site of the Argentinean film also know as \"Que absurdo es haber crecido.\" Synopsis, production information, and cast and crew biographies.", "topic": "Top/Arts/Movies/Titles/H/How_Silly_We_Are_to_Grow_Up", "url": "http://absurdofilm.8k.com/"} +{"d:Title": "IMDb: How Silly We Are to Grow Up (Que absurdo es haber crecido)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/H/How_Silly_We_Are_to_Grow_Up", "url": "http://www.imdb.com/title/tt0270593/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/H/How_Stella_Got_Her_Groove_Back", "url": "http://crazy4cinema.com/Review/FilmsH/f_stella.html"} +{"d:Title": "IMDb - How Stella Got Her Groove Back (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/How_Stella_Got_Her_Groove_Back", "url": "http://www.imdb.com/title/tt0120703/"} +{"d:Title": "Roger Ebert - How Stella Got Her Groove Back", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/How_Stella_Got_Her_Groove_Back", "url": "http://www.rogerebert.com/reviews/how-stella-got-her-groove-back-1998"} +{"d:Title": "How The Grinch Stole Christmas", "d:Description": "News, images, theme song, and story.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://www.angelfire.com/ky2/grinch/"} +{"d:Title": "How the Grinch Stole Christmas", "d:Description": "News, plot, reviews, downloads, and links.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://www.cinemenium.com/grinch/"} +{"d:Title": "Online Review", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://the-grand-panjandrum.tripod.com/grinch.html"} +{"d:Title": "About.com: How the Grinch Stole Christmas", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://homevideo.about.com/library/weekly/aafpr102601.htm"} +{"d:Title": "Rotten Tomatoes: How the Grinch Stole Christmas (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://www.rottentomatoes.com/m/how_the_grinch_stole_christmas/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://www.metacritic.com/movie/how-the-grinch-stole-christmas"} +{"d:Title": "IMDb: How the Grinch Stole Christmas", "d:Description": "Cast and credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://www.imdb.com/title/tt0170016/"} +{"d:Title": "AllReaders.com: How The Grinch Stole Christmas", "d:Description": "Detailed analysis of the Jim Carrey film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "http://allreaders.com/movie-review-summary/the-grinch-3688"} +{"d:Title": "How the Grinch Stole Christmas", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000", "url": "https://www.uphe.com/movies/dr-seuss-how-the-grinch-stole-christmas"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000/Reviews", "url": "http://www.all-reviews.com/videos-2/how-grinch-stole.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000/Reviews", "url": "http://www.haro-online.com/movies/the_grinch.html"} +{"d:Title": "PopMatters", "d:Description": "Review of the film by Todd R. Ramlow.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000/Reviews", "url": "http://popmatters.com/film/reviews/h/how-the-grinch-stole-xmas.html"} +{"d:Title": "Filmtracks: How the Grinch Stole Christmas", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000/Reviews", "url": "http://www.filmtracks.com/titles/grinch.html"} +{"d:Title": "And He Clucked, 'What a Faaabulous Trick'", "d:Description": "Critique with ratings advice, and a list of the movie's principle cast and crew. By Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000/Reviews", "url": "http://www.nytimes.com/2000/11/17/movies/film-review-and-he-clucked-what-a-faaabulous-trick.html"} +{"d:Title": "Dr. Seuss' How the Grinch Stole Christmas", "d:Description": "\"The Grinch is played by Jim Carrey, who works as hard as an actor has ever worked in a movie, to small avail.\" Review by Roger Ebert. [Sun-Times]", "topic": "Top/Arts/Movies/Titles/H/How_the_Grinch_Stole_Christmas_-_2000/Reviews", "url": "http://www.rogerebert.com/reviews/dr-seuss-how-the-grinch-stole-christmas-2000"} +{"d:Title": "How the West Was Won (1962)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/How_the_West_Was_Won", "url": "http://www.imdb.com/title/tt0056085/"} +{"d:Title": "All-Reviews.com - How to Deal", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/How_to_Deal", "url": "http://www.all-reviews.com/videos-5/how-to-deal.htm"} +{"d:Title": "IMDb - How to Deal", "d:Description": "Contains quotes, photographs, cast and crew details, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/H/How_to_Deal", "url": "http://www.imdb.com/title/tt0319524/"} +{"d:Title": "HARO Online - How to Lose a Guy in 10 Days", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_a_Guy_in_10_Days", "url": "http://www.haro-online.com/movies/how_to_lose_a_guy.html"} +{"d:Title": "Rotten Tomatoes: How to Lose a Guy in 10 Days", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_a_Guy_in_10_Days", "url": "http://www.rottentomatoes.com/m/how_to_lose_a_guy_in_10_days/"} +{"d:Title": "IMDb - How to Lose a Guy in 10 Days (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_a_Guy_in_10_Days", "url": "http://www.imdb.com/title/tt0251127/"} +{"d:Title": "Chicago Sun-Times: How to Lose a Guy in 10 Days", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_a_Guy_in_10_Days", "url": "http://www.rogerebert.com/reviews/how-to-lose-a-guy-in-10-days-2003"} +{"d:Title": "MovieWeb: How to Lose a Guy in 10 Days", "d:Description": "Plot description, production information, credits, and pictures.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_a_Guy_in_10_Days", "url": "http://www.movieweb.com/movie/how-to-lose-a-guy-in-10-days"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, production, and cast.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_Friends_&_Alienate_People", "url": "http://en.wikipedia.org/wiki/How_to_Lose_Friends_%26_Alienate_People_(film)"} +{"d:Title": "IMDb: How to Lose Friends&Alienate People (2008)", "d:Description": "Plot outline, cast list, and user reviews.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_Friends_&_Alienate_People", "url": "http://www.imdb.com/title/tt0455538/"} +{"d:Title": "Rotten Tomatoes: How to Lose Friends and Alienate People", "d:Description": "News, cast list, and showtimes.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_Friends_&_Alienate_People", "url": "http://www.rottentomatoes.com/m/how_to_lose_friends_and_alienate_people/"} +{"d:Title": "MovieWeb: How to Lose Friends and Alienate People", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/H/How_to_Lose_Friends_&_Alienate_People", "url": "http://www.movieweb.com/movie/how-to-lose-friends-and-alienate-people"} +{"d:Title": "IMDb: How to Train Your Dragon", "d:Description": "Offers cast and crew lists, plot summary and discussion board.", "topic": "Top/Arts/Movies/Titles/H/How_to_Train_Your_Dragon", "url": "http://www.imdb.com/title/tt0892769/"} +{"d:Title": "Big Movie Zone: How to Train Your Dragon - An IMAX 3D Experience", "d:Description": "The riotous story of Hiccup's quest to hunt down the fiercest dragon, bring it into submission, and, hopefully, pass his initiation.", "topic": "Top/Arts/Movies/Titles/H/How_to_Train_Your_Dragon", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=576"} +{"d:Title": "MTV Movies Blog: Jonah Hill Puts On Viking Horns For \u2018How To Train Your Dragon\u2019", "d:Description": "Based on a children\u2019s book by Cressida Cowell, the story follows young Hiccup Horrendous Hancock III on his quest to capture a fearsome dragon, but it\u2019s not your typical fairytale. By Larry Carroll.", "topic": "Top/Arts/Movies/Titles/H/How_to_Train_Your_Dragon", "url": "http://www.mtv.com/news/2430298/jonah-hill-puts-on-viking-horns-for-how-to-train-your-dragon/"} +{"d:Title": "Hud (1963)", "d:Description": "Review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/H/Hud", "url": "http://www.filmsite.org/hud.html"} +{"d:Title": "Hud (1963)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/H/Hud", "url": "http://www.imdb.com/title/tt0057163/"} +{"d:Title": "Allreaders Review - Hud", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Paul Newman film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Hud", "url": "http://allreaders.com/movie-review-summary/hud-4175"} +{"d:Title": "TV Guide Online: Hud", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hud", "url": "http://www.tvguide.com/movies/hud/101480/"} +{"d:Title": "The Daily Script - Hudson Hawk", "d:Description": "Early version of the film's screenplay, by Steven E. de Souza.", "topic": "Top/Arts/Movies/Titles/H/Hudson_Hawk", "url": "http://www.dailyscript.com/scripts/hudson-hawk_early.html"} +{"d:Title": "Internet Movie Database: Hudson Hawk", "d:Description": "Synopsis, cast and crew, user comments and ratings, production and distribution information, quotations, trivia, and links.", "topic": "Top/Arts/Movies/Titles/H/Hudson_Hawk", "url": "http://imdb.com/title/tt0102070/"} +{"d:Title": "Rotten Tomatoes: Hudson Hawk", "d:Description": "Movie reviews and information.", "topic": "Top/Arts/Movies/Titles/H/Hudson_Hawk", "url": "http://www.rottentomatoes.com/m/hudson_hawk/"} +{"d:Title": "KillerMovies.com - The Hulk", "d:Description": "News, cast and crew information, synopsis, and trailers.", "topic": "Top/Arts/Movies/Titles/H/Hulk,_The", "url": "http://www.killermovies.com/h/thehulk/"} +{"d:Title": "HARO Online: The Hulk", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/H/Hulk,_The", "url": "http://www.haro-online.com/movies/hulk.html"} +{"d:Title": "MovieReviewCafe: The Hulk", "d:Description": "Reviews from film critics, syndicated writers, and site users.", "topic": "Top/Arts/Movies/Titles/H/Hulk,_The", "url": "http://www.moviereviewcafe.com/movie.php?movie_id=227"} +{"d:Title": "Rotten Tomatoes: The Hulk", "d:Description": "Articles, photos, trailers, a plot synopsis, and a public forum.", "topic": "Top/Arts/Movies/Titles/H/Hulk,_The", "url": "http://www.rottentomatoes.com/m/hulk/"} +{"d:Title": "IMDb: The Hulk (2003)", "d:Description": "Plot description, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/H/Hulk,_The", "url": "http://www.imdb.com/title/tt0286716/"} +{"d:Title": "NYTimes.com: The Hulk", "d:Description": "Review by A.O. Scott.", "topic": "Top/Arts/Movies/Titles/H/Hulk,_The", "url": "http://www.nytimes.com/2003/06/20/movies/film-review-tall-and-green-but-no-ho-ho-ho.html"} +{"d:Title": "TV Guide Online: Humanity", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Humanity_-_1933", "url": "http://www.tvguide.com/movies/humanity/101506/"} +{"d:Title": "Metacritic.com: Humanity", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Humanity_-_1999", "url": "http://www.metacritic.com/movie/humanite"} +{"d:Title": "IMDb: L' Humanit\u00e9", "d:Description": "Comments, cast, and information.", "topic": "Top/Arts/Movies/Titles/H/Humanity_-_1999", "url": "http://www.imdb.com/title/tt0197569/"} +{"d:Title": "TV Guide Online: Human Bomb", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Human_Bomb", "url": "http://www.tvguide.com/movies/human-bomb/133429/"} +{"d:Title": "Human Nature", "d:Description": "Review looks at the plot and ideas behind the film.", "topic": "Top/Arts/Movies/Titles/H/Human_Nature", "url": "http://www.plume-noire.com/movies/reviews/humannature.html"} +{"d:Title": "HARO Online: Human Nature", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/H/Human_Nature", "url": "http://www.haro-online.com/movies/human_nature.html"} +{"d:Title": "All-Reviews.com: Human Nature", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/H/Human_Nature", "url": "http://www.all-reviews.com/videos-4/human-nature.htm"} +{"d:Title": "Metacritic.com: Human Nature", "d:Description": "Quotes from and links to a number of reviews for the film.", "topic": "Top/Arts/Movies/Titles/H/Human_Nature", "url": "http://www.metacritic.com/movie/human-nature"} +{"d:Title": "IMDb: Human Nature (2001)", "d:Description": "Includes cast and crew, user reviews and rating, plot summary, external reviews, film details, trailers, photo gallery and links.", "topic": "Top/Arts/Movies/Titles/H/Human_Nature", "url": "http://www.imdb.com/title/tt0219822/"} +{"d:Title": "HARO Online: Human Stain", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/H/Human_Stain,_The", "url": "http://www.haro-online.com/movies/human_stain.html"} +{"d:Title": "All-Reviews.com - The Human Stain", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Human_Stain,_The", "url": "http://www.all-reviews.com/videos-5/human-stain.htm"} +{"d:Title": "TEN Movies: The Human Stain", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/H/Human_Stain,_The", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1057"} +{"d:Title": "Yahoo! Movies: The Human Stain", "d:Description": "Synopsis, cast and crew, photos, trailer and message board.", "topic": "Top/Arts/Movies/Titles/H/Human_Stain,_The", "url": "http://movies.yahoo.com/movie/the-human-stain/"} +{"d:Title": "IMDb: The Human Stain", "d:Description": "Synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/H/Human_Stain,_The", "url": "http://www.imdb.com/title/tt0308383/"} +{"d:Title": "Future Movies: The Human Stain", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/H/Human_Stain,_The", "url": "http://www.futuremovies.co.uk/reviews/the-human-stain/ed-colley"} +{"d:Title": "IMDb - Human Traffic (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/H/Human_Traffic", "url": "http://www.imdb.com/title/tt0188674/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Human_Traffic", "url": "http://www.metacritic.com/movie/human-traffic"} +{"d:Title": "Kamera.co.uk: Human Traffic", "d:Description": "Review by Katy Thompson.", "topic": "Top/Arts/Movies/Titles/H/Human_Traffic/Reviews", "url": "http://www.kamera.co.uk/reviews/human.html"} +{"d:Title": "PopMatters - Human Traffic", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/H/Human_Traffic/Reviews", "url": "http://popmatters.com/film/reviews/h/human-traffic.html"} +{"d:Title": "HARO Online - Human Traffic", "d:Description": "A review of the film", "topic": "Top/Arts/Movies/Titles/H/Human_Traffic/Reviews", "url": "http://www.haro-online.com/movies/human_traffic.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Human_Traffic/Reviews", "url": "http://www.all-reviews.com/videos-3/human-traffic.htm"} +{"d:Title": "Something for the Weekend", "d:Description": "Film Unlimited's review of \"Human Traffic\".", "topic": "Top/Arts/Movies/Titles/H/Human_Traffic/Reviews", "url": "http://www.theguardian.com/film/1999/may/16/2"} +{"d:Title": "TV Guide Online: Hunger", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/H/Hunger", "url": "http://www.tvguide.com/movies/hunger/101520/"} +{"d:Title": "Teenage Wildlife: The Hunger", "d:Description": "Short review and several stills and promotional pictures from the movie.", "topic": "Top/Arts/Movies/Titles/H/Hunger,_The", "url": "http://www.teenagewildlife.com/Othermedia/Film/TH1983/"} +{"d:Title": "Vampires of Human Flesh", "d:Description": "Fan site with trivia, pictures and promotional stills, and cast credits.", "topic": "Top/Arts/Movies/Titles/H/Hunger,_The", "url": "http://www.angelfire.com/film/thehunger/"} +{"d:Title": "IMDb: The Hunger", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/H/Hunger,_The", "url": "http://www.imdb.com/title/tt0085701/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Hungry_Bachelors_Club,_The", "url": "http://www.metacritic.com/movie/the-hungry-bachelors-club"} +{"d:Title": "IMDb - The Hunley", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/H/Hunley,_The", "url": "http://www.imdb.com/title/tt0162897/"} +{"d:Title": "TV Guide Online: The Hunted", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hunted,_The_-_1995", "url": "http://www.tvguide.com/movies/hunted/130595/"} +{"d:Title": "HARO Online - The Hunted", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/H/Hunted,_The_-_2003", "url": "http://www.haro-online.com/movies/hunted.html"} +{"d:Title": "Internet Movie Database: Hunted, The", "d:Description": "Plot summary, cast and crew, reviews, user ratings and comments, quotations, trivia, production and distribution details, soundtrack listing, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/H/Hunted,_The_-_2003", "url": "http://imdb.com/title/tt0269347/"} +{"d:Title": "Rotten Tomatoes: The Hunted", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/H/Hunted,_The_-_2003", "url": "http://www.rottentomatoes.com/m/1120843-hunted/"} +{"d:Title": "Internet Movie Database: Hunter, The", "d:Description": "Synopsis, cast and crew, user comments and ratings, trivia, soundtrack listing, production and distribution information, home video details, and links.", "topic": "Top/Arts/Movies/Titles/H/Hunter,_The_-_1980", "url": "http://imdb.com/title/tt0080907/"} +{"d:Title": "IMDb: Hunting of the President, The (2004)", "d:Description": "Provides information about the documentary and crew.", "topic": "Top/Arts/Movies/Titles/H/Hunting_of_the_President,_The", "url": "http://www.imdb.com/title/tt0391225/"} +{"d:Title": "USATODAY: Clinton Documentary Delayed", "d:Description": "Article about how the death of former President Reagan has prompted a one-week delay for the release of a Bill Clinton documentary.", "topic": "Top/Arts/Movies/Titles/H/Hunting_of_the_President,_The", "url": "http://usatoday30.usatoday.com/life/people/2004-06-09-clinton-delayed_x.htm"} +{"d:Title": "The Hunt for Red October: The Book and The Movie", "d:Description": "A fan site comparing the book and the movie. Character list, trailer, links and details.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The", "url": "http://www.kerzap.com/hfro/"} +{"d:Title": "Film Tracks", "d:Description": "Review, ratings, and related information.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The", "url": "http://www.filmtracks.com/titles/red_october.html"} +{"d:Title": "Sam Neill Images", "d:Description": "A collection of images and related links.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The", "url": "http://www.ibiblio.org/samneill/pictures/hfro/"} +{"d:Title": "IMDb: The Hunt for Red October (1990)", "d:Description": "Full cast and crew information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The", "url": "http://www.imdb.com/title/tt0099810/"} +{"d:Title": "The Big Picture Review", "d:Description": "Review, synopsis, and information on the DVD.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The", "url": "http://www.thebigpicturedvd.com/the-hunt-for-red-october"} +{"d:Title": "The Hunt for Red October (PG)", "d:Description": "\"A leviathan relic of an age that no longer exists.\" By Hal Hinson. [Washington Post]", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thehuntforredoctoberpghinson_a0a914.htm"} +{"d:Title": "The Hunt for Red October", "d:Description": "\"With its bad, treacherous Soviets on the one side, its freedom-loving Americans on the other, and an indeterminate number of good Russian defectors in between...\" By Desson Howe. [Washington Post]", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thehuntforredoctoberpghowe_a0b257.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Several reviews of the movie.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://www.all-reviews.com/videos/hunt-for-red-october.htm"} +{"d:Title": "Christian Spotlight", "d:Description": "Review from a Christian perspective by Tim Emmerich.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/rvu-redoctober.html"} +{"d:Title": "The Hunt for Red October", "d:Description": "\"If you'll pardon the pun, there's not much depth to this tale of submarines chasing each other...\" By Chris Hicks. [Deseret News]", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://www.deseretnews.com/article/700000831/Hunt-for-Red-October-The.html"} +{"d:Title": "In the Navy", "d:Description": "\"A clever game of cat-and-mouse between two men on opposite sides of an ideological war who turn out to have more in common...\" [Flick Filosopher]", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://www.flickfilosopher.com/1999/09/the-hunt-for-red-october-review.html"} +{"d:Title": "The Hunt for Red October", "d:Description": "\"...more fun by suggesting how easily men can go wrong, how false assumptions can seem seductive and how enormous consequences can sometimes hang by slender threads.\" Review by Roger Ebert. [Chicago Sun-Times]", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-hunt-for-red-october-1990"} +{"d:Title": "AllReaders.com Review - The Hunt For Red October", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Sean Connery film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Hunt_for_Red_October,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-hunt-red-october-3549"} +{"d:Title": "All-Reviews.com: Hurlyburly", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Hurlyburly", "url": "http://www.all-reviews.com/videos/hurlyburly.htm"} +{"d:Title": "IMDb: Hurlyburly", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/H/Hurlyburly", "url": "http://www.imdb.com/title/tt0119336/"} +{"d:Title": "Yahoo Movies: Hurlyburly", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/H/Hurlyburly", "url": "https://www.yahoo.com/movies/film/hurlyburly"} +{"d:Title": "AllReaders.com Review - Hurlyburly", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Sean Penn, Kevin Spacey, Chazz Palminteri, and Anna Paquin, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/H/Hurlyburly", "url": "http://allreaders.com/movie-review-summary/hurlyburly-5836"} +{"d:Title": "IMDb: Hurricane, The (1937)", "d:Description": "Includes plot summary, user reviews and ratings, awards and external review links.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1937", "url": "http://www.imdb.com/title/tt0029030/"} +{"d:Title": "TV Guide Online: The Hurricane", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1937", "url": "http://www.tvguide.com/movies/hurricane/101537/"} +{"d:Title": "PopMatters - The Hurricane", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.popmatters.com/film/reviews/h/hurricane1.html"} +{"d:Title": "HARO Online - The Hurricane", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.haro-online.com/movies/hurricane.html"} +{"d:Title": "All-Reviews.com: The Hurricane", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.all-reviews.com/videos/hurricane.htm"} +{"d:Title": "Reel Faces - The Hurricane", "d:Description": "Explores the real people behind the film's story. Includes photographs, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.chasingthefrog.com/reelfaces/thehurricane.php"} +{"d:Title": "Rotten Tomatoes: The Hurricane", "d:Description": "Movie reviews and information.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.rottentomatoes.com/m/1093641-hurricane/"} +{"d:Title": "Box Office Mojo: The Hurricane", "d:Description": "Box office data for the film.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.boxofficemojo.com/movies/?id=hurricane.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.metacritic.com/movie/the-hurricane"} +{"d:Title": "IMDb: Hurricane, The (1999)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/H/Hurricane,_The_-_1999", "url": "http://www.imdb.com/title/tt0174856/"} +{"d:Title": "IMDb: Hurricane Streets (1998)", "d:Description": "Includes cast and crew, user comments and ratings, newsgroup reviews. external internet reviews and plot summary.", "topic": "Top/Arts/Movies/Titles/H/Hurricane_Streets", "url": "http://www.imdb.com/title/tt0119338/"} +{"d:Title": "TV Guide Online: Hurricane Streets", "d:Description": "Short review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hurricane_Streets", "url": "http://www.tvguide.com/movies/hurricane-streets/132589/"} +{"d:Title": "IMDb - Hurry Up or I'll Be 30 (1973)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/H/Hurry_Up_or_I'll_Be_30", "url": "http://www.imdb.com/title/tt0070201/combined"} +{"d:Title": "Cinebooks Database - Hurry Up or I'll Be 30", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/H/Hurry_Up_or_I'll_Be_30", "url": "http://www.tvguide.com/movies/hurry-ill-30/101546/"} +{"d:Title": "All-Reviews.com: Hush", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/H/Hush", "url": "http://www.all-reviews.com/videos/hush.htm"} +{"d:Title": "IMDb: Hush (1998)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/H/Hush", "url": "http://www.imdb.com/title/tt0118744/"} +{"d:Title": "At-a-Glance Film Reviews: Hush...Hush, Sweet Charlotte (1964)", "d:Description": "Very brief review by Samuel Stoddard. Rated 3.5/5.", "topic": "Top/Arts/Movies/Titles/H/Hush..._Hush,_Sweet_Charlotte", "url": "http://www.rinkworks.com/movies/m/hushhush.sweet.charlotte.1964.shtml"} +{"d:Title": "IMDb: Hush... Hush, Sweet Charlotte (1964)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, awards and nominations, very brief review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/H/Hush..._Hush,_Sweet_Charlotte", "url": "http://www.imdb.com/title/tt0058213/"} +{"d:Title": "Chicago Reader: Hush . . . Hush, Sweet Charlotte", "d:Description": "Capsule review by Dave Kehr.", "topic": "Top/Arts/Movies/Titles/H/Hush..._Hush,_Sweet_Charlotte", "url": "http://www.chicagoreader.com/chicago/hush-hush-sweet-charlotte/Film?oid=1054180"} +{"d:Title": "The Hustler (1961)", "d:Description": "Greatest Films - Review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/H/Hustler,_The", "url": "http://www.filmsite.org/hust.html"} +{"d:Title": "All-Reviews.com: The Hustler", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/H/Hustler,_The", "url": "http://www.all-reviews.com/videos-4/hustler.htm"} +{"d:Title": "IMDb: The Hustler", "d:Description": "Cast and crew information, summary, and reviews.", "topic": "Top/Arts/Movies/Titles/H/Hustler,_The", "url": "http://www.imdb.com/title/tt0054997/"} +{"d:Title": "TV Guide Online: Hustler, The", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/H/Hustler,_The", "url": "http://www.tvguide.com/movies/hustler/101552/"} +{"d:Title": "Cue Jumping", "d:Description": "The hunt for the \"real\" Fast Eddie.", "topic": "Top/Arts/Movies/Titles/H/Hustler,_The", "url": "https://www.theguardian.com/books/2001/mar/17/books.guardianreview6"} +{"d:Title": "All-Reviews.com: I'll Be Home for Christmas", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/I'll_Be_Home_for_Christmas", "url": "http://www.all-reviews.com/videos/be-home-for-christmas.htm"} +{"d:Title": "TV Guide Online: I'll Be Home For Christmas", "d:Description": "Brief synopsis and review.", "topic": "Top/Arts/Movies/Titles/I/I'll_Be_Home_for_Christmas", "url": "http://www.tvguide.com/movies/ill-home-christmas/133489/"} +{"d:Title": "Rottentomatoes: I'll Be There (2003)", "d:Description": "Reviews, cast and crew, photos, news, and forum.", "topic": "Top/Arts/Movies/Titles/I/I'll_Be_There", "url": "http://www.rottentomatoes.com/m/ill_be_there/"} +{"d:Title": "IMDB: I'll Be There", "d:Description": "Background and cast of this film.", "topic": "Top/Arts/Movies/Titles/I/I'll_Be_There", "url": "http://www.imdb.com/title/tt0325352/"} +{"d:Title": "All-Reviews.com: I'll Do Anything", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/I'll_Do_Anything", "url": "http://www.all-reviews.com/videos-3/ill-do-anything.htm"} +{"d:Title": "I'll Sleep When I'm Dead", "d:Description": "Official site with news, cast and crew information, and multimedia.", "topic": "Top/Arts/Movies/Titles/I/I'll_Sleep_When_I'm_Dead", "url": "http://www.iswid.net/"} +{"d:Title": "IMDb: I'll Sleep When I'm Dead (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/I'll_Sleep_When_I'm_Dead", "url": "http://www.imdb.com/title/tt0319531/"} +{"d:Title": "Rotten Tomatoes: I'll Sleep When I'm Dead", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/I/I'll_Sleep_When_I'm_Dead", "url": "http://www.rottentomatoes.com/m/ill_sleep_when_im_dead/"} +{"d:Title": "Chicago Sun-Times: I'll Sleep When I'm Dead", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/I'll_Sleep_When_I'm_Dead", "url": "http://www.rogerebert.com/reviews/ill-sleep-when-im-dead-2004"} +{"d:Title": "Internet Movie Database: Je rentre \u00e0 la maison", "d:Description": "Cast and crew, user ratings and comments, reviews, awards, soundtrack listing, production details, distribution information, and links.", "topic": "Top/Arts/Movies/Titles/I/I'm_Going_Home", "url": "http://www.imdb.com/title/tt0283422/"} +{"d:Title": "HARO Online: I'm Not Scared", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_Scared", "url": "http://www.haro-online.com/movies/im_not_scared.html"} +{"d:Title": "IMDb: I'm Not Scared (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_Scared", "url": "http://www.imdb.com/title/tt0326977/"} +{"d:Title": "Rotten Tomatoes: I'm Not Scared", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_Scared", "url": "http://www.rottentomatoes.com/m/im_not_scared/"} +{"d:Title": "Chicago Sun-Times: I'm Not Scared", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_Scared", "url": "http://www.rogerebert.com/reviews/im-not-scared-io-non-ho-paura-2004"} +{"d:Title": "IMDb: I'm Not There", "d:Description": "Offers information regarding production status, cast and crew, news articles and discussion board.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.imdb.com/title/tt0368794/"} +{"d:Title": "Dylan Film Blowin' in the Wind", "d:Description": "Paramount Pictures, together with the cooperation of Bob Dylan, has struck a deal with producers to develop a biographical feature film about the famed singer-songwriter.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.smh.com.au/articles/2004/02/11/1076388426422.html?from=storyrhs"} +{"d:Title": "Colin Farrell Kicked Off Dylan", "d:Description": "Perhaps Haynes came to his senses and realized Colin is rather wrong for the role. By Josh Tyler.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.cinemablend.com/new/Colin-Farrell-Kicked-Off-Dylan-2638.html"} +{"d:Title": "Just Like A Woman", "d:Description": "Think you know your Bob Dylan? By Julietta Jameson.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.smh.com.au/news/film/just-like-a-woman/2006/05/27/1148524929350.html"} +{"d:Title": "Telegraph News: Cate Blanchett to Play Young Dylan", "d:Description": "Cate Blanchett confirmed at the Cannes Film Festival that she will depict the early Dylan in I'm Not There, the first Hollywood film that he has authorized on his peripatetic life.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.telegraph.co.uk/news/uknews/1519232/Cate-Blanchett-to-play-young-Dylan.html"} +{"d:Title": "FOXNews.com: Colin Out, Heath In For Lead Role in Bob Dylan Biopic", "d:Description": "Heath Ledger is supposedly joining the cast of \"I'm Not There,\" the Bob Dylan biopic in which six actors play the legendary singer-songwriter. By Roger Friedman.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.foxnews.com/story/2006/05/04/ledger-gere-blanchett-all-dylan/"} +{"d:Title": "MovieWeb: Todd Haynes Directing Dylan Biopic I'm Not There", "d:Description": "The director moves forward with his star-studded unconventional film of Bob Dylan.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.movieweb.com/todd-haynes-direcing-dylan-biopic-im-not-there"} +{"d:Title": "HSX Prediction Market: I'm Not There", "d:Description": "Movie listing on the Hollywood Stock Exchange, a fantasy trading game.", "topic": "Top/Arts/Movies/Titles/I/I'm_Not_There", "url": "http://www.hsx.com/security/view/DYLAN"} +{"d:Title": "Internet Movie Database: I've Been Waiting for You (1998)", "d:Description": "Synopsis, cast and crew, user ratings and comments, quotations, distribution information, and links.", "topic": "Top/Arts/Movies/Titles/I/I've_Been_Waiting_for_You", "url": "http://www.imdb.com/title/tt0143296/"} +{"d:Title": "IMDb: I, Robot (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/I,_Robot", "url": "http://www.imdb.com/title/tt0343818/"} +{"d:Title": "Rotten Tomatoes: I, Robot", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/I/I,_Robot", "url": "http://www.rottentomatoes.com/m/i_robot/"} +{"d:Title": "All-Reviews.com: I, Robot", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/I,_Robot", "url": "http://www.all-reviews.com/videos-5/i-robot.htm"} +{"d:Title": "Filmtracks: I, Robot", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/I/I,_Robot", "url": "http://www.filmtracks.com/titles/i_robot.html"} +{"d:Title": "TEN Movies: I, Robot", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/I/I,_Robot", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1096"} +{"d:Title": "Future Movies: I, Robot", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/I/I,_Robot", "url": "http://www.futuremovies.co.uk/reviews/i-robot/ed-colley"} +{"d:Title": "Chicago Sun-Times: I, Robot", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/I,_Robot", "url": "http://www.rogerebert.com/reviews/i-robot-2004"} +{"d:Title": "Internet Movie Database: I.D.", "d:Description": "Cast and crew list, user comments and ratings, awards, distribution information, and links.", "topic": "Top/Arts/Movies/Titles/I/I.D.", "url": "http://imdb.com/title/tt0113375/"} +{"d:Title": "Jpoc: ID", "d:Description": "Review and rating of the movie.", "topic": "Top/Arts/Movies/Titles/I/I.D.", "url": "http://www.jpoc.net/movies/i/00/id.html"} +{"d:Title": "IMDb: Ice Age: The Meltdown", "d:Description": "Offers full cast and crew list, external reviews, plot summary, memorable quotes, filming locations, news articles and discussion board.", "topic": "Top/Arts/Movies/Titles/I/Ice_Age_-_The_Meltdown", "url": "http://www.imdb.com/title/tt0438097/"} +{"d:Title": "Ice Age: The Meltdown", "d:Description": "Twentieth Century Fox official site offers story synopsis, cast and crew list, gallery, downloads, movie trailer, games and wallpaper graphics for mobile phones.", "topic": "Top/Arts/Movies/Titles/I/Ice_Age_-_The_Meltdown", "url": "http://www.fox.co.uk/ice-age-the-meltdown"} +{"d:Title": "BBC Films: Ice Cold in Alex", "d:Description": "Review, and cast and crew links.", "topic": "Top/Arts/Movies/Titles/I/Ice_Cold_in_Alex", "url": "http://www.bbc.co.uk/films/2000/07/24/in_ice_cold_review.shtml"} +{"d:Title": "IMDb: Ice-Cold in Alex", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Ice_Cold_in_Alex", "url": "http://www.imdb.com/title/tt0053935/"} +{"d:Title": "IMDb: The Ice Runner", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Ice_Runner,_The", "url": "http://www.imdb.com/title/tt0107183/"} +{"d:Title": "All-Reviews.com: The Ice Storm", "d:Description": "User-submitted reviews of the film.", "topic": "Top/Arts/Movies/Titles/I/Ice_Storm,_The", "url": "http://www.all-reviews.com/videos/ice-storm.htm"} +{"d:Title": "TV Guide Online: The Ice Storm", "d:Description": "Brief review and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/Ice_Storm,_The", "url": "http://www.tvguide.com/movies/ice-storm/131852/"} +{"d:Title": "MovieMartyr.com - Ichi the Killer", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/I/Ichi_the_Killer", "url": "http://www.moviemartyr.com/2002/ichithekiller.htm"} +{"d:Title": "Ichi the Killer", "d:Description": "LoveHKFilm's great review, with images and cast/crew details.", "topic": "Top/Arts/Movies/Titles/I/Ichi_the_Killer", "url": "http://www.lovehkfilm.com/panasia/ichi_the_killer.htm"} +{"d:Title": "DreamLogic: Ichi The Killer", "d:Description": "Review of the film by two reviewers.", "topic": "Top/Arts/Movies/Titles/I/Ichi_the_Killer", "url": "http://www.dreamlogic.net/REVIEWS/ichithekiller.html"} +{"d:Title": "HARO Online - An Ideal Husband", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/I/Ideal_Husband,_An", "url": "http://www.haro-online.com/movies/ideal_husband.html"} +{"d:Title": "Love Me Always", "d:Description": "Image gallery, sound clips, commentary, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/I/Ideal_Husband,_An", "url": "http://chilternmanor.tripod.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Ideal_Husband,_An", "url": "http://crazy4cinema.com/Review/FilmsI/f_ideal_husband.html"} +{"d:Title": "IMDb: Ideal Husband, An", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/I/Ideal_Husband,_An", "url": "http://www.imdb.com/title/tt0122541/"} +{"d:Title": "Identity", "d:Description": "Official site. Synopsis, filmmakers and previews", "topic": "Top/Arts/Movies/Titles/I/Identity", "url": "http://www.sonypictures.com/movies/identity/"} +{"d:Title": "Rotten Tomatoes: Identity", "d:Description": "eviews, synopsis, trailer, photos, news and articles.", "topic": "Top/Arts/Movies/Titles/I/Identity", "url": "http://www.rottentomatoes.com/m/identity/"} +{"d:Title": "Movies.com: Identity", "d:Description": "Synopsis, cast and crew, reviews, photo gallery, trailers and clips.", "topic": "Top/Arts/Movies/Titles/I/Identity", "url": "http://www.movies.com/identity/m8388"} +{"d:Title": "IMDb: Identity", "d:Description": "Reviews, plot summary, trailers and photos.", "topic": "Top/Arts/Movies/Titles/I/Identity", "url": "http://www.imdb.com/title/tt0309698/"} +{"d:Title": "IMDb: Identity Crisis (1989)", "d:Description": "List of the cast and crew, company credits, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/I/Identity_Crisis", "url": "http://www.imdb.com/title/tt0099825/"} +{"d:Title": "TV Guide Online: Identity Crisis", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/Identity_Crisis", "url": "http://www.tvguide.com/movies/identity-crisis/128479/"} +{"d:Title": "PopMatters - The Idiots", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Idiots,_The", "url": "http://popmatters.com/film/reviews/i/idiots.html"} +{"d:Title": "Film Review: The Idiots", "d:Description": "Review and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/Idiots,_The", "url": "http://wlt4.home.mindspring.com/fafr/reviews/idiots.htm"} +{"d:Title": "Artistic and intellectual confusion", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Idiots,_The", "url": "http://www.wsws.org/en/articles/1999/05/idio-m28.html"} +{"d:Title": "MovieInfo", "d:Description": "Includes a plot synopsis, cast, crew, image gallery and links.", "topic": "Top/Arts/Movies/Titles/I/Idle_Hands", "url": "http://movie-info.8m.com/"} +{"d:Title": "All-Reviews.com - Idle Hands", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/Idle_Hands", "url": "http://www.all-reviews.com/videos-3/idle-hands.htm"} +{"d:Title": "IMDb: Idle Hands", "d:Description": "List of the cast and crew, filming locations, user comments and ratings, trailer and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/Idle_Hands", "url": "http://www.imdb.com/title/tt0138510/"} +{"d:Title": "A.V. Club: Idle Hands", "d:Description": "Review by Nathan Rabin. \"...[its] gleeful, sweet-natured sadism proves to be pretty damned irresistible.\"", "topic": "Top/Arts/Movies/Titles/I/Idle_Hands", "url": "http://www.avclub.com/review/idle-hands-19008"} +{"d:Title": "BBC: If...", "d:Description": "Review and photos.", "topic": "Top/Arts/Movies/Titles/I/If...", "url": "http://www.bbc.co.uk/films/2002/02/26/if_1968_review.shtml"} +{"d:Title": "IMDb: If...", "d:Description": "Synopsis, cast and crew, awards, trivia, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/I/If...", "url": "http://www.imdb.com/title/tt0063850/"} +{"d:Title": "Coldbacon Reviews: Igby Goes Down", "d:Description": "In-depth analysis of the film, with photographs.", "topic": "Top/Arts/Movies/Titles/I/Igby_Goes_Down", "url": "http://www.coldbacon.com/movies/igbygoesdown.html"} +{"d:Title": "All-Reviews.com: Igby Goes Down", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/Igby_Goes_Down", "url": "http://www.all-reviews.com/videos-4/igby-goes-down.htm"} +{"d:Title": "HARO Online: Igby Goes Down", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/I/Igby_Goes_Down", "url": "http://www.haro-online.com/movies/igby_goes_down.html"} +{"d:Title": "Rotten Tomatoes: Igby Goes Down", "d:Description": "Quotes from and links to reviews, synopsis, cast and crew, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/I/Igby_Goes_Down", "url": "http://www.rottentomatoes.com/m/igby_goes_down/"} +{"d:Title": "Igby Goes Down", "d:Description": "Official site for the film, with images and video clips.", "topic": "Top/Arts/Movies/Titles/I/Igby_Goes_Down", "url": "http://www.mgm.com/title_title.php?title_star=IGBYGOES"} +{"d:Title": "IMDb: Igby Goes Down (2002)", "d:Description": "List of cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/I/Igby_Goes_Down", "url": "http://www.imdb.com/title/tt0280760/"} +{"d:Title": "Metacritic: Igby Goes Down", "d:Description": "Quotes from and links to reviews, viewer comments, and an overall rating.", "topic": "Top/Arts/Movies/Titles/I/Igby_Goes_Down", "url": "http://www.metacritic.com/movie/igby-goes-down"} +{"d:Title": "IMDb: Ignorant Fairies", "d:Description": "List of the cast and crew, plot synopsis, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/I/Ignorant_Fairies", "url": "http://www.imdb.com/title/tt0274497/"} +{"d:Title": "TV Guide Online: Illtown", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/I/Illtown", "url": "http://www.tvguide.com/movies/illtown/131881/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose's review of the film.", "topic": "Top/Arts/Movies/Titles/I/Illuminata", "url": "http://haro-online.com/movies/illuminata.html"} +{"d:Title": "IMDb: The Illusionist", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/I/Illusionist,_The", "url": "http://www.imdb.com/title/tt0443543/"} +{"d:Title": "BoxOfficeMojo.com: The Illusionist", "d:Description": "Offers box office data, related news articles, link to review, image gallery, viewer grades, trailers in various formats including high-definition and movies of similar style.", "topic": "Top/Arts/Movies/Titles/I/Illusionist,_The", "url": "http://www.boxofficemojo.com/movies/?id=illusionist.htm"} +{"d:Title": "JoBlo.com: The Illusionist", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/I/Illusionist,_The", "url": "http://www.joblo.com/movies/database/2006/the-illusionist/"} +{"d:Title": "BeyondHollywood.com: The Illusionist Movie Review", "d:Description": "The audience is set up by the magician as he weaves his story, all the while the hidden machinations of the trick is going on in the background. By Nix.", "topic": "Top/Arts/Movies/Titles/I/Illusionist,_The", "url": "http://www.beyondhollywood.com/the-illusionist-2006-movie-review/"} +{"d:Title": "IMDb: Imagining Argentina (2003)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, and other related movie data.", "topic": "Top/Arts/Movies/Titles/I/Imagining_Argentina", "url": "http://www.imdb.com/title/tt0314197/"} +{"d:Title": "HARO Online: Imelda", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/I/Imelda", "url": "http://www.haro-online.com/movies/imelda.html"} +{"d:Title": "Rotten Tomatoes: Imelda", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/I/Imelda", "url": "http://www.rottentomatoes.com/m/imelda/"} +{"d:Title": "IMDb: Imelda (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/Imelda", "url": "http://www.imdb.com/title/tt0160398/"} +{"d:Title": "Imitation of Life", "d:Description": "Photos and a review by Gary W. Tooze.", "topic": "Top/Arts/Movies/Titles/I/Imitation_of_Life_-_1959", "url": "http://www.dvdbeaver.com/film/DVDReview/imitationoflife.htm"} +{"d:Title": "Imitation of Life (1959)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/I/Imitation_of_Life_-_1959", "url": "http://www.imdb.com/title/tt0052918/"} +{"d:Title": "Ludwig van Beethoven - le site", "d:Description": "Capsule summary, stills, and opposing reviews.", "topic": "Top/Arts/Movies/Titles/I/Immortal_Beloved", "url": "http://www.lvbeethoven.com/Fictions/FictionFilmsImmortalBeloved.html"} +{"d:Title": "Wikipedia", "d:Description": "Encyclopedia article includes the cast and crew.", "topic": "Top/Arts/Movies/Titles/I/Immortal_Beloved", "url": "http://en.wikipedia.org/wiki/Immortal_Beloved"} +{"d:Title": "IMDb: Immortal Beloved", "d:Description": "Cast, crew, reviews, quotes, soundtrack, and trivia.", "topic": "Top/Arts/Movies/Titles/I/Immortal_Beloved", "url": "http://www.imdb.com/title/tt0110116/"} +{"d:Title": "All-Reviews.com: The Importance of Being Earnest", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Importance_of_Being_Earnest,_The", "url": "http://www.all-reviews.com/videos-4/importance-being-earnest.htm"} +{"d:Title": "Johnrpierce.com: The Importance of Being Earnest", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Importance_of_Being_Earnest,_The", "url": "http://www.johnrpierce.com/earnest.html"} +{"d:Title": "HARO Online", "d:Description": "Review of the film written by Mongoose.", "topic": "Top/Arts/Movies/Titles/I/Importance_of_Being_Earnest,_The", "url": "http://www.haro-online.com/movies/importance_of_being_earnest.html"} +{"d:Title": "IMDb: Importance of Being Earnest, The", "d:Description": "List of the cast and crew, trailer, plot synopsis, user reviews and ratings.", "topic": "Top/Arts/Movies/Titles/I/Importance_of_Being_Earnest,_The", "url": "http://imdb.com/title/tt0278500/"} +{"d:Title": "Rotten Tomatoes: The Importance of Being Earnest", "d:Description": "Reviews, news articles, images, and trailer.", "topic": "Top/Arts/Movies/Titles/I/Importance_of_Being_Earnest,_The", "url": "http://www.rottentomatoes.com/m/importance_of_being_earnest/"} +{"d:Title": "HARO Online: Imposter", "d:Description": "Review of the film and image gallery.", "topic": "Top/Arts/Movies/Titles/I/Impostor", "url": "http://www.haro-online.com/movies/impostor.html"} +{"d:Title": "All-Reviews: Impostor", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/Impostor", "url": "http://www.all-reviews.com/videos-4/impostor.htm"} +{"d:Title": "Rotten Tomatoes: Impostor", "d:Description": "Reviews, synopsis, trailers, and screen captures.", "topic": "Top/Arts/Movies/Titles/I/Impostor", "url": "http://www.rottentomatoes.com/m/impostor/"} +{"d:Title": "IMDb: Impostor (2000)", "d:Description": "List of the cast and crew, trailer, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Impostor", "url": "http://www.imdb.com/title/tt0160399/"} +{"d:Title": "TV Guide Online: The Impostors", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/I/Impostors,_The", "url": "http://www.tvguide.com/movies/impostors/133305/"} +{"d:Title": "HARO Online: The In-Laws", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/I/In-Laws,_The", "url": "http://www.haro-online.com/movies/in-laws.html"} +{"d:Title": "Allreaders Review - The Inlaws", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/In-Laws,_The", "url": "http://allreaders.com/movie-review-summary/the-inlaws-3562"} +{"d:Title": "IMDb: Inception", "d:Description": "Synopsis, image gallery, trailers, trivia, cast and credits.", "topic": "Top/Arts/Movies/Titles/I/Inception", "url": "http://www.imdb.com/title/tt1375666/"} +{"d:Title": "Official Site: Inception", "d:Description": "Synopsis, videos, game downloads, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/I/Inception", "url": "http://www.warnerbros.com/inception"} +{"d:Title": "HARO Online: Incident at Loch Ness", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/I/Incident_at_Loch_Ness", "url": "http://www.haro-online.com/movies/incident_loch_ness.html"} +{"d:Title": "IMDb: Incident at Loch Ness (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/Incident_at_Loch_Ness", "url": "http://www.imdb.com/title/tt0374639/"} +{"d:Title": "Rotten Tomatoes: Incident at Loch Ness", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/I/Incident_at_Loch_Ness", "url": "http://www.rottentomatoes.com/m/incident_at_loch_ness/"} +{"d:Title": "IMDb: Incognito", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Incognito", "url": "http://www.imdb.com/title/tt0119365/"} +{"d:Title": "TV Guide Online: Incognito", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/Incognito", "url": "http://www.tvguide.com/movies/incognito/132719/"} +{"d:Title": "An Inconvenient Truth, a Must-See Motion Picture", "d:Description": "Fan site for the movie and book, providing background information and resources to help people make changes in their lives to help alleviate global warming.", "topic": "Top/Arts/Movies/Titles/I/Inconvenient_Truth,_An", "url": "http://an-inconvenient-truth.com/"} +{"d:Title": "IMDb: The Incredible Hulk (2008)", "d:Description": "Plot, cast list, trivia, and a message board.", "topic": "Top/Arts/Movies/Titles/I/Incredible_Hulk,_The", "url": "http://www.imdb.com/title/tt0800080/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the premise, cast, and production.", "topic": "Top/Arts/Movies/Titles/I/Incredible_Hulk,_The", "url": "http://en.wikipedia.org/wiki/The_Incredible_Hulk_(film)"} +{"d:Title": "Rotten Tomatoes: The Incredible Hulk", "d:Description": "Synopsis, credits, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/I/Incredible_Hulk,_The", "url": "http://www.rottentomatoes.com/m/the_incredible_hulk/"} +{"d:Title": "IGN Movies: The Incredible Hulk", "d:Description": "News, interviews, mailbag, and a message board.", "topic": "Top/Arts/Movies/Titles/I/Incredible_Hulk,_The", "url": "http://www.ign.com/movies/the-incredible-hulk/theater-569136"} +{"d:Title": "At-a-Glance Film Reviews - The Incredible Mr. Limpet (1964)", "d:Description": "Unsigned review and rating.", "topic": "Top/Arts/Movies/Titles/I/Incredible_Mr._Limpet,_The", "url": "http://www.rinkworks.com/movies/m/the.incredible.mr.limpet.1964.shtml"} +{"d:Title": "IMDb - Incredible Mr. Limpet, The (1964)", "d:Description": "Cast, credits, production information, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Incredible_Mr._Limpet,_The", "url": "http://www.imdb.com/title/tt0058230/combined"} +{"d:Title": "Cinebooks Database - Incredible Mr. Limpet, The", "d:Description": "Unsigned review and rating.", "topic": "Top/Arts/Movies/Titles/I/Incredible_Mr._Limpet,_The", "url": "http://www.tvguide.com/movies/incredible-mr-limpet/102052/"} +{"d:Title": "Cineaste: The Incredibly True Adventure of Two Girls in Love", "d:Description": "Review by Frann Michel and an interview with director Maria Maggenti.", "topic": "Top/Arts/Movies/Titles/I/Incredibly_True_Adventure_of_Two_Girls_in_Love,_The", "url": "http://www.lib.berkeley.edu/MRC/IncrediblyTrue.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, synopsis, reviews, and other details.", "topic": "Top/Arts/Movies/Titles/I/Incredibly_True_Adventure_of_Two_Girls_in_Love,_The", "url": "http://imdb.com/title/tt0113416/combined"} +{"d:Title": "DVD Savant", "d:Description": "Review of the movie and the special features on the DVD release, written by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/I/Incubus", "url": "http://www.dvdtalk.com/dvdsavant/s244incubus.html"} +{"d:Title": "IMDb: Incubus (1965)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Incubus", "url": "http://www.imdb.com/title/tt0059311/"} +{"d:Title": "Women: Swap 'Em Or Sell 'Em", "d:Description": "Critique by Caryn James [New York Times].", "topic": "Top/Arts/Movies/Titles/I/Indecent_Proposal", "url": "http://www.nytimes.com/1993/04/11/movies/film-view-women-swap-em-or-sell-em.html"} +{"d:Title": "Allreaders Review: Indecent Proposal", "d:Description": "Review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/Indecent_Proposal", "url": "http://allreaders.com/movie-review-summary/indecent-proposal-4371"} +{"d:Title": "All-Reviews.com", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/I/Independence_Day", "url": "http://www.all-reviews.com/videos-2/independence-day.htm"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/I/Independence_Day", "url": "http://the-editing-room.com/independenceday.html"} +{"d:Title": "Allreaders Independence Day Spotlight", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Independence_Day", "url": "http://allreaders.com/movie-review-summary/independence-day-3602"} +{"d:Title": "TV Guide Online: Independence Day", "d:Description": "Review, plotline, and cast and crew list.", "topic": "Top/Arts/Movies/Titles/I/Independence_Day", "url": "http://www.tvguide.com/movies/independence-day/131305/"} +{"d:Title": "Cranky Critic: The Independent", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Independent,_The", "url": "http://www.crankycritic.com/archive01/theindependent.html"} +{"d:Title": "HARO Online: The Independent", "d:Description": "Mongoose's review and image gallery.", "topic": "Top/Arts/Movies/Titles/I/Independent,_The", "url": "http://www.haro-online.com/movies/independent.html"} +{"d:Title": "Indiana Jones Warehouse", "d:Description": "Includes trilogy multimedia, movie production information, and information concerning the Indy themed attractions at Disney.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://ijw.8m.com/index.html"} +{"d:Title": "TheRaider.net", "d:Description": "News, interviews, timeline, biography, games and downloads.", "priority": "1", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://www.theraider.net/"} +{"d:Title": "Indiana Jones", "d:Description": "Official site from Lucasfilm. Features synopsis of Indy's film and television adventures. Also includes information on the hobby aspect such as: gaming, literature, and collectibles.", "priority": "1", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://www.indianajones.com/"} +{"d:Title": "IndyGear.com", "d:Description": "Descriptions of film-based clothing and props, intended for those collecting, researching, and acquiring them. Also features a forum for discussion of related topics.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://www.indygear.com/"} +{"d:Title": "Indianajones.de", "d:Description": "Features related news, information concerning the films, games, and printed materials.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://www.indianajones.de/"} +{"d:Title": "Indyfan.com", "d:Description": "News, image gallery, forum, video and audio clips, fan fiction and trivia.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://www.indyfan.com/"} +{"d:Title": "IndyCroft.com", "d:Description": "A fansite jointly dedicated to Indiana Jones and Laura Croft. Features general information from both genres and several fan fiction entries.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://www.indycroft.com/"} +{"d:Title": "Guardian Unlimited: Behind the Scenes", "d:Description": "Information on several locations used in the films and insight on how to visit them. Includes hotel and booking information.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series", "url": "http://www.theguardian.com/travel/2003/oct/18/guardiansaturdaytravelsection"} +{"d:Title": "KillerMovies: Indiana Jones 4", "d:Description": "News, cast and crew information, synopsis, movie info, trailers, posters, box office info, image gallery.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Kingdom_of_the_Crystal_Skull", "url": "http://www.killermovies.com/i/indianajones4/"} +{"d:Title": "IMDb: Indiana Jones 4", "d:Description": "Photo gallery, cast list, trivia, and a message board.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Kingdom_of_the_Crystal_Skull", "url": "http://www.imdb.com/title/tt0367882/"} +{"d:Title": "IGN Movies: Indiana Jones IV", "d:Description": "News, rumors and interviews.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Kingdom_of_the_Crystal_Skull", "url": "http://www.ign.com/movies/indiana-jones-and-the-kingdom-of-the-crystal-skull/theater-33714"} +{"d:Title": "All-Reviews: Indiana Jones and the Last Crusade", "d:Description": "Several user-submitted reviews.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Last_Crusade", "url": "http://www.all-reviews.com/videos-4/indiana-jones-last-crusade.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Review links, synopsis, mistakes, notes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Last_Crusade", "url": "http://www.rottentomatoes.com/m/indiana_jones_and_the_last_crusade/"} +{"d:Title": "IMDb: Indiana Jones and the Last Crusade", "d:Description": "List of the cast and crew, plot synopsis, trailers, filming locations and tag lines.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Last_Crusade", "url": "http://www.imdb.com/title/tt0097576/"} +{"d:Title": "All-Reviews: Indiana Jones and the Temple of Doom", "d:Description": "Submitted user reviews of the film.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Temple_of_Doom", "url": "http://www.all-reviews.com/videos-4/indiana-jones-temple-doom.htm"} +{"d:Title": "Scott Manning: Temple of Doom", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Temple_of_Doom", "url": "http://www.scottmanning.com/archives/000448.php"} +{"d:Title": "IMDb: Indiana Jones and the Temple of Doom", "d:Description": "Plot synopsis, list of the cast and crew, filming locations, trailers and tag lines.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Indiana_Jones_and_the_Temple_of_Doom", "url": "http://www.imdb.com/title/tt0087469/"} +{"d:Title": "Greatest Films: Raiders Of The Lost Ark (1981)", "d:Description": "Review with a plot synopsis and descriptions of key events in the film.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Raiders_of_the_Lost_Ark", "url": "http://www.filmsite.org/raid.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Raiders_of_the_Lost_Ark", "url": "http://www.fast-rewind.com/raiders.htm"} +{"d:Title": "All-Reviews.com- Raiders of the Lost Ark", "d:Description": "User-submitted reviews.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Raiders_of_the_Lost_Ark", "url": "http://www.all-reviews.com/videos/raiders-of-lost-ark.htm"} +{"d:Title": "Filmtracks: Raiders of the Lost Ark", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Raiders_of_the_Lost_Ark", "url": "http://www.filmtracks.com/titles/raiders.html"} +{"d:Title": "IMDb: Raiders of the Lost Ark", "d:Description": "List of cast and crew, filming locations, tag lines, and a trailer.", "topic": "Top/Arts/Movies/Titles/I/Indiana_Jones_Series/Raiders_of_the_Lost_Ark", "url": "http://www.imdb.com/title/tt0082971/"} +{"d:Title": "IMDb: Indian Cowboy", "d:Description": "Cast, crew, plot synopsis and user comments.", "topic": "Top/Arts/Movies/Titles/I/Indian_Cowboy", "url": "http://www.imdb.com/title/tt0254784/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Indian_in_the_Cupboard", "url": "http://www.all-reviews.com/videos-2/indian-in-the-cupboard.htm"} +{"d:Title": "All-Reviews.com - The Indian Runner", "d:Description": "Review by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/I/Indian_Runner,_The", "url": "http://all-reviews.com/videos-5/indian-runner.htm"} +{"d:Title": "IMDb: The Indian Runner (1991)", "d:Description": "Cast information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/I/Indian_Runner,_The", "url": "http://www.imdb.com/title/tt0102116/"} +{"d:Title": "IMDB: Indigo (2003)", "d:Description": "Cast, crew, summary, user-submitted reviews, and other information.", "topic": "Top/Arts/Movies/Titles/I/Indigo", "url": "http://imdb.com/title/tt0379322/"} +{"d:Title": "IMDb: Indiscreet (1958)", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/I/Indiscreet_-_1958", "url": "http://www.imdb.com/title/tt0051773/"} +{"d:Title": "TV Guide Online: Indiscreet", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/Indiscreet_-_1958", "url": "http://www.tvguide.com/movies/indiscreet/102074/"} +{"d:Title": "TV Guide Online: Inferno", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/I/Inferno_-_1980", "url": "http://www.tvguide.com/movies/inferno/102079/"} +{"d:Title": "IMDb - The Informant (1997)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Informant,_The", "url": "http://www.imdb.com/title/tt0119368/"} +{"d:Title": "TV Guide Online: The Informant", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/Informant,_The", "url": "http://www.tvguide.com/movies/informant/133636/"} +{"d:Title": "TV Guide Online: The Inheritors", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/I/Inheritors,_The", "url": "http://www.tvguide.com/movies/inheritors/102091/"} +{"d:Title": "Greatest Films - Inherit The Wind (1960)", "d:Description": "Review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/I/Inherit_the_Wind", "url": "http://www.filmsite.org/inhe.html"} +{"d:Title": "IMDb - Inherit the Wind (1960)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Inherit_the_Wind", "url": "http://www.imdb.com/title/tt0053946/"} +{"d:Title": "Allreaders Review - Inherit The Wind", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/Inherit_the_Wind", "url": "http://allreaders.com/movie-review-summary/inherit-the-wind-3631"} +{"d:Title": "Injustice", "d:Description": "Official site. Plot synopsis, sales enquiries, reviews, press releases, images, and details of screenings.", "priority": "1", "topic": "Top/Arts/Movies/Titles/I/Injustice", "url": "http://www.injusticefilm.co.uk/"} +{"d:Title": "Guardian Unlimited Film: Injustice", "d:Description": "Peter Bradshaw's review of the film.", "topic": "Top/Arts/Movies/Titles/I/Injustice", "url": "http://www.theguardian.com/society/2001/sep/28/prisonsandprobation.uk"} +{"d:Title": "IMDb: Inkheart (2008)", "d:Description": "Photo gallery, plot summary, cast list, trivia, and a message board.", "topic": "Top/Arts/Movies/Titles/I/Inkheart", "url": "http://www.imdb.com/title/tt0494238/"} +{"d:Title": "Rotten Tomatoes: Inkheart", "d:Description": "Photos, synopsis, cast list, showtimes, and links.", "topic": "Top/Arts/Movies/Titles/I/Inkheart", "url": "http://www.rottentomatoes.com/m/inkheart/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the production, plot, and cast.", "topic": "Top/Arts/Movies/Titles/I/Inkheart", "url": "http://en.wikipedia.org/wiki/Inkheart_(film)"} +{"d:Title": "IGN Movies: Inkheart", "d:Description": "News, photo gallery, features, and a message board.", "topic": "Top/Arts/Movies/Titles/I/Inkheart", "url": "http://www.ign.com/movies/inkheart/theater-860798"} +{"d:Title": "MovieWeb: Inkheart (2009)", "d:Description": "Summary, reviews, synopsis, videos, and news.", "topic": "Top/Arts/Movies/Titles/I/Inkheart", "url": "http://www.movieweb.com/movie/inkheart"} +{"d:Title": "eFilmCriti: Innocence", "d:Description": "Review written by Andrew Bunney.", "topic": "Top/Arts/Movies/Titles/I/Innocence", "url": "http://www.efilmcritic.com/review.php?movie=4414"} +{"d:Title": "World Socialist Web Site: Innocence", "d:Description": "Richard Phillips' review.", "topic": "Top/Arts/Movies/Titles/I/Innocence", "url": "http://www.wsws.org/en/articles/2000/07/sff3-j11.html"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/I/Innocence", "url": "http://www.metacritic.com/movie/innocence-2001"} +{"d:Title": "Chicago Sun-Times: Innocence", "d:Description": "Robert Ebert's review of the film.", "topic": "Top/Arts/Movies/Titles/I/Innocence", "url": "http://www.rogerebert.com/reviews/innocence-2001"} +{"d:Title": "IMDb: Insaaf: The Justice (2004)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Insaaf_the_Justice", "url": "http://www.imdb.com/title/tt0405046/"} +{"d:Title": "IMDb - Inside (1996)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/I/Inside", "url": "http://www.imdb.com/title/tt0116640/"} +{"d:Title": "San Francisco Chronicle - Wrenching \"Inside\" Story", "d:Description": "Review by Edward Guthmann (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/I/Inside", "url": "http://www.sfgate.com/movies/article/FILM-REVIEW-Wrenching-Inside-Story-2859150.php"} +{"d:Title": "San Francisco Examiner - \"Inside\" Track: Conspiring for Justice", "d:Description": "Review by Barbara Shulgasser (mixed), rating.", "topic": "Top/Arts/Movies/Titles/I/Inside", "url": "http://www.sfgate.com/news/article/Inside-track-Conspiring-for-justice-3141815.php"} +{"d:Title": "rec.arts.movies.reviews - Inside (1996)", "d:Description": "Review by Steve Rhodes (negative), rating.", "topic": "Top/Arts/Movies/Titles/I/Inside", "url": "http://www.imdb.com/reviews/66/6643.html"} +{"d:Title": "TV Guide Online: Inside", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/I/Inside", "url": "http://www.tvguide.com/movies/inside/132158/"} +{"d:Title": "Cosmopolis", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/I/Insider,_The", "url": "http://www.cosmopolis.ch/english/cosmo10/insider.htm"} +{"d:Title": "All-Reviews.com: The Insider", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/Insider,_The", "url": "http://www.all-reviews.com/videos/the-insider.htm"} +{"d:Title": "HARO Online - The Insider", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/I/Insider,_The", "url": "http://www.haro-online.com/movies/insider.html"} +{"d:Title": "Lowell Bergman", "d:Description": "Interview with Lowell Bergman about CBS, the movie The Insider, journalism, corporate influences on media.", "topic": "Top/Arts/Movies/Titles/I/Insider,_The", "url": "http://www.commonwealthclub.org/archive/02/02-01bergman-intro.html"} +{"d:Title": "The Insider: Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailer.", "topic": "Top/Arts/Movies/Titles/I/Insider,_The", "url": "http://www.rottentomatoes.com/m/insider/"} +{"d:Title": "IMDb: The Insider", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Insider,_The", "url": "http://www.imdb.com/title/tt0140352/"} +{"d:Title": "Chicago Sun-Times: The Insider", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/I/Insider,_The", "url": "http://www.rogerebert.com/reviews/the-insider-1999"} +{"d:Title": "IMDb: Inside Man", "d:Description": "Features full cast and crew, external reviews, awards and nominations, plot summary, trivia, filming locations, photo gallery and discussion board.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "http://www.imdb.com/title/tt0454848/"} +{"d:Title": "Blackfilm.com: Inside Man", "d:Description": "Provides cast list, film synopsis and photo gallery.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "http://www.blackfilm.com/20051202/features/insideman.shtml"} +{"d:Title": "Inside Man", "d:Description": "Provides brief review and two production stills.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "http://www.haro-online.com/movies/inside_man.html"} +{"d:Title": "Virginia Law Weekly Reviews: Inside Man: A Thrilling Crime Caper", "d:Description": "Inside Man takes its time tying up loose ends and the finale has some of the best scenes of the movie. Review by Andrew J. Stephens.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "http://www.lawweekly.org/?module=displaystory&story_id=1169&format=html"} +{"d:Title": "Film Review: Spike Lee Thrills", "d:Description": "Inside Man is a bank-heist-hostage-thriller-with-a-twist that will perfectly fit the multiplex bill and comfortably make the box office Universal Pictures budgeted. Review by Pavel Ivanov.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "http://www.sofiaecho.com/article/film-review-spike-lee-thrills/id_14841/catid_29"} +{"d:Title": "Inside Clive Owen, A Breakout Performance", "d:Description": "Think of \u201cInside Man\u201d as a Da Vinci Code-esque film, with a huge mystery hidden behind small clues revealed every so often. By Yong-Yi Zhu.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "http://tech.mit.edu/V126/N21/21Inside.html"} +{"d:Title": "JoBlo.com: Inside Man", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "http://www.joblo.com/movies/database/2006/inside-man/"} +{"d:Title": "Universal Studios: Inside Man", "d:Description": "Official site provides enhanced video clips from the film and explorations of key characters, downloads, plot synopsis, cast list and production notes.", "topic": "Top/Arts/Movies/Titles/I/Inside_Man", "url": "https://www.uphe.com/movies/inside-man"} +{"d:Title": "Inside Outside Lydia's Head", "d:Description": "Official site. Plot synopsis, company credits, poster, and audio clips.", "topic": "Top/Arts/Movies/Titles/I/Inside_Outside_Lydia's_Head", "url": "http://www.coventgardenfilms.com/insideoutside/index.asp"} +{"d:Title": "TV Guide Online: Insomnia", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_1997", "url": "http://www.tvguide.com/movies/insomnia/133247/"} +{"d:Title": "SplicedWire - Insomnia", "d:Description": "Rob Blackwelder describes the remake of a gloomy and unusual 1997 cop versus killer psychological thriller from Norway.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.splicedwire.com/02features/cnolan.html"} +{"d:Title": "All-Reviews.com: Insomnia", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.all-reviews.com/videos-4/insomnia.htm"} +{"d:Title": "HARO Online", "d:Description": "Review and photos, with related links.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.haro-online.com/movies/insomnia.html"} +{"d:Title": "AskMen.com: Insomnia", "d:Description": "Plot synopsis, review, and rating.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.askmen.com/toys/movies_100/128_movie_reviews.html"} +{"d:Title": "About.com: Insomnia", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://homevideo.about.com/library/weekly/aafpr102302.htm"} +{"d:Title": "Filmtracks: Insomnia", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.filmtracks.com/titles/insomnia.html"} +{"d:Title": "Kamera.co.uk - Insomnia Film Review", "d:Description": "Edward Lamberti reviews the thriller directed by Christopher Nolan.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.kamera.co.uk/reviews_extra/insomnia.php"} +{"d:Title": "The Sydney Morning Herald - Insomnia", "d:Description": "Paul Byrnes discusses the remake of a 1997 Norwegian thriller, in which Stellan Skarsgard was a sleep-deprived Swedish detective in the endless daylight of a northern summer.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.smh.com.au/articles/2002/09/04/1031115883688.html"} +{"d:Title": "Rotten Tomatoes: Insomnia", "d:Description": "Reviews, images, and trailer.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.rottentomatoes.com/m/insomnia/"} +{"d:Title": "Metacritic.com: Insomnia", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.metacritic.com/movie/insomnia"} +{"d:Title": "World Socialist Web Site - Insomnia , Independent of What?", "d:Description": "Joanne Laurier reviews the thriller directed by Christopher Nolan.", "topic": "Top/Arts/Movies/Titles/I/Insomnia_-_2002", "url": "http://www.wsws.org/en/articles/2002/06/inso-j07.html"} +{"d:Title": "AllReaders.com - The Inspectors 2 - A Shred of Evidence", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/Inspectors_2_-_A_Shred_of_Evidence,_The", "url": "http://allreaders.com/movie-review-summary/the-inspectors-2-shred-of-evidence-6099"} +{"d:Title": "Hidden Mickeys in Inspector Gadget (1999)", "d:Description": "Fan-spotted \"hidden monkeys\" in the film.", "topic": "Top/Arts/Movies/Titles/I/Inspector_Gadget_Series/Inspector_Gadget", "url": "http://www.hiddenmickeys.org/Movies/Gadget.html"} +{"d:Title": "Inspector Gadget - Rotten Tomatoes", "d:Description": "Reviews of current releases from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/I/Inspector_Gadget_Series/Inspector_Gadget", "url": "http://www.rottentomatoes.com/m/inspector_gadget/"} +{"d:Title": "Box Office Mojo: Inspector Gadget", "d:Description": "Box office data for including a comparison with other Disney live action remakes.", "topic": "Top/Arts/Movies/Titles/I/Inspector_Gadget_Series/Inspector_Gadget", "url": "http://www.boxofficemojo.com/movies/?id=inspectorgadget.htm"} +{"d:Title": "IMDb - Inspector Gadget (1999)", "d:Description": "List of cast and crew, filming locations, trailers, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Inspector_Gadget_Series/Inspector_Gadget", "url": "http://www.imdb.com/title/tt0141369/"} +{"d:Title": "AllReaders.com Review - Inspector Gadget", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Matthew Broderick film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/I/Inspector_Gadget_Series/Inspector_Gadget", "url": "http://allreaders.com/movie-review-summary/inspector-gadget-4488"} +{"d:Title": "All-Reviews.com - Instinct", "d:Description": "Collection of reviews and facts about the movie.", "topic": "Top/Arts/Movies/Titles/I/Instinct", "url": "http://www.all-reviews.com/videos/instinct.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/Instinct", "url": "http://www.rottentomatoes.com/m/instinct/"} +{"d:Title": "IMDb - Instinct (1999)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Instinct", "url": "http://www.imdb.com/title/tt0128278/"} +{"d:Title": "AllReaders.com Review - Instinct", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Instinct", "url": "http://allreaders.com/movie-review-summary/instinct-5243"} +{"d:Title": "Roger Ebert - Instinct", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Instinct", "url": "http://www.rogerebert.com/reviews/instinct-1999"} +{"d:Title": "Allreaders Review: Interiors", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/Interiors", "url": "http://allreaders.com/movie-review-summary/interiors-5792"} +{"d:Title": "IMDb - Interior Coney Island Club House, No. 1-4 (1899)", "d:Description": "Production information.", "topic": "Top/Arts/Movies/Titles/I/Interior_Coney_Island_Club_House,_No._1-4", "url": "http://www.imdb.com/title/tt0262497/combined"} +{"d:Title": "HARO Online: Intermission", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/I/Intermission", "url": "http://www.haro-online.com/movies/intermission.html"} +{"d:Title": "London Movie Review - Intermission", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/I/Intermission", "url": "http://www.viewlondon.co.uk/films/intermission-film-review-5220.html"} +{"d:Title": "IMDb: Intermission (2003)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Intermission", "url": "http://www.imdb.com/title/tt0332658/"} +{"d:Title": "IMDb: The International", "d:Description": "As this project progresses, this site will include cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/I/International,_The", "url": "http://www.imdb.com/title/tt0963178/"} +{"d:Title": "ComingSoon.net: Clive Owen is International Star", "d:Description": "Columbia Pictures has signed Clive Owen to topline The International, an action-thriller about an Interpol agent who investigates corruption at powerful banking institutions.", "topic": "Top/Arts/Movies/Titles/I/International,_The", "url": "http://www.comingsoon.net/news/movienews.php?id=19918"} +{"d:Title": "Naomi Watts Joins The International", "d:Description": "Naomi Watts joins the cast as a Manhattan district attorney.", "topic": "Top/Arts/Movies/Titles/I/International,_The", "url": "http://www.cinemablend.com/new/Naomi-Watts-Joins-The-International-5752.html"} +{"d:Title": "HSX Prediction Market: The International", "d:Description": "Listing on the Hollywood Stock Exchange, a fantasy trading game. Description and links to actor portfolios.", "topic": "Top/Arts/Movies/Titles/I/International,_The", "url": "http://www.hsx.com/security/view/NTRNL"} +{"d:Title": "IMDb: Interview (2007/I)", "d:Description": "Photo gallery, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/I/Interview", "url": "http://www.imdb.com/title/tt0480269/"} +{"d:Title": "Rotten Tomatoes: Interview", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/I/Interview", "url": "http://www.rottentomatoes.com/m/10007916_interview/"} +{"d:Title": "MovieWeb: Interview (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/I/Interview", "url": "http://www.movieweb.com/movie/interview"} +{"d:Title": "The Boston Globe: Interview", "d:Description": "Review, by Ty Burr: \"One comes away from Interview exhausted and a little unclean, entertained by the acting equivalent of a pit bull fight but needing a hose-down. The movie confirms that in every relationship \"there are winners and losers.\" True enough, but for the audience this one's a draw.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/I/Interview", "url": "http://www.boston.com/news/globe/living/articles/2007/07/20/an_actress_and_a_journalist_in_duel_of_wills/?camp=pm"} +{"d:Title": "HARO Online: Interview with the Assassin", "d:Description": "Mongoose reviews the film, commenting that it \"works best as a psychological mystery.\"", "topic": "Top/Arts/Movies/Titles/I/Interview_with_the_Assassin", "url": "http://www.haro-online.com/movies/interview_with_the_assassin.html"} +{"d:Title": "Internet Movie Database: Interview with the Assassin", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, quotations, production and distribution details, photographs, trailer, and links.", "topic": "Top/Arts/Movies/Titles/I/Interview_with_the_Assassin", "url": "http://www.imdb.com/title/tt0308411/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Interview_with_the_Vampire", "url": "http://crazy4cinema.com/Review/FilmsI/f_interview.html"} +{"d:Title": "Krysanthemum's Interview with the Vampire Page", "d:Description": "Images, sound clips, script, links and comments.", "topic": "Top/Arts/Movies/Titles/I/Interview_with_the_Vampire", "url": "http://www.angelfire.com/la/krysan/index.html"} +{"d:Title": "Divisadero Street", "d:Description": "Plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/Interview_with_the_Vampire", "url": "http://www.esque.com/slr/divisadero.html"} +{"d:Title": "IMDb: Intimacy", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Intimacy", "url": "http://www.imdb.com/title/tt0256103/"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/I/Intimacy", "url": "http://www.metacritic.com/movie/intimacy"} +{"d:Title": "IMDb: Intimate Relations", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Intimate_Relations_-_1996", "url": "http://www.imdb.com/title/tt0116643/"} +{"d:Title": "HARO Online: Intimate Strangers", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/I/Intimate_Strangers", "url": "http://www.haro-online.com/movies/intimate_strangers.html"} +{"d:Title": "IMDb: Intimate Strangers (Confidences trop intimes) (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/Intimate_Strangers", "url": "http://www.imdb.com/title/tt0363532/"} +{"d:Title": "Rotten Tomatoes: Intimate Strangers", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/I/Intimate_Strangers", "url": "http://www.rottentomatoes.com/m/1132500-intimate_strangers/"} +{"d:Title": "PopEntertainment.com: Intimate Strangers", "d:Description": "Jay S. Jacobs gives a positive review to the French film.", "topic": "Top/Arts/Movies/Titles/I/Intimate_Strangers", "url": "http://www.popentertainment.com/intimatestrangers.htm"} +{"d:Title": "Rogerebert.com: Intimate Strangers", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/I/Intimate_Strangers", "url": "http://www.rogerebert.com/reviews/intimate-strangers-2004"} +{"d:Title": "Haro: Intolerable Cruelty", "d:Description": "Haro reviews this throwback to the romantic comedies of yesteryear.", "topic": "Top/Arts/Movies/Titles/I/Intolerable_Cruelty", "url": "http://www.haro-online.com/movies/intolerable_cruelty.html"} +{"d:Title": "UKHotMovies.com: Intolerable Cruelty", "d:Description": "Review, pictures, and links to trailers.", "topic": "Top/Arts/Movies/Titles/I/Intolerable_Cruelty", "url": "http://www.ukhotmovies.com/reviews/intolerable-cruelty/"} +{"d:Title": "BBC: 'Cruelty' is More than Tolerable", "d:Description": "Offbeat filmmakers The Coen Brothers turn to romantic comedy for their latest effort, Intolerable Cruelty. Review and comments by film-goers.", "topic": "Top/Arts/Movies/Titles/I/Intolerable_Cruelty", "url": "http://news.bbc.co.uk/1/hi/entertainment/reviews/3208073.stm"} +{"d:Title": "All-Reviews.com - Intolerable Cruelty", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/Intolerable_Cruelty", "url": "http://www.all-reviews.com/videos-5/intolerable-cruelty.htm"} +{"d:Title": "TEN Movies: Intolerable Cruelty", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/I/Intolerable_Cruelty", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1052"} +{"d:Title": "Greatest Films - Intolerance (1916)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/I/Intolerance_-_1916", "url": "http://www.filmsite.org/into.html"} +{"d:Title": "IMDb - Intolerance (1916)", "d:Description": "List of cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/I/Intolerance_-_1916", "url": "http://www.imdb.com/title/tt0006864/"} +{"d:Title": "TV Guide Online: Intolerance", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/I/Intolerance_-_1916", "url": "http://www.tvguide.com/movies/intolerance/132137/"} +{"d:Title": "IMDb: Intoxicating (2003)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Intoxicating", "url": "http://www.imdb.com/title/tt0326976/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose's review.", "topic": "Top/Arts/Movies/Titles/I/Into_the_Arms_of_Strangers", "url": "http://www.haro-online.com/movies/into_the_arms.html"} +{"d:Title": "IMDb: Into the Arms of Strangers: Stories of the Kindertransport (2000)", "d:Description": "Plot synopsis, list of the cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Into_the_Arms_of_Strangers", "url": "http://www.imdb.com/title/tt0248912/"} +{"d:Title": "3 Black Chicks...Review Flicks", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Introducing_Dorothy_Dandridge", "url": "http://www.3blackchicks.com/bamsdandr.html"} +{"d:Title": "Trash City : The Intruder", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/I/Intruder,_The", "url": "http://www.trashcity.org/BLITZ/BLIT0802.HTM"} +{"d:Title": "John Hannah Fan Site: The Intruder", "d:Description": "Synopsis and author's comments.", "topic": "Top/Arts/Movies/Titles/I/Intruder,_The", "url": "http://www.johnhannah.net/intruder.html"} +{"d:Title": "IMDb: The Intruder", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Intruder,_The", "url": "http://www.imdb.com/title/tt0187995/"} +{"d:Title": "HARO Online - The Barbarian Invasions", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/I/Invasions_barbares,_Les", "url": "http://www.haro-online.com/movies/barbarian_invasions.html"} +{"d:Title": "IMDb - Invasions barbares, Les (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/I/Invasions_barbares,_Les", "url": "http://www.imdb.com/title/tt0338135/"} +{"d:Title": "Greatest Films - Invasion Of The Body Snatchers (1956)", "d:Description": "Review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/I/Invasion_of_the_Body_Snatchers_-_1956", "url": "http://www.filmsite.org/inva.html"} +{"d:Title": "All-Reviews: Invasion of the Body Snatchers (1956)", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Invasion_of_the_Body_Snatchers_-_1956", "url": "http://all-reviews.com/videos-4/invasion-of-body-snatchers.htm"} +{"d:Title": "The Sci-Fi Movie Page: Invasion of the Body Snatchers", "d:Description": "Three-star movie review.", "topic": "Top/Arts/Movies/Titles/I/Invasion_of_the_Body_Snatchers_-_1956", "url": "http://www.scifimoviepage.com/invasion56.html"} +{"d:Title": "IMDb: Invasion of the Body Snatchers (1956)", "d:Description": "List of cast and crew, plot synopsis and user ratings.", "topic": "Top/Arts/Movies/Titles/I/Invasion_of_the_Body_Snatchers_-_1956", "url": "http://www.imdb.com/title/tt0049366/"} +{"d:Title": "All-Reviews: Inventing the Abbotts", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/Inventing_the_Abbotts", "url": "http://all-reviews.com/videos-4/inventing-the-abbotts.htm"} +{"d:Title": "The New York Times: Inventing the Abbotts.", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/I/Inventing_the_Abbotts", "url": "http://www.nytimes.com/1997/04/04/movies/small-town-pretty-sisters-dream-on.html"} +{"d:Title": "Rotten Tomatoes: Invincible", "d:Description": "Quotes from and links to reviews, plot synopsis, and forum.", "topic": "Top/Arts/Movies/Titles/I/Invincible", "url": "http://www.rottentomatoes.com/m/1110925-invincible/"} +{"d:Title": "IMDb: Invincible", "d:Description": "Cast, crew, plot synopsis, user comments and related links.", "topic": "Top/Arts/Movies/Titles/I/Invincible", "url": "http://www.imdb.com/title/tt0245171/"} +{"d:Title": "World Socialist Web Site: Invincible", "d:Description": "Stefan Steinberg's review.", "topic": "Top/Arts/Movies/Titles/I/Invincible", "url": "http://www.wsws.org/en/articles/2002/01/invi-j26.html"} +{"d:Title": "Metacritic: Invincible", "d:Description": "Quotes from and links to reviews, synopsis, and overall rating.", "topic": "Top/Arts/Movies/Titles/I/Invincible", "url": "http://www.metacritic.com/movie/invincible-2002"} +{"d:Title": "HARO Online", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Invisible_Circus", "url": "http://www.haro-online.com/movies/invisible_circus.html"} +{"d:Title": "Rotten Tomatoes: Invisible Circus", "d:Description": "Reviews, news, trailers, photos, and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/Invisible_Circus", "url": "http://www.rottentomatoes.com/m/invisible_circus/"} +{"d:Title": "IMDb: Invisible Circus (2000)", "d:Description": "List of cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/I/Invisible_Circus", "url": "http://www.imdb.com/title/tt0178642/"} +{"d:Title": "Bright Lights Film Journal", "d:Description": "Review and analysis of the film.", "topic": "Top/Arts/Movies/Titles/I/Invisible_Man,_The_-_1933", "url": "http://www.brightlightsfilm.com/23/universalhorror.html#invisible"} +{"d:Title": "TV Guide Online: The Invisible Man", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/Invisible_Man,_The_-_1933", "url": "http://www.tvguide.com/movies/invisible-man/102204/"} +{"d:Title": "HARO Online: In America", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/I/In_America", "url": "http://www.haro-online.com/movies/in_america.html"} +{"d:Title": "IMDb: In America (2002)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/In_America", "url": "http://www.imdb.com/title/tt0298845/"} +{"d:Title": "Rotten Tomatoes: In America", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/I/In_America", "url": "http://www.rottentomatoes.com/m/in_america/"} +{"d:Title": "Chicago Sun-Times: In America", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/In_America", "url": "http://www.rogerebert.com/reviews/in-america-2003"} +{"d:Title": "All-Reviews.com: In and Out", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/In_and_Out", "url": "http://www.all-reviews.com/videos/in-and-out.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/In_and_Out", "url": "http://crazy4cinema.com/Review/FilmsI/f_inout.html"} +{"d:Title": "Yo! What a Fabulous Window Treatment!", "d:Description": "Critique with MPAA ratings advice and a partial list of cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/I/In_and_Out", "url": "http://www.nytimes.com/1997/09/19/movies/film-review-yo-what-a-fabulous-window-treatment.html"} +{"d:Title": "\"In a Lonely Place\" (1950)", "d:Description": "Review by Brian W. Fairbanks.", "topic": "Top/Arts/Movies/Titles/I/In_a_Lonely_Place", "url": "http://www.angelfire.com/oh2/writer/inalonelyplace.html"} +{"d:Title": "IMDb: In a Lonely Place (1950)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_a_Lonely_Place", "url": "http://www.imdb.com/title/tt0042593/"} +{"d:Title": "IMDb: In Bruges (2008)", "d:Description": "Videos, photo gallery, plot outlines, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/I/In_Bruges", "url": "http://www.imdb.com/title/tt0780536/"} +{"d:Title": "Rotten Tomatoes: In Bruges", "d:Description": "Synopsis, cast list, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/I/In_Bruges", "url": "http://www.rottentomatoes.com/m/in_bruges/"} +{"d:Title": "Moviefone: In Bruges", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/I/In_Bruges", "url": "http://www.moviefone.com/movie/in-bruges/28726/main"} +{"d:Title": "IGN Movies: In Bruges", "d:Description": "Photos, videos, news, and a message board.", "topic": "Top/Arts/Movies/Titles/I/In_Bruges", "url": "http://www.ign.com/movies/in-bruges/theater-876003"} +{"d:Title": "MovieWeb: In Bruges (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/I/In_Bruges", "url": "http://www.movieweb.com/movie/in-bruges"} +{"d:Title": "IMDb: In Cold Blood (1967)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_Cold_Blood", "url": "http://www.imdb.com/title/tt0061809/"} +{"d:Title": "TV Guide Online: In Cold Blood", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/In_Cold_Blood", "url": "http://www.tvguide.com/movies/cold-blood/101968/"} +{"d:Title": "HARO Online", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/In_Crowd,_The", "url": "http://www.haro-online.com/movies/in_crowd.html"} +{"d:Title": "Rotten Tomatoes: The In Crowd", "d:Description": "Reviews, synopsis, trailer, photos, and cast information.", "topic": "Top/Arts/Movies/Titles/I/In_Crowd,_The", "url": "http://www.rottentomatoes.com/m/1098652-in_crowd/"} +{"d:Title": "IMDb: The In Crowd (2000)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_Crowd,_The", "url": "http://www.imdb.com/title/tt0163676/"} +{"d:Title": "IMDb: In Dreams", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_Dreams", "url": "http://www.imdb.com/title/tt0120710/"} +{"d:Title": "IMDb - In His Father's Shoes (1997)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/I/In_His_Father's_Shoes", "url": "http://www.imdb.com/title/tt0119358/"} +{"d:Title": "TV Guide Online: In His Father's Shoes", "d:Description": "Review by Charles Cassady Jr. (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/I/In_His_Father's_Shoes", "url": "http://www.tvguide.com/movies/fathers-shoes/133064/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review of the film and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/In_Love_and_War", "url": "http://crazy4cinema.com/Review/FilmsI/f_inlovewar.html"} +{"d:Title": "IMDb: In Love and War", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_Love_and_War", "url": "http://www.imdb.com/title/tt0116621/"} +{"d:Title": "Internet Movie Database: Dans ma peau", "d:Description": "Plot outline, cast and crew, reviews, user comments and ratings, trailer, distribution information, and links.", "topic": "Top/Arts/Movies/Titles/I/In_My_Skin", "url": "http://imdb.com/title/tt0337961/"} +{"d:Title": "HARO Online: In My Skin", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/I/In_My_Skin", "url": "http://www.haro-online.com/movies/in_my_skin.html"} +{"d:Title": "The Melancholy World Weariness of Godard's Twilight Work", "d:Description": "A review by A. O. Scott for the New York Times [free registration necessary].", "topic": "Top/Arts/Movies/Titles/I/In_Praise_of_Love", "url": "http://www.nytimes.com/2001/10/13/movies/13PRAI.html"} +{"d:Title": "Godard in Autumn, Analyzing America", "d:Description": "Stuart Klawans discusses the movie, with references to other works of the director. New York Times [free registration necessary].", "topic": "Top/Arts/Movies/Titles/I/In_Praise_of_Love", "url": "http://www.nytimes.com/2002/09/01/movies/01KLAW.html"} +{"d:Title": "HARO Online: In Praise of Love", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/I/In_Praise_of_Love", "url": "http://www.haro-online.com/movies/in_praise_of_love.html"} +{"d:Title": "Past Imperfect", "d:Description": "A review by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/I/In_Praise_of_Love", "url": "http://www.chicagoreader.com/movies/archives/2002/1002/021018.html"} +{"d:Title": "All-Reviews.com - In the Bedroom", "d:Description": "Reviews from various critics.", "topic": "Top/Arts/Movies/Titles/I/In_the_Bedroom", "url": "http://www.all-reviews.com/videos-4/in-the-bedroom.htm"} +{"d:Title": "BBC Film: In the Bedroom", "d:Description": "Review by Neil Smith, accompanied by cast and credits, users' ratings, and photos.", "topic": "Top/Arts/Movies/Titles/I/In_the_Bedroom", "url": "http://www.bbc.co.uk/films/2002/01/15/in_the_bedroom_2002_review.shtml"} +{"d:Title": "HARO Online", "d:Description": "Mongoose's review accompanied by photos.", "topic": "Top/Arts/Movies/Titles/I/In_the_Bedroom", "url": "http://www.haro-online.com/movies/in_the_bedroom.html"} +{"d:Title": "About.com: \"In the Bedroom\"", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/In_the_Bedroom", "url": "http://homevideo.about.com/library/weekly/aafpr082102.htm"} +{"d:Title": "EW.com: In the Bedroom", "d:Description": "Review of the film by Bruce Fretts.", "topic": "Top/Arts/Movies/Titles/I/In_the_Bedroom", "url": "http://www.ew.com/ew/article/0,,335195~2|27203~0~inbedroom,00.html"} +{"d:Title": "IMDb: In the Bedroom", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_the_Bedroom", "url": "http://www.imdb.com/title/tt0247425/"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/I/In_the_Bedroom", "url": "http://www.metacritic.com/movie/in-the-bedroom"} +{"d:Title": "Crazy for Cinema: In the Company of Men", "d:Description": "Synopsis of the film.", "topic": "Top/Arts/Movies/Titles/I/In_the_Company_of_Men", "url": "http://crazy4cinema.com/Review/FilmsI/f_companyofmen.html"} +{"d:Title": "AllReaders.com Review - In The Company of Men", "d:Description": "Review of the film's plot, characters, structure, and setting.", "topic": "Top/Arts/Movies/Titles/I/In_the_Company_of_Men", "url": "http://allreaders.com/movie-review-summary/in-the-company-of-men-4363"} +{"d:Title": "HARO Online: In the Cut", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/I/In_the_Cut", "url": "http://www.haro-online.com/movies/in_the_cut.html"} +{"d:Title": "Internet Movie Database: In the Cut", "d:Description": "Synopsis, cast and crew, user ratings and comments, reviews, production and distribution information, trivia, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/I/In_the_Cut", "url": "http://imdb.com/title/tt0199626/"} +{"d:Title": "In The Cut", "d:Description": "Official site with preview clips, trailer, and links.", "topic": "Top/Arts/Movies/Titles/I/In_the_Cut", "url": "http://www.sonypictures.com/movies/inthecut/"} +{"d:Title": "All-Reviews.com - In the Cut", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/In_the_Cut", "url": "http://www.all-reviews.com/videos-5/in-the-cut.htm"} +{"d:Title": "In The Heat Of The Night (1967)", "d:Description": "Review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/I/In_the_Heat_of_the_Night", "url": "http://filmsite.org/inth.html"} +{"d:Title": "TV Guide Online: In the Heat of the Night", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/In_the_Heat_of_the_Night", "url": "http://www.tvguide.com/movies/heat-night/102015/"} +{"d:Title": "IMDb: In the Hood (1998)", "d:Description": "List of the cast and crew, company credits, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_the_Hood", "url": "http://www.imdb.com/title/tt0164058/"} +{"d:Title": "All-Reviews.com: In the Line of Fire", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/In_the_Line_of_Fire", "url": "http://www.all-reviews.com/videos-4/in-line-of-fire.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/In_the_Mood_for_Love", "url": "http://www.all-reviews.com/videos-2/in-mood-for-love.htm"} +{"d:Title": "Coldbacon Review: In the Mood For Love", "d:Description": "Review of the film with images.", "topic": "Top/Arts/Movies/Titles/I/In_the_Mood_for_Love", "url": "http://www.coldbacon.com/movies/wkw-inthemood.html"} +{"d:Title": "TheStranger.com: Holes in the Wall", "d:Description": "A movie review on \"In the Mood for Love\" written by Charles Mudede.", "topic": "Top/Arts/Movies/Titles/I/In_the_Mood_for_Love", "url": "http://www.thestranger.com/seattle/holes-in-the-wall/Content?oid=6522"} +{"d:Title": "World Socialist Web Site: In the Mood of Love", "d:Description": "A letter on the \"World Socialist Web Site\" review of the film \"In the Mood for Love\", followed by a reply.", "topic": "Top/Arts/Movies/Titles/I/In_the_Mood_for_Love", "url": "http://www.wsws.org/en/articles/2001/05/corr-m21.html"} +{"d:Title": "IMDb: In the Name of the Father", "d:Description": "Provides cast, crew, synospis and users' comments.", "topic": "Top/Arts/Movies/Titles/I/In_the_Name_of_the_Father", "url": "http://www.imdb.com/title/tt0107207/"} +{"d:Title": "Chicago Sun Times: In the Name of the Father", "d:Description": "Review of the film written by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/I/In_the_Name_of_the_Father", "url": "http://www.rogerebert.com/reviews/in-the-name-of-the-father-1994"} +{"d:Title": "IMDb: In the Shadow of the Moon (2007)", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/I/In_the_Shadow_of_the_Moon", "url": "http://www.imdb.com/title/tt0925248/"} +{"d:Title": "Rotten Tomatoes: In the Shadow of the Moon", "d:Description": "Review links, synopsis, credits, photos, news, and a forum.", "topic": "Top/Arts/Movies/Titles/I/In_the_Shadow_of_the_Moon", "url": "http://www.rottentomatoes.com/m/1174399-in_the_shadow_of_the_moon/"} +{"d:Title": "MovieWeb: In the Shadow of the Moon (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/I/In_the_Shadow_of_the_Moon", "url": "http://www.movieweb.com/movie/in-the-shadow-of-the-moon"} +{"d:Title": "In The Wake", "d:Description": "Official site includes details of the cast, crew, director and soundtrack as well as a trailer. Flash required.", "priority": "1", "topic": "Top/Arts/Movies/Titles/I/In_the_Wake", "url": "http://www.inthewake.com/"} +{"d:Title": "IMDb: In the Wake", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_the_Wake", "url": "http://www.imdb.com/title/tt0271561/"} +{"d:Title": "Winterbottom's Quest to Show Modern Migration", "d:Description": "Background report on the making of the movie by Stephen Dowling for BBC.", "topic": "Top/Arts/Movies/Titles/I/In_This_World", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/2488271.stm"} +{"d:Title": "Little Boy Lost", "d:Description": "A report on idea, story line and shooting of the film. The Guardian.", "topic": "Top/Arts/Movies/Titles/I/In_This_World", "url": "http://www.theguardian.com/culture/2003/feb/28/artsfeatures.immigration"} +{"d:Title": "IMDb: In This World", "d:Description": "Synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/I/In_This_World", "url": "http://www.imdb.com/title/tt0310154/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/I/In_Too_Deep_-_1999", "url": "http://www.rottentomatoes.com/m/1092437-in_too_deep/"} +{"d:Title": "IMDb: In Too Deep (1999)", "d:Description": "List of the cast and crew, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/I/In_Too_Deep_-_1999", "url": "http://www.imdb.com/title/tt0160401/"} +{"d:Title": "TV Guide Online: In Too Deep", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/In_Too_Deep_-_1999", "url": "http://www.tvguide.com/movies/deep/134167/"} +{"d:Title": "IMDb - The Ipcress File (1965)", "d:Description": "Plot synopsis, list of cast and crew, and user ratings.", "topic": "Top/Arts/Movies/Titles/I/Ipcress_File,_The", "url": "http://www.imdb.com/title/tt0059319/"} +{"d:Title": "TV Guide Online: The Ipcress File", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/I/Ipcress_File,_The", "url": "http://www.tvguide.com/movies/ipcress-file/102224/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose's review of the film and images.", "topic": "Top/Arts/Movies/Titles/I/Iris", "url": "http://www.haro-online.com/movies/iris.html"} +{"d:Title": "All-Reviews.com - Iris", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/Iris", "url": "http://www.all-reviews.com/videos-4/iris.htm"} +{"d:Title": "Slant Magazine: Iris", "d:Description": "Review of the DVD by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/I/Iris", "url": "http://www.slantmagazine.com/dvd/dvd_review.asp?ID=37"} +{"d:Title": "Guardian Unlimited: Iris", "d:Description": "Philip French's review.", "topic": "Top/Arts/Movies/Titles/I/Iris", "url": "http://www.theguardian.com/film/2002/jan/20/philipfrench"} +{"d:Title": "IMDb: Iris (2001)", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/I/Iris", "url": "http://www.imdb.com/title/tt0280778/"} +{"d:Title": "Washington Post - Aces - Iron Eagle 3", "d:Description": "Review by Richard Harrington (negative).", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Aces_-_Iron_Eagle_III", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/acesironeagle3rharrington_a0ab2d.htm"} +{"d:Title": "IMDb - Aces - Iron Eagle III (1992)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Aces_-_Iron_Eagle_III", "url": "http://www.imdb.com/title/tt0103617/"} +{"d:Title": "Cinebooks Database - Aces - Iron Eagle III", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Aces_-_Iron_Eagle_III", "url": "http://www.tvguide.com/movies/aces-iron-eagle-iii/128764/"} +{"d:Title": "IMDb - Iron Eagle (1986)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Iron_Eagle", "url": "http://www.imdb.com/title/tt0091278/"} +{"d:Title": "IMDb - Iron Eagle II (1988)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Iron_Eagle_II", "url": "http://www.imdb.com/title/tt0095382/"} +{"d:Title": "TV Guide Online: Iron Eagle II", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Iron_Eagle_II", "url": "http://www.tvguide.com/movies/iron-eagle-ii/127053/"} +{"d:Title": "IMDb - Iron Eagle IV (1995)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Iron_Eagle_IV", "url": "http://www.imdb.com/title/tt0113438/"} +{"d:Title": "TV Guide Online: Iron Eagle IV", "d:Description": "Review by Robert Pardi (negative), rating.", "topic": "Top/Arts/Movies/Titles/I/Iron_Eagle_Series/Iron_Eagle_IV", "url": "http://www.tvguide.com/movies/iron-eagle-iv/131748/"} +{"d:Title": "Iron Jawed Angels", "d:Description": "Official site with news, photos, production notes, director's biography, links, and forum.", "topic": "Top/Arts/Movies/Titles/I/Iron_Jawed_Angels", "url": "http://iron-jawed-angels.com/"} +{"d:Title": "IMDb: Iron Jawed Angels (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/Iron_Jawed_Angels", "url": "http://www.imdb.com/title/tt0338139/"} +{"d:Title": "Rotten Tomatoes: Iron Jawed Angels", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/I/Iron_Jawed_Angels", "url": "http://www.rottentomatoes.com/m/iron_jawed_angels/"} +{"d:Title": "HARO Online: Iron Ladies", "d:Description": "Mongoose's review and images.", "topic": "Top/Arts/Movies/Titles/I/Iron_Ladies,_The", "url": "http://www.haro-online.com/movies/iron_ladies.html"} +{"d:Title": "Rotten Tomatoes: Iron Man", "d:Description": "Synopsis, cast members, photos, videos, showtimes, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/I/Iron_Man_Series/Iron_Man", "url": "http://www.rottentomatoes.com/m/iron_man/"} +{"d:Title": "Cinema Blend: Iron Man", "d:Description": "Preview, by Josh Tyler: \"Jon Favreau and his team have done the impossible: they've managed to find a way to make his Iron Man movie matter.\"", "topic": "Top/Arts/Movies/Titles/I/Iron_Man_Series/Iron_Man", "url": "http://www.cinemablend.com/previews/Iron-Man-1877.html"} +{"d:Title": "IMDb: Iron Man", "d:Description": "Synopsis, image gallery, trailers, news articles, cast and credits.", "topic": "Top/Arts/Movies/Titles/I/Iron_Man_Series/Iron_Man", "url": "http://www.imdb.com/title/tt0371746/"} +{"d:Title": "IGN Movies: Iron Man", "d:Description": "Photos, videos, news, features, mailbags, previews, and a message board.", "topic": "Top/Arts/Movies/Titles/I/Iron_Man_Series/Iron_Man", "url": "http://www.ign.com/movies/iron-man/theater-34317"} +{"d:Title": "MovieWeb: Iron Man (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/I/Iron_Man_Series/Iron_Man", "url": "http://www.movieweb.com/movie/iron-man"} +{"d:Title": "HARO Online: Iron Monkey", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/I/Iron_Monkey", "url": "http://www.haro-online.com/movies/iron_monkey.html"} +{"d:Title": "All-Reviews: Iron Monkey", "d:Description": "Various critics offer their opinions.", "topic": "Top/Arts/Movies/Titles/I/Iron_Monkey", "url": "http://www.all-reviews.com/videos-3/iron-monkey.htm"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/I/Iron_Monkey", "url": "http://www.metacritic.com/movie/iron-monkey"} +{"d:Title": "DreamLogic: Irreversible", "d:Description": "Review by Chris Nelson.", "topic": "Top/Arts/Movies/Titles/I/Irreversible", "url": "http://dreamlogic.net/REVIEWS/irreversible.html"} +{"d:Title": "IMDb: Irreversible (2002)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/I/Irreversible", "url": "http://www.imdb.com/title/tt0290673/"} +{"d:Title": "Rotten Tomatoes: Irreversible", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/I/Irreversible", "url": "http://www.rottentomatoes.com/m/irreversible/"} +{"d:Title": "Chicago Sun-Times: Irreversible", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/Irreversible", "url": "http://www.rogerebert.com/reviews/irreversible-2003"} +{"d:Title": "IMDb: The Island", "d:Description": "Offers cast, crew, trivia, goofs, quotes and information.", "topic": "Top/Arts/Movies/Titles/I/Island,_The", "url": "http://www.imdb.com/title/tt0399201/"} +{"d:Title": "Box Office Mojo: The Island", "d:Description": "Summary of box office results, charts and release information.", "topic": "Top/Arts/Movies/Titles/I/Island,_The", "url": "http://www.boxofficemojo.com/movies/?id=island05.htm"} +{"d:Title": "Wikipedia: The Island", "d:Description": "Plot, cast, controversy, lawsuit and trivia.", "topic": "Top/Arts/Movies/Titles/I/Island,_The", "url": "http://en.wikipedia.org/wiki/The_Island_(2005_film)"} +{"d:Title": "ReelViews: The Island", "d:Description": "Review by James Berardinelli. \"This is a non-interactive video game demo crossed with an amusement park ride.\"", "topic": "Top/Arts/Movies/Titles/I/Island,_The", "url": "http://www.reelviews.net/reelviews/island-the"} +{"d:Title": "All-Reviews.com - The Island of Dr. Moreau", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Island_of_Dr._Moreau,_The", "url": "http://www.all-reviews.com/videos-3/island-dr-moreau.htm"} +{"d:Title": "IMDb: The Island of Dr. Moreau", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/Island_of_Dr._Moreau,_The", "url": "http://www.imdb.com/title/tt0116654/"} +{"d:Title": "Rotten Tomatoes - Isn't She Great", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/I/Isn't_She_Great", "url": "http://www.rottentomatoes.com/m/isnt_she_great/"} +{"d:Title": "IMDb: Isn't She Great", "d:Description": "List of the cast and crew, plot synopsis and user ratings.", "topic": "Top/Arts/Movies/Titles/I/Isn't_She_Great", "url": "http://www.imdb.com/title/tt0141399/"} +{"d:Title": "Allreaders Review: Is Paris Burning?", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/Is_Paris_Burning", "url": "http://allreaders.com/movie-review-summary/is-paris-burning-6515"} +{"d:Title": "\"It's A Mad, Mad, Mad, Mad World\" at the Villa", "d:Description": "Information on the film's opening at the Villa Theatre in Salt Lake City, Utah on Wednesday, 19 February 1964. Includes newspaper ads and articles about the event.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Mad_Mad_Mad_Mad_World", "url": "http://villatheatre.com/history/1964/MadIndex.html"} +{"d:Title": "Imperial Webpages: It's a Mad, Mad, Mad, Mad World", "d:Description": "A collection of film clips focusing on the use of a 1962 Chrysler Imperial convertible in the movie.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Mad_Mad_Mad_Mad_World", "url": "http://www.imperialclub.com/Movies/Mad/index.htm"} +{"d:Title": "Rotten Tomatoes: It's a Mad, Mad, Mad, Mad World (1963)", "d:Description": "Reviews from critics and audiences, articles, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Mad_Mad_Mad_Mad_World", "url": "http://www.rottentomatoes.com/m/its_a_mad_mad_mad_mad_world/"} +{"d:Title": "MGM Movie Database: It's A Mad, Mad, Mad, Mad World", "d:Description": "Includes cast and crew information and multimedia such as trailer, audio clips, and photos.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Mad_Mad_Mad_Mad_World", "url": "http://www.mgm.com/title_title.php?title_star=ITSAMADM"} +{"d:Title": "Yahoo! Movies: It's a Mad, Mad, Mad, Mad World (1963)", "d:Description": "Cast and credits, user ratings and reviews, and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Mad_Mad_Mad_Mad_World", "url": "http://movies.yahoo.com/movie/its-a-mad-mad-mad-mad-world/"} +{"d:Title": "IMDb: It's a Mad Mad Mad Mad World (1963)", "d:Description": "Cast and credits, user ratings, and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Mad_Mad_Mad_Mad_World", "url": "http://www.imdb.com/title/tt0057193/"} +{"d:Title": "TV Guide Online: It's a Mad, Mad, Mad, Mad World", "d:Description": "Includes a review, cast and crew credits, and awards.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Mad_Mad_Mad_Mad_World", "url": "http://www.tvguide.com/movies/mad-mad-mad-mad-world/102377/"} +{"d:Title": "Greatest Films: It's A Wonderful Life (1946)", "d:Description": "Review, plot synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://www.filmsite.org/itsa.html"} +{"d:Title": "The It's A Wonderful Life Webring", "d:Description": "A directory of sites related to the film.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://www.memorableplaces.com/iawlring/iawlringmain.html"} +{"d:Title": "It's A Wonderful Life (1946)", "d:Description": "Erik Weems' notes on \"one of the bleakest... films ever made.\"", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://eeweems.com/capra/_wonderful_life.html"} +{"d:Title": "Rotten Tomatoes: It's a Wonderful Life", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://www.rottentomatoes.com/m/1010792-its_a_wonderful_life/"} +{"d:Title": "Reel Classics: It's a Wonderful Life", "d:Description": "Cast and crew, quotes, audio, and pictures.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://www.reelclassics.com/Movies/Wonlife/wonlife.htm"} +{"d:Title": "Jimmy Stewart, It's A Wonderful Life", "d:Description": "Short biography, filmography, credits and review.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://nana43.tripod.com/jimmy.html"} +{"d:Title": "IMDb - It's a Wonderful Life (1946)", "d:Description": "Cast and crew list, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://www.imdb.com/title/tt0038650/"} +{"d:Title": "AllReaders.com Review - It's a Wonderful Life", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://allreaders.com/movie-review-summary/its-wonderful-life-3754"} +{"d:Title": "TV Guide Online: It's A Wonderful Life", "d:Description": "Review, plot synopsis, and cast.", "topic": "Top/Arts/Movies/Titles/I/It's_a_Wonderful_Life", "url": "http://www.tvguide.com/movies/its-a-wonderful-life/102384/"} +{"d:Title": "IMDb - It's Good to Be Alive (1974)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/I/It's_Good_to_Be_Alive", "url": "http://www.imdb.com/title/tt0071676/combined"} +{"d:Title": "It's In The Water", "d:Description": "Quotes, images, trivia, and news.", "topic": "Top/Arts/Movies/Titles/I/It's_in_the_Water", "url": "http://charmed.freehosting.net/water.htm"} +{"d:Title": "HARO Online: Italian for Beginners", "d:Description": "Images, review and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/Italian_for_Beginners", "url": "http://www.haro-online.com/movies/italian_for_beginners.html"} +{"d:Title": "All-Reviews.com - Italian for Beginners", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/I/Italian_for_Beginners", "url": "http://www.all-reviews.com/videos-4/italian-for-beginners.htm"} +{"d:Title": "Chicago Sun-Times: Italian For Beginners", "d:Description": "Roger Ebert's review of the film.", "topic": "Top/Arts/Movies/Titles/I/Italian_for_Beginners", "url": "http://www.rogerebert.com/reviews/italian-for-beginners-2002"} +{"d:Title": "San Francisco Chronicle: Italian For Beginners", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/Italian_for_Beginners", "url": "http://www.sfgate.com/movies/article/Italian-a-sweet-surprise-2878282.php"} +{"d:Title": "Codesign - The Italian Job", "d:Description": "Plot synopsis, stars of the film plus speech samples and songs.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_1969", "url": "http://www.codesign.it/codework/italian/"} +{"d:Title": "IMDb - The Italian Job (1969)", "d:Description": "Cast and crew list, plot synopsis and user ratings.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_1969", "url": "http://www.imdb.com/title/tt0064505/"} +{"d:Title": "Allreaders Review - The Italian Job", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_1969", "url": "http://allreaders.com/movie-review-summary/the-italian-job-3847"} +{"d:Title": "Internet Movie Database: Italian Job, The", "d:Description": "Plot summary, cast and crew, reviews, user ratings and comments, quotations, trivia, soundtrack listing, merchandising details, production and distribution information, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://imdb.com/title/tt0317740/"} +{"d:Title": "HARO Online: The Italian Job", "d:Description": "Haro reviews the remake: \"The problem is that there is a little more nothing than usual, so this film is immediately forgettable.\"", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.haro-online.com/movies/italian_job.html"} +{"d:Title": "All-Reviews.com - The Italian Job", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.all-reviews.com/videos-5/italian-job.htm"} +{"d:Title": "New York Times - The Italian Job", "d:Description": "Stephen Holden analyzes the sleek, ticking remake of the 1969 film starring Michael Caine and No\u00ebl Coward.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.nytimes.com/2003/05/30/movies/30ITAL.html"} +{"d:Title": "OregonLive.com - The Italian Job", "d:Description": "Kim Morgan reviews the remake of F. Gary Gray's movie, a solid, though not exceptional, heist film.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.oregonlive.com/enter/index.ssf?/movies/oregonian/index.ssf%3F/base/entertainment/1054209742265790.xml"} +{"d:Title": "Rotten Tomatoes: The Italian Job (2003)", "d:Description": "Links to reviews, photographs, the trailer, and a discussion forum.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.rottentomatoes.com/m/italian_job/"} +{"d:Title": "Seeing Stars: The Italian Job", "d:Description": "Filming location details for the film, with photographs, addresses and map links.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.seeing-stars.com/Locations/ItalianJob1.shtml"} +{"d:Title": "Seattle Post Intelligencer - Theron Worth Her Weight in Gold in 'The Italian Job'", "d:Description": "William Arnold reviews the movie and the performance of actress Charlize Theron.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.seattlepi.com/ae/movies/article/Theron-worth-her-weight-in-gold-in-The-Italian-1115833.php"} +{"d:Title": "New York Movies - The Italian Job", "d:Description": "Peter Rainer reviewing the elaborate techno-heist thriller.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://nymag.com/nymetro/movies/reviews/n_8755/"} +{"d:Title": "San Francisco Chronicle - Rip-Off Artistry", "d:Description": "Mick LaSalle reviews the movie, about Sutherland leading quirky crooks through gripping Venetian heist in 'The Italian Job'.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.sfgate.com/movies/article/Rip-off-artistry-Sutherland-leads-quirky-crooks-2644617.php"} +{"d:Title": "Chicago Sun-Times - The Italian Job", "d:Description": "Roger Ebert presents a film directed by F. Gary Gray.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.rogerebert.com/reviews/the-italian-job-2003"} +{"d:Title": "Slate - Another Heist Movie", "d:Description": "The inspired clich\u00e9s of The Italian Job - a film review by David Edelstein.", "topic": "Top/Arts/Movies/Titles/I/Italian_Job,_The_-_2003", "url": "http://www.slate.com/articles/arts/movies/2003/05/another_heist_movie.html"} +{"d:Title": "IMDb: It (1927)", "d:Description": "Cast and crew list, plot synopsis and user ratings.", "topic": "Top/Arts/Movies/Titles/I/It_-_1927", "url": "http://www.imdb.com/title/tt0018033/"} +{"d:Title": "TV Guide Online: It", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/I/It_-_1927", "url": "http://www.tvguide.com/movies/it/132134/"} +{"d:Title": "IMdb: It (1990) (TV)", "d:Description": "Cast and crew list, plot synopsis and user ratings.", "topic": "Top/Arts/Movies/Titles/I/It_-_1990", "url": "http://www.imdb.com/title/tt0099864/"} +{"d:Title": "IMDb: It Couldn't Happen Here", "d:Description": "Synopsis, reviews, cast and soundtrack listings.", "topic": "Top/Arts/Movies/Titles/I/It_Couldn't_Happen_Here", "url": "http://www.imdb.com/title/tt0093284/"} +{"d:Title": "All-Reviews.com - It Could Happen to You", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/I/It_Could_Happen_to_You", "url": "http://www.all-reviews.com/videos-2/it-could-happen-to-you.htm"} +{"d:Title": "IMDb: It Could Happen to You (1994)", "d:Description": "Offers a cast list, trailer, and plot summary.", "topic": "Top/Arts/Movies/Titles/I/It_Could_Happen_to_You", "url": "http://www.imdb.com/title/tt0110167/"} +{"d:Title": "IMDb - It Happened in Brooklyn (1947)", "d:Description": "Cast, credits, production details.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_in_Brooklyn", "url": "http://www.imdb.com/title/tt0039501/"} +{"d:Title": "TV Guide Online: It Happened in Brooklyn", "d:Description": "Unsigned review (positive) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_in_Brooklyn", "url": "http://www.tvguide.com/movies/happened-brooklyn/102326/"} +{"d:Title": "IMDb - It Happened in Flatbush (1942)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_in_Flatbush", "url": "http://www.imdb.com/title/tt0034905/combined"} +{"d:Title": "Cinebooks Database - It Happened in Flatbush", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_in_Flatbush", "url": "http://www.tvguide.com/movies/happened-flatbush/102328/"} +{"d:Title": "It Happened One Night (1934)", "d:Description": "Review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_One_Night", "url": "http://www.filmsite.org/itha.html"} +{"d:Title": "It Happened One Night (1934)", "d:Description": "Erik Weems' notes on the film.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_One_Night", "url": "http://eeweems.com/capra/_it_happened_one_night.html"} +{"d:Title": "IMDb - It Happened One Night", "d:Description": "Cast and crew list, plot synopsis and user ratings.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_One_Night", "url": "http://www.imdb.com/title/tt0025316/"} +{"d:Title": "TV Guide Online: It Happened One Night", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/I/It_Happened_One_Night", "url": "http://www.tvguide.com/movies/happened-night/102337/"} +{"d:Title": "Rotten Tomatoes: It Runs in the Family", "d:Description": "Includes reviews, synopsis, trailer, photographs, news and articles.", "topic": "Top/Arts/Movies/Titles/I/It_Runs_in_the_Family", "url": "http://www.rottentomatoes.com/m/it_runs_in_the_family/"} +{"d:Title": "It Runs In The Family", "d:Description": "Official site by MGM. Synopsis, cast and crew, trailer and image gallery.", "topic": "Top/Arts/Movies/Titles/I/It_Runs_in_the_Family", "url": "http://www.mgm.com/title_title.php?title_star=SMACKINP"} +{"d:Title": "Yahoo! Movies: It Runs in the Family", "d:Description": "Includes synopsis, credits, reviews, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/I/It_Runs_in_the_Family", "url": "http://movies.yahoo.com/movie/it-runs-in-the-family/"} +{"d:Title": "Internet Movie Database: It Runs in the Family", "d:Description": "Contains reviews, plot summary, trailer and image gallery.", "topic": "Top/Arts/Movies/Titles/I/It_Runs_in_the_Family", "url": "http://www.imdb.com/title/tt0311110/"} +{"d:Title": "IMDb: Ivanhoe", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/I/Ivanhoe", "url": "http://www.imdb.com/title/tt0044760/"} +{"d:Title": "Allreaders Review: Ivanhoe", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/I/Ivanhoe", "url": "http://allreaders.com/movie-review-summary/ivanhoe-1982-3924"} +{"d:Title": "I Am a Fugitive From a Chain Gang (1932)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/I/I_Am_a_Fugitive_from_a_Chain_Gang", "url": "http://www.imdb.com/title/tt0023042/"} +{"d:Title": "I Am a Fugitive From a Chain Gang (1932)", "d:Description": "Extensive review by Tim Dirks of the \"gritty, uncompromising, critical, and combative\" film.", "topic": "Top/Arts/Movies/Titles/I/I_Am_a_Fugitive_from_a_Chain_Gang", "url": "http://www.filmsite.org/iama.html"} +{"d:Title": "AllMovie: I Am a Fugitive From a Chain Gang", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/I/I_Am_a_Fugitive_from_a_Chain_Gang", "url": "http://www.allmovie.com/movie/i-am-a-fugitive-from-a-chain-gang-v24013"} +{"d:Title": "I Am a Fugitive From a Chain Gang", "d:Description": "TV Guide Online review calling \"one of the toughest movies ever made.\"", "topic": "Top/Arts/Movies/Titles/I/I_Am_a_Fugitive_from_a_Chain_Gang", "url": "http://www.tvguide.com/movies/fugitive-chain-gang/101566/"} +{"d:Title": "Rotten Tomatoes: I Am Cuba", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Cuba", "url": "http://www.rottentomatoes.com/m/i_am_cuba/"} +{"d:Title": "IMDb: I Am Cuba (Soy Cuba/Ya Kuba) (1964)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Cuba", "url": "http://www.imdb.com/title/tt0058604/"} +{"d:Title": "Chicago Sun-Times: I Am Cuba", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Cuba", "url": "http://www.rogerebert.com/reviews/i-am-cuba-1995"} +{"d:Title": "TV Guide Online: I Am Cuba", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Cuba", "url": "http://www.tvguide.com/movies/cuba/130497/"} +{"d:Title": "Wikipedia: I Am Legend", "d:Description": "Plot, production and release information.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Legend", "url": "http://en.wikipedia.org/wiki/I_Am_Legend_(film)"} +{"d:Title": "IMDb: I Am Legend", "d:Description": "Information, overview, cast, trivia, goofs, quotes and user comments.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Legend", "url": "http://www.imdb.com/title/tt0480249/"} +{"d:Title": "Box Office Mojo: I Am Legend", "d:Description": "Box office results, charts and release information.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Legend", "url": "http://www.boxofficemojo.com/movies/?id=iamlegend.htm"} +{"d:Title": "ReelViews: I Am Legend", "d:Description": "Review by James Berardinelli. \"Science fiction fans hoping for a faithful adaptation of Matheson's novel will be disappointed.\"", "topic": "Top/Arts/Movies/Titles/I/I_Am_Legend", "url": "http://www.reelviews.net/reelviews/i-am-legend"} +{"d:Title": "All-Reviews.com: I Am Sam", "d:Description": "User-submitted reviews of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Sam", "url": "http://all-reviews.com/videos-4/i-am-sam.htm"} +{"d:Title": "HARO Online: I Am Sam", "d:Description": "Review accompanied by film stills.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Sam", "url": "http://www.haro-online.com/movies/i_am_sam.html"} +{"d:Title": "Rotten Tomatoes: I Am Sam", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Sam", "url": "http://www.rottentomatoes.com/m/i_am_sam/"} +{"d:Title": "IMDb: I Am Sam (2001)", "d:Description": "Cast and crew, user comments, external and newsgroup reviews, awards and nominations, plot summary, trivia, soundtrack listing, promotional material and film details.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Sam", "url": "http://www.imdb.com/title/tt0277027/"} +{"d:Title": "Chicago Sun-Times: I Am Sam", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Sam", "url": "http://www.rogerebert.com/reviews/i-am-sam-2002"} +{"d:Title": "I am Sam Official Movie Site", "d:Description": "Trailer wallpaper, screensavers, image gallery, soundtrack samples, cast and plot details. Requires Flash.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Sam", "url": "http://www.warnerbros.com/i-am-sam"} +{"d:Title": "HARO Online", "d:Description": "Mongoose's review of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Trying_to_Break_Your_Heart", "url": "http://www.haro-online.com/movies/i_am_trying_to.html"} +{"d:Title": "Metacritic: I am Trying to Break Your Heart", "d:Description": "Quotes from and links to reviews, viewer comments, and an overall score.", "topic": "Top/Arts/Movies/Titles/I/I_Am_Trying_to_Break_Your_Heart", "url": "http://www.metacritic.com/movie/i-am-trying-to-break-your-heart"} +{"d:Title": "BBC Films: I Capture the Castle", "d:Description": "Review, cast and crew, and interviews.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://www.bbc.co.uk/films/2003/04/30/i_capture_the_castle_2003_review.shtml"} +{"d:Title": "BBC News: Castle captures movie shoot", "d:Description": "A romantic new Brit flick filmed near Tenby is the latest attracted to Wales' historic sites.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://news.bbc.co.uk/1/hi/wales/south_west/2982591.stm"} +{"d:Title": "HARO Online: I Capture the Castle", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://www.haro-online.com/movies/i_capture_the_castle.html"} +{"d:Title": "BBC News: Newsnight Review - I Capture The Castle", "d:Description": "Newsnight Review discussed the film I Capture The Castle adapted from the book by Dodie Smith.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://news.bbc.co.uk/1/hi/programmes/newsnight/review/3020601.stm"} +{"d:Title": "Yahoo! Movies: I Capture the Castle", "d:Description": "Synopsis, cast and crew, reviews, and links.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://movies.yahoo.com/movie/i-capture-the-castle/"} +{"d:Title": "The Guardian: 'I Capture the Castle' comes to the screen", "d:Description": "Disney made her Dalmatians famous and now Dodie Smith's I Capture the Castle, a favourite of JK Rowling, is finally appearing on the big screen. The story behind the film, by Sue Summers.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://www.theguardian.com/books/2003/apr/06/booksforchildrenandteenagers.features"} +{"d:Title": "The Guardian: I Capture the Castle", "d:Description": "Review by Peter Bradshaw.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://www.theguardian.com/culture/2003/may/09/artsfeatures3"} +{"d:Title": "IMDb: I Capture the Castle", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/I/I_Capture_the_Castle", "url": "http://www.imdb.com/title/tt0300015/"} +{"d:Title": "IMDb: I Could Never Be Your Woman (2007)", "d:Description": "Photos, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/I/I_Could_Never_Be_Your_Woman", "url": "http://www.imdb.com/title/tt0466839/"} +{"d:Title": "Rotten Tomatoes: I Could Never Be Your Woman", "d:Description": "Synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/I/I_Could_Never_Be_Your_Woman", "url": "http://www.rottentomatoes.com/m/i_could_never_be_your_woman/"} +{"d:Title": "MovieWeb: I Could Never Be Your Woman (2008)", "d:Description": "Summary, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/I/I_Could_Never_Be_Your_Woman", "url": "http://www.movieweb.com/movie/i-could-never-be-your-woman"} +{"d:Title": "PopMatters: I Dreamed of Africa", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Dreamed_of_Africa", "url": "http://popmatters.com/film/reviews/i/i-dreamed-of-africa.html"} +{"d:Title": "HARO Online: I Dreamed of Africa", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Dreamed_of_Africa", "url": "http://www.haro-online.com/movies/i_dreamed_of_africa.html"} +{"d:Title": "All-Reviews.com: I Dreamed of Africa (2000)", "d:Description": "Collection of movie reviews.", "topic": "Top/Arts/Movies/Titles/I/I_Dreamed_of_Africa", "url": "http://www.all-reviews.com/videos/dreamed-of-africa.htm"} +{"d:Title": "Rotten Tomatoes: I Dreamed of Africa", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/I/I_Dreamed_of_Africa", "url": "http://www.rottentomatoes.com/m/i_dreamed_of_africa/"} +{"d:Title": "IMDb: I Dreamed of Africa (2000)", "d:Description": "Cast and credits, user ratings, and plot synopsis.", "topic": "Top/Arts/Movies/Titles/I/I_Dreamed_of_Africa", "url": "http://www.imdb.com/title/tt0167203/"} +{"d:Title": "Chicago Sun-Times: I Dreamed of Africa", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/I_Dreamed_of_Africa", "url": "http://www.rogerebert.com/reviews/i-dreamed-of-africa-2000"} +{"d:Title": "AllReaders.com Review: I Dreamed Of Africa", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film and links to similar movies.", "topic": "Top/Arts/Movies/Titles/I/I_Dreamed_of_Africa", "url": "http://allreaders.com/movie-review-summary/i-dreamed-of-africa-3980"} +{"d:Title": "The Internet Movie Database (IMDb): I Heart Huckabees", "d:Description": "Cast and crew listings, synopsis, quotes, technical and soundtrack information, pictures and user comments.", "topic": "Top/Arts/Movies/Titles/I/I_Heart_Huckabees", "url": "http://www.imdb.com/title/tt0356721/"} +{"d:Title": "Slate: \"I Hate Huckabees - But I still heart David O. Russell\"", "d:Description": "David Edelstein's unfavorable review: \"Russell is a manically inventive writer-director\u2014maybe the most fearless talent of his generation. It's not a contradiction to say that I admire him more than ever while pronouncing 'Huckabees' an unmitigated disaster.\"", "topic": "Top/Arts/Movies/Titles/I/I_Heart_Huckabees", "url": "http://slate.com/Default.aspx?id=2107618&"} +{"d:Title": "HARO Online: I Heart Huckabees", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/I/I_Heart_Huckabees", "url": "http://www.haro-online.com/movies/i_heart_huckabees.html"} +{"d:Title": "Chicago Sun-Times: I Heart Huckabees", "d:Description": "Roger Ebert's unfavorable review: \"The movie is like an infernal machine that consumes all of the energy it generates, saving the last watt of current to turn itself off. It functions perfectly within its constraints, but it leaves the viewer out of the loop.\" Two out of five stars.", "topic": "Top/Arts/Movies/Titles/I/I_Heart_Huckabees", "url": "http://www.rogerebert.com/reviews/i-heart-huckabees-2004"} +{"d:Title": "All-Reviews.com: I Know What You Did Last Summer (1997)", "d:Description": "User-submitted reviews of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Know_What_You_Did_Last_Summer_Series/I_Know_What_You_Did_Last_Summer", "url": "http://www.all-reviews.com/videos/iknowwhat.htm"} +{"d:Title": "IMDb: I Know What You Did Last Summer", "d:Description": "List of cast and crew, plot synopsis, and comments from viewers.", "topic": "Top/Arts/Movies/Titles/I/I_Know_What_You_Did_Last_Summer_Series/I_Know_What_You_Did_Last_Summer", "url": "http://www.imdb.com/title/tt0119345/"} +{"d:Title": "All-Reviews.com: I Still Know What You Did Last Summer", "d:Description": "User-submitted reviews of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Know_What_You_Did_Last_Summer_Series/I_Still_Know_What_You_Did_Last_Summer", "url": "http://www.all-reviews.com/videos/istillknow.htm"} +{"d:Title": "IMDb - I Still Know What You Did Last Summer (1998)", "d:Description": "List of cast and crew, filming locations, tag lines, and user ratings.", "topic": "Top/Arts/Movies/Titles/I/I_Know_What_You_Did_Last_Summer_Series/I_Still_Know_What_You_Did_Last_Summer", "url": "http://www.imdb.com/title/tt0130018/"} +{"d:Title": "TV Guide Online: I Still Know What You Did Last Summer", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/I/I_Know_What_You_Did_Last_Summer_Series/I_Still_Know_What_You_Did_Last_Summer", "url": "http://www.tvguide.com/movies/know-did-summer/133290/"} +{"d:Title": "IMDb: I Know Who Killed Me (2007)", "d:Description": "Photo gallery, videos, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/I/I_Know_Who_Killed_Me", "url": "http://www.imdb.com/title/tt0897361/"} +{"d:Title": "Rotten Tomatoes: I Know Who Killed Me", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/I/I_Know_Who_Killed_Me", "url": "http://www.rottentomatoes.com/m/i_know_who_killed_me/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, reception, home releases, and soundtrack.", "topic": "Top/Arts/Movies/Titles/I/I_Know_Who_Killed_Me", "url": "http://en.wikipedia.org/wiki/I_Know_Who_Killed_Me"} +{"d:Title": "New York Times: I Know Who Killed Me", "d:Description": "Review, by Jeannette Catsoulis: \"Pretentious and inane.\"", "topic": "Top/Arts/Movies/Titles/I/I_Know_Who_Killed_Me", "url": "http://www.nytimes.com/2007/07/28/movies/28know.html?ref=movies"} +{"d:Title": "MovieWeb: I Know Who Killed Me", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/I/I_Know_Who_Killed_Me", "url": "http://www.movieweb.com/movie/i-know-who-killed-me"} +{"d:Title": "The Boston Globe", "d:Description": "Review, by Ty Burr: \"An intensely unpleasant killer-thriller mystery.\"", "topic": "Top/Arts/Movies/Titles/I/I_Know_Who_Killed_Me", "url": "http://www.boston.com/news/globe/living/articles/2007/07/28/lohans_lacking_in_unpleasant_i_know/?camp=pm"} +{"d:Title": "All-Reviews.com: I Love Trouble", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Love_Trouble", "url": "http://www.all-reviews.com/videos-4/i-love-trouble.htm"} +{"d:Title": "Rotten Tomatoes: I Love Trouble", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/I/I_Love_Trouble", "url": "http://www.rottentomatoes.com/m/i_love_trouble/"} +{"d:Title": "IMDb: I Love Trouble (1994)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/I/I_Love_Trouble", "url": "http://www.imdb.com/title/tt0110093/"} +{"d:Title": "Chicago Sun-Times: I Love Trouble", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/I/I_Love_Trouble", "url": "http://www.rogerebert.com/reviews/i-love-trouble-1994"} +{"d:Title": "TV Guide Online: I Love You, Don't Touch Me", "d:Description": "Brief synopsis and review.", "topic": "Top/Arts/Movies/Titles/I/I_Love_You,_Don't_Touch_Me", "url": "http://www.tvguide.com/movies/love-dont-touch/133052/"} +{"d:Title": "IMDb: I Love You, I Love You Not (1996)", "d:Description": "Cast and crew links, synopsis, and reviews from users.", "topic": "Top/Arts/Movies/Titles/I/I_Love_You,_I_Love_You_Not", "url": "http://www.imdb.com/title/tt0116592/"} +{"d:Title": "TV Guide Online: I Love You, I Love You Not", "d:Description": "Brief synopsis and review.", "topic": "Top/Arts/Movies/Titles/I/I_Love_You,_I_Love_You_Not", "url": "http://www.tvguide.com/movies/love-love/132809/"} +{"d:Title": "Washington Post: I Love You to Death", "d:Description": "Hal Hinson's review and plot synopsis of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Love_You_to_Death", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/iloveyoutodeathrhinson_a0a91a.htm"} +{"d:Title": "Deseret: I Love You to Death", "d:Description": "Chris Hicks' overview of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Love_You_to_Death", "url": "http://www.deseretnews.com/article/700000841/I-Love-You-to-Death.html"} +{"d:Title": "IMDb: I Love You to Death (1990)", "d:Description": "List of the cast and crew, trivia, plot synopsis and users' comments and ratings.", "topic": "Top/Arts/Movies/Titles/I/I_Love_You_to_Death", "url": "http://www.imdb.com/title/tt0099819/"} +{"d:Title": "Chicago Sun-Times: I Love You To Death", "d:Description": "Review of the film written by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/I/I_Love_You_to_Death", "url": "http://www.rogerebert.com/reviews/i-love-you-to-death-1990"} +{"d:Title": "IMDb: I Ought to Be in Pictures (1982)", "d:Description": "Cast, credits and production notes.", "topic": "Top/Arts/Movies/Titles/I/I_Ought_to_Be_in_Pictures", "url": "http://www.imdb.com/title/tt0084109/combined"} +{"d:Title": "Cinebooks Database: I Ought to Be in Pictures", "d:Description": "Review and rating of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Ought_to_Be_in_Pictures", "url": "http://www.tvguide.com/movies/ought-pictures/101763/"} +{"d:Title": "Chicago Sun-Times: I Remember Me", "d:Description": "Review of the film written by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/I/I_Remember_Me", "url": "http://www.rogerebert.com/reviews/i-remember-me-2001"} +{"d:Title": "All-Reviews: I Shot Andy Warhol", "d:Description": "User-submitted reviews of the film.", "topic": "Top/Arts/Movies/Titles/I/I_Shot_Andy_Warhol", "url": "http://www.all-reviews.com/videos-3/i-shot-andy-warhol.htm"} +{"d:Title": "IMDb: I Shot Andy Warhol (1996)", "d:Description": "List of the cast and crew, plot synopsis and users' comments.", "topic": "Top/Arts/Movies/Titles/I/I_Shot_Andy_Warhol", "url": "http://www.imdb.com/title/tt0116594/"} +{"d:Title": "HARO Online: I Spy", "d:Description": "Haro's review: \"There is simply no feeling of fun to 'I Spy.' It becomes tiresome, bogged down by continual attempts at humor that continually fail.\" Rated \"not that good.\"", "topic": "Top/Arts/Movies/Titles/I/I_Spy_-_2002", "url": "http://www.haro-online.com/movies/i_spy.html"} +{"d:Title": "I Spy", "d:Description": "Official site with trailers, synopsis, cast and crew details, images, wallpapers, screensaver and games. [Requires Flash]", "topic": "Top/Arts/Movies/Titles/I/I_Spy_-_2002", "url": "http://www.sonypictures.com/movies/ispy/"} +{"d:Title": "All-Reviews.com: I Spy", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/I/I_Spy_-_2002", "url": "http://www.all-reviews.com/videos-5/i-spy.htm"} +{"d:Title": "Rotten Tomatoes: I Spy (2002)", "d:Description": "Quotes from and links to reviews, film information, photos, and forum.", "topic": "Top/Arts/Movies/Titles/I/I_Spy_-_2002", "url": "http://www.rottentomatoes.com/m/i_spy/"} +{"d:Title": "Internet Movie Database: I Spy (2002)", "d:Description": "Cast and crew listing, reviews, quotes, trivia, and box office data.", "topic": "Top/Arts/Movies/Titles/I/I_Spy_-_2002", "url": "http://www.imdb.com/title/tt0297181/"} +{"d:Title": "TV Guide Online: I Think I Do", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/I/I_Think_I_Do", "url": "http://www.tvguide.com/movies/think/133208/"} +{"d:Title": "I Wake Up Screaming", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/I/I_Wake_Up_Screaming", "url": "http://www.imdb.com/title/tt0033740/"} +{"d:Title": "AllMovie: I Wake Up Screaming", "d:Description": "Synopsis, review, and credits.", "topic": "Top/Arts/Movies/Titles/I/I_Wake_Up_Screaming", "url": "http://www.allmovie.com/movie/i-wake-up-screaming-v24148"} +{"d:Title": "I Walked With a Zombie", "d:Description": "Brief review by Dave Sindelar.", "topic": "Top/Arts/Movies/Titles/I/I_Walked_With_a_Zombie", "url": "http://www.scifilm.org/musing74.html"} +{"d:Title": "I Walked with a Zombie (1943)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/I/I_Walked_With_a_Zombie", "url": "http://www.imdb.com/title/tt0036027/"} +{"d:Title": "I Walked With a Zombie", "d:Description": "Dennis Schwartz rates Jacques Tourneau's direction as \"both incredible and magical.\"", "topic": "Top/Arts/Movies/Titles/I/I_Walked_With_a_Zombie", "url": "http://homepages.sover.net/~ozus/iwalkedwithazombie.htm"} +{"d:Title": "AllMovie: I Walked with a Zombie", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/I/I_Walked_With_a_Zombie", "url": "http://www.allmovie.com/movie/i-walked-with-a-zombie-v24149"} +{"d:Title": "I Walked With a Zombie", "d:Description": "TV Guide Online review of the \"thought-provoking, literate horror\" film.", "topic": "Top/Arts/Movies/Titles/I/I_Walked_With_a_Zombie", "url": "http://www.tvguide.com/movies/i-walked-with-a-zombie/101794/"} +{"d:Title": "IMDb: I Want Someone to Eat Cheese With (2006)", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/I/I_Want_Someone_to_Eat_Cheese_With", "url": "http://www.imdb.com/title/tt0391229/"} +{"d:Title": "Rotten Tomatoes: I Want Someone to Eat Cheese With", "d:Description": "Review links, synopsis, credits, photos, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/I/I_Want_Someone_to_Eat_Cheese_With", "url": "http://www.rottentomatoes.com/m/i_want_someone_to_eat_cheese_with/"} +{"d:Title": "IGN Movies: I Want Someone to Eat Cheese With", "d:Description": "Review, by Todd Gilchrist: \"I Want Someone to Eat Cheese With is by no means a bad movie, but personally I would have preferred a less successful one that at least tried to exist on its own instead of a pretty good one that not only feels like a hundred other movies you've seen before, but references most of them just to be sure.\" [Rating: 3 out of 5]", "topic": "Top/Arts/Movies/Titles/I/I_Want_Someone_to_Eat_Cheese_With", "url": "http://www.ign.com/movies/i-want-someone-to-eat-cheese-with/theater-956568"} +{"d:Title": "MovieWeb: I Want Someone to Eat Cheese With", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/I/I_Want_Someone_to_Eat_Cheese_With", "url": "http://www.movieweb.com/movie/i-want-someone-to-eat-cheese-with"} +{"d:Title": "Boston Globe: I Want Someone to Eat Cheese With", "d:Description": "Review, by Wesley Morris: \"Garlin's movie is beautiful in its own way.\"", "topic": "Top/Arts/Movies/Titles/I/I_Want_Someone_to_Eat_Cheese_With", "url": "http://www.boston.com/news/globe/living/articles/2007/09/14/a_lonely_guy_finds_comic_company/?camp=pm"} +{"d:Title": "TV Guide Online: I Want You", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/I/I_Want_You_-_1951", "url": "http://www.tvguide.com/movies/i-want-you/101799/"} +{"d:Title": "IMDb: I Want You (1998)", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/I/I_Want_You_-_1998", "url": "http://www.imdb.com/title/tt0119347/"} +{"d:Title": "IMDb: I Was Made to Love Her (2000)", "d:Description": "List of cast and crew, filming locations, plot synopsis, user comments, and company credits.", "topic": "Top/Arts/Movies/Titles/I/I_Was_Made_to_Love_Her", "url": "http://www.imdb.com/title/tt0231775/"} +{"d:Title": "IMDb: I Went Down", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/I/I_Went_Down", "url": "http://www.imdb.com/title/tt0126344/"} +{"d:Title": "TV Guide Online: I Went Down", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/I/I_Went_Down", "url": "http://www.tvguide.com/movies/i-went-down/133229/"} +{"d:Title": "IMDb: I Woke Up Early the Day I Died", "d:Description": "Cast, crew, plot details and users' comments and ratings.", "topic": "Top/Arts/Movies/Titles/I/I_Woke_Up_Early_the_Day_I_Died", "url": "http://www.imdb.com/title/tt0125211/"} +{"d:Title": "IMDb - J.D.'s Revenge (1976)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/J/J.D.'s_Revenge", "url": "http://www.imdb.com/title/tt0074703/"} +{"d:Title": "Cinebooks Database - J.D.'s Revenge", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/J/J.D.'s_Revenge", "url": "http://www.tvguide.com/movies/jds-revenge/102425/"} +{"d:Title": "Rotten Tomatoes: Jack", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jack", "url": "http://www.rottentomatoes.com/m/1072875-jack/"} +{"d:Title": "IMDb: Jack", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jack", "url": "http://www.imdb.com/title/tt0116669/"} +{"d:Title": "Chicago Sun-Times: Jack", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jack", "url": "http://www.rogerebert.com/reviews/jack-1996"} +{"d:Title": "MovieWeb: Jack", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jack", "url": "http://www.movieweb.com/movie/jack"} +{"d:Title": "All-Reviews.com: The Jackal", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Jackal,_The", "url": "http://www.all-reviews.com/videos-4/jackal.htm"} +{"d:Title": "AllReaders.com: The Jackal", "d:Description": "Plot summary.", "topic": "Top/Arts/Movies/Titles/J/Jackal,_The", "url": "http://allreaders.com/movie-review-summary/the-jackal-6438"} +{"d:Title": "IMDb: The Jackal", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jackal,_The", "url": "http://www.imdb.com/title/tt0119395/"} +{"d:Title": "Chicago Sun-Times: The Jackal", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jackal,_The", "url": "http://www.rogerebert.com/reviews/the-jackal-1997"} +{"d:Title": "Movieweb: The Jackal", "d:Description": "Synopsis, cast information, and images.", "topic": "Top/Arts/Movies/Titles/J/Jackal,_The", "url": "http://www.movieweb.com/movie/the-jackal"} +{"d:Title": "HARO Online: Jackass - The Movie", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jackass_-_The_Movie", "url": "http://www.haro-online.com/movies/jackass.html"} +{"d:Title": "All-Reviews.com - Jackass: the Movie", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jackass_-_The_Movie", "url": "http://www.all-reviews.com/videos-5/jackass-the-movie.htm"} +{"d:Title": "Rotten Tomatoes: Jackass - The Movie", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jackass_-_The_Movie", "url": "http://www.rottentomatoes.com/m/jackass_the_movie/"} +{"d:Title": "IMDb: Jackass - The Movie (2002)", "d:Description": "Plot outline, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jackass_-_The_Movie", "url": "http://www.imdb.com/title/tt0322802/"} +{"d:Title": "MovieWeb: Jackass - The Movie", "d:Description": "Plot summary, credits, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jackass_-_The_Movie", "url": "http://www.movieweb.com/movie/jackass-the-movie"} +{"d:Title": "Contactmusic.com: Jackass The Movie", "d:Description": "Production notes and multimedia.", "topic": "Top/Arts/Movies/Titles/J/Jackass_-_The_Movie", "url": "http://www.contactmusic.com/pages/jackassthemoviex16x01x03"} +{"d:Title": "HARO Online: The Jacket", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jacket,_The", "url": "http://www.haro-online.com/movies/jacket.html"} +{"d:Title": "IMDb: The Jacket (2005)", "d:Description": "Plot summary, trailer, cast and crew information, user comments, and message board.", "topic": "Top/Arts/Movies/Titles/J/Jacket,_The", "url": "http://www.imdb.com/title/tt0366627/"} +{"d:Title": "Rotten Tomatoes: The Jacket", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jacket,_The", "url": "http://www.rottentomatoes.com/m/jacket/"} +{"d:Title": "Rogerebert.com: The Jacket", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jacket,_The", "url": "http://www.rogerebert.com/reviews/the-jacket-2005"} +{"d:Title": "All-Reviews.com: Jackie Brown", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Brown", "url": "http://www.all-reviews.com/videos-2/jackie-brown.htm"} +{"d:Title": "Rotten Tomatoes: Jackie Brown", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Brown", "url": "http://www.rottentomatoes.com/m/jackie_brown/"} +{"d:Title": "IMDb: Jackie Brown", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Brown", "url": "http://www.imdb.com/title/tt0119396/"} +{"d:Title": "Chicago Sun-Times: Jackie Brown", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Brown", "url": "http://www.rogerebert.com/reviews/jackie-brown-1997"} +{"d:Title": "MovieWeb: Jackie Brown", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Brown", "url": "http://www.movieweb.com/movie/jackie-brown"} +{"d:Title": "TV Guide Online: Jackie Brown", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Brown", "url": "http://www.tvguide.com/movies/jackie-brown/132731/"} +{"d:Title": "Rotten Tomatoes: Jackie Chan's First Strike", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Chan's_First_Strike", "url": "http://www.rottentomatoes.com/m/jackie_chans_first_strike/"} +{"d:Title": "IMDb: Jackie Chan's First Strike", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Chan's_First_Strike", "url": "http://www.imdb.com/title/tt0116704/"} +{"d:Title": "Chicago Sun-Times: Jackie Chan's First Strike", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Chan's_First_Strike", "url": "http://www.rogerebert.com/reviews/jackie-chans-first-strike-1997"} +{"d:Title": "Movieweb: Jackie Chan's First Strike", "d:Description": "Synopsis, production information, cast information, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Chan's_First_Strike", "url": "http://www.movieweb.com/movie/jackie-chans-first-strike"} +{"d:Title": "IMDb - Jackie Robinson Story, The (1950)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Robinson_Story,_The", "url": "http://www.imdb.com/title/tt0042609/"} +{"d:Title": "TV Guide Online: Jackie Robinson Story, The", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/J/Jackie_Robinson_Story,_The", "url": "http://www.tvguide.com/movies/jackie-robinson-story/102443/"} +{"d:Title": "HARO Online: Jackpot", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jackpot", "url": "http://www.haro-online.com/movies/jackpot.html"} +{"d:Title": "Metacritic.com: Jackpot", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Jackpot", "url": "http://www.metacritic.com/movie/jackpot"} +{"d:Title": "IMDb: Jackpot", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jackpot", "url": "http://www.imdb.com/title/tt0261755/"} +{"d:Title": "Chicago Sun-Times: Jackpot", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jackpot", "url": "http://www.rogerebert.com/reviews/jackpot-2001"} +{"d:Title": "IMDb: Jack and Ella", "d:Description": "Cast and production information.", "topic": "Top/Arts/Movies/Titles/J/Jack_and_Ella", "url": "http://www.imdb.com/title/tt0278514/"} +{"d:Title": "IMDb - Jack Fat and Jim Slim at Coney Island (1910)", "d:Description": "Cast, production information.", "topic": "Top/Arts/Movies/Titles/J/Jack_Fat_and_Jim_Slim_at_Coney_Island", "url": "http://www.imdb.com/title/tt0249609/"} +{"d:Title": "X-Entertainment: Jack Frost", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1997", "url": "http://www.x-entertainment.com/messages/565.html"} +{"d:Title": "Badmovies.org: Jack Frost", "d:Description": "Movie review with sound clips, pictures, and a video clip.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1997", "url": "http://www.badmovies.org/movies/jackfrost/"} +{"d:Title": "I-Mockery: Jack Frost", "d:Description": "A humorous, in-depth look at this cult film complete with animated pictures.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1997", "url": "http://www.i-mockery.com/minimocks/jack-frost"} +{"d:Title": "IMDb: Jack Frost (1997)", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1997", "url": "http://www.imdb.com/title/tt0116671/"} +{"d:Title": "Rotten Tomatoes: Jack Frost", "d:Description": "Links to movie reviews, information, and a forum.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1997", "url": "http://www.rottentomatoes.com/m/1077660-jack_frost/"} +{"d:Title": "Mutant Reviewers from Hell: Jack Frost", "d:Description": "Movie review and Mutant Meter ratings.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1997", "url": "http://mutantreviewers.wordpress.com/2010/12/23/jack-frost-retro-review/"} +{"d:Title": "All-Reviews.com: Jack Frost", "d:Description": "Four reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1998", "url": "http://www.all-reviews.com/videos-3/jack-frost.htm"} +{"d:Title": "Jack Frost", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1998", "url": "http://wb-jackfrost.warnerbros.com/"} +{"d:Title": "IMDb: Jack Frost (1998)", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1998", "url": "http://www.imdb.com/title/tt0141109/"} +{"d:Title": "Chicago Sun-Times: Jack Frost (1998)", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1998", "url": "http://www.rogerebert.com/reviews/jack-frost-1998"} +{"d:Title": "Movieweb: Jack Frost", "d:Description": "Synopsis, production information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1998", "url": "http://www.movieweb.com/movie/jack-frost"} +{"d:Title": "TV Guide Online: Jack Frost", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jack_Frost_-_1998", "url": "http://www.tvguide.com/movies/jack-frost/133661/"} +{"d:Title": "Washington Post: Jacob's Ladder", "d:Description": "Negative review by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/J/Jacob's_Ladder", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/jacobsladderrhinson_a0a9b3.htm"} +{"d:Title": "IMDb.com: Jacob's Ladder (1990)", "d:Description": "Cast and crew, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/J/Jacob's_Ladder", "url": "http://www.imdb.com/title/tt0099871/"} +{"d:Title": "Chicago Sun Times: Jacob's Ladder", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jacob's_Ladder", "url": "http://www.rogerebert.com/reviews/jacobs-ladder-1990"} +{"d:Title": "TV Guide Online: Jacob's Ladder", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jacob's_Ladder", "url": "http://www.tvguide.com/movies/jacobs-ladder/128176/"} +{"d:Title": "All-Reviews.com: Jade", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jade", "url": "http://www.all-reviews.com/videos-2/jade.htm"} +{"d:Title": "AboutFilm.com: Jade", "d:Description": "Movie review and links.", "topic": "Top/Arts/Movies/Titles/J/Jade", "url": "http://www.aboutfilm.com/movies/j/jade.htm"} +{"d:Title": "Rotten Tomatoes: Jade", "d:Description": "Links to movie reviews, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jade", "url": "http://www.rottentomatoes.com/m/jade/?sortby=source&critic=1"} +{"d:Title": "IMDb: Jade", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jade", "url": "http://www.imdb.com/title/tt0113451/"} +{"d:Title": "Chicago Sun-Times: Jade", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jade", "url": "http://www.rogerebert.com/reviews/jade-1995"} +{"d:Title": "TV Guide Online: Jade", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jade", "url": "http://www.tvguide.com/movies/jade/130983/"} +{"d:Title": "All-Reviews.com: Jakob the Liar", "d:Description": "Movie review and rating, with links to other reviews.", "topic": "Top/Arts/Movies/Titles/J/Jakob_the_Liar", "url": "http://www.all-reviews.com/videos/jakob-the-liar.htm"} +{"d:Title": "Rotten Tomatoes: Jakob the Liar", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/J/Jakob_the_Liar", "url": "http://www.rottentomatoes.com/m/jakob_the_liar/"} +{"d:Title": "Metacritic.com: Jakob the Liar", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Jakob_the_Liar", "url": "http://www.metacritic.com/movie/jakob-the-liar"} +{"d:Title": "IMDb: Jakob the Liar (1999)", "d:Description": "Cast and crew information, trailer, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jakob_the_Liar", "url": "http://www.imdb.com/title/tt0120716/"} +{"d:Title": "Chicago Sun-Times: Jakob the Liar", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jakob_the_Liar", "url": "http://www.rogerebert.com/reviews/jakob-the-liar-1999"} +{"d:Title": "00 Agent Web Page", "d:Description": "Pictures and information about James Bond and the rest of the 00 Agents.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series", "url": "http://www.angelfire.com/movies/OHMSS/"} +{"d:Title": "BondMovies.com", "d:Description": "Movie profiles, multimedia, statistics and trivia, theme lyrics, character information, and downloads.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series", "url": "http://www.bondmovies.com/"} +{"d:Title": "WWWF Grudge Match", "d:Description": "What if James Bond and Indiana Jones were in competition?", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series", "url": "http://www.grudge-match.com/History/bond-indy.shtml"} +{"d:Title": "CommanderBond.net", "d:Description": "Features news, books, games, podcast and discussion forums for James Bond film series.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series", "url": "http://commanderbond.net/"} +{"d:Title": "The Wold Newton Universe Chronology Central: James Bond", "d:Description": "A listing of all Fleming stories and pastiches in chronological order, with a speculative genealogy of James Bond.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series", "url": "http://www.pjfarmer.com/woldnewton/Bond.htm"} +{"d:Title": "Mr. Bond's Bond Forum", "d:Description": "Message board with topics including news, movies, gaming, and fan community.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series", "url": "http://mrbond.proboards.com/"} +{"d:Title": "007", "d:Description": "MGM site for fans, with video and DVD information, games, and FAQ.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series", "url": "http://www.007.com/"} +{"d:Title": "Casino Royale", "d:Description": "Scottish filming locations of Casino Royale by the Scotland the Movie Location Guide.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Casino_Royale", "url": "http://www.scotlandthemovie.com/movies/froyale.html"} +{"d:Title": "KillerMovies: Casino Royale", "d:Description": "News and a message board.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Casino_Royale", "url": "http://www.killermovies.com/j/jamesbond21/"} +{"d:Title": "IMDb: Casino Royale", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Casino_Royale", "url": "http://www.imdb.com/title/tt0061452/"} +{"d:Title": "IMDb: Casino Royale", "d:Description": "Provides full cast and crew list, external reviews, plot summary, memorable quotes, trivia, filming locations and discussion board.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Casino_Royale_-_2006", "url": "http://www.imdb.com/title/tt0381061/"} +{"d:Title": "JoBlo.com: Casino Royale", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Casino_Royale_-_2006", "url": "http://www.joblo.com/movies/database/2006/casino-royale/"} +{"d:Title": "Rotten Tomatoes: Diamonds Are Forever", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Diamonds_are_Forever", "url": "http://www.rottentomatoes.com/m/diamonds_are_forever/"} +{"d:Title": "IMDb: Diamonds Are Forever", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Diamonds_are_Forever", "url": "http://www.imdb.com/title/tt0066995/"} +{"d:Title": "AllReaders.com Review: Diamonds Are Forever", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Sean Connery, Jill St. John, and Charles Gray.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Diamonds_are_Forever", "url": "http://allreaders.com/movie-review-summary/diamonds-are-forever-3756"} +{"d:Title": "KillerMovies: James Bond 20", "d:Description": "Links to stories about the upcoming movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Die_Another_Day", "url": "http://www.killermovies.com/j/jamesbonddieanotherday/"} +{"d:Title": "HARO Online: Die Another Day", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Die_Another_Day", "url": "http://www.haro-online.com/movies/die_another_day.html"} +{"d:Title": "Filmtracks: Die Another Day", "d:Description": "Soundtrack review, audio clips, and viewer ratings.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Die_Another_Day", "url": "http://www.filmtracks.com/titles/die_another.html"} +{"d:Title": "Rotten Tomatoes - Die Another Day", "d:Description": "Preview, trailers, photos, and a public forum for the 20th James Bond movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Die_Another_Day", "url": "http://www.rottentomatoes.com/m/die_another_day/"} +{"d:Title": "IMDb: Die Another Day", "d:Description": "Synopsis, cast and crew, user comments and message board.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Die_Another_Day", "url": "http://www.imdb.com/title/tt0246460/"} +{"d:Title": "Metacritic: Die Another Day", "d:Description": "Quotes from and links to reviews.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Die_Another_Day", "url": "http://www.metacritic.com/movie/die-another-day"} +{"d:Title": "Contactmusic.com: Die Another Day", "d:Description": "Plot overview, DVD features, and multimedia.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Die_Another_Day", "url": "http://www.contactmusic.com/pages/dieanotherdayx30x04x03"} +{"d:Title": "From Russia With Love", "d:Description": "007 wallpapers, screensavers, music and mobile phone tunes, and Bond 20 fan art. Submissions welcome. In Russian and English.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Downloads", "url": "http://007.themes.ru/"} +{"d:Title": "From Eastbourne With Love: James Bond 007 Memorabilia Exhibition", "d:Description": "Drawn from the archives of UK 007 collector Daryl Burchmore, includes posters, images, sounds, a collector's message board, and order form for the documentary video.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Downloads", "url": "http://www.007exhibition.freeuk.com/"} +{"d:Title": "\"Official\" James Bond Headquarters", "d:Description": "Posters, pictures, and theme songs.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Downloads", "url": "http://www.angelfire.com/oh3/holyshmooerville/"} +{"d:Title": "James Bond Multimedia", "d:Description": "Pictures of the characters, cars, and gadgets, with sound clips, movie reviews, and celebrity addresses.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Downloads", "url": "http://www.jamesbondmm.co.uk/"} +{"d:Title": "Universal Exports", "d:Description": "Sounds, movies, programs, rare photos, location information, and character guides.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Downloads", "url": "http://www.universalexports.net/"} +{"d:Title": "All-Reviews.com: Dr. No", "d:Description": "Multiple reviews of the film.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Dr._No", "url": "http://www.all-reviews.com/videos-2/dr-no.htm"} +{"d:Title": "Crazy for Cinema: Dr. No", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Dr._No", "url": "http://crazy4cinema.com/Review/FilmsD/f_dr_no.html"} +{"d:Title": "Rotten Tomatoes: Dr. No", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Dr._No", "url": "http://www.rottentomatoes.com/m/dr_no/"} +{"d:Title": "IMDb: Dr. No", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Dr._No", "url": "http://www.imdb.com/title/tt0055928/"} +{"d:Title": "AllReaders.com Review: Doctor No", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Sean Connery film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Dr._No", "url": "http://allreaders.com/movie-review-summary/dr-no-3753"} +{"d:Title": "The James Bond International Fan Club", "d:Description": "News, fact files, articles, and forum.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://www.007.info/"} +{"d:Title": "Absolutely James Bond", "d:Description": "News, schedules, fan art gallery, downloads, message board, and information about the films.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://www.ajb007.co.uk/"} +{"d:Title": "Focus James Bond", "d:Description": "The ultimate web resource for anything you need to know about the world of James Bond, 007.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://home.btconnect.com/focusjamesbond"} +{"d:Title": "Happenstance, Coincidence, Enemy Action", "d:Description": "Movie reviews, pictures, and links.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://mdsalunkhe.tripod.com/bond/bond.htm"} +{"d:Title": "James Bond 007 Site For Everyone", "d:Description": "Pictures, music, movie summaries, Bond test, actor biographies, and top ten lists.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://www.angelfire.com/ny3/dynamitediscoveries/JamesBond/Index2.html"} +{"d:Title": "MI6", "d:Description": "James Bond movie summaries, trivia, sound clips, and song lyrics.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://members.tripod.com/~Bond_MI6/"} +{"d:Title": "MI6 Database", "d:Description": "Movie summaries, cast biographies, tribute to Q, and links.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://mi6_spy.tripod.com/index/"} +{"d:Title": "MI6 Headquarters", "d:Description": "Features biographies of the actors who played the spy, synopses of all the films in the series, and vehicle descriptions.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://www.jamesbond.tripod.com/"} +{"d:Title": "007 Spy Headquarters", "d:Description": "Website with information about Bond, James Bond. Info about new movie, Die Another Day.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://www.angelfire.com/ab6/logan_ms/007.html"} +{"d:Title": "MI6", "d:Description": "News, articles, fan works, gaming, images, and forums.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Fan_Pages", "url": "http://www.mi6-hq.com/"} +{"d:Title": "All-Reviews.com: For Your Eyes Only", "d:Description": "Fan reviews and facts about the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/For_Your_Eyes_Only", "url": "http://www.all-reviews.com/videos/for-your-eyes-only.htm"} +{"d:Title": "Rotten Tomatoes: For Your Eyes Only", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/For_Your_Eyes_Only", "url": "http://www.rottentomatoes.com/m/for_your_eyes_only/"} +{"d:Title": "IMDb: For Your Eyes Only", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/For_Your_Eyes_Only", "url": "http://www.imdb.com/title/tt0082398/"} +{"d:Title": "AllReaders.com Review: For Your Eyes Only", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Roger Moore film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/For_Your_Eyes_Only", "url": "http://allreaders.com/movie-review-summary/for-your-eyes-only-3765"} +{"d:Title": "Crazy for Cinema: From Russia with Love", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/From_Russia_with_Love", "url": "http://crazy4cinema.com/Review/FilmsF/f_from_russia.html"} +{"d:Title": "Scotland the Movie Location Guide: From Russia with Love", "d:Description": "Scottish filming locations for the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/From_Russia_with_Love", "url": "http://www.scotlandthemovie.com/movies/frussia.html"} +{"d:Title": "Rotten Tomatoes: From Russia with Love", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/From_Russia_with_Love", "url": "http://www.rottentomatoes.com/m/from_russia_with_love/"} +{"d:Title": "IMDb: From Russia with Love", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/From_Russia_with_Love", "url": "http://www.imdb.com/title/tt0057076/"} +{"d:Title": "AllReaders.com Review: From Russia With Love", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Sean Connery film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/From_Russia_with_Love", "url": "http://allreaders.com/movie-review-summary/from-russia-with-love-3752"} +{"d:Title": "Flicks: Goldeneye", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://www.whyy.org/flicks/Goldeneye.html"} +{"d:Title": "All-Reviews.com: Goldeneye", "d:Description": "Dueling reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://www.all-reviews.com/videos/goldeneye.htm"} +{"d:Title": "Filmtracks: Goldeneye", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://www.filmtracks.com/titles/goldeneye.html"} +{"d:Title": "Rotten Tomatoes: Goldeneye", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://www.rottentomatoes.com/m/goldeneye/"} +{"d:Title": "IMDb: GoldenEye", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://www.imdb.com/title/tt0113189/"} +{"d:Title": "Chicago Sun-Times: Goldeneye", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://www.rogerebert.com/reviews/goldeneye-1995"} +{"d:Title": "AllReaders.com Review: Goldeneye", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Pierce Brosnan film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://allreaders.com/movie-review-summary/goldeneye-3726"} +{"d:Title": "TV Guide Online: Goldeneye", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldeneye", "url": "http://www.tvguide.com/movies/goldeneye/130384/"} +{"d:Title": "Crazy for Cinema: Goldfinger", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldfinger", "url": "http://crazy4cinema.com/Review/FilmsG/f_goldfinger.html"} +{"d:Title": "Rotten Tomatoes: Goldfinger", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldfinger", "url": "http://www.rottentomatoes.com/m/goldfinger/"} +{"d:Title": "IMDb: Goldfinger", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldfinger", "url": "http://www.imdb.com/title/tt0058150/"} +{"d:Title": "Chicago Sun-Times: Goldfinger", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldfinger", "url": "http://www.rogerebert.com/reviews/great-movie-goldfinger-1964"} +{"d:Title": "AllReaders.com Review: Goldfinger", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldfinger", "url": "http://allreaders.com/movie-review-summary/goldfinger-3554"} +{"d:Title": "TV Guide Online: Goldfinger", "d:Description": "Movie review, cast and crew information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Goldfinger", "url": "http://www.tvguide.com/movies/goldfinger/124791/"} +{"d:Title": "Rotten Tomatoes: Licence to Kill", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Licence_to_Kill", "url": "http://www.rottentomatoes.com/m/licence_to_kill/"} +{"d:Title": "IMDb: Licence to Kill", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Licence_to_Kill", "url": "http://www.imdb.com/title/tt0097742/"} +{"d:Title": "Chicago Sun-Times: Licence to Kill", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Licence_to_Kill", "url": "http://www.rogerebert.com/reviews/licence-to-kill-1989"} +{"d:Title": "All-Reviews.com: Live and Let Die", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Live_and_Let_Die", "url": "http://www.all-reviews.com/videos-2/live-and-let-die.htm"} +{"d:Title": "Rotten Tomatoes: Live and Let Die", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Live_and_Let_Die", "url": "http://www.rottentomatoes.com/m/live_and_let_die/"} +{"d:Title": "IMDb: Live and Let Die", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Live_and_Let_Die", "url": "http://www.imdb.com/title/tt0070328/"} +{"d:Title": "AllReaders.com Review: Live and Let Die", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Roger Moore film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Live_and_Let_Die", "url": "http://allreaders.com/movie-review-summary/live-and-let-die-3782"} +{"d:Title": "All-Reviews.com: The Living Daylights", "d:Description": "Dueling reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Living_Daylights,_The", "url": "http://www.all-reviews.com/videos-2/living-daylights.htm"} +{"d:Title": "Rotten Tomatoes: The Living Daylights", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Living_Daylights,_The", "url": "http://www.rottentomatoes.com/m/living_daylights/"} +{"d:Title": "IMDb: The Living Daylights", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Living_Daylights,_The", "url": "http://www.imdb.com/title/tt0093428/"} +{"d:Title": "Chicago Sun-Times: The Living Daylights", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Living_Daylights,_The", "url": "http://www.rogerebert.com/reviews/the-living-daylights-1987"} +{"d:Title": "TV Guide Online: The Living Daylights", "d:Description": "Summary and review.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Living_Daylights,_The", "url": "http://www.tvguide.com/movies/living-daylights/104480/"} +{"d:Title": "All-Reviews.com: The Man With the Golden Gun", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Man_with_the_Golden_Gun,_The", "url": "http://www.all-reviews.com/videos-2/man-with-golden-gun.htm"} +{"d:Title": "Rotten Tomatoes: The Man With the Golden Gun", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Man_with_the_Golden_Gun,_The", "url": "http://www.rottentomatoes.com/m/man_with_the_golden_gun/"} +{"d:Title": "IMDb: The Man with the Golden Gun", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Man_with_the_Golden_Gun,_The", "url": "http://www.imdb.com/title/tt0071807/"} +{"d:Title": "Rotten Tomatoes: Moonraker", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Moonraker", "url": "http://www.rottentomatoes.com/m/1014217-moonraker/"} +{"d:Title": "IMDb: Moonraker", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Moonraker", "url": "http://www.imdb.com/title/tt0079574/"} +{"d:Title": "AllReaders.com Review: Moonraker", "d:Description": "Analytical review of the plot, setting, theme, and structure of this James Bond film starring Roger Moore, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Moonraker", "url": "http://allreaders.com/movie-review-summary/moonraker-3553"} +{"d:Title": "All-Reviews.com: Never Say Never Again", "d:Description": "Dual reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Never_Say_Never_Again", "url": "http://www.all-reviews.com/videos-2/never-say-never-again.htm"} +{"d:Title": "Rotten Tomatoes: Never Say Never Again", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Never_Say_Never_Again", "url": "http://www.rottentomatoes.com/m/never_say_never_again/"} +{"d:Title": "IMDb: Never Say Never Again", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Never_Say_Never_Again", "url": "http://www.imdb.com/title/tt0086006/"} +{"d:Title": "AllReaders.com Review: Never Say Never Again", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Sean Connery film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Never_Say_Never_Again", "url": "http://allreaders.com/movie-review-summary/never-say-never-again-3759"} +{"d:Title": "All-Reviews.com: Octopussy", "d:Description": "Contrasting reviews of the film.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Octopussy", "url": "http://www.all-reviews.com/videos/octopussy.htm"} +{"d:Title": "Rotten Tomatoes: Octopussy", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Octopussy", "url": "http://www.rottentomatoes.com/m/octopussy/"} +{"d:Title": "IMDb: Octopussy", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Octopussy", "url": "http://www.imdb.com/title/tt0086034/"} +{"d:Title": "AllReaders.com Review: Octopussy", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Roger Moore film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Octopussy", "url": "http://allreaders.com/movie-review-summary/octopussy-3764"} +{"d:Title": "All-Reviews.com: On Her Majesty's Secret Service", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/On_Her_Majesty's_Secret_Service", "url": "http://www.all-reviews.com/videos-2/on-her-majestys.htm"} +{"d:Title": "Rotten Tomatoes: On Her Majesty's Secret Service", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/On_Her_Majesty's_Secret_Service", "url": "http://www.rottentomatoes.com/m/on_her_majestys_secret_service/"} +{"d:Title": "IMDb: On Her Majesty's Secret Service", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/On_Her_Majesty's_Secret_Service", "url": "http://www.imdb.com/title/tt0064757/"} +{"d:Title": "AllReaders.com Review: On Her Majesty's Secret Service", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring George Lazenby, Diana Rigg, and Telly Savalas.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/On_Her_Majesty's_Secret_Service", "url": "http://allreaders.com/movie-review-summary/on-her-majestys-secret-service-3755"} +{"d:Title": "TV Guide Online: On Her Majesty's Secret Service", "d:Description": "Summary and brief commentary on the film.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/On_Her_Majesty's_Secret_Service", "url": "http://www.tvguide.com/movies/majestys-secret-service/108102/"} +{"d:Title": "Rotten Tomatoes: Quantum of Solace", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Quantum_of_Solace", "url": "http://www.rottentomatoes.com/m/quantum_of_solace/"} +{"d:Title": "IGN: Quantum of Solace", "d:Description": "News, videos, photos, features, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Quantum_of_Solace", "url": "http://www.ign.com/movies/quantum-of-solace/theater-826378"} +{"d:Title": "Philadelphia Inquirer: Quantum of Solace", "d:Description": "Preview, by Steven Rea: \"Never less than engaging.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Quantum_of_Solace", "url": "http://articles.philly.com/2008-11-14/entertainment/24992576_1_dominic-greene-solace-camille"} +{"d:Title": "TV Guide: Quantum of Solace", "d:Description": "Review, by Jeremy Wheeler: \"This rare direct follow-up hopefully will put to rest the leftover emotional baggage of the character and leave Bond open to a bit more familiar interpretation in the future.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Quantum_of_Solace", "url": "http://www.tvguide.com/movies/quantum-solace/review/292460/"} +{"d:Title": "ReelViews: Quantum of Solace", "d:Description": "Review, by James Berardinelli: \"The film's biggest problem is its director. Marc Forster is an experienced art house filmmaker with impressive credits (most recently, \"The Kite Runner)\", but he is clueless when it comes to action sequences.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Quantum_of_Solace", "url": "http://www.reelviews.net/reelviews/quantum-of-solace"} +{"d:Title": "Rotten Tomatoes: The Spy Who Loved Me", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Spy_Who_Loved_Me,_The", "url": "http://www.rottentomatoes.com/m/spy_who_loved_me/"} +{"d:Title": "IMDb: The Spy Who Loved Me", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Spy_Who_Loved_Me,_The", "url": "http://www.imdb.com/title/tt0076752/"} +{"d:Title": "AllReaders.com Review: The Spy Who Loved Me", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Roger Moore film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Spy_Who_Loved_Me,_The", "url": "http://allreaders.com/movie-review-summary/the-spy-who-loved-me-3757"} +{"d:Title": "Thunderball: Obsessional", "d:Description": "Multimedia, trivia, script changes, cast and crew information, book information, articles, and links.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Thunderball", "url": "http://www.obsessional.co.uk/"} +{"d:Title": "Rotten Tomatoes: Thunderball", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Thunderball", "url": "http://www.rottentomatoes.com/m/thunderball/"} +{"d:Title": "IMDb: Thunderball", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Thunderball", "url": "http://www.imdb.com/title/tt0059800/"} +{"d:Title": "AllReaders.com Review: Thunderball", "d:Description": "Analytical review of the plot, setting, theme, and structure of this James Bond film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Thunderball", "url": "http://allreaders.com/movie-review-summary/thunderball-3749"} +{"d:Title": "TV Guide Online: Thunderball", "d:Description": "Summary and brief commentary on the film.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Thunderball", "url": "http://www.tvguide.com/movies/thunderball/120563/"} +{"d:Title": "Filmtracks: Tomorrow Never Dies", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Tomorrow_Never_Dies", "url": "http://www.filmtracks.com/titles/tomorrow_never.html"} +{"d:Title": "Rotten Tomatoes: Tomorrow Never Dies", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Tomorrow_Never_Dies", "url": "http://www.rottentomatoes.com/m/tomorrow_never_dies/"} +{"d:Title": "IMDb: Tomorrow Never Dies", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Tomorrow_Never_Dies", "url": "http://www.imdb.com/title/tt0120347/"} +{"d:Title": "Chicago Sun-Times: Tomorrow Never Dies", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Tomorrow_Never_Dies", "url": "http://www.rogerebert.com/reviews/tomorrow-never-dies-1997"} +{"d:Title": "AllReaders.com Review: Tomorrow Never Dies", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Tomorrow_Never_Dies", "url": "http://allreaders.com/movie-review-summary/tomorrow-never-dies-3741"} +{"d:Title": "TV Guide Online: Tomorrow Never Dies", "d:Description": "Brief commentary on the film.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/Tomorrow_Never_Dies", "url": "http://www.tvguide.com/movies/tomorrow-dies/132591/"} +{"d:Title": "Filmtracks: A View to a Kill", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/View_to_a_Kill,_A", "url": "http://www.filmtracks.com/titles/view_kill.html"} +{"d:Title": "Rotten Tomatoes: A View to a Kill", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/View_to_a_Kill,_A", "url": "http://www.rottentomatoes.com/m/view_to_a_kill/"} +{"d:Title": "IMDb: A View to a Kill", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/View_to_a_Kill,_A", "url": "http://www.imdb.com/title/tt0090264/"} +{"d:Title": "AllReaders.com Review: A View To A Kill", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Roger Moore film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/View_to_a_Kill,_A", "url": "http://allreaders.com/movie-review-summary/view-to-kill-3773"} +{"d:Title": "Filmtracks: The World Is Not Enough", "d:Description": "Detailed soundtrack review and rating with audio clips, track listing, song lyrics and purchasing information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://www.filmtracks.com/titles/world_enough.html"} +{"d:Title": "Scotland the Movie Location Guide: The World is not Enough", "d:Description": "Images and information about Scottish filming locations.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://www.scotlandthemovie.com/movies/fworld.html"} +{"d:Title": "Haro Online: The World Is Not Enough", "d:Description": "Haro rates the movie: not that good.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://www.haro-online.com/movies/world_is_not_enough.html"} +{"d:Title": "Rotten Tomatoes: The World Is Not Enough", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://www.rottentomatoes.com/m/world_is_not_enough/"} +{"d:Title": "IMDb: The World Is Not Enough", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://www.imdb.com/title/tt0143145/"} +{"d:Title": "Metacritic.com: The World is Not Enough", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://www.metacritic.com/movie/the-world-is-not-enough"} +{"d:Title": "Chicago Sun-Times: The World is Not Enough", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://www.rogerebert.com/reviews/the-world-is-not-enough-1999"} +{"d:Title": "AllReaders.com Review: The World Is Not Enough", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Pierce Brosnan film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/World_Is_Not_Enough,_The", "url": "http://allreaders.com/movie-review-summary/the-world-is-not-enough-3746"} +{"d:Title": "Crazy for Cinema: You Only Live Twice", "d:Description": "Cast list and detailed review.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/You_Only_Live_Twice", "url": "http://www.crazy4cinema.com/Review/FilmsY/f_live_twice.html"} +{"d:Title": "Rotten Tomatoes: You Only Live Twice", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/You_Only_Live_Twice", "url": "http://www.rottentomatoes.com/m/you_only_live_twice/"} +{"d:Title": "IMDb: You Only Live Twice", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/You_Only_Live_Twice", "url": "http://www.imdb.com/title/tt0062512/"} +{"d:Title": "AllReaders.com Review: You Only Live Twice", "d:Description": "Analytical review of the plot, setting, theme, and structure of this James Bond film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/You_Only_Live_Twice", "url": "http://allreaders.com/movie-review-summary/you-only-live-twice-3748"} +{"d:Title": "TV Guide Online: You Only Live Twice", "d:Description": "Brief commentary on the film.", "topic": "Top/Arts/Movies/Titles/J/James_Bond_Series/You_Only_Live_Twice", "url": "http://www.tvguide.com/movies/live-twice/110097/"} +{"d:Title": "Tentative Times: James Dean - Race With Destiny", "d:Description": "Movie review and news.", "topic": "Top/Arts/Movies/Titles/J/James_Dean_-_Race_with_Destiny", "url": "http://our.tentativetimes.net/dean/newmovie.html"} +{"d:Title": "IMDb: James Dean - Race with Destiny", "d:Description": "Cast information and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Dean_-_Race_with_Destiny", "url": "http://www.imdb.com/title/tt0126973/"} +{"d:Title": "DocumentaryFilms.net: James Ellroy - Demon Dog of American Crime Fiction - A Review", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/James_Ellroy", "url": "http://www.documentaryfilms.net/Reviews/JamesEllroyDemonDog/"} +{"d:Title": "IMDb: James Ellroy", "d:Description": "Production information and user comments.", "topic": "Top/Arts/Movies/Titles/J/James_Ellroy", "url": "http://www.imdb.com/title/tt0107250/"} +{"d:Title": "TV Guide Online: James Ellroy", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/J/James_Ellroy", "url": "http://www.tvguide.com/movies/james-ellroy-demon-dog-american-crime-fiction/133109/"} +{"d:Title": "Rotten Tomatoes: Jane Doe", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jane_Doe", "url": "http://www.rottentomatoes.com/m/1085569-jane_doe/"} +{"d:Title": "Allreaders Review: Jane Doe", "d:Description": "Detailed analysis of the film and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/J/Jane_Doe", "url": "http://allreaders.com/movie-review-summary/pictures-of-baby-jane-doe-5224"} +{"d:Title": "All-Reviews.com: Jane Eyre - 1944", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/J/Jane_Eyre_-_1944", "url": "http://all-reviews.com/videos-4/jane-eyre-1944.htm"} +{"d:Title": "Rotten Tomatoes: Jane Eyre (1944)", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/J/Jane_Eyre_-_1944", "url": "http://www.rottentomatoes.com/m/1031766-jane_eyre/"} +{"d:Title": "IMDb: Jane Eyre (1944)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jane_Eyre_-_1944", "url": "http://www.imdb.com/title/tt0036969/"} +{"d:Title": "All-Reviews.com: Jane Eyre", "d:Description": "Movie review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/J/Jane_Eyre_-_1996", "url": "http://www.all-reviews.com/videos-4/jane-eyre-1996.htm"} +{"d:Title": "Rotten Tomatoes: Jane Eyre", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/J/Jane_Eyre_-_1996", "url": "http://www.rottentomatoes.com/m/1071404-jane_eyre/"} +{"d:Title": "IMDb: Jane Eyre (1996)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jane_Eyre_-_1996", "url": "http://www.imdb.com/title/tt0116684/"} +{"d:Title": "Chicago Sun-Times: Jane Eyre", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jane_Eyre_-_1996", "url": "http://www.rogerebert.com/reviews/jane-eyre-1996"} +{"d:Title": "HARO Online: Janice Beard 45 Words per Minute", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Janice_Beard_45_Words_Per_Minute", "url": "http://www.haro-online.com/movies/janice_beard_45wpm.html"} +{"d:Title": "Inside Out: Janice Beard: 45 WPM", "d:Description": "Largely negative review, criticising the lack of depth in the characters and the weak comedy.", "topic": "Top/Arts/Movies/Titles/J/Janice_Beard_45_Words_Per_Minute", "url": "http://www.iofilm.co.uk/fm/j/janice_beard_45_wpm_1999.shtml"} +{"d:Title": "Metacritic.com: Janice Beard 45 Words Per Minute", "d:Description": "Quotes from and links to reviews of the film, as well as an overall score.", "topic": "Top/Arts/Movies/Titles/J/Janice_Beard_45_Words_Per_Minute", "url": "http://www.metacritic.com/movie/janice-beard"} +{"d:Title": "IMDb: Janice Beard: 45 Words Per Minute", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/J/Janice_Beard_45_Words_Per_Minute", "url": "http://www.imdb.com/title/tt0209055/"} +{"d:Title": "IMDb: The Janitor (2003)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/J/Janitor,_The_-_2003", "url": "http://www.imdb.com/title/tt0373040/"} +{"d:Title": "IMDb: Japanese Story (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Japanese_Story", "url": "http://www.imdb.com/title/tt0304229/"} +{"d:Title": "HARO Online: Japanese Story", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Japanese_Story", "url": "http://www.haro-online.com/movies/japanese_story.html"} +{"d:Title": "Rotten Tomatoes: Japanese Story", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/J/Japanese_Story", "url": "http://www.rottentomatoes.com/m/japanese_story/"} +{"d:Title": "Chicago Sun-Times:Japanese Story", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Japanese_Story", "url": "http://www.rogerebert.com/reviews/japanese-story-2004"} +{"d:Title": "Sohu: A film in bloom", "d:Description": "Article with plot synopsis and director interview.", "topic": "Top/Arts/Movies/Titles/J/Jasmine_Women", "url": "http://english.sohu.com/2004/07/06/03/article220870332.shtml"} +{"d:Title": "IMDb: Jasmine Women", "d:Description": "Cast, credits, and links.", "topic": "Top/Arts/Movies/Titles/J/Jasmine_Women", "url": "http://www.imdb.com/title/tt0370969/"} +{"d:Title": "Arclight Films: Jasmine Women", "d:Description": "Official page from international distributor", "topic": "Top/Arts/Movies/Titles/J/Jasmine_Women", "url": "http://www.arclightfilms.com/labels/easternlight/new_films/jasmine_women.php#trailer"} +{"d:Title": "IMDb: Jason and the Argonauts (1963)", "d:Description": "Full cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/J/Jason_and_the_Argonauts_-_1963", "url": "http://www.imdb.com/title/tt0057197/"} +{"d:Title": "The Jaundiced Eye", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/J/Jaundiced_Eye,_The", "url": "http://thejaundicedeye.com/"} +{"d:Title": "Inside Out Film: The Jaundiced Eye", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/Jaundiced_Eye,_The", "url": "http://www.iofilm.co.uk/fm/j/jaundiced_eye_r2_1999.shtml"} +{"d:Title": "Metacritic.com: The Jaundiced Eye", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Jaundiced_Eye,_The", "url": "http://www.metacritic.com/movie/the-jaundiced-eye"} +{"d:Title": "IMDb: The Jaundiced Eye", "d:Description": "Production information and user ratings.", "topic": "Top/Arts/Movies/Titles/J/Jaundiced_Eye,_The", "url": "http://www.imdb.com/title/tt0218359/"} +{"d:Title": "Rotten TomatoesL The Jaundiced Eye", "d:Description": "Synopsis and links to movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Jaundiced_Eye,_The", "url": "http://www.rottentomatoes.com/m/the_jaundiced_eye_2000/"} +{"d:Title": "All-Reviews.com: Jawbreaker", "d:Description": "Movie review and links to other reviews.", "topic": "Top/Arts/Movies/Titles/J/Jawbreaker", "url": "http://www.all-reviews.com/videos-3/jawbreaker.htm"} +{"d:Title": "CNN.com: Jawbreaker", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jawbreaker", "url": "http://www.cnn.com/SHOWBIZ/Movies/9902/22/review.jawbreaker/"} +{"d:Title": "Rotten Tomatoes: Jawbreaker", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jawbreaker", "url": "http://www.rottentomatoes.com/m/jawbreaker/"} +{"d:Title": "IMDb: Jawbreaker (1999)", "d:Description": "Plot outline and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jawbreaker", "url": "http://www.imdb.com/title/tt0155776/"} +{"d:Title": "Chicago Sun-times: Jawbreaker", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jawbreaker", "url": "http://www.rogerebert.com/reviews/jawbreaker-1999"} +{"d:Title": "Movieweb: Jawbreaker", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jawbreaker", "url": "http://www.movieweb.com/movie/jawbreaker"} +{"d:Title": "Jawsmovie.com", "d:Description": "Cast information, discussion forum, articles, images, multimedia clips, lost scenes, memorabilia, trivia, and links.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series", "url": "http://www.jawsmovie.com/"} +{"d:Title": "WWWF Grudge Match: Jaws vs. Flipper", "d:Description": "A comical story where the two aquatic superstars face off for ocean supremacy.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series", "url": "http://www.grudge-match.com/History/flipper-jaws.shtml"} +{"d:Title": "Greatest Films: Jaws", "d:Description": "Detailed review, plot synopsis, and discussion of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.filmsite.org/jaws.html"} +{"d:Title": "All-Reviews.com: Jaws", "d:Description": "A collection of reviews. Overall rating: 3.5 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.all-reviews.com/videos-2/jaws.htm"} +{"d:Title": "Movie Quotes Site: Jaws", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.moviequotes.com/archive/titles/283.html"} +{"d:Title": "Filmtracks: Jaws", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.filmtracks.com/titles/jaws.html"} +{"d:Title": "Rotten Tomatoes: Jaws", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.rottentomatoes.com/m/jaws/"} +{"d:Title": "Mutant Reviewers from Hell: Jaws", "d:Description": "Movie review with Mutant Meter ratings.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://mutantreviewers.wordpress.com/2011/04/07/jaws-retro-review/"} +{"d:Title": "IMDb: Jaws", "d:Description": "The Internet Movie Database includes cast overview, plot outline, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.imdb.com/title/tt0073195/"} +{"d:Title": "Chicago Sun-times: Jaws", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.rogerebert.com/reviews/jaws-1975"} +{"d:Title": "AllReaders.com Review: Jaws", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Roy Scheider and Richard Dreyfuss, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://allreaders.com/movie-review-summary/jaws-3591"} +{"d:Title": "TV Guide Online: Jaws", "d:Description": "Includes cast information and a detailed review.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws", "url": "http://www.tvguide.com/movies/jaws/102485/"} +{"d:Title": "Jaws 4: The Revenge by Richard Jeni", "d:Description": "Stand-up routine about why this is the worst movie of all time.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_-_The_Revenge", "url": "http://www.grudge-match.com/History/jaws4.shtml"} +{"d:Title": "IMDb: Jaws - The Revenge", "d:Description": "The Internet Movie Database includes cast overview, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_-_The_Revenge", "url": "http://www.imdb.com/title/tt0093300/"} +{"d:Title": "Rotten Tomatoes: Jaws 4 - The Revenge", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_-_The_Revenge", "url": "http://www.rottentomatoes.com/m/jaws-the-revenge/"} +{"d:Title": "Chicago Sun-Times: Jaws - The Revenge", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_-_The_Revenge", "url": "http://www.rogerebert.com/reviews/jaws-the-revenge-1987"} +{"d:Title": "TV Guide Online: Jaws - The Revenge", "d:Description": "Includes cast information and a brief review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_-_The_Revenge", "url": "http://www.tvguide.com/movies/jaws-revenge/102684/"} +{"d:Title": "Movie Quotes Site: Jaws 2", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_2", "url": "http://www.moviequotes.com/archive/titles/3119.html"} +{"d:Title": "IMDb: Jaws 2", "d:Description": "The Internet Movie Database includes cast overview, plot summary, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_2", "url": "http://www.imdb.com/title/tt0077766/"} +{"d:Title": "Rotten Tomatoes: Jaws 2", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_2", "url": "http://www.rottentomatoes.com/m/jaws_2/"} +{"d:Title": "Mutant Reviewers from Hell: Jaws 2", "d:Description": "Movie review with Mutant Meter ratings.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_2", "url": "http://mutantreviewers.wordpress.com/2012/08/29/eunice-does-jaws-2/"} +{"d:Title": "AllReaders.com Review: Jaws 2", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Roy Scheider film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_2", "url": "http://allreaders.com/movie-review-summary/jaws-2-7142"} +{"d:Title": "TV Guide Online: Jaws 2", "d:Description": "Includes cast and crew information and a brief review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_2", "url": "http://www.tvguide.com/movies/jaws-2/102686/"} +{"d:Title": "Rotten Tomatoes: Jaws 3-D", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_3-D", "url": "http://www.rottentomatoes.com/m/jaws_3/"} +{"d:Title": "IMDb: Jaws 3-D", "d:Description": "The Internet Movie Database includes cast overview, plot outline, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_3-D", "url": "http://www.imdb.com/title/tt0085750/"} +{"d:Title": "TV Guide Online: Jaws 3-D", "d:Description": "Includes cast information and a brief review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jaws_Series/Jaws_3-D", "url": "http://www.tvguide.com/movies/jaws-3-d/102685/"} +{"d:Title": "Greatest Films: The Jazz Singer (1927)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1927", "url": "http://www.filmsite.org/jazz.html"} +{"d:Title": "The 1920's Experience: The Jazz Singer (1927)", "d:Description": "Plot summary and discussion of the movie's historical importance.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1927", "url": "http://www.angelfire.com/co/pscst/jazzsinger.html"} +{"d:Title": "Al Jolson Society: The Jazz Singer (1927)", "d:Description": "Behind the scenes information, pictures, sound clips, and shooting script.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1927", "url": "http://www.jolson.org/works/film/js/jazzsinger.html"} +{"d:Title": "Rotten Tomatoes: The Jazz Singer (1927)", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1927", "url": "http://www.rottentomatoes.com/m/1010978-jazz_singer/"} +{"d:Title": "IMDb: The Jazz Singer (1927)", "d:Description": "Plot outline, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1927", "url": "http://www.imdb.com/title/tt0018037/"} +{"d:Title": "TV Guide Online: The Jazz Singer (1927)", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1927", "url": "http://www.tvguide.com/movies/jazz-singer/102693/"} +{"d:Title": "Rotten Tomatoes: The Jazz Singer (1980)", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1980", "url": "http://www.rottentomatoes.com/m/1010979-jazz_singer/"} +{"d:Title": "IMDb: The Jazz Singer (1980)", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1980", "url": "http://www.imdb.com/title/tt0080948/"} +{"d:Title": "TV Guide Online: The Jazz Singer (1980)", "d:Description": "Plot line, cast information, and review of Neil Diamond's movie remake.", "topic": "Top/Arts/Movies/Titles/J/Jazz_Singer,_The_-_1980", "url": "http://www.tvguide.com/movies/jazz-singer/102695/"} +{"d:Title": "Rotten Tomatoes: Jean De Florette", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jean_de_Florette", "url": "http://www.rottentomatoes.com/m/jean_de_florette/"} +{"d:Title": "IMDb: Jean de Florette (1986)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jean_de_Florette", "url": "http://www.imdb.com/title/tt0091288/"} +{"d:Title": "Chicago Sun-Times: Jean de Florette", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jean_de_Florette", "url": "http://www.rogerebert.com/reviews/jean-de-florette-1987"} +{"d:Title": "All-Reviews.com: Jeepers Creepers", "d:Description": "Movie review and rating, with links to other reviews.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers", "url": "http://www.all-reviews.com/videos-3/jeepers-creepers.htm"} +{"d:Title": "HARO Online: Jeepers Creepers", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers", "url": "http://www.haro-online.com/movies/jeepers_creepers.html"} +{"d:Title": "Rotten Tomatoes: Jeepers Creepers", "d:Description": "Links to reviews and news, synopsis, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers", "url": "http://www.rottentomatoes.com/m/jeepers_creepers/"} +{"d:Title": "Metacritic.com: Jeepers Creepers", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers", "url": "http://www.metacritic.com/movie/jeepers-creepers"} +{"d:Title": "MovieWeb: Jeepers Creepers", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers", "url": "http://www.movieweb.com/movie/jeepers-creepers"} +{"d:Title": "Internet Movie Database: Jeepers Creepers II", "d:Description": "Synopsis, cast and crew, user comments and ratings, quotes, trivia, related films, production and merchandising information, box office details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2", "url": "http://imdb.com/title/tt0301470/"} +{"d:Title": "SoundtrackCollector: Jeepers Creepers 2", "d:Description": "Soundtrack listing, label details, and discussion.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=58690"} +{"d:Title": "Screen It!: Jeepers Creepers 2", "d:Description": "General information, cast and crew, synopsis, and a breakdown of the film's content by categories of interest to parents.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2", "url": "http://www.screenit.com/movies/2003/jeepers_creepers_2.html"} +{"d:Title": "All-Reviews.com - Jeepers Creepers II", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2", "url": "http://all-reviews.com/videos-5/jeepers-creepers-two.htm"} +{"d:Title": "Guardian: Jeepers Creepers 2", "d:Description": "Film information, ratings, reviews, and links.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2", "url": "http://www.theguardian.com/film/movie/96662/jeepers.creepers.2"} +{"d:Title": "Aggressive-Voice.com: Jeepers Creepers 2", "d:Description": "Scott Spicciati's review of the film, \"...looks good on the outside, but jeepers creepers, there's nothing on the inside.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://aggressive-voice.com/zzz122.html"} +{"d:Title": "HARO Online: Jeepers Creepers 2", "d:Description": "Haro reviews the film: \"...doesn't even do a good job of setting up another sequel, which, unfortunately, will probably happen. \"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.haro-online.com/movies/jeepers_creepers2.html"} +{"d:Title": "Mark Reviews Movies: Jeepers Creepers 2", "d:Description": "Review of the movie by Mark Dujsik. \"This one is one too many.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://mark-reviews-movies.tripod.com/reviews/J/jeeperscreepers2.htm"} +{"d:Title": "Nitrate Online: Jeepers Creepers 2", "d:Description": "Gregory Avery's review of the film, \"...a fun experience which releases some cathartic chills in us before it's over.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.nitrateonline.com/2003/rjeepers.html"} +{"d:Title": "Nothing can fix this flat", "d:Description": "Steve Persall of the St. Petersburg Times reviews the movie. \"Talk about a lame psycho.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.sptimes.com/2003/08/28/Weekend/Nothing_can_fix_this_.shtml"} +{"d:Title": "The Phantom Tollbooth: Jeepers Creepers 2", "d:Description": "Reviews by Marie Asner and Gareth Von Kallenbach.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.tollbooth.org/2003/movies/jc2.html"} +{"d:Title": "Reeling Reviews: Jeepers Creepers 2", "d:Description": "Reviews from two different viewpoints.", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.reelingreviews.com/jeeperscreepers2.htm"} +{"d:Title": "TheMovieBoy: Jeepers Creepers 2", "d:Description": "Review by Dustin Putman. \"... nothing more than a joyless, by-the-numbers, paper-thin disappointment.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.themovieboy.com/directlinks/03jeeperscreepers2.htm"} +{"d:Title": "QNetwork: Jeepers Creepers 2", "d:Description": "Review of the movie by James Kendrick. \"The movie as a whole is, in fact, the very definition of unnecessary.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.qnetwork.com/?page=review&id=1192"} +{"d:Title": "'Creepers' sequel takes ugly turn", "d:Description": "A review by Jon Niccum. \"If only Salva hadn't made the movie so emotionally ugly.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www2.ljworld.com/news/2003/aug/29/creepers_sequel_takes/"} +{"d:Title": "Cinema Blend: Jeepers Creepers 2", "d:Description": "Review by Michael Brody. \"...a horror series that is willing to layer subtext beneath a toy-shop full of tricks.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.cinemablend.com/reviews/Jeepers-Creepers-2-363.html"} +{"d:Title": "Teenagers Make the Best Hors d'Oeuvres", "d:Description": "New York Times critic Dave Kehr reviews the movie. \"Even monsters need more than one dimension to make it in the movies.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.nytimes.com/2003/08/29/movies/film-review-teenagers-make-the-best-hors-d-oeuvres.html"} +{"d:Title": "FlickFilosopher.com: Jeepers Creepers 2", "d:Description": "A review by Mary-Ann Johanson. \"Sometimes bad is bad.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.flickfilosopher.com/2003/09/jeepers-creepers-2-review.html"} +{"d:Title": "It's so bad, it's scary", "d:Description": "Claudia Puig's review of the film. \"Jeepers, the Creeper has little to recommend it.\" [USA Today]", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2003-08-28-review-jeepers_x.htm"} +{"d:Title": "Scarier with lights on", "d:Description": "Mick LaSalle of the San Francisco Chronicle reviews the movie. \"Once night descends, the film descends with it.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.sfgate.com/movies/article/Scarier-with-lights-on-Jeepers-much-creepier-2593166.php"} +{"d:Title": "Chicago Sun-Times: Jeepers Creepers 2", "d:Description": "Roger Ebert's review of the film, \"...first-class creature, a fourth-rate story, and dialogue possibly created by feeding the screenplay into a pasta maker.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://www.rogerebert.com/reviews/jeepers-creepers-2-2003"} +{"d:Title": "Film Blather: Jeepers Creepers 2", "d:Description": "Eugene Novikov reviews the film; \"...plays like a manifestation of Salva's unchecked id.\"", "topic": "Top/Arts/Movies/Titles/J/Jeepers_Creepers_Series/Jeepers_Creepers_2/Reviews", "url": "http://filmblather.com/films/jeeperscreepers/"} +{"d:Title": "All-Reviews.com: Jerry Maguire", "d:Description": "Movie review and links.", "topic": "Top/Arts/Movies/Titles/J/Jerry_Maguire", "url": "http://www.all-reviews.com/videos-2/jerry-maguire.htm"} +{"d:Title": "IMDb: Jerry Maguire", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jerry_Maguire", "url": "http://www.imdb.com/title/tt0116695/"} +{"d:Title": "Chicago Sun-Times: Jerry Maguire", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jerry_Maguire", "url": "http://www.rogerebert.com/reviews/jerry-maguire-1996"} +{"d:Title": "MovieWeb: Jerry Maguire", "d:Description": "Review, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jerry_Maguire", "url": "http://www.movieweb.com/movie/jerry-maguire"} +{"d:Title": "The Internet Movie Database (IMDb): Jersey Girl (2004)", "d:Description": "Cast listing, production and box office information, synopsis, trivia and filming locations.", "topic": "Top/Arts/Movies/Titles/J/Jersey_Girl_-_2004", "url": "http://imdb.com/title/tt0300051/"} +{"d:Title": "HARO Online: Jersey Girl", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jersey_Girl_-_2004", "url": "http://www.haro-online.com/movies/jersey_girl.html"} +{"d:Title": "All-Reviews.com: Jersey Girl", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Jersey_Girl_-_2004", "url": "http://www.all-reviews.com/videos-5/jersey-girl.htm"} +{"d:Title": "About: Jersey Girl", "d:Description": "Review, articles, and multimedia.", "topic": "Top/Arts/Movies/Titles/J/Jersey_Girl_-_2004", "url": "http://movies.about.com/od/jerseygirl/"} +{"d:Title": "Miramax Films: Jersey Girl", "d:Description": "Official site with trailer, production notes, Kevin Smith's on-set diary, cast and crew, pictures, wallpapers, buddy icons, video clips, and views of the town of Highlands featured in the film.", "topic": "Top/Arts/Movies/Titles/J/Jersey_Girl_-_2004", "url": "http://www.miramax.com/movie/jersey-girl/"} +{"d:Title": "IMDb: Jerusalem", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jerusalem", "url": "http://www.imdb.com/title/tt0116696/"} +{"d:Title": "Austin Chronicle: Jerusalem", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/Jerusalem", "url": "http://www.austinchronicle.com/calendar/film/142462/"} +{"d:Title": "TV Guide Online: Jerusalem", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jerusalem", "url": "http://www.tvguide.com/movies/jerusalem/132101/"} +{"d:Title": "Rotten Tomatoes: Jesus' Son", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jesus'_Son", "url": "http://www.rottentomatoes.com/m/jesus_son/"} +{"d:Title": "eFilmCritic: Jesus' Son", "d:Description": "Movie review and user ratings.", "topic": "Top/Arts/Movies/Titles/J/Jesus'_Son", "url": "http://efilmcritic.com/review.php?movie=4397"} +{"d:Title": "IMDb: Jesus' Son (1999)", "d:Description": "Full cast information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jesus'_Son", "url": "http://www.imdb.com/title/tt0186253/"} +{"d:Title": "Chicago Sun-Times: Jesus' Son", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jesus'_Son", "url": "http://www.rogerebert.com/reviews/jesus-son-2000"} +{"d:Title": "Metacritic.com: Jesus' Son", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Jesus'_Son", "url": "http://www.metacritic.com/movie/jesus-son"} +{"d:Title": "La Jet\u00e9e", "d:Description": "Notes by Paul Smith and Jean-Louis Schefer.", "topic": "Top/Arts/Movies/Titles/J/Jet\u00e9e,_La", "url": "http://mason.gmu.edu/~psmith5/jetee.html"} +{"d:Title": "IMDb: La Jet\u00e9e", "d:Description": "Plot summary, cast and credit information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jet\u00e9e,_La", "url": "http://www.imdb.com/title/tt0056119/"} +{"d:Title": "TV Guide Online: La Jet\u00e9e", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jet\u00e9e,_La", "url": "http://www.tvguide.com/movies/la-jetee/132177/"} +{"d:Title": "IMDb: Jet Boy", "d:Description": "Plot outline, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jet_Boy", "url": "http://www.imdb.com/title/tt0271580/"} +{"d:Title": "HARO Online: Jet Lag", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jet_Lag", "url": "http://www.haro-online.com/movies/jet_lag.html"} +{"d:Title": "Rotten Tomatoes: Jet Lag", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jet_Lag", "url": "http://www.rottentomatoes.com/m/jet_lag/"} +{"d:Title": "IMDb: Jet Lag (D\u00e9calage Horaire) (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jet_Lag", "url": "http://www.imdb.com/title/tt0293116/"} +{"d:Title": "Filmsite: Greatest Films", "d:Description": "Tim Dirks's extensive review and dialogue analysis.", "topic": "Top/Arts/Movies/Titles/J/Jezebel", "url": "http://www.filmsite.org/jeze.html"} +{"d:Title": "Lynn's Tribute to Bette Davis: Filmography", "d:Description": "Short film synopsis, cast call, gallery with both film and original images, and links to other Davis film reviews.", "topic": "Top/Arts/Movies/Titles/J/Jezebel", "url": "http://www.classicmoviefavorites.com/actresses-featured-at-classic-movie-favorites/bette-davis/"} +{"d:Title": "Internet Movie Database (IMDb): Jezebel (1938)", "d:Description": "Cast and crew, viewer reviews, image galleries, and plot summary.", "topic": "Top/Arts/Movies/Titles/J/Jezebel", "url": "http://www.imdb.com/title/tt0030287/"} +{"d:Title": "The Assassination Goes Hollywood", "d:Description": "Discussion of the historical accuracy of Oliver Stone's movie on the Kennedy assassination, with links to related articles.", "topic": "Top/Arts/Movies/Titles/J/JFK", "url": "http://mcadams.posc.mu.edu/jfkmovie.htm"} +{"d:Title": "Political Paranoia as Cinematic Motif: Stone's JFK", "d:Description": "This paper argues that the paranoid theme has a particular resonance with politics and lends itself both artistically and financially to film. Oliver Stone's JFK serves as the principal illustration.", "topic": "Top/Arts/Movies/Titles/J/JFK", "url": "http://mcadams.posc.mu.edu/robins.htm"} +{"d:Title": "All-Reviews.com: JFK", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/JFK", "url": "http://www.all-reviews.com/videos-3/jfk.htm"} +{"d:Title": "The JFK 100", "d:Description": "A detailed analysis and critique of one hundred factual assertions and interpretations in the movie that are considered to be erroneous.", "topic": "Top/Arts/Movies/Titles/J/JFK", "url": "http://www.jfk-online.com/jfk100menu.html"} +{"d:Title": "IMDB: JFK", "d:Description": "Plot outline, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/JFK", "url": "http://www.imdb.com/title/tt0102138/"} +{"d:Title": "Chicago Sun-Times: JFK", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/JFK", "url": "http://www.rogerebert.com/reviews/great-movie-jfk-1991"} +{"d:Title": "IMDb: Jigsaw", "d:Description": "Cast information.", "topic": "Top/Arts/Movies/Titles/J/Jigsaw", "url": "http://www.imdb.com/title/tt0284220/"} +{"d:Title": "Rotten Tomatoes: Jingle All the Way", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jingle_All_the_Way", "url": "http://www.rottentomatoes.com/m/jingle_all_the_way/"} +{"d:Title": "IMDb: Jingle All the Way", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jingle_All_the_Way", "url": "http://www.imdb.com/title/tt0116705/"} +{"d:Title": "Chicago Sun-times: Jingle All the Way", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jingle_All_the_Way", "url": "http://www.rogerebert.com/reviews/jingle-all-the-way-1996"} +{"d:Title": "MovieWeb: Jingle All The Way", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jingle_All_the_Way", "url": "http://www.movieweb.com/movie/jingle-all-the-way"} +{"d:Title": "Life (Jiyan)", "d:Description": "A review by Jaap Mees.", "topic": "Top/Arts/Movies/Titles/J/Jiyan", "url": "http://www.talkingpix.co.uk/ReviewsLifeJiyan.html"} +{"d:Title": "Jiyan", "d:Description": "The official website offers a summary of the film, a list of cast and crew, and photo gallery. With director notes, links for reviews, and information on festival screenings.", "topic": "Top/Arts/Movies/Titles/J/Jiyan", "url": "http://www.evinifilms.com/jiyan.html"} +{"d:Title": "Boston Phoenix: Joan the Maid", "d:Description": "Movie review by Chris Fujiwara.", "topic": "Top/Arts/Movies/Titles/J/Joan_the_Maid", "url": "http://www.bostonphoenix.com/boston/movies/reviews/documents/01779340.htm"} +{"d:Title": "Need Coffee: Joan the Maid", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joan_the_Maid", "url": "http://www.needcoffee.com/2008/02/20/joan-maid-dvd-review/"} +{"d:Title": "IMDb: Joan the Maid 1 - The Battles", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joan_the_Maid", "url": "http://www.imdb.com/title/tt0107259/"} +{"d:Title": "IMDb: Joan the Maid 2 - The Prisons", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joan_the_Maid", "url": "http://www.imdb.com/title/tt0107260/"} +{"d:Title": "Rotten Tomatoes: Joe's Apartment", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Joe's_Apartment", "url": "http://www.rottentomatoes.com/m/joes_apartment/"} +{"d:Title": "IMDb: Joe's Apartment", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joe's_Apartment", "url": "http://www.imdb.com/title/tt0116707/"} +{"d:Title": "Chicago Sun-Times: Joe's Apartment", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Joe's_Apartment", "url": "http://www.rogerebert.com/reviews/joes-apartment-1996"} +{"d:Title": "MovieWeb: Joe's Apartment", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joe's_Apartment", "url": "http://www.movieweb.com/movie/joes-apartment"} +{"d:Title": "Urban Cinefile: Joey", "d:Description": "Movie reviews and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joey", "url": "http://www.urbancinefile.com.au/home/view.asp?a=699&s=Reviews"} +{"d:Title": "IMDb: Joey", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joey", "url": "http://www.imdb.com/title/tt0119415/"} +{"d:Title": "TV Guide Online: Joey", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/J/Joey", "url": "http://www.tvguide.com/movies/joey/133372/"} +{"d:Title": "IMDb - Joe and Ethel Turp Call on the President (1939)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/J/Joe_and_Ethel_Turp_Call_on_the_President", "url": "http://www.imdb.com/title/tt0031510/"} +{"d:Title": "Cinebooks Database - Joe and Ethel Turp Call on the President", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/J/Joe_and_Ethel_Turp_Call_on_the_President", "url": "http://www.tvguide.com/movies/joe-ethel-turp-president/102775/"} +{"d:Title": "All-Reviews.com: Joe Dirt", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Joe_Dirt", "url": "http://www.all-reviews.com/videos-3/joe-dirt.htm"} +{"d:Title": "HARO Online: Joe Dirt", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joe_Dirt", "url": "http://www.haro-online.com/movies/joe_dirt.html"} +{"d:Title": "Rotten Tomatoes: Joe Dirt", "d:Description": "Reviews, news, multimedia, and forum for the David Spade movie.", "topic": "Top/Arts/Movies/Titles/J/Joe_Dirt", "url": "http://www.rottentomatoes.com/m/joe_dirt/"} +{"d:Title": "Metacritic.com: Joe Dirt", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Joe_Dirt", "url": "http://www.metacritic.com/movie/joe-dirt"} +{"d:Title": "IMDb: Joe Dirt", "d:Description": "User reviews, cast and crew listing, and production photographs.", "topic": "Top/Arts/Movies/Titles/J/Joe_Dirt", "url": "http://www.imdb.com/title/tt0245686/"} +{"d:Title": "Chicago Sun-Times: Joe Dirt", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Joe_Dirt", "url": "http://www.rogerebert.com/reviews/joe-dirt-2001"} +{"d:Title": "MovieWeb: Joe Dirt", "d:Description": "Synopsis, production information, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joe_Dirt", "url": "http://www.movieweb.com/movie/joe-dirt"} +{"d:Title": "HARO Online: Joe Gould's Secret", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/J/Joe_Gould's_Secret", "url": "http://www.haro-online.com/movies/joe_goulds_secret.html"} +{"d:Title": "Rotten Tomatoes: Joe Gould's Secret", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Joe_Gould's_Secret", "url": "http://www.rottentomatoes.com/m/joe_goulds_secret/"} +{"d:Title": "eFilmCritic: Joe Gould's Secret", "d:Description": "Movie review and user ratings.", "topic": "Top/Arts/Movies/Titles/J/Joe_Gould's_Secret", "url": "http://efilmcritic.com/review.php?movie=3861"} +{"d:Title": "Metacritic.com: Joe Gould's Secret", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Joe_Gould's_Secret", "url": "http://www.metacritic.com/movie/joe-goulds-secret"} +{"d:Title": "IMDb: Joe Gould's Secret", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joe_Gould's_Secret", "url": "http://www.imdb.com/title/tt0172632/"} +{"d:Title": "Chicago Sun-Times: Joe Gould's Secret", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Joe_Gould's_Secret", "url": "http://www.rogerebert.com/reviews/joe-goulds-secret-2000"} +{"d:Title": "MovieWeb: Joe Gould's Secret", "d:Description": "Review, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joe_Gould's_Secret", "url": "http://www.movieweb.com/movie/joe-goulds-secret"} +{"d:Title": "HARO Online: Joe Somebody", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joe_Somebody", "url": "http://www.haro-online.com/movies/joe_somebody.html"} +{"d:Title": "All-Reviews.com: Joe Somebody", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Joe_Somebody", "url": "http://all-reviews.com/videos-4/joe-somebody.htm"} +{"d:Title": "Rotten Tomatoes: Joe Somebody", "d:Description": "Links to movie reviews, synopsis, cast information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/J/Joe_Somebody", "url": "http://www.rottentomatoes.com/m/joe_somebody/"} +{"d:Title": "Metacritic.com: Joe Somebody", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Joe_Somebody", "url": "http://www.metacritic.com/movie/joe-somebody"} +{"d:Title": "IMDb: Joe Somebody (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joe_Somebody", "url": "http://www.imdb.com/title/tt0279889/"} +{"d:Title": "Chicago Sun-Times: Joe Somebody", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Joe_Somebody", "url": "http://www.rogerebert.com/reviews/joe-somebody-2001"} +{"d:Title": "MovieWeb: Joe Somebody", "d:Description": "Plot description, production information, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joe_Somebody", "url": "http://www.movieweb.com/movie/joe-somebody"} +{"d:Title": "Filmtracks: Joe Versus the Volcano", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/Joe_Versus_the_Volcano", "url": "http://www.filmtracks.com/titles/joe_volcano.html"} +{"d:Title": "Rotten Tomatoes: Joe Versus the Volcano", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Joe_Versus_the_Volcano", "url": "http://www.rottentomatoes.com/m/joe_versus_the_volcano/"} +{"d:Title": "Joe Versus the Volcano", "d:Description": "Fan site with pictures, sound files, character descriptions, essays, and links.", "topic": "Top/Arts/Movies/Titles/J/Joe_Versus_the_Volcano", "url": "http://www.mindspring.com/~waponi/"} +{"d:Title": "IMDb: Joe Versus the Volcano", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joe_Versus_the_Volcano", "url": "http://www.imdb.com/title/tt0099892/"} +{"d:Title": "Chicago Sun-Times: Joe Versus the Volcano", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Joe_Versus_the_Volcano", "url": "http://www.rogerebert.com/reviews/joe-versus-the-volcano-1990"} +{"d:Title": "AllReaders.com : Joe Versus the Volcano.", "d:Description": "Analytical review of the plot, setting, theme, and structure of the movie.", "topic": "Top/Arts/Movies/Titles/J/Joe_Versus_the_Volcano", "url": "http://allreaders.com/movie-review-summary/joe-versus-the-volcano-4766"} +{"d:Title": "IMDb: Johnny Dangerously", "d:Description": "Includes cast and crew, plot summary, quotes, goofs and film information.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Dangerously", "url": "http://imdb.com/title/tt0087507/"} +{"d:Title": "Fast-Rewind: Johnny Dangerously", "d:Description": "Includes synopsis, trivia and cast information.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Dangerously", "url": "http://www.fast-rewind.com/johnnydangerously.htm"} +{"d:Title": "Rotten Tomatoes: Johnny Dangerously", "d:Description": "Collection of reviews for the movie.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Dangerously", "url": "http://www.rottentomatoes.com/m/johnny_dangerously/"} +{"d:Title": "HARO Online: Johnny English", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "http://www.haro-online.com/movies/johnny_english.html"} +{"d:Title": "All-Reviews.com - Johnny English", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "http://www.all-reviews.com/videos-5/johnny-english.htm"} +{"d:Title": "Rotten Tomatoes: Johnny English", "d:Description": "Reviews, synopsis, trailer, photographs, and articles.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "http://www.rottentomatoes.com/m/johnny_english/"} +{"d:Title": "IMDb: Johnny English (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "http://www.imdb.com/title/tt0274166/"} +{"d:Title": "Chicago Sun-Times: Johnny English", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "http://www.rogerebert.com/reviews/johnny-english-2003"} +{"d:Title": "MovieWeb: Johnny English", "d:Description": "Plot summary, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "http://www.movieweb.com/movie/johnny-english"} +{"d:Title": "Contactmusic.com: Johnny English", "d:Description": "Synopsis and multimedia.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "http://www.contactmusic.com/pages/johnnyenglishextrax03x04x03"} +{"d:Title": "Yahoo! Movies: Johnny English", "d:Description": "Synopsis, credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/J/Johnny_English", "url": "https://www.yahoo.com/movies/film/johnny-english/?ref=gs"} +{"d:Title": "ARG! Cartoon Animation: Johnny Mnemonic", "d:Description": "Technical information on the movie's special effects.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Mnemonic", "url": "http://www.artie.com/johnny.htm"} +{"d:Title": "Jabootu's Bad Movie Dimension: Johnny Mnemonic", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Mnemonic", "url": "http://www.jabootu.com/johnnym.htm"} +{"d:Title": "MRQE: Johnny Mnemonic", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Mnemonic", "url": "http://www.mrqe.com/lookup?%5EJOHNNY+MNEMONIC+(1995)"} +{"d:Title": "All-Reviews.com: Johnny Mnemonic", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Mnemonic", "url": "http://www.all-reviews.com/videos-4/johnny-mnemonic.htm"} +{"d:Title": "IMDB: Johnny Mnemonic", "d:Description": "Overview, cast list, and links.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Mnemonic", "url": "http://www.imdb.com/title/tt0113481/"} +{"d:Title": "Chicago Sun-Times: Johnny Mnemonic", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Mnemonic", "url": "http://www.rogerebert.com/reviews/johnny-mnemonic-1995"} +{"d:Title": "Movieweb: Johnny Mnemonic", "d:Description": "Overview, movie stills, movie trailer, and links.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Mnemonic", "url": "http://www.movieweb.com/movie/johnny-mnemonic"} +{"d:Title": "IMDb: Johnny Skidmarks", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Skidmarks", "url": "http://www.imdb.com/title/tt0119416/"} +{"d:Title": "TV Guide Online: Johnny Skidmarks", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Skidmarks", "url": "http://www.tvguide.com/movies/johnny-skidmarks/133957/"} +{"d:Title": "IMDb - Johnny Suede (1991)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Suede", "url": "http://www.imdb.com/title/tt0104567/combined"} +{"d:Title": "TV Guide Online: Johnny Suede", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/J/Johnny_Suede", "url": "http://www.tvguide.com/movies/johnny-suede/129009/"} +{"d:Title": "Rotten Tomatoes: Johns", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Johns", "url": "http://www.rottentomatoes.com/m/johns/"} +{"d:Title": "IMDb: Johns", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Johns", "url": "http://www.imdb.com/title/tt0116714/"} +{"d:Title": "Chicago Sun-Times: Johns", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Johns", "url": "http://www.rogerebert.com/reviews/Johns-1997"} +{"d:Title": "TV Guide Online: Johns", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/J/Johns", "url": "http://www.tvguide.com/movies/johns/130811/"} +{"d:Title": "HARO Online: Johnson Family Vacation", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Johnson_Family_Vacation", "url": "http://www.haro-online.com/movies/johnson_family_vacation.html"} +{"d:Title": "IMDb: Johnson Family Vacation (2004)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Johnson_Family_Vacation", "url": "http://www.imdb.com/title/tt0359517/"} +{"d:Title": "Rotten Tomatoes: Johnson Family Vacation", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/J/Johnson_Family_Vacation", "url": "http://www.rottentomatoes.com/m/johnson_family_vacation/"} +{"d:Title": "Chicago Sun-Times: Johnson Family Vacation", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Johnson_Family_Vacation", "url": "http://www.rogerebert.com/reviews/johnson-family-vacation-2004"} +{"d:Title": "All-Reviews.com: John Q.", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/J/John_Q.", "url": "http://www.all-reviews.com/videos-4/john-q.htm"} +{"d:Title": "Rotten Tomatoes: John Q.", "d:Description": "Links to movie reviews, synopsis, cast and crew information, multimedia, production notes, and forum.", "topic": "Top/Arts/Movies/Titles/J/John_Q.", "url": "http://www.rottentomatoes.com/m/john_q/"} +{"d:Title": "Metacritic.com: John Q.", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/J/John_Q.", "url": "http://www.metacritic.com/movie/john-q"} +{"d:Title": "IMDb: John Q.", "d:Description": "Plot outline, cast and crew information, and trailer.", "topic": "Top/Arts/Movies/Titles/J/John_Q.", "url": "http://www.imdb.com/title/tt0251160/"} +{"d:Title": "Chicago Sun-Times: John Q.", "d:Description": "Rober Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/John_Q.", "url": "http://www.rogerebert.com/reviews/john-q-2002"} +{"d:Title": "MovieWeb: John Q.", "d:Description": "Plot summary, production information, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/J/John_Q.", "url": "http://www.movieweb.com/movie/john-q"} +{"d:Title": "IMDB: John Tucker Must Die (2006)", "d:Description": "Message board, actor information, trailer, and photographs.", "topic": "Top/Arts/Movies/Titles/J/John_Tucker_Must_Die", "url": "http://www.imdb.com/title/tt0455967/"} +{"d:Title": "HARO Online: Joshua", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joshua", "url": "http://www.haro-online.com/movies/joshua.html"} +{"d:Title": "Rotten Tomatoes: Joshua", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Joshua", "url": "http://www.rottentomatoes.com/m/1113658-joshua/"} +{"d:Title": "IMDb: Joshua (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joshua", "url": "http://www.imdb.com/title/tt0271582/"} +{"d:Title": "IMDb: Joshua (2007)", "d:Description": "Photo gallery, videos, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/J/Joshua_-_2007", "url": "http://www.imdb.com/title/tt0808331/"} +{"d:Title": "IGN Movies: Joshua", "d:Description": "Photos, videos, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/J/Joshua_-_2007", "url": "http://www.ign.com/movies/joshua/theater-899535"} +{"d:Title": "New York Times: Joshua", "d:Description": "Review, by Jeannette Catsoulis: \"Poised self-consciously between art and entertainment, Joshua offers imaginative staging and some superb performances.\"", "topic": "Top/Arts/Movies/Titles/J/Joshua_-_2007", "url": "http://www.nytimes.com/2007/07/06/movies/06josh.html?ref=movies"} +{"d:Title": "MovieWeb: Joshua", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/J/Joshua_-_2007", "url": "http://www.movieweb.com/movie/joshua"} +{"d:Title": "Josh Jarman", "d:Description": "Official site with screening information, synopsis, credits and pictures.", "topic": "Top/Arts/Movies/Titles/J/Josh_Jarman", "url": "http://www.joshjarmanthemovie.com/"} +{"d:Title": "IMDb: Josh Jarman (2004)", "d:Description": "Plot summary, cast and crew information, user comments, and message board.", "topic": "Top/Arts/Movies/Titles/J/Josh_Jarman", "url": "http://www.imdb.com/title/tt0359518/"} +{"d:Title": "Hollywood Bitchslap: SXSW '05 Interview", "d:Description": "An interview with director Pip Mushin about the movie.", "topic": "Top/Arts/Movies/Titles/J/Josh_Jarman", "url": "http://www.hollywoodbitchslap.com/feature.php?feature=1380"} +{"d:Title": "All-Reviews.com: Josie and the Pussycats", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.all-reviews.com/videos-3/josie-pussycats.htm"} +{"d:Title": "Josie's Lynx", "d:Description": "Links to sites about the movie and the artists behind the soundtrack.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.angelfire.com/realm2/josieslynx/"} +{"d:Title": "Josie Josie Josie", "d:Description": "Synopsis, cast list, movie reviews, pictures, soundtrack information, song lyrics, and links.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.josiejosiejosie.faithweb.com/"} +{"d:Title": "Rotten Tomatoes: Josie and the Pussycats", "d:Description": "Links to movie reviews, synopsis, cast information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.rottentomatoes.com/m/josie_and_the_pussycats/"} +{"d:Title": "Efilmcritic.com: Josie and the Pussycats", "d:Description": "Movie review and user ratings.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://efilmcritic.com/review.php?movie=4628"} +{"d:Title": "Metacritic.com: Josie and the Pussycats", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.metacritic.com/movie/josie-and-the-pussycats"} +{"d:Title": "IMDb: Josie and the Pussycats", "d:Description": "Plot outline, cast overview, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.imdb.com/title/tt0236348/"} +{"d:Title": "Chicago Sun-Times: Josie and the Pussycats", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.rogerebert.com/reviews/josie-and-the-pussycats-2001"} +{"d:Title": "MovieWeb: Josie and the Pussycats", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Josie_and_the_Pussycats", "url": "http://www.movieweb.com/movie/josie-and-the-pussycats"} +{"d:Title": "USA Today: Journey to the Center of the Earth", "d:Description": "Review, by Claudia Puig: \"Not so much a movie as an amusement park ride.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Center_of_the_Earth", "url": "http://www.usatoday.com/life/movies/reviews/2008-07-10-journey-to-center-of-earth_N.htm"} +{"d:Title": "MovieWeb: Journey to the Center of the Earth (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Center_of_the_Earth", "url": "http://www.movieweb.com/movie/journey-to-the-center-of-the-earth"} +{"d:Title": "Rotten Tomatoes: Journey to the Center of the Earth", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Center_of_the_Earth", "url": "http://www.rottentomatoes.com/m/journey_to_the_center_of_the_earth_3d/"} +{"d:Title": "IGN: Journey to the Center of the Earth", "d:Description": "News, preview, screenshots, videos, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Center_of_the_Earth", "url": "http://www.ign.com/movies/journey-to-the-center-of-the-earth/theater-34374"} +{"d:Title": "TV Guide: Journey to the Center of the Earth", "d:Description": "Review, by Maitland McDonagh: \"This dumbed-down spin on Jules Verne's classic adventure tale was devised as a kid-friendly roller-coaster ride, and it delivers the goods. Whether anyone over the age of eight wants the goods is another matter altogether.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Center_of_the_Earth", "url": "http://www.tvguide.com/movies/journey-center-earth-3d/review/293497/"} +{"d:Title": "ReelViews: Journey to the Center of the Earth", "d:Description": "Review, by James Berardinelli: \"With 3-D, a little goes a long way and, in the absence of a legitimate script with credible characters, the fun dries up long before the running time has expired.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Center_of_the_Earth", "url": "http://www.reelviews.net/reelviews/journey-to-the-center-of-the-earth"} +{"d:Title": "Rotten Tomatoes: Journey to the Far Side of the Sun", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Far_Side_of_the_Sun", "url": "http://www.rottentomatoes.com/m/journey_to_the_far_side_of_the_sun/"} +{"d:Title": "IMDb: Journey to the Far Side of the Sun", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Far_Side_of_the_Sun", "url": "http://www.imdb.com/title/tt0064519/"} +{"d:Title": "The Big Picture Review: Journey to the Far Side of the Sun", "d:Description": "Comments on the movie and DVD product review.", "topic": "Top/Arts/Movies/Titles/J/Journey_to_the_Far_Side_of_the_Sun", "url": "http://www.thebigpicturedvd.com/journey-to-the-far-side-of-the-sun"} +{"d:Title": "Teaching With Movies: The Joy Luck Club", "d:Description": "Analyzes the movie's educational potentional. Includes description of the historical and cultural context and suggested discussion questions.", "topic": "Top/Arts/Movies/Titles/J/Joy_Luck_Club,_The", "url": "http://www.teachwithmovies.org/guides/joy-luck-club.html"} +{"d:Title": "All-Reviews.com - The Joy Luck Club", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Joy_Luck_Club,_The", "url": "http://www.all-reviews.com/videos-3/joy-luck-club.htm"} +{"d:Title": "Rotten Tomatoes: The Joy Luck Club", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Joy_Luck_Club,_The", "url": "http://www.rottentomatoes.com/m/joy_luck_club/"} +{"d:Title": "IMDb: The Joy Luck Club", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Joy_Luck_Club,_The", "url": "http://www.imdb.com/title/tt0107282/"} +{"d:Title": "Chicago Sun-Times: The Joy Luck Club", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Joy_Luck_Club,_The", "url": "http://www.rogerebert.com/reviews/the-joy-luck-club-1993"} +{"d:Title": "All-Reviews.com: Joy Ride", "d:Description": "Collection of movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Joy_Ride", "url": "http://www.all-reviews.com/videos-3/joy-ride.htm"} +{"d:Title": "HARO Online: Joy Ride", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joy_Ride", "url": "http://www.haro-online.com/movies/joy_ride.html"} +{"d:Title": "Joy Ride (2001)", "d:Description": "Fan site features cast and crew information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Joy_Ride", "url": "http://joyride.iwarp.com/"} +{"d:Title": "Rotten Tomatoes: Joy Ride", "d:Description": "Links to reviews, cast information, and multimedia.", "topic": "Top/Arts/Movies/Titles/J/Joy_Ride", "url": "http://www.rottentomatoes.com/m/joy_ride/"} +{"d:Title": "Metacritic.com: Joy Ride", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/J/Joy_Ride", "url": "http://www.metacritic.com/movie/joy-ride"} +{"d:Title": "Chicago Sun-Times: Joy Ride", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Joy_Ride", "url": "http://www.rogerebert.com/reviews/joy-ride-2001"} +{"d:Title": "HARO Online: Ju-on - The Grudge", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Ju-on_-_The_Grudge", "url": "http://www.haro-online.com/movies/ju-on.html"} +{"d:Title": "IMDb: Ju-on -The Grudge (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/J/Ju-on_-_The_Grudge", "url": "http://www.imdb.com/title/tt0364385/"} +{"d:Title": "IMDb: Jubilee", "d:Description": "Full cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/J/Jubilee_-_1977", "url": "http://www.imdb.com/title/tt0076240/"} +{"d:Title": "DVD Reviewer: Jubilee", "d:Description": "Movie review, pictures, and DVD product review.", "topic": "Top/Arts/Movies/Titles/J/Jubilee_-_1977", "url": "http://www.myreviewer.com/DVD/18973/Jubilee-UK/About"} +{"d:Title": "IMDb: Judas Kiss", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Judas_Kiss", "url": "http://www.imdb.com/title/tt0138541/"} +{"d:Title": "The Judas Project", "d:Description": "Official site. Philosophy behind the film, multimedia, character analyses, writer/director biography, and biblical references.", "topic": "Top/Arts/Movies/Titles/J/Judas_Project,_The", "url": "http://jamesbarden.com/"} +{"d:Title": "IMDb: The Judas Project (1993)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/J/Judas_Project,_The", "url": "http://www.imdb.com/title/tt0107284/"} +{"d:Title": "Rotten Tomatoes: Jude", "d:Description": "Synopsis, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/J/Jude", "url": "http://www.rottentomatoes.com/m/jude/"} +{"d:Title": "IMDb: Jude", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/J/Jude", "url": "http://www.imdb.com/title/tt0116722/"} +{"d:Title": "DVD Review: Judge Dredd", "d:Description": "Movie review and DVD product review.", "topic": "Top/Arts/Movies/Titles/J/Judge_Dredd", "url": "http://www.thecinemalaser.com/dvd_reviews/judge-dredd-dvd.htm"} +{"d:Title": "All-Reviews.com: Judge Dredd", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/J/Judge_Dredd", "url": "http://www.all-reviews.com/videos-4/judge-dredd.htm"} +{"d:Title": "Rotten Tomatoes: Judge Dredd", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/J/Judge_Dredd", "url": "http://www.rottentomatoes.com/m/judge_dredd/"} +{"d:Title": "SF Film Review: Judge Dredd", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Judge_Dredd", "url": "http://www-users.cs.york.ac.uk/~susan/sf/films/dredd.htm"} +{"d:Title": "IMDb: Judge Dredd", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Judge_Dredd", "url": "http://www.imdb.com/title/tt0113492/"} +{"d:Title": "Chicago Sun-Times: Judge Dredd", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Judge_Dredd", "url": "http://www.rogerebert.com/reviews/judge-dredd-1995"} +{"d:Title": "MovieWeb: Judge Dredd", "d:Description": "Synopsis, production information, cast information, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/J/Judge_Dredd", "url": "http://www.movieweb.com/movie/judge-dredd"} +{"d:Title": "IMDb: Judgment at Nuremberg", "d:Description": "Plot summary, cast and crew information, user comments, and message board.", "topic": "Top/Arts/Movies/Titles/J/Judgment_at_Nuremberg", "url": "http://www.imdb.com/title/tt0055031/"} +{"d:Title": "Rotten Tomatoes: Judgment at Nuremberg", "d:Description": "Links to reviews and forum.", "topic": "Top/Arts/Movies/Titles/J/Judgment_at_Nuremberg", "url": "http://www.rottentomatoes.com/m/judgment_at_nuremburg/"} +{"d:Title": "All-Reviews.com: Judicial Consent", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/J/Judicial_Consent", "url": "http://www.all-reviews.com/videos-4/judicial-consent.htm"} +{"d:Title": "Rotten Tomatoes: Judicial Consent", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/J/Judicial_Consent", "url": "http://www.rottentomatoes.com/m/judicial_consent/"} +{"d:Title": "IMDb: Judicial Consent (1994)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Judicial_Consent", "url": "http://www.imdb.com/title/tt0110212/"} +{"d:Title": "HARO Online: Judy Berlin", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/J/Judy_Berlin", "url": "http://www.haro-online.com/movies/judy_berlin.html"} +{"d:Title": "Cranky Critic: Judy Berlin", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/Judy_Berlin", "url": "http://www.crankycritic.com/archive00/judyberlin.html"} +{"d:Title": "Metacritic.com: Judy Berlin", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Judy_Berlin", "url": "http://www.metacritic.com/movie/judy-berlin"} +{"d:Title": "IMDb: Judy Berlin", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Judy_Berlin", "url": "http://www.imdb.com/title/tt0181618/"} +{"d:Title": "Rotten Tomatoes: Judy Berlin", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Judy_Berlin", "url": "http://www.rottentomatoes.com/m/judy-berlin/"} +{"d:Title": "The Tech: Jules and Jim", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/Jules_and_Jim", "url": "http://tech.mit.edu/V115/N21/jules.21a.html"} +{"d:Title": "Guardian Unlimited Film: Jules and Jim", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/Jules_and_Jim", "url": "http://www.theguardian.com/film/2000/may/11/francoistruffaut.worldcinema"} +{"d:Title": "IMDb: Jules and Jim", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jules_and_Jim", "url": "http://www.imdb.com/title/tt0055032/"} +{"d:Title": "Rotten Tomatoes: Julien Donkey-Boy", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Julien_Donkey-Boy", "url": "http://www.rottentomatoes.com/m/julien_donkeyboy/"} +{"d:Title": "eFilmCritic: Julien Donkey-Boy", "d:Description": "Movie review and user ratings.", "topic": "Top/Arts/Movies/Titles/J/Julien_Donkey-Boy", "url": "http://efilmcritic.com/review.php?movie=2235"} +{"d:Title": "Metacritic.com: Julien Donkey-Boy", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Julien_Donkey-Boy", "url": "http://www.metacritic.com/movie/julien-donkey-boy"} +{"d:Title": "IMDb: Julien Donkey-Boy", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Julien_Donkey-Boy", "url": "http://www.imdb.com/title/tt0192194/"} +{"d:Title": "Chicago Sun-Times: Julien Donkey-Boy", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Julien_Donkey-Boy", "url": "http://www.rogerebert.com/reviews/julien-donkey-boy-1999"} +{"d:Title": "All-Reviews.com: Jumanji", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jumanji", "url": "http://www.all-reviews.com/videos-2/jumanji.htm"} +{"d:Title": "IMDb: Jumanji", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jumanji", "url": "http://www.imdb.com/title/tt0115228/"} +{"d:Title": "Chicago Sun-Times: Jumanji", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jumanji", "url": "http://www.rogerebert.com/reviews/jumanji-1995"} +{"d:Title": "MovieWeb: Jumanji", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jumanji", "url": "http://www.movieweb.com/movie/jumanji"} +{"d:Title": "IMDb: Jumper (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/J/Jumper", "url": "http://www.imdb.com/title/tt0489099/"} +{"d:Title": "Rotten Tomatoes: Jumper", "d:Description": "Synopsis, cast list, showtimes, photos, videos, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/J/Jumper", "url": "http://www.rottentomatoes.com/m/jumper/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the production, marketing, cast, graphic novel, and video game.", "topic": "Top/Arts/Movies/Titles/J/Jumper", "url": "http://en.wikipedia.org/wiki/Jumper_(film)"} +{"d:Title": "IGN Movies: Jumper", "d:Description": "Photos, videos, news, interview, and a message board.", "topic": "Top/Arts/Movies/Titles/J/Jumper", "url": "http://www.ign.com/movies/jumper/theater-33924"} +{"d:Title": "MovieWeb: Jumper (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/J/Jumper", "url": "http://www.movieweb.com/movie/jumper"} +{"d:Title": "HARO Online: Jump Tomorrow", "d:Description": "Movie review by Mongoose.", "topic": "Top/Arts/Movies/Titles/J/Jump_Tomorrow", "url": "http://www.haro-online.com/movies/jump_tomorrow.html"} +{"d:Title": "Rotten Tomatoes; Jump Tomorrow", "d:Description": "Links to movie reviews, synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jump_Tomorrow", "url": "http://www.rottentomatoes.com/m/jump_tomorrow/"} +{"d:Title": "Metacritic: Jump Tomorrow", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Jump_Tomorrow", "url": "http://www.metacritic.com/movie/jump-tomorrow"} +{"d:Title": "IMDb: Jump Tomorrow", "d:Description": "Plot summary, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jump_Tomorrow", "url": "http://www.imdb.com/title/tt0273300/"} +{"d:Title": "Chicago Sun-Times: Jump Tomorrow", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jump_Tomorrow", "url": "http://www.rogerebert.com/reviews/jump-tomorrow-2001"} +{"d:Title": "Sony Pictures Classics: Junebug", "d:Description": "Provides production information, synopsis, cast of characters, music, reviews and trailer.", "topic": "Top/Arts/Movies/Titles/J/Junebug", "url": "http://www.sonyclassics.com/junebug/"} +{"d:Title": "IMDb: Junebug", "d:Description": "Cast, photographs, trailer and storyline for the 2005 film.", "topic": "Top/Arts/Movies/Titles/J/Junebug", "url": "http://www.imdb.com/title/tt0418773/"} +{"d:Title": "Wikipedia: Junebug (film)", "d:Description": "Article covers the plot, cast and soundtrack for the 2005 American comedy-drama film.", "topic": "Top/Arts/Movies/Titles/J/Junebug", "url": "http://en.wikipedia.org/wiki/Junebug_(film)"} +{"d:Title": "Junebug - Rotten Tomatoes", "d:Description": "Reviews from various critics and audience. Also includes movie information and trailer.", "topic": "Top/Arts/Movies/Titles/J/Junebug", "url": "http://www.rottentomatoes.com/m/junebug/"} +{"d:Title": "RogerEbert.com: Junebug", "d:Description": "August 12, 2005 review by Roger Ebert of the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/J/Junebug", "url": "http://www.rogerebert.com/reviews/junebug-2005"} +{"d:Title": "IMDb: Jungle (2000)", "d:Description": "Synopsis, cast overview, and related information.", "topic": "Top/Arts/Movies/Titles/J/Jungle", "url": "http://www.imdb.com/title/tt0251756/"} +{"d:Title": "Cincinnati Enquirer: Jungle 2 Jungle", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/Jungle_2_Jungle", "url": "http://enquirer.com/columns/mcgurk/030797a_mm.html"} +{"d:Title": "UltimateDisney.com: Jungle 2 Jungle", "d:Description": "Review, pictures, and a comparison of the Region 1 and Region 4 DVDs.", "topic": "Top/Arts/Movies/Titles/J/Jungle_2_Jungle", "url": "http://www.ultimatedisney.com/jungle2.html"} +{"d:Title": "Rotten Tomatoes: Jungle 2 Jungle", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jungle_2_Jungle", "url": "http://www.rottentomatoes.com/m/jungle_2_jungle/"} +{"d:Title": "IMDb: Jungle 2 Jungle", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jungle_2_Jungle", "url": "http://www.imdb.com/title/tt0119432/"} +{"d:Title": "Chicago Sun-Times: Jungle 2 Jungle", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jungle_2_Jungle", "url": "http://www.rogerebert.com/reviews/jungle-2-jungle-1997"} +{"d:Title": "AllReaders.com Review: Jungle 2 Jungle", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Tim Allen and Martin Short.", "topic": "Top/Arts/Movies/Titles/J/Jungle_2_Jungle", "url": "http://allreaders.com/movie-review-summary/jungle-2-jungle-4487"} +{"d:Title": "All-Reviews.com: The Jungle Book", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jungle_Book,_The_-_1994", "url": "http://www.all-reviews.com/videos-3/jungle-book-1994.htm"} +{"d:Title": "Rotten Tomatoes: The Jungle Book", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jungle_Book,_The_-_1994", "url": "http://www.rottentomatoes.com/m/1057637-jungle_book/"} +{"d:Title": "IMDb: The Jungle Book", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jungle_Book,_The_-_1994", "url": "http://www.imdb.com/title/tt0110213/"} +{"d:Title": "Chicago Sun-Times: The Jungle Book", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jungle_Book,_The_-_1994", "url": "http://www.rogerebert.com/reviews/rudyard-kiplings-the-jungle-book-1994"} +{"d:Title": "IMDb - Jungle Fever (1991)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/J/Jungle_Fever", "url": "http://www.imdb.com/title/tt0102175/combined"} +{"d:Title": "Chicago Sun-Times - Jungle Fever", "d:Description": "Review by Roger Ebert (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/J/Jungle_Fever", "url": "http://www.rogerebert.com/reviews/jungle-fever-1991"} +{"d:Title": "Rotten Tomatoes: Juno", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/J/Juno", "url": "http://www.rottentomatoes.com/m/juno/"} +{"d:Title": "IGN Movies: Juno", "d:Description": "Photos, videos, news, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/J/Juno", "url": "http://www.ign.com/movies/juno/theater-887410"} +{"d:Title": "USA Today: Juno", "d:Description": "Review, by Claudia Puig: \"With its original performances that can't be reduced to simplistic labels, Juno is charming, honest and terrifically acted. \" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/J/Juno", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-12-04-juno_N.htm"} +{"d:Title": "MovieWeb: Juno (2007)", "d:Description": "Summary, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/J/Juno", "url": "http://www.movieweb.com/movie/juno"} +{"d:Title": "ReelViews: Juno", "d:Description": "Review, by James Berardinelli: \"Juno has a great heroine and is blessed by a screenplay that doesn't try to do too much and finds the perfect ending.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/J/Juno", "url": "http://www.reelviews.net/reelviews/juno"} +{"d:Title": "Jurassic Park Zone", "d:Description": "Pictures and information on all three Jurassic Park movies,", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series", "url": "http://trexajk.tripod.com/"} +{"d:Title": "JP Database", "d:Description": "Pictures, sounds, and information about the film.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series", "url": "http://www.jpdatabase.net/"} +{"d:Title": "WWWF Grudge Match: Crocodile Hunter vs. Jurassic Park", "d:Description": "Can Steve Irwin make it across the dinosaur-filled island alive?", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series", "url": "http://www.grudge-match.com/History/irwin-jurassic.shtml"} +{"d:Title": "Awesome Stories: Jurassic Park", "d:Description": "Description of the factual basis of the movies, with links to primary sources and video animations about the Jurassic period and several species of dinosaurs.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series", "url": "https://www.awesomestories.com/asset/view/Jurassic-Park"} +{"d:Title": "All-Reviews.com: Jurassic Park", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://www.all-reviews.com/videos-3/jurassic-park.htm"} +{"d:Title": "The Big Waste of Space: The Flubs of Jurassic Park", "d:Description": "A chronological list of mistakes appearing in the Steven Spielberg movie.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://www.bigwaste.com/library/jurassicflubs/"} +{"d:Title": "Filmtracks: Jurassic Park", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://www.filmtracks.com/titles/jurassic_park.html"} +{"d:Title": "IMDb: Jurassic Park (1993)", "d:Description": "Cast and credits, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://www.imdb.com/title/tt0107290/"} +{"d:Title": "Rotten Tomatoes: Jurassic Park", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://www.rottentomatoes.com/m/jurassic_park/"} +{"d:Title": "Chicago Sun-Times: Jurassic Park", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://www.rogerebert.com/reviews/jurassic-park-1993"} +{"d:Title": "AllReaders.com Review: Jurassic Park", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Spielberg film starring Sam Neill and Laura Dern, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://allreaders.com/movie-review-summary/jurassic-park-4421"} +{"d:Title": "TV Guide Online: Jurassic Park", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park", "url": "http://www.tvguide.com/movies/jurassic-park/129606/"} +{"d:Title": "HARO Online: Jurassic Park 3", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.haro-online.com/movies/jurassic_park_iii.html"} +{"d:Title": "How Animatronics Works", "d:Description": "Animated article shows how the 12-ton Spinosaurus in Jurassic Park III really works. Includes pictures taken during the filming of the largest animatronic ever built.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.howstuffworks.com/animatronic.htm"} +{"d:Title": "Cosmopolis: Jurassic Park 3", "d:Description": "Review of Steven Spielberg's third dinosaur film, with a few photos and reviews of his two previous movies of the series.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.cosmopolis.ch/english/cosmo17/jurassic_park.htm"} +{"d:Title": "Jurassic Park III", "d:Description": "Universal Studios' official movie website. Pictures, trailers, production notes, cast and crew, soundtrack clips, and games.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://jp3.jurassicpark.com/"} +{"d:Title": "All-Reviews.com: Jurassic Park 3", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.all-reviews.com/videos-3/jurassic-park3.htm"} +{"d:Title": "Filmtracks: Jurassic Park 3", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.filmtracks.com/titles/jurassic_park3.html"} +{"d:Title": "Rotten Tomatoes: Jurassic Park 3", "d:Description": "Links to reviews on other sites, and photos.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.rottentomatoes.com/m/jurassic_park_iii/"} +{"d:Title": "KillerMovies.com: Jurassic Park 3", "d:Description": "Articles and trailers.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.killermovies.com/j/jurassicparkiii/"} +{"d:Title": "Metacritic.com: Jurassic Park 3", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.metacritic.com/movie/jurassic-park-iii"} +{"d:Title": "IMDb: Jurassic Park III", "d:Description": "Full cast information.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.imdb.com/title/tt0163025/"} +{"d:Title": "MovieWeb: Jurassic Park III", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Jurassic_Park_3", "url": "http://www.movieweb.com/movie/jurassic-park-iii"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Lost_World_-_Jurassic_Park,_The", "url": "http://crazy4cinema.com/Review/FilmsL/f_lostworld.html"} +{"d:Title": "All-Reviews.com: The Lost World - Jurassic Park", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Lost_World_-_Jurassic_Park,_The", "url": "http://www.all-reviews.com/videos-3/lost-world-jurassic-park.htm"} +{"d:Title": "Filmtracks: Jurassic Park: The Lost World", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Lost_World_-_Jurassic_Park,_The", "url": "http://www.filmtracks.com/titles/lost_world.html"} +{"d:Title": "Rotten Tomatoes: The Lost World - Jurassic Park", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Lost_World_-_Jurassic_Park,_The", "url": "http://www.rottentomatoes.com/m/lost_world_jurassic_park/"} +{"d:Title": "IMDb: The Lost World - Jurassic Park (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Lost_World_-_Jurassic_Park,_The", "url": "http://www.imdb.com/title/tt0119567/"} +{"d:Title": "TV Guide Online: Lost World: Jurassic Park, The", "d:Description": "Movie review, cast and credit list.", "topic": "Top/Arts/Movies/Titles/J/Jurassic_Park_Series/Lost_World_-_Jurassic_Park,_The", "url": "http://www.tvguide.com/movies/lost-world-jurassic-park/132090/"} +{"d:Title": "All-Reviews.com: The Juror", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Juror,_The", "url": "http://www.all-reviews.com/videos-2/juror.htm"} +{"d:Title": "IMDb.com: The Juror", "d:Description": "Includes credits, summary, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Juror,_The", "url": "http://www.imdb.com/title/tt0116731/"} +{"d:Title": "Chicago Sun-Times: The Juror", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Juror,_The", "url": "http://www.rogerebert.com/reviews/the-juror-1996"} +{"d:Title": "MovieWeb: The Juror", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Juror,_The", "url": "http://www.movieweb.com/movie/the-juror"} +{"d:Title": "Progressive Silent Film List - Just Another Blonde (1926)", "d:Description": "Essay by Carl Bennett noting that the film is presumed lost. Production details, literary antecedent.", "topic": "Top/Arts/Movies/Titles/J/Just_Another_Blonde", "url": "http://www.silentera.com/PSFL/data/J/JustAnotherBlonde1926.html"} +{"d:Title": "All Movie Guide - Girl from Coney Island", "d:Description": "Detailed synopsis and credits.", "topic": "Top/Arts/Movies/Titles/J/Just_Another_Blonde", "url": "http://www.allmovie.com/movie/girl-from-coney-island-v93027"} +{"d:Title": "WMNF Women's Show: Just Another Girl on the I.R.T.", "d:Description": "Review by Linda Lopez McAlister (postive).", "topic": "Top/Arts/Movies/Titles/J/Just_Another_Girl_on_the_I.R.T.", "url": "http://mith.umd.edu/WomensStudies/FilmReviews/best-of-1993-mcalister"} +{"d:Title": "rec.arts.movies.reviews - Just Another Girl on the I.R.T. (1992)", "d:Description": "Review by Max Hoffmann (positive), rating.", "topic": "Top/Arts/Movies/Titles/J/Just_Another_Girl_on_the_I.R.T.", "url": "http://www.imdb.com/reviews/17/1727.html"} +{"d:Title": "IMDb - Just Another Girl on the I.R.T. (1992)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/J/Just_Another_Girl_on_the_I.R.T.", "url": "http://www.imdb.com/title/tt0104575/"} +{"d:Title": "Austin Chronicle - Just Another Girl on the I.R.T.", "d:Description": "Review by Marjorie Baumgarten (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/J/Just_Another_Girl_on_the_I.R.T.", "url": "http://www.austinchronicle.com/calendar/film/1993-04-16/139449/"} +{"d:Title": "Cinebooks Database - Just Another Girl on the I.R.T.", "d:Description": "Review by Brandon Trenz (negative), rating.", "topic": "Top/Arts/Movies/Titles/J/Just_Another_Girl_on_the_I.R.T.", "url": "http://www.tvguide.com/movies/just-girl-irt/129605/"} +{"d:Title": "HARO Online: Just a Kiss", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Kiss", "url": "http://www.haro-online.com/movies/just_a_kiss.html"} +{"d:Title": "All-Reviews.com: Just a Kiss", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Kiss", "url": "http://all-reviews.com/videos-5/just-a-kiss.htm"} +{"d:Title": "Rotten Tomatoes: Just a Kiss", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Kiss", "url": "http://www.rottentomatoes.com/m/just_a_kiss/"} +{"d:Title": "IMDb: Just a Kiss (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Kiss", "url": "http://www.imdb.com/title/tt0245479/"} +{"d:Title": "MovieWeb: Just A Kiss", "d:Description": "Plot summary, production information, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Kiss", "url": "http://www.movieweb.com/movie/just-a-kiss"} +{"d:Title": "HARO Online: Just a Little Harmless Sex", "d:Description": "Movie review by Mongoose.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Little_Harmless_Sex", "url": "http://www.haro-online.com/movies/just_a_little.html"} +{"d:Title": "Rotten Tomatoes: Just a Little Harmless Sex", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Little_Harmless_Sex", "url": "http://www.rottentomatoes.com/m/just_a_little_harmless_sex/"} +{"d:Title": "IMDb: Just a Little Harmless Sex", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Just_a_Little_Harmless_Sex", "url": "http://www.imdb.com/title/tt0175777/"} +{"d:Title": "All-Reviews.com: Just Cause", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/J/Just_Cause", "url": "http://www.all-reviews.com/videos-3/just-cause.htm"} +{"d:Title": "IMDb: Just Cause", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Just_Cause", "url": "http://www.imdb.com/title/tt0113501/"} +{"d:Title": "Chicago Sun-Times: Just Cause", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Just_Cause", "url": "http://www.rogerebert.com/reviews/just-cause-1995"} +{"d:Title": "HARO Online: Just Looking", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/J/Just_Looking", "url": "http://www.haro-online.com/movies/just_looking.html"} +{"d:Title": "Rotten Tomatoes: Just Looking", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Just_Looking", "url": "http://www.rottentomatoes.com/m/just_looking/"} +{"d:Title": "Metacritic.com: Just Looking", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Just_Looking", "url": "http://www.metacritic.com/movie/just-looking"} +{"d:Title": "IMDb: Just Looking", "d:Description": "Full cast information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/J/Just_Looking", "url": "http://www.imdb.com/title/tt0162236/"} +{"d:Title": "HARO Online: Just Married", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Just_Married", "url": "http://www.haro-online.com/movies/just_married.html"} +{"d:Title": "All-Reviews.com: Just Married", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/J/Just_Married", "url": "http://all-reviews.com/videos-5/just-married.htm"} +{"d:Title": "Rotten Tomatoes: Just Married", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Just_Married", "url": "http://www.rottentomatoes.com/m/just_married/"} +{"d:Title": "IMDb: Just Married (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Just_Married", "url": "http://www.imdb.com/title/tt0305711/"} +{"d:Title": "Chicago Sun-Times: Just Married", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Just_Married", "url": "http://www.rogerebert.com/reviews/just-married-2003"} +{"d:Title": "MovieWeb: Just Married", "d:Description": "Plot description, production information, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Just_Married", "url": "http://www.movieweb.com/movie/just-married"} +{"d:Title": "IMDb: Just My Luck", "d:Description": "Synopsis, trailer, image gallery, cast and credits.", "topic": "Top/Arts/Movies/Titles/J/Just_My_Luck", "url": "http://www.imdb.com/title/tt0397078/"} +{"d:Title": "Rotten Tomatoes: Just the Ticket", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Just_the_Ticket", "url": "http://www.rottentomatoes.com/m/just_the_ticket/"} +{"d:Title": "Just the Ticket", "d:Description": "Official site with notes, video clips, pictures, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/J/Just_the_Ticket", "url": "http://www.mgm.com/title_title.php?title_star=SCALPER"} +{"d:Title": "IMDb: Just the Ticket", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Just_the_Ticket", "url": "http://www.imdb.com/title/tt0123221/"} +{"d:Title": "MovieWeb: Just the Ticket", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Just_the_Ticket", "url": "http://www.movieweb.com/movie/just-the-ticket"} +{"d:Title": "All-Reviews.com: Just Visiting", "d:Description": "Two reviews.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://www.all-reviews.com/videos-3/just-visiting.htm"} +{"d:Title": "HARO Online: Just Visiting", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://www.haro-online.com/movies/just_visiting.html"} +{"d:Title": "Rotten Tomatoes: Just Visiting", "d:Description": "Reviews, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://www.rottentomatoes.com/m/just_visiting/"} +{"d:Title": "Metacritic: Just Visiting", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://www.metacritic.com/movie/just-visiting"} +{"d:Title": "IMDb: Just Visiting", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://www.imdb.com/title/tt0189192/"} +{"d:Title": "Chicago Sun-Times: Just Visiting", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://www.rogerebert.com/reviews/just-visiting-2001"} +{"d:Title": "MovieWeb: Just Visiting", "d:Description": "Synopsis, production information, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://www.movieweb.com/movie/just-visiting"} +{"d:Title": "Allreaders Review: Just Visiting", "d:Description": "Analysis of the Christina Applegate film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/J/Just_Visiting", "url": "http://allreaders.com/movie-review-summary/just-visiting-5759"} +{"d:Title": "Rotten Tomatoes: Just Write", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Just_Write", "url": "http://www.rottentomatoes.com/m/just_write/"} +{"d:Title": "IMDb: Just Write", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Just_Write", "url": "http://www.imdb.com/title/tt0119434/"} +{"d:Title": "HARO Online: Juwanna Mann", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/J/Juwanna_Mann", "url": "http://www.haro-online.com/movies/juwanna_mann.html"} +{"d:Title": "All-Reviews.com: Juwanna Mann", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/J/Juwanna_Mann", "url": "http://www.all-reviews.com/videos-4/juwanna-mann.htm"} +{"d:Title": "Rotten Tomatoes: Juwanna Mann", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/J/Juwanna_Mann", "url": "http://www.rottentomatoes.com/m/juwanna_mann/"} +{"d:Title": "IMDb: Juwanna Mann", "d:Description": "Film information, cast and crew, reviews, synopsis, photographs, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/J/Juwanna_Mann", "url": "http://www.imdb.com/title/tt0247444/"} +{"d:Title": "Rotten Tomatoes: Ju Dou", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/J/Ju_Dou", "url": "http://www.rottentomatoes.com/m/ju_dou/"} +{"d:Title": "IMDb: Ju Dou", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/J/Ju_Dou", "url": "http://www.imdb.com/title/tt0099902/"} +{"d:Title": "Chicago Sun-Times: Ju Dou", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/J/Ju_Dou", "url": "http://www.rogerebert.com/reviews/ju-dou-1991"} +{"d:Title": "TV Guide Online: Ju Dou", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/J/Ju_Dou", "url": "http://www.tvguide.com/movies/ju-dou/128395/"} +{"d:Title": "All-Reviews.com: K-19 - The Widowmaker", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.all-reviews.com/videos-4/k-19-widowmaker.htm"} +{"d:Title": "HARO Online: K-19 - The Widowmaker", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.haro-online.com/movies/k-19.html"} +{"d:Title": "Rotten Tomatoes: K-19: The Widowmaker", "d:Description": "Links to reviews, synopsis, trailers, photographs, and news.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.rottentomatoes.com/m/k19_the_widowmaker/"} +{"d:Title": "Movies.com: K-19 - The Widowmaker", "d:Description": "Synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.movies.com/k-19:-the-widowmaker/m8811"} +{"d:Title": "MovieWeb: K-19 - The Widowmaker", "d:Description": "Synopsis, trailers, image gallery, cast and credits.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.movieweb.com/movie/k-19-the-widowmaker"} +{"d:Title": "MRQE: K19 - The Widowmaker", "d:Description": "Reviews and ratings from around the world.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.mrqe.com/movie_reviews/k19-the-widowmaker-m100015151"} +{"d:Title": "IMDb: K-19: The Widowmaker", "d:Description": "Cast and crew, plot summary, trivia, trailer, and related links.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.imdb.com/title/tt0267626/"} +{"d:Title": "Yahoo! Movies: K-19 - The Widowmaker", "d:Description": "Synopsis, ratings, reviews, pictures, cast and credits.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "https://www.yahoo.com/movies/film/k19-the-widowmaker"} +{"d:Title": "Metacritic: K-19 - The Widowmaker", "d:Description": "Provides quotes from and links to reviews, and an overall score.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "http://www.metacritic.com/movie/k-19-the-widowmaker"} +{"d:Title": "Awesome Stories - K-19: The Widowmaker", "d:Description": "Provides the story behind the movie including embedded links explaining how nuclear submarines work and describing what went wrong with the real K-19.", "topic": "Top/Arts/Movies/Titles/K/K-19_-_The_Widowmaker", "url": "https://www.awesomestories.com/asset/view/K19-Widowmaker"} +{"d:Title": "IMDb: K-911 (1999)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/K/K-911", "url": "http://www.imdb.com/title/tt0177876/"} +{"d:Title": "All-Reviews.com: K-Pax", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/K/K-Pax", "url": "http://all-reviews.com/videos-4/k-pax.htm"} +{"d:Title": "HARO Online: K-Pax", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/K/K-Pax", "url": "http://www.haro-online.com/movies/k-pax.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/K/K-Pax", "url": "http://www.metacritic.com/movie/k-pax"} +{"d:Title": "IMDb: K-Pax", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/K/K-Pax", "url": "http://www.imdb.com/title/tt0272152/"} +{"d:Title": "Chicago Sun-Times: K-Pax", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/K/K-Pax", "url": "http://www.rogerebert.com/reviews/k-pax-2001"} +{"d:Title": "K-PAX - Official Movie Web Site from Universal", "d:Description": "See the trailers, photos and information about the cast and crew. [Requires Flash]", "topic": "Top/Arts/Movies/Titles/K/K-Pax", "url": "https://www.uphe.com/movies/k-pax"} +{"d:Title": "Review - Washington Post", "d:Description": "By Desson Howe", "topic": "Top/Arts/Movies/Titles/K/K2", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/k2rhowe_a0aec8.htm"} +{"d:Title": "Review - Washington Post", "d:Description": "By Rita Kempley", "topic": "Top/Arts/Movies/Titles/K/K2", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/k2rkempley_a0a2bb.htm"} +{"d:Title": "Review - Chicago Sun-Times", "d:Description": "By Roger Ebert", "topic": "Top/Arts/Movies/Titles/K/K2", "url": "http://www.rogerebert.com/reviews/k2-1992"} +{"d:Title": "TV Guide Online: K2", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/K/K2", "url": "http://www.tvguide.com/movies/k2/129098/"} +{"d:Title": "IMDb: Kagemusha (1980)", "d:Description": "Cast, production credits, and additional information.", "topic": "Top/Arts/Movies/Titles/K/Kagemusha_-_The_Shadow_Warrior", "url": "http://www.imdb.com/title/tt0080979/combined"} +{"d:Title": "IMDb - Kalifornia (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Kalifornia", "url": "http://www.imdb.com/title/tt0107302/"} +{"d:Title": "Chicago Sun Times", "d:Description": "By Roger Ebert; 4 stars.", "topic": "Top/Arts/Movies/Titles/K/Kalifornia/Reviews", "url": "http://www.rogerebert.com/reviews/kalifornia-1993"} +{"d:Title": "TV Guide Online: Kama Sutra: A Tale Of Love", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Kama_Sutra", "url": "http://www.tvguide.com/movies/kama-sutra-tale-love/132116/"} +{"d:Title": "HARO Online: Kandahar", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kandahar", "url": "http://www.haro-online.com/movies/kandahar.html"} +{"d:Title": "Heartbroken of Kandahar", "d:Description": "A background report on story and actors of the movie. By Martin Bright [Guardian Unlimited Observer].", "topic": "Top/Arts/Movies/Titles/K/Kandahar", "url": "http://www.theguardian.com/film/2001/nov/04/features.martinbright"} +{"d:Title": "IMDb: Kandahar (Safar e Ghandehar)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kandahar", "url": "http://www.imdb.com/title/tt0283431/"} +{"d:Title": "Chicago Sun-Times: Kandahar", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/K/Kandahar", "url": "http://www.rogerebert.com/reviews/kandahar-2002"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/K/Kandahar", "url": "http://www.metacritic.com/movie/kandahar"} +{"d:Title": "All-Reviews.com - Kangaroo Jack", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kangaroo_Jack", "url": "http://all-reviews.com/videos-5/kangaroo-jack.htm"} +{"d:Title": "HARO Online - Kangaroo Jack", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/K/Kangaroo_Jack", "url": "http://www.haro-online.com/movies/kangaroo_jack.html"} +{"d:Title": "Rotten Tomatoes: Kangaroo Jack", "d:Description": "Reviews, synopsis, trailer and photographs.", "topic": "Top/Arts/Movies/Titles/K/Kangaroo_Jack", "url": "http://www.rottentomatoes.com/m/kangaroo_jack/"} +{"d:Title": "Yahoo! Movies: Kangaroo Jack", "d:Description": "Synopsis, cast and credits, reviews and photographs.", "topic": "Top/Arts/Movies/Titles/K/Kangaroo_Jack", "url": "http://movies.yahoo.com/movie/kangaroo-jack/"} +{"d:Title": "Internet Movie Database: Kangaroo Jack", "d:Description": "Contains cast and crew details, quotes, trivia, photographs, and links.", "topic": "Top/Arts/Movies/Titles/K/Kangaroo_Jack", "url": "http://www.imdb.com/title/tt0257568/"} +{"d:Title": "All-Reviews.com - Kansas City", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kansas_City", "url": "http://www.all-reviews.com/videos-3/kansas-city.htm"} +{"d:Title": "The Karate Kid Website", "d:Description": "Fan site discussing many aspects of the movie, the people who created, and starred in it. Multimedia, information, and other details.", "topic": "Top/Arts/Movies/Titles/K/Karate_Kid_Series/Karate_Kid,_The", "url": "http://www.fast-rewind.com/kkid/"} +{"d:Title": "Lane's The Karate Kid Page", "d:Description": "Fan Page on KK, KK Part II(2), KK Part III(3), and the next Karate kid movies with pictures, and soundtrack information.", "topic": "Top/Arts/Movies/Titles/K/Karate_Kid_Series/Karate_Kid,_The", "url": "http://schlitzofpain.tripod.com/kk.htm"} +{"d:Title": "TV Guide Online: The Karate Kid", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/K/Karate_Kid_Series/Karate_Kid,_The", "url": "http://www.tvguide.com/movies/karate-kid/103043/"} +{"d:Title": "IMDb: The Karate Kid Part II (1986)", "d:Description": "Cast and crew information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/K/Karate_Kid_Series/Karate_Kid_II,_The", "url": "http://www.imdb.com/title/tt0091326/"} +{"d:Title": "TV Guide Online: The Karate Kid Part II", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/K/Karate_Kid_Series/Karate_Kid_II,_The", "url": "http://www.tvguide.com/movies/karate-kid-ii/103044/"} +{"d:Title": "IMDb: The Karate Kid III (1989)", "d:Description": "Cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/K/Karate_Kid_Series/Karate_Kid_III,_The", "url": "http://www.imdb.com/title/tt0097647/"} +{"d:Title": "All-Reviews.com: Kate and Leopold", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/K/Kate_and_Leopold", "url": "http://all-reviews.com/videos-4/kate-and-leopold.htm"} +{"d:Title": "HARO Online: Kate and Leopold", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kate_and_Leopold", "url": "http://www.haro-online.com/movies/kate_and_leopold.html"} +{"d:Title": "Soundtrack Lyrics: Kate and Leopold", "d:Description": "Lyrics to several songs on the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/K/Kate_and_Leopold", "url": "http://www.stlyrics.com/k/kate&leopold.htm"} +{"d:Title": "Filmtracks: Kate and Leopold", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/K/Kate_and_Leopold", "url": "http://www.filmtracks.com/titles/kate_leopold.html"} +{"d:Title": "IMDb: Kate&Leopold (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kate_and_Leopold", "url": "http://www.imdb.com/title/tt0035423/"} +{"d:Title": "Chicago Sun-Times: Kate&Leopold", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/K/Kate_and_Leopold", "url": "http://www.rogerebert.com/reviews/kate-and-leopold-2001"} +{"d:Title": "MovieWeb: Kate&Leopold", "d:Description": "Plot description, cast list, and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kate_and_Leopold", "url": "http://www.movieweb.com/movie/kate-leopold"} +{"d:Title": "All-Reviews.com - Keeping the Faith (2000)", "d:Description": "Collection of movie reviews and movie facts", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.all-reviews.com/videos/keeping-the-faith.htm"} +{"d:Title": "PopMatters - Keeping the Faith", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://popmatters.com/film/reviews/k/keeping-the-faith.html"} +{"d:Title": "HARO Online - Keeping the Faith", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.haro-online.com/movies/keeping_the_faith.html"} +{"d:Title": "AskMen.com", "d:Description": "Male perspective movie review.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.askmen.com/toys/movies/20_movies.html"} +{"d:Title": "Filmtracks: Keeping the Faith", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.filmtracks.com/titles/keeping_faith.html"} +{"d:Title": "Soundtrack Lyrics: Keeping the Faith", "d:Description": "Lyrics to several songs on the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.stlyrics.com/k/keepingthefaith.htm"} +{"d:Title": "Rotten Tomatoes - Keeping the Faith", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.rottentomatoes.com/m/keeping_the_faith/"} +{"d:Title": "Box Office Mojo - Keeping the Faith", "d:Description": "Box office data for Keeping the Faith. Includes a comparison with other romantic comedies.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.boxofficemojo.com/movies/?id=keepingthefaith.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.metacritic.com/movie/keeping-the-faith"} +{"d:Title": "IMDb - Keeping the Faith (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.imdb.com/title/tt0171433/"} +{"d:Title": "Yahoo Movies: Keeping the Faith", "d:Description": "Film overview, synopsis, credits, reviews, ratings, photographs, and message board.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "https://www.yahoo.com/movies/film/keeping-the-faith"} +{"d:Title": "MovieWeb: Keeping The Faith", "d:Description": "Synopsis, production information, credits, and pictures.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://www.movieweb.com/movie/keeping-the-faith"} +{"d:Title": "AllReaders.com Review - Keeping the Faith", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Ben Stiller, Edward Norton, and Jenna Elfman, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/K/Keeping_the_Faith", "url": "http://allreaders.com/movie-review-summary/keeping-the-faith-4700"} +{"d:Title": "IMDB: Kekexili (2004)", "d:Description": "Awards list, cast and crew, reviews, plot summary, and forum.", "topic": "Top/Arts/Movies/Titles/K/Kekexili_-_Mountain_Patrol", "url": "http://www.imdb.com/title/tt0386651/"} +{"d:Title": "Kekexili: Mountain Patrol", "d:Description": "Wikipedia page discusses the film's plot and social significance.", "topic": "Top/Arts/Movies/Titles/K/Kekexili_-_Mountain_Patrol", "url": "http://en.wikipedia.org/wiki/Kekexili:_Mountain_Patrol"} +{"d:Title": "Beyond Hollywood: Kekexili: Mountain Patrol", "d:Description": "Informative review with a picture gallery.", "topic": "Top/Arts/Movies/Titles/K/Kekexili_-_Mountain_Patrol", "url": "http://www.beyondhollywood.com/reviews/mountainpatrol.htm#MOVIEPICS"} +{"d:Title": "Twitchfilm: Kekexili Mountain Patrol", "d:Description": "Informative review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kekexili_-_Mountain_Patrol", "url": "http://twitchfilm.net/news/2005/07/nyaff-report-kekexili-mountain-patrol-review.php"} +{"d:Title": "AllReaders.com Review - Kelly's Heroes", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Clint Eastwood, Telly Savalas, Don Rickles, Carroll O'Connor, Donald Sutherland, Gavin MacLeod, Hal Buckley, and Stuart Margolin.", "topic": "Top/Arts/Movies/Titles/K/Kelly's_Heroes", "url": "http://allreaders.com/movie-review-summary/kellys-heroes-6119"} +{"d:Title": "TV Guide Online: Kelly's Heroes", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Kelly's_Heroes", "url": "http://www.tvguide.com/movies/kellys-heroes/103081/"} +{"d:Title": "IMDB: Kenny", "d:Description": "Features plot synopsis, credits, reviews, user rating.", "topic": "Top/Arts/Movies/Titles/K/Kenny", "url": "http://www.imdb.com/title/tt0822389/"} +{"d:Title": "The Aussie Battler Personified: Why Everyone Loves Kenny", "d:Description": "Critical essay. Discusses the mockumentary genre, the figure of the Australian \"battler\", and the character of Kenny.", "topic": "Top/Arts/Movies/Titles/K/Kenny", "url": "http://www.thefreelibrary.com/The%20Aussie%20battler%20personified:%20why%20everyone%20loves%20Kenny.-a0211362425"} +{"d:Title": "Movie Review Query Engine", "d:Description": "Links to over twenty reviews of the film.", "topic": "Top/Arts/Movies/Titles/K/Kenny", "url": "http://www.mrqe.com/movie_reviews/kenny-m100061885"} +{"d:Title": "La Plume Noire: Keoma", "d:Description": "Movie review by Fred Thom.", "topic": "Top/Arts/Movies/Titles/K/Keoma", "url": "http://www.plume-noire.com/movies/cult/keoma.html"} +{"d:Title": "IMDb: Keoma", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/K/Keoma", "url": "http://www.imdb.com/title/tt0074740/"} +{"d:Title": "IMDb - Kes (1969)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Kes", "url": "http://www.imdb.com/title/tt0064541/"} +{"d:Title": "AllReaders.com Review - Key Largo", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Humphrey Bogart and Lauren Bacall, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/K/Key_Largo", "url": "http://allreaders.com/movie-review-summary/key-largo-4245"} +{"d:Title": "All-Reviews.com - Kicking and Screaming", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kicking_and_Screaming", "url": "http://www.all-reviews.com/videos-3/kicking-and-screaming.htm"} +{"d:Title": "Haro Online: Kicking and Screaming", "d:Description": "Review of the movie including 2 pictures.", "topic": "Top/Arts/Movies/Titles/K/Kicking_and_Screaming", "url": "http://www.haro-online.com/movies/kicking_screaming.html"} +{"d:Title": "IMDb: The Kid (1921)", "d:Description": "Full cast information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_1921", "url": "http://www.imdb.com/title/tt0012349/"} +{"d:Title": "TV Guide Online: The Kid", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_1921", "url": "http://www.tvguide.com/movies/kid/132258/"} +{"d:Title": "All-Reviews.com - Disney's The Kid", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://www.all-reviews.com/videos/kid.htm"} +{"d:Title": "HARO Online - Disney's The Kid", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://www.haro-online.com/movies/disneys_the_kid.html"} +{"d:Title": "PopMatters - Disney's The Kid", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://popmatters.com/film/reviews/d/disneys-the-kid.html"} +{"d:Title": "Rotten Tomatoes - Disney's the Kid", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://www.rottentomatoes.com/m/disneys_the_kid/"} +{"d:Title": "Box Office Mojo - Disney's The Kid", "d:Description": "Daily box office data for Disney's The Kid. Includes Bruce Willis' box office track record.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://www.boxofficemojo.com/movies/?id=kidthe.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://www.metacritic.com/movie/the-kid"} +{"d:Title": "IMDb - Disney's The Kid (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://www.imdb.com/title/tt0219854/"} +{"d:Title": "Yahoo Movies: Disney's The Kid", "d:Description": "Film overview, synopsis, credits, reviews, ratings, photographs, and message board.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "https://www.yahoo.com/movies/film/disneys-the-kid"} +{"d:Title": "Filmbug - Disney's The Kid", "d:Description": "Summary, facts, cast, and links.", "topic": "Top/Arts/Movies/Titles/K/Kid,_The_-_2000", "url": "http://www.filmbug.com/asin/B0000524E4"} +{"d:Title": "Scotland the Movie Location Guide - Kidnapped (1971)", "d:Description": "Contains pictures of the filming locations for the movie.", "topic": "Top/Arts/Movies/Titles/K/Kidnapped_-_1971", "url": "http://www.scotlandthemovie.com/movies/fkidnapped.html"} +{"d:Title": "IMDb - Kidnapped (1971)", "d:Description": "Contains cast and crew details, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kidnapped_-_1971", "url": "http://www.imdb.com/title/tt0067302/"} +{"d:Title": "All-Reviews.com - Kids", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kids", "url": "http://www.all-reviews.com/videos-3/kids.htm"} +{"d:Title": "Review: Kids", "d:Description": "Really long discussion.", "topic": "Top/Arts/Movies/Titles/K/Kids", "url": "http://www.nitrateonline.com/rkids.html"} +{"d:Title": "Cinema Summary", "d:Description": "Summary and Review of Kids", "topic": "Top/Arts/Movies/Titles/K/Kids", "url": "http://www.tucsonweekly.com/tw/08-31-95/cinema.htm"} +{"d:Title": "Blunt, ugly Kids leaves viewers shocked, sickened", "d:Description": "Review and thorough summary.", "topic": "Top/Arts/Movies/Titles/K/Kids", "url": "http://tech.mit.edu/V115/N36/blunt.36a.html"} +{"d:Title": "Internet Movie Database - Kids (1995)", "d:Description": "Buy Kids here, and take a look at the filmographies of the actors. If you've seen the movie, submit your comments.", "topic": "Top/Arts/Movies/Titles/K/Kids", "url": "http://www.imdb.com/title/tt0113540/"} +{"d:Title": "Roger Ebert's Kids Review", "d:Description": "Roger Ebert's positive Kids review.", "topic": "Top/Arts/Movies/Titles/K/Kids", "url": "http://www.rogerebert.com/reviews/kids-1995"} +{"d:Title": "IMDb: Kids Are Alright, The (1979)", "d:Description": "Cast and crew of this documentary on The Who, summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/K/Kids_Are_Alright,_The", "url": "http://www.imdb.com/title/tt0079400/"} +{"d:Title": "Rotten Tomatoes: Kids World", "d:Description": "Links to reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/K/Kids_World", "url": "http://www.rottentomatoes.com/m/kids_world/"} +{"d:Title": "IMDb: Kids World (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kids_World", "url": "http://www.imdb.com/title/tt0176883/"} +{"d:Title": "At-a-Glance Film Reviews - The Kid from Brooklyn (1946)", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/K/Kid_from_Brooklyn,_The", "url": "http://www.rinkworks.com/movies/m/the.kid.from.brooklyn.1946.shtml"} +{"d:Title": "IMDb - Kid from Brooklyn, The (1946)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/K/Kid_from_Brooklyn,_The", "url": "http://www.imdb.com/title/tt0038668/combined"} +{"d:Title": "Filmsgraded.com - Kid from Brooklyn, The (1946)", "d:Description": "Review by Brian Koller (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/K/Kid_from_Brooklyn,_The", "url": "http://www.imdb.com/reviews/102/10210.html"} +{"d:Title": "Cinebooks Database - Kid from Brooklyn, The", "d:Description": "Unsigned review (positive) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/K/Kid_from_Brooklyn,_The", "url": "http://www.tvguide.com/movies/kid-brooklyn/103123/"} +{"d:Title": "HARO Online: The Kid Stays in the Picture", "d:Description": "Mongoose's review: \"According to Robert Evans, there are three sides to every story; your side, his side, and the truth. To hear him tell his story is so immensely entertaining that no one cares how much is truth and how much is Evans' view of the truth.\" Rated \"pretty good.\"", "topic": "Top/Arts/Movies/Titles/K/Kid_Stays_in_the_Picture,_The", "url": "http://www.haro-online.com/movies/kid_stays_in_the_picture.html"} +{"d:Title": "Rotten Tomatoes: The Kid Stays in the Picture", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/K/Kid_Stays_in_the_Picture,_The", "url": "http://www.rottentomatoes.com/m/kid_stays_in_the_picture/"} +{"d:Title": "Internet Movie Database: The Kid Stays in the Picture", "d:Description": "Cast and crew listing, user comments, press links, quotes, trailers and images.", "topic": "Top/Arts/Movies/Titles/K/Kid_Stays_in_the_Picture,_The", "url": "http://www.imdb.com/title/tt0303353/"} +{"d:Title": "IMDb: Killer's Kiss (1955)", "d:Description": "Overview, synopsis, user reviews, ratings, film recommendations, message board, trivia, quotations, soundtrack listing, marketing information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://www.imdb.com/title/tt0048254/"} +{"d:Title": "Wikipedia: Killer's Kiss", "d:Description": "Plot details, film background, notes on the film's audience reception, and related links.", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://en.wikipedia.org/wiki/Killer's_Kiss"} +{"d:Title": "Film Court: Killer's Kiss", "d:Description": "Review by Lawrence Russell. \"...suffers from its derivative situations and consequently a derivative period morality.\"", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://www.culturecourt.com/F/Noir/KKiss.htm"} +{"d:Title": "Rotten Tomatoes: Killer's Kiss", "d:Description": "Collection of reviews of the film.", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://www.rottentomatoes.com/m/killers_kiss/"} +{"d:Title": "MGM: Killer's Kiss", "d:Description": "Synopsis, credits, sound files, photographs, and similar titles.", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://www.mgm.com/title_title.php?title_star=killersk"} +{"d:Title": "The Stop Button: Killer\u2019s Kiss", "d:Description": "Review of the film. \"...it's almost inevitable\u2013after framing a narrative with awkward, present tense narration\u2013Kubrick can't close it right.\"", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://www.thestopbutton.com/2009/03/19/killers-kiss-1955/"} +{"d:Title": "Film Noir: Killer\u2019s Kiss", "d:Description": "Review of the film. \"The film is not one of the director\u2019s best but it was an important step...\"", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://classicnoirmovies.blogspot.com/2008/03/killers-kiss-stanley-kubrick-1955.html"} +{"d:Title": "Precious Bodily Fluids: Killer\u2019s Kiss", "d:Description": "Discussion of the film with several photographs. \"...an example par excellence of a remarkably gifted filmmaker [...] forced early in his career to make the most of a film with very limited sources.\"", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://andrewsidea.wordpress.com/2009/04/04/killers-kiss/"} +{"d:Title": "SoundtrackCollector: Killer's Kiss", "d:Description": "Details about the film soundtrack.", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=16902"} +{"d:Title": "This Distracted Globe: Killer's Kiss", "d:Description": "Several stills and a review. \"If Kubrick\u2019s name was nowhere on the credits, it would probably be dismissed as a lost student effort with some interesting ambiance, but no story.\"", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://thisdistractedglobe.com/2007/01/15/killer%E2%80%99s-kiss-1955/"} +{"d:Title": "Yahoo Movies: Killer's Kiss", "d:Description": "Film overview, credits, synopsis, reviews, and message board.", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "https://www.yahoo.com/movies/film/killers-kiss"} +{"d:Title": "AllMovie: Killer's Kiss", "d:Description": "Synopsis, review, credits, and listing of similar films.", "topic": "Top/Arts/Movies/Titles/K/Killer's_Kiss", "url": "http://www.allmovie.com/movie/killers-kiss-v27313"} +{"d:Title": "IMDB: Die xue shuang xiong (1989)", "d:Description": "Full cast information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/K/Killer,_The_-_1989", "url": "http://www.imdb.com/title/tt0097202/combined"} +{"d:Title": "Bad Movies", "d:Description": "Review, with \"Things I Learned From This Movie\" and \"Stuff to Watch For\" lists, pictures, and audio and video clips.", "topic": "Top/Arts/Movies/Titles/K/Killer_Klowns_from_Outer_Space", "url": "http://www.badmovies.org/movies/killerklowns/index.html"} +{"d:Title": "IMDb: The Killing (1956)", "d:Description": "Synopsis, full cast and crew, reviews, awards, quotations, trivia, bloopers, related films, merchandising information, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.imdb.com/title/tt0049406/"} +{"d:Title": "Wikipedia: The Killing", "d:Description": "Plot overview, notes on the film's critical reception, and related links.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://en.wikipedia.org/wiki/The_Killing"} +{"d:Title": "Rotten Tomatoes: The Killing", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.rottentomatoes.com/m/killing/"} +{"d:Title": "Flixster: The Killing", "d:Description": "Overview, rating, user reviews, photographs, and links", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.flixster.com/movie/the-killing"} +{"d:Title": "Mooviees: The Killing", "d:Description": "Reviews, DVD editions, photographs, quotations, trivia, plot synopsis, and links.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.mooviees.com/4482-the-killing/movie"} +{"d:Title": "WildSound: The Killing", "d:Description": "Review by Mike Peters. \"This film may not be as polished or visually decorative as his later films but Kubrick still manages to incorporate select sections of his vision within this film.\"", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.wildsound-filmmaking-feedback-events.com/the_killing.html"} +{"d:Title": "The Stop Button: The Killing", "d:Description": "Review of the film. \"...it's such a beautifully made film--and it's near impossible to truly identify with any of the characters outside of enjoying their actions--it works.\"", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.thestopbutton.com/2009/03/24/killing-1956/"} +{"d:Title": "The Kubrick Site: The Killing", "d:Description": "Review by Jules N. Binoculas. \"...inspiring in its faithfulness to the concept of exhaustive reason and pure method as man's best defense against the caprice of an indifferent universe.\"", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.visual-memory.co.uk/amk/doc/0016.html"} +{"d:Title": "The Spinning Image: The Killing", "d:Description": "Review by Daniel Auty. \"...one of the most flat-out enjoyable films in his filmography.\"", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.thespinningimage.co.uk/cultfilms/displaycultfilm.asp?reviewid=636"} +{"d:Title": "Jabberwock: Notes on Stanley Kubrick's The Killing", "d:Description": "Essay about the film by Jai Arjun Singh, with reader comments.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://jaiarjun.blogspot.com/2009/01/notes-on-stanley-kubricks-killing.html"} +{"d:Title": "On the 10th Anniversary of Kubrick\u2019s Passing: \"The Killing\"", "d:Description": "Article by Mark Farnsworth [Global Comment].", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://globalcomment.com/2009/on-the-10th-anniversary-of-kubricks-passing-the-killing/"} +{"d:Title": "The Current: The Killing", "d:Description": "Essay by David Ehrenstein.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.criterion.com/current/posts/800"} +{"d:Title": "Scathing Reviews Bitchy People: A Bad Day at the Races", "d:Description": "Review and discussion by Drew Morton. Includes user comments.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.pajiba.com/pajiba_blockbusters/the-killing.php"} +{"d:Title": "DVD Times: The Killing", "d:Description": "Review of the DVD by Raphael Pour-Hashemi. Includes photographs.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.dvdtimes.co.uk/content.php?contentid=5139"} +{"d:Title": "Drew's Script-O-Rama: The Killing", "d:Description": "Dialogue transcript of the film.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.script-o-rama.com/movie_scripts/k/killers-kiss-script-transcript-kubrick.html"} +{"d:Title": "Film Noir of the Week: The Killing (1956)", "d:Description": "Review of the film. \"It's one of those noirs you can watch over and over again and uncover fresh revelations in each viewing.\"", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.noiroftheweek.com/2005/12/killing-1956-12262005.html"} +{"d:Title": "Yahoo Movies: The Killing", "d:Description": "Film overview and synopsis, credits, awards, reviews, and message board.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "https://www.yahoo.com/movies/film/the-killing"} +{"d:Title": "AllMovie: The Killing", "d:Description": "Synopsis, review, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.allmovie.com/movie/v27318"} +{"d:Title": "Turner Classic: The Killing (1956)", "d:Description": "Synopsis, credits, production notes, original print information, related articles, user reviews, quotations, trivia, release details, and links.", "topic": "Top/Arts/Movies/Titles/K/Killing,_The", "url": "http://www.tcm.com/tcmdb/title/17624/The-Killing/"} +{"d:Title": "Greatest Films - The Killing Fields", "d:Description": "Brief review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/K/Killing_Fields,_The", "url": "http://www.filmsite.org/kill.html"} +{"d:Title": "IMDb -The Killing Fields", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Killing_Fields,_The", "url": "http://www.imdb.com/title/tt0087553/"} +{"d:Title": "TV Guide Online: The Killing Fields", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/K/Killing_Fields,_The", "url": "http://www.tvguide.com/movies/killing-fields/103197/"} +{"d:Title": "Rotten Tomatoes: Killing Me Softly", "d:Description": "Links to reviews, pictures, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/K/Killing_Me_Softly", "url": "http://www.rottentomatoes.com/m/killing_me_softly/"} +{"d:Title": "IMDb: Killing Me Softly (2002)", "d:Description": "Plot summary, trailer, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/K/Killing_Me_Softly", "url": "http://www.imdb.com/title/tt0250468/"} +{"d:Title": "IMDB.com: Killing of a Chinese Bookie, The (1976)", "d:Description": "Plot summary, reader comments, and cast list.", "topic": "Top/Arts/Movies/Titles/K/Killing_of_a_Chinese_Bookie,_The", "url": "http://www.imdb.com/title/tt0074749/"} +{"d:Title": "IMDb - The Killing of Sister George (1968)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Killing_of_Sister_George,_The", "url": "http://www.imdb.com/title/tt0063185/"} +{"d:Title": "Killing Zoe (1994)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/K/Killing_Zoe", "url": "http://www.imdb.com/title/tt0110265/"} +{"d:Title": "Killing Zoe", "d:Description": "Review by Roger Ebert of \"Generation X's first bank caper movie.\"", "topic": "Top/Arts/Movies/Titles/K/Killing_Zoe", "url": "http://www.rogerebert.com/reviews/killing-zoe-1994"} +{"d:Title": "IMDb: Kill Bill - Vol. 2 (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Vol._2", "url": "http://www.imdb.com/title/tt0378194/"} +{"d:Title": "Rotten Tomatoes: Kill Bill - Vol. 2", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Vol._2", "url": "http://www.rottentomatoes.com/m/kill_bill_vol_2/"} +{"d:Title": "HARO Online: Kill Bill Vol. 2", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Vol._2", "url": "http://www.haro-online.com/movies/kill_bill_vol2.html"} +{"d:Title": "TEN Movies: Kill Bill Vol. 2-", "d:Description": "Movie review by Snider Rodrigues.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Vol._2", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1069"} +{"d:Title": "Chicago Sun-Times: Kill Bill - Vol. 2", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Vol._2", "url": "http://www.rogerebert.com/reviews/kill-bill-volume-2-2004"} +{"d:Title": "Future Movies: Kill Bill Vol. 2", "d:Description": "Review by Jay Richardson.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Vol._2", "url": "https://www.futuremovies.co.uk/reviews/kill-bill-volume-2/jay-richardson"} +{"d:Title": "Blood Sport", "d:Description": "Article about the film's premise and inspiration, by Susan Jakes [Time Magazine - Asia].", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.time.com/time/asia/magazine/article/0,13673,501020916-349193,00.html"} +{"d:Title": "HARO Online: Kill Bill Volume 1", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.haro-online.com/movies/kill_bill_vol1.html"} +{"d:Title": "All-Reviews.com - Kill Bill Volume 1", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://all-reviews.com/videos-5/kill-bill-vol1.htm"} +{"d:Title": "Diesel Sweeties: Kill Bill", "d:Description": "Comic strip with themes from the film.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.dieselsweeties.com/archive.php?s=803"} +{"d:Title": "UKHotMovies.com: Kill Bill: Volume 1", "d:Description": "Review of the film by Daniel Masmanian and related links.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.ukhotmovies.com/reviews/kill-bill-1/"} +{"d:Title": "Killer Movies - Kill Bill: Volume 1", "d:Description": "Film information, synopsis, archived news articles, and links.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.killermovies.com/k/killbill/"} +{"d:Title": "Internet Movie Database - Kill Bill: Vol. 1 (2003)", "d:Description": "Synopsis, credits, trivia, related films, photos, and links.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://imdb.com/title/tt0266697/"} +{"d:Title": "DreamLogic: Kill Bill Vol. 1", "d:Description": "Review by Chris Nelson and Kris Kobayashi.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.dreamlogic.net/REVIEWS/killbill.html"} +{"d:Title": "Vanbergenbravenboer.nl: Kill Bill Vol.I", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.vanbergenbravenboer.nl/KillBill-en.html"} +{"d:Title": "Rotten Tomatoes: Kill Bill Vol. 1", "d:Description": "Links to reviews, news articles, photographs, video clips, and a public forum.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.rottentomatoes.com/m/kill_bill_vol_1/"} +{"d:Title": "Kill Bill", "d:Description": "Official site for the film. Trailer, production notes, photographs, and links.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.bvimovies.com/kill-bill/killbill.html"} +{"d:Title": "Future Movies: Kill Bill Volume 1", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "https://www.futuremovies.co.uk/reviews/kill-bill/nik-huggins"} +{"d:Title": "Tarantino Behind the Camera in Beijing", "d:Description": "Article about making the film by Rick Lyman [New York Times].", "topic": "Top/Arts/Movies/Titles/K/Kill_Bill_Series/Kill_Bill_-_Volume_1", "url": "http://www.nytimes.com/2002/09/05/movies/tarantino-behind-the-camera-in-beijing.html"} +{"d:Title": "IMDb - Kill by Inches (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Kill_by_Inches", "url": "http://www.imdb.com/title/tt0188757/"} +{"d:Title": "Metacritic.com: Kill By Inches", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/K/Kill_by_Inches", "url": "http://www.metacritic.com/movie/kill-by-inches"} +{"d:Title": "HARO Online: Kill Me Later", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/K/Kill_Me_Later", "url": "http://www.haro-online.com/movies/kill_me_later.html"} +{"d:Title": "Hollywood Bitchslap: Kill Me Later", "d:Description": "Review by Greg Muskewitz, reader comments welcome.", "topic": "Top/Arts/Movies/Titles/K/Kill_Me_Later", "url": "http://www.hollywoodbitchslap.com/review.php?movie=5525"} +{"d:Title": "Internet Movie Database: Kill Me Later (2001)", "d:Description": "Cast and crew list, viewer ratings and comments, reviews, related films, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/K/Kill_Me_Later", "url": "http://imdb.com/title/tt0243595/"} +{"d:Title": "Rotten Tomatoes: Kill Me Later", "d:Description": "Film information, home video details, quotes from and links to reviews, and forum.", "topic": "Top/Arts/Movies/Titles/K/Kill_Me_Later", "url": "http://www.rottentomatoes.com/m/kill_me_later/"} +{"d:Title": "Yahoo! Movies: Kill Me Later", "d:Description": "Film information, credits, synopsis, production details, multimedia, message board, and links.", "topic": "Top/Arts/Movies/Titles/K/Kill_Me_Later", "url": "http://movies.yahoo.com/movie/kill-me-later/"} +{"d:Title": "Ready to Die, and Then to Love", "d:Description": "Stephen Holden reviews the movie [New York Times].", "topic": "Top/Arts/Movies/Titles/K/Kill_Me_Later", "url": "http://www.nytimes.com/2001/09/14/movies/film-review-ready-to-die-and-then-to-love.html"} +{"d:Title": "Internet Movie Database: Kill Me Tomorrow (1999)", "d:Description": "Cast and crew, promotional information, viewer ratings, home video details, and links.", "topic": "Top/Arts/Movies/Titles/K/Kill_Me_Tomorrow_-_1999", "url": "http://imdb.com/title/tt0275455/"} +{"d:Title": "AllReaders.com Review - Kindergarten Cop", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Arnold Schwarzenegger film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/K/Kindergarten_Cop", "url": "http://allreaders.com/movie-review-summary/kindergarten-cop-3828"} +{"d:Title": "IMDb - Kind Hearts and Coronets", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Kind_Hearts_and_Coronets", "url": "http://www.imdb.com/title/tt0041546/"} +{"d:Title": "IMDb: The King's Daughters (2000)", "d:Description": "Cast overview, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/K/King's_Daughters,_The", "url": "http://www.imdb.com/title/tt0187474/"} +{"d:Title": "IMDb: The Kingdom", "d:Description": "Features overview, cast, trivia, quotes and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kingdom,_The", "url": "http://www.imdb.com/title/tt0431197/"} +{"d:Title": "Box Office Mojo: The Kingdom", "d:Description": "Contains box office summary and analysis.", "topic": "Top/Arts/Movies/Titles/K/Kingdom,_The", "url": "http://www.boxofficemojo.com/movies/?id=kingdom.htm"} +{"d:Title": "Wikipedia: The Kingdom", "d:Description": "Plot, cast, production information and box office performance.", "topic": "Top/Arts/Movies/Titles/K/Kingdom,_The", "url": "http://en.wikipedia.org/wiki/The_Kingdom_(film)"} +{"d:Title": "Reel Views: The Kingdom", "d:Description": "Review by James Berardinelli. \"The Kingdom is a police procedural with a unique - and interesting - twist.\"", "topic": "Top/Arts/Movies/Titles/K/Kingdom,_The", "url": "http://www.reelviews.net/reelviews/kingdom-the"} +{"d:Title": "The Kingdom", "d:Description": "Official site with a trailer, cast and crew, synposis, photo gallery, characters, and downloads.", "topic": "Top/Arts/Movies/Titles/K/Kingdom,_The", "url": "https://www.uphe.com/movies/the-kingdom"} +{"d:Title": "HARO Online", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/K/Kingdom_Come", "url": "http://www.haro-online.com/movies/kingdom_come.html"} +{"d:Title": "Soundtrack Lyrics: Kingdom Come", "d:Description": "Lyrics to several songs on the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/K/Kingdom_Come", "url": "http://www.stlyrics.com/k/kingdomcome.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews, trailer, photographs, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/K/Kingdom_Come", "url": "http://www.rottentomatoes.com/m/kingdom_come/"} +{"d:Title": "IMDb: Kingdom Come (2001/I)", "d:Description": "Offers a trailer, cast list, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kingdom_Come", "url": "http://www.imdb.com/title/tt0246002/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/K/Kingdom_Come", "url": "http://www.metacritic.com/movie/kingdom-come"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/K/Kingpin", "url": "http://crazy4cinema.com/Review/FilmsK/f_kingpin.html"} +{"d:Title": "Soundtrack Lyrics: Kingpin", "d:Description": "Lyrics to several songs on the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/K/Kingpin", "url": "http://www.stlyrics.com/k/kingpin.htm"} +{"d:Title": "TV Guide Online: Kingpin", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Kingpin", "url": "http://www.tvguide.com/movies/kingpin/131510/"} +{"d:Title": "King Arthur, The Stuff of Future Memory", "d:Description": "Research paper by Iman Keuchenius on Arthurian legends in popular movies, with extensive list of web resources.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies", "url": "http://kite.home.xs4all.nl/Excalibur/"} +{"d:Title": "Arthurian Film", "d:Description": "Bibliography on cinematic versions of the legend of King Arthur, by Kevin J. Harty.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies", "url": "http://d.lib.rochester.edu/camelot/text/arthurian-film"} +{"d:Title": "Arthur's Quest (TV Movie 1999) - IMDb", "d:Description": "IMDb listing with summary, user comments, reviews, rating, and cast and crew credits.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Arthur's_Quest", "url": "http://imdb.com/title/tt0155508/"} +{"d:Title": "AllReaders.com Review: Camelot", "d:Description": "Detailed analysis of the Richard Harris film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Camelot", "url": "http://allreaders.com/movie-review-summary/camelot-4243"} +{"d:Title": "Camelot (1967)", "d:Description": "IMDb listing with summary, user comments, reviews, rating, and cast and crew credits.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Camelot", "url": "http://www.imdb.com/title/tt0061439/"} +{"d:Title": "IMDb: Excalibur (1981)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Excalibur", "url": "http://www.imdb.com/title/tt0082348/"} +{"d:Title": "TV Guide Online: Excalibur", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Excalibur", "url": "http://www.tvguide.com/movies/excalibur/114140/"} +{"d:Title": "First Knight", "d:Description": "Includes reviews, audio clips, and soundtrack information.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight", "url": "http://www.filmtracks.com/titles/first_knight.html"} +{"d:Title": "First Knight (1995) - 2.6.0", "d:Description": "Rating information.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight", "url": "http://www.kids-in-mind.com/F/first_knight_1995__260.htm"} +{"d:Title": "First Knight", "d:Description": "Review by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/firstknightpg13kempley_c020ee.htm"} +{"d:Title": "First Knight", "d:Description": "Review by Eve Zibart.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/firstknightpg13zibart_c020f2.htm"} +{"d:Title": "The Austin Chronicle Movie Guide: First Knight", "d:Description": "Review by Joey O'Bryan.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.austinchronicle.com/gyrobase/Calendar/Film?Film=oid:142705"} +{"d:Title": "First Knight", "d:Description": "Review by Chris Hicks.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.deseretnews.com/article/700000603/First-Knight.html"} +{"d:Title": "Movie Reviews", "d:Description": "Review of the film \"First Knight\" by Edwin Jahiel.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.edwinjahiel.com/firstkni.htm"} +{"d:Title": "First Knight", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.rogerebert.com/reviews/first-knight-1995"} +{"d:Title": "Review for First Knight (1995)", "d:Description": "Review by Darrell Rudmann.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.imdb.com/reviews/37/3777.html"} +{"d:Title": "Review for First Knight (1995)", "d:Description": "Review by Ed Powell.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.imdb.com/reviews/38/3815.html"} +{"d:Title": "Review for First Knight (1995)", "d:Description": "Review by Mathew Tschirgi.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.imdb.com/reviews/96/9668.html"} +{"d:Title": "Review for Species (1995)", "d:Description": "Review by Michael J. Legeros.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/First_Knight/Reviews", "url": "http://www.imdb.com/reviews/38/3826.html"} +{"d:Title": "Box Office Mojo: King Arthur (2004)", "d:Description": "Cast and crew, synopsis and box office statistics.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.boxofficemojo.com/movies/?id=kingarthur.htm"} +{"d:Title": "Box Office Prophets: King Arthur (2004)", "d:Description": "Box office statistics, predictions and comparisons as well as credits, trailer, and synopsis.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?TMID=135"} +{"d:Title": "Killer Movies: King Arthur (2004)", "d:Description": "Cast and crew, synopsis, trailers, television spots, and news.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.killermovies.com/k/kingarthur/"} +{"d:Title": "Haro Online - King Arthur", "d:Description": "Review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.haro-online.com/movies/king_arthur.html"} +{"d:Title": "All-Reviews.com: King Arthur (2004)", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.all-reviews.com/videos-5/king-arthur.htm"} +{"d:Title": "Filmtracks: King Arthur", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.filmtracks.com/titles/king_arthur.html"} +{"d:Title": "TEN Movies: King Arthur", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1095"} +{"d:Title": "Hollywood Stock Exchange: King Arthur (2004)", "d:Description": "Synopsis and Hollywood Stock Exchange statistics.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.hsx.com/security/view/ARTHR"} +{"d:Title": "Yahoo! Movies: King Arthur (2004)", "d:Description": "Synopsis, cast and crew, and message board.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://movies.yahoo.com/movie/king-arthur/"} +{"d:Title": "About: King Arthur", "d:Description": "Synopsis, photographs, reviews of the film and the director's cut DVD by Rebecca Murray, credits, and related articles.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://movies.about.com/od/kingarthur/"} +{"d:Title": "IGN Film Force: King Arthur (2004)", "d:Description": "Trailer, articles, news, cast and crew and forum.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://ign.com/movies/king-arthur"} +{"d:Title": "IMDb: King Arthur (2004)", "d:Description": "Synopsis, cast and crew, and message board.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.imdb.com/title/tt0349683/"} +{"d:Title": "Chicago Sun-Times: King Arthur", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.rogerebert.com/reviews/king-arthur-2004"} +{"d:Title": "Awesome Stories: King Arthur", "d:Description": "Detailed historical background behind the film, with pictures of the real places featured in the story and links.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "https://www.awesomestories.com/asset/view/King-Arthur"} +{"d:Title": "Dark Horizons: King Arthur (2004)", "d:Description": "Review by Garth Franklin. \"This is a decent timewaster which will be forgotten immediately.\"", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/King_Arthur_-_2004", "url": "http://www.darkhorizons.com/review-King-Arthur/"} +{"d:Title": "A Knight in Camelot (TV Movie 1998) - IMDb", "d:Description": "IMDB page with cast members, plot summary, and reviews.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Knight_in_Camelot", "url": "http://www.imdb.com/title/tt0176892/"} +{"d:Title": "IMDb: The Last Legion (2007)", "d:Description": "Photo gallery, plot outline, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Last_Legion,_The", "url": "http://www.imdb.com/title/tt0462396/"} +{"d:Title": "Rotten Tomatoes: The Last Legion", "d:Description": "Review links, synopsis, mistakes, critical consensus, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Last_Legion,_The", "url": "http://www.rottentomatoes.com/m/last_legion/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, reception, connections, and trivia.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Last_Legion,_The", "url": "http://en.wikipedia.org/wiki/The_Last_Legion"} +{"d:Title": "Yahoo! Movies: The Last Legion (2007)", "d:Description": "Details, trailers, cast and credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Last_Legion,_The", "url": "http://movies.yahoo.com/movie/the-last-legion/"} +{"d:Title": "IGN Movies: The Last Legion", "d:Description": "Photos, trailers, and a message board.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Last_Legion,_The", "url": "http://www.ign.com/movies/the-last-legion/theater-909379"} +{"d:Title": "The New York Times", "d:Description": "Review, by Neil Genzlinger: \"This might have made a good children\u2019s film.\"", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Last_Legion,_The", "url": "http://www.nytimes.com/2007/08/20/movies/20legi.html?ref=movies"} +{"d:Title": "MovieWeb: The Last Legion (2007)", "d:Description": "Summary, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Last_Legion,_The", "url": "http://movieweb.com/movie/the-last-legion/"} +{"d:Title": "Merlin (1993) - IMDb", "d:Description": "IMDB page with casting information, plot summary, and reviews.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Merlin_-_1992", "url": "http://imdb.com/title/tt0104855"} +{"d:Title": "WWWF Ground Zero: The Wizard of Oz vs. Monty Python and the Holy Grail", "d:Description": "Humorous account of Monty Python vs. Dorothy and friends in a mystical scavenger hunt.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://www.grudge-match.com/History/ozarthur.shtml"} +{"d:Title": "Scotland the Movie Location Guide: Monty Python and the Holy Grail", "d:Description": "Scottish filming locations.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://www.scotlandthemovie.com/movies/fpython.html"} +{"d:Title": "Monty Python's Holy Grail", "d:Description": "Lego-modeled version of stills from \"Monty Python and the Holy Grail\".", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://www.geocities.co.jp/Hollywood/9060/holye.html"} +{"d:Title": "All-Reviews.com - Monty Python and the Holy Grail", "d:Description": "Reviews of the movie, overall rating of 3/4 stars.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://www.all-reviews.com/videos-2/monty-python-holy-grail.htm"} +{"d:Title": "Mutant Reviewers from Hell: Monty Python and the Holy Grail", "d:Description": "Review with Mutant Meter ratings.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://mutantreviewers.wordpress.com/2010/06/27/monty-python-and-the-holy-grail-retro-review/"} +{"d:Title": "Britmovie - Monty Python and the Holy Grail", "d:Description": "Cast, crew, images, review and video clip.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://www.britmovie.co.uk/films/Monty-Python-and-the-Holy-Grail"} +{"d:Title": "Metacritic.com: Monty Python and the Holy Grail", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://www.metacritic.com/movie/monty-python-and-the-holy-grail"} +{"d:Title": "IMDb- Monty Python and the Holy Grail (1975)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://www.imdb.com/title/tt0071853/"} +{"d:Title": "AllReaders.com Monty Python Spotlight", "d:Description": "Analysis and discussion of the movie. Sign up to become a Monty Python scholar on the site.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Monty_Python_and_the_Holy_Grail", "url": "http://allreaders.com/movie-review-summary/monty-python-and-the-holy-grail-3708"} +{"d:Title": "Rotten Tomatoes: Prince Valiant", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Prince_Valiant_-_1997", "url": "http://www.rottentomatoes.com/m/1082087-prince_valiant/"} +{"d:Title": "IMdb: Prince Valiant (1997)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Prince_Valiant_-_1997", "url": "http://www.imdb.com/title/tt0119947/"} +{"d:Title": "The SF, Horror and Fantasy Film Review: Prince Valiant", "d:Description": "One-star review by Richard Scheib.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Prince_Valiant_-_1997", "url": "http://moria.co.nz/fantasy/princevaliant1997.htm"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, cast and credits, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Prince_Valiant_-_1997", "url": "http://movies.yahoo.com/movie/prince-valiant-1998/"} +{"d:Title": "AllReaders.com Review - Prince Valiant", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/K/King_Arthur_Movies/Prince_Valiant_-_1997", "url": "http://allreaders.com/movie-review-summary/prince-valiant-1997-5605"} +{"d:Title": "IMDb: King Creole (1958)", "d:Description": "Cast details, synopsis, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/K/King_Creole", "url": "http://www.imdb.com/title/tt0051818/"} +{"d:Title": "IMDb: King Frat (1979)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/K/King_Frat", "url": "http://www.imdb.com/title/tt0079406/"} +{"d:Title": "Greatest Films - King Kong", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_1933", "url": "http://www.filmsite.org/kingk.html"} +{"d:Title": "All-Reviews.com: King Kong", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_1933", "url": "http://www.all-reviews.com/videos-4/king-kong.htm"} +{"d:Title": "The Sci-Fi Movie Page: King Kong", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_1933", "url": "http://www.scifimoviepage.com/may98pik.html"} +{"d:Title": "IMDb - King Kong (1933)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_1933", "url": "http://www.imdb.com/title/tt0024216/"} +{"d:Title": "TV Guide Online: King Kong", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_1933", "url": "http://www.tvguide.com/movies/king-kong/103228/"} +{"d:Title": "KillerMovies - King Kong", "d:Description": "Film overview and news about the production.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_2005", "url": "http://www.killermovies.com/k/kingkong/"} +{"d:Title": "IMDb: King Kong (2005)", "d:Description": "Film information, credits, related films, media, and links.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_2005", "url": "http://imdb.com/title/tt0360717/"} +{"d:Title": "Kong is King", "d:Description": "Devoted to information relating to Peter Jackson's 2005 remake of King Kong. Features video commentary by the director, history, pictures, bulletin board, and current news.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_2005", "url": "http://www.kongisking.net/"} +{"d:Title": "King Kong", "d:Description": "Official site features film trailers, downloads, story synopsis, photographs, cast, crew, specials, and interviews.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_2005", "url": "http://www.kingkongmovie.com/"} +{"d:Title": "King Kong 2005", "d:Description": "Offers story, photos, and wallpaper.", "topic": "Top/Arts/Movies/Titles/K/King_Kong_-_2005", "url": "http://movie.starspage.com/king_kong_2005/index1.html"} +{"d:Title": "AllReaders.com Review - King of Hearts", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Alan Bates and Genevieve Bujold, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/K/King_of_Hearts", "url": "http://allreaders.com/movie-review-summary/king-of-hearts-3816"} +{"d:Title": "IMDb: King of Jazz, The (1930)", "d:Description": "Includes a plot summary, cast list, and user comments.", "topic": "Top/Arts/Movies/Titles/K/King_of_Jazz,_The", "url": "http://www.imdb.com/title/tt0021025/"} +{"d:Title": "Rotten Tomatoes: The King of Kong", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/K/King_of_Kong,_The", "url": "http://www.rottentomatoes.com/m/king_of_kong/"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/K/King_of_Kong,_The", "url": "https://www.yahoo.com/movies/film/the-king-of-kong-a-fistful-of-quarters"} +{"d:Title": "Chicago Tribune: The King of Kong", "d:Description": "Review, by Scott Schueller: \"Gordon's documentary proves better than 90 percent of the manufactured stories out this summer. One can breathe a sigh of relief that it was done right and not cobbled into another bad fictional comedy.\"", "topic": "Top/Arts/Movies/Titles/K/King_of_Kong,_The", "url": "http://articles.chicagotribune.com/2007-08-24/entertainment/0708220936_1_steve-wiebe-twin-galaxies-donkey-kong"} +{"d:Title": "IGN Movies: The King of Kong", "d:Description": "News, photos, videos, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/K/King_of_Kong,_The", "url": "http://www.ign.com/movies/the-king-of-kong-a-fistful-of-quarters/theater-892769"} +{"d:Title": "MovieWeb: The King of Kong", "d:Description": "Summary, reviews, photos, videos, and box office data.", "topic": "Top/Arts/Movies/Titles/K/King_of_Kong,_The", "url": "http://movieweb.com/movie/the-king-of-kong-a-fistful-of-quarters/"} +{"d:Title": "HARO Online - King of Masks", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/K/King_of_Masks", "url": "http://www.haro-online.com/movies/king_of_masks.html"} +{"d:Title": "IMDb - King of Masks [Bian Lian] (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/King_of_Masks", "url": "http://www.imdb.com/title/tt0115669/"} +{"d:Title": "Roger Ebert - The King of Masks", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/K/King_of_Masks", "url": "http://www.rogerebert.com/reviews/the-king-of-masks-1999"} +{"d:Title": "IMDb: King of the Jungle", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/K/King_of_the_Jungle_-_2001", "url": "http://www.imdb.com/title/tt0177888/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/K/King_of_the_Jungle_-_2001", "url": "http://www.metacritic.com/movie/king-of-the-jungle"} +{"d:Title": "TV Guide Online: Kissed", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Kissed", "url": "http://www.tvguide.com/movies/kissed/132208/"} +{"d:Title": "Kissing Jessica Stein", "d:Description": "Official Site at Fox Searchlight. Storyline summary, cast and crew information, and clips from the movie.", "topic": "Top/Arts/Movies/Titles/K/Kissing_Jessica_Stein", "url": "http://www.foxsearchlight.com/kissingjessicastein/"} +{"d:Title": "Rotten Tomatoes: Kissing Jessica Stein", "d:Description": "Film overview including synopsis, photos from the movie trailer, and reviews.", "topic": "Top/Arts/Movies/Titles/K/Kissing_Jessica_Stein", "url": "http://www.rottentomatoes.com/m/kissing_jessica_stein/"} +{"d:Title": "Yahoo Movies: Kissing Jessica Stein", "d:Description": "Synopsis, film and DVD information, credits, trailer, video clips, reviews, ratings, stills, and message board.", "topic": "Top/Arts/Movies/Titles/K/Kissing_Jessica_Stein", "url": "https://www.yahoo.com/movies/film/kissing-jessica-stein"} +{"d:Title": "IMDb: Kissing Jessica Stein (2001)", "d:Description": "Includes cast and crew, user comments, external reviews, plot summary, awards, soundtrack listings, links, promotional material and film details.", "topic": "Top/Arts/Movies/Titles/K/Kissing_Jessica_Stein", "url": "http://www.imdb.com/title/tt0264761/"} +{"d:Title": "All-Reviews.com - Kissing Jessica Stein", "d:Description": "Review by Harvey Karten, and links to other reviews of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kissing_Jessica_Stein/Reviews", "url": "http://all-reviews.com/videos-4/kissing-jessica-stein.htm"} +{"d:Title": "IOFILM.co.uk: Kissing Jessica Stein", "d:Description": "Reviewed by Silverado.", "topic": "Top/Arts/Movies/Titles/K/Kissing_Jessica_Stein/Reviews", "url": "http://www.iofilm.co.uk/fm/k/kissing_jessica_stein_r2_2002.shtml"} +{"d:Title": "Chicago Sun-Times: Review by Roger Ebert", "d:Description": "\"Same-sex romance, a controversial topic in movies millions now alive can still remember, is a lifestyle choice in Kissing Jessica Stein.\"", "topic": "Top/Arts/Movies/Titles/K/Kissing_Jessica_Stein/Reviews", "url": "http://www.rogerebert.com/reviews/kissing-jessica-stein-2002"} +{"d:Title": "TV Guide Online: Kiss Me, Guido", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Kiss_Me,_Guido", "url": "http://www.tvguide.com/movies/kiss-guido/132510/"} +{"d:Title": "The Tech", "d:Description": "Review: \"A slick, predictable crime melodrama.\"", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_Death", "url": "http://tech.mit.edu/V115/N20/KissDeath.20a.html"} +{"d:Title": "IMDb entry", "d:Description": "credits for Kiss of Death (1995)", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_Death", "url": "http://www.imdb.com/title/tt0113552/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"Kiss of the Dragon.\"", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Dragon", "url": "http://www.haro-online.com/movies/kiss_of_the_dragon.html"} +{"d:Title": "All-Reviews.com: Kiss of the Dragon", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Dragon", "url": "http://www.all-reviews.com/videos-3/kiss-of-dragon.htm"} +{"d:Title": "Soundtrack Lyrics: Kiss of the Dragon", "d:Description": "Lyrics to several songs on the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Dragon", "url": "http://www.stlyrics.com/k/kissofthedragon.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Dragon", "url": "http://www.rottentomatoes.com/m/kiss_of_the_dragon/"} +{"d:Title": "MovieWeb: Kiss of the Dragon", "d:Description": "Synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Dragon", "url": "http://www.movieweb.com/movie/kiss-of-the-dragon"} +{"d:Title": "AllReaders.com Review - Kiss of the Dragon", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Jet Li and Bridget Fonda.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Dragon", "url": "http://allreaders.com/movie-review-summary/kiss-of-the-dragon-6834"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Dragon", "url": "http://www.metacritic.com/movie/kiss-of-the-dragon"} +{"d:Title": "Kiss of the Spider Woman", "d:Description": "Official movie site. Image gallery, trailer, awards information, cast and crew, screenplay, production notes, and related links.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Spider_Woman", "url": "http://www.kissofthespiderwoman.com/"} +{"d:Title": "All-Reviews.com - Kiss of the Spider Woman", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Spider_Woman", "url": "http://www.all-reviews.com/videos-4/kiss-of-spider-woman.htm"} +{"d:Title": "Soundtrack Lyrics: Kiss of the Spider Woman", "d:Description": "Lyrics to several songs on the film's soundtrack.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Spider_Woman", "url": "http://www.stlyrics.com/k/kissofthespiderwoman.htm"} +{"d:Title": "IMDb: Kiss of the Spider Woman", "d:Description": "Full cast and crew, production details, quotes, trivia, reviews, and related links.", "topic": "Top/Arts/Movies/Titles/K/Kiss_of_the_Spider_Woman", "url": "http://www.imdb.com/title/tt0089424/"} +{"d:Title": "All-Reviews.com - Kiss the Girls", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/K/Kiss_the_Girls", "url": "http://www.all-reviews.com/videos/kiss-the-girls.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/K/Kiss_the_Girls", "url": "http://crazy4cinema.com/Review/FilmsK/f_kissgirls.html"} +{"d:Title": "Rotten Tomatoes: Kiss The Girls", "d:Description": "Reviews from the nation's top critics, and cast and movie information.", "topic": "Top/Arts/Movies/Titles/K/Kiss_the_Girls", "url": "http://www.rottentomatoes.com/m/kiss_the_girls/"} +{"d:Title": "IMDb: Kiss the Girls (1997)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, errors in the film, soundtrack listing, film details, promotional material and links.", "topic": "Top/Arts/Movies/Titles/K/Kiss_the_Girls", "url": "http://www.imdb.com/title/tt0119468/"} +{"d:Title": "AllReaders.com Review - Kiss the Girls", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/K/Kiss_the_Girls", "url": "http://allreaders.com/movie-review-summary/kiss-the-girls-7025"} +{"d:Title": "IMDb: Kiss Toledo Goodbye (1999)", "d:Description": "Full cast information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/K/Kiss_Toledo_Goodbye", "url": "http://www.imdb.com/title/tt0156711/"} +{"d:Title": "HARO Online: Kitchen Stories", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kitchen_Stories", "url": "http://www.haro-online.com/movies/kitchen_stories.html"} +{"d:Title": "IMDb: Kitchen Stories (Salmer fra kj\u00f8kkenet) (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/K/Kitchen_Stories", "url": "http://www.imdb.com/title/tt0323872/"} +{"d:Title": "Rotten Tomatoes: Kitchen Stories", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/K/Kitchen_Stories", "url": "http://www.rottentomatoes.com/m/kitchen_stories/"} +{"d:Title": "Rotten Tomatoes: Kit Kittredge", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/K/Kit_Kittredge_-_An_American_Girl", "url": "http://www.rottentomatoes.com/m/kit_kittredge_an_american_girl/"} +{"d:Title": "Los Angeles Times: Kit Kittredge", "d:Description": "Review by Michael Ordo\u00f1a: \"This can be strong stuff for kids, but the film's humanistic approach preaches tolerance and hope.\"", "topic": "Top/Arts/Movies/Titles/K/Kit_Kittredge_-_An_American_Girl", "url": "http://articles.latimes.com/2008/jun/20/entertainment/et-kittredge20"} +{"d:Title": "MovieWeb: Kit Kittredge (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/K/Kit_Kittredge_-_An_American_Girl", "url": "http://www.movieweb.com/movie/kit-kittredge-an-american-girl"} +{"d:Title": "USA Today: Kit Kittredge", "d:Description": "Review, by Claudia Puig: \"This sweetly enjoyable family film stars the endearing Abigail Breslin as Kit. And, refreshingly, it's actually about something -- the Great Depression -- and tackles such serious issues as prejudice, poverty and homelessness.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/K/Kit_Kittredge_-_An_American_Girl", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-06-19-kit-kittredge-american-girl_N.htm"} +{"d:Title": "TV Guide: Kit Kittredge", "d:Description": "Review, by Ken Fox: \"It's fun, fast-paced, educational entertainment that's fit for the whole family -- American boys included.\"", "topic": "Top/Arts/Movies/Titles/K/Kit_Kittredge_-_An_American_Girl", "url": "http://www.tvguide.com/movies/kit-kittredge-american-girl/review/293492/"} +{"d:Title": "MovieMartyr.com - Klute", "d:Description": "Review and rating by Jeremy Heilman. Includes several screen shots.", "topic": "Top/Arts/Movies/Titles/K/Klute", "url": "http://www.moviemartyr.com/1971/klute.htm"} +{"d:Title": "IMDb: Klute (1971)", "d:Description": "Cast and crew, synopsis, related links, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Klute", "url": "http://www.imdb.com/title/tt0067309/"} +{"d:Title": "DVDTalk - Klute", "d:Description": "Review and rating with comments on the DVD extras.", "topic": "Top/Arts/Movies/Titles/K/Klute", "url": "http://www.dvdtalk.com/reviews/3379/klute/"} +{"d:Title": "PopMatters", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "http://popmatters.com/film/reviews/k/knights-tale.html"} +{"d:Title": "All-Reviews.com - A Knight's Tale", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "http://www.all-reviews.com/videos-3/a-knights-tale.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "http://www.haro-online.com/movies/knights_tale.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "http://www.rottentomatoes.com/m/knights_tale/"} +{"d:Title": "IMDb: Knight's Tale, A (2001)", "d:Description": "Includes a cast list, plot outline, trailer, and a message board.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "http://www.imdb.com/title/tt0183790/"} +{"d:Title": "Allreaders.com Review: A Knight's Tale", "d:Description": "Analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "http://allreaders.com/movie-review-summary/knights-tale-6008"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "http://www.metacritic.com/movie/a-knights-tale"} +{"d:Title": "Awesome Stories: A Knight's Tale", "d:Description": "Information and links on themes presented in the movie, including medieval life, the Black Prince, Wat Tyler and Geoffrey Chaucer.", "topic": "Top/Arts/Movies/Titles/K/Knight's_Tale,_A", "url": "https://www.awesomestories.com/asset/view/Knight-s-Tale-A"} +{"d:Title": "IMDb: Knights of the Round Table", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/K/Knights_of_the_Round_Table", "url": "http://www.imdb.com/title/tt0045966/"} +{"d:Title": "AllReaders.com Review - Knights of the Round Table", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Robert Taylor, Ava Gardner, Mel Ferrer, Anne Crawford, and Stanley Baker.", "topic": "Top/Arts/Movies/Titles/K/Knights_of_the_Round_Table", "url": "http://allreaders.com/movie-review-summary/knights-of-the-round-table-3732"} +{"d:Title": "Knockaround Guys", "d:Description": "Official site with multimedia and credits.", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.knockaroundguys.com/"} +{"d:Title": "San Francisco Chronicle: Knockaround Guys", "d:Description": "Review by Carla Meyer (negative), rating.", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/chronicle/archive/2002/10/11/DD123830.DTL#knock"} +{"d:Title": "HARO Online: Knockaround Guys", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.haro-online.com/movies/knockaround_guys.html"} +{"d:Title": "Rotten Tomatoes: Knockaround Guys", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.rottentomatoes.com/m/knockaround_guys/"} +{"d:Title": "IMdb: Knockaround Guys (2000)", "d:Description": "Cast overview and related information.", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.imdb.com/title/tt0211465/"} +{"d:Title": "Chicago Sun-Times: Knockaround Guys", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.rogerebert.com/reviews/knockaround-guys-2002"} +{"d:Title": "Chicago Reader - Knockaround Guys", "d:Description": "Review by J. R. Jones (negative).", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.chicagoreader.com/chicago/knockaround-guys/Film?oid=1050314"} +{"d:Title": "Cinebooks Database - No Respect", "d:Description": "Review by Maitland McDonagh (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/K/Knockaround_Guys", "url": "http://www.tvguide.com/movies/knockaround-guys/135090/"} +{"d:Title": "IMDb: Knocked Up (2006)", "d:Description": "Plot outlines, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/K/Knocked_Up", "url": "http://www.imdb.com/title/tt0478311/"} +{"d:Title": "Rotten Tomatoes: Knocked Up", "d:Description": "Reviews, show times, synopsis, critical consensus, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/K/Knocked_Up", "url": "http://www.rottentomatoes.com/m/knocked_up/"} +{"d:Title": "Yahoo! Movies: Knocked Up", "d:Description": "Details, trailers, cast and credits, reviews, photos, links, and a forum.", "topic": "Top/Arts/Movies/Titles/K/Knocked_Up", "url": "https://www.yahoo.com/movies/film/knocked-up"} +{"d:Title": "IGN Movies: Knocked Up", "d:Description": "Preview, photos, videos, and a review by Eric Moro.", "topic": "Top/Arts/Movies/Titles/K/Knocked_Up", "url": "http://www.ign.com/movies/knocked-up/theater-882911"} +{"d:Title": "Movie Forums: Knocked Up", "d:Description": "Review by Chris Bowyer. \"...a film that likes people the way they are, and doesn't have to soften their edges to make them presentable for the screen.\"", "topic": "Top/Arts/Movies/Titles/K/Knocked_Up", "url": "http://www.movieforums.com/reviews/1081586-knocked-up.html"} +{"d:Title": "MovieWeb: Knocked Up (2007)", "d:Description": "Reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/K/Knocked_Up", "url": "http://movieweb.com/movie/knocked-up/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Knockin' on Heaven's Door\"", "topic": "Top/Arts/Movies/Titles/K/Knockin'_on_Heaven's_Door", "url": "http://www.haro-online.com/movies/knockin_on_heavens_door.html"} +{"d:Title": "IMDb - Knockin' On Heaven's Door (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/K/Knockin'_on_Heaven's_Door", "url": "http://www.imdb.com/title/tt0119472/"} +{"d:Title": "TV Guide Online: Knock Off", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/K/Knock_Off", "url": "http://www.tvguide.com/movies/knock/133292/"} +{"d:Title": "Rotten Tomatoes: Knowing", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/K/Knowing", "url": "http://www.rottentomatoes.com/m/knowing/"} +{"d:Title": "RoberEbert.com: Knowing", "d:Description": "Review, by Roger Ebert: \"Knowing is among the best science-fiction films I've seen -- frightening, suspenseful, intelligent and, when it needs to be, rather awesome.\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/K/Knowing", "url": "http://www.rogerebert.com/reviews/knowing-2009"} +{"d:Title": "IGN: Knowing", "d:Description": "Photos, videos, news, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/K/Knowing", "url": "http://www.ign.com/movies/knowing/theater-730425"} +{"d:Title": "ReelViews: Knowing", "d:Description": "Review, by James Berardinelli: \"Science fiction fans will feel gypped, disaster movie fans will appreciate about 10 minutes of screen time and be bored by the rest, and no one else will care.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/K/Knowing", "url": "http://www.reelviews.net/reelviews/knowing"} +{"d:Title": "TV Guide: Knowing", "d:Description": "Review, by Cammila Albertson: \"Knowing is the path to eternal pain.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/K/Knowing", "url": "http://www.tvguide.com/movies/knowing/review/296881/"} +{"d:Title": "Kolya - Nitrate Online Review", "d:Description": "Review with a lot of insight.", "priority": "1", "topic": "Top/Arts/Movies/Titles/K/Kolya", "url": "http://www.nitrateonline.com/rkolya.html"} +{"d:Title": "MOVIEWEB: Kolya", "d:Description": "Review of the movie \"Kolya\"", "topic": "Top/Arts/Movies/Titles/K/Kolya", "url": "http://www.movieweb.com/movie/kolya/"} +{"d:Title": "KOLYA", "d:Description": "Thorough review of the movie \"Kolya\" intended for parents.", "topic": "Top/Arts/Movies/Titles/K/Kolya", "url": "http://www.screenit.com/movies/1996/kolya.html"} +{"d:Title": "TV Guide Online: Kolya", "d:Description": "Movie review, plot, cast, and pictures.", "topic": "Top/Arts/Movies/Titles/K/Kolya", "url": "http://www.tvguide.com/movies/kolya/132031/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kramer_vs._Kramer", "url": "http://www.crazy4cinema.com/Review/FilmsK/kramer.html"} +{"d:Title": "IMDb", "d:Description": "Plot outline, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/K/Kramer_vs._Kramer", "url": "http://www.imdb.com/title/tt0079417/"} +{"d:Title": "The Flick Filosopher", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/K/Kramer_vs._Kramer", "url": "http://www.flickfilosopher.com/1999/03/kramer-vs-kramer-review.html"} +{"d:Title": "IMDb: The Krays", "d:Description": "Film overview, synopsis, full cast and crew, reviews and ratings, awards, quotations, trivia, soundtrack listing, related films, marketing information, laserdisc and DVD details, photographs, and links.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.imdb.com/title/tt0099951/"} +{"d:Title": "Wikipedia: The Krays", "d:Description": "Annotated plot summary and cast.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://en.wikipedia.org/wiki/The_Krays_(film)"} +{"d:Title": "Rotten Tomatoes: The Krays", "d:Description": "Collection of reviews from several contributors and an overall rating score.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.rottentomatoes.com/m/krays/"} +{"d:Title": "Flixster: The Krays", "d:Description": "Overview, user ratings and comments, video clip, and links.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.flixster.com/movie/the-krays"} +{"d:Title": "Movie Mistakes: The Krays", "d:Description": "User-submitted mistakes found in the film.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.moviemistakes.com/film4340"} +{"d:Title": "Movie Magazine International: The Krays", "d:Description": "Review of the film by Monica Sullivan. \"...may be the sort of film that will linger in your mind weeks and months after you've seen it.\"", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.shoestring.org/mmi_revs/krays.html"} +{"d:Title": "Movie Locations: The Krays", "d:Description": "London filming locations for the film: Wilton's Music Hall in the East End, The Royal Oak on Columbia Road, and Richmond Theatre. Includes photographs and historical background.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.movie-locations.com/movies/k/krays.html"} +{"d:Title": "The Spinning Image: The Krays", "d:Description": "Review by Graeme Clark. \"...more concerned with the idea of the brothers than portraying an accurate account of events.\"", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.thespinningimage.co.uk/cultfilms/displaycultfilm.asp?reviewid=57"} +{"d:Title": "DVD Talk: The Krays", "d:Description": "Review of the special edition DVD, with screenshots, by Joshua Zyber. \"The disc has a nice transfer and some terrifically informative supplements, and is recommended for region-free viewers.\"", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.dvdtalk.com/reviews/19805/krays-special-edition-the/"} +{"d:Title": "The Washington Post: The Krays", "d:Description": "Hal Hinson's review of the film. \"The Krays lack resonance as class figures specifically because they are such anomalies.\"", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thekraysrhinson_a0a9b6.htm"} +{"d:Title": "Chicago Sun-Times: The Krays", "d:Description": "Roger Ebert's review of the film. \"The film works so well, I think, because it creates such a disturbing tension between the evil done by the Krays and the love they basked in at home.\"", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.rogerebert.com/reviews/the-krays-1990"} +{"d:Title": "Yahoo Movies: The Krays", "d:Description": "Film overview, DVD information, credits, awards, reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "https://www.yahoo.com/movies/film/the-krays"} +{"d:Title": "AllMovie: The Krays", "d:Description": "Detailed synopsis, credits, review, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.allmovie.com/movie/the-krays-v27691"} +{"d:Title": "Entertainment Weekly: The Krays", "d:Description": "Review of the film by Owen Gleiberman. \"Telling this story in a more straightforward fashion would have been far more satisfying.\"", "topic": "Top/Arts/Movies/Titles/K/Krays,_The", "url": "http://www.ew.com/article/1990/11/09/krays"} +{"d:Title": "TV Guide Online: Krippendorf's Tribe", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Krippendorf's_Tribe", "url": "http://www.tvguide.com/movies/krippendorfs-tribe/132901/"} +{"d:Title": "TV Guide Online: Krzysztof Kieslowski: I'm So-So", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Krzysztof_Kieslowski,_I'm_So-So...", "url": "http://www.tvguide.com/movies/krzysztof-kieslowski-im-so/133352/"} +{"d:Title": "All-Reviews.com: Kundun", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/K/Kundun", "url": "http://all-reviews.com/videos-4/kundun.htm"} +{"d:Title": "IMDb: Kundun (1997)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kundun", "url": "http://www.imdb.com/title/tt0119485/"} +{"d:Title": "TV Guide Online: Kundun", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/K/Kundun", "url": "http://www.tvguide.com/movies/kundun/132586/"} +{"d:Title": "IMDb: Gong fu (2004)", "d:Description": "Cast, crew, reviews, release dates, links and forum.", "topic": "Top/Arts/Movies/Titles/K/Kung_Fu_Hustle", "url": "http://www.imdb.com/title/tt0373074/"} +{"d:Title": "All-Reviews.com: Kung Pow! Enter the Fist", "d:Description": "Reviews of the film by Dustin Putman and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/K/Kung_Pow_-_Enter_the_Fist", "url": "http://www.all-reviews.com/videos-4/kung-pow-enter-fist.htm"} +{"d:Title": "Metacritic.com: Kung Pow - Enter the Fist", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/K/Kung_Pow_-_Enter_the_Fist", "url": "http://www.metacritic.com/movie/kung-pow-enter-the-fist"} +{"d:Title": "IMDb: Kung Pow: Enter the Fist", "d:Description": "Production details, cast and crew, plot summary, reviews, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/K/Kung_Pow_-_Enter_the_Fist", "url": "http://www.imdb.com/title/tt0240468/"} +{"d:Title": "IMDb: Kurt and Courtney", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/K/Kurt_and_Courtney", "url": "http://www.imdb.com/title/tt0138563/"} +{"d:Title": "L'Inferno", "d:Description": "Official site for the remastered version of the 1911 film. Multimedia and current and past reviews.", "topic": "Top/Arts/Movies/Titles/L/L'Inferno", "url": "http://www.linferno.com/index.htm"} +{"d:Title": "IMDb: L'Inferno (1911)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/L/L'Inferno", "url": "http://www.imdb.com/title/tt0002130/"} +{"d:Title": "IMDb - L-Shaped Room, The (1962)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/L-Shaped_Room,_The", "url": "http://www.imdb.com/title/tt0057239/"} +{"d:Title": "HARO Online: L.A. Twister", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/L.A._Twister", "url": "http://www.haro-online.com/movies/la_twister.html"} +{"d:Title": "IMDb: L.A. Twister (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/L.A._Twister", "url": "http://www.imdb.com/title/tt0347368/"} +{"d:Title": "Rotten Tomatoes: L.A. Twister", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/L/L.A._Twister", "url": "http://www.rottentomatoes.com/m/1192171-la_twister/"} +{"d:Title": "Boston.com: L.A. Twister", "d:Description": "Review by Janice Page.", "topic": "Top/Arts/Movies/Titles/L/L.A._Twister", "url": "http://archive.boston.com/news/globe/living/articles/2004/08/27/weak_twister_wont_shake_up_hollywood/?camp=pm"} +{"d:Title": "HARO Online: L.I.E", "d:Description": "Mongoose reviews the film, Plus photos.", "topic": "Top/Arts/Movies/Titles/L/L.I.E", "url": "http://www.haro-online.com/movies/lie.html"} +{"d:Title": "Rotten Tomatoes: L.I.E.", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/L.I.E", "url": "http://www.rottentomatoes.com/m/1106648-lie/"} +{"d:Title": "IMDb: L.I.E. (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/L.I.E", "url": "http://www.imdb.com/title/tt0242587/"} +{"d:Title": "IMDb - Labor Pains (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Labor_Pains", "url": "http://www.imdb.com/title/tt0172699/"} +{"d:Title": "It's Only Forever...", "d:Description": "Information, pictures, quiz, poll, and soundtrack about David Bowie and Jennifer Connelly.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.angelfire.com/80s/Labyrinth/index.html"} +{"d:Title": "Labyrinth Within Your Heart", "d:Description": "Background information, image gallery, articles, reviews, song lyrics, and related links.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.angelfire.com/la2/withinyourheart/"} +{"d:Title": "Think Labyrinth, The Movie", "d:Description": "Includes scripts, characters, transcripts, production notes, and sound clips from the movie.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.astrolog.org/labyrnth/movie.htm"} +{"d:Title": "The Other Labyrinth", "d:Description": "Fan fiction from various fans of the movie.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.angelfire.com/tx5/keinten_lock/"} +{"d:Title": "Return to the Underground", "d:Description": "Fan art, fan fiction, RPG, screen captures of Jareth.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://aj_241.tripod.com/"} +{"d:Title": "Jareth's Realm", "d:Description": "Analysis, photograph galleries, fan art, and humor. Large collection of unflattering photos and scans from various coloring books and comic books related to the movie.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.oddpla.net/jareth/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.fast-rewind.com/labyrinth.htm"} +{"d:Title": "RevolutionSF: Labyrinth", "d:Description": "Review of the movie and the DVD release.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.revolutionsf.com/article.php?id=1375"} +{"d:Title": "Labyrinth Unofficial Homepage", "d:Description": "Photos, conceptual design, trivia, merchandise information, photo gallery, fan art.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://labyrnth.tripod.com/"} +{"d:Title": "The New York Times: Labyrinth", "d:Description": "Review by Nina Darnton.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.nytimes.com/1986/06/27/movies/screen-jum-henson-s-labyrinth.html"} +{"d:Title": "The Labyrinth Web Ring", "d:Description": "A web ring for Labyrinth-related sites.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.webring.org/hub?ring=labyrinthring"} +{"d:Title": "IMDb - Labyrinth (1986)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.imdb.com/title/tt0091369/"} +{"d:Title": "Chicago Sun-Times: Labyrinth", "d:Description": "Review of the movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/L/Labyrinth", "url": "http://www.rogerebert.com/reviews/labyrinth-1986"} +{"d:Title": "HARO Online: Ladder 49", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Ladder_49", "url": "http://www.haro-online.com/movies/ladder49.html"} +{"d:Title": "IMDb: Ladder 49 (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Ladder_49", "url": "http://www.imdb.com/title/tt0349710/"} +{"d:Title": "Rotten Tomatoes: Ladder 49", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Ladder_49", "url": "http://www.rottentomatoes.com/m/ladder_49/"} +{"d:Title": "Rogerebert.com: Ladder 49", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/L/Ladder_49", "url": "http://www.rogerebert.com/reviews/ladder-49-2004"} +{"d:Title": "Ladies and Gentlemen, the Fabulous Stains (1981)", "d:Description": "Cast and crew from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/L/Ladies_and_Gentlemen,_the_Fabulous_Stains", "url": "http://www.imdb.com/title/tt0082639/"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie. Overall rating of 2 of 4 stars.", "topic": "Top/Arts/Movies/Titles/L/Ladies_Man,_The", "url": "http://www.all-reviews.com/videos-2/ladies-man.htm"} +{"d:Title": "Rotten Tomatoes: The Ladies Man", "d:Description": "Reviews from the nation's top critics and audiences. Includes movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/L/Ladies_Man,_The", "url": "http://www.rottentomatoes.com/m/1101029-ladies_man/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Ladies_Man,_The", "url": "http://www.metacritic.com/movie/the-ladies-man"} +{"d:Title": "IMDb: The Ladies Man", "d:Description": "Plot summary, cast and crew, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/L/Ladies_Man,_The", "url": "http://www.imdb.com/title/tt0213790/"} +{"d:Title": "Allreaders Ladies Man Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Ladies_Man,_The", "url": "http://allreaders.com/movie-review-summary/the-ladies-man-3639"} +{"d:Title": "All-Reviews.com - Ladybird Ladybird", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Ladybird_Ladybird", "url": "http://www.all-reviews.com/videos-2/ladybird-ladybird.htm"} +{"d:Title": "IMDb: Ladybird Ladybird", "d:Description": "Cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Ladybird_Ladybird", "url": "http://www.imdb.com/title/tt0110296/"} +{"d:Title": "Chicago Sun-Times: Ladybird Ladybird", "d:Description": "Critic Robert Ebert gives a positive review, focusing on the led performance by Crissy Rock.", "topic": "Top/Arts/Movies/Titles/L/Ladybird_Ladybird", "url": "http://www.rogerebert.com/reviews/ladybird-ladybird-1995"} +{"d:Title": "San Francisco Examiner: Ladybird escapes formula and flies", "d:Description": "Review by Scott Rosenberg making comparisons with Losing Isiah, a Hollywood film with similar themes.", "topic": "Top/Arts/Movies/Titles/L/Ladybird_Ladybird", "url": "http://www.sfgate.com/news/article/Ladybird-escapes-formula-and-flies-3149547.php"} +{"d:Title": "IMDb: Ladybugs", "d:Description": "Provides cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Ladybugs", "url": "http://www.imdb.com/title/tt0104670/"} +{"d:Title": "Allreaders Review - Ladybugs", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Ladybugs", "url": "http://allreaders.com/movie-review-summary/ladybugs-5440"} +{"d:Title": "Filmtracks", "d:Description": "Review of the soundtrack.", "topic": "Top/Arts/Movies/Titles/L/Ladyhawke", "url": "http://www.filmtracks.com/titles/ladyhawke.html"} +{"d:Title": "Fable's Ladyhawke Page", "d:Description": "Fansite with quotes, cast and crew list, trivia, pictures, and a short plot summary.", "topic": "Top/Arts/Movies/Titles/L/Ladyhawke", "url": "http://www.fable.com/ladyhawke.html"} +{"d:Title": "TV Guide Online: Ladyhawke", "d:Description": "Review, plot summary, cast list, and picture.", "topic": "Top/Arts/Movies/Titles/L/Ladyhawke", "url": "http://www.tvguide.com/movies/ladyhawke/103693/"} +{"d:Title": "Rotten Tomatoes: The Ladykillers (1955)", "d:Description": "Synopsis, synthesis of reviewer opinions, and trivia about this classic comedy.", "topic": "Top/Arts/Movies/Titles/L/Ladykillers,_The_-_1955", "url": "http://www.rottentomatoes.com/m/1011818-ladykillers/"} +{"d:Title": "IMDb - The Ladykillers", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Ladykillers,_The_-_1955", "url": "http://www.imdb.com/title/tt0048281/"} +{"d:Title": "TV Guide Online: Ladykillers, The", "d:Description": "Review, cast list, and picture from this 1955 film.", "topic": "Top/Arts/Movies/Titles/L/Ladykillers,_The_-_1955", "url": "http://www.tvguide.com/movies/ladykillers/103694/"} +{"d:Title": "About:The Ladykillers", "d:Description": "Review, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/L/Ladykillers,_The_-_2004", "url": "http://romanticmovies.about.com/cs/theladykillers/"} +{"d:Title": "HARO Online: The Ladykillers", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Ladykillers,_The_-_2004", "url": "http://www.haro-online.com/movies/ladykillers.html"} +{"d:Title": "All-Reviews.com: The Ladykillers", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Ladykillers,_The_-_2004", "url": "http://www.all-reviews.com/videos-5/ladykillers.htm"} +{"d:Title": "Rotten Tomatoes: The Ladykillers", "d:Description": "Synopsis, review synopsis and text of reviewers' opinions, trailers, photos, news, and other information.", "topic": "Top/Arts/Movies/Titles/L/Ladykillers,_The_-_2004", "url": "http://www.rottentomatoes.com/m/ladykillers/"} +{"d:Title": "HARO Online: The Lady and the Duke", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Lady_and_the_Duke,_The", "url": "http://www.haro-online.com/movies/lady_and_the_duke.html"} +{"d:Title": "Rotten Tomatoes: The Lady and the Duke", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Lady_and_the_Duke,_The", "url": "http://www.rottentomatoes.com/m/lady_and_the_duke/"} +{"d:Title": "IMDb: The Lady and the Duke (L'Anglaise et le Duc) (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lady_and_the_Duke,_The", "url": "http://www.imdb.com/title/tt0239234/"} +{"d:Title": "Chicago Sun-Times: The Lady and the Duke", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Lady_and_the_Duke,_The", "url": "http://www.rogerebert.com/reviews/the-lady-and-the-duke-2002"} +{"d:Title": "IMDb: Lady Caroline Lamb", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lady_Caroline_Lamb", "url": "http://www.imdb.com/title/tt0068827/"} +{"d:Title": "Greatest Films - The Lady Eve", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/L/Lady_Eve,_The", "url": "http://www.filmsite.org/ladye.html"} +{"d:Title": "IMDb - The Lady Eve", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lady_Eve,_The", "url": "http://www.imdb.com/title/tt0033804/"} +{"d:Title": "TV Guide Online: Lady Eve, The", "d:Description": "Review, cast list, and a still.", "topic": "Top/Arts/Movies/Titles/L/Lady_Eve,_The", "url": "http://www.tvguide.com/movies/lady-eve/103605/"} +{"d:Title": "IMDb - Lady in Black (Duo ming jia ren) (1987)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lady_in_Black", "url": "http://www.imdb.com/title/tt0092937/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Lady_Vanishes,_The_-_1938", "url": "http://crazy4cinema.com/Review/FilmsL/f_lady_vanishes.html"} +{"d:Title": "LikeTelevision - The Lady Vanishes", "d:Description": "Features the full broadband movie.", "topic": "Top/Arts/Movies/Titles/L/Lady_Vanishes,_The_-_1938", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=237&format=movie&theme=guide"} +{"d:Title": "The Lady Vanishes (1938)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/L/Lady_Vanishes,_The_-_1938", "url": "http://www.imdb.com/title/tt0030341/"} +{"d:Title": "IMDb: The Lady Vanishes - 1980", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lady_Vanishes,_The_-_1980", "url": "http://www.imdb.com/title/tt0079428/"} +{"d:Title": "TV Guide Online: Lady Vanishes, The", "d:Description": "Review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Lady_Vanishes,_The_-_1980", "url": "http://www.tvguide.com/movies/lady-vanishes/103683/"} +{"d:Title": "IMDb: Lagaan: Once Upon a Time in India (2001)", "d:Description": "Credits, review links, production history, trailer, and photographs.", "topic": "Top/Arts/Movies/Titles/L/Lagaan_-_Once_Upon_a_Time_in_India", "url": "http://www.imdb.com/title/tt0169102/"} +{"d:Title": "Lagaan", "d:Description": "Official site with synopsis, cast, crew, gallery, downloads, e-cards, interviews and contests.", "topic": "Top/Arts/Movies/Titles/L/Lagaan_-_Once_Upon_a_Time_in_India", "url": "http://www.lagaan.com/"} +{"d:Title": "IMDb - The Lair of the White Worm", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lair_of_the_White_Worm,_The", "url": "http://www.imdb.com/title/tt0095488/"} +{"d:Title": "Rotten Tomatoes: Lakeview Terrace", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/L/Lakeview_Terrace", "url": "http://www.rottentomatoes.com/m/1196215-lakeview_terrace/"} +{"d:Title": "IGN: Lakeview Terrace", "d:Description": "News, photos, videos, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/L/Lakeview_Terrace", "url": "http://www.ign.com/movies/lakeview-terrace/theater-892817"} +{"d:Title": "TV Guide: Lakeview Terrace", "d:Description": "Review, by Maitland McDonagh: \"Tediously predictable thriller.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/L/Lakeview_Terrace", "url": "http://www.tvguide.com/movies/lakeview-terrace/review/294805/"} +{"d:Title": "ReelViews: Lakeview Terrace", "d:Description": "Review, by James Berardinelli: \"In pandering to Hollywood standards about how stories like this should unfold, LaBute has lost his edge.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/L/Lakeview_Terrace", "url": "http://www.reelviews.net/reelviews/lakeview-terrace"} +{"d:Title": "IMDb: Lake of Fire (2006)", "d:Description": "Photo gallery, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/L/Lake_of_Fire", "url": "http://www.imdb.com/title/tt0841119/"} +{"d:Title": "Rotten Tomatoes: Lake of Fire", "d:Description": "Review links, critical consensus, synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/L/Lake_of_Fire", "url": "http://www.rottentomatoes.com/m/lake_of_fire/"} +{"d:Title": "MovieWeb: Lake of Fire (2007)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/L/Lake_of_Fire", "url": "http://www.movieweb.com/movie/lake-of-fire"} +{"d:Title": "All-Reviews.com - Lake Placid", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/L/Lake_Placid", "url": "http://www.all-reviews.com/videos/lake-placid.htm"} +{"d:Title": "HARO Online - Lake Placid", "d:Description": "Haro rates the movie: not that good.", "topic": "Top/Arts/Movies/Titles/L/Lake_Placid", "url": "http://www.haro-online.com/movies/lake_placid.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Lake_Placid", "url": "http://crazy4cinema.com/Review/FilmsL/f_lake_placid.html"} +{"d:Title": "Lake Placid - Rotten Tomatoes", "d:Description": "Short synopsis and review, with links to reviews, articles and interviews, and trailers.", "topic": "Top/Arts/Movies/Titles/L/Lake_Placid", "url": "http://www.rottentomatoes.com/m/lake_placid/"} +{"d:Title": "IMDb - Lake Placid (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lake_Placid", "url": "http://www.imdb.com/title/tt0139414/"} +{"d:Title": "Allreaders Lake Placid Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Lake_Placid", "url": "http://allreaders.com/movie-review-summary/lake-placid-3978"} +{"d:Title": "HARO Online: Lana's Rain", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Lana's_Rain", "url": "http://www.haro-online.com/movies/lanas_rain.html"} +{"d:Title": "IMDb: Lana's Rain (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lana's_Rain", "url": "http://www.imdb.com/title/tt0372370/"} +{"d:Title": "Chicago Sun-Times: Lana's Rain", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Lana's_Rain", "url": "http://www.rogerebert.com/reviews/lanas-rain-2004"} +{"d:Title": "The Video Graveyard: The Landlady", "d:Description": "Synopsis plus users' voting.", "topic": "Top/Arts/Movies/Titles/L/Landlady,_The", "url": "http://www.thevideograveyard.com/l/landlady.html"} +{"d:Title": "IMDb: Landlady, The (1998)", "d:Description": "Cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Landlady,_The", "url": "http://www.imdb.com/title/tt0137904/"} +{"d:Title": "IMDb - Landlord, The (1970)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Landlord,_The", "url": "http://www.imdb.com/title/tt0065963/"} +{"d:Title": "TV Guide Online: Landlord, The", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/L/Landlord,_The", "url": "http://www.tvguide.com/movies/landlord/103731/"} +{"d:Title": "All-Reviews.com - Land and Freedom", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Land_and_Freedom", "url": "http://www.all-reviews.com/videos-3/land-and-freedom.htm"} +{"d:Title": "IMDb: Land and Freedom (1995)", "d:Description": "Cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Land_and_Freedom", "url": "http://www.imdb.com/title/tt0114671/"} +{"d:Title": "IMDb: Land Girls, The (1998)", "d:Description": "Provides cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Land_Girls,_The", "url": "http://www.imdb.com/title/tt0119494/"} +{"d:Title": "TV Guide Online: Land Girls, The", "d:Description": "Movie review, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Land_Girls,_The", "url": "http://www.tvguide.com/movies/land-girls/133132/"} +{"d:Title": "Imdb - Land of the Free", "d:Description": "Cast and crew details, links to external reviews and user reviews.", "topic": "Top/Arts/Movies/Titles/L/Land_of_the_Free", "url": "http://www.imdb.com/title/tt0127637/"} +{"d:Title": "HARO Online: Lantana", "d:Description": "Mongoose reviews the film. Accompanied by photos.", "topic": "Top/Arts/Movies/Titles/L/Lantana", "url": "http://www.haro-online.com/movies/lantana.html"} +{"d:Title": "Rotten Tomatoes: Lantana", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Lantana", "url": "http://www.rottentomatoes.com/m/lantana/"} +{"d:Title": "EW.com: Lantana", "d:Description": "Dec 21, 2001 reviews by Lisa Schwarzbaum.", "topic": "Top/Arts/Movies/Titles/L/Lantana", "url": "http://www.ew.com/article/2001/12/14/lantana"} +{"d:Title": "IMDb: Larry", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Larry", "url": "http://www.imdb.com/title/tt0071740/"} +{"d:Title": "Bad Movies: Laserblast", "d:Description": "Provides information on each character and the plot, as well as humorous \"Things I learned from this movie\" section and memorable moments. Also includes images and multimedia.", "topic": "Top/Arts/Movies/Titles/L/Laserblast", "url": "http://www.badmovies.org/movies/laserblast/"} +{"d:Title": "IMdb: Laserblast (1978)", "d:Description": "Provides cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Laserblast", "url": "http://www.imdb.com/title/tt0077834/"} +{"d:Title": "All-Reviews.com - Lassie (1994)", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lassie", "url": "http://www.all-reviews.com/videos-3/lassie.htm"} +{"d:Title": "Kids in Mind: Lassie", "d:Description": "Parents' review looking at its suitability for children in terms of sex/nudity, violence and profanity.", "topic": "Top/Arts/Movies/Titles/L/Lassie", "url": "http://www.kids-in-mind.com/L/lassie_1994__032.htm"} +{"d:Title": "IMDb: Lassie (1994)", "d:Description": "Cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Lassie", "url": "http://www.imdb.com/title/tt0110305/"} +{"d:Title": "Chicago Sun Times: Lassie", "d:Description": "Critic Robert Ebert looks at how the film grew on him despite the cliches.", "topic": "Top/Arts/Movies/Titles/L/Lassie", "url": "http://www.rogerebert.com/reviews/lassie-1994"} +{"d:Title": "All-Reviews.com - Lassie Come Home", "d:Description": "Reviews by Dragan Antulov and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/L/Lassie_Come_Home", "url": "http://www.all-reviews.com/videos-4/lassie-come-home.htm"} +{"d:Title": "Lassie Come Home (1943)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/L/Lassie_Come_Home", "url": "http://www.imdb.com/title/tt0036098/"} +{"d:Title": "IMDb: Last Action Hero (1993)", "d:Description": "Cast and crew, with user comments.", "topic": "Top/Arts/Movies/Titles/L/Last_Action_Hero", "url": "http://imdb.com/title/tt0107362/"} +{"d:Title": "Rotten Tomatoes: Last Action Hero (1993)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/L/Last_Action_Hero", "url": "http://www.rottentomatoes.com/m/last_action_hero/"} +{"d:Title": "Rodger Ebert on Movies", "d:Description": "Review and analysis [2.5/4].", "topic": "Top/Arts/Movies/Titles/L/Last_Action_Hero", "url": "http://www.rogerebert.com/reviews/last-action-hero-1993"} +{"d:Title": "IMDb - Last Angry Man, The (1959)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Last_Angry_Man,_The_-_1959", "url": "http://www.imdb.com/title/tt0052990/"} +{"d:Title": "TV Guide - Last Angry Man, The", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/L/Last_Angry_Man,_The_-_1959", "url": "http://www.tvguide.com/movies/the-last-angry-man/103770/"} +{"d:Title": "IMDB - Last Ball (2000)", "d:Description": "Details about the movie.", "topic": "Top/Arts/Movies/Titles/L/Last_Ball", "url": "http://www.imdb.com/title/tt0212298/"} +{"d:Title": "All-Reviews.com: The Last Boy Scout", "d:Description": "Review by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/L/Last_Boy_Scout,_The", "url": "http://www.all-reviews.com/videos-4/last-boy-scout.htm"} +{"d:Title": "Rotten Tomatoes: The Last Boy Scout", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Last_Boy_Scout,_The", "url": "http://www.rottentomatoes.com/m/last_boy_scout/"} +{"d:Title": "IMDb: The Last Boy Scout (1991)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Last_Boy_Scout,_The", "url": "http://www.imdb.com/title/tt0102266/"} +{"d:Title": "Chicago Sun-Times: The Last Boy Scout", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Last_Boy_Scout,_The", "url": "http://www.rogerebert.com/reviews/the-last-boy-scout-1991"} +{"d:Title": "The Last Broadcast", "d:Description": "Official site for the film. Story, news, merchandise, and trailers.", "topic": "Top/Arts/Movies/Titles/L/Last_Broadcast,_The", "url": "http://www.thelastbroadcastmovie.com/"} +{"d:Title": "IMDb - The Last Broadcast (1998)", "d:Description": "Cast, credits, reviews and user comments.", "topic": "Top/Arts/Movies/Titles/L/Last_Broadcast,_The", "url": "http://www.imdb.com/title/tt0122143/"} +{"d:Title": "IMDB - Last Call", "d:Description": "Details about the movie, links to reviews, plot summary and tv schedules.", "topic": "Top/Arts/Movies/Titles/L/Last_Call", "url": "http://www.imdb.com/title/tt0099982/"} +{"d:Title": "TV Guide Online: Last Call", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Last_Call", "url": "http://www.tvguide.com/movies/last/128594/"} +{"d:Title": "IMDb - The Last Call (La \u00daltima llamada) (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Last_Call,_The", "url": "http://www.imdb.com/title/tt0160449/"} +{"d:Title": "All-Reviews.com: The Last Castle", "d:Description": "Offers a collection of reviews.", "topic": "Top/Arts/Movies/Titles/L/Last_Castle,_The", "url": "http://www.all-reviews.com/videos-3/last-castle.htm"} +{"d:Title": "HARO Online: Last Castle", "d:Description": "Review accompanied by photos.", "topic": "Top/Arts/Movies/Titles/L/Last_Castle,_The", "url": "http://www.haro-online.com/movies/last_castle.html"} +{"d:Title": "Metacritic.com: Last Castle", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, and rating.", "topic": "Top/Arts/Movies/Titles/L/Last_Castle,_The", "url": "http://www.metacritic.com/movie/the-last-castle"} +{"d:Title": "Combustible Celluloid - Whit Stillman", "d:Description": "Jeffrey M. Anderson interviews the director of The Last Days of Disco.", "topic": "Top/Arts/Movies/Titles/L/Last_Days_of_Disco", "url": "http://www.combustiblecelluloid.com/wsint.shtml"} +{"d:Title": "IMDb: The Last Days of Disco (1998)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Last_Days_of_Disco", "url": "http://www.imdb.com/title/tt0120728/"} +{"d:Title": "IMDb - The Last Detail", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Last_Detail,_The", "url": "http://www.imdb.com/title/tt0070290/"} +{"d:Title": "TV Guide Online: Last Detail, The", "d:Description": "Review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Last_Detail,_The", "url": "http://www.tvguide.com/movies/the/103791/"} +{"d:Title": "IMDb: Last Don II, The (1998)", "d:Description": "Provides cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Last_Don_II,_The", "url": "http://www.imdb.com/title/tt0136646/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Dragon,_The", "url": "http://www.fast-rewind.com/lastdragon.htm"} +{"d:Title": "The Last Dragon", "d:Description": "Cast and crew, image gallery, soundtrack details, production notes, and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Dragon,_The", "url": "http://www.fast-rewind.com/dragon/"} +{"d:Title": "IMDb: The Last Dragon (1985)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Last_Dragon,_The", "url": "http://www.imdb.com/title/tt0089461/"} +{"d:Title": "IMDb: Last Emperor, The (1987)", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Emperor,_The", "url": "http://www.imdb.com/title/tt0093389/"} +{"d:Title": "Chicago Sun Times: Last Emperor", "d:Description": "Critic Robert Ebert's review looks at the epic nature of the film.", "topic": "Top/Arts/Movies/Titles/L/Last_Emperor,_The", "url": "http://www.rogerebert.com/reviews/the-last-emperor-1987"} +{"d:Title": "TV Guide Online: Last Emperor, The", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Last_Emperor,_The", "url": "http://www.tvguide.com/movies/emperor/126247/"} +{"d:Title": "IMDb: Last Exit to Brooklyn (1989)", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Exit_to_Brooklyn", "url": "http://www.imdb.com/title/tt0097714/"} +{"d:Title": "Rotten Tomatoes: Last House on the Left", "d:Description": "Quotes from and links to reviews, cast and crew overview, and a forum.", "topic": "Top/Arts/Movies/Titles/L/Last_House_on_the_Left", "url": "http://www.rottentomatoes.com/m/last_house_on_the_left/"} +{"d:Title": "Interview with Wes Craven", "d:Description": "Interview conducted by Randy Lofficier. Craven discusses the making of his debut film.", "topic": "Top/Arts/Movies/Titles/L/Last_House_on_the_Left", "url": "http://www.lofficier.com/craven.htm"} +{"d:Title": "IMDb: Last House on the Left", "d:Description": "Provides cast, crew, user's comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Last_House_on_the_Left", "url": "http://www.imdb.com/title/tt0068833/"} +{"d:Title": "Flipside Movie Emporium: Last House on the Left", "d:Description": "Positive review by Michael B. Scrutchin.", "topic": "Top/Arts/Movies/Titles/L/Last_House_on_the_Left", "url": "http://www.flipsidearchive.com/lasthouseontheleft.html"} +{"d:Title": "Slant Magazine: Last House on the Left", "d:Description": "Capsule review by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/L/Last_House_on_the_Left", "url": "http://www.slantmagazine.com/film/review/the-last-house-on-the-left"} +{"d:Title": "Internet Movie Database: The Last Kiss (2006)", "d:Description": "Cast and crew listing, release information, pictures, message board and links to related movies.", "topic": "Top/Arts/Movies/Titles/L/Last_Kiss,_The_-_2006", "url": "http://www.imdb.com/title/tt0434139/"} +{"d:Title": "IMDb: Last Lives (1997)", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Lives", "url": "http://www.imdb.com/title/tt0119499/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of movie.", "topic": "Top/Arts/Movies/Titles/L/Last_Man_Standing", "url": "http://www.all-reviews.com/videos-2/last-man-standing.htm"} +{"d:Title": "TV Guide Online: Last Man Standing", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Last_Man_Standing", "url": "http://www.tvguide.com/movies/man-standing/131278/"} +{"d:Title": "HARO Online - Last Night", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/L/Last_Night_-_1998", "url": "http://www.haro-online.com/movies/last_night.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Last_Night_-_1998", "url": "http://www.metacritic.com/movie/last-night"} +{"d:Title": "IMDb: Last Night - 2010", "d:Description": "Synopsis, pictures, video clips, news articles, cast and credits.", "topic": "Top/Arts/Movies/Titles/L/Last_Night_-_2010", "url": "http://www.imdb.com/title/tt1294688/"} +{"d:Title": "All-Reviews.com - Last of the Dogmen", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Dogmen", "url": "http://www.all-reviews.com/videos-3/last-of-dogmen.htm"} +{"d:Title": "IMDb: Last of the Dogmen (1995)", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Dogmen", "url": "http://www.imdb.com/title/tt0113617/"} +{"d:Title": "Chicago Sun Times: Last of the Dogmen", "d:Description": "Critic Robert Ebert praises its absorbing story, but finds it is lacking in romance and myth.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Dogmen", "url": "http://www.rogerebert.com/reviews/last-of-the-dogmen-1995"} +{"d:Title": "On the Trail of Last of the Mohicans", "d:Description": "Read first person accounts of the filming, a copy of the script, a handwritten letter from DDL, a history of the period and the characters involved, photos from the set, sound clips, Gift Shop, Chat Room, and a Mohican WWW Board.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Mohicans,_The", "url": "http://www.mohicanpress.com/mohican2.html"} +{"d:Title": "On The Trail Of The Last Of The Mohicans", "d:Description": "Bulletin board, interviews with cast members, and on the set photos.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Mohicans,_The", "url": "http://www.mohicanpress.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Mohicans,_The", "url": "http://crazy4cinema.com/Review/FilmsL/f_last_mohicans.html"} +{"d:Title": "Mohicanpress: The Last of the Mohicans", "d:Description": "The film's screenplay, indexed by scene.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Mohicans,_The", "url": "http://www.mohicanpress.com/mo07000.html"} +{"d:Title": "Filmtracks: The Last of the Mohicans", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Mohicans,_The", "url": "http://www.filmtracks.com/titles/last_mohicans.html"} +{"d:Title": "IMDb - The Last of the Mohicans (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Mohicans,_The", "url": "http://www.imdb.com/title/tt0104691/"} +{"d:Title": "AllReaders.com Last of the Mohicans Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Last_of_the_Mohicans,_The", "url": "http://allreaders.com/movie-review-summary/the-last-of-the-mohicans-4848"} +{"d:Title": "Sony Classics: Last Orders", "d:Description": "Official site includes synopsis and information on the cast, filmmakers, production, casting and history of the film. Also includes photos and video clips.", "topic": "Top/Arts/Movies/Titles/L/Last_Orders", "url": "http://www.sonyclassics.com/lastorders/flash/index.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the film. Accompanied by photos.", "topic": "Top/Arts/Movies/Titles/L/Last_Orders", "url": "http://www.haro-online.com/movies/last_orders.html"} +{"d:Title": "All-Reviews.com - Last Orders", "d:Description": "Multiple reviews of the movie, with an average rating of 3.5/4 stars.", "topic": "Top/Arts/Movies/Titles/L/Last_Orders", "url": "http://www.all-reviews.com/videos-4/last-orders.htm"} +{"d:Title": "Rotten Tomatoes: Last Orders", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Last_Orders", "url": "http://www.rottentomatoes.com/m/last_orders/"} +{"d:Title": "The New York Times: Last Orders", "d:Description": "Review by A.O. Scott.", "topic": "Top/Arts/Movies/Titles/L/Last_Orders", "url": "http://www.nytimes.com/2002/02/15/movies/film-review-a-tender-ta-ta-for-a-pub-mate.html"} +{"d:Title": "IMDb: Last Orders", "d:Description": "Cast, crew, related links and users' comments.", "topic": "Top/Arts/Movies/Titles/L/Last_Orders", "url": "http://www.imdb.com/title/tt0253200/"} +{"d:Title": "Last Picture Show, The (1971)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/L/Last_Picture_Show,_The", "url": "http://www.imdb.com/title/tt0067328/"} +{"d:Title": "TV Guide Online: Last Picture Show, The", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Last_Picture_Show,_The", "url": "http://www.tvguide.com/movies/picture/103862/"} +{"d:Title": "IMDb: Last Rites (1988)", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Rites_-_1988", "url": "http://www.imdb.com/title/tt0095496/"} +{"d:Title": "Chicago Sun Times: Last Rites", "d:Description": "Critic Robert Ebert, gives a negative review, describing the film as the worst of 1988, accusing it of bad filmmaking and being offensive to his intelligence.", "topic": "Top/Arts/Movies/Titles/L/Last_Rites_-_1988", "url": "http://www.rogerebert.com/reviews/last-rites-1988"} +{"d:Title": "TV Guide Online: Last Rites", "d:Description": "Movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/L/Last_Rites_-_1988", "url": "http://www.tvguide.com/movies/rites/127150/"} +{"d:Title": "IMDb: Last Rites (1998)", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Rites_-_1998", "url": "http://www.imdb.com/title/tt0164082/"} +{"d:Title": "IMDb: Last Samurai, The (1990)", "d:Description": "Provides cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_1990", "url": "http://www.imdb.com/title/tt0099987/"} +{"d:Title": "AllReaders.com Last Samurai Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_1990", "url": "http://allreaders.com/movie-review-summary/the-last-samurai-5258"} +{"d:Title": "Internet Movie Database: Last Samurai, The", "d:Description": "Synopsis, cast and crew, production information, and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://imdb.com/title/tt0325710/"} +{"d:Title": "KillerMovies - The Last Samurai", "d:Description": "Synopsis, review, multimedia, and news articles.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://www.killermovies.com/l/thelastsamurai/"} +{"d:Title": "Filmtracks: The Last Samurai", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://www.filmtracks.com/titles/last_samurai.html"} +{"d:Title": "IOFilm: Cruise Control", "d:Description": "Interview with Tom Cruise concerning the making of the film.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://www.iofilm.co.uk/feats/interviews/t/tom_cruise_last_samurai.shtml"} +{"d:Title": "National Geographic: The Last Samurai: Movie Myth or History?", "d:Description": "Stefan Lovgren analyzes the historical basis and accuracy of the movie.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://news.nationalgeographic.com/news/2003/12/1202_031202_lastsamurai.html"} +{"d:Title": "TEN Movies: The Last Samurai", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1048"} +{"d:Title": "Mooviees! : Last Samurai (2003)", "d:Description": "Features photographs, wallpapers, trailers, reviews, cast overview, synopsis and production notes about this movie title.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://www.mooviees.com/2375-the-last-samurai/movie"} +{"d:Title": "Rotten Tomatoes: The Last Samurai", "d:Description": "Plot synopsis links to video clips and the trailer, photographs, news articles, and a public forum.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://www.rottentomatoes.com/m/last_samurai/"} +{"d:Title": "The Last Samurai Headquarters", "d:Description": "Fan site with news, multimedia, pictures, film information, cast details, and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://www.tomcruisefan.com/movies/the-last-samurai/"} +{"d:Title": "\"The Last Samurai\" Premiere: Interviews with Ken Watanabe and Shin Koyamada", "d:Description": "The stars of The Last Samurai talk about their fight training.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003", "url": "http://movies.about.com/cs/thelastsamurai/a/smkw120103.htm"} +{"d:Title": "HARO Online: The Last Samurai", "d:Description": "Review that compares the movie with other Tom Cruise films. Rating: pretty good.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003/Reviews", "url": "http://www.haro-online.com/movies/last_samurai.html"} +{"d:Title": "Japan Visitor: The Last Samurai", "d:Description": "Review by Owen Grieb: \"Though very much the Hollywood blockbuster, The Last Samurai, led by a sword-wielding, shaggy-bearded Tom Cruise, provides an interesting insight into mid-nineteenth century Japan...\"", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003/Reviews", "url": "http://www.japanvisitor.com/index.php?cID=367&pID=414&cName=Film&pName=film-last-samurai"} +{"d:Title": "Japan Visitor: The Last Samurai", "d:Description": "Review by Owen Grieb: \"Though very much the Hollywood blockbuster, The Last Samurai, led by a sword-wielding, shaggy-bearded Tom Cruise, provides an interesting insight into mid-nineteenth century Japan...\"", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003/Reviews", "url": "http://www.japanvisitor.com/japanese-culture/film/film-last-samurai"} +{"d:Title": "Future Movies - The Last Samurai", "d:Description": "Review of the film by Nik Huggins. Focuses on the film's representation of the clash of East and West cultures.", "topic": "Top/Arts/Movies/Titles/L/Last_Samurai,_The_-_2003/Reviews", "url": "http://www.futuremovies.co.uk/reviews/the-last-samurai/nik-huggins"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Last_September,_The", "url": "http://www.metacritic.com/movie/the-last-september"} +{"d:Title": "Imdb: The Last September", "d:Description": "Reviews, full cast and production credits, links to related sites and plot summary.", "topic": "Top/Arts/Movies/Titles/L/Last_September,_The", "url": "http://www.imdb.com/title/tt0180793/"} +{"d:Title": "HARO Online: The Last Shot", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Last_Shot,_The", "url": "http://www.haro-online.com/movies/last_shot.html"} +{"d:Title": "IMDb: The Last Shot (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Last_Shot,_The", "url": "http://www.imdb.com/title/tt0357054/"} +{"d:Title": "Rotten Tomatoes: The Last Shot", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Last_Shot,_The", "url": "http://www.rottentomatoes.com/m/last_shot/"} +{"d:Title": "Rogerebert.com: The Last Shot", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/L/Last_Shot,_The", "url": "http://www.rogerebert.com/reviews/the-last-shot-2004"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/L/Last_Starfighter,_The", "url": "http://www.fast-rewind.com/lstarfighter.htm"} +{"d:Title": "IMDb", "d:Description": "Plot summary, links to reviews, full cast and crew, production details, trivia, \"goofs\", and quotes.", "topic": "Top/Arts/Movies/Titles/L/Last_Starfighter,_The", "url": "http://www.imdb.com/title/tt0087597/"} +{"d:Title": "TV Guide Online: Last Starfighter, The", "d:Description": "Movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/L/Last_Starfighter,_The", "url": "http://www.tvguide.com/movies/starfighter/103881/"} +{"d:Title": "All-Reviews.com - Last Summer in the Hamptons", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Last_Summer_in_the_Hamptons", "url": "http://www.all-reviews.com/videos-3/last-summer-hamptons.htm"} +{"d:Title": "All-Reviews.com - The Last Supper", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Last_Supper,_The", "url": "http://www.all-reviews.com/videos-3/last-supper.htm"} +{"d:Title": "TV Guide Online: Last Supper, The", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Last_Supper,_The", "url": "http://www.tvguide.com/movies/supper/131340/"} +{"d:Title": "Last Tango in Paris", "d:Description": "Analysis by Todd Stabley, including a comparison with the works of painter Francis Bacon. With stills and video clips [RealPlayer].", "topic": "Top/Arts/Movies/Titles/L/Last_Tango_in_Paris", "url": "http://ibiblio.org/stabley/lt1.html"} +{"d:Title": "IMDb: Ultimo Tango a Parigi", "d:Description": "Offers a complete listing of credits and cast, a summary of the film and user comments. Includes photo gallery and trailer.", "topic": "Top/Arts/Movies/Titles/L/Last_Tango_in_Paris", "url": "http://www.imdb.com/title/tt0070849/"} +{"d:Title": "Roger Ebert: Last Tango in Paris - 1972", "d:Description": "Bernardo Bertolucci's \"Last Tango in Paris\" is one of the great emotional experiences of our time.", "topic": "Top/Arts/Movies/Titles/L/Last_Tango_in_Paris", "url": "http://www.rogerebert.com/reviews/last-tango-in-paris-1972"} +{"d:Title": "RogerEbert: Last Tango In Paris", "d:Description": "Watching Bernardo Bertolucci's \"Last Tango in Paris\" 23 years after it was first released is like revisiting the house where you used to live, and did wild things you don't do anymore.", "topic": "Top/Arts/Movies/Titles/L/Last_Tango_in_Paris", "url": "http://www.rogerebert.com/reviews/last-tango-in-paris-1995"} +{"d:Title": "IMDB", "d:Description": "User reviews, plot summary, trivia, quotes, and complete information about the cast and crew of the film.", "topic": "Top/Arts/Movies/Titles/L/Last_Temptation_of_Christ,_The", "url": "http://www.imdb.com/title/tt0095497/"} +{"d:Title": "The Complete Last Waltz", "d:Description": "lists the 17 missing tracks from the \"official\" release of the album.", "topic": "Top/Arts/Movies/Titles/L/Last_Waltz,_The", "url": "http://theband.hiof.no/albums/complete_last_waltz.html"} +{"d:Title": "The Last Waltz", "d:Description": "More on the farewell concert, includes stills from the film.", "topic": "Top/Arts/Movies/Titles/L/Last_Waltz,_The", "url": "http://theband.hiof.no/videos/last_waltz.html"} +{"d:Title": "The Last Waltz", "d:Description": "Considered one of the finest \"rockumentaries\" ever made and directed by Martin Scorsese ... this was The Band's swan song.", "topic": "Top/Arts/Movies/Titles/L/Last_Waltz,_The", "url": "http://theband.hiof.no/albums/last_waltz.html"} +{"d:Title": "Metacritic.com: The Last Waltz", "d:Description": "Quotes from and links to reviews of the film, and an overall score.", "topic": "Top/Arts/Movies/Titles/L/Last_Waltz,_The", "url": "http://www.metacritic.com/movie/the-last-waltz"} +{"d:Title": "IMDb: L'Ann\u00e9e Derni\u00e8re \u00e0 Marienbad (1961)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Last_Year_at_Marienbad", "url": "http://www.imdb.com/title/tt0054632/"} +{"d:Title": "Chicago Sun-Times: Last Year at Marienbad", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Last_Year_at_Marienbad", "url": "http://www.rogerebert.com/reviews/great-movie-last-year-at-marienbad-1961"} +{"d:Title": "HARO Online: Latter Days", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Latter_Days", "url": "http://www.haro-online.com/movies/latter_days.html"} +{"d:Title": "IMDb: Latter Days (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Latter_Days", "url": "http://www.imdb.com/title/tt0345551/"} +{"d:Title": "Rotten Tomatoes: Latter Days", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Latter_Days", "url": "http://www.rottentomatoes.com/m/latter_days/"} +{"d:Title": "Chicago Sun-Times: Latter Days", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Latter_Days", "url": "http://www.rogerebert.com/reviews/latter-days-2004"} +{"d:Title": "Greatest Films - Laura", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/L/Laura", "url": "http://www.filmsite.org/laur.html"} +{"d:Title": "Laura", "d:Description": "Cast, crew and additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Laura", "url": "http://www.imdb.com/title/tt0037008/"} +{"d:Title": "TV Guide: Laura", "d:Description": "Laura review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Laura", "url": "http://www.tvguide.com/movies/laura/103939/"} +{"d:Title": "Laurel Canyon", "d:Description": "Official site offers an interview with director Lisa Cholodenko, press release in pdf format, film synopsis, creative team details and information on the actors and their characters.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.sonyclassics.com/laurelcanyon/"} +{"d:Title": "IMDb: Laurel Canyon", "d:Description": "Reviews, plot summary, trailers and photos.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.imdb.com/title/tt0298408/"} +{"d:Title": "BoxOfficeMojo: Laurel Canyon", "d:Description": "Provides box office information, articles, news and analysis.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.boxofficemojo.com/movies/?id=laurelcanyon.htm"} +{"d:Title": "HARO Online: Laurel Canyon", "d:Description": "Review and photos.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.haro-online.com/movies/laurel_canyon.html"} +{"d:Title": "MRQE: Laurel Canyon", "d:Description": "Reviews from around the world.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.mrqe.com/movie_reviews/laurel-canyon-m100007437"} +{"d:Title": "Movies.com: Laurel Canyon", "d:Description": "Synopsis, cast and crew, reviews, photo gallery, trailers and clips.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.movies.com/laurel-canyon/m8464"} +{"d:Title": "Rotten Tomatoes: Laurel Canyon", "d:Description": "Reviews, cast and crew, synopsis, trailer and photos.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.rottentomatoes.com/m/laurel_canyon/"} +{"d:Title": "Laurel Canyon Film Review", "d:Description": "Offers commentary and related links.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/laurel-canyon/301"} +{"d:Title": "RogerEbert.com: Review: Laurel Canyon", "d:Description": "Provides critical appraisal of the film including cast details.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "http://www.rogerebert.com/reviews/laurel-canyon-2003"} +{"d:Title": "Yahoo! Movies: Laurel Canyon", "d:Description": "Synopsis, cast and credits, reviews and photos.", "topic": "Top/Arts/Movies/Titles/L/Laurel_Canyon", "url": "https://www.yahoo.com/movies/film/laurel-canyon"} +{"d:Title": "IMDb - Lava (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lava", "url": "http://www.imdb.com/title/tt0213053/"} +{"d:Title": "IMDb - The Lavender Hill Mob", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lavender_Hill_Mob,_The", "url": "http://www.imdb.com/title/tt0044829/"} +{"d:Title": "TV Guide Online: Lavender Hill Mob, The", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Lavender_Hill_Mob,_The", "url": "http://www.tvguide.com/movies/lavender-hill-mob/103940/"} +{"d:Title": "BBC Essex: The Lawless Heart", "d:Description": "Review of the film, and comments on it being filmed in Essex.", "topic": "Top/Arts/Movies/Titles/L/Lawless_Heart,_The", "url": "http://www.bbc.co.uk/films/2002/06/12/the_lawless_heart_2002_review.shtml"} +{"d:Title": "HARO Online: The Lawless Heart", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Lawless_Heart,_The", "url": "http://www.haro-online.com/movies/lawless_heart.html"} +{"d:Title": "Rotten Tomatoes: The Lawless Heart", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Lawless_Heart,_The", "url": "http://www.rottentomatoes.com/m/lawless_heart/"} +{"d:Title": "IMDb: The Lawless Heart", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lawless_Heart,_The", "url": "http://www.imdb.com/title/tt0276276/"} +{"d:Title": "All-Reviews.com- Lawn Dogs", "d:Description": "Reviews of the movie. Average rating 3 of 4 stars.", "topic": "Top/Arts/Movies/Titles/L/Lawn_Dogs", "url": "http://www.all-reviews.com/videos/lawn-dogs.htm"} +{"d:Title": "TV Guide Online: Lawn Dogs", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Lawn_Dogs", "url": "http://www.tvguide.com/movies/lawn-dogs/133228/"} +{"d:Title": "Greatest Films: Lawrence of Arabia", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/L/Lawrence_of_Arabia", "url": "http://www.filmsite.org/lawr.html"} +{"d:Title": "Teach with Movies: Lawrence of Arabia", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/L/Lawrence_of_Arabia", "url": "http://www.teachwithmovies.org/guides/lawrence-of-arabia.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Lawrence_of_Arabia", "url": "http://crazy4cinema.com/Review/FilmsL/f_lawrence.html"} +{"d:Title": "All-Reviews.com - Lawrence of Arabia", "d:Description": "Multiple reviews of the film with an average rating of 4/4 stars.", "topic": "Top/Arts/Movies/Titles/L/Lawrence_of_Arabia", "url": "http://www.all-reviews.com/videos-4/lawrence-of-arabia.htm"} +{"d:Title": "Metacritic.com: Lawrence of Arabia", "d:Description": "Quotes from and links to reviews, overall rating, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lawrence_of_Arabia", "url": "http://www.metacritic.com/movie/lawrence-of-arabia"} +{"d:Title": "IMDb: Lawrence of Arabia", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lawrence_of_Arabia", "url": "http://www.imdb.com/title/tt0056172/"} +{"d:Title": "AllReaders.com Lawrence of Arabia Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Lawrence_of_Arabia", "url": "http://allreaders.com/movie-review-summary/lawrence-of-arabia-4110"} +{"d:Title": "HARO Online: Laws of Attraction", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Laws_of_Attraction", "url": "http://www.haro-online.com/movies/laws_of_attraction.html"} +{"d:Title": "IMDb: Laws of Attraction (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Laws_of_Attraction", "url": "http://www.imdb.com/title/tt0323033/"} +{"d:Title": "Rotten Tomatoes: Laws of Attraction", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Laws_of_Attraction", "url": "http://www.rottentomatoes.com/m/laws_of_attraction/"} +{"d:Title": "TEN Movies: Laws Of Attraction", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/L/Laws_of_Attraction", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1087"} +{"d:Title": "Chicago Sun-Times: Laws of Attraction", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Laws_of_Attraction", "url": "http://www.rogerebert.com/reviews/laws-of-attraction-2004"} +{"d:Title": "IMDb: Law Abiding Citizen", "d:Description": "Offers Cast list, filming locations, production companies and message board.", "topic": "Top/Arts/Movies/Titles/L/Law_Abiding_Citizen", "url": "http://www.imdb.com/title/tt1197624/"} +{"d:Title": "All-Reviews: La Bamba", "d:Description": "Review that includes plot information and criticism of the film's over-emphasis on Richie Valens not speaking Spanish.", "topic": "Top/Arts/Movies/Titles/L/La_Bamba", "url": "http://www.all-reviews.com/videos-4/la-bamba.htm"} +{"d:Title": "IMDB - La Bamba", "d:Description": "Details of the film, plot outline, user reviews, goofs and a soundtrack listing.", "topic": "Top/Arts/Movies/Titles/L/La_Bamba", "url": "http://www.imdb.com/title/tt0093378/"} +{"d:Title": "AllReaders.com Review - La Bamba", "d:Description": "Detailed analysis of the Lou Diamond Phillips film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/La_Bamba", "url": "http://allreaders.com/movie-review-summary/la-bamba-5656"} +{"d:Title": "Cosmopolis - L. A. Confidential", "d:Description": "our favourite movies: a review of L. A. confidential.", "topic": "Top/Arts/Movies/Titles/L/LA_Confidential", "url": "http://www.cosmopolis.ch/english/cosmo2/confidential.htm"} +{"d:Title": "All-Reviews.com- L.A. Confidential", "d:Description": "Collection of reviews. Overall rating 4/4.", "topic": "Top/Arts/Movies/Titles/L/LA_Confidential", "url": "http://www.all-reviews.com/videos/la-confidential.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/LA_Confidential", "url": "http://crazy4cinema.com/Review/FilmsL/f_lacon.html"} +{"d:Title": "IMDb - L.A. Confidential", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/LA_Confidential", "url": "http://www.imdb.com/title/tt0119488/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/LA_Confidential", "url": "http://www.metacritic.com/movie/la-confidential"} +{"d:Title": "Allreaders LA Confidential Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar movies. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/LA_Confidential", "url": "http://allreaders.com/movie-review-summary/la-confidential-4318"} +{"d:Title": "IMDb: M\u00f4me, La (2007)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/L/La_Vie_en_Rose", "url": "http://www.imdb.com/title/tt0450188/"} +{"d:Title": "Rotten Tomatoes: La Vie En Rose", "d:Description": "Synopsis, news, review links, photos, and videos.", "topic": "Top/Arts/Movies/Titles/L/La_Vie_en_Rose", "url": "http://www.rottentomatoes.com/m/la_vie_en_rose/"} +{"d:Title": "MovieWeb: La Vie en Rose (2007)", "d:Description": "Summary, reviews, videos, photos, and box office data.", "topic": "Top/Arts/Movies/Titles/L/La_Vie_en_Rose", "url": "http://www.movieweb.com/movie/la-vie-en-rose"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, cast, credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/L/La_Vie_en_Rose", "url": "https://www.yahoo.com/movies/film/la-la-vie-en-rose"} +{"d:Title": "TV Guide Online: Leading Man, The", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Leading_Man,_The", "url": "http://www.tvguide.com/movies/leading-man/132409/"} +{"d:Title": "IMDb - League of Extraordinary Gentlemen, The", "d:Description": "Contains cast and crew details, quotes, trivia, goofs, photos, and links.", "topic": "Top/Arts/Movies/Titles/L/League_of_Extraordinary_Gentlemen,_The", "url": "http://imdb.com/title/tt0311429/"} +{"d:Title": "KillerMovies: The League of Extraordinary Gentlemen", "d:Description": "Synopsis, links to trailers, and news articles.", "topic": "Top/Arts/Movies/Titles/L/League_of_Extraordinary_Gentlemen,_The", "url": "http://www.killermovies.com/l/leagueofextraordinarygentlemen/"} +{"d:Title": "HARO Online: The League of Extraordinary Gentlemen", "d:Description": "Haro reviews the film: \" Yes it's dumb, but it moves relatively quickly, and there are tons of people with crazy powers doing crazy things.\"", "topic": "Top/Arts/Movies/Titles/L/League_of_Extraordinary_Gentlemen,_The", "url": "http://www.haro-online.com/movies/league_extraordinary.html"} +{"d:Title": "All-Reviews.com The League of Extraordinary Gentlemen", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/League_of_Extraordinary_Gentlemen,_The", "url": "http://www.all-reviews.com/videos-5/league-extraordinary-gentlemen.htm"} +{"d:Title": "Filmtracks: The League of Extraordinary Gentlemen", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/L/League_of_Extraordinary_Gentlemen,_The", "url": "http://www.filmtracks.com/titles/lxg.html"} +{"d:Title": "Awesome Stories: League of Extraordinary Gentlemen", "d:Description": "Provides historical explanations of the literary characters on whom the film is based.", "topic": "Top/Arts/Movies/Titles/L/League_of_Extraordinary_Gentlemen,_The", "url": "https://www.awesomestories.com/asset/view/League-of-Extraordinary-Gentlemen"} +{"d:Title": "Reel Faces - A League of Their Own", "d:Description": "Explores the real people featured in the film's storyline, with photographs, a discussion, and related links.", "topic": "Top/Arts/Movies/Titles/L/League_of_Their_Own,_A_-_1992", "url": "http://www.chasingthefrog.com/reelfaces/aleagueoftheirown.php"} +{"d:Title": "Internet Movie Database: League of Their Own, A", "d:Description": "Synopsis, cast and crew list, user comments and ratings, reviews, awards, trivia, production and distribution details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/L/League_of_Their_Own,_A_-_1992", "url": "http://imdb.com/title/tt0104694/"} +{"d:Title": "Chicago Sun-Times: A League of Their Own", "d:Description": "Review of the movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/L/League_of_Their_Own,_A_-_1992", "url": "http://www.rogerebert.com/reviews/a-league-of-their-own-1992"} +{"d:Title": "HARO Online: Learning Curve", "d:Description": "Mongoose reviews the film, which is accompanied by photos.", "topic": "Top/Arts/Movies/Titles/L/Learning_Curve", "url": "http://www.haro-online.com/movies/learning_curve.html"} +{"d:Title": "IMDb: Learning Curve", "d:Description": "Provides details of cast and crew, plus related links and users' comments.", "topic": "Top/Arts/Movies/Titles/L/Learning_Curve", "url": "http://www.imdb.com/title/tt0219126/"} +{"d:Title": "Rotten Tomatoes: The Learning Curve", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Learning_Curve", "url": "http://www.rottentomatoes.com/m/the_learning_curve_2002/"} +{"d:Title": "Rotten Tomatoes: Leatherheads", "d:Description": "Synopsis, cast members, photos, videos, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/L/Leatherheads", "url": "http://www.rottentomatoes.com/m/leatherheads/"} +{"d:Title": "MovieWeb: Leatherheads (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Leatherheads", "url": "http://www.movieweb.com/movie/leatherheads"} +{"d:Title": "IGN Movies: Leatherheads", "d:Description": "News, photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/L/Leatherheads", "url": "http://www.ign.com/movies/leatherheads/theater-884811"} +{"d:Title": "ReelViews: Leatherheads", "d:Description": "Review, by James Berardinelli: \"Clooney and Zellweger play off each other perfectly, delivering their dialogue with the rhythm of a well-choreographed dance and falling in love in the time-honored tradition of '40s romantic comedies.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/L/Leatherheads", "url": "http://www.reelviews.net/reelviews/leatherheads"} +{"d:Title": "PopMatters Review of Left Behind Movie", "d:Description": "Movie review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/L/Left_Behind", "url": "http://popmatters.com/film/reviews/l/left-behind.html"} +{"d:Title": "HARO Online", "d:Description": "Very short review.", "topic": "Top/Arts/Movies/Titles/L/Left_Behind", "url": "http://www.haro-online.com/movies/left_behind.html"} +{"d:Title": "Left Behind Movie Review", "d:Description": "Dirk Eichhorst applauds the efforts of the filmmakers but disagrees with their theology.", "topic": "Top/Arts/Movies/Titles/L/Left_Behind", "url": "http://www.solagroup.org/articles/endtimes/et_0002.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Left_Behind", "url": "http://www.metacritic.com/movie/left-behind"} +{"d:Title": "Tribchixx - Left Behind", "d:Description": "Downloadable icons, wallpaper, winamp skins, and sounds from the film.", "topic": "Top/Arts/Movies/Titles/L/Left_Behind", "url": "http://tribchixx.tripod.com/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Left_Behind", "url": "http://www.rottentomatoes.com/m/left_behind_the_movie/"} +{"d:Title": "The Atlantic Monthly - Article on the Left Behind Series", "d:Description": "by Michael Joseph Gross.", "topic": "Top/Arts/Movies/Titles/L/Left_Behind", "url": "http://www.theatlantic.com/magazine/archive/2000/01/the-trials-of-the-tribulation/377980/"} +{"d:Title": "IMDb - Left Luggage (1998)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Left_Luggage", "url": "http://www.imdb.com/title/tt0119512/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"Legally Blonde.\"", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde", "url": "http://www.haro-online.com/movies/legally_blonde.html"} +{"d:Title": "All-Reviews.com - Legally Blonde", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde", "url": "http://www.all-reviews.com/videos-3/legally-blonde.htm"} +{"d:Title": "Seeing-Stars.com: Legally Blonde", "d:Description": "Pictures, maps, addresses, and descriptions of places where parts of the film were shot.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde", "url": "http://www.seeing-stars.com/Locations/LegallyBlonde.shtml"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Pictures, trailers, and links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde", "url": "http://www.rottentomatoes.com/m/legally_blonde/"} +{"d:Title": "Legally Blonde", "d:Description": "Official site featuring synopsis and trailer.", "priority": "1", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde", "url": "http://www.mgm.com/title_title.php?title_star=LEGALLYB"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde", "url": "http://www.metacritic.com/movie/legally-blonde"} +{"d:Title": "AllReaders.com Review - Legally Blonde", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde", "url": "http://allreaders.com/movie-review-summary/legally-blonde-6972"} +{"d:Title": "HARO Online: Legally Blonde 2", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde_2", "url": "http://www.haro-online.com/movies/legally_blonde2.html"} +{"d:Title": "IMDB.com: Legally Blonde 2: Red, White and Blonde", "d:Description": "Info on cast, crew, reviews, plot summary, and links to related sites.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde_2", "url": "http://www.imdb.com/title/tt0333780/"} +{"d:Title": "All-Reviews.com: Legally Blonde 2", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Legally_Blonde_Series/Legally_Blonde_2", "url": "http://all-reviews.com/videos-5/legally-blonde-2-red-white.htm"} +{"d:Title": "Legend FAQ", "d:Description": "Contains facts and speculation about the movie, its different versions, characters and releases.", "topic": "Top/Arts/Movies/Titles/L/Legend", "url": "http://www.figmentfly.com/legend/index.shtml"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/L/Legend", "url": "http://www.fast-rewind.com/legend.htm"} +{"d:Title": "About.com: Legend", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/L/Legend", "url": "http://homevideo.about.com/library/weekly/aafpr052302.htm"} +{"d:Title": "Magnificent Movies - Legend", "d:Description": "Fan site with information on the cast and crew, images, screen captures and sound clips.", "topic": "Top/Arts/Movies/Titles/L/Legend", "url": "http://whitewolf.htmlplanet.com/legendhome.html"} +{"d:Title": "IMDb: Legend", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/L/Legend", "url": "http://www.imdb.com/title/tt0089469/"} +{"d:Title": "IMDb: The Legend (Fong Sai-Yuk) (1993)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Legend,_The", "url": "http://www.imdb.com/title/tt0106936/"} +{"d:Title": "Apache Bear's Legends of the Fall Movie Website", "d:Description": "Fan site dedicated to the movie.", "topic": "Top/Arts/Movies/Titles/L/Legends_of_the_Fall", "url": "http://www.apachebear.com/"} +{"d:Title": "IMDb: Legends of the Fall (1994)", "d:Description": "Includes a plot summary, trailer, cast list, and message board.", "topic": "Top/Arts/Movies/Titles/L/Legends_of_the_Fall", "url": "http://www.imdb.com/title/tt0110322/"} +{"d:Title": "HARO Online - The Legend of 1900", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_1900,_The", "url": "http://www.haro-online.com/movies/legend_of_1900.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_1900,_The", "url": "http://www.metacritic.com/movie/the-legend-of-1900"} +{"d:Title": "IMDb: Legend of a Fighter (Huo Yuan-Jia) (1982)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_a_Fighter", "url": "http://www.imdb.com/title/tt0084105/"} +{"d:Title": "PopMatters - Legend of Bagger Vance", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Bagger_Vance,_The", "url": "http://popmatters.com/film/reviews/l/legend-of-bagger-vance.html"} +{"d:Title": "HARO Online", "d:Description": "Review of the film. Final rating not bad.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Bagger_Vance,_The", "url": "http://www.haro-online.com/movies/legend_of_bagger_vance.html"} +{"d:Title": "All-Reviews.com- Legend of Bagger Vance", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Bagger_Vance,_The", "url": "http://www.all-reviews.com/videos-2/legend-bagger-vance.htm"} +{"d:Title": "Rotten Tomatoes - The Legend of Bagger Vance", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Bagger_Vance,_The", "url": "http://www.rottentomatoes.com/m/legend_of_bagger_vance/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Bagger_Vance,_The", "url": "http://www.metacritic.com/movie/the-legend-of-bagger-vance"} +{"d:Title": "IMDb - The Legend of Bagger Vance (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Bagger_Vance,_The", "url": "http://www.imdb.com/title/tt0146984/"} +{"d:Title": "All-Reviews.com: The Legend of Drunken Master", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Drunken_Master,_The_-_2000", "url": "http://www.all-reviews.com/videos-2/legend-of-drunken-master.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"The Legend of Drunken Master.\" Rated as not bad.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Drunken_Master,_The_-_2000", "url": "http://www.haro-online.com/movies/legend_of_drunken_master.html"} +{"d:Title": "PopMatters - Legend of Drunken Master", "d:Description": "Review of the film with background details and plot synopsis.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Drunken_Master,_The_-_2000", "url": "http://popmatters.com/film/reviews/l/legend-of-drunken-master.html"} +{"d:Title": "Rotten Tomatoes - The Legend of Drunken Master (2000)", "d:Description": "Reviews and reactions from movie critics.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Drunken_Master,_The_-_2000", "url": "http://www.rottentomatoes.com/m/legend_of_drunken_master/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Drunken_Master,_The_-_2000", "url": "http://www.metacritic.com/movie/the-legend-of-drunken-master"} +{"d:Title": "IMDb: The Legend of Drunken Master (Jui kuen II)", "d:Description": "Cast and crew information, synopsis, trailer, and related information.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Drunken_Master,_The_-_2000", "url": "http://www.imdb.com/title/tt0111512/"} +{"d:Title": "AllReaders.com Review - The Legend of the Drunken Master", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Jackie Chan film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Drunken_Master,_The_-_2000", "url": "http://allreaders.com/movie-review-summary/the-legend-of-drunken-master-6610"} +{"d:Title": "The Legend of Johnny Lingo", "d:Description": "Official site with trailer, reviews, showtimes, merchandise, and multimedia.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Johnny_Lingo,_The", "url": "http://www.johnnylingo.com/"} +{"d:Title": "HARO Online: The Legend of Johnny Lingo", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Johnny_Lingo,_The", "url": "http://www.haro-online.com/movies/legend_of_johnny_lingo.html"} +{"d:Title": "Internet Movie Database: Legend of Johnny Lingo, The", "d:Description": "Synopsis, cast and crew, user comments and ratings, distribution details, photographs, and links.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Johnny_Lingo,_The", "url": "http://www.imdb.com/title/tt0367960/"} +{"d:Title": "Internet Movie Database: Stille nach dem Schu\u00df, Die", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, production and distribution information, posters, and links.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Rita,_The", "url": "http://www.imdb.com/title/tt0234805/"} +{"d:Title": "IMDb - Legend of the Mummy (1997)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_the_Mummy", "url": "http://www.imdb.com/title/tt0156735/"} +{"d:Title": "TV Guide Online: Bram Stoker's The Mummy", "d:Description": "Review by Patrick Legare (negative), rating.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_the_Mummy", "url": "http://www.tvguide.com/movies/bram-stokers-mummy/133032/"} +{"d:Title": "The Legend of Zu", "d:Description": "Fan site includes cast details, photos and forum.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Zu,_The", "url": "http://zulegend.tripod.com/"} +{"d:Title": "IMDb: The Legend of Zu", "d:Description": "Provides details of cast and crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Legend_of_Zu,_The", "url": "http://www.imdb.com/title/tt0286098/"} +{"d:Title": "IMDb: Lemony Snicket's A Series of Unfortunate Events (2004)", "d:Description": "Provides plot description, production notes, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/L/Lemony_Snicket's_A_Series_of_Unfortunate_Events", "url": "http://www.imdb.com/title/tt0339291/"} +{"d:Title": "About.com: Lemony Snicket's A Series of Unfortunate Events", "d:Description": "Articles and multimedia.", "topic": "Top/Arts/Movies/Titles/L/Lemony_Snicket's_A_Series_of_Unfortunate_Events", "url": "http://movies.about.com/od/lemonysnickets/"} +{"d:Title": "Coming Soon: Lemony Snicket's A Series of Unfortunate Events", "d:Description": "Database includes plot synopsis, rating, cast and crew information, and trailers.", "topic": "Top/Arts/Movies/Titles/L/Lemony_Snicket's_A_Series_of_Unfortunate_Events", "url": "http://www.comingsoon.net/movie/lemony-snickets-a-series-of-unfortunate-events-2004"} +{"d:Title": "The Professional", "d:Description": "A fan presents the story line, cast and crew list and a group photograph, and lyrics to Sting's song.", "topic": "Top/Arts/Movies/Titles/L/Leon", "url": "http://www.angelfire.com/ca2/NWNK/"} +{"d:Title": "IMDb: L\u00e9on", "d:Description": "Cast, credits, plot summary, viewer comments, message boards, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Leon", "url": "http://www.imdb.com/title/tt0110413/"} +{"d:Title": "Rotten Tomatoes: Leon the Pig Farmer", "d:Description": "Reviews.", "topic": "Top/Arts/Movies/Titles/L/Leon_the_Pig_Farmer", "url": "http://www.rottentomatoes.com/m/leon_the_pig_farmer/"} +{"d:Title": "IMDb: Leon the Pig Farmer", "d:Description": "Plot synopsis, cast list, reviews, and other links about this film.", "topic": "Top/Arts/Movies/Titles/L/Leon_the_Pig_Farmer", "url": "http://www.imdb.com/title/tt0104710/"} +{"d:Title": "TV Guide Online: Leon The Pig Farmer", "d:Description": "Movie review, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Leon_the_Pig_Farmer", "url": "http://www.tvguide.com/movies/leon-pig-farmer/129378/"} +{"d:Title": "IMDb - Leo the Last (1970)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Leo_the_Last", "url": "http://www.imdb.com/title/tt0065974/"} +{"d:Title": "TV Guide Online: Leo the Last", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/L/Leo_the_Last", "url": "http://www.tvguide.com/movies/leo/104112/"} +{"d:Title": "Brothers Redcloud: Leprechaun - A Legacy", "d:Description": "Philosophical discussion of the character.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series", "url": "http://www.lanceandeskimo.com/brothers/leprechaun.shtml"} +{"d:Title": "Newsgrounds: Chucky vs Leprechaun", "d:Description": "An animated trailer suitable for a film about the two characters.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series", "url": "http://www.newgrounds.com/portal/view/136085"} +{"d:Title": "Head Injury Theater: Warwick Davis Teaches Us to Spell!", "d:Description": "Illustrated 9000-word article on the Leprechaun series.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series", "url": "http://www.headinjurytheater.com/article46.htm"} +{"d:Title": "Badmovies.org: Leprechaun", "d:Description": "Movie review with sound clips, images and a video clip.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun", "url": "http://www.badmovies.org/movies/leprechaun/"} +{"d:Title": "Rotten Tomatoes: Leprechaun", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun", "url": "http://www.rottentomatoes.com/m/leprechaun/"} +{"d:Title": "The Flesh Farm: Leprechaun", "d:Description": "Review with coroner's report, pictures, and video clip.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun", "url": "http://www.thefleshfarm.com/leprechaun/leprechaun.htm"} +{"d:Title": "I-Mockery.com: Leprechaun", "d:Description": "Humorous plot summary with pictures.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun", "url": "http://www.i-mockery.com/minimocks/leprechaun/"} +{"d:Title": "IMDb: Leprechaun", "d:Description": "Plot summary, trailer, cast and crewinformation, user comments, and forum.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun", "url": "http://www.imdb.com/title/tt0107387/"} +{"d:Title": "Austin Chronicle: Leprechaun", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun", "url": "http://www.austinchronicle.com/calendar/film/1993-01-15/leprechaun/"} +{"d:Title": "Badmovies.org: Leprechaun 2", "d:Description": "Movie review with sound clips, images, and a video clip.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_2", "url": "http://www.badmovies.org/movies/leprechaunii/index.html"} +{"d:Title": "Bad Movie Night: Leprechaun 2", "d:Description": "Review by Nathan Johnston, who gives it 6.5 beans.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_2", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=26111_njohns"} +{"d:Title": "Rotten Tomatoes: Leprechaun 2", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_2", "url": "http://www.rottentomatoes.com/m/leprechaun_2/"} +{"d:Title": "IMDb: Leprechaun 2", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_2", "url": "http://www.imdb.com/title/tt0110329/"} +{"d:Title": "Rotten Tomatoes: Leprechaun 3", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_3", "url": "http://www.rottentomatoes.com/m/leprechaun_3/"} +{"d:Title": "Badmovies.org: Leprechaun 3", "d:Description": "Movie review with sound clips, images and a video clip.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_3", "url": "http://www.badmovies.org/movies/leprechauniii/"} +{"d:Title": "Digitally Obsessed: Leprechaun 3", "d:Description": "Movie review and DVD product review.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_3", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1061"} +{"d:Title": "IMDb: Leprechaun 3", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_3", "url": "http://www.imdb.com/title/tt0113636/"} +{"d:Title": "It's a Bad, Bad, Bad, Bad Movie: Leprechaun 4 In Space", "d:Description": "Movie review", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://rinkworks.com/badmovie/m/leprechaun.4.in.space.1996.shtml"} +{"d:Title": "X-Entertainment.com: Leprechaun 4 In Space", "d:Description": "Movie review and images, with an explanation of why the Leprechaun is the reviewer's hero.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://www.x-entertainment.com/messages/515.html"} +{"d:Title": "Rotten Tomatoes: Leprechaun 4 In Space", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://www.rottentomatoes.com/m/leprechaun_4_in_space/"} +{"d:Title": "Badmovies.org: Leprechaun 4 In Space", "d:Description": "Movie review with sound clips, images and a video clip.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://www.badmovies.org/movies/leprechauniv/"} +{"d:Title": "Digitally Obsessed: Leprechaun 4 In Space", "d:Description": "Movie review and DVD product review.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1051"} +{"d:Title": "DVD Talk: Leprechaun 4 In Space", "d:Description": "Movie review with notables, quotables, and time codes, plus DVD product review.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://www.dvdtalk.com/reviews/1850/leprechaun-4-in-space/"} +{"d:Title": "IMDb: Leprechaun 4 In Space", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://www.imdb.com/title/tt0116861/"} +{"d:Title": "Horror Film Compendium: Leprechaun 4 In Space", "d:Description": "Movie review by Rick Outfield and the Tyranist, with list of horror cliches used in the film.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_4_in_Space", "url": "http://user.xmission.com/~tyranist/horror/reviews/l/Leprechaun4.html"} +{"d:Title": "DVDfuture.com: Leprechaun Back 2 Tha Hood", "d:Description": "Review and DVD information.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_Back_2_tha_Hood", "url": "http://www.dvdfuture.com/review.php?id=553"} +{"d:Title": "eFilmCritic: Leprechaun Back 2 tha Hood", "d:Description": "Review and rating by Scott Weinberg.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_Back_2_tha_Hood", "url": "http://efilmcritic.com/review.php?movie=9106&reviewer=128"} +{"d:Title": "Bloody-Disgusting: Leprechaun Back 2 tha Hood", "d:Description": "Pictures and review by Mr. Disgusting.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_Back_2_tha_Hood", "url": "http://bloody-disgusting.com/review/328"} +{"d:Title": "Rotten Tomatoes: Leprechaun Back 2 tha Hood", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_Back_2_tha_Hood", "url": "http://www.rottentomatoes.com/m/leprechaun_back_2_tha_hood/"} +{"d:Title": "IMDb: Leprechaun Back 2 tha Hood (2003)", "d:Description": "Plot description, cast and crew information, and message boards.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_Back_2_tha_Hood", "url": "http://www.imdb.com/title/tt0339294/"} +{"d:Title": "DVD Talk: Leprechaun: Back 2 Tha Hood", "d:Description": "Short-take review by G. Noel Gross.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_Back_2_tha_Hood", "url": "http://www.dvdtalk.com/reviews/9055/leprechaun-back-2-tha-hood-se/"} +{"d:Title": "Bad Movie Night: Leprechaun in the Hood", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=53553_centaur"} +{"d:Title": "It's a Bad, Bad, Bad, Bad Movie: Leprechaun In the Hood", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://rinkworks.com/badmovie/m/leprechaun.in.the.hood.2000.shtml"} +{"d:Title": "eFilmCritic: Leprechaun in the Hood", "d:Description": "Scott Weinberg's review.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://efilmcritic.com/review.php?movie=4004&reviewer=128"} +{"d:Title": "Crunchable: Leprechaun in the hood", "d:Description": "Rob Roan describes the film as \"cheesy horror/gangsta goodness\".", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://crunchable.net/?p=14"} +{"d:Title": "The SF, Horror and Fantasy Film Review: Leprechaun in the Hood", "d:Description": "Richard Scheib's review.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://www.moria.co.nz/horror/leprechauninthehood.htm"} +{"d:Title": "UCSB Daily Nexus: Leprechaun in the Hood", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://www.dailynexus.com/2001-01-18/straight-to-video-classics-leprechaun-in-the-hood/"} +{"d:Title": "IMDb: Leprechaun In the Hood", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://www.imdb.com/title/tt0209095/"} +{"d:Title": "Rotten Tomatoes: Leprechaun In The Hood", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/L/Leprechaun_Series/Leprechaun_in_the_Hood", "url": "http://www.rottentomatoes.com/m/leprechaun-in-the-hood/"} +{"d:Title": "IMDb - Lesser Prophets (1997)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Lesser_Prophets", "url": "http://www.imdb.com/title/tt0119518/"} +{"d:Title": "The A.V. Club: Lesser Prophets", "d:Description": "Review by Nathan Rabin (negative).", "topic": "Top/Arts/Movies/Titles/L/Lesser_Prophets", "url": "http://www.avclub.com/review/lesser-prophets-19115"} +{"d:Title": "TV Guide Online: Lesser Prophets", "d:Description": "Review by Robert Pardi (negative), rating.", "topic": "Top/Arts/Movies/Titles/L/Lesser_Prophets", "url": "http://www.tvguide.com/movies/lesser-prophets/135648/"} +{"d:Title": "IMDb: Less Than Zero (1987)", "d:Description": "Includes a plot summary, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/L/Less_Than_Zero", "url": "http://www.imdb.com/title/tt0093407/"} +{"d:Title": "IMDb - Les Diaboliques (1955)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Les_Diaboliques", "url": "http://www.imdb.com/title/tt0046911/"} +{"d:Title": "IMDb - Les Mis\u00e9rables (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Les_Mis\u00e9rables", "url": "http://www.imdb.com/title/tt0119683/"} +{"d:Title": "Roger Ebert - Les Miserables (1998)", "d:Description": "``Les Miserables'' is like a perfectly respectable Classics Illustrated version of the Victor Hugo novel.", "topic": "Top/Arts/Movies/Titles/L/Les_Mis\u00e9rables", "url": "http://www.rogerebert.com/reviews/les-miserables-1998"} +{"d:Title": "IMDb - Les Mis\u00e9rables (1995)", "d:Description": "Cast, credits, and additional information about the film directed by Claude Lelouch, with Jean-Paul Belmondo, Michel Boujenah, Alessandra Martines.", "topic": "Top/Arts/Movies/Titles/L/Les_Mis\u00e9rables", "url": "http://www.imdb.com/title/tt0113828/"} +{"d:Title": "Movie Quotes Site: Lethal Weapon", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon", "url": "http://www.moviequotes.com/archive/titles/307.html"} +{"d:Title": "All-Reviews.com - Lethal Weapon", "d:Description": "Review looking at the plot and how the film's tone differs from the later films in the series.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon", "url": "http://www.all-reviews.com/videos-4/lethal-weapon.htm"} +{"d:Title": "Roger Ebert on Movies: Lethal Weapon", "d:Description": "The film critic's commentary and analysis of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon", "url": "http://www.rogerebert.com/reviews/lethal-weapon-1987"} +{"d:Title": "IMDb: Lethal Weapon", "d:Description": "The Internet Movie Database includes cast overview, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon", "url": "http://www.imdb.com/title/tt0093409/"} +{"d:Title": "AllReaders.com Lethal Weapon Spotlight", "d:Description": "Detailed analysis of the film, starring Mel Gibson and Danny Glover, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon", "url": "http://allreaders.com/movie-review-summary/lethal-weapon-5208"} +{"d:Title": "TV Guide Online: Lethal Weapon", "d:Description": "Includes cast information and a brief review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon", "url": "http://www.tvguide.com/movies/lethal-weapon/104154/"} +{"d:Title": "Movie Quotes Site: Lethal Weapon 2", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_2", "url": "http://www.moviequotes.com/archive/titles/308.html"} +{"d:Title": "IMDb: Lethal Weapon 2", "d:Description": "The Internet Movie Database includes cast overview, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_2", "url": "http://www.imdb.com/title/tt0097733/"} +{"d:Title": "Roger Ebert on Movies: Lethal Weapon 2", "d:Description": "The film critic's commentary and analysis of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_2", "url": "http://www.rogerebert.com/reviews/lethal-weapon-2-1989"} +{"d:Title": "TV Guide Online: Lethal Weapon 2", "d:Description": "Includes cast information and a review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_2", "url": "http://www.tvguide.com/movies/lethal-weapon-2/127126/"} +{"d:Title": "Movie Quotes Site: Lethal Weapon 3", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_3", "url": "http://www.moviequotes.com/archive/titles/963.html"} +{"d:Title": "IMDb: Lethal Weapon 3", "d:Description": "The Internet Movie Database includes cast overview, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_3", "url": "http://www.imdb.com/title/tt0104714/"} +{"d:Title": "Roger Ebert on Movies: Lethal Weapon 3", "d:Description": "The film critic's commentary and analysis of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_3", "url": "http://www.rogerebert.com/reviews/lethal-weapon-3-1992"} +{"d:Title": "TV Guide Online: Lethal Weapon 3", "d:Description": "Includes cast information and a review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_3", "url": "http://www.tvguide.com/movies/lethal-weapon-3/129105/"} +{"d:Title": "All-Reviews.com: Lethal Weapon 4", "d:Description": "A collection of reviews. Overall rating: 2 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_4", "url": "http://www.all-reviews.com/videos/lethal-weapon4.htm"} +{"d:Title": "Movie Quotes Site: Lethal Weapon 4", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_4", "url": "http://www.moviequotes.com/archive/titles/912.html"} +{"d:Title": "IMDb: Lethal Weapon 4", "d:Description": "The Internet Movie Database includes cast overview, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_4", "url": "http://www.imdb.com/title/tt0122151/"} +{"d:Title": "AllReaders.com Lethal Weapon 4 Review", "d:Description": "Detailed analysis of the film starring Mel Gibson and Danny Glover, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_4", "url": "http://allreaders.com/movie-review-summary/lethal-weapon-4-5222"} +{"d:Title": "TV Guide Online: Lethal Weapon 4", "d:Description": "Includes a review of the movie as well as detailed cast information.", "topic": "Top/Arts/Movies/Titles/L/Lethal_Weapon_Series/Lethal_Weapon_4", "url": "http://www.tvguide.com/movies/lethal-weapon-4/133152/"} +{"d:Title": "IMDb - Letters from a Killer (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Letters_from_a_Killer", "url": "http://www.imdb.com/title/tt0119522/"} +{"d:Title": "Greatest Films: Letter From an Unknown Woman (1948)", "d:Description": "Tim Dirks' review: \"the classic romantic film - a lush tearjerker par excellence\". With detailed plot summary.", "topic": "Top/Arts/Movies/Titles/L/Letter_from_an_Unknown_Woman", "url": "http://www.filmsite.org/lettf.html"} +{"d:Title": "iMDB: Letter from an Unknown Woman (1948)", "d:Description": "Plot summary, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Letter_from_an_Unknown_Woman", "url": "http://www.imdb.com/title/tt0040536/"} +{"d:Title": "IMDb: Letter to Brezhnev", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Letter_to_Brezhnev", "url": "http://www.imdb.com/title/tt0089477/"} +{"d:Title": "Yahoo! Movies: Letter to Brezhnev (1985)", "d:Description": "Synopsis, cast and crew, and message board.", "topic": "Top/Arts/Movies/Titles/L/Letter_to_Brezhnev", "url": "https://www.yahoo.com/movies/film/a-letter-to-brezhnev"} +{"d:Title": "BBC News: Let him have justice, say campaigners", "d:Description": "After 45 years campaigners for Derek Bentley, the last man to hang in Britain, are hoping his name will finally be cleared this week by the Court of Appeal.", "topic": "Top/Arts/Movies/Titles/L/Let_Him_Have_It", "url": "http://news.bbc.co.uk/1/hi/uk/134951.stm"} +{"d:Title": "Rotten Tomatoes: Let Him Have It", "d:Description": "Reviews, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/L/Let_Him_Have_It", "url": "http://www.rottentomatoes.com/m/let_him_have_it/"} +{"d:Title": "IMDb: Let Him Have It", "d:Description": "Synopsis, cast and crew,and user comments.", "topic": "Top/Arts/Movies/Titles/L/Let_Him_Have_It", "url": "http://www.imdb.com/title/tt0102288/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Let it Snow.\"", "topic": "Top/Arts/Movies/Titles/L/Let_It_Snow", "url": "http://www.haro-online.com/movies/let_it_snow.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Let_It_Snow", "url": "http://www.metacritic.com/movie/let-it-snow"} +{"d:Title": "Allreaders Leviathan Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar movies. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Leviathan", "url": "http://allreaders.com/movie-review-summary/leviathan-3780"} +{"d:Title": "Levity", "d:Description": "Official site. Synopsis, cast, credits, filmmakers, trailer and photos.", "topic": "Top/Arts/Movies/Titles/L/Levity", "url": "http://www.sonyclassics.com/levity/index-withflash.html"} +{"d:Title": "Movies.com: Levity", "d:Description": "Synopsis, cast and crew, reviews, photo gallery, trailers and clips.", "topic": "Top/Arts/Movies/Titles/L/Levity", "url": "http://www.movies.com/levity/m8428"} +{"d:Title": "Rotten Tomatoes: Levity", "d:Description": "Reviews, cast and crew, synopsis, trailer and photos.", "topic": "Top/Arts/Movies/Titles/L/Levity", "url": "http://www.rottentomatoes.com/m/levity/"} +{"d:Title": "MRQE: Levity", "d:Description": "Reviews from around the world.", "topic": "Top/Arts/Movies/Titles/L/Levity", "url": "http://www.mrqe.com/movie_reviews/levity-m100062689"} +{"d:Title": "IMDb: Levity", "d:Description": "Reviews, plot summary, trailers and photos.", "topic": "Top/Arts/Movies/Titles/L/Levity", "url": "http://www.imdb.com/title/tt0304328/"} +{"d:Title": "Yahoo! Movies: Levity", "d:Description": "Synopsis, cast and credits, reviews, trailers and photos.", "topic": "Top/Arts/Movies/Titles/L/Levity", "url": "https://www.yahoo.com/movies/film/levity"} +{"d:Title": "Lew Archer", "d:Description": "History of the character known as \"Lew Harper\" in the Paul Newman movies \"Harper\" and \"The Drowning Pool\".", "topic": "Top/Arts/Movies/Titles/L/Lew_Harper_Series", "url": "http://www.thrillingdetective.com/archer.html"} +{"d:Title": "The Drowning Pool", "d:Description": "Cast, crew and plot summary from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/L/Lew_Harper_Series/Drowning_Pool,_The", "url": "http://www.imdb.com/title/tt0072912/"} +{"d:Title": "AllMovie: The Drowning Pool", "d:Description": "Synopsis, credits, review, and list of similar works.", "topic": "Top/Arts/Movies/Titles/L/Lew_Harper_Series/Drowning_Pool,_The", "url": "http://www.allmovie.com/movie/the-drowning-pool-v14843"} +{"d:Title": "Harper", "d:Description": "Cast, crew and plot summary.", "topic": "Top/Arts/Movies/Titles/L/Lew_Harper_Series/Harper", "url": "http://www.imdb.com/title/tt0060490/"} +{"d:Title": "AllMovie: Harper", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/L/Lew_Harper_Series/Harper", "url": "http://www.allmovie.com/movie/harper-v21613"} +{"d:Title": "HARO Online: Liam", "d:Description": "Mongoose reviews the film. Accompanied by photos.", "topic": "Top/Arts/Movies/Titles/L/Liam", "url": "http://www.haro-online.com/movies/liam.html"} +{"d:Title": "Rotten Tomatoes: Liam", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Liam", "url": "http://www.rottentomatoes.com/m/liam/"} +{"d:Title": "IMDb: Liam (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Liam", "url": "http://www.imdb.com/title/tt0255321/"} +{"d:Title": "All-Reviews.com- Liar Liar", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/L/Liar_Liar", "url": "http://www.all-reviews.com/videos/liar-liar.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Liar_Liar", "url": "http://crazy4cinema.com/Review/FilmsL/f_liar.html"} +{"d:Title": "The Truth Shall Set You Free. Not!", "d:Description": "Critique with MPAA ratings advice and a list of the film's principal cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/L/Liar_Liar", "url": "http://www.nytimes.com/1997/03/21/movies/the-truth-shall-set-you-free-not.html"} +{"d:Title": "Allreaders Review - Liar Liar", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Liar_Liar", "url": "http://allreaders.com/movie-review-summary/liar-liar-4327"} +{"d:Title": "TV Guide: Liar Liar", "d:Description": "Movie review, cast list, and a still.", "topic": "Top/Arts/Movies/Titles/L/Liar_Liar", "url": "http://www.tvguide.com/movies/liar-liar/131803/"} +{"d:Title": "IMDb: The Libertine (2004)", "d:Description": "Includes full cast and crew details, memorable quotes, trivia, filming locations, news articles, photo gallery and discussion board.", "topic": "Top/Arts/Movies/Titles/L/Libertine,_The", "url": "http://www.imdb.com/title/tt0375920/"} +{"d:Title": "JoBlo.com: The Libertine", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/L/Libertine,_The", "url": "http://www.joblo.com/movies/database/2006/the-libertine/"} +{"d:Title": "Libertine, The", "d:Description": "Features review, film synopsis, trailer, production stills, and related news articles.", "topic": "Top/Arts/Movies/Titles/L/Libertine,_The", "url": "http://www.ropeofsilicon.com/libertine-movie-review-55020/"} +{"d:Title": "HARO Online - Liberty Heights", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/L/Liberty_Heights", "url": "http://www.haro-online.com/movies/liberty_heights.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of Liberty Heights.", "topic": "Top/Arts/Movies/Titles/L/Liberty_Heights", "url": "http://www.rottentomatoes.com/m/liberty_heights/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Liberty_Heights", "url": "http://www.metacritic.com/movie/liberty-heights"} +{"d:Title": "Yahoo Movies: Liberty Heights", "d:Description": "Plot synopsis, film overview, credits, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Liberty_Heights", "url": "https://www.yahoo.com/movies/film/liberty-heights"} +{"d:Title": "IMDb: License to Wed (2007)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/L/License_to_Wed", "url": "http://www.imdb.com/title/tt0762114/"} +{"d:Title": "Rotten Tomatoes: License To Wed", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/L/License_to_Wed", "url": "http://www.rottentomatoes.com/m/1175072-license_to_wed/"} +{"d:Title": "IGN Movies: License to Wed", "d:Description": "Message board, photos, videos, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/L/License_to_Wed", "url": "http://www.ign.com/movies/license-to-wed/theater-846869"} +{"d:Title": "USA Today: License to Wed", "d:Description": "Review, by Claudia Puig: \"The characters and plot lack even a shred of credibility.\"", "topic": "Top/Arts/Movies/Titles/L/License_to_Wed", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-07-02-license-wed-NEW_N.htm"} +{"d:Title": "MovieWeb: License to Wed (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/L/License_to_Wed", "url": "http://www.movieweb.com/movie/license-to-wed"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, clips, cast, credits, reviews, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/L/License_to_Wed", "url": "https://www.yahoo.com/movies/film/license-to-wed"} +{"d:Title": "PopMatters - Lies", "d:Description": "Review of the film and US showtimes.", "topic": "Top/Arts/Movies/Titles/L/Lies", "url": "http://popmatters.com/film/reviews/l/lies.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Lies", "url": "http://www.metacritic.com/movie/lies"} +{"d:Title": "IMDb: Life-Size (2000)", "d:Description": "List of the cast and crew, filming locations, and plot summary.", "topic": "Top/Arts/Movies/Titles/L/Life-Size", "url": "http://www.imdb.com/title/tt0200809/"} +{"d:Title": "All-Reviews.com - Life (1999)", "d:Description": "Eight reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Life_-_1999", "url": "http://www.all-reviews.com/videos-3/life-1999.htm"} +{"d:Title": "IMDb - Life (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Life_-_1999", "url": "http://www.imdb.com/title/tt0123964/"} +{"d:Title": "Roger Ebert - Life", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/L/Life_-_1999", "url": "http://www.rogerebert.com/reviews/life-1999"} +{"d:Title": "Yahoo Movies: Life", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Life_-_1999", "url": "https://www.yahoo.com/movies/film/life"} +{"d:Title": "Life", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/L/Life_-_1999", "url": "https://www.uphe.com/movies/life"} +{"d:Title": "Nitrate Online: Life and Debt", "d:Description": "An interview with the filmmaker Stephanie Black's about her documentary, talking about her opinions on globalization and stylistic elements of the film.", "topic": "Top/Arts/Movies/Titles/L/Life_and_Debt", "url": "http://www.nitrateonline.com/2001/flifedebt.html"} +{"d:Title": "Life and Debt", "d:Description": "Official site includes detailed description of the film's content, credits and details of screenings.", "topic": "Top/Arts/Movies/Titles/L/Life_and_Debt", "url": "http://www.lifeanddebt.org/"} +{"d:Title": "Rotten Tomatoes: Life and Debt", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Life_and_Debt", "url": "http://www.rottentomatoes.com/m/life_and_debt/"} +{"d:Title": "IMDb: Life and Debt", "d:Description": "Provide details of crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Life_and_Debt", "url": "http://www.imdb.com/title/tt0284262/"} +{"d:Title": "The Internet Movie Database (IMDb): The Life Aquatic with Steve Zissou", "d:Description": "Information on the film, including cast and crew listings, trivia, trailers, quotes and filming details.", "topic": "Top/Arts/Movies/Titles/L/Life_Aquatic_With_Steve_Zissou,_The", "url": "http://www.imdb.com/title/tt0362270/"} +{"d:Title": "The Life Aquatic", "d:Description": "British official site for the movie includes production notes, pictures and video preview. [Requires Flash.]", "topic": "Top/Arts/Movies/Titles/L/Life_Aquatic_With_Steve_Zissou,_The", "url": "http://www.thefilmfactory.co.uk/lifeaquatic/"} +{"d:Title": "Roger Ebert: The Life Aquatic with Steve Zissou", "d:Description": "Mixed review: \"I can't recommend it, but I would not for one second discourage you from seeing it.\" (2.5 out of 4 stars.)", "topic": "Top/Arts/Movies/Titles/L/Life_Aquatic_With_Steve_Zissou,_The", "url": "http://www.rogerebert.com/reviews/the-life-aquatic-with-steve-zissou-2004"} +{"d:Title": "Slate: Capt. Blah", "d:Description": "David Mendel's unfavorable review: \"... This one is a mess \u2014 a misshapen, mawkish tragicomedy bordering on self-parody.\"", "topic": "Top/Arts/Movies/Titles/L/Life_Aquatic_With_Steve_Zissou,_The", "url": "http://www.slate.com/articles/arts/movies/2004/12/capt_blah.html"} +{"d:Title": "The New York Times: A Seagoing Showcase of Human Collectibles", "d:Description": "A.O. Scott's mostly favorable review: \"If you allow yourself to surrender to 'The Life Aquatic,' you may find that its slow, meandering pace and willful digressions are inseparable from its pleasures.\"", "topic": "Top/Arts/Movies/Titles/L/Life_Aquatic_With_Steve_Zissou,_The", "url": "http://www.nytimes.com/2004/12/10/movies/a-seagoing-showcase-of-human-collectibles.html"} +{"d:Title": "HARO Online: Life as a House", "d:Description": "Review accompanied by photos.", "topic": "Top/Arts/Movies/Titles/L/Life_as_a_House", "url": "http://www.haro-online.com/movies/life_as_a_house.html"} +{"d:Title": "Rotten Tomatoes: Life as a House", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Life_as_a_House", "url": "http://www.rottentomatoes.com/m/life_as_a_house/"} +{"d:Title": "IMDb: Life as a House (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Life_as_a_House", "url": "http://www.imdb.com/title/tt0264796/"} +{"d:Title": "Chicago Sun-Times: Life as a House", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Life_as_a_House", "url": "http://www.rogerebert.com/reviews/life-as-a-house-2001"} +{"d:Title": "IMDb: Life as We Know It", "d:Description": "Synopsis, cast and crew, trailers, news and trivia.", "topic": "Top/Arts/Movies/Titles/L/LIfe_as_We_Know_It", "url": "http://www.imdb.com/title/tt1055292/"} +{"d:Title": "MRQE: Life as We Know It", "d:Description": "Reviews from around the world.", "topic": "Top/Arts/Movies/Titles/L/LIfe_as_We_Know_It", "url": "http://www.mrqe.com/movie_reviews/life-as-we-know-it-m100083902"} +{"d:Title": "Movies.com: Life as We Know It", "d:Description": "Synopsis, news, trailers, image gallery and reviews.", "topic": "Top/Arts/Movies/Titles/L/LIfe_as_We_Know_It", "url": "http://www.movies.com/life-as-we-know-it/m62832"} +{"d:Title": "MovieWeb: Life as We Know It", "d:Description": "Synopsis, image gallery, release date and trailers.", "topic": "Top/Arts/Movies/Titles/L/LIfe_as_We_Know_It", "url": "http://www.movieweb.com/movie/life-as-we-know-it"} +{"d:Title": "IGN Movies: Life as We Know It", "d:Description": "Synopsis, features and news.", "topic": "Top/Arts/Movies/Titles/L/LIfe_as_We_Know_It", "url": "http://www.ign.com/movies/life-as-we-know-it/theater-76682"} +{"d:Title": "Yahoo! Movies: Life as We Know It", "d:Description": "Synopsis, showtimes, trailers, cast and credits.", "topic": "Top/Arts/Movies/Titles/L/LIfe_as_We_Know_It", "url": "https://www.yahoo.com/movies/film/life-as-we-know-it"} +{"d:Title": "IMDB", "d:Description": "Full list of credits, reviews, and links to external sites.", "topic": "Top/Arts/Movies/Titles/L/Life_Before_This,_The", "url": "http://www.imdb.com/title/tt0178704/combined"} +{"d:Title": "Life is Beautiful - soundtrack", "d:Description": "Includes reviews, audio clips, track listings, pictures, and other notes about the movie soundtrack by Nicola Piovani", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Beautiful", "url": "http://www.filmtracks.com/titles/life_beautiful.html"} +{"d:Title": "Guardian Unlimited Film: Life is Beautiful", "d:Description": "Summary, reviews, and related stories.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Beautiful", "url": "http://www.filmunlimited.co.uk/Film_Page/0,4061,37445,00.html"} +{"d:Title": "All-Reviews.com: Life is Beautiful", "d:Description": "Review of the movie by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Beautiful", "url": "http://www.all-reviews.com/videos-4/life-is-beautiful.htm"} +{"d:Title": "Internet Movie Database - Life is Beautiful", "d:Description": "IMDB entry. Plot, cast, pictures, links.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Beautiful", "url": "http://www.imdb.com/title/tt0118799/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Beautiful", "url": "http://www.metacritic.com/movie/life-is-beautiful"} +{"d:Title": "AllReaders.com Life is Beautiful Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar movies. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Beautiful", "url": "http://allreaders.com/movie-review-summary/life-is-beautiful-4366"} +{"d:Title": "Washington Post : Life is Sweet", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Sweet", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/lifeissweetrhinson_a0a72d.htm"} +{"d:Title": "Rotten Tomatoes: Life Is Sweet", "d:Description": "Reviews and other movie info.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Sweet", "url": "http://www.rottentomatoes.com/m/life_is_sweet/"} +{"d:Title": "Yahoo! Movies: Life Is Sweet", "d:Description": "Synopsis and cast and crew.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Sweet", "url": "http://movies.yahoo.com/movie/life-is-sweet/"} +{"d:Title": "IMDb: Life Is Sweet", "d:Description": "Synopsis, cast and credits, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Life_Is_Sweet", "url": "http://www.imdb.com/title/tt0100024/"} +{"d:Title": "All-Reviews: A Life Less Ordinary", "d:Description": "Various critics offer their opinions.", "topic": "Top/Arts/Movies/Titles/L/Life_Less_Ordinary,_A", "url": "http://all-reviews.com/videos-4/life-less-ordinary.htm"} +{"d:Title": "Britmovie", "d:Description": "Cast, synopsis, images, and trailer.", "topic": "Top/Arts/Movies/Titles/L/Life_Less_Ordinary,_A", "url": "http://www.britmovie.co.uk/films/A-Life-Less-Ordinary_1997/listType/"} +{"d:Title": "TV Guide Online: Life Less Ordinary, A", "d:Description": "Review - Movie review and rating.", "topic": "Top/Arts/Movies/Titles/L/Life_Less_Ordinary,_A", "url": "http://www.tvguide.com/movies/life-ordinary/132716/"} +{"d:Title": "All-Reviews: Life of Brian", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/L/Life_of_Brian", "url": "http://all-reviews.com/videos-4/life-of-brian.htm"} +{"d:Title": "IMDb - Life of Brian", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Life_of_Brian", "url": "http://www.imdb.com/title/tt0079470/"} +{"d:Title": "AllReaders.com Life of Brian Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar films. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Life_of_Brian", "url": "http://allreaders.com/movie-review-summary/life-of-brian-3725"} +{"d:Title": "The Life of David Gale", "d:Description": "Biographies, photographs, stories and news reports regarding the film and its filming. Opening page requires Flash.", "topic": "Top/Arts/Movies/Titles/L/Life_of_David_Gale,_The", "url": "http://life_of_david_gale.tripod.com/index.htm"} +{"d:Title": "Filmtracks: The Life of David Gale", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/L/Life_of_David_Gale,_The", "url": "http://www.filmtracks.com/titles/life_gale.html"} +{"d:Title": "All-Reviews.com: The Life of David Gale", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/L/Life_of_David_Gale,_The", "url": "http://all-reviews.com/videos-5/life-of-david-gale.htm"} +{"d:Title": "IMDb: Life of David Gale, The (2002)", "d:Description": "Cast and crew, plot summary and production details.", "topic": "Top/Arts/Movies/Titles/L/Life_of_David_Gale,_The", "url": "http://imdb.com/title/tt0289992/"} +{"d:Title": "Rotten Tomatoes: The Life of David Gale", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Life_of_David_Gale,_The", "url": "http://www.rottentomatoes.com/m/life_of_david_gale/"} +{"d:Title": "Contactmusic.com: The Life of David Gale", "d:Description": "Synopsis, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/L/Life_of_David_Gale,_The", "url": "http://www.contactmusic.com/pages/thelifeofdavidgalex06x02x03"} +{"d:Title": "Teach with Movies: The Life of Emile Zola", "d:Description": "Learning guide for the movie.", "topic": "Top/Arts/Movies/Titles/L/Life_of_Emile_Zola,_The", "url": "http://www.teachwithmovies.org/guides/life-of-emile-zola.html"} +{"d:Title": "Movie Mirrors: The Life of Emile Zola", "d:Description": "Summary of the movie.", "topic": "Top/Arts/Movies/Titles/L/Life_of_Emile_Zola,_The", "url": "http://www.san.beck.org/MM/1937/LifeofEmileZola.html"} +{"d:Title": "The Flick Filosopher: The Life of Emile Zola", "d:Description": "MaryAnn Johanson's review: \"a curiously uninvolving biopic\".", "topic": "Top/Arts/Movies/Titles/L/Life_of_Emile_Zola,_The", "url": "http://www.flickfilosopher.com/1999/01/the-life-of-emile-zola-review.html"} +{"d:Title": "IMDb: The Life of Emile Zola", "d:Description": "Plot outline, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/L/Life_of_Emile_Zola,_The", "url": "http://www.imdb.com/title/tt0029146/"} +{"d:Title": "All-Reviews.com - Life Or Something Like It", "d:Description": "Reviews of the movie and rating.", "topic": "Top/Arts/Movies/Titles/L/Life_or_Something_Like_It", "url": "http://www.all-reviews.com/videos-4/life-or-something-like-it.htm"} +{"d:Title": "Haro-online.com - Life Or Something Like It", "d:Description": "Brief review of film.", "topic": "Top/Arts/Movies/Titles/L/Life_or_Something_Like_It", "url": "http://www.haro-online.com/movies/life_or_something_like_it.html"} +{"d:Title": "Metacritic.com: Life or Something Like It", "d:Description": "Quotes from and links to reviews of the film, with an overall rating.", "topic": "Top/Arts/Movies/Titles/L/Life_or_Something_Like_It", "url": "http://www.metacritic.com/movie/life-or-something-like-it"} +{"d:Title": "IMDb: Lighthouse", "d:Description": "Movie reviews, production details, and user ratings.", "topic": "Top/Arts/Movies/Titles/L/Lighthouse", "url": "http://www.imdb.com/title/tt0139426/"} +{"d:Title": "Rotten Tomatoes: Light it Up", "d:Description": "Find movie information, cast and crew, reviews and news.", "topic": "Top/Arts/Movies/Titles/L/Light_It_Up", "url": "http://www.rottentomatoes.com/m/light_it_up/"} +{"d:Title": "IMDB: Light it Up", "d:Description": "Find ratings, reviews, movie trailer, star credits and links.", "topic": "Top/Arts/Movies/Titles/L/Light_It_Up", "url": "http://www.imdb.com/title/tt0172726/"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes plot summary, quotes, cast and crew details, and user reviews.", "topic": "Top/Arts/Movies/Titles/L/Like_It_Is", "url": "http://www.imdb.com/title/tt0146990/"} +{"d:Title": "Internet Movie Database: Like Mike", "d:Description": "Plot summary, cast and crew, reviews, user comments and ratings, quotations, trivia, production information, merchandising and distribution details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/L/Like_Mike", "url": "http://imdb.com/title/tt0308506/"} +{"d:Title": "HARO Online - Like Mike", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/L/Like_Mike", "url": "http://www.haro-online.com/movies/like_mike.html?"} +{"d:Title": "All-Reviews.com - Like Mike", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Like_Mike", "url": "http://www.all-reviews.com/videos-4/like-mike.htm"} +{"d:Title": "Like Water For Chocolate", "d:Description": "Fan site featuring images from the film.", "topic": "Top/Arts/Movies/Titles/L/Like_Water_For_Chocolate", "url": "http://likewater10.tripod.com/likewaterforchocolate.html"} +{"d:Title": "IMDb: Like Water for Chocolate", "d:Description": "Provides, cast, crew, related links and users' comments.", "topic": "Top/Arts/Movies/Titles/L/Like_Water_For_Chocolate", "url": "http://www.imdb.com/title/tt0103994/"} +{"d:Title": "Chicago Sun-Times: Like Water For Chocolate", "d:Description": "Critic Robert Ebert's review looks in particular in relation to magic realism.", "topic": "Top/Arts/Movies/Titles/L/Like_Water_For_Chocolate", "url": "http://www.rogerebert.com/reviews/like-water-for-chocolate-1993"} +{"d:Title": "TV Guide Online: Limbo", "d:Description": "Limbo review, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Limbo_-_1972", "url": "http://www.tvguide.com/movies/limbo/104322/"} +{"d:Title": "PopMatters", "d:Description": "Review: \"It's quite unlike every other movie you'll see on most Blockbuster shelves.\"", "topic": "Top/Arts/Movies/Titles/L/Limbo_-_1999", "url": "http://popmatters.com/film/reviews/l/limbo.html"} +{"d:Title": "HARO Online", "d:Description": "A review of Limbo by Haro.", "topic": "Top/Arts/Movies/Titles/L/Limbo_-_1999", "url": "http://www.haro-online.com/movies/limbo.html"} +{"d:Title": "IMDb - Limbo (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Limbo_-_1999", "url": "http://www.imdb.com/title/tt0164085/"} +{"d:Title": "HARO Online - The Limey", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/L/Limey,_The", "url": "http://www.haro-online.com/movies/limey.html"} +{"d:Title": "All-Reviews.com - The Limey", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/L/Limey,_The", "url": "http://www.all-reviews.com/videos/the-limey.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Limey,_The", "url": "http://www.metacritic.com/movie/the-limey"} +{"d:Title": "IMDb - The Limey (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Limey,_The", "url": "http://www.imdb.com/title/tt0165854/"} +{"d:Title": "Roger Ebert - The Limey", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/L/Limey,_The", "url": "http://www.rogerebert.com/reviews/the-limey-1999"} +{"d:Title": "Yahoo Movies: The Limey", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Limey,_The", "url": "https://www.yahoo.com/movies/film/the-limey"} +{"d:Title": "Narnia Fans", "d:Description": "Dedicated to The Lion, the Witch, and the Wardrobe. Provides news about book, author, games, and movie.", "topic": "Top/Arts/Movies/Titles/L/Lion,_the_Witch,_and_the_Wardrobe,_The", "url": "http://www.narniafans.com/"} +{"d:Title": "IMDb: Chronicles of Narnia: The Lion, the Witch, and the Wardrobe", "d:Description": "Provides plot summary, production, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/L/Lion,_the_Witch,_and_the_Wardrobe,_The", "url": "http://www.imdb.com/title/tt0363771/"} +{"d:Title": "The One Lion", "d:Description": "Set reports from the making of the Chronicles of Narnia. Includes current information, news articles, cast, and scrapbook gallery.", "topic": "Top/Arts/Movies/Titles/L/Lion,_the_Witch,_and_the_Wardrobe,_The", "url": "http://www.theonelion.net/"} +{"d:Title": "Independent Lens: A Lion in the House", "d:Description": "\"You know you are truly alive when you are living among the lions.\" -Isak Dinesone. Chronicles the journey of five children fighting pediatric cancer. Includes information about the film, caregiving, and survivorship.", "topic": "Top/Arts/Movies/Titles/L/Lion_in_the_House,_A", "url": "http://www.pbs.org/independentlens/lioninthehouse/"} +{"d:Title": "ITVS: A Lion in the House", "d:Description": "Documentary that follows five pediatric cancer patients for six years. Includes information about the families, the film, and childhood cancer.", "topic": "Top/Arts/Movies/Titles/L/Lion_in_the_House,_A", "url": "http://archive.itvs.org/outreach/lioninthehouse/"} +{"d:Title": "'The Lion in Winter' (PG)", "d:Description": "Review of the film with comments on the film production.", "topic": "Top/Arts/Movies/Titles/L/Lion_in_Winter,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thelioninwinterpgzad_a09e0f.htm"} +{"d:Title": "eFilmCritic - Lion In Winter, The", "d:Description": "Reviews and ratings from users.", "topic": "Top/Arts/Movies/Titles/L/Lion_in_Winter,_The", "url": "http://www.efilmcritic.com/review.php?movie=1842"} +{"d:Title": "IMDb: The Lion in Winter", "d:Description": "Cast list, user comments, memorable quotes, trivia and goofs for this film.", "topic": "Top/Arts/Movies/Titles/L/Lion_in_Winter,_The", "url": "http://www.imdb.com/title/tt0063227/"} +{"d:Title": "AllReaders.com Lion in the Winter Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Lion_in_Winter,_The", "url": "http://allreaders.com/movie-review-summary/the-lion-in-winter-4141"} +{"d:Title": "Review from Badmovies.org", "d:Description": "Character listing, plot summary, \"things I learned from this movie,\" \"stuff to watch for,\" sound clips, and pictures from the film.", "topic": "Top/Arts/Movies/Titles/L/Liquid_Sky", "url": "http://www.badmovies.org/movies/liquidsky/index.html"} +{"d:Title": "Review from AboutFilm.com", "d:Description": "Brief review of the movie, partly explaining why it has become a cult classic.", "topic": "Top/Arts/Movies/Titles/L/Liquid_Sky", "url": "http://www.aboutfilm.com/movies/l/liquidsky.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, synopsis, reviews, and other details.", "topic": "Top/Arts/Movies/Titles/L/Liquid_Sky", "url": "http://imdb.com/title/tt0085852/"} +{"d:Title": "AllReaders.com Little Big League Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Little_Big_League", "url": "http://allreaders.com/movie-review-summary/little-big-league-5141"} +{"d:Title": "Don Ignacio's Movie Reviews: Little Big Man", "d:Description": "Short review by Michael C. Lawrence. Gives it a score of A.", "topic": "Top/Arts/Movies/Titles/L/Little_Big_Man", "url": "http://donignacio.tripod.com/movlittlebigman.html"} +{"d:Title": "IMDb: Little Big Man (1970)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, awards and nominations, brief review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/L/Little_Big_Man", "url": "http://www.imdb.com/title/tt0065988/"} +{"d:Title": "HARO Online: Little Black Book", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Little_Black_Book", "url": "http://www.haro-online.com/movies/little_black_book.html"} +{"d:Title": "Rotten Tomatoes: Little Black Book", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Little_Black_Book", "url": "http://www.rottentomatoes.com/m/little_black_book/"} +{"d:Title": "IMDb: Little Black Book (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Little_Black_Book", "url": "http://www.imdb.com/title/tt0361841/"} +{"d:Title": "Chicago Sun-Times: Little Black Book", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Little_Black_Book", "url": "http://www.rogerebert.com/reviews/little-black-book-2004"} +{"d:Title": "All-Reviews.com - Little Buddha", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/L/Little_Buddha", "url": "http://www.all-reviews.com/videos-2/little-buddha.htm"} +{"d:Title": "IMDb: Little Buddha", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/L/Little_Buddha", "url": "http://www.imdb.com/title/tt0107426/"} +{"d:Title": "The Little Death", "d:Description": "(RottenTomatoes.com) Synopsis, cast information.", "topic": "Top/Arts/Movies/Titles/L/Little_Death,_The", "url": "http://www.rottentomatoes.com/m/little_death/"} +{"d:Title": "IMDb - The Little Death (1995)", "d:Description": "Synopsis, cast and crew information, user comments.", "topic": "Top/Arts/Movies/Titles/L/Little_Death,_The", "url": "http://www.imdb.com/title/tt0113667/"} +{"d:Title": "AllMovie: The Little Death", "d:Description": "Synopsis and credits.", "topic": "Top/Arts/Movies/Titles/L/Little_Death,_The", "url": "http://www.allmovie.com/movie/the-little-death-v162971"} +{"d:Title": "All-Reviews.com: The Little Foxes", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/L/Little_Foxes,_The", "url": "http://www.all-reviews.com/videos-4/little-foxes.htm"} +{"d:Title": "Rotten Tomatoes: The Little Foxes", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Little_Foxes,_The", "url": "http://www.rottentomatoes.com/m/little_foxes/"} +{"d:Title": "Reel Classics: The Little Foxes", "d:Description": "Synopsis, pictures, character information, and quotes.", "topic": "Top/Arts/Movies/Titles/L/Little_Foxes,_The", "url": "http://www.reelclassics.com/Movies/Foxes/foxes.htm"} +{"d:Title": "IMDb: The Little Foxes (1941)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Little_Foxes,_The", "url": "http://www.imdb.com/title/tt0033836/"} +{"d:Title": "Films on Disk - Little Fugitive", "d:Description": "Review by Stuart J. Kobak (positive), ratings.", "topic": "Top/Arts/Movies/Titles/L/Little_Fugitive", "url": "http://www.filmsondisc.com/DVDpages/little_fugitive.htm"} +{"d:Title": "TV Guide - Little Fugitive, The", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/L/Little_Fugitive", "url": "http://www.tvguide.com/movies/little-fugitive/104393/"} +{"d:Title": "TV Guide Online: Little Indian, Big City", "d:Description": "Movie review, and cast list.", "topic": "Top/Arts/Movies/Titles/L/Little_Indian,_Big_City", "url": "http://www.tvguide.com/movies/little-indian-big-city/131505/"} +{"d:Title": "All-Reviews.com - Little Man Tate", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/L/Little_Man_Tate", "url": "http://www.all-reviews.com/videos-4/little-man-tate.htm"} +{"d:Title": "Internet Movie Database: Little Man Tate", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, trivia, production and distribution information, soundtrack listing, and links.", "topic": "Top/Arts/Movies/Titles/L/Little_Man_Tate", "url": "http://www.imdb.com/title/tt0102316/"} +{"d:Title": "IMDb: The Little Match Girl", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Little_Match_Girl,_The", "url": "http://www.imdb.com/title/tt0145047/"} +{"d:Title": "TV Guide Online: Little Men", "d:Description": "Review, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Little_Men_-_1940", "url": "http://www.tvguide.com/movies/little-men/104413/"} +{"d:Title": "HARO Online", "d:Description": "Review of the movie and rates it as not that good.", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "http://www.haro-online.com/movies/little_nicky.html"} +{"d:Title": "All-Reviews.com- Little Nicky", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "http://www.all-reviews.com/videos-2/little-nicky.htm"} +{"d:Title": "PopMatters - Little Nicky", "d:Description": "Review of the film which concludes it is cinematic purgatory.", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "http://popmatters.com/film/reviews/l/little-nicky.html"} +{"d:Title": "Rotten Tomatoes - Little Nicky", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "http://www.rottentomatoes.com/m/little_nicky/"} +{"d:Title": "You Think Hell Is Wild? Welcome to New York", "d:Description": "Review including ratings advice, and a list of the film's main cast and crew [New York Times].", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "http://www.nytimes.com/2000/11/10/movies/film-review-you-think-hell-is-wild-welcome-to-new-york.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "http://www.metacritic.com/movie/little-nicky"} +{"d:Title": "IMDb - Little Nicky (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "http://www.imdb.com/title/tt0185431/"} +{"d:Title": "Yahoo Movies: Little Nicky", "d:Description": "Plot synopsis, film overview, credits, trailer, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Little_Nicky", "url": "https://www.yahoo.com/movies/film/little-nicky"} +{"d:Title": "Rotten Tomatoes: Little Nikita", "d:Description": "Include reviews, plot summary, cast and crew.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita", "url": "http://www.rottentomatoes.com/m/little_nikita/"} +{"d:Title": "Yahoo! Movies: Little Nikita", "d:Description": "Contains plot summary, reviews, cast and credits.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita", "url": "http://movies.yahoo.com/movie/little-nikita/"} +{"d:Title": "Internet Movies Database: Little Nikita", "d:Description": "Includes plot summary, trailers, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita", "url": "http://www.imdb.com/title/tt0095532/"} +{"d:Title": "Christian Answers: Little Nikita", "d:Description": "Review and rating by Brett Willis from a Christian point of view.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita/Reviews", "url": "http://www.christiananswers.net/spotlight/movies/2001/littlenikita.html"} +{"d:Title": "Washington Post: Little Nikita", "d:Description": "Video review by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/littlenikitapghinson_a0c90b.htm"} +{"d:Title": "DigitallyObssessed.com: Little Nikita", "d:Description": "DVD review and rating by Brian Calhoun.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita/Reviews", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=3939"} +{"d:Title": "DVD Talk: Little Nikita", "d:Description": "DVD review and rating by Todd Siechen.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita/Reviews", "url": "http://www.dvdtalk.com/reviews/4685/little-nikita/"} +{"d:Title": "Chicago Sun-Times: Little Nikita", "d:Description": "Review and rating by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/L/Little_Nikita/Reviews", "url": "http://www.rogerebert.com/reviews/little-nikita-1988"} +{"d:Title": "Rotten Tomatoes: Little Otik", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Little_Otik", "url": "http://www.rottentomatoes.com/m/little_otik/"} +{"d:Title": "IMDb: Little Otik", "d:Description": "Cast, crew, related links and users' comments.", "topic": "Top/Arts/Movies/Titles/L/Little_Otik", "url": "http://www.imdb.com/title/tt0228687/"} +{"d:Title": "Slant Magazine: Little Otik", "d:Description": "Review by Ed Gonzalez, focusing on the representation of motherhood and its use of folklore.", "topic": "Top/Arts/Movies/Titles/L/Little_Otik", "url": "http://www.slantmagazine.com/film/review/little-otik"} +{"d:Title": "All-Reviews.com - A Little Princess", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Little_Princess,_A", "url": "http://www.all-reviews.com/videos-3/little-princess.htm"} +{"d:Title": "Allreaders Little Princess Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Little_Princess,_A", "url": "http://allreaders.com/movie-review-summary/little-princess-5185"} +{"d:Title": "A Little Rain Must Fall", "d:Description": "Official site of the first independent film produced by Salmac Productions", "topic": "Top/Arts/Movies/Titles/L/Little_Rain_Must_Fall,_A", "url": "http://www.salmac.com/a-little-rain"} +{"d:Title": "IMDb - A Little Rain Must Fall", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Little_Rain_Must_Fall,_A", "url": "http://www.imdb.com/title/tt0205224/"} +{"d:Title": "Internet Movie Database: Little Shop of Horrors, The", "d:Description": "Plot summary, cast and crew, user ratings and comments, reviews, quotations, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors,_The_-_1960", "url": "http://imdb.com/title/tt0054033/"} +{"d:Title": "All-Reviews.com: Little Shop of Horrors", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors,_The_-_1960", "url": "http://all-reviews.com/videos-4/little-shop-horrors-1960.htm"} +{"d:Title": "SoundtrackCollector: Little Shop of Horrors, The", "d:Description": "Soundtrack listing and label information.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors,_The_-_1960", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=18787"} +{"d:Title": "TV Guide: The Little Shop of Horrors", "d:Description": "Film information, cast list, and a brief review of the film.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors,_The_-_1960", "url": "http://www.tvguide.com/movies/little-shop-horrors/104447/"} +{"d:Title": "All-Reviews.com: Little Shop of Horrors", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors_-_1986", "url": "http://all-reviews.com/videos-4/little-shop-horrors.htm"} +{"d:Title": "Movie Ram-blings: Little Shop of Horrors", "d:Description": "Review of the 1986 film starring Rick Moranis.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors_-_1986", "url": "http://www.ram.org/ramblings/movies/little_shop_of_horrors.html"} +{"d:Title": "Rotten Tomatoes: Little Shop of Horrors", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors_-_1986", "url": "http://www.rottentomatoes.com/m/1012515-little_shop_of_horrors/"} +{"d:Title": "Roger Ebert: Little Shop of Horrors", "d:Description": "At a time when so many movies show such cold-blooded calculation, here's one heedless enough to be fun.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors_-_1986", "url": "http://www.rogerebert.com/reviews/little-shop-of-horrors-1986"} +{"d:Title": "IMDb: Little Shop of Horrors (1986)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Little_Shop_of_Horrors_-_1986", "url": "http://www.imdb.com/title/tt0091419/"} +{"d:Title": "IMDb: The Little Unicorn (1998)", "d:Description": "Film details, cast list, and viewer comments.", "topic": "Top/Arts/Movies/Titles/L/Little_Unicorn,_The", "url": "http://imdb.com/title/tt0277800/"} +{"d:Title": "Qwipster's Movie Reviews: The Little Unicorn Review (1998)", "d:Description": "Review of the movie, synopsis, and rating; plot spoiler.", "topic": "Top/Arts/Movies/Titles/L/Little_Unicorn,_The", "url": "http://qwipster.net/littleunicorn.htm"} +{"d:Title": "British Council Film: The Little Unicorn", "d:Description": "Movie synopsis and production information.", "topic": "Top/Arts/Movies/Titles/L/Little_Unicorn,_The", "url": "http://film.britishcouncil.org/the-little-unicorn/"} +{"d:Title": "PopMatters - The Little Vampire", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/L/Little_Vampire,_The", "url": "http://popmatters.com/film/reviews/l/little-vampire.html"} +{"d:Title": "All-Reviews.com- Little Vampire", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Little_Vampire,_The", "url": "http://www.all-reviews.com/videos-2/little-vampire.htm"} +{"d:Title": "Rotten Tomatoes - The Little Vampire", "d:Description": "Reviews, critical consensus, upcoming movies, news, trailers, and synopsis.", "topic": "Top/Arts/Movies/Titles/L/Little_Vampire,_The", "url": "http://www.rottentomatoes.com/m/little_vampire/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Little_Vampire,_The", "url": "http://www.metacritic.com/movie/the-little-vampire"} +{"d:Title": "IMDb: The Little Vampire", "d:Description": "Cast and crew information, plot summary, user ratings, and links.", "topic": "Top/Arts/Movies/Titles/L/Little_Vampire,_The", "url": "http://www.imdb.com/title/tt0192255/"} +{"d:Title": "The New York Times: Little Voice", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/L/Little_Voice", "url": "http://www.nytimes.com/1998/12/04/movies/film-review-she-s-wispy-painfully-shy-but-onstage-her-mimicry-near-perfect.html"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/L/Little_Voice", "url": "http://www.metacritic.com/movie/little-voice"} +{"d:Title": "IMDb: Little Voice", "d:Description": "Cast, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/L/Little_Voice", "url": "http://www.imdb.com/title/tt0147004/"} +{"d:Title": "Allreaders Little Voice Spotlight", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Little_Voice", "url": "http://allreaders.com/movie-review-summary/little-voice-4988"} +{"d:Title": "TV Guide Online: Little Voice", "d:Description": "Movie review, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Little_Voice", "url": "http://www.tvguide.com/movies/little-voice/133520/"} +{"d:Title": "All-Reviews.com - Little Women", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Little_Women", "url": "http://www.all-reviews.com/videos-2/little-women.htm"} +{"d:Title": "IMDb - Live Flesh (Carne tr\u00e9mula)", "d:Description": "Cast/credits, plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Live_Flesh", "url": "http://www.imdb.com/title/tt0118819/"} +{"d:Title": "TV Guide Online: Live Flesh", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Live_Flesh", "url": "http://www.tvguide.com/movies/live-flesh/132846/"} +{"d:Title": "All-Reviews.com- Living Out Loud", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Living_Out_Loud", "url": "http://www.all-reviews.com/videos/living-out-loud.htm"} +{"d:Title": "IMDb - Living Out Loud (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Living_Out_Loud", "url": "http://www.imdb.com/title/tt0120722/"} +{"d:Title": "Roger Ebert - Living Out Loud", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/L/Living_Out_Loud", "url": "http://www.rogerebert.com/reviews/living-out-loud-1998"} +{"d:Title": "TV Guide Online: Living Out Loud", "d:Description": "Movie review, cast list, and picture.", "topic": "Top/Arts/Movies/Titles/L/Living_Out_Loud", "url": "http://www.tvguide.com/movies/living-loud/133286/"} +{"d:Title": "Big Movie Zone: The Living Sea", "d:Description": "Video clips, trailer, and reviews.", "topic": "Top/Arts/Movies/Titles/L/Living_Sea,_The", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=79"} +{"d:Title": "IMDb: The Living Sea", "d:Description": "Cast, summary, user comments, rating, and trailer.", "topic": "Top/Arts/Movies/Titles/L/Living_Sea,_The", "url": "http://www.imdb.com/title/tt0113676/"} +{"d:Title": "Chicago Sun-Times: The Living Sea", "d:Description": "A review by Roger Ebert giving the film a two-star rating.", "topic": "Top/Arts/Movies/Titles/L/Living_Sea,_The", "url": "http://www.rogerebert.com/reviews/the-living-sea-1995"} +{"d:Title": "HARO Online: The Lizzie Maguire Movie", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/L/Lizzie_McGuire_Movie,_The", "url": "http://www.haro-online.com/movies/lizzie_maguire.html"} +{"d:Title": "Internet Movie Database: Lizzie McGuire Movie, The", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, production and distribution information, trivia, soundtrack listing, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/L/Lizzie_McGuire_Movie,_The", "url": "http://imdb.com/title/tt0306841/"} +{"d:Title": "All-Reviews.com: The Lizzie McGuire Movie", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/L/Lizzie_McGuire_Movie,_The", "url": "http://www.all-reviews.com/videos-5/lizzie-mcguire-movie.htm"} +{"d:Title": "The Lizzie McGuire Movie", "d:Description": "Official site with cast and character information, synopsis, and multimedia [requires Flash].", "topic": "Top/Arts/Movies/Titles/L/Lizzie_McGuire_Movie,_The", "url": "http://movies.disney.com/the-lizzie-mcguire-movie"} +{"d:Title": "IMDb - Loaded Weapon 1 (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Loaded_Weapon_I", "url": "http://www.imdb.com/title/tt0107659/"} +{"d:Title": "Scotland the Movie Location Guide - Local Hero", "d:Description": "Scottish filming locations of Local Hero", "topic": "Top/Arts/Movies/Titles/L/Local_Hero", "url": "http://www.scotlandthemovie.com/movies/flocalhero.html"} +{"d:Title": "Rotten Tomatoes: Local Hero", "d:Description": "Quotes from and links to reviews, cast and crew, synopsis, and forum.", "topic": "Top/Arts/Movies/Titles/L/Local_Hero", "url": "http://www.rottentomatoes.com/m/local_hero/"} +{"d:Title": "IMDb - Local Hero", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Local_Hero", "url": "http://www.imdb.com/title/tt0085859/"} +{"d:Title": "TV Guide: Local Hero", "d:Description": "Movie review, cast list, and a still.", "topic": "Top/Arts/Movies/Titles/L/Local_Hero", "url": "http://www.tvguide.com/movies/local-hero/104501/"} +{"d:Title": "Metacritic.com - Lock, Stock and Two Smoking Barrels", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/L/Lock,_Stock_and_Two_Smoking_Barrels", "url": "http://www.metacritic.com/movie/lock-stock-and-two-smoking-barrels"} +{"d:Title": "IMDb - Lock, Stock and Two Smoking Barrels (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lock,_Stock_and_Two_Smoking_Barrels", "url": "http://www.imdb.com/title/tt0120735/"} +{"d:Title": "Chicago Sun-Times - Lock, Stock and Two Smoking Barrels", "d:Description": "Roger Ebert reviews the movie written and directed by Guy Ritchie.", "topic": "Top/Arts/Movies/Titles/L/Lock,_Stock_and_Two_Smoking_Barrels", "url": "http://www.rogerebert.com/reviews/lock-stock-and-two-smoking-barrels-1999"} +{"d:Title": "HARO Online: Loco Love", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/L/Loco_Love", "url": "http://www.haro-online.com/movies/loco_love.html"} +{"d:Title": "Internet Movie Database: Mi Casa, Su Casa", "d:Description": "Cast and crew list, user ratings and comments, distribution information, and links.", "topic": "Top/Arts/Movies/Titles/L/Loco_Love", "url": "http://imdb.com/title/tt0293452/"} +{"d:Title": "TV Guide Online: Locusts, The", "d:Description": "Movie review, cast list, and picture.", "topic": "Top/Arts/Movies/Titles/L/Locusts,_The", "url": "http://www.tvguide.com/movies/locusts/132320/"} +{"d:Title": "IMDb: Lodger, The (1927)", "d:Description": "Cast and crew, plot summaries, viewer comments and rating, trivia.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1927", "url": "http://imdb.com/title/tt0017075/"} +{"d:Title": "At-A-Glance Film Reviews: The Lodger (1927)", "d:Description": "Very brief review, dates of remakes. Rated 3.5/5.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1927", "url": "http://a.rinkworks.com/movies/m/the.lodger.1927.shtml"} +{"d:Title": "Raging Bull Movie Reviews: The Lodger", "d:Description": "Essay on this suspense film. Contains spoilers. Rated 3/4.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1927", "url": "http://www.metalasylum.com/ragingbull/movies/lodger.html"} +{"d:Title": "Chicago Reader: The Lodger", "d:Description": "Capsule review of Alfred Hitchcock's most famous silent film.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1927", "url": "http://spacefinder.chicagoreader.com/movies/capsules/05525_LODGER.html"} +{"d:Title": "Scifilm: The Lodger (1926)", "d:Description": "Short review of the film.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1927", "url": "http://www.scifilm.org/musing208.html"} +{"d:Title": "And You Call Yourself a Scientist!: The Lodger (1927)", "d:Description": "Synopsis and evaluation.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1927", "url": "http://www.aycyas.com/lodger27.htm"} +{"d:Title": "Lodger, The (1944)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, trivia.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1944", "url": "http://imdb.com/title/tt0037024/"} +{"d:Title": "The Lodger (1944)", "d:Description": "Short review. Rated 3.5/5.", "topic": "Top/Arts/Movies/Titles/L/Lodger,_The_-_1944", "url": "http://www.rinkworks.com/movies/m/the.lodger.1944.shtml"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Logan's_Run", "url": "http://crazy4cinema.com/Review/FilmsL/f_logans_run.html"} +{"d:Title": "Logans Run Tour", "d:Description": "Storyboard of the film and book, using 3D graphics.", "topic": "Top/Arts/Movies/Titles/L/Logan's_Run", "url": "http://australis.www2.50megs.com/main.html"} +{"d:Title": "All-Reviews.com: Logan's Run", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/L/Logan's_Run", "url": "http://www.all-reviews.com/videos-4/logans-run.htm"} +{"d:Title": "IMDb - Logan's Run", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Logan's_Run", "url": "http://www.imdb.com/title/tt0074812/"} +{"d:Title": "The Highly Unofficial Logan's Run FAQ", "d:Description": "Offers wide range of questions and answers on the film and book.", "topic": "Top/Arts/Movies/Titles/L/Logan's_Run", "url": "http://www.rogermwilcox.com/LogansRun.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1962", "url": "http://www.all-reviews.com/videos-3/lolita-1962.htm"} +{"d:Title": "Lolita (1962)", "d:Description": "IMDB entry.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1962", "url": "http://www.imdb.com/title/tt0056193/combined"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew credits and biographies, plot summary, quotes, trivia and other miscellaneous information.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1962", "url": "http://www.imdb.com/title/tt0056193/"} +{"d:Title": "TV Guide: Lolita", "d:Description": "Review, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1962", "url": "http://www.tvguide.com/movies/lolita/104513/"} +{"d:Title": "CaseNet", "d:Description": "Movie information, credits, plot summary and related book information.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1997", "url": "http://www.casenet.com/movie/lolita.htm"} +{"d:Title": "The Lolita Effect", "d:Description": "Interview with Stephen Schiff, pictures from the film and movie details.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1997", "url": "http://www.libraries.psu.edu/nabokov/loleff.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Plot summary, cast and crew, reviews and quotes.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1997", "url": "http://www.imdb.com/title/tt0119558/"} +{"d:Title": "TV Guide Online: Lolita", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1997", "url": "http://www.tvguide.com/movies/lolita/133596/"} +{"d:Title": "MetroActive", "d:Description": "\"Humbert Humbug: 'Lolita' is a brave but glum take on Nabokov\"", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1997/Reviews", "url": "http://www.metroactive.com/papers/metro/10.01.98/lolita-9839.html"} +{"d:Title": "All-Reviews.com - Lolita", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/L/Lolita_-_1997/Reviews", "url": "http://www.all-reviews.com/videos/lolita.htm"} +{"d:Title": "IMDb: Londinium", "d:Description": "Credits and user ratings.", "topic": "Top/Arts/Movies/Titles/L/Londinium", "url": "http://imdb.com/title/tt0209109/"} +{"d:Title": "IMDb - Lonesome (1928)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Lonesome_-_1928", "url": "http://www.imdb.com/title/tt0019109/"} +{"d:Title": "TV Guide - Lonesome", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/L/Lonesome_-_1928", "url": "http://www.tvguide.com/movies/lonesome/104572/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lone_Star", "url": "http://www.all-reviews.com/videos-3/lone-star.htm"} +{"d:Title": "The Burden of History", "d:Description": "Review and analysis of John Sayles' \"Lone Star,\" including its themes of history and cultural assimilation.", "topic": "Top/Arts/Movies/Titles/L/Lone_Star", "url": "http://www.eserver.org/bs/28/sandoval.html"} +{"d:Title": "Lone Star - Cosmopolis", "d:Description": "In-depth review of the movie and cast list.", "topic": "Top/Arts/Movies/Titles/L/Lone_Star", "url": "http://www.cosmopolis.ch/english/cosmo8/lonestarfilm.htm"} +{"d:Title": "Media Circus: Demystifying Lone Star", "d:Description": "Analysis of the film with quotations and detailed summary, by Anthony Leong.", "topic": "Top/Arts/Movies/Titles/L/Lone_Star", "url": "http://www.mediacircus.net/lonestar.html"} +{"d:Title": "TV Guide Online: Lone Star", "d:Description": "Review, cast list, and movie still.", "topic": "Top/Arts/Movies/Titles/L/Lone_Star", "url": "http://www.tvguide.com/movies/lone-star/131292/"} +{"d:Title": "The Lone Wolf", "d:Description": "The film and other appearances of the jewel thief turned reluctant detective.", "topic": "Top/Arts/Movies/Titles/L/Lone_Wolf_Series", "url": "http://www.thrillingdetective.com/lone_wolf.html"} +{"d:Title": "The Lone Wolf Spy Hunt", "d:Description": "Cast, crew and synopsis for the first of the nine films featuring Warren William as the character. Rita Hayworth co-stars.", "topic": "Top/Arts/Movies/Titles/L/Lone_Wolf_Series", "url": "http://www.imdb.com/title/tt0031589/"} +{"d:Title": "The Longest Day (1962)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/L/Longest_Day,_The", "url": "http://www.imdb.com/title/tt0056197/"} +{"d:Title": "AllMovie: The Longest Day", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/L/Longest_Day,_The", "url": "http://www.allmovie.com/movie/the-longest-day-v29958"} +{"d:Title": "Allreaders Review - The Longest Day", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/L/Longest_Day,_The", "url": "http://allreaders.com/movie-review-summary/the-longest-day-4147"} +{"d:Title": "The Longest Day (1962)", "d:Description": "DVD review by Bob Mandel.", "topic": "Top/Arts/Movies/Titles/L/Longest_Day,_The", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=113"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the remake of \"The Longest Yard.\" Includes a couple screenshots.", "topic": "Top/Arts/Movies/Titles/L/Longest_Yard,_The_-_2005", "url": "http://www.haro-online.com/movies/longest_yard.html"} +{"d:Title": "IMDb: The Longest Yard (2005)", "d:Description": "Cast and crew details, reviews, plot summary, trailer, and discussion forum.", "topic": "Top/Arts/Movies/Titles/L/Longest_Yard,_The_-_2005", "url": "http://www.imdb.com/title/tt0398165/"} +{"d:Title": "The Longest Yard", "d:Description": "\"I kinda liked it, in its goofy way. There was a dogged ridiculousness to the film that amused me [...]\" Review by Roger Ebert. [Sun Times]", "topic": "Top/Arts/Movies/Titles/L/Longest_Yard,_The_-_2005", "url": "http://www.rogerebert.com/reviews/the-longest-yard-2005"} +{"d:Title": "IMDb - The Long Good Friday", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Long_Good_Friday,_The", "url": "http://www.imdb.com/title/tt0081070/"} +{"d:Title": "TV Guide Online: Long Good Friday, The", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Long_Good_Friday,_The", "url": "http://www.tvguide.com/movies/the-long-good-friday/104581/"} +{"d:Title": "All-Reviews.com- Long Kiss Goodnight (1996)", "d:Description": "Movie review and related information", "topic": "Top/Arts/Movies/Titles/L/Long_Kiss_Goodnight,_The", "url": "http://www.all-reviews.com/videos/longkiss.htm"} +{"d:Title": "TV Guide Online: Long Kiss Goodnight, The", "d:Description": "Movie review, plot, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Long_Kiss_Goodnight,_The", "url": "http://www.tvguide.com/movies/long-kiss-goodnight/131398/"} +{"d:Title": "Allreaders.com: The Long Riders", "d:Description": "Detailed analysis of the David Carradine film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Long_Riders,_The", "url": "http://allreaders.com/movie-review-summary/the-long-riders-5645"} +{"d:Title": "TV Guide: Long Way Home, The", "d:Description": "Movie review, cast, and picture.", "topic": "Top/Arts/Movies/Titles/L/Long_Way_Home,_The", "url": "http://www.tvguide.com/movies/long-way-home/132695/"} +{"d:Title": "IMDb - Looking for an Echo (1999)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Looking_for_an_Echo", "url": "http://www.imdb.com/title/tt0148421/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Looking_for_an_Echo", "url": "http://www.metacritic.com/movie/looking-for-an-echo"} +{"d:Title": "TV Guide - Looking For An Echo", "d:Description": "Review by Maitland McDonagh (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/L/Looking_for_an_Echo", "url": "http://www.tvguide.com/movies/looking-echo/134944/"} +{"d:Title": "IMDb: Oublier Cheyenne (2005)", "d:Description": "Photo gallery, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/L/Looking_for_Cheyenne", "url": "http://www.imdb.com/title/tt0410410/"} +{"d:Title": "Rotten Tomatoes: Looking For Cheyenne", "d:Description": "Synopsis, credits, photos, links, and a forum.", "topic": "Top/Arts/Movies/Titles/L/Looking_for_Cheyenne", "url": "http://www.rottentomatoes.com/m/looking_for_cheyenne/"} +{"d:Title": "MovieWeb: Looking for Cheyenne", "d:Description": "Summary, reviews, and a synopsis.", "topic": "Top/Arts/Movies/Titles/L/Looking_for_Cheyenne", "url": "http://www.movieweb.com/movie/looking-for-cheyenne"} +{"d:Title": "HARO Online", "d:Description": "Mongoose's favorable review: \"It's very depressing, but writer/director Sarah Watt uses these emotions to explore the lives of her characters.\"", "topic": "Top/Arts/Movies/Titles/L/Look_Both_Ways", "url": "http://www.haro-online.com/movies/look_both_ways.html"} +{"d:Title": "Internet Movie Database (IMDb): Look Both Ways (2005)", "d:Description": "Includes credits, release information, reviews, quotes, and links to related films.", "topic": "Top/Arts/Movies/Titles/L/Look_Both_Ways", "url": "http://www.imdb.com/title/tt0382806/"} +{"d:Title": "AllReaders.com Review - Look Who's Talking", "d:Description": "Detailed analysis of the Kirstie Alley and John Travolta film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Look_Who's_Talking_Series/Look_Who's_Talking", "url": "http://allreaders.com/movie-review-summary/look-whos-talking-5546"} +{"d:Title": "AllReaders.com Review - Look Who's Talking Now", "d:Description": "Detailed analysis of the Kirstie Alley and John Travolta film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Look_Who's_Talking_Series/Look_Who's_Talking_Now", "url": "http://allreaders.com/movie-review-summary/look-whos-talking-now-5547"} +{"d:Title": "All-Reviews.com - Looney Tunes: Back in Action", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Looney_Tunes_-_Back_in_Action", "url": "http://www.all-reviews.com/videos-5/looney-tunes-back-in-action.htm"} +{"d:Title": "Filmtracks: Looney Tunes - Back in Action", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Looney_Tunes_-_Back_in_Action", "url": "http://www.filmtracks.com/titles/looney_tunes.html"} +{"d:Title": "TEN Movies: Looney Tunes: Back in Action", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Looney_Tunes_-_Back_in_Action", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1046"} +{"d:Title": "Rotten Tomatoes: Looney Tunes - Back in Action", "d:Description": "Features movies stills, synopsis, trailer and news.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Looney_Tunes_-_Back_in_Action", "url": "http://www.rottentomatoes.com/m/looney_tunes_back_in_action/"} +{"d:Title": "Internet Movie Database: Looney Tunes - Back in Action", "d:Description": "Contains synopsis, trailer, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Looney_Tunes_-_Back_in_Action", "url": "http://www.imdb.com/title/tt0318155/"} +{"d:Title": "Yahoo! Movies: Looney Tunes - Back in Action", "d:Description": "Contains synopsis, production images, trailer, cast and credits.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Looney_Tunes_-_Back_in_Action", "url": "https://www.yahoo.com/movies/film/looney-tunes-back-in-action"} +{"d:Title": "Looney Tunes: Back In Action", "d:Description": "Official site from Warner Bros. Contains plot summary, trailers, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Looney_Tunes_-_Back_in_Action", "url": "http://www.warnerbros.com/looney-tunes-back-action/"} +{"d:Title": "All-Reviews.com - Space Jam", "d:Description": "3 star review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Space_Jam", "url": "http://www.all-reviews.com/videos-2/space-jam.htm"} +{"d:Title": "Space Jam", "d:Description": "Official site.", "topic": "Top/Arts/Movies/Titles/L/Looney_Tunes_Series/Space_Jam", "url": "http://www.warnerbros.com/space-jam"} +{"d:Title": "IMDb - The Lords of Discipline (1983)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lords_of_Discipline,_The", "url": "http://www.imdb.com/title/tt0085867/"} +{"d:Title": "dvdmg - The Lords Of Flatbush", "d:Description": "Review by Colin Jacobson (negative) with emphasis on DVD production values.", "topic": "Top/Arts/Movies/Titles/L/Lords_of_Flatbush,_The", "url": "http://www.dvdmg.com/lordsofflatbush.shtml"} +{"d:Title": "IMDb - Lord's of Flatbush, The (1974)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Lords_of_Flatbush,_The", "url": "http://www.imdb.com/title/tt0071772/"} +{"d:Title": "TV Guide Online: Lords of Flatbush, The", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/L/Lords_of_Flatbush,_The", "url": "http://www.tvguide.com/movies/lords-flatbush/104657/"} +{"d:Title": "Internet Movie Database: Lord Love a Duck", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, production and distribution information, quotations, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_Love_a_Duck", "url": "http://www.imdb.com/title/tt0060636/"} +{"d:Title": "All-Reviews.com: Lord of Illusions", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_Illusions", "url": "http://www.all-reviews.com/videos-5/lord-of-illusions.htm"} +{"d:Title": "Lord of the Rings", "d:Description": "Official movie site with trailers, pictures, videos, and interviews with cast and crew.", "priority": "1", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.lordoftherings.net/"} +{"d:Title": "TheOneRing.net", "d:Description": "News and spy reports, original essays, and director Peter Jackson's official fan club.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.theonering.net/"} +{"d:Title": "The Palant\u00edr", "d:Description": "Information and discussion about the upcoming films.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.thepalantir.com/"} +{"d:Title": "National Geographic's Beyond The Movie: The Lord of the Rings", "d:Description": "Streaming video clips and other content showing the history, myth, and cultural forces that inspired the movie.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.nationalgeographic.com/ngbeyond/rings/"} +{"d:Title": "Children's BBC: Lord of the Rings News", "d:Description": "CBBC Newsrounds' special collection of stories about the movie. Updated regularly.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://news.bbc.co.uk/cbbcnews/hi/find_out/specials/2001/lord_of_the_rings/default.stm"} +{"d:Title": "New Line Movie Publicity", "d:Description": "Offers production notes, press releases, artwork, and high resolution images from the films for download.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.moviepublicity.com/nltheatrical/lotr3_synopsis.html"} +{"d:Title": "Lord of the Rings Soundtracks", "d:Description": "Offers an analysis of each song on the Lord of the Rings CDs. Includes reviews discusses what is happening in the movies while each track is playing.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.lotrsoundtrack.com/"} +{"d:Title": "The One Ring", "d:Description": "News, image galleries, reading room, marketplace, and discussion forum.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.theonering.com/"} +{"d:Title": "TolkienMovies.com", "d:Description": "News, casting information, discussion, and rumors.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.tolkien-movies.com/"} +{"d:Title": "The Lord of the Rings: Behind the Film", "d:Description": "Analysis of the film's locations, costumes, weapons, armour and creatures -- with storyboard illustrations.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://behindfilm.free.fr/"} +{"d:Title": "The Age of the Ring", "d:Description": "Film and book information, image gallery, extended film transcripts, forum, chat, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.ageofthering.com/"} +{"d:Title": "IMDb: The Hobbit: There and Back Again (2013)", "d:Description": "Cast and crew listings, photographs, release details for the second part of the film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.imdb.com/title/tt1170358/"} +{"d:Title": "Lord of the Rings Filming Locations", "d:Description": "Image gallery of New Zealand locations used in the series.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.asni.net/lotr_map.php"} +{"d:Title": "Absurd Trivia: The Lord of the Rings", "d:Description": "A collection of online trivia quizzes about the films. [Free registration required].", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://www.absurdtrivia.com/trivia/the-lord-of-the-rings/"} +{"d:Title": "Middle-earth&J.R.R. Tolkien Blog", "d:Description": "News articles, headlines, movie background information, pictures, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series", "url": "http://middle-earth.xenite.org/"} +{"d:Title": "Bringing the 'Ring' Legend to the Screen", "d:Description": "Interview with Peter Jackson about making the films, by Bonnie Churchill [Christian Science Monitor].", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Articles_and_Interviews", "url": "http://www.csmonitor.com/2001/1214/p17s1-almo.html"} +{"d:Title": "Gandalf Rules, AOL Drools?", "d:Description": "Allan Wastler speculates on whether the Tolkien-based series will ever win out over the Harry Potter franchise, with a focus on the media conglomerates backing the films [CNN].", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Articles_and_Interviews", "url": "http://money.cnn.com/2001/12/16/companies/column_wastler/"} +{"d:Title": "Fellowship of the Tattoos", "d:Description": "Article about the cast commemorating their roles in the series by getting tattoos [ABC News].", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Articles_and_Interviews", "url": "http://abcnews.go.com/Entertainment/story?id=101332&page=1"} +{"d:Title": "Ted Nasmith The Uncredited LOTR Concept Artist", "d:Description": "Discussion of the hidden influence of the Canadian Tolkien illustrator, with pictures.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Articles_and_Interviews", "url": "http://tednasmith.narod.ru/"} +{"d:Title": "Lilith's Lord of the Rings", "d:Description": "Provides images, photoshop art, articles, events, and information about Elijah Wood, Sean Bean, Viggo Mortensen, Liv Tyler, Orlando Bloom, and Sir Ian McKellen.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Cast_and_Crew", "url": "http://lilithlotr.ejwsites.net/"} +{"d:Title": "Athelas", "d:Description": "Fan tribute to Sam Gamgee and Aragorn. Contains character details, pictures, quotes, and desktop wallpaper.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters", "url": "http://www.angelfire.com/falcon/aryndune/"} +{"d:Title": "Lord of the Rings Characters", "d:Description": "Pictures and descriptions of the more prominent characters.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters", "url": "http://levileehaines.tripod.com/"} +{"d:Title": "F is for Figwit", "d:Description": "Fan dedication to the elf character, including gallery, games and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://figwit_fan.tripod.com/"} +{"d:Title": "Middle Earth Elves", "d:Description": "Information and image galleries for several Elf characters.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://www.angelfire.com/realm2/middleearthelves/"} +{"d:Title": "The Official Amanthon/Ax McClennan Site", "d:Description": "Features pictures, biography, animations, and videos of Amanthon who was portrayed by Ax McClennan.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://www.theargonath.cc/amanthon/"} +{"d:Title": "The Official Erethon/Alexander Lindsay Site", "d:Description": "Animations, pictures, and links for Alexander Lindsay.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://www.theargonath.cc/erethon/"} +{"d:Title": "The Official Fereveldir/Ben Britton Site", "d:Description": "Provides a biography, pictures, and animations for Ben Britton who portrayed the Elf, Fereveldir.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://www.theargonath.cc/fereveldir/"} +{"d:Title": "The Wisdom of Saelbeth", "d:Description": "An Elven councilor at the Council of Elrond, played by Matt Appleton. Biography, pictures, and animations.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://www.theargonath.cc/saelbeth/"} +{"d:Title": "Elven: Hiatus", "d:Description": "Provides affiliate codes, banners, and joining information.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://www.angelfire.com/empire2/elven/"} +{"d:Title": "Shadows of Twilight", "d:Description": "A fansite for Elrond Half-elven, with character biography, multimedia, and quizzes.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://elrond.leavesofgold.co.uk/"} +{"d:Title": "Figwit Lives", "d:Description": "Gallery, news, artwork, hate mail, and jokes.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://www.figwitlives.net/"} +{"d:Title": "Council of Elrond", "d:Description": "Fanlisting for those people who admire Elrond. Provides rules, codes, banner exchange, join, membership, and wallpapers.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves", "url": "http://ironicrequiem.com/elrond/"} +{"d:Title": "Arwen-Undomiel.com", "d:Description": "Pictures, banners, humor, cast and character biographies, Elvish and wallpaper.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves/Arwen", "url": "http://www.arwen-undomiel.com/"} +{"d:Title": "Arwen Stole The Stars", "d:Description": "Dedicated to the movie and book trilogy. Features news, forums, cast biographies, pictures, updates, wallpapers, trailers, and buddy-icons.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves/Arwen", "url": "http://arwenstolethestars.tripod.com/"} +{"d:Title": "Lord of Imladris: An Elrond Shrine", "d:Description": "Features include character biography, photo gallery, desktop wallpapers and buddy icons.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves/Elrond", "url": "http://www.jo.spacia.org/elrond/"} +{"d:Title": "Guardian of Lorien", "d:Description": "Site that features a fanlisting, screen captures, desktops, fiction, links, and a message board.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves/Haldir", "url": "http://www.haldirs-whores.org/"} +{"d:Title": "Prince of Mirkwood", "d:Description": "Includes fan fiction, pictures, biography, messageboard, and news.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Elves/Legolas", "url": "http://members.tripod.com/prince_of_mirkwood/"} +{"d:Title": "Gn\u00f2tis s\u00e8 Aut\u00f2n", "d:Description": "Fanlistings for Pippin Took and Merry Brandybuck. Includes images, codes, banners, affiliates, and join information.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Hobbits", "url": "http://evenstar.altervista.org/merry_pippin/"} +{"d:Title": "Meriadoc Brandybuck", "d:Description": "A hobbit of the Shire, born in T.A. 2982, was the son of Saradoc Brandybuck. Merry an agile and brave hobbit was a cousin to Frodo Baggins.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Hobbits/Meriadoc_Brandybuck", "url": "http://www.lord-of-the-rings.org/books/meriadoc.html"} +{"d:Title": "Peregrin \"Pippin\" Took", "d:Description": "Fan dedication to the cheery hobbit character.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Hobbits/Peregrin_Took", "url": "http://www.angelfire.com/ny5/peregrin/"} +{"d:Title": "Pippin's Hobbit Site", "d:Description": "Brief background on the character and the actor.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Hobbits/Peregrin_Took", "url": "http://pippin_15.tripod.com/"} +{"d:Title": "Ernil I Pheriannath", "d:Description": "Peregrin Took site with information on the character Pippin. Images and artwork of all his various representations.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Hobbits/Peregrin_Took", "url": "http://www.somethingtookish.org/pippin/"} +{"d:Title": "Estel: Hope", "d:Description": "Fan listing for Aragorn. Includes photographs of the character, links, and member list.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Humans/Aragorn", "url": "http://www.angelfire.com/wizard/estel/"} +{"d:Title": "Boromir Son of Denethor", "d:Description": "Images, biographies for some cast members, articles, links, and fan fiction. Includes a special section on the character Boromir.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Humans/Boromir", "url": "http://www.angelfire.com/film/boromir_fan/"} +{"d:Title": "Deep Blue", "d:Description": "Dedicated to the character of Boromir. Features rules, joining, codes, and a display of affiliate banners.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Humans/Boromir", "url": "http://www.angelfire.com/hero/boromir/"} +{"d:Title": "Faramir, Prince of Ithilien", "d:Description": "Provides a fanlist, rules, codes, and a brief introduction to the character.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Humans/Faramir", "url": "http://www.much-ado.net/faramir/"} +{"d:Title": "Faramir Forever", "d:Description": "Dedicated to the character, provides pictures, history, poll, AIM icons, and a guestbook.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Characters/Humans/Faramir", "url": "http://faramirforever.faithweb.com/"} +{"d:Title": "Minas Tirith Chat", "d:Description": "Browser-based fan chat room with profiles and avatars.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Chats_and_Forums", "url": "http://www.outpost10f.com/minastirith/"} +{"d:Title": "The Free Peoples", "d:Description": "Forum with boards for general discussion, costuming, humor, specific characters, and other topics.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Chats_and_Forums", "url": "http://s7.invisionfree.com/The_Free_Peoples/index.php"} +{"d:Title": "Lotr Crin", "d:Description": "A forum for discussion of general movie topics, the expanded universe, Tolkien, and allegiances.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Chats_and_Forums", "url": "http://s7.invisionfree.com/lotrcrin"} +{"d:Title": "Ethereal Fantasies", "d:Description": "Community message board with several topical forums.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Chats_and_Forums", "url": "http://s4.invisionfree.com/Ethereal_Fantasies"} +{"d:Title": "Imladris", "d:Description": "Forum dedicated to the series, with discussions on the books, movies, roleplaying games, and related topics.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Chats_and_Forums", "url": "http://elentari.proboards.com/"} +{"d:Title": "The Fantasy Forum J.R.R Tolkien - Lord of the Rings", "d:Description": "Discussion of collectibles, swords and armor, movies, books, and games.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Chats_and_Forums", "url": "http://www.thefantasyforum.com/forums/j-r-r-tolkien-lord-of-the-rings.242/"} +{"d:Title": "Arwen-Undomiel.com", "d:Description": "Dedicated to books and movies, with content including pictures, banners, humor, cast and character biographies, J.R.R. Tolkien section, Elvish, assorted media, games, and puzzles.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.arwen-undomiel.com/"} +{"d:Title": "Elven Dreams", "d:Description": "Story, character profiles, images and fanworks.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.angelfire.com/rebellion/lordofther/"} +{"d:Title": "Lord of the Ring Boys", "d:Description": "Picture galleries of selected cast members, humor, sound clips, and links to multimedia.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.angelfire.com/film/lotr52/"} +{"d:Title": "Lothl\u00f3rien - Dream of Middle-earth", "d:Description": "Fan site with information on the films, J.R.R. Tolkien, and the series' mythology; includes script, pictures, news, art, links to fan fiction and message board.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://elbereth_starkindler.tripod.com/index.html"} +{"d:Title": "The Realm", "d:Description": "News, articles, and virtual paper dolls.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://therealm.0catch.com/"} +{"d:Title": "The Ring Goes South", "d:Description": "Fan list for the trilogy. In English and Italian.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://digilander.libero.it/aerisgain/south.htm"} +{"d:Title": "The Shadow of the Ring", "d:Description": "Image galleries, fonts, e-books, soundtracks, forum, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.shadowofthering.net/"} +{"d:Title": "Tathiel", "d:Description": "Cast biographies, character descriptions, pictures, news, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://tathiel.tripod.com/"} +{"d:Title": "Unofficial Lord of the Rings Fansite", "d:Description": "Cast information, pictures, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.angelfire.com/film/lotrfansite/"} +{"d:Title": "The Unofficial Lord of the Rings Page", "d:Description": "Information on the movies and characters.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.angelfire.com/falcon/striderfan24/"} +{"d:Title": "Uruviel's Argonath", "d:Description": "Large image gallery, character profiles, card collection, sounds, and animations.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.theargonath.cc/"} +{"d:Title": "Welcome to Middle-Earth", "d:Description": "Character and cast profiles, image galleries, news, poll, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.angelfire.com/film/welcometomiddleearth/"} +{"d:Title": "LotR Fan Site", "d:Description": "Illustrated screenplays, plot synopses, photograph captions, comparison between the books and the films, trivia, image galleries, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://lotrfansite.4t.com/"} +{"d:Title": "J.W. Braun's Lord of the Rings Site", "d:Description": "Information, games, interviews, and a comparison between art and film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.angelfire.com/film/rings/"} +{"d:Title": "Lord of the Rings Gallery", "d:Description": "Multimedia, articles, television events, and polls.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.angelfire.com/celeb2/celebrityfaces10/"} +{"d:Title": "Middle Earth", "d:Description": "Includes images, fan works, affiliates, join, and membership information.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://xenaprincess.altervista.org/middle_earth/intro.htm"} +{"d:Title": "Khallandra's Lord of the Rings Page", "d:Description": "Reviews, scripts, character biographies, images, avatars, wallpapers, and fan art to view.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://padawanjenn.tripod.com/"} +{"d:Title": "Nevrast: A Lord of the Rings Experience", "d:Description": "Features games, photo gallery, wallpapers, buddy icons, an elvish dictionary, jewelry making, fan art, a love compatibility generator, and midi files.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.nevrast.net/"} +{"d:Title": "Audible Beauty", "d:Description": "Windows desktop sound bytes from the Lord of the Rings trilogy.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.audiblebeauty.net/"} +{"d:Title": "Council of Elrond", "d:Description": "Features news, images, forums, Elvish lessons, multimedia, humor and a newsletter.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fan_Pages", "url": "http://www.councilofelrond.com/"} +{"d:Title": "Tolkien Trail: Fellowship of the Ring", "d:Description": "Positive review of the film and description of the premiere.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.tolkientrail.com/review.shtml"} +{"d:Title": "Box Office Mojo: Lord of the Rings Box Office Chart", "d:Description": "Box office performance, including daily, weekend and international statistics.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.boxofficemojo.com/data/lordoftherings/"} +{"d:Title": "Lord of the Rings Conspiracy", "d:Description": "Humorous presentation of pictures from the film and the production. Argues that New Zealand is really Middle Earth and the film is actually a documentary.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://lotr-conspiracy.tripod.com/"} +{"d:Title": "Fellowship Rhapsody", "d:Description": "The lyrics to Queen's Bohemian Rhapsody rewritten to fit the film's theme, by J.Meurer.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://ringsparody.tripod.com/"} +{"d:Title": "KillerMovies.com: Lord of the Rings", "d:Description": "Movie headlines, cast list, and trailers.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.killermovies.com/l/lotrthefellowshipofthering/"} +{"d:Title": "ReelWavs.com: The Fellowship of the Ring", "d:Description": "Sound clips from the movie.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.reelwavs.com/sounds/lordoftherings/"} +{"d:Title": "Useless LOTR Humor", "d:Description": "Drinking game and Lord of the Pants quote repository.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.angelfire.com/geek/epic/"} +{"d:Title": "IMDb: Lord of the Rings: The Fellowship of the Ring, The (2001)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://imdb.com/title/tt0120737/"} +{"d:Title": "Movie Mistakes: The Fellowship of the Ring", "d:Description": "List of errors in the film (but not discrepancies with the novel).", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.moviemistakes.com/film1778"} +{"d:Title": "Guardian Unlimited Film - Lord of the Rings: The Fellowship of the Ring", "d:Description": "Film details, news and feature articles, ratings, and links.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.theguardian.com/film/the-lord-of-the-rings-the-fellowship-of-the-ring"} +{"d:Title": "Rotten Tomatoes: Fellowship of the Ring", "d:Description": "Synopsis, cast and crew information, and database of critics' reviews.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The", "url": "http://www.rottentomatoes.com/m/the_lord_of_the_rings_the_fellowship_of_the_ring/"} +{"d:Title": "HARO Online: Fellowship of the Ring", "d:Description": "\"[E]asily accessible to people who have never read Tolkien, and a treat for fans.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.haro-online.com/movies/lotr_fellowship.html"} +{"d:Title": "Palo Alto Weekly: The Fellowship of the Ring", "d:Description": "\"The bar has been raised, and the world of film entertainment will hereinafter be better for it.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.aufmuth.com/jeanne/reviews/TheLordoftheRingsTheFellowshipoftheRing.html"} +{"d:Title": "All-Reviews.com: The Fellowship of the Ring", "d:Description": "\"For those of you who, like me, were disappointed by Harry Potter, New Line has the perfect answer: The Lord of the Rings.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://all-reviews.com/videos-4/lord-of-rings-fellowship.htm"} +{"d:Title": "The Lord of the Rings: The Fellowship of the Ring", "d:Description": "A positive review of the film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.plume-noire.com/movies/reviews/thelordoftherings.html"} +{"d:Title": "About.com: The Fellowship of the Ring", "d:Description": "Ivana Redwine reviews the two-disc DVD set for the film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://homevideo.about.com/library/weekly/aafpr080602.htm"} +{"d:Title": "Finally, a Film That Lives Up to All the Hype", "d:Description": "Matt Soergel reviews the movie [Jacksonville.com].", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.jacksonville.com/tu-online/stories/121401/ens_8063062.html"} +{"d:Title": "Nitrate Online", "d:Description": "Review by Emma French. \"It is evident that whilst much of Tolkien's vision has inevitably been distilled, the elusive tone and feel of his works has been captured with rare and extraordinary success.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.nitrateonline.com/2001/rlord.html"} +{"d:Title": "Filmtracks: The Lord of the Rings: Fellowship of the Ring", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.filmtracks.com/titles/lord_rings01.html"} +{"d:Title": "Slate: Rings Cycle", "d:Description": "Review by David Edelstein. \"I left exhausted, happy, intoxicated.\" Includes stills from the movie.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://slate.com/id/2059977/"} +{"d:Title": "Sci-Fi Movie: Fellowship of the Ring Review", "d:Description": "Negative appraisal of the first film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.scifimoviepage.com/lord2.html"} +{"d:Title": "Seattle Post-Intelligencer: Fellowship of the Ring", "d:Description": "\"The movie year's most compelling thrill ride.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.seattlepi.com/ae/movies/article/One-Ring-to-bring-them-all-into-the-theater-1074905.php"} +{"d:Title": "Metacritic.com: The Fellowship of the Ring", "d:Description": "Information, links to reviews, and an overall score for the film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.metacritic.com/movie/the-lord-of-the-rings-the-fellowship-of-the-ring"} +{"d:Title": "Chicago Sun-Times: Lord of the Rings: The Fellowship of the Ring", "d:Description": "Roger Ebert's review, focusing on the role of hobbits in the film as opposed to the book.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Fellowship_of_the_Ring,_The/Reviews", "url": "http://www.rogerebert.com/reviews/lord-of-the-rings-the-fellowship-of-the-ring-2001"} +{"d:Title": "Swagger", "d:Description": "Humorous stories and photographs related to the films.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://swagger.8m.com/cgi-bin/framed/1835/site/main.html"} +{"d:Title": "Lord of the Peeps", "d:Description": "Story of the Ring told from the perspective of marshmallow peeps. Features a trailer, peep production news, fan fiction, story board, and photographs.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://lordofthepeeps.com/"} +{"d:Title": "The Lunch Ladies of Mordor", "d:Description": "A humorous weblog written from the point of view of two members of Mordor's cafeteria staff.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://lunchladies.diaryland.com/"} +{"d:Title": "West 2 Productions", "d:Description": "Features a parody film called \"The Fellows and the Ring.\" The single men must destroy the ring of engagement or be bound in marriage forever. Produced by students at Columbia International University.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://www.west2productions.com/"} +{"d:Title": "Five Things That Sucked About Lord of the Rings", "d:Description": "A humorous list by Maddox.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://maddox.xmission.com/lotr1.html"} +{"d:Title": "Bad FOTR Captions", "d:Description": "Screencaps of a bootleg that demonstrate a humourous misunderstanding of the story, character, and plot.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://www.lustosa.net/fotr/"} +{"d:Title": "DM of the Rings", "d:Description": "A parody of the LOTR movies as a D&D campaign.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://www.shamusyoung.com/twentysidedtale/?p=612"} +{"d:Title": "Dork of the Rings", "d:Description": "Parody of the first film. Trailer, videos, photographs, production weblog and forum.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://www.rpstudios.net/dorkoftherings/"} +{"d:Title": "Figpeep Lives", "d:Description": "Companion site to Lord of the Peeps and spoof of Figwit, the unidentified elf in Fellowship of the Ring. Features images and FAQ.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://figpeep.figwitlives.net/"} +{"d:Title": "Albino Blacksheep: Mashed Taters", "d:Description": "Flash animation and song using Sam's words to Smeagol.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Humor", "url": "http://www.albinoblacksheep.com/flash/taters"} +{"d:Title": "The Lord of the Rings Image Library", "d:Description": "Contains screen captures from the previews, theatrical, and special edition version of each movie.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Image_Galleries", "url": "http://www.framecaplib.com/lotrlib.htm"} +{"d:Title": "Golden Wood Wallpaper", "d:Description": "Fan-created wallpapers featuring characters from the movies. Also offers winamp skins, desktop icons and banners.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Image_Galleries", "url": "http://www.leavesofgold.co.uk/"} +{"d:Title": "The Lord of the Rings Wallpapers and Graphics", "d:Description": "3D artwork inspired by the films.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Image_Galleries", "url": "http://kebawe.com/LOTR/"} +{"d:Title": "Aragorn Telcontar", "d:Description": "Avatars, banners, desktop wallpapers, and textures made with images from the films.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Image_Galleries", "url": "http://aragorn-telcontar.weebly.com/"} +{"d:Title": "KillerMovies: The Return of the King", "d:Description": "Pictures and articles.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003", "url": "http://www.killermovies.com/l/lotrthereturnoftheking/"} +{"d:Title": "Filmtracks - The Lord of the Rings: The Return of the King", "d:Description": "Soundtrack review, track list, audio clips, ratings, and reader comments.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003", "url": "http://www.filmtracks.com/titles/lord_rings03.html"} +{"d:Title": "IMDb: Lord of the Rings: The Return of the King, The (2003)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003", "url": "http://imdb.com/title/tt0167260/"} +{"d:Title": "Rotten Tomatoes: The Lord of the Rings - The Return of the King", "d:Description": "Preview information and movie stills.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003", "url": "http://www.rottentomatoes.com/m/the_lord_of_the_rings_the_return_of_the_king/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review by Dustin Putman: 3\u00bd stars out of 4. \"A triumph of cinematic craft, energy, and originality.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003/Reviews", "url": "http://www.all-reviews.com/videos-5/return-of-king.htm"} +{"d:Title": "Haro-online.com", "d:Description": "Positive review of the film. \"The Return of the King brings 'The Lord of the Rings' saga to a masterful close.\" [Rating: Really Good]", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003/Reviews", "url": "http://www.haro-online.com/movies/lotr_return_of_the_king.html"} +{"d:Title": "Sci-Fi Movie: Return of the King", "d:Description": "Provides negative review of the third film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003/Reviews", "url": "http://www.scifimoviepage.com/lord3return.html"} +{"d:Title": "Ten Movies: Return of the King", "d:Description": "Review of the film, includes positive rating and photographs.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003/Reviews", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1008"} +{"d:Title": "Chicago Sun-Times: Roger Ebert Review", "d:Description": "Film critic Ebert gives a thumbs up review for the film. \"This is the best of the three, redeems the earlier meandering, and certifies the \"Ring\" trilogy as a work of bold ambition at a time of cinematic timidity.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Return_of_the_King,_The_-_2003/Reviews", "url": "http://www.rogerebert.com/reviews/lord-of-the-rings-the-return-of-the-king-2003"} +{"d:Title": "Guardian Film Special Report: Lord of the Rings", "d:Description": "Including reviews and opinion, interviews, news, interactives, photos, audio clips and web resources.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Reviews", "url": "http://www.theguardian.com/film/lord-of-the-rings"} +{"d:Title": "The Hobbit: The Official Movie Blog", "d:Description": "Charts the progress of two films based on The Hobbit, to be produced by Peter Jackson and Fran Walsh.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/The_Hobbit_-_An_Unexpected_Journey", "url": "http://www.thehobbitblog.com/"} +{"d:Title": "IMDb: The Hobbit: An Unexpected Journey (2012)", "d:Description": "Cast and crew listings, photographs, release details.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/The_Hobbit_-_An_Unexpected_Journey", "url": "http://www.imdb.com/title/tt0903624/"} +{"d:Title": "Wikipedia: The Hobbit (2012 film)", "d:Description": "Encyclopedia article about the film, detailing premise, cast, production, filming, and marketing.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/The_Hobbit_-_An_Unexpected_Journey", "url": "http://en.wikipedia.org/wiki/The_Hobbit_(2012_film)"} +{"d:Title": "Lord of the Rings Wiki: The Hobbit (films)", "d:Description": "Encyclopedic article about the live-action films, detailing cast, director, development, filming, and the expected DVD release.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/The_Hobbit_-_An_Unexpected_Journey", "url": "http://lotr.wikia.com/wiki/The_Hobbit_(films)"} +{"d:Title": "KillerMovies.com: The Two Towers", "d:Description": "Offers news, synopsis and trailers.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The", "url": "http://www.killermovies.com/l/lotrthetwotowers/"} +{"d:Title": "Filmtracks: The Lord of the Rings: The Two Towers", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The", "url": "http://www.filmtracks.com/titles/lord_rings02.html"} +{"d:Title": "IMDb: Lord of the Rings: The Two Towers (2002)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The", "url": "http://imdb.com/title/tt0167261/"} +{"d:Title": "Two Towers Gets 12A Rating for 'Fantasy Horror'", "d:Description": "Article about assigning the film's rating by the British board of film classification.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The", "url": "http://www.theguardian.com/film/2002/nov/21/filmcensorship.lordoftherings"} +{"d:Title": "Yahoo Movies: The Lord of the Rings: The Two Towers", "d:Description": "Plot synopsis, film overview, credits, trailer, video clips, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The", "url": "https://www.yahoo.com/movies/film/the-lord-of-the-rings-the-two-towers"} +{"d:Title": "Nitrate Online", "d:Description": "Review by Dan Lybarger. \"The Lord of the Rings: The Two Towers moves at a breathless pace and gives the characters room to grow.\"", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.nitrateonline.com/2002/r2towers.html"} +{"d:Title": "HARO Online", "d:Description": "[Really Good] Review by Haro. \"The finished product is breathtaking in its sheer massiveness and complexity.\" Includes stills from the movie.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.haro-online.com/movies/lotr_two_towers.html"} +{"d:Title": "BellaOnline: Lord of the Rings - The Two Towers", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.bellaonline.com/articles/art7270.asp"} +{"d:Title": "Coldbacon: Lord of the Rings - The Two Towers", "d:Description": "Four reasons the reviewer thinks this movie isn't as good as the first one.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.coldbacon.com/movies/lordoftherings2.html"} +{"d:Title": "About.com: The Lord of the Rings: The Two Towers", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://homevideo.about.com/cs/actionadventure/fr/DVDPickTwoTower.htm"} +{"d:Title": "All-Reviews.com: Lord of the Rings - The Two Towers", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.all-reviews.com/videos-5/lord-of-rings-two-towers.htm"} +{"d:Title": "Chicago Sun-Times", "d:Description": "[3/4] review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.rogerebert.com/reviews/lord-of-the-rings-the-two-towers-2002"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.rottentomatoes.com/m/the_lord_of_the_rings_the_two_towers/"} +{"d:Title": "The New York Times", "d:Description": "Positive review.", "topic": "Top/Arts/Movies/Titles/L/Lord_of_the_Rings_Series/Two_Towers,_The/Reviews", "url": "http://www.nytimes.com/2002/12/18/movies/film-review-soldiering-on-in-epic-pursuit-of-purity.html"} +{"d:Title": "All-Reviews.com - Lorenzo's Oil", "d:Description": "Positive review from Pedro Sena.", "topic": "Top/Arts/Movies/Titles/L/Lorenzo's_Oil", "url": "http://all-reviews.com/videos-4/lorenzos-oil.htm"} +{"d:Title": "IMDb: Lorenzo's Oil", "d:Description": "Synopsis, cast and crew, user comments and message board.", "topic": "Top/Arts/Movies/Titles/L/Lorenzo's_Oil", "url": "http://www.imdb.com/title/tt0104756/"} +{"d:Title": "AllReaders.com Lorenzo's Oil Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/L/Lorenzo's_Oil", "url": "http://allreaders.com/movie-review-summary/lorenzos-oil-5207"} +{"d:Title": "Loser", "d:Description": "Official site with synopsis, cast and crew, reviews, and contact information.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_1996", "url": "http://www.roguearts.com/loser/"} +{"d:Title": "IMDb - Loser (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Loser_-_1996", "url": "http://www.imdb.com/title/tt0135526/"} +{"d:Title": "Loser..Fansite", "d:Description": "Photos, interviews, trailers, links, synopsis, news and club.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.angelfire.com/stars2/menasuvari/loser.html"} +{"d:Title": "PopMatters - Loser", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://popmatters.com/film/reviews/l/loser.html"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.haro-online.com/movies/loser.html"} +{"d:Title": "All-Reviews.com - Loser (2000)", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.all-reviews.com/videos/loser.htm"} +{"d:Title": "Rotten Tomatoes - Loser", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.rottentomatoes.com/m/1098734-loser/"} +{"d:Title": "Box Office Mojo: Loser", "d:Description": "Box office data for Loser. Includes box office track record for director Amy Heckerling.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.boxofficemojo.com/movies/?id=loser.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.metacritic.com/movie/loser"} +{"d:Title": "IMDb - Loser (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.imdb.com/title/tt0217630/"} +{"d:Title": "Loser", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/L/Loser_-_2000", "url": "http://www.sonypictures.com/movies/loser"} +{"d:Title": "IMDb - Loser (1991)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Loser_-_The_Movie_-_1991", "url": "http://www.imdb.com/title/tt0102336/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Lost and Delirious.\"", "topic": "Top/Arts/Movies/Titles/L/Lost_and_Delirious", "url": "http://www.haro-online.com/movies/lost_and_delirious.html"} +{"d:Title": "Metacritic.com: Lost and Delirious", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Lost_and_Delirious", "url": "http://www.metacritic.com/movie/lost-and-delirious"} +{"d:Title": "Lost and Delirious (2001)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/L/Lost_and_Delirious", "url": "http://www.imdb.com/title/tt0245238/"} +{"d:Title": "IMDb - Lost and Found (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lost_and_Found", "url": "http://www.imdb.com/title/tt0120836/"} +{"d:Title": "Roger Ebert - Lost&Found", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/L/Lost_and_Found", "url": "http://www.rogerebert.com/reviews/lost-and-found-1979"} +{"d:Title": "Lost and Found", "d:Description": "Official site with production details, cast information, plot outline, filmmaker profiles, pictures of dogs, e-cards, and message board.", "topic": "Top/Arts/Movies/Titles/L/Lost_and_Found", "url": "http://www.warnerbros.com/lost-and-found"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://www.fast-rewind.com/lostboys.htm"} +{"d:Title": "Crazy for Cinema: The Lost Boys", "d:Description": "Review with detailed plot synopsis and photos.", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://crazy4cinema.com/Review/FilmsL/f_lost_boys.html"} +{"d:Title": "Yahoo! Groups: The-LostBoys", "d:Description": "Discussion list for fans of the movie. [Yahoo! registration required.]", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://groups.yahoo.com/group/The-LostBoys/"} +{"d:Title": "Yahoo! Groups: LostBoys-Fanfic", "d:Description": "List for the posting and discussion of fan fiction based on the movie. [Yahoo! registration required.]", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://groups.yahoo.com/group/lostboys-fanfic/"} +{"d:Title": "Yahoo! Groups: TheLostBoys2", "d:Description": "Discussion list for fans of the movie. [Yahoo! registration required.]", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://groups.yahoo.com/group/thelostboys2/"} +{"d:Title": "Rotten Tomatoes.com: The Lost Boys", "d:Description": "Multiple reviews, plot synopsis, cast and crew credits, and viewer forum.", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://www.rottentomatoes.com/m/lost_boys/"} +{"d:Title": "IMDb: The Lost Boys", "d:Description": "Plot summary, trailer, detailed cast and crew list, and message board.", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://www.imdb.com/title/tt0093437/"} +{"d:Title": "The Lost Boys", "d:Description": "Review by Roger Ebert of the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://www.rogerebert.com/reviews/the-lost-boys-1987"} +{"d:Title": "TV Guide Online: The Lost Boys", "d:Description": "Movie review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/L/Lost_Boys,_The", "url": "http://www.tvguide.com/movies/lost-boys/104676/"} +{"d:Title": "All-Reviews.com - Lost Highway", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lost_Highway", "url": "http://www.all-reviews.com/videos-2/lost-highway.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_America", "url": "http://crazy4cinema.com/Review/FilmsL/f_lost_america.html"} +{"d:Title": "All-Reviews.com - Lost in America", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_America", "url": "http://www.all-reviews.com/videos-3/lost-in-america.htm"} +{"d:Title": "Steve Bailey's Lost in America Site", "d:Description": "Fansite with synopsis, trivia, suggested road trip, credits, and links.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_America", "url": "http://www.angelfire.com/80s/lostinamerica/index.html"} +{"d:Title": "Rotten Tomatoes: Lost in America", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_America", "url": "http://www.rottentomatoes.com/m/lost_in_america/"} +{"d:Title": "IMDb: Lost in America (1985)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_America", "url": "http://www.imdb.com/title/tt0089504/"} +{"d:Title": "HARO Online - Lost in La Mancha", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_La_Mancha", "url": "http://www.haro-online.com/movies/lost_in_la_mancha.html"} +{"d:Title": "All-Reviews.com - Lost in La Mancha", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_La_Mancha", "url": "http://www.all-reviews.com/videos-5/lost-in-la-mancha.htm"} +{"d:Title": "Kamera.co.uk - Lost in La Mancha Film Review", "d:Description": "Andy Murray reviews the movie directed by Keith Fulton and Louis Pepe.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_La_Mancha", "url": "http://www.kamera.co.uk/reviews_extra/lost_in_la_mancha.php"} +{"d:Title": "Sydney Morning Herald - Lost In La Mancha", "d:Description": "Article describes how Terry Gilliam tried to film his version of the Don Quixote story in Spain in September 2000, shooting lasted all of six days, before a series of disasters shut it down.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_La_Mancha", "url": "http://www.smh.com.au/articles/2003/07/02/1056825453156.html"} +{"d:Title": "OffOffOff - Lost in La Mancha", "d:Description": "David N. Butterworth reviews the holy grail of film - a version of \"Don Quixote\" that doesn't end in disaster, a documentary by Keith Fulton and Louis Pepe.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_La_Mancha", "url": "http://www.offoffoff.com/film/2003/lamancha.php"} +{"d:Title": "IMDb - Lost In La Mancha (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_La_Mancha", "url": "http://www.imdb.com/title/tt0308514/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Space", "url": "http://crazy4cinema.com/Review/FilmsL/f_lost_space.html"} +{"d:Title": "All-Reviews.com - Lost in Space (1998)", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Space", "url": "http://www.all-reviews.com/videos/lost-in-space.htm"} +{"d:Title": "Rodney's Lost in Space - The Motion Picture", "d:Description": "Includes production notes, news, images, a message board, a chat room, and fan fiction about the Lost in Space movie.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Space", "url": "http://www.virtualheartland.com/lostinspacegalaxy/"} +{"d:Title": "IMDb - Lost in Space (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Space", "url": "http://www.imdb.com/title/tt0120738/"} +{"d:Title": "HARO Online: Lost in Translation", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://www.haro-online.com/movies/lost_in_translation.html"} +{"d:Title": "All-Reviews.com - Lost in Translation", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://all-reviews.com/videos-5/lost-in-translation.htm"} +{"d:Title": "Coldbacon: Lost In Translation", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://www.coldbacon.com/movies/lostintranslation.html"} +{"d:Title": "Lost in Translation", "d:Description": "Official website featuring trailer, showtimes, sweepstakes and background information.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://www.lost-in-translation.com/"} +{"d:Title": "IMDb: Lost in Translation", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://imdb.com/title/tt0335266/"} +{"d:Title": "Rotten Tomatoes: Lost in Translation", "d:Description": "Links to reviews, multimedia, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://www.rottentomatoes.com/m/lost_in_translation/"} +{"d:Title": "Future Movies: Lost In Translation", "d:Description": "Review by Adrian Mackinder.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://www.futuremovies.co.uk/reviews/lost-in-translation/adrian-mackinder"} +{"d:Title": "Chicago Sun-Times: Lost in Translation", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Lost_in_Translation", "url": "http://www.rogerebert.com/reviews/lost-in-translation-2003"} +{"d:Title": "HARO Online: The Lost Skeleton of Cadavra", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Lost_Skeleton_of_Cadavra,_The", "url": "http://www.haro-online.com/movies/lost_skeleton_cadavra.html"} +{"d:Title": "IMDb: The Lost Skeleton of Cadavra", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Lost_Skeleton_of_Cadavra,_The", "url": "http://www.imdb.com/title/tt0307109/"} +{"d:Title": "Rotten Tomatoes: The Lost Skeleton of Cadavra", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Lost_Skeleton_of_Cadavra,_The", "url": "http://www.rottentomatoes.com/m/lost_skeleton_of_cadavra/"} +{"d:Title": "The Flick Filosopher:The Lost Skeleton of Cadavra", "d:Description": "Review by MaryAnn Johanson.", "topic": "Top/Arts/Movies/Titles/L/Lost_Skeleton_of_Cadavra,_The", "url": "http://www.flickfilosopher.com/2004/02/the-lost-skeleton-of-cadavra-review.html"} +{"d:Title": "TV Guide Online: Lost Souls", "d:Description": "Review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/L/Lost_Souls_-_1958", "url": "http://www.tvguide.com/movies/lost-souls/104708/"} +{"d:Title": "PopMatters - Lost Souls", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/L/Lost_Souls_-_2000", "url": "http://popmatters.com/film/reviews/l/lost-souls.html"} +{"d:Title": "All-Reviews.com- Lost Souls", "d:Description": "Reviews of the movie. Overall rating 1 1/2 of 4 stars.", "topic": "Top/Arts/Movies/Titles/L/Lost_Souls_-_2000", "url": "http://www.all-reviews.com/videos-2/lost-souls.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie and rates it as pretty bad.", "topic": "Top/Arts/Movies/Titles/L/Lost_Souls_-_2000", "url": "http://www.haro-online.com/movies/lost_souls.html"} +{"d:Title": "Rotten Tomatoes - Lost Souls", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Lost_Souls_-_2000", "url": "http://www.rottentomatoes.com/m/lost_souls/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Lost_Souls_-_2000", "url": "http://www.metacritic.com/movie/lost-souls"} +{"d:Title": "IMDb - Lost Souls (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lost_Souls_-_2000", "url": "http://www.imdb.com/title/tt0160484/"} +{"d:Title": "DVD Movie Guide: The Lost Weekend", "d:Description": "Colin Jacobson's review: \"far too overwrought and melodramatic\".", "topic": "Top/Arts/Movies/Titles/L/Lost_Weekend,_The", "url": "http://dvdmg.com/lostweekend.shtml"} +{"d:Title": "The Flick Filosopher: The Lost Weekend", "d:Description": "MaryAnn Johanson's review: \"turns a searing gaze on one man's nightmare and won't let him, or us, wake up.\"", "topic": "Top/Arts/Movies/Titles/L/Lost_Weekend,_The", "url": "http://www.flickfilosopher.com/1999/01/the-lost-weekend-review.html"} +{"d:Title": "IMDb: The Lost Weekend", "d:Description": "Plot outline, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/L/Lost_Weekend,_The", "url": "http://www.imdb.com/title/tt0037884/"} +{"d:Title": "AllReaders.com Review - The Lost Weekend", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/L/Lost_Weekend,_The", "url": "http://allreaders.com/movie-review-summary/the-lost-weekend-3922"} +{"d:Title": "Yahoo Movies: Louder Than Bombs", "d:Description": "Synopsis, cast and crew, home video information, and links.", "topic": "Top/Arts/Movies/Titles/L/Louder_Than_Bombs", "url": "http://movies.yahoo.com/movie/louder-than-bombs/"} +{"d:Title": "Internet Movie Database: Glosniej od bomb", "d:Description": "Production details, cast and crew, user comments and ratings, and distribution information.", "topic": "Top/Arts/Movies/Titles/L/Louder_Than_Bombs", "url": "http://www.imdb.com/title/tt0322423/"} +{"d:Title": "IMDB: Lounge Act (2000)", "d:Description": "Cast details of the British short animated movie.", "topic": "Top/Arts/Movies/Titles/L/Lounge_Act", "url": "http://www.imdb.com/title/tt0290236/"} +{"d:Title": "IMDb: Love's Brother (2004)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Love's_Brother", "url": "http://www.imdb.com/title/tt0301563/"} +{"d:Title": "The Sydney Morning Herald: Love's Brother", "d:Description": "Review by Sandra Hall.", "topic": "Top/Arts/Movies/Titles/L/Love's_Brother", "url": "http://www.smh.com.au/articles/2004/03/31/1080544543606.html?oneclick=true"} +{"d:Title": "TV Guide Online: Love's Debris", "d:Description": "Movie review, cast list, and one still.", "topic": "Top/Arts/Movies/Titles/L/Love's_Debris", "url": "http://www.tvguide.com/movies/loves-debris/132514/"} +{"d:Title": "HARO Online - Love's Labour's Lost", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/L/Love's_Labour's_Lost", "url": "http://www.haro-online.com/movies/loves_labours_lost.html"} +{"d:Title": "PopMatters - Love's Labour's Lost", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/L/Love's_Labour's_Lost", "url": "http://popmatters.com/film/reviews/l/loves-labours-lost.html"} +{"d:Title": "Rotten Tomatoes - Love's Labour's Lost", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Love's_Labour's_Lost", "url": "http://www.rottentomatoes.com/m/loves_labours_lost/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Love's_Labour's_Lost", "url": "http://www.metacritic.com/movie/loves-labours-lost"} +{"d:Title": "DAILY TELEGIRAFFE: Love's Labour's Lost", "d:Description": "Interviews, articles, news, photos, story summary, and a behind-the-scenes look at Branagh's fourth Shakespearean adaptation.", "topic": "Top/Arts/Movies/Titles/L/Love's_Labour's_Lost", "url": "http://dailytelegiraffe.tripod.com/branaghloveslabourslost.html"} +{"d:Title": "IMDb - Love's Labour's Lost (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Love's_Labour's_Lost", "url": "http://www.imdb.com/title/tt0092937/"} +{"d:Title": "HARO Online: Lovely and Amazing", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Lovely_and_Amazing", "url": "http://www.haro-online.com/movies/lovely_and_amazing.html"} +{"d:Title": "Rotten Tomatoes: Lovely&Amazing", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Lovely_and_Amazing", "url": "http://www.rottentomatoes.com/m/lovely_and_amazing/"} +{"d:Title": "IMDb: Lovely&Amazing (2001)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lovely_and_Amazing", "url": "http://www.imdb.com/title/tt0258273/"} +{"d:Title": "Metacritic.com: Lovely and Amazing", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/L/Lovely_and_Amazing", "url": "http://www.metacritic.com/movie/lovely-amazing"} +{"d:Title": "Yahoo Movies: Lovely&Amazing", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Lovely_and_Amazing", "url": "https://www.yahoo.com/movies/film/lovely-and-amazing"} +{"d:Title": "BBC Films: Lovely Rita", "d:Description": "Danny Graydon looks at the film's portrayal of loneliness and indifference.", "topic": "Top/Arts/Movies/Titles/L/Lovely_Rita", "url": "http://www.bbc.co.uk/films/2001/12/27/lovely_rita_2001_review.shtml"} +{"d:Title": "IMDb: Lovely Rita", "d:Description": "Provides cast and crew details, related links and users' comments.", "topic": "Top/Arts/Movies/Titles/L/Lovely_Rita", "url": "http://www.imdb.com/title/tt0228536/"} +{"d:Title": "IMDb: Lover Come Back", "d:Description": "Cast, crew, related links and users' ratings.", "topic": "Top/Arts/Movies/Titles/L/Lover_Come_Back", "url": "http://www.imdb.com/title/tt0055100/"} +{"d:Title": "Popentertainment.com: Love Actually", "d:Description": "Jay S. Jacobs gives a positive review.", "topic": "Top/Arts/Movies/Titles/L/Love_Actually", "url": "http://www.popentertainment.com/loveactually.htm"} +{"d:Title": "HARO Online: Love Actually", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/L/Love_Actually", "url": "http://www.haro-online.com/movies/love_actually.html"} +{"d:Title": "All-Reviews.com - Love Actually", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Love_Actually", "url": "http://www.all-reviews.com/videos-5/love-actually.htm"} +{"d:Title": "Rotten Tomatoes: Love Actually", "d:Description": "Links to reviews, synopsis, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Love_Actually", "url": "http://www.rottentomatoes.com/m/love_actually/"} +{"d:Title": "IMDb: Love Actually", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Love_Actually", "url": "http://www.imdb.com/title/tt0314331/"} +{"d:Title": "Love Actually", "d:Description": "Trailers.", "topic": "Top/Arts/Movies/Titles/L/Love_Actually", "url": "http://trailers.apple.com/trailers/universal/love_actually/"} +{"d:Title": "Yahoo Movies: Love Actually", "d:Description": "Plot synopsis, film overview, credits, trailer, video clips, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Love_Actually", "url": "https://www.yahoo.com/movies/film/love-actually"} +{"d:Title": "All-Reviews.com - Love Affair", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Love_Affair", "url": "http://www.all-reviews.com/videos-2/love-affair.htm"} +{"d:Title": "IMDb: Love Again", "d:Description": "Cast and crew.", "topic": "Top/Arts/Movies/Titles/L/Love_Again", "url": "http://www.imdb.com/title/tt0351258/"} +{"d:Title": "IMDb - Love and Action in Chicago (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Love_and_Action_in_Chicago", "url": "http://www.imdb.com/title/tt0176948/"} +{"d:Title": "MMI Review: Love and a .45", "d:Description": "Monica Sullivan's review considers the overacting in the film.", "topic": "Top/Arts/Movies/Titles/L/Love_and_a_.45", "url": "http://www.shoestring.org/mmi_revs/love45.html"} +{"d:Title": "IMDb: Love and a .45", "d:Description": "Provides details of cast and crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/L/Love_and_a_.45", "url": "http://www.imdb.com/title/tt0110395/"} +{"d:Title": "HARO Online - Love and Basketball", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "http://www.haro-online.com/movies/love_and_basketball.html"} +{"d:Title": "PopMatters - Love and Basketball", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "http://popmatters.com/film/reviews/l/loveandbasketball.html"} +{"d:Title": "All-Reviews.com- Love&Basektball (2000)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "http://www.all-reviews.com/videos/love-basketball.htm"} +{"d:Title": "Rotten Tomatoes - Love and Basketball", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "http://www.rottentomatoes.com/m/love_and_basketball/"} +{"d:Title": "Box Office Mojo - Love and Basketball", "d:Description": "Box office data for the film. Includes a comparison with other urban movies.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "http://www.boxofficemojo.com/movies/?id=loveandbasketball.htm"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "http://www.metacritic.com/movie/love-basketball"} +{"d:Title": "IMDb - Love and Basketball (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "http://www.imdb.com/title/tt0199725/"} +{"d:Title": "Yahoo Movies: Love&Basketball", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Basketball", "url": "https://www.yahoo.com/movies/film/love-and-basketball"} +{"d:Title": "MRQE: Love and Death on Long Island (1997)", "d:Description": "reviews", "topic": "Top/Arts/Movies/Titles/L/Love_and_Death_on_Long_Island", "url": "http://www.mrqe.com/lookup?%5ELOVE+AND+DEATH+ON+LONG+ISLAND+(1997)"} +{"d:Title": "IMDb: Love and Other Catastrophes", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Other_Catastrophes", "url": "http://www.imdb.com/title/tt0116931/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the movie. Final rating, pretty bad.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Sex", "url": "http://www.haro-online.com/movies/love_and_sex.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Sex", "url": "http://www.all-reviews.com/videos-3/love-and-sex.htm"} +{"d:Title": "PopMatters - Love and Sex", "d:Description": "Review of the film describing the film as \"downright charming\".", "topic": "Top/Arts/Movies/Titles/L/Love_and_Sex", "url": "http://popmatters.com/film/reviews/l/love-and-sex.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Sex", "url": "http://www.metacritic.com/movie/love-sex"} +{"d:Title": "IMDb: Love and Sex", "d:Description": "Cast and crew information, plot summary, and ratings.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Sex", "url": "http://www.imdb.com/title/tt0234137/"} +{"d:Title": "Yahoo Movies: Love and Sex", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Love_and_Sex", "url": "https://www.yahoo.com/movies/film/love-and-sex"} +{"d:Title": "HARO Online: Love Don't Cost a Thing", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Love_Don't_Cost_a_Thing", "url": "http://www.haro-online.com/movies/love_dont_cost.html"} +{"d:Title": "IMDb: Love Don't Cost a Thing (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Love_Don't_Cost_a_Thing", "url": "http://www.imdb.com/title/tt0337592/"} +{"d:Title": "Rotten Tomatoes: Love Don't Cost a Thing", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Love_Don't_Cost_a_Thing", "url": "http://www.rottentomatoes.com/m/love_dont_cost_a_thing/"} +{"d:Title": "Chicago Sun-Times: Love Don't Cost a Thing.", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Love_Don't_Cost_a_Thing", "url": "http://www.rogerebert.com/reviews/love-dont-cost-a-thing-2003"} +{"d:Title": "The Love Guru", "d:Description": "Official site. Film information, video, gallery, downloads, cast, crew, and features.", "priority": "1", "topic": "Top/Arts/Movies/Titles/L/Love_Guru,_The", "url": "http://www.lovegurumovie.com/"} +{"d:Title": "Moviefone", "d:Description": "Showtimes, cast, crew, synopsis, trailers, news, and photos.", "topic": "Top/Arts/Movies/Titles/L/Love_Guru,_The", "url": "http://www.moviefone.com/movie/the-love-guru/29105/main"} +{"d:Title": "IGN Movies: The Love Guru", "d:Description": "News, previews, message board, videos, and photos.", "topic": "Top/Arts/Movies/Titles/L/Love_Guru,_The", "url": "http://www.ign.com/movies/the-love-guru/theater-907016"} +{"d:Title": "MovieWeb: The Love Guru (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/L/Love_Guru,_The", "url": "http://www.movieweb.com/movie/the-love-guru"} +{"d:Title": "AllReaders.com Review - Love in the Afternoon", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Gary Cooper and Audrey Hepburn film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/L/Love_in_the_Afternoon", "url": "http://allreaders.com/movie-review-summary/love-in-the-afternoon-4411"} +{"d:Title": "IMDb: Love Is the Devil (1998)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Love_Is_the_Devil", "url": "http://www.imdb.com/title/tt0119577/"} +{"d:Title": "TV Guide Online: Love Jones", "d:Description": "Movie review, cast, picture, and credit list.", "topic": "Top/Arts/Movies/Titles/L/Love_Jones", "url": "http://www.tvguide.com/movies/love-jones/132066/"} +{"d:Title": "The Love Letter (1998)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/L/Love_Letter,_The_-_1998", "url": "http://www.imdb.com/title/tt0140340/"} +{"d:Title": "All-Reviews.com - Love Letter", "d:Description": "Five reviews of the movie.", "topic": "Top/Arts/Movies/Titles/L/Love_Letter,_The_-_1999", "url": "http://www.all-reviews.com/videos-3/love-letter.htm"} +{"d:Title": "Love Letter (1999) - Rotten-Tomatoes", "d:Description": "Reviews of Love Letter from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/L/Love_Letter,_The_-_1999", "url": "http://www.rottentomatoes.com/m/1090771-love_letter/"} +{"d:Title": "IMDb - The Love Letter (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Love_Letter,_The_-_1999", "url": "http://www.imdb.com/title/tt0166252/"} +{"d:Title": "The A.V. Club: The Love Letter", "d:Description": "Review by Keith Phipps.", "topic": "Top/Arts/Movies/Titles/L/Love_Letter,_The_-_1999", "url": "http://www.avclub.com/review/the-love-letter-19155"} +{"d:Title": "All-Reviews.com - Love Liza", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/L/Love_Liza", "url": "http://www.all-reviews.com/videos-5/love-liza.htm"} +{"d:Title": "HARO Online: Love Liza", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Love_Liza", "url": "http://www.haro-online.com/movies/love_liza.html"} +{"d:Title": "Rotten Tomatoes: Love Liza", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/L/Love_Liza", "url": "http://www.rottentomatoes.com/m/love_liza/"} +{"d:Title": "Love Liza (2002)", "d:Description": "Internet Movie Database plot synopsis, cast and crew listings, and user reviews.", "topic": "Top/Arts/Movies/Titles/L/Love_Liza", "url": "http://www.imdb.com/title/tt0282698/"} +{"d:Title": "HARO Online: Love Me If You Dare", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Love_Me_If_You_Dare", "url": "http://www.haro-online.com/movies/love_me_if_you_dare.html"} +{"d:Title": "Rotten Tomatoes: Love Me If You Dare", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/L/Love_Me_If_You_Dare", "url": "http://www.rottentomatoes.com/m/love_me_if_you_dare/"} +{"d:Title": "IMDb: Love Me If You Dare (Jeux d'enfants) (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/L/Love_Me_If_You_Dare", "url": "http://www.imdb.com/title/tt0364517/"} +{"d:Title": "Chicago Sun-Times: Love Me If You Dare", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/L/Love_Me_If_You_Dare", "url": "http://www.rogerebert.com/reviews/love-me-if-you-dare-2004"} +{"d:Title": "IMDb: A Love Song for Bobby Long", "d:Description": "Cast, crew, reviews, plot summary, and user comments/reviews.", "topic": "Top/Arts/Movies/Titles/L/Love_Song_for_Bobby_Long,_A", "url": "http://www.imdb.com/title/tt0369672/"} +{"d:Title": "Rotten Tomatoes: A Love Song for Bobby Long", "d:Description": "Includes trailers, reviews, pictures and synopsis.", "topic": "Top/Arts/Movies/Titles/L/Love_Song_for_Bobby_Long,_A", "url": "http://www.rottentomatoes.com/m/love_song_for_bobby_long/"} +{"d:Title": "Wikipedia: A Love Song for Bobby Long", "d:Description": "Encyclopedia article about the film. Includes plot, production, awards and nomination, and cast information.", "topic": "Top/Arts/Movies/Titles/L/Love_Song_for_Bobby_Long,_A", "url": "http://en.wikipedia.org/wiki/A_Love_Song_for_Bobby_Long"} +{"d:Title": "RogerEbert.com: A Love Song for Bobby Long", "d:Description": "Movie review written by the notable film critic for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/L/Love_Song_for_Bobby_Long,_A", "url": "http://www.rogerebert.com/reviews/a-love-song-for-bobby-long-2005"} +{"d:Title": "A Love Song for Bobby Long", "d:Description": "Trailers for the 2004 film.", "topic": "Top/Arts/Movies/Titles/L/Love_Song_for_Bobby_Long,_A", "url": "http://trailers.apple.com/trailers/lions_gate/bobby_long/"} +{"d:Title": "The New York Times: Stopping Off in the Gothic South, on the Road to Ruin", "d:Description": "Movie review for A Love Song by Bobby Long, written by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/L/Love_Song_for_Bobby_Long,_A", "url": "http://www.nytimes.com/2004/12/29/movies/stopping-off-in-the-gothic-south-on-the-road-to-ruin.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, and trailers", "topic": "Top/Arts/Movies/Titles/L/Love_Stinks", "url": "http://www.rottentomatoes.com/m/love_stinks/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Love_Stinks", "url": "http://www.metacritic.com/movie/love-stinks"} +{"d:Title": "HARO Online", "d:Description": "Review of the movie with a few pictures. Rates movies as okay.", "topic": "Top/Arts/Movies/Titles/L/Loving_Jezebel", "url": "http://www.haro-online.com/movies/loving_jezebel.html"} +{"d:Title": "Rotten Tomatoes - Loving Jezebel", "d:Description": "Reviews, photographs and movie news.", "topic": "Top/Arts/Movies/Titles/L/Loving_Jezebel", "url": "http://www.rottentomatoes.com/m/loving_jezebel/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Loving_Jezebel", "url": "http://www.metacritic.com/movie/loving-jezebel"} +{"d:Title": "Low", "d:Description": "Official site. Synopsis, cast and crew information, reviews, pictures, and links.", "topic": "Top/Arts/Movies/Titles/L/Low", "url": "http://low-thefilm.tripod.com/low/"} +{"d:Title": "IMDb: Low (1995)", "d:Description": "Plot summary, cast and crew information, and user ratings.", "topic": "Top/Arts/Movies/Titles/L/Low", "url": "http://www.imdb.com/title/tt0113715/"} +{"d:Title": "IMDb: Genroku Chushingura (1941)", "d:Description": "Summary and cast overview.", "topic": "Top/Arts/Movies/Titles/L/Loyal_47_Ronin,_The", "url": "http://imdb.com/title/tt0033654/"} +{"d:Title": "IMDb: Lucas", "d:Description": "Provides details of cast and crew, links and users' comments.", "topic": "Top/Arts/Movies/Titles/L/Lucas", "url": "http://www.imdb.com/title/tt0091445/"} +{"d:Title": "Chicago Sun Times: Lucas", "d:Description": "Robert Ebert's gives a positive review, praising the acting and looking at what raises this above the average teen drama.", "topic": "Top/Arts/Movies/Titles/L/Lucas", "url": "http://www.rogerebert.com/reviews/lucas-1986"} +{"d:Title": "HARO Online - Lucie Aubrac", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/L/Lucie_Aubrac", "url": "http://haro-online.com/movies/lucie_aubrac.html"} +{"d:Title": "IMDb - Lucie Aubrac (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lucie_Aubrac", "url": "http://www.imdb.com/title/tt0119586/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Lucie_Aubrac", "url": "http://www.metacritic.com/movie/lucie-aubrac"} +{"d:Title": "IMDb: Lucky 13 (2000)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Lucky_13", "url": "http://imdb.com/title/tt0222167/"} +{"d:Title": "IMDb.com: Lucky Break (1994)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Break_-_1994", "url": "http://imdb.com/title/tt0110405/"} +{"d:Title": "TV Guide Online: Paperback Romance", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Break_-_1994", "url": "http://www.tvguide.com/movies/lucky-break/135463/"} +{"d:Title": "BBC News Entertainment Reviews: Second Time Lucky", "d:Description": "Caroline Westbrook makes comparisons with Peter Cattaneo's The Full Monty and looks at plot, style and screenplay.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Break_-_2001", "url": "http://news.bbc.co.uk/1/hi/entertainment/reviews/1504712.stm"} +{"d:Title": "Rotten Tomatoes: Lucky Break", "d:Description": "Movie guide includes links to reviews, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Break_-_2001", "url": "http://www.rottentomatoes.com/m/lucky_break/"} +{"d:Title": "IMDb: Lucky Break (2001)", "d:Description": "Includes cast and crew, user comments, external reviews, awards and nominations, film details, promotional materials and links.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Break_-_2001", "url": "http://www.imdb.com/title/tt0246134/"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Break_-_2001", "url": "http://www.metacritic.com/movie/lucie-aubrac"} +{"d:Title": "HARO Online", "d:Description": "Review of the movie. Rates it as pretty bad.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Numbers", "url": "http://www.haro-online.com/movies/lucky_numbers.html"} +{"d:Title": "Rotten Tomatoes: Lucky Numbers", "d:Description": "Reviews from the nation's top critics and audiences. Includes movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Numbers", "url": "http://www.rottentomatoes.com/m/lucky_numbers/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Numbers", "url": "http://www.metacritic.com/movie/lucky-numbers"} +{"d:Title": "IMDb: Lucky Numbers", "d:Description": "Cast and crew information, plot synopsis, and links.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Numbers", "url": "http://www.imdb.com/title/tt0219952/"} +{"d:Title": "Yahoo Movies: Lucky Numbers", "d:Description": "Plot synopsis, film overview, credits, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Numbers", "url": "https://www.yahoo.com/movies/film/lucky-numbers"} +{"d:Title": "Rotten Tomatoes: The Lucky Ones", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/L/Lucky_Ones,_The", "url": "http://www.rottentomatoes.com/m/10008618-return/"} +{"d:Title": "USA Today: The Lucky Ones", "d:Description": "Review, by Claudia Puig: \"Though the lead performances are uniformly good, the film seems hazy in its focus from the start. Many of the scenes seem to simply meander.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/L/Lucky_Ones,_The", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-09-25-lucky-one_N.htm"} +{"d:Title": "The Village Voice: The Lucky Ones", "d:Description": "Review, by Vadim Rizov: \"Its hopelessly schematic road-trip arc (bond-fight-reconcile-repeat) grows increasingly tedious.\"", "topic": "Top/Arts/Movies/Titles/L/Lucky_Ones,_The", "url": "http://www.villagevoice.com/film/the-lucky-ones-is-gulp-the-best-iraq-war-movie-to-date-6390439"} +{"d:Title": "TV Guide: The Lucky Ones", "d:Description": "Review, by Ken Fox: \"An entertaining road movie with a topical point: The three passengers on this cross-country trip are U.S. soldiers who've just returned from Iraq.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/L/Lucky_Ones,_The", "url": "http://www.tvguide.com/movies/lucky-ones/review/294807/"} +{"d:Title": "ReelViews: The Lucky Ones", "d:Description": "Review, by James Berardinelli: \"The weakest aspect of The Lucky Ones is by far the conclusion, which is flat and contrived. \" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/L/Lucky_Ones,_The", "url": "http://www.reelviews.net/reelviews/lucky-ones-the"} +{"d:Title": "IMDb: Lucky You", "d:Description": "Offers information, cast and crew, trivia, quotes, and user comments.", "topic": "Top/Arts/Movies/Titles/L/Lucky_You", "url": "http://www.imdb.com/title/tt0338216/"} +{"d:Title": "Wikipedia: Lucky You", "d:Description": "Offers plot, cast, music, release dates, and cast.", "topic": "Top/Arts/Movies/Titles/L/Lucky_You", "url": "http://en.wikipedia.org/wiki/Lucky_You_(film)"} +{"d:Title": "ReelViews: Lucky You", "d:Description": "Review by James Berardinelli. \"The movie isn't a downer, but neither does it end with all loose ends nicely tied off.\"", "topic": "Top/Arts/Movies/Titles/L/Lucky_You", "url": "http://www.reelviews.net/reelviews/lucky-you"} +{"d:Title": "IMDb - Lured Innocence (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/L/Lured_Innocence", "url": "http://www.imdb.com/title/tt0122161/"} +{"d:Title": "IMDb: Se, jie (2007)", "d:Description": "Videos, photo gallery, plot outlines, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/L/Lust,_Caution", "url": "http://www.imdb.com/title/tt0808357/"} +{"d:Title": "Rotten Tomatoes: Lust, Caution", "d:Description": "Review links, synopsis, critical consensus, production notes, credits, photos, trailers, news, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/L/Lust,_Caution", "url": "http://www.rottentomatoes.com/m/lust_caution/"} +{"d:Title": "MovieWeb: Lust, Caution (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/L/Lust,_Caution", "url": "http://www.movieweb.com/movie/lust-caution"} +{"d:Title": "IGN Movies: Lust, Caution", "d:Description": "Photos, videos, news, message board, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/L/Lust,_Caution", "url": "http://www.ign.com/movies/lust-caution/theater-949255"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, cast, credits, reviews, photos, and a message board.", "topic": "Top/Arts/Movies/Titles/L/Lust,_Caution", "url": "https://www.yahoo.com/movies/film/lust-caution"} +{"d:Title": "AboutFilm.com: Luther (2003)", "d:Description": "Reviewer Carlo Cavagna notes high points and also suggests changes that he believes would have made this a more emotionally and spiritually powerful film.", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "http://www.aboutfilm.com/movies/l/luther.htm"} +{"d:Title": "Luther: A Movie Review by the Filmiliar Cineaste", "d:Description": "Plot outline includes critiques of several actors, giving highest marks to Peter Ustinov and Bruno Ganz while showing less regard for the work of Joseph Fiennes and Claire Cox.", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "http://www.variagate.com/luther.htm"} +{"d:Title": "Luther (2003)", "d:Description": "Cast and crew, reviews, summary, viewer comments and discussions, taglines, trailers, showtimes, related material, and links to official and fan sites from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "http://www.imdb.com/title/tt0309820/"} +{"d:Title": "HARO Online: Luther", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "http://www.haro-online.com/movies/luther.html"} +{"d:Title": "Luther (2003)", "d:Description": "Reviews from national critics and audiences with movie summary, trailer, news, articles, forum, links, and related material.", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "http://www.rottentomatoes.com/m/1125461-luther/"} +{"d:Title": "Luther (2003)", "d:Description": "Plot summary and commentary on the film's personal revelations and emotional power from MovieWeb.", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "http://www.movieweb.com/movie/luther"} +{"d:Title": "Luther", "d:Description": "Summary of film with cast and crew, background material, and links from Greg's Preview at Yahoo! Movies.", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "https://www.yahoo.com/movies/film/luther"} +{"d:Title": "'Luther' Not Just For Lutherans", "d:Description": "Associated Press story detailing the producers' hopes for a broad-based audience. Summarizes historical background.", "topic": "Top/Arts/Movies/Titles/L/Luther_-_2003", "url": "http://www.cbsnews.com/news/luther-not-just-for-lutherans/"} +{"d:Title": "M (1931)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/M", "url": "http://www.imdb.com/title/tt0022100/"} +{"d:Title": "Fritz Lang's M", "d:Description": "Background information, storyline, political impact, history, pictures, and review.", "topic": "Top/Arts/Movies/Titles/M/M", "url": "http://www.cyberroach.com/m/"} +{"d:Title": "The Digital Fix: M", "d:Description": "Review of the film and the DVD by Noel Megahey.", "topic": "Top/Arts/Movies/Titles/M/M", "url": "http://homecinema.thedigitalfix.co.uk/content.php?contentid=5507"} +{"d:Title": "Chicago Sun-Times: M", "d:Description": "Roger Ebert on the film \"credited with forming two genres: the serial killer movie and the police procedural.\"", "topic": "Top/Arts/Movies/Titles/M/M", "url": "http://www.rogerebert.com/reviews/great-movie-m-1931"} +{"d:Title": "AllMovie: M", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/M/M", "url": "http://www.allmovie.com/movie/m-v100745"} +{"d:Title": "IMDB : M'Blimey (1931)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/M'Blimey", "url": "http://www.imdb.com/title/tt0022101/"} +{"d:Title": "IMDB : M'Liss (1936)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/M'Liss", "url": "http://www.imdb.com/title/tt0027921/"} +{"d:Title": "IMDB : M-o-n-e-y Spells Love (1975)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/M-o-n-e-y_Spells_Love", "url": "http://www.imdb.com/title/tt0148432/"} +{"d:Title": "IMDb.com - MacArthur Park", "d:Description": "Cast/crew, reviews, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/MacArthur_Park_-_2001", "url": "http://www.imdb.com/title/tt0270487/"} +{"d:Title": "IMDb: Macbeth (1971)", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/M/Macbeth_-_1971", "url": "http://www.imdb.com/title/tt0067372/"} +{"d:Title": "Scotland the Movie Location Guide - Macbeth - 1997", "d:Description": "Scottish filming locations of Macbeth", "topic": "Top/Arts/Movies/Titles/M/Macbeth_-_1997", "url": "http://www.scotlandthemovie.com/movies/fmacbeth.html"} +{"d:Title": "IMDb.com - Macbeth (1997)", "d:Description": "Cast/crew information, with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Macbeth_-_1997", "url": "http://www.imdb.com/title/tt0119591/"} +{"d:Title": "TV Guide Online: Machine Dreams (1995)", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/M/Machine_Dreams", "url": "http://www.tvguide.com/movies/machine-dreams/130711/"} +{"d:Title": "IMDb: El Maquinista (The Machinist)", "d:Description": "Provides full cast list, awards and nominations, plot summary, memorable quotes, trivia, filming locations and discussion board.", "topic": "Top/Arts/Movies/Titles/M/Machinist,_The", "url": "http://www.imdb.com/title/tt0361862/"} +{"d:Title": "SplicedWire: The Machinist Movie Review", "d:Description": "An extreme, riveting exploration of how unbearable experiences can eat away at a person, literally body and soul.", "topic": "Top/Arts/Movies/Titles/M/Machinist,_The", "url": "http://www.splicedwire.com/04reviews/machinist.html"} +{"d:Title": "KillerMovies.com: The Machinist Movie Review", "d:Description": "The real hero is Mr. Bale, who is in virtually every frame, his bulging eyes and near invisibility at just 119 pounds. Reviewed by Harvey S. Karten.", "topic": "Top/Arts/Movies/Titles/M/Machinist,_The", "url": "http://www.killermovies.com/m/themachinist/reviews/m92.html"} +{"d:Title": "BoxOfficeMojo: The Machinist", "d:Description": "Offers box office details, articles, news and analysis.", "topic": "Top/Arts/Movies/Titles/M/Machinist,_The", "url": "http://www.boxofficemojo.com/movies/?id=machinist.htm"} +{"d:Title": "RogerEbert.com: The Machinist", "d:Description": "\"Near the end of the movie, we understand him when he simply says, 'I just want to sleep'\", offers the acclaimed film critic.", "topic": "Top/Arts/Movies/Titles/M/Machinist,_The", "url": "http://www.rogerebert.com/reviews/the-machinist-2004"} +{"d:Title": "JoBlo.com: The Machinist", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/M/Machinist,_The", "url": "http://www.joblo.com/movies/database/2004/the-machinist/"} +{"d:Title": "Images Journal - Macon County Line", "d:Description": "Video review and pictures of 1974 Max Baer Jr. and Richard Compton drive in hit.", "topic": "Top/Arts/Movies/Titles/M/Macon_County_Line", "url": "http://www.imagesjournal.com/issue09/reviews/maconcountyline/"} +{"d:Title": "IMDb.com - Macon County Line", "d:Description": "Poster, credits and comments.", "topic": "Top/Arts/Movies/Titles/M/Macon_County_Line", "url": "http://www.imdb.com/title/tt0071788/"} +{"d:Title": "HARO Online: Madame Sata", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Madame_Sat\u00e3", "url": "http://www.haro-online.com/movies/madame_sata.html"} +{"d:Title": "Internet Movie Database: Madame Sat\u00e3", "d:Description": "Synopsis, cast and crew, user comments and ratings, soundtrack listing, and links.", "topic": "Top/Arts/Movies/Titles/M/Madame_Sat\u00e3", "url": "http://imdb.com/title/tt0317887/"} +{"d:Title": "Chicago Sun-Times - Madame Sata", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/M/Madame_Sat\u00e3", "url": "http://www.rogerebert.com/reviews/madame-sata-2003"} +{"d:Title": "HARO Online: Made", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Made", "url": "http://www.haro-online.com/movies/made.html"} +{"d:Title": "Rotten Tomatoes - Made", "d:Description": "Links to reviews and news, about, cast and crew, multimedia, forum and other information.", "topic": "Top/Arts/Movies/Titles/M/Made", "url": "http://www.rottentomatoes.com/m/made/"} +{"d:Title": "IMDb.com - Made (2001)", "d:Description": "Cast/crew, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/M/Made", "url": "http://www.imdb.com/title/tt0227005/"} +{"d:Title": "Metacritic.com: Made", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/M/Made", "url": "http://www.metacritic.com/movie/made"} +{"d:Title": "HARO Online: Made-Up", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Made-Up", "url": "http://www.haro-online.com/movies/made-up.html"} +{"d:Title": "IMDb: Made-Up (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Made-Up", "url": "http://www.imdb.com/title/tt0274643/"} +{"d:Title": "Offoffoff Film Review: Made-Up", "d:Description": "Review by Leslie Hoban Blake.", "topic": "Top/Arts/Movies/Titles/M/Made-Up", "url": "http://www.offoffoff.com/film/2004/madeup.php"} +{"d:Title": "All-Reviews.com: Madeline", "d:Description": "Collection of reviews from several contributors.", "topic": "Top/Arts/Movies/Titles/M/Madeline_-_1998", "url": "http://www.all-reviews.com/videos/madeline.htm"} +{"d:Title": "IMDb - Madeline (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Madeline_-_1998", "url": "http://www.imdb.com/title/tt0123987/"} +{"d:Title": "TV Guide Online: Madeline", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/M/Madeline_-_1998", "url": "http://www.tvguide.com/movies/madeline/133153/"} +{"d:Title": "ReelViews: Made of Honor", "d:Description": "Review, by James Berardinelli: \"A prefabricated example of shoddy workmanship.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Made_of_Honor", "url": "http://www.reelviews.net/reelviews/made-of-honor"} +{"d:Title": "MovieWeb: Made of Honor (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Made_of_Honor", "url": "http://movieweb.com/movie/made-of-honor/"} +{"d:Title": "USA Today: Made of Honor", "d:Description": "Review, by Claudia Puig: \"Made of Honor has some funny moments, and it keeps your attention, but it's certainly not worth rushing out to the multiplex and paying top dollar for. Catch it on TV on a lazy afternoon with little else to do.\"", "topic": "Top/Arts/Movies/Titles/M/Made_of_Honor", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-05-01-made-of-honor_N.htm"} +{"d:Title": "Rotten Tomatoes: Madison", "d:Description": "A preview and a public forum.", "topic": "Top/Arts/Movies/Titles/M/Madison", "url": "http://www.rottentomatoes.com/m/madison/"} +{"d:Title": "IMDb.com - Madison", "d:Description": "Cast/crew, ratings, and other related links.", "topic": "Top/Arts/Movies/Titles/M/Madison", "url": "http://www.imdb.com/title/tt0206113/"} +{"d:Title": "iMDB: Madman (1981)", "d:Description": "Plot summary, user comments, credits, and rating.", "topic": "Top/Arts/Movies/Titles/M/Madman", "url": "http://www.imdb.com/title/tt0082696/"} +{"d:Title": "Washington Post - The Madness of King George", "d:Description": "Review of the film by Hal Hinson", "topic": "Top/Arts/Movies/Titles/M/Madness_of_King_George,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/themadnessofkinggeorgenrhinson_c00686.htm"} +{"d:Title": "IMDb - The Madness of King George (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Madness_of_King_George,_The", "url": "http://www.imdb.com/title/tt0110428/"} +{"d:Title": "Rotten Tomatoes - Mad About Mambo (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/M/Mad_about_Mambo", "url": "http://www.rottentomatoes.com/m/mad_about_mambo/"} +{"d:Title": "IMDb - Mad About Mambo (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mad_about_Mambo", "url": "http://www.imdb.com/title/tt0156757/"} +{"d:Title": "Metacritic.com: Mad About Mambo", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mad_about_Mambo", "url": "http://www.metacritic.com/movie/mad-about-mambo"} +{"d:Title": "Internet Movie Database: Strangolatore di Vienna, Lo", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, and links.", "topic": "Top/Arts/Movies/Titles/M/Mad_Butcher,_The", "url": "http://imdb.com/title/tt0067799/"} +{"d:Title": "All-Reviews.com - Mad City", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/M/Mad_City", "url": "http://www.all-reviews.com/videos/mad-city.htm"} +{"d:Title": "TV Guide Online: Mad City", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/M/Mad_City", "url": "http://www.tvguide.com/movies/mad-city/132720/"} +{"d:Title": "IMDB: Mad Dogs and Englishmen (1995)", "d:Description": "Review, cast and crew details, trivia and release dates of the film.", "topic": "Top/Arts/Movies/Titles/M/Mad_Dogs_and_Englishmen", "url": "http://www.imdb.com/title/tt0111151/"} +{"d:Title": "All-Reviews.com: Mad Love", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Mad_Love_-_1995", "url": "http://www.all-reviews.com/videos-3/mad-love.htm"} +{"d:Title": "Rotten Tomatoes: Mad Love", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Mad_Love_-_1995", "url": "http://www.rottentomatoes.com/m/1062174-mad_love/"} +{"d:Title": "IMDb: Mad Love (1995)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mad_Love_-_1995", "url": "http://www.imdb.com/title/tt0113729/"} +{"d:Title": "HARO Online - Mad Love (Juana de Loca)", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Mad_Love_-_2001", "url": "http://www.haro-online.com/movies/mad_love.html"} +{"d:Title": "Internet Movie Database: Juana la Loca", "d:Description": "Synopsis, cast and crew, reviews, production and distribution information, soundtrack listing, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Mad_Love_-_2001", "url": "http://imdb.com/title/tt0270480/"} +{"d:Title": "Mad Max FAQ", "d:Description": "Everything you ever needed to know about the Mad Max movies.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://www.madmaxmovies.com/"} +{"d:Title": "WWWF Grudge Match: Mad Max vs. Snake Plissken", "d:Description": "Snake Plissken (Kurt Russell) battles Max down under.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://www.grudge-match.com/History/max-snake.shtml"} +{"d:Title": "End of the Road for 'Fury Road'", "d:Description": "\"...work was halted last week reportedly because of logistical difficulties.\" [Studio Briefing]", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://www.imdb.com/news/sb/2004-06-14#film2"} +{"d:Title": "'Mad Max' Sequel Appears Dead", "d:Description": "[Studio Briefing]", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://www.imdb.com/news/sb/2003-09-23#film1"} +{"d:Title": "Iraq Crisis Restrains Mad Max", "d:Description": "\"Work on the latest Mad Max film has been halted due to a possible conflict with Iraq.\" [World Entertainment News Network]", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://www.imdb.com/news/wenn/2003-03-03#celeb4"} +{"d:Title": "Gibson 'Makes Mad Max Return'", "d:Description": "Short announcement from BBC News.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://news.bbc.co.uk/2/hi/entertainment/2561391.stm"} +{"d:Title": "Beyond Thunderdome", "d:Description": "Message board for the series.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://thunderdome.proboards.com/"} +{"d:Title": "The Mad Max WebRing", "d:Description": "A webring open to sites containing anything related to any Mad Max movie.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series", "url": "http://www.webring.org/hub?ring=madmax"} +{"d:Title": "All-Reviews.com - Mad Max", "d:Description": "[3/4] Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max", "url": "http://www.all-reviews.com/videos-4/mad-max.htm"} +{"d:Title": "The Sci-Fi Movie Page: Mad Max", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max", "url": "http://www.scifimoviepage.com/janpick.html"} +{"d:Title": "Internet Movie Database: Mad Max (1979)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max", "url": "http://www.imdb.com/title/tt0079501/"} +{"d:Title": "All-Reviews.com - Mad Max 2: The Road Warrior", "d:Description": "Review of the movie. [4/4]", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max_2_-_The_Road_Warrior", "url": "http://all-reviews.com/videos-5/mad-max-2-road-warrior.htm"} +{"d:Title": "The Sci-Fi Movie Page: Mad Max 2 - The Road Warrior", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max_2_-_The_Road_Warrior", "url": "http://www.scifimoviepage.com/nov98pik.html"} +{"d:Title": "IMDb: Mad Max 2: The Road Warrior (1981)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max_2_-_The_Road_Warrior", "url": "http://www.imdb.com/title/tt0082694/"} +{"d:Title": "Salvaging the Future", "d:Description": "Essay describing the various meanings of Beyond Thunderdome, \"which depicts everything from the past of Australia to the functioning of a neurotic mind.\"", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max_Beyond_Thunderdome", "url": "http://www.transparencynow.com/maxintro.htm"} +{"d:Title": "A Few Days on the Set of Mad Max III", "d:Description": "Article describing a visit to the Bartertown set during filming.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max_Beyond_Thunderdome", "url": "http://www.lofficier.com/madmax.htm"} +{"d:Title": "Internet Movie Database: Mad Max Beyond Thunderdome (1985)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Mad_Max_Series/Mad_Max_Beyond_Thunderdome", "url": "http://www.imdb.com/title/tt0089530/"} +{"d:Title": "IMDb: Mad Money (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mad_Money", "url": "http://www.imdb.com/title/tt0951216/"} +{"d:Title": "Rotten Tomatoes: Mad Money", "d:Description": "Synopsis, cast list, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mad_Money", "url": "http://www.rottentomatoes.com/m/1188347-mad_money/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, critical reception, and box office results.", "topic": "Top/Arts/Movies/Titles/M/Mad_Money", "url": "http://en.wikipedia.org/wiki/Mad_Money_(film)"} +{"d:Title": "IGN Movies: Mad Money", "d:Description": "Photos, message board, and a review (rating: 0 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Mad_Money", "url": "http://www.ign.com/movies/mad-money/theater-14220643"} +{"d:Title": "MovieWeb: Mad Money (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Mad_Money", "url": "http://movieweb.com/movie/mad-money/"} +{"d:Title": "USA Today: Mad Money", "d:Description": "Review, by Claudia Puig: \"Moviegoers will come up empty with Mad Money. This lifeless comedy and uninventive caper feels as if it were cobbled together at a studio's obligatory consciousness-raising diversity seminar.\" [Score: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mad_Money", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-01-17-mad-money_N.htm"} +{"d:Title": "Maestro", "d:Description": "A documentary film which explores the history, the roots, and the spirit of New York House Music.", "topic": "Top/Arts/Movies/Titles/M/Maestro", "url": "http://maestro-documentary.com/"} +{"d:Title": "IMDb: Mafia! (1998)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mafia", "url": "http://www.imdb.com/title/tt0120741/"} +{"d:Title": "MovieMartyr.com: The Magdalene Sisters", "d:Description": "Review by Jeremy Heilman. Also photo stills.", "topic": "Top/Arts/Movies/Titles/M/Magdalene_Sisters,_The", "url": "http://www.moviemartyr.com/2002/magdalenesisters.htm"} +{"d:Title": "BBC Films: The Magdalene Sisters", "d:Description": "Review and interviews.", "topic": "Top/Arts/Movies/Titles/M/Magdalene_Sisters,_The", "url": "http://www.bbc.co.uk/films/2002/11/21/the_magdalene_sisters_2002_review.shtml"} +{"d:Title": "Box Office Prophets: The Magdalene Sisters", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/M/Magdalene_Sisters,_The", "url": "http://www.boxofficeprophets.com/moviereviews/magdalenesisters.asp"} +{"d:Title": "Rotten Tomatoes: The Magdalene Sisters", "d:Description": "Reviews, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/M/Magdalene_Sisters,_The", "url": "http://www.rottentomatoes.com/m/magdalene_sisters/"} +{"d:Title": "The Observer: The Magdalene Sisters", "d:Description": "'Evil under the nun' - review by Philip French.", "topic": "Top/Arts/Movies/Titles/M/Magdalene_Sisters,_The", "url": "http://www.theguardian.com/theobserver/2003/feb/23/features.review137"} +{"d:Title": "Guardian Film: The Magdalene Sisters", "d:Description": "Review by Peter Bradshaw.", "topic": "Top/Arts/Movies/Titles/M/Magdalene_Sisters,_The", "url": "http://www.theguardian.com/culture/2003/feb/21/artsfeatures1"} +{"d:Title": "IMDb: The Magdalene Sisters", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Magdalene_Sisters,_The", "url": "http://www.imdb.com/title/tt0318411/"} +{"d:Title": "All-Reviews.com: Magic in the Water", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Magic_in_the_Water", "url": "http://www.all-reviews.com/videos-3/magic-in-the-water.htm"} +{"d:Title": "Rotten Tomatoes: Magic in the Water", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Magic_in_the_Water", "url": "http://www.rottentomatoes.com/m/magic_in_the_water/"} +{"d:Title": "IMDb: Magic in the Water (1995)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Magic_in_the_Water", "url": "http://www.imdb.com/title/tt0113737/"} +{"d:Title": "The Magnificent Ambersons (1942)", "d:Description": "Detailed review, synopsis of the film.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Ambersons,_The_-_1942", "url": "http://www.filmsite.org/magn.html"} +{"d:Title": "Magnificent Ambersons, The (1942)", "d:Description": "Cast and credits, plot summary, viewer rating, awards and nominations, trivia.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Ambersons,_The_-_1942", "url": "http://www.imdb.com/title/tt0035015/"} +{"d:Title": "TV Guide Online: Magnificent Ambersons, The", "d:Description": "Review and credits. Rated 5/5.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Ambersons,_The_-_1942", "url": "http://www.tvguide.com/movies/magnificent-ambersons/105259/"} +{"d:Title": "IMDb: The Magnificent Ambersons (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Ambersons,_The_-_2002", "url": "http://www.imdb.com/title/tt0252147/"} +{"d:Title": "IMDB: Magnificent Obsession (1954)", "d:Description": "The cast, crew, reviews, plot summary, comments, and discussion of the movie.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Obsession", "url": "http://www.imdb.com/title/tt0047203/"} +{"d:Title": "Cinema Laser DVD Review", "d:Description": "Review of the film and the DVD.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Seven,_The", "url": "http://www.thecinemalaser.com/dvd2/reviews/magnificent-seven-dvd.htm"} +{"d:Title": "All-Reviews.com: The Magnificent Seven", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Seven,_The", "url": "http://www.all-reviews.com/videos-4/magnificent-seven.htm"} +{"d:Title": "IMDb: Magnificent Seven, The (1960)", "d:Description": "Cast, production credits, and additional information.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Seven,_The", "url": "http://www.imdb.com/title/tt0054047/combined"} +{"d:Title": "TV Guide Online: Magnificent Seven", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/M/Magnificent_Seven,_The", "url": "http://www.tvguide.com/movies/magnificent-seven/105276/"} +{"d:Title": "Act Passively, Pass Actively", "d:Description": "Essay on the film by Jill Stauffer, from h2so4 magazine.", "topic": "Top/Arts/Movies/Titles/M/Magnolia", "url": "http://h2so4.net/politics/magnolia.html"} +{"d:Title": "Rotten Tomatoes: Magnolia", "d:Description": "Quotes from and links to reviews, photos, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/M/Magnolia", "url": "http://www.rottentomatoes.com/m/magnolia/"} +{"d:Title": "Box Office Mojo: Magnolia", "d:Description": "Box office data and links to the box office track records of P.T. Anderson and Tom Cruise.", "topic": "Top/Arts/Movies/Titles/M/Magnolia", "url": "http://www.boxofficemojo.com/movies/?id=magnolia.htm"} +{"d:Title": "IMDb: Magnolia", "d:Description": "Production credits, user comments, and links.", "topic": "Top/Arts/Movies/Titles/M/Magnolia", "url": "http://www.imdb.com/title/tt0175880/"} +{"d:Title": "IMDb: Full Cast and Crew for Magnolia", "d:Description": "Complete listing of the cast and crew with links to their filmographies.", "topic": "Top/Arts/Movies/Titles/M/Magnolia/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0175880/fullcredits"} +{"d:Title": "All-Reviews.com: Magnolia", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Magnolia/Reviews", "url": "http://www.all-reviews.com/videos/magnolia.htm"} +{"d:Title": "La Plume Noire: Magnolia", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Magnolia/Reviews", "url": "http://www.plume-noire.com/movies/reviews/magnolia.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose rates the movie: really good.", "topic": "Top/Arts/Movies/Titles/M/Magnolia/Reviews", "url": "http://www.haro-online.com/movies/magnolia.html"} +{"d:Title": "PopMatters: Magnolia", "d:Description": "Review of the film, plus interview with Paul Thomas Anderson.", "topic": "Top/Arts/Movies/Titles/M/Magnolia/Reviews", "url": "http://www.popmatters.com/film/reviews/m/magnolia1.html"} +{"d:Title": "Metacritic.com: Magnolia", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Magnolia/Reviews", "url": "http://www.metacritic.com/movie/magnolia"} +{"d:Title": "AllReaders.com: Magnolia", "d:Description": "Detailed analysis of the film and links to similar movies.", "topic": "Top/Arts/Movies/Titles/M/Magnolia/Reviews", "url": "http://allreaders.com/movie-review-summary/magnolia-3614"} +{"d:Title": "HARO Online - Maid in Manhattan", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Maid_in_Manhattan", "url": "http://www.haro-online.com/movies/maid_in_manhattan.html"} +{"d:Title": "All-Reviews.com - Maid in Manhattan", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/M/Maid_in_Manhattan", "url": "http://all-reviews.com/videos-5/maid-in-manhattan.htm"} +{"d:Title": "Rotten Tomatoes: Maid in Manhattan", "d:Description": "Reviews, synopsis, trailer, photographs, articles, and forum.", "topic": "Top/Arts/Movies/Titles/M/Maid_in_Manhattan", "url": "http://www.rottentomatoes.com/m/maid_in_manhattan/"} +{"d:Title": "Yahoo! Movies: Maid In Manhattan", "d:Description": "Synopsis, credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/M/Maid_in_Manhattan", "url": "http://movies.yahoo.com/movie/maid-in-manhattan/"} +{"d:Title": "IMDb - Maid in Manhattan (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Maid_in_Manhattan", "url": "http://www.imdb.com/title/tt0252076/"} +{"d:Title": "IMDb - Main Street to Broadway (1953)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Main_Street_to_Broadway", "url": "http://www.imdb.com/title/tt0046027/"} +{"d:Title": "TV Guide Online: Main Street to Broadway", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Main_Street_to_Broadway", "url": "http://www.tvguide.com/movies/main-street-broadway/105309/"} +{"d:Title": "All-Reviews.com: The Majestic", "d:Description": "Film details and reviews of the movie from site staff.", "topic": "Top/Arts/Movies/Titles/M/Majestic,_The", "url": "http://all-reviews.com/videos-4/majestic.htm"} +{"d:Title": "HARO Online: The Majestic", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Majestic,_The", "url": "http://www.haro-online.com/movies/majestic.html"} +{"d:Title": "Rotten Tomatoes: The Majestic", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Majestic,_The", "url": "http://www.rottentomatoes.com/m/majestic/"} +{"d:Title": "Amnesiac Recalls His Best Lines", "d:Description": "Sceptical review with ratings advice and a list of the movie's principle cast and crew. By Elvis Mitchell [New York Times].", "topic": "Top/Arts/Movies/Titles/M/Majestic,_The", "url": "http://www.nytimes.com/2001/12/21/movies/film-review-amnesiac-recalls-his-best-lines.html"} +{"d:Title": "IMDb.com - Majestic, The", "d:Description": "Cast/crew, user comment, links, ratings, and other related information.", "topic": "Top/Arts/Movies/Titles/M/Majestic,_The", "url": "http://www.imdb.com/title/tt0268995/"} +{"d:Title": "Metacritic.com: The Majestic", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Majestic,_The", "url": "http://www.metacritic.com/movie/the-majestic"} +{"d:Title": "IMDb - Majority of One, A (1961)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Majority_of_One,_A", "url": "http://www.imdb.com/title/tt0055124/"} +{"d:Title": "TV Guide Online: Majority of One, A", "d:Description": "Unsigned review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Majority_of_One,_A", "url": "http://www.tvguide.com/movies/majority/105325/"} +{"d:Title": "Major Dundee", "d:Description": "Information and pictures from the classic Charlton Heston movie.", "topic": "Top/Arts/Movies/Titles/M/Major_Dundee", "url": "http://charltonhestonworld.homestead.com/MajorDundee.html"} +{"d:Title": "IMDb - Major Payne", "d:Description": "Contains cast and crew details, quotes, trivia, goofs, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/M/Major_Payne", "url": "http://www.imdb.com/title/tt0110443/"} +{"d:Title": "TV Guide Online: The Maker", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/M/Maker,_The", "url": "http://www.tvguide.com/movies/maker/133528/"} +{"d:Title": "IMDb - Make a Wish (1937)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Make_a_Wish_-_1937", "url": "http://www.imdb.com/title/tt0029193/"} +{"d:Title": "Malcolm X (1972)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/M/Malcolm_X", "url": "http://www.imdb.com/title/tt0068903/"} +{"d:Title": "Malcolm X (1992)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/M/Malcolm_X", "url": "http://www.imdb.com/title/tt0104797/"} +{"d:Title": "TV Guide Online: Malcolm X", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/M/Malcolm_X", "url": "http://www.tvguide.com/movies/malcolm-x/129116/"} +{"d:Title": "PopMatters - Malena", "d:Description": "Review of the film by Todd Ramlow", "topic": "Top/Arts/Movies/Titles/M/Mal\u00e8na", "url": "http://popmatters.com/film/reviews/m/malena.html"} +{"d:Title": "HARO Online - Melana", "d:Description": "Mongoose reviews the film, rates it, 'Not Bad'.", "topic": "Top/Arts/Movies/Titles/M/Mal\u00e8na", "url": "http://www.haro-online.com/movies/malena.html"} +{"d:Title": "Rotten Tomatoes - Malena", "d:Description": "Links to reviews, aggregate rating, and synopsis.", "topic": "Top/Arts/Movies/Titles/M/Mal\u00e8na", "url": "http://www.rottentomatoes.com/m/1101561-1101561-malena/"} +{"d:Title": "Metacritic.com: Malena", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mal\u00e8na", "url": "http://www.metacritic.com/movie/malena"} +{"d:Title": "Greatest Films - The Maltese Falcon", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/Maltese_Falcon,_The", "url": "http://www.filmsite.org/malt.html"} +{"d:Title": "All-Reviews.com: The Maltese Falcon", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Maltese_Falcon,_The", "url": "http://all-reviews.com/videos-4/maltese-falcon.htm"} +{"d:Title": "Sam Spade", "d:Description": "History of the film and other appearances of Dashiell Hammet's private eye.", "topic": "Top/Arts/Movies/Titles/M/Maltese_Falcon,_The", "url": "http://www.thrillingdetective.com/spade_sam.html"} +{"d:Title": "IMDb - The Maltese Falcon", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Maltese_Falcon,_The", "url": "http://www.imdb.com/title/tt0033870/"} +{"d:Title": "AllReaders.com Spotlight - Maltese Falcon", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Maltese_Falcon,_The", "url": "http://allreaders.com/movie-review-summary/the-maltese-falcon-3567"} +{"d:Title": "IMDb: Mama's Boy (2008)", "d:Description": "Photo gallery, plot outline, cast list, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mama's_Boy", "url": "http://www.imdb.com/title/tt0415141/"} +{"d:Title": "Rotten Tomatoes: Mama's Boy", "d:Description": "Synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mama's_Boy", "url": "http://www.rottentomatoes.com/m/mamas_boy/"} +{"d:Title": "IGN Movies: Mama's Boy", "d:Description": "News, guide, photos, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mama's_Boy", "url": "http://www.ign.com/movies/mamas-boy/theater-771542"} +{"d:Title": "MovieWeb: Mama's Boy (2008)", "d:Description": "Summary, reviews, synopsis, and videos.", "topic": "Top/Arts/Movies/Titles/M/Mama's_Boy", "url": "http://movieweb.com/movie/mamas-boy/"} +{"d:Title": "IMDb: Mambo Caf\u00e9 (1999)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mambo_Cafe", "url": "http://www.imdb.com/title/tt0199744/"} +{"d:Title": "HARO Online: Mambo Italiano", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Mambo_Italiano", "url": "http://www.haro-online.com/movies/mambo_italiano.html"} +{"d:Title": "Internet Movie Database: Mambo italiano", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production and distribution information, quotations, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Mambo_Italiano", "url": "http://imdb.com/title/tt0330602/"} +{"d:Title": "Future Movies: Mambo Italiano", "d:Description": "Review by Lizzie Bruce.", "topic": "Top/Arts/Movies/Titles/M/Mambo_Italiano", "url": "https://www.futuremovies.co.uk/reviews/mambo-italiano/lizzie-bruce"} +{"d:Title": "Rotten Tomatoes: Mamma Mia!", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mamma_Mia", "url": "http://www.rottentomatoes.com/m/mamma_mia/"} +{"d:Title": "IGN Movies: Mamma Mia!", "d:Description": "Photos, videos, news, previews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mamma_Mia", "url": "http://www.ign.com/movies/mamma-mia/theater-884764"} +{"d:Title": "MovieWeb: Mamma Mia! (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Mamma_Mia", "url": "http://movieweb.com/movie/mamma-mia/"} +{"d:Title": "IMDb - Managua (1996)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/M/Managua", "url": "http://www.imdb.com/title/tt0116979/"} +{"d:Title": "TV Guide Online: Managua", "d:Description": "Review by Charles Cassady Jr. (negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Managua", "url": "http://www.tvguide.com/movies/managua/133087/"} +{"d:Title": "Greatest Films - The Manchurian Candidate", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/Manchurian_Candidate,_The", "url": "http://www.filmsite.org/manc.html"} +{"d:Title": "HARO Online: The Manchurian Candidate", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Manchurian_Candidate,_The", "url": "http://www.haro-online.com/movies/manchurian_candidate.html"} +{"d:Title": "Filmtracks: The Manchurian Candidate", "d:Description": "Soundtrack information and audio for both the classic and remake films.", "topic": "Top/Arts/Movies/Titles/M/Manchurian_Candidate,_The", "url": "http://www.filmtracks.com/titles/manchurian.html"} +{"d:Title": "IMDb - The Manchurian Candidate", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Manchurian_Candidate,_The", "url": "http://www.imdb.com/title/tt0056218/"} +{"d:Title": "TV Guide Online: Manchurian Candidate, The", "d:Description": "4 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Manchurian_Candidate,_The", "url": "http://www.tvguide.com/movies/manchurian-candidate/105573/"} +{"d:Title": "Wikipedia - Mandela: Long Walk to Freedom", "d:Description": "Crowd-sourced encyclopedia article about the 2013 biographical film about the life of Nelson Mandela.", "topic": "Top/Arts/Movies/Titles/M/Mandela_-_Long_Walk_to_Freedom", "url": "http://en.wikipedia.org/wiki/Mandela:_Long_Walk_to_Freedom"} +{"d:Title": "IMDb - Mandela: Long Walk to Freedom (2013)", "d:Description": "Provides cast and crew, storyline and awards of the biographical film about Nelson Mandela, from childhood to his inauguration as the first democratically elected president of South Africa.", "topic": "Top/Arts/Movies/Titles/M/Mandela_-_Long_Walk_to_Freedom", "url": "http://www.imdb.com/title/tt2304771/"} +{"d:Title": "Rotten Tomatoes - Mandela: Long Walk to Freedom", "d:Description": "Collected critic and audience reviews, movie information, trailers and photos about the biographical film on the life of South African leader Nelson Mandela.", "topic": "Top/Arts/Movies/Titles/M/Mandela_-_Long_Walk_to_Freedom", "url": "http://www.rottentomatoes.com/m/mandela_long_walk_to_freedom/"} +{"d:Title": "Metacritic - Mandela: Long Walk to Freedom", "d:Description": "Provides summary, trailer, critic and user reviews of the 2013 film about the life of Nelson Mandela.", "topic": "Top/Arts/Movies/Titles/M/Mandela_-_Long_Walk_to_Freedom", "url": "http://www.metacritic.com/movie/mandela-long-walk-to-freedom"} +{"d:Title": "Mandela: Long Walk To Freedom", "d:Description": "Official South African website for the Nelson Mandela Biographical film, based on his 1994 autobiography.", "topic": "Top/Arts/Movies/Titles/M/Mandela_-_Long_Walk_to_Freedom", "url": "http://www.mandelamovie.co.za/"} +{"d:Title": "IMDb: Manfast (2002)", "d:Description": "Features overview, cast, crew, soundtrack and user comments.", "topic": "Top/Arts/Movies/Titles/M/ManFast", "url": "http://www.imdb.com/title/tt0282718/"} +{"d:Title": "Box Office Mojo: Manfast (2003)", "d:Description": "Contains box office results, charts and release information.", "topic": "Top/Arts/Movies/Titles/M/ManFast", "url": "http://www.boxofficemojo.com/movies/?id=manfast.htm"} +{"d:Title": "All-Reviews.com: Manhattan", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Manhattan", "url": "http://all-reviews.com/videos-4/manhattan.htm"} +{"d:Title": "Coldbacon - Manhattan", "d:Description": "Pseudonymously signed review essay", "topic": "Top/Arts/Movies/Titles/M/Manhattan", "url": "http://www.coldbacon.com/movies/manhattan.html"} +{"d:Title": "Rotten Tomatoes: Manhattan", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Manhattan", "url": "http://www.rottentomatoes.com/m/manhattan/"} +{"d:Title": "IMDb: Manhattan (1979)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Manhattan", "url": "http://www.imdb.com/title/tt0079522/"} +{"d:Title": "Chicago Sun-Times: Manhattan", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/Manhattan", "url": "http://www.rogerebert.com/reviews/great-movie-manhattan-1979"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/M/Mannequin", "url": "http://www.fast-rewind.com/mannequin.htm"} +{"d:Title": "IMDb.com - Mannequin (1987)", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mannequin", "url": "http://www.imdb.com/title/tt0093493/combined"} +{"d:Title": "HARO Online - Mansfield Park", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Mansfield_Park", "url": "http://www.haro-online.com/movies/mansfield_park.html"} +{"d:Title": "All-Reviews.com- Mansfield Park", "d:Description": "Multiple reviewers give this film 3 1/2 stars, cast/crew information, release dates, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mansfield_Park", "url": "http://www.all-reviews.com/videos/mansfield-park.htm"} +{"d:Title": "Mansfield Park", "d:Description": "Fan site with lots of photo stills from the film.", "topic": "Top/Arts/Movies/Titles/M/Mansfield_Park", "url": "http://www.angelfire.com/mi3/greeneggsandham/jonny/mansfieldpark.html"} +{"d:Title": "IMDb - Mansfield Park (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mansfield_Park", "url": "http://www.imdb.com/title/tt0178737/"} +{"d:Title": "Metacritic.com: Mansfield Park", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mansfield_Park", "url": "http://www.metacritic.com/movie/mansfield-park"} +{"d:Title": "HARO Online - A Man Apart", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Man_Apart,_A", "url": "http://www.haro-online.com/movies/man_apart.html"} +{"d:Title": "A Man Apart", "d:Description": "Official site with synopsis, cast, image gallery, trailers and downloads [requires Flash].", "topic": "Top/Arts/Movies/Titles/M/Man_Apart,_A", "url": "http://www.amanapartmovie.com/"} +{"d:Title": "Rotten Tomatoes: A Man Apart", "d:Description": "Reviews, synopsis, trailer, photographs, and articles.", "topic": "Top/Arts/Movies/Titles/M/Man_Apart,_A", "url": "http://www.rottentomatoes.com/m/man_apart/"} +{"d:Title": "Man Apart, A (2003)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Man_Apart,_A", "url": "http://www.imdb.com/title/tt0266465/"} +{"d:Title": "'A Man for All Seasons': A Sturdy Conscience, a Steadfast Heart", "d:Description": "Review of the film, by Bosley Crowther, in the New York Times. Talky picture, but with solid acting by most of the cast. Free registration required.", "topic": "Top/Arts/Movies/Titles/M/Man_for_All_Seasons,_A", "url": "http://www.nytimes.com/1966/12/13/arts/man-seasons-oscars.html"} +{"d:Title": "Christian Spotlight: \"A Man For All Seasons\"", "d:Description": "Review of the 1966 movie calls it \"both inspiring and cinematically excellent.\"", "topic": "Top/Arts/Movies/Titles/M/Man_for_All_Seasons,_A", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/rvu-seasons.html"} +{"d:Title": "Films on Disc: Man For All Seasons", "d:Description": "Review of the Academy Award winner now on DVD. Rated A for the film itself, A- for technical transfer to DVD.", "topic": "Top/Arts/Movies/Titles/M/Man_for_All_Seasons,_A", "url": "http://www.filmsondisc.com/DVDpages/man_for_all_seasons.htm"} +{"d:Title": "DVD Movie Guide: A Man For All Seasons (1966)", "d:Description": "Review gives as much attention to the DVD quality as to the movie itself, and rates both. The movie is a long-winded bore, possibly because it does not diverge enough from the play on which it is based.", "topic": "Top/Arts/Movies/Titles/M/Man_for_All_Seasons,_A", "url": "http://www.dvdmg.com/manforallseasons.shtml"} +{"d:Title": "Decent Films: A Man for All Seasons (1966)", "d:Description": "Review of the movie, by Steven D. Greydanus. Highest rating by all four criteria he uses.", "topic": "Top/Arts/Movies/Titles/M/Man_for_All_Seasons,_A", "url": "http://decentfilms.com/reviews/manforallseasons"} +{"d:Title": "IMDb: Man for All Seasons, A (1966)", "d:Description": "Cast, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/M/Man_for_All_Seasons,_A", "url": "http://www.imdb.com/title/tt0060665/"} +{"d:Title": "Off with His Head", "d:Description": "MaryAnn Johanson (the Flick Filosopher) reviews \"A Man for All Seasons,\" winner of the 1966 Oscar for Best Picture. She finds it dreary.", "topic": "Top/Arts/Movies/Titles/M/Man_for_All_Seasons,_A", "url": "http://www.flickfilosopher.com/1999/02/a-man-for-all-seasons-review.html"} +{"d:Title": "DVD Savant Review - The Man from Laramie", "d:Description": "Glenn Erickson of a film \"as edgy and sadistic as mainstream movies were allowed to be in 1955.\"", "topic": "Top/Arts/Movies/Titles/M/Man_from_Laramie,_The", "url": "http://www.dvdtalk.com/dvdsavant/s104laramie.html"} +{"d:Title": "The Man from Laramie (1955)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Man_from_Laramie,_The", "url": "http://www.imdb.com/title/tt0048342/"} +{"d:Title": "The Man From Laramie", "d:Description": "DVD review by Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/M/Man_from_Laramie,_The", "url": "http://www.dvdmg.com/manfromlaramie.shtml"} +{"d:Title": "The Man From Laramie", "d:Description": "DVD review by Grant Tracey for Images Journal.", "topic": "Top/Arts/Movies/Titles/M/Man_from_Laramie,_The", "url": "http://www.imagesjournal.com/issue09/reviews/manlaramie/"} +{"d:Title": "AllMovie: The Man from Laramie", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/M/Man_from_Laramie,_The", "url": "http://www.allmovie.com/movie/the-man-from-laramie-v31143"} +{"d:Title": "Man in the Attic (1953)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/M/Man_in_the_Attic_-_1953", "url": "http://imdb.com/title/tt0047209/"} +{"d:Title": "All-Reviews.com- Man in the Iron Mask", "d:Description": "Multiple reviewers give this film 1 star out of 4. Includes cast/crew information, and release dates.", "topic": "Top/Arts/Movies/Titles/M/Man_in_the_Iron_Mask,_The_-_1998", "url": "http://www.all-reviews.com/videos/man-in-iron-mask.htm"} +{"d:Title": "The Man in the Iron Mask", "d:Description": "Official video website, with interviews, giveaways and screensavers.", "topic": "Top/Arts/Movies/Titles/M/Man_in_the_Iron_Mask,_The_-_1998", "url": "http://www.mgm.com/title_title.php?title_star=MANIRON"} +{"d:Title": "All-Reviews.com: A Man of No Importance", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Man_of_No_Importance,_A", "url": "http://www.all-reviews.com/videos-3/man-of-no-importance.htm"} +{"d:Title": "Rotten Tomatoes: A Man of No Importance", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Man_of_No_Importance,_A", "url": "http://www.rottentomatoes.com/m/man_of_no_importance/"} +{"d:Title": "IMDb: A Man of No Importance", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Man_of_No_Importance,_A", "url": "http://www.imdb.com/title/tt0110455/"} +{"d:Title": "HARO Online - Man of the Century", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_Century", "url": "http://www.haro-online.com/movies/man_of_the_century.html"} +{"d:Title": "IMDb - Man of the Century (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_Century", "url": "http://www.imdb.com/title/tt0138537/"} +{"d:Title": "Metacritic.com: Man of the Century", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_Century", "url": "http://www.metacritic.com/movie/man-of-the-century"} +{"d:Title": "DVD Savant - Man of the West", "d:Description": "Review by Glenn Erickson also urging the release of the film on DVD in letterbox format.", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_West", "url": "http://www.dvdtalk.com/dvdsavant/s38manwest.html"} +{"d:Title": "Man of the West", "d:Description": "Images Journal looks at the meanness in the lead character played by Gary Cooper.", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_West", "url": "http://www.imagesjournal.com/issue10/infocus/manofthewest.htm"} +{"d:Title": "Man of the West (1958)", "d:Description": "Cast, crew and review calling it \"a western King Lear\".", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_West", "url": "http://www.imdb.com/title/tt0051899/"} +{"d:Title": "Anthony Mann - Man of the West", "d:Description": "Review by The Guardian of film that \"brings to the fore all Mann's preoccupations and knits them perfectly together.\"", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_West", "url": "http://www.theguardian.com/culture/2000/mar/23/artsfeatures1"} +{"d:Title": "Turner Classic Movies: Man of the West", "d:Description": "Synopsis, full cast and crew, information about the original print, soundtrack and screenplay, quotations, and articles.", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_West", "url": "http://www.tcm.com/tcmdb/title/19289/Man-of-the-West/"} +{"d:Title": "Man of the West", "d:Description": "Cast, plot synopsis and review from the All Movie Guide.", "topic": "Top/Arts/Movies/Titles/M/Man_of_the_West", "url": "http://www.allmovie.com/movie/v31206"} +{"d:Title": "HARO Online: Man on Fire", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Fire", "url": "http://www.haro-online.com/movies/man_on_fire.html"} +{"d:Title": "All-Reviews.com: Man on Fire", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Fire", "url": "http://www.all-reviews.com/videos-5/man-on-fire.htm"} +{"d:Title": "TEN Movies: Man on Fire", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Fire", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1107"} +{"d:Title": "Mooviees: Man on Fire", "d:Description": "Images, trailer, reviews, cast list, synopsis and financial figures.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Fire", "url": "http://www.mooviees.com/7674-man-on-fire/movie"} +{"d:Title": "Rotten Tomatoes: Man on Fire", "d:Description": "Reviews, cast and crew list, image gallery and plot synopsis.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Fire", "url": "http://www.rottentomatoes.com/m/man_on_fire/"} +{"d:Title": "Yahoo! Movies: Man on Fire", "d:Description": "Images, preview, message board and list of the cast and crew.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Fire", "url": "http://movies.yahoo.com/movie/man-on-fire-2004/"} +{"d:Title": "Chicago Sun-Times: Man on Fire", "d:Description": "Roger Ebert's review of the film.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Fire", "url": "http://www.rogerebert.com/reviews/man-on-fire-2004"} +{"d:Title": "Unofficial Man on the Moon Site", "d:Description": "Pictures, plot, script, and lyrics.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.angelfire.com/ak3/manonthemoon/"} +{"d:Title": "PopMatters - Man on the Moon", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.popmatters.com/film/reviews/m/man-on-the-moon.html"} +{"d:Title": "All-Reviews.com - Man on the Moon", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.all-reviews.com/videos/man-on-the-moon.htm"} +{"d:Title": "HARO Online - Man on the Moon", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.haro-online.com/movies/man_on_the_moon.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of Man on the Moon.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.rottentomatoes.com/m/man_on_the_moon/"} +{"d:Title": "Box Office Mojo - Man on the Moon", "d:Description": "Box office data for the film. Includes Jim Carrey's box office track record.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.boxofficemojo.com/movies/?id=manonthemoon.htm"} +{"d:Title": "Exploring the Outer Limits of an Odd Comedic Universe", "d:Description": "Largely enthusiastic critique, with ratings advice and a list of the movie's principal cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.nytimes.com/1999/12/22/movies/film-review-exploring-the-outer-limits-of-an-odd-comedic-universe.html"} +{"d:Title": "IMDb: Man on the Moon", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.imdb.com/title/tt0125664/"} +{"d:Title": "Metacritic.com: Man on the Moon", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://www.metacritic.com/movie/man-on-the-moon"} +{"d:Title": "Allreaders Spotlight - Man on the Moon", "d:Description": "Very detailed analysis and discussion of the movie. Sign up to become a scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Moon", "url": "http://allreaders.com/movie-review-summary/man-on-the-moon-3618"} +{"d:Title": "Popentertainment.com: Man on the Train", "d:Description": "Critic Jay S. Jacobs gives a positive review to the movie.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Train", "url": "http://www.popentertainment.com/manontrain.htm"} +{"d:Title": "IMDb: L'Homme du train (Man on the Train) (2002)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers, posters, photos, showtimes, link to official site, fan sites.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Train", "url": "http://imdb.com/title/tt0301414/"} +{"d:Title": "Chicago Sun-Times: Man on the Train", "d:Description": "Roger Ebert gives the French film four stars.", "topic": "Top/Arts/Movies/Titles/M/Man_on_the_Train", "url": "http://www.rogerebert.com/reviews/man-on-the-train-2003"} +{"d:Title": "Rotten Tomatoes: Man On Wire", "d:Description": "Synopsis, showtimes, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Man_on_Wire", "url": "http://www.rottentomatoes.com/m/man_on_wire/"} +{"d:Title": "TV Guide: Man on Wire", "d:Description": "Review, by Ken Fox: \"The film runs 95 minutes, and you'll be holding your breath for most of them.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Man_on_Wire", "url": "http://www.tvguide.com/movies/man-wire/review/294666/"} +{"d:Title": "Slate: Man on Wire", "d:Description": "Review, by Dana Stevens: \"Man on Wire brings back a time when the towers were still symbols of aspiration and possibility.\"", "topic": "Top/Arts/Movies/Titles/M/Man_on_Wire", "url": "http://www.slate.com/articles/arts/movies/2008/08/walking_on_air.html"} +{"d:Title": "IGN: Man on Wire", "d:Description": "Photos, message board, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Man_on_Wire", "url": "http://www.ign.com/movies/man-on-wire/theater-14260851"} +{"d:Title": "ReelViews: Man on Wire", "d:Description": "Review, by James Berardinelli: \"A fascinating time capsule: a combination of talking-head interviews, actual footage, and re-creations that evokes a kinder, gentler world and provides insight into one of the most audacious stunts of the 20th century.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Man_on_Wire", "url": "http://www.reelviews.net/reelviews/man-on-wire"} +{"d:Title": "HARO Online - Man Who Cried", "d:Description": "Mongoose reviews the movie. Rates it: \"not that good\"", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Cried,_The", "url": "http://www.haro-online.com/movies/man_who_cried.html"} +{"d:Title": "All-Reviews.com - The Man Who Cried", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Cried,_The", "url": "http://www.all-reviews.com/videos-3/man-who-cried.htm"} +{"d:Title": "Rotten Tomatoes - The Man Who Cried", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Cried,_The", "url": "http://www.rottentomatoes.com/m/man_who_cried/"} +{"d:Title": "IMDb.com - Man Who Cried, The", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Cried,_The", "url": "http://www.imdb.com/title/tt0206917/"} +{"d:Title": "Metacritic.com: Man Who Cried, The", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Cried,_The", "url": "http://www.metacritic.com/movie/the-man-who-cried"} +{"d:Title": "FireBlade - The Man Who Fell to Earth", "d:Description": "Review of The Man Who Fell to Earth.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Fell_to_Earth,_The", "url": "http://www.hoboes.com/html/FireBlade/Movies/ManWho.shtml"} +{"d:Title": "IMDb - The Man Who Fell to Earth (1976)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Fell_to_Earth,_The", "url": "http://www.imdb.com/title/tt0074851/"} +{"d:Title": "The Man Who Knew Too Much", "d:Description": "Starring Leslie Banks, Edna Best and Peter Lorre. The film was created in 1934 - and provides a fascinating insight into the world of intrigue in pre-war Europe.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Knew_Too_Much,_The_-_1934", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=133&format=movie&theme=guide"} +{"d:Title": "IMDb: Man Who Knew Too Much, The (1934)", "d:Description": "Synopsis, cast and credits, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Knew_Too_Much,_The_-_1934", "url": "http://www.imdb.com/title/tt0025452/"} +{"d:Title": "TV Guide Online: Man Who Knew Too Much, The", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Knew_Too_Much,_The_-_1934", "url": "http://www.tvguide.com/movies/man-knew/126513/"} +{"d:Title": "The Man Who Knew Too Much (1956)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Knew_Too_Much,_The_-_1956", "url": "http://www.imdb.com/title/tt0049470/"} +{"d:Title": "TV Guide Online: Man Who Knew Too Much, The", "d:Description": "Includes a review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Knew_Too_Much,_The_-_1956", "url": "http://www.tvguide.com/movies/man-knew/126512/"} +{"d:Title": "IMDb - \u00c1ngel pas\u00f3 por Brooklyn, Un (1957)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wagged_His_Tail,_The", "url": "http://www.imdb.com/title/tt0051237/combined"} +{"d:Title": "TV Guide Online: Man Who Wagged His Tail, The", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wagged_His_Tail,_The", "url": "http://www.tvguide.com/movies/man-wagged-tail/126534/"} +{"d:Title": "HARO Online: The Man Who Wasn't There", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wasn't_There,_The", "url": "http://www.haro-online.com/movies/man_who_wasnt_there.html"} +{"d:Title": "All-Reviews.com: The Man Who Wasn't There", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wasn't_There,_The", "url": "http://all-reviews.com/videos-4/man-who-wasnt-there.htm"} +{"d:Title": "About.com: The Man Who Wasn't There", "d:Description": "Ivana Redwine reviews the DVD.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wasn't_There,_The", "url": "http://homevideo.about.com/library/weekly/aafpr050102.htm"} +{"d:Title": "Coldbacon Reviews: The Man Who Wasn't There", "d:Description": "Review by the Jiminy Critic.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wasn't_There,_The", "url": "http://www.coldbacon.com/movies/themanwhowasntthere.html"} +{"d:Title": "Rotten Tomatoes: The Man Who Wasn't There", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wasn't_There,_The", "url": "http://www.rottentomatoes.com/m/man_who_wasnt_there/"} +{"d:Title": "IMDb.com - Man Who Wasn't There, The", "d:Description": "Cast and crew, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wasn't_There,_The", "url": "http://www.imdb.com/title/tt0243133/fullcredits"} +{"d:Title": "Metacritic.com: The Man Who Wasn't There", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Wasn't_There,_The", "url": "http://www.metacritic.com/movie/the-man-who-wasnt-there"} +{"d:Title": "Reflections on The Man Who Would Be King", "d:Description": "Discussion of characters and themes in the film.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Would_Be_King,_The_-_1975", "url": "http://www.stuartfernie.org/king.htm"} +{"d:Title": "The Man Who Would Be King (1975)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Would_Be_King,_The_-_1975", "url": "http://www.imdb.com/title/tt0073341/"} +{"d:Title": "TV Guide Online: Man Who Would Be King, The", "d:Description": "4 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Man_Who_Would_Be_King,_The_-_1975", "url": "http://www.tvguide.com/movies/man-king/105529/"} +{"d:Title": "HARO Online", "d:Description": "Haro rates Twice Upon a Yesterday: Not bad.", "topic": "Top/Arts/Movies/Titles/M/Man_with_Rain_in_His_Shoes,_The", "url": "http://haro-online.com/movies/twice_upon.html"} +{"d:Title": "HARO Online - A Map of the World", "d:Description": "Mongoose rates the movie: not bad.", "topic": "Top/Arts/Movies/Titles/M/Map_of_the_World,_A", "url": "http://www.haro-online.com/movies/map_of_the_world.html"} +{"d:Title": "Rotten Tomatoes - A Map of the World", "d:Description": "Reviews, news, articles, previews, and trailers of the film", "topic": "Top/Arts/Movies/Titles/M/Map_of_the_World,_A", "url": "http://www.rottentomatoes.com/m/map_of_the_world/"} +{"d:Title": "IMDb - A Map of the World", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Map_of_the_World,_A", "url": "http://www.imdb.com/title/tt0160513/"} +{"d:Title": "Metacritic.com: Map of the World, A", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Map_of_the_World,_A", "url": "http://www.metacritic.com/movie/a-map-of-the-world"} +{"d:Title": "HARO Online: Marci X", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Marci_X", "url": "http://www.haro-online.com/movies/marci_x.html"} +{"d:Title": "Internet Movie Database: Marci X", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, production and distribution information, quotations, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Marci_X", "url": "http://imdb.com/title/tt0266747/"} +{"d:Title": "All-Reviews.com - Marci X", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Marci_X", "url": "http://all-reviews.com/videos-5/marci-x.htm"} +{"d:Title": "Rotten Tomatoes: Margaret's Museum", "d:Description": "Links to reviews, plot synopsis, and cast profiles.", "topic": "Top/Arts/Movies/Titles/M/Margaret's_Museum", "url": "http://www.rottentomatoes.com/m/margarets_museum/"} +{"d:Title": "IMDb.com: Margaret's Museum", "d:Description": "Provides a plot summary, user comments, reviews, and cast profiles.", "topic": "Top/Arts/Movies/Titles/M/Margaret's_Museum", "url": "http://www.imdb.com/title/tt0113774/"} +{"d:Title": "Chicago Sun-Times: Margaret's Museum", "d:Description": "Review and plot summary by film critic Roger Ebert.", "topic": "Top/Arts/Movies/Titles/M/Margaret's_Museum", "url": "http://www.rogerebert.com/reviews/margarets-museum-1997"} +{"d:Title": "IMDb - Margarita Happy Hour (2001)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Margarita_Happy_Hour", "url": "http://www.imdb.com/title/tt0236474/"} +{"d:Title": "Cinebooks Database - Having Babies", "d:Description": "Review by Maitland McDonagh (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Margarita_Happy_Hour", "url": "http://www.tvguide.com/movies/margarita-happy-hour/135843/"} +{"d:Title": "Animal Images in Marnie", "d:Description": "Discusses Hitchcock's use of animals in the film.", "topic": "Top/Arts/Movies/Titles/M/Marnie", "url": "http://www.carleton.edu/curricular/MEDA/classes/media110/Burton/animal.htm"} +{"d:Title": "Marnie (1964)", "d:Description": "Includes an overview, credits, awards, reviews, quotes and trivia from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Marnie", "url": "http://www.imdb.com/title/tt0058329/"} +{"d:Title": "TV Guide Online: Marnie", "d:Description": "4 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Marnie", "url": "http://www.tvguide.com/movies/marnie/105700/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, filming locations, pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/M/Married_to_the_Mob", "url": "http://www.fast-rewind.com/marriedtothemob.htm"} +{"d:Title": "Rotten Tomatoes: Married to the Mob", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Married_to_the_Mob", "url": "http://www.rottentomatoes.com/m/married_to_the_mob/"} +{"d:Title": "IMDb: Married to the Mob (1988)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Married_to_the_Mob", "url": "http://www.imdb.com/title/tt0095593/"} +{"d:Title": "All-Reviews.com - Mars Attacks!", "d:Description": "Multiple reviewers rate this movie 3/4 stars.", "topic": "Top/Arts/Movies/Titles/M/Mars_Attacks", "url": "http://www.all-reviews.com/videos-2/mars-attacks.htm"} +{"d:Title": "IMDb entry", "d:Description": "credits for Mars Attacks! (1996)", "topic": "Top/Arts/Movies/Titles/M/Mars_Attacks", "url": "http://www.imdb.com/title/tt0116996/"} +{"d:Title": "TV Guide Online: Mars Attacks", "d:Description": "3 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mars_Attacks", "url": "http://www.tvguide.com/movies/mars-attacks/131958/"} +{"d:Title": "IMDb: Martha, Meet Frank, Daniel and Laurence (1998)", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/M/Martha,_Meet_Frank,_Daniel_and_Laurence", "url": "http://imdb.com/title/tt0120747/"} +{"d:Title": "Metacritic.com: The Very Thought of You", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Martha,_Meet_Frank,_Daniel_and_Laurence", "url": "http://www.metacritic.com/movie/the-very-thought-of-you"} +{"d:Title": "IMDb: Martian Child (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Martian_Child", "url": "http://www.imdb.com/title/tt0415965/"} +{"d:Title": "Rotten Tomatoes: The Martian Child", "d:Description": "Synopsis, credits, photos, trailers, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Martian_Child", "url": "http://www.rottentomatoes.com/m/martian_child/"} +{"d:Title": "MovieWeb: Martian Child (2007)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/M/Martian_Child", "url": "http://movieweb.com/movie/martian-child/"} +{"d:Title": "IGN Movies: Martian Child", "d:Description": "Photos, news, and a video.", "topic": "Top/Arts/Movies/Titles/M/Martian_Child", "url": "http://www.ign.com/movies/martian-child/theater-491946"} +{"d:Title": "Martin Luther (1953)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, awards, and related material from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Martin_Luther_-_1953", "url": "http://www.imdb.com/title/tt0046051/"} +{"d:Title": "Martin Luther", "d:Description": "Reviews and ratings, film information, cast and crew, forum, and video and DVD purchase details from Rotten Tomatoes.", "topic": "Top/Arts/Movies/Titles/M/Martin_Luther_-_1953", "url": "http://www.rottentomatoes.com/m/1013420-martin_luther/"} +{"d:Title": "Greatest Films: Marty", "d:Description": "Detailed summary of the movie with quotes.", "topic": "Top/Arts/Movies/Titles/M/Marty", "url": "http://www.filmsite.org/mart.html"} +{"d:Title": "IMDb: Marty", "d:Description": "Plot summary, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/M/Marty", "url": "http://www.imdb.com/title/tt0048356/"} +{"d:Title": "The Flick Filosopher: Marty", "d:Description": "MaryAnn Johanson's review: \"A compelling and thoughtful film, its strong performances by Borgnine and Blair alone would make it a must see.\"", "topic": "Top/Arts/Movies/Titles/M/Marty", "url": "http://www.flickfilosopher.com/1999/02/marty-review.html"} +{"d:Title": "IMDb: Marvin's Room (1996)", "d:Description": "Offers a plot summary, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/M/Marvin's_Room", "url": "http://www.imdb.com/title/tt0116999/combined"} +{"d:Title": "TV Guide Online: Marvin's Room", "d:Description": "3 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Marvin's_Room", "url": "http://www.tvguide.com/movies/marvins-room/131906/"} +{"d:Title": "IMDb - Mary, Mother of Jesus (1999)", "d:Description": "Cast/credits plus additional information about the made-for-TV film", "topic": "Top/Arts/Movies/Titles/M/Mary,_Mother_of_Jesus", "url": "http://www.imdb.com/title/tt0214930/"} +{"d:Title": "Hidden Mickeys in Mary Poppins (1964)", "d:Description": "Images, and silhouettes, of Mickey hidden throughout the film.", "topic": "Top/Arts/Movies/Titles/M/Mary_Poppins", "url": "http://www.hiddenmickeys.org/Movies/MaryPoppins.html"} +{"d:Title": "WWWF Ground Zero: Mary Poppins vs. Maria von Trapp", "d:Description": "Julie Andrews vs. Julie Andrews in the battle of the Nannies. [humor]", "topic": "Top/Arts/Movies/Titles/M/Mary_Poppins", "url": "http://www.grudge-match.com/History/nannies.shtml"} +{"d:Title": "Reel Classics: Mary Poppins (1964)", "d:Description": "Summary, audio and video clips, quotes and pictures.", "topic": "Top/Arts/Movies/Titles/M/Mary_Poppins", "url": "http://www.reelclassics.com/Musicals/MaryPoppins/marypoppins.htm"} +{"d:Title": "IMDb - Mary Poppins (1964)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mary_Poppins", "url": "http://www.imdb.com/title/tt0058331/"} +{"d:Title": "Allreaders Spotlight - Mary Poppins", "d:Description": "Detailed analysis of the film, and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/M/Mary_Poppins", "url": "http://allreaders.com/movie-review-summary/mary-poppins-4225"} +{"d:Title": "TV Guide Online: Mary Poppins", "d:Description": "Includes a detailed review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/M/Mary_Poppins", "url": "http://www.tvguide.com/movies/mary-poppins/105760/"} +{"d:Title": "All-Reviews.com: Mary Reilly", "d:Description": "Offers a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mary_Reilly", "url": "http://www.all-reviews.com/videos-2/mary-reilly.htm"} +{"d:Title": "All-Reviews.com - Mascara", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mascara", "url": "http://www.all-reviews.com/videos-3/mascara-1999.htm"} +{"d:Title": "IMDb.com - Mascara", "d:Description": "Cast/crew information, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mascara", "url": "http://www.imdb.com/title/tt0188052/"} +{"d:Title": "The M*A*S*H Movie Page", "d:Description": "Sounds, images, production information, and script.", "topic": "Top/Arts/Movies/Titles/M/MASH", "url": "http://sarcasmalley.com/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/MASH", "url": "http://crazy4cinema.com/Review/FilmsM/f_mash.html"} +{"d:Title": "About.com: MASH", "d:Description": "Ivana Redwine's review and DVD information.", "topic": "Top/Arts/Movies/Titles/M/MASH", "url": "http://homevideo.about.com/library/weekly/aafpr011602.htm?terms=mash"} +{"d:Title": "MASH (1970)", "d:Description": "Cast, crew and additional information about the film.", "topic": "Top/Arts/Movies/Titles/M/MASH", "url": "http://www.imdb.com/title/tt0066026/"} +{"d:Title": "Allreaders Spotlight - MASH", "d:Description": "Very detailed analysis and discussion of the movie. Sign up to become a MASH scholar on our site.", "topic": "Top/Arts/Movies/Titles/M/MASH", "url": "http://allreaders.com/movie-review-summary/mash-3564"} +{"d:Title": "Masked and Anonymous", "d:Description": "Official site from Sony Pictures Classics. Includes movie information, stills and trailer.", "topic": "Top/Arts/Movies/Titles/M/Masked_&_Anonymous", "url": "http://www.sonyclassics.com/masked/"} +{"d:Title": "IMDb: Masked&Anonymous", "d:Description": "Filmography at the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Masked_&_Anonymous", "url": "http://imdb.com/title/tt0319829/"} +{"d:Title": "Rotten Tomatoes: Masked and Anonymous", "d:Description": "Links to reviews, with some general movie information.", "topic": "Top/Arts/Movies/Titles/M/Masked_&_Anonymous", "url": "http://www.rottentomatoes.com/m/masked_and_anonymous/"} +{"d:Title": "Imdb: Mask - 1985", "d:Description": "Provides information about the plot, cast, and crew.", "topic": "Top/Arts/Movies/Titles/M/Mask_-_1985", "url": "http://www.imdb.com/title/tt0089560/"} +{"d:Title": "Mask (1985)", "d:Description": "Features cast and crew, comments, and screen caps from the movie.", "topic": "Top/Arts/Movies/Titles/M/Mask_-_1985", "url": "http://www.negativschnitt.de/eric_stoltz/html/mask__1985_.html"} +{"d:Title": "Rottentomatoes: Mask - 1985", "d:Description": "Provides movie and DVD reviews from critics and audiences. Includes information of cast and crew, synopsis, and rating.", "topic": "Top/Arts/Movies/Titles/M/Mask_-_1985", "url": "http://www.rottentomatoes.com/m/1013472-mask/"} +{"d:Title": "All-Reviews.com - Mask of Zorro", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/M/Mask_of_Zorro,_The", "url": "http://www.all-reviews.com/videos/mask-of-zorro.htm"} +{"d:Title": "Filmtracks: The Mask of Zorro", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mask_of_Zorro,_The", "url": "http://www.filmtracks.com/titles/mask_zorro.html"} +{"d:Title": "IMDb - The Mask of Zorro (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mask_of_Zorro,_The", "url": "http://www.imdb.com/title/tt0120746/"} +{"d:Title": "Roger Ebert - The Mask of Zorro", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/M/Mask_of_Zorro,_The", "url": "http://www.rogerebert.com/reviews/the-mask-of-zorro-1998"} +{"d:Title": "AllReaders.com Spotlight - Mask of Zorro", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Mask_of_Zorro,_The", "url": "http://allreaders.com/movie-review-summary/the-mask-of-zorro-4405"} +{"d:Title": "IMDb: Masterminds (1997)", "d:Description": "Plot outline, cast and crew listings, and comments.", "topic": "Top/Arts/Movies/Titles/M/Masterminds", "url": "http://www.imdb.com/title/tt0119630/"} +{"d:Title": "Internet Movie Database - Master and Commander: The Far Side of the World", "d:Description": "Synopsis, cast and crew list, related news, trailer, photographs, and links.", "topic": "Top/Arts/Movies/Titles/M/Master_and_Commander_-_The_Far_Side_of_the_World", "url": "http://imdb.com/title/tt0311113/"} +{"d:Title": "Filmtracks: Master and Commander", "d:Description": "Soundtrack review, track list, ratings, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Master_and_Commander_-_The_Far_Side_of_the_World", "url": "http://www.filmtracks.com/titles/master_commander.html"} +{"d:Title": "All-Reviews.com - Master and Commander: The Far Side of the World", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Master_and_Commander_-_The_Far_Side_of_the_World", "url": "http://www.all-reviews.com/videos-5/master-and-commander.htm"} +{"d:Title": "HARO Online: Master and Commander - The Far Side of the World", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Master_and_Commander_-_The_Far_Side_of_the_World", "url": "http://www.haro-online.com/movies/master_and_commander.html"} +{"d:Title": "Ten Movies: Master and Commander", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/M/Master_and_Commander_-_The_Far_Side_of_the_World", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1022"} +{"d:Title": "Rotten Tomatoes: Master and Commander", "d:Description": "Links to reviews, photographs, video clips, a plot description, and a public forum.", "topic": "Top/Arts/Movies/Titles/M/Master_and_Commander_-_The_Far_Side_of_the_World", "url": "http://www.rottentomatoes.com/m/master_and_commander_the_far_side_of_the_world/"} +{"d:Title": "Scotland the Movie Location Guide - The Master of Ballantrae", "d:Description": "Filming locations of The Master of Ballantrae", "topic": "Top/Arts/Movies/Titles/M/Master_of_Ballantrae,_The", "url": "http://www.scotlandthemovie.com/movies/fmaster.html"} +{"d:Title": "Master of Ballantrae, The (1953)", "d:Description": "Cast and crew, user comments, external reviews, summary, and recommendations from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Movies/Titles/M/Master_of_Ballantrae,_The", "url": "http://www.imdb.com/title/tt0046054/"} +{"d:Title": "HARO Online: The Master of Disguise", "d:Description": "Review and photos.", "topic": "Top/Arts/Movies/Titles/M/Master_of_Disguise,_The", "url": "http://www.haro-online.com/movies/master_of_disguise.html"} +{"d:Title": "Rotten Tomatoes: The Master of Disguise", "d:Description": "Contains reviews, synopsis, trailer, image gallery, news and articles.", "topic": "Top/Arts/Movies/Titles/M/Master_of_Disguise,_The", "url": "http://www.rottentomatoes.com/m/master_of_disguise/"} +{"d:Title": "Yahoo! Movies: The Master of Disguise", "d:Description": "Includes synopsis, credits, reviews, trailers and production images.", "topic": "Top/Arts/Movies/Titles/M/Master_of_Disguise,_The", "url": "http://movies.yahoo.com/movie/the-master-of-disguise/"} +{"d:Title": "Internet Movie Database: The Master of Disguise", "d:Description": "Contains reviews, plot summary, trailer and image gallery.", "topic": "Top/Arts/Movies/Titles/M/Master_of_Disguise,_The", "url": "http://www.imdb.com/title/tt0295427/"} +{"d:Title": "Scotland the Movie Location Guide - The Match", "d:Description": "Scottish filming locations of The Match", "topic": "Top/Arts/Movies/Titles/M/Match,_The", "url": "http://www.scotlandthemovie.com/movies/fmatch.html"} +{"d:Title": "IMDb: The Match", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Match,_The", "url": "http://www.imdb.com/title/tt0165384/"} +{"d:Title": "TV Guide Online: Matchmaker, The", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/M/Matchmaker,_The_-_1997", "url": "http://www.tvguide.com/movies/matchmaker/132376/"} +{"d:Title": "HARO Online: Matchstick Men", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/M/Matchstick_Men", "url": "http://www.haro-online.com/movies/matchstick_men.html"} +{"d:Title": "All-Reviews.com - Matchstick Men", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Matchstick_Men", "url": "http://all-reviews.com/videos-5/matchstick-men.htm"} +{"d:Title": "TEN Movies: Matchstick Men", "d:Description": "Review by Snider Rodrigues.", "topic": "Top/Arts/Movies/Titles/M/Matchstick_Men", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1056"} +{"d:Title": "IMDb: Matchstick Men (2003)", "d:Description": "Cast, crew, plot summary, viewer comments, message board, and related information on the movie.", "topic": "Top/Arts/Movies/Titles/M/Matchstick_Men", "url": "http://www.imdb.com/title/tt0325805/"} +{"d:Title": "Learning Guide to Matewan", "d:Description": "A teacher's guide to using the film Matewan to teach about diversity from Teach With Movies.", "topic": "Top/Arts/Movies/Titles/M/Matewan", "url": "http://teachwithmovies.org/guides/matewan.html"} +{"d:Title": "Matewan", "d:Description": "Discussion of the economics involved in the story of the Matewan Massacre and the film by Sayles.", "topic": "Top/Arts/Movies/Titles/M/Matewan", "url": "http://www.mtholyoke.edu/courses/sgabriel/filmcourse_files/matewanb.htm"} +{"d:Title": "Matewan", "d:Description": "Capsule review by Jonathan Rosenbaum at the Chicago Reader.", "topic": "Top/Arts/Movies/Titles/M/Matewan", "url": "http://onfilm.chicagoreader.com/movies/capsules/06022_MATEWAN.html"} +{"d:Title": "NYTimes.com: Matewan", "d:Description": "Review by Vincent Canby.", "topic": "Top/Arts/Movies/Titles/M/Matewan", "url": "http://www.nytimes.com/1987/08/28/movies/film-john-sayles-s-matewan.html"} +{"d:Title": "Matewan (1987)", "d:Description": "Information on the film at IMDb.", "topic": "Top/Arts/Movies/Titles/M/Matewan", "url": "http://www.imdb.com/title/tt0093509/"} +{"d:Title": "All-Reviews.com: Matilda", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Matilda_-_1996", "url": "http://www.all-reviews.com/videos-3/matilda.htm"} +{"d:Title": "Rotten Tomatoes: Matilda", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Matilda_-_1996", "url": "http://www.rottentomatoes.com/m/1072107-matilda/"} +{"d:Title": "IMDb: Matilda (1996)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Matilda_-_1996", "url": "http://www.imdb.com/title/tt0117008/"} +{"d:Title": "Official Site", "d:Description": "Carmen Electra, MacKenzie Astin and David Hyde Pierce star in a sex-comedy about mating habits of humans told from the perspective of a nature film by aliens.", "topic": "Top/Arts/Movies/Titles/M/Mating_Habits_of_the_Earthbound_Human,_The", "url": "http://www.mating-habits.com/"} +{"d:Title": "HARO Online - The Mating Habits of the Earthbound Human", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/M/Mating_Habits_of_the_Earthbound_Human,_The", "url": "http://www.haro-online.com/movies/mating_habits.html"} +{"d:Title": "Metacritic.com: Making Habits of Earthbound Human", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mating_Habits_of_the_Earthbound_Human,_The", "url": "http://www.metacritic.com/movie/the-mating-habits-of-the-earthbound-human"} +{"d:Title": "IMDb - The Mating Habits of the Earthbound Human", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mating_Habits_of_the_Earthbound_Human,_The", "url": "http://www.imdb.com/title/tt0165874/"} +{"d:Title": "Cracked.com: The Matrix", "d:Description": "Humor-filled discussions of various aspects of the trilogy.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series", "url": "http://www.cracked.com/funny-131-the-matrix/"} +{"d:Title": "SparkNotes: The Matrix Trilogy", "d:Description": "Analysis of the major characters in the series, focusing on their personalities, motivations, relationships, and their roles in the trilogy's themes.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series", "url": "http://www.sparknotes.com/film/matrix/canalysis.html"} +{"d:Title": "Wikipedia: The Matrix Franchise", "d:Description": "Information about the films, the animated series, and games, details about the critical reception of the films, influences, a list of related books, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series", "url": "http://en.wikipedia.org/wiki/The_Matrix_(franchise)"} +{"d:Title": "Matrix Wiki", "d:Description": "User-editable guide to the series. Character profiles, descriptions of battles, machinery and weapons, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series", "url": "http://matrix.wikia.com/wiki/Main_Page"} +{"d:Title": "YouTube: If the Matrix Were Shot in the Silent Era", "d:Description": "A parody video by Russian troupe Big Difference, incorporating elements from all three films. Includes English captions.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series", "url": "http://www.youtube.com/watch?v=KauZw3jCFnA"} +{"d:Title": "AllMovie: The Matrix Series", "d:Description": "Film synopses, reviews, cast and credits, related and similar works, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series", "url": "http://www.allmovie.com/movie/the-matrix-film-series-v282918"} +{"d:Title": "Christian Symbolism in The Matrix", "d:Description": "Analysis of the films from a Christian perspective.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://webpages.charter.net/mark_turner/matrix/matrix_symbolism.htm"} +{"d:Title": "A 'Matrix' with women in mind", "d:Description": "Scott Bowles discusses the empowerment of women evident in Reloaded and Enter the Matrix [USA Today].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://www.usatoday.com/life/movies/news/2003-05-22-matrix-women_x.htm"} +{"d:Title": "Matrix Essays", "d:Description": "Essays and articles discussing the philosophies and ideals set forth in the films for the real world.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://matrixessays.blogspot.com/"} +{"d:Title": "Box Office Mojo: The Matrix Movies", "d:Description": "Provides summary charts and data for the films' box office performance.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://www.boxofficemojo.com/franchises/chart/?id=matrix.htm"} +{"d:Title": "The Matrix is Coming to HD DVD", "d:Description": "Feature about the release of the series in high-definition for home video.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://www.comingsoon.net/news/movienews.php?id=19508"} +{"d:Title": "'Ultimate Matrix' Blu-ray Coming in October", "d:Description": "Information about the series' release on Blu-ray disc. [High-Def Digest]", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://www.highdefdigest.com/news/show/Disc_Announcements/Warner/Ultimate_Matrix_Blu-ray_Coming_in_October/1944"} +{"d:Title": "Is \"The Matrix\" Gnostic or Christian? Part Two: The Sequels", "d:Description": "Steven D. Greydanus discusses the philosophical influences apparent in the last two films.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://decentfilms.com/articles/matrixsequels"} +{"d:Title": "The Matrix Mythology", "d:Description": "Brian D'Emilio explores philosophical themes as represented by the central characters of the series.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://www.matrixmythology.com/"} +{"d:Title": "The Village Voice: Reloaded Questions", "d:Description": "Jane Dark discusses philosophical and societal issues raised in the first film while speculating on the second.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://www.villagevoice.com/news/reloaded-questions-6397207"} +{"d:Title": "Orange County Weekly: Should We Take the Red Pill?", "d:Description": "Greg Stacy discusses the first two films in the trilogy.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Articles_and_Interviews", "url": "http://www.ocweekly.com/film/should-we-take-the-red-pill-6382936"} +{"d:Title": "The Rabbit Hole", "d:Description": "Message board with forums for the movies, the anime, and the games. Also includes general-interest forums and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Chats_and_Forums", "url": "http://rabbithole.hyperboards.com/"} +{"d:Title": "The Vision", "d:Description": "Message board and role-playing game.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Chats_and_Forums", "url": "http://agentshadow.hyperboards.com/"} +{"d:Title": "The Matrix Teaser Trailer", "d:Description": "Offers a sneak preview of the sequels in AVI format, as well as still pictures from the trailer.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Downloads", "url": "http://matrix2and3trailer.freeservers.com/"} +{"d:Title": "Matrix Reality 3D Screensaver", "d:Description": "A screensaver for the Windows operating system. Features photographs and representations of Matrix code from the films.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Downloads", "url": "http://www.intelore.com/matrix-reality.php"} +{"d:Title": "The Matrix 101", "d:Description": "Fan-created overview of all installments in the series including the films, the anime, and the games. Trivia, symbolism, and media.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://www.thematrix101.com/"} +{"d:Title": "MatrixFans.net", "d:Description": "Offers news on the trilogy, and related information about the films, the anime, and the games. Also includes a message board.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://www.matrixfans.net/"} +{"d:Title": "UGO.com - The Last Free City", "d:Description": "News and message boards. Interactive: members are able to make submissions to the site.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://matrix.ugo.com/"} +{"d:Title": "Welcome to The Matrix", "d:Description": "Fan site with photographs from the first film, interpretation of the second film, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://shatta.5u.com/"} +{"d:Title": "X-matrix.net", "d:Description": "Theories on questions posed by the series, transcripts, information about the unofficial alternate reality game, message board, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://www.x-matrix.net/"} +{"d:Title": "The Matrix Revival", "d:Description": "A weblog containing articles and essays on philosophical, theological, and psychological ideas behind the Matrix films.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://matrixrevival.blogspot.com/"} +{"d:Title": "The Matrix Trilogy", "d:Description": "Alphabetized reference guide to the series, image galleries, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://www.hackthematrix.org/"} +{"d:Title": "Religion-wiki: Matrixism", "d:Description": "Encyclopedia article about the religion based on the films. Includes a bibliography.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://religion.wikia.com/wiki/Matrixism"} +{"d:Title": "Jas's Matrix Site", "d:Description": "Overview, multimedia, and theories.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://webspace.webring.com/people/bm/matrixwebsite1/"} +{"d:Title": "The Matrix: Trilogy", "d:Description": "Discussion board for fans, with a general-purpose forum and a news forum.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Fan_Pages", "url": "http://shadowwratih.proboards.com/"} +{"d:Title": "Cinema Review: The Matrix", "d:Description": "Detailed synopsis and content rating, images, expanded genre description, user ratings along with demographic information, as well as production notes on several topics.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The", "url": "http://www.cinemareview.com/main.asp?movieid=049902"} +{"d:Title": "The Slip-Up Archive: The Matrix", "d:Description": "Collection of user-submitted bloopers in the film. Includes user comments and votes.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The", "url": "http://www.slipups.com/tree/825.html"} +{"d:Title": "Cinema.com: Matrix, The", "d:Description": "Synopsis, image gallery, related news, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The", "url": "http://www.cinema.com/film/183/matrix/index.phtml"} +{"d:Title": "Daily Script: The Matrix", "d:Description": "The film's shooting script from March 29, 1998.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The", "url": "http://www.dailyscript.com/scripts/the_matrix.pdf"} +{"d:Title": "Matrix Mistakes", "d:Description": "Listing of mistakes made in the film. Also includes corrections, Easter eggs, and frequently asked questions.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The", "url": "http://www.moviemistakes.com/film808"} +{"d:Title": "Movies For Busy People: The Matrix", "d:Description": "Plot summary for the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The", "url": "http://ideaguy.tripod.com/matrix.htm"} +{"d:Title": "IMDb: The Matrix", "d:Description": "Detailed information about the film, user comments, trivia, quotes, links, and other details.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The", "url": "http://www.imdb.com/title/tt0133093/"} +{"d:Title": "Screenit.com: The Matrix", "d:Description": "Includes a review of the film, and explanations for the reasons it was rated Restricted. Useful for parents.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews", "url": "http://www.screenit.com/movies/1999/the_matrix.html"} +{"d:Title": "FilmScouts.com - The Matrix", "d:Description": "A collection of articles about the film's production, casting, and special effects.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews", "url": "http://www.filmscouts.com/scripts/film.cfm?Film=matrix"} +{"d:Title": "Cyber Keanu", "d:Description": "Interview with the actor regarding the film, by Jacques-Andre Bondy.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews", "url": "http://jabondy.free.fr/267Matrix/1UK.html"} +{"d:Title": "Popular Metaphysics: the Bible, Cyberpunk, and Higher Math", "d:Description": "By Richard Corliss [Time Magazine]. News article discussing the themes embedded in the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews", "url": "http://www.time.com/time/magazine/article/0,9171,22971-1,00.html"} +{"d:Title": "DVDActive: The Matrix", "d:Description": "Announcement of the film's 10th anniversary Blu-ray release, with high-resolution cover images and user commentary.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews", "url": "http://www.dvdactive.com/news/releases/the-matrix.html"} +{"d:Title": "Metaphilm: The Matrix", "d:Description": "Essay analyzes the movie with a focus on personal identity, the role of technology, questioning reality, and Marshall McLuhan.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://www.metaphilm.com/philms/matrix1.html"} +{"d:Title": "Nonduality Salon: The Matrix", "d:Description": "Discussion of the movie focusing on non-dual themes and concepts.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://www.nonduality.com/matrix.htm"} +{"d:Title": "The Red Pill Project", "d:Description": "Attempts to approach the details of the film in a rational and scientific manner. Articles, project information, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://theredpillproject.tripod.com/"} +{"d:Title": "H2so4 Magazine - The Pornocracy of \"Fate\": Moms' Tricks in The Matrix", "d:Description": "Philosophical essay about the saviour theme in The Matrix, by Matt George.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://h2so4.net/politics/matrix.html"} +{"d:Title": "Gnosticism Reborn", "d:Description": "Discusses the film as a Shamanic journey, by Jason Wynd and Jake Horsley.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://www.mindmined.com/public_library/nonfiction/jake_horsley_gnosticism_reborn.html"} +{"d:Title": "The Mayertrix", "d:Description": "Explores the possible connection between John Mayer's music and the film. Includes sound clips, lyrics, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://www.angelfire.com/oz/mayertrix0/"} +{"d:Title": "The Matrix as Messiah Movie", "d:Description": "Site examines the parallels between Neo's character development and the life of Jesus Christ.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://awesomehouse.com/"} +{"d:Title": "The Matrix", "d:Description": "Sam Vaknin discusses the difference between virtual reality and computerized models of reality, as well as implications of this distinction for the future.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://samvak.tripod.com/matrix.html"} +{"d:Title": "Outside the Lie", "d:Description": "Outlines the parallels of the film with the Christian faith in an attempt to convert users.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://taketheredpill.tripod.com/"} +{"d:Title": "Is \"The Matrix\" Gnostic or Christian?", "d:Description": "Detailed comparison between Gnostic and Christian influences in the film, by Steven D. Greydanus.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://decentfilms.com/articles/matrixissues"} +{"d:Title": "Heaven.net: The Matrix", "d:Description": "Essay focusing on the idea that the movie's popularity is due to a lack of spirituality in the general population.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Articles_and_Interviews/Analyses_and_Essays", "url": "http://heavennet.net/writings/the-matrix/"} +{"d:Title": "Yahoo! Groups - BroadcastDepth", "d:Description": "Includes message archive, file downloads, photos, polls, member profiles, links and an events calendar", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/BroadcastDepth/"} +{"d:Title": "Yahoo! Groups - Club Of The Matrix", "d:Description": "Discussion board, photo albums, chat, calendar and links. Accepts member submissions.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/clubofthematrix/"} +{"d:Title": "Yahoo! Groups:The Matrix", "d:Description": "Links, photo albums, a chat room, a message forum, and a calendar of events. Members may make submissions.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/thematrix3/"} +{"d:Title": "The Matrix RPG : Age Of Afflicition", "d:Description": "Role playing game in a setting after Revolutions.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Chats_and_Forums/Role_Playing_Games", "url": "http://thematrixrpgreloadedv2.yuku.com/"} +{"d:Title": "WebRing: The Matrix", "d:Description": "Contains sites dedicated to the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Directories", "url": "http://www.webring.org/hub?ring=the_matrix"} +{"d:Title": "WebRing: Tribute to the Truth", "d:Description": "Contains sites dedicated to anything pertaining to the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Directories", "url": "http://www.webring.org/hub?ring=tribute_matrix"} +{"d:Title": "WebRing: Matrix", "d:Description": "Ring of sites which focus on the Matrix movies, comic books, and animation.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Directories", "url": "http://www.webring.org/hub?ring=redpill"} +{"d:Title": "WebRing: There Is No Spoon", "d:Description": "Sites exploring the philosophy of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Directories", "url": "http://www.webring.org/hub?ring=nospoon"} +{"d:Title": "WebRing: Writing About The Truth", "d:Description": "Lists sites dedicated to creative writing inspired by the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Directories", "url": "http://www.webring.org/hub?ring=matrixwords"} +{"d:Title": "The Matrix [king_dav]", "d:Description": "Contains sound files, a plot summary, and information about the sequel.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads", "url": "http://www.angelfire.com/ny3/dav/"} +{"d:Title": "VNS Dictionary: Movie Clips", "d:Description": "Features three scenes from the film in Windows Media format.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads", "url": "http://thomasanderson89.tripod.com/matrix.html"} +{"d:Title": "MovieSoundsCentral: The Matrix", "d:Description": "Several dialogue clips in WAV format.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads", "url": "http://www.moviesoundscentral.com/matrix.htm"} +{"d:Title": "Sound Files: Wav Central", "d:Description": "Over 50 sound bites from the film in MP3 format.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads", "url": "http://www.wavcentral.com/movies/matrix.html"} +{"d:Title": "Lock The Matrix", "d:Description": "A locking program for PocketPC which emulates the beginning scene of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads", "url": "http://www.jgui.net/ltm/"} +{"d:Title": "The Matrix Net", "d:Description": "Contains some desktop wallpapers and links to animations and photos.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads", "url": "http://webspace.webring.com/people/on/neo29o2/"} +{"d:Title": "Zmatrix", "d:Description": "Animated desktop background for Windows platforms. Requirements, version history, screenshot, and download links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads/Desktop_Customization", "url": "http://zmatrix.sourceforge.net/"} +{"d:Title": "JoBlo: The Matrix Movie Screensaver", "d:Description": "Includes several screensavers inspired by the film, from various contributors.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Downloads/Desktop_Customization", "url": "http://www.joblo.com/movie-screensavers/the-matrix/"} +{"d:Title": "Heather's Matrix Fansite", "d:Description": "Focuses on Keanu Reeves' role in the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Pages", "url": "http://members.tripod.com/~Maggie_Jo_2/matrix.html"} +{"d:Title": "The Matrix Fan Page", "d:Description": "Java chatroom, links, draft script, quotes, quizzes, interviews, secrets, pictures and sound gallery, plot overview, character profiles, and actor biographies.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Pages", "url": "http://www.angelfire.com/ny2/TheMatrix/index.html"} +{"d:Title": "The Nebuchadnezzar", "d:Description": "Picture galleries, general movie information, secret codes, and role-playing game.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Pages", "url": "http://www.angelfire.com/mt/matrix/"} +{"d:Title": "The Matrix [angel_matrix]", "d:Description": "Flash site featuring some photos and sounds from the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Pages", "url": "http://angel_matrix.tripod.com/intro.htm"} +{"d:Title": "Ladyhawke's Matrix Mainframe", "d:Description": "Pictures, cast information, quotes, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Pages", "url": "http://webspace.webring.com/people/au/um_843/"} +{"d:Title": "The Matrix Site of Jazz", "d:Description": "Pictures, soundtrack and cast information, poster, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Pages", "url": "http://jandbs.tripod.com/matrix/matrix.html"} +{"d:Title": "Matrix Jedi", "d:Description": "Independent digital short film which merges aspects of The Matrix and the Star Wars trilogy. Synopsis, cast, production notes, FAQs, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works", "url": "http://home.netcom.com/~jskipper/mj/mj.html"} +{"d:Title": "Dane's Matrix Site", "d:Description": "Contains several fan-created comics featuring Jet Li.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works", "url": "http://patlabor2.tripod.com/fans.html"} +{"d:Title": "YouTube: The Muppet Matrix", "d:Description": "The film's trailer done entirely using Jim Henson's Muppets characters.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works", "url": "http://www.youtube.com/watch?v=2LDd-9t1BEQ"} +{"d:Title": "BrickFrenzy: Nebuchadnezzar", "d:Description": "The hovership and its crew made from Lego parts. Includes detailed images from different stages of the construction.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works", "url": "http://www.brickfrenzy.com/index.php?m=nebuchadnezzar"} +{"d:Title": "Diamond's Way", "d:Description": "Adventures of Diamond and other stories based on the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works/Fan_Fiction", "url": "http://www.shit-in-my-head.andreaundpeter.de/"} +{"d:Title": "Alternate Realities: A Matrix Fansite", "d:Description": "Series of fan fiction featuring Aeon and Persephone. Two sisters' lives are torn apart by the Agents.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works/Fan_Fiction", "url": "http://reality2.tripod.com/matrix.htm"} +{"d:Title": "Dodge This", "d:Description": "Flux's site and story, fan fiction, poetry, humor.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works/Fan_Fiction", "url": "http://dodgethis.iwarp.com/"} +{"d:Title": "The Matrix Aphex Twins", "d:Description": "Fan screenplay written by Parc Landen.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works/Fan_Fiction", "url": "http://downloads.members.tripod.com/~PARCWAY/matrix.htm"} +{"d:Title": "Fanfiction.net: Matrix Movie", "d:Description": "Hundreds of stories by various authors in an array of genres. Reviews and additions welcomed. Sortable by genre, rating, language, length, and character.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Fan_Works/Fan_Fiction", "url": "http://www.fanfiction.net/movie/Matrix/"} +{"d:Title": "Matrix: The Abridged Script", "d:Description": "A short parody script for the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Humor", "url": "http://www.the-editing-room.com/matrix.html"} +{"d:Title": "Bastardization of The Matrix", "d:Description": "Spoof of the film in a series of still pictures. Uses Internet Relay Chat and hacking terminology.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Humor", "url": "http://www.albinoblacksheep.com/image/matrixirc"} +{"d:Title": "Matrix XP", "d:Description": "Parody of the film made by fans. Cast and crew, images, film information, and downloads.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Humor", "url": "https://www.youtube.com/watch?v=rNdpvNH1yi8"} +{"d:Title": "Cinema - The Matrix", "d:Description": "Images of scenes from the film assembled using Lego parts.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Image_Galleries", "url": "http://www.geocities.co.jp/Hollywood/9060/mtrxe.html"} +{"d:Title": "E-matrix 2000", "d:Description": "Photo galleries of the main characters, and some sounds from the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Image_Galleries", "url": "http://www.angelfire.com/scifi/ematrix2000/"} +{"d:Title": "The Matrix Images", "d:Description": "A collection of photos related to the film, with text descriptions.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Image_Galleries", "url": "http://www.angelfire.com/ia3/imagesource/matrix.html"} +{"d:Title": "Wizard Wallpaperz: The Matrix", "d:Description": "Collection of screen captures from the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Image_Galleries", "url": "http://www.angelfire.com/wizard/wallpaperzone/thematrix.html"} +{"d:Title": "'Matrix' offers tales from the cryptic", "d:Description": "\"...you probably won't know what it is after you see it either.\" By Paul Tatara [CNN]", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9904/09/review.matrix/index.html"} +{"d:Title": "HARO Online", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.haro-online.com/movies/matrix.html"} +{"d:Title": "Myth meets Internet in 'Matrix'", "d:Description": "\"...a completely new twist to the concept of going online.\" By Andy Culpepper [CNN.com].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9903/31/matrix/"} +{"d:Title": "Filmtracks.com: The Matrix", "d:Description": "Review of the film's score by Mike Dougherty with user comments, and some audio clips.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.filmtracks.com/titles/matrix.html"} +{"d:Title": "Nitrate Online: The Matrix", "d:Description": "Review by Carrie Gorringe, and some pictures.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.nitrateonline.com/1999/rmatrix.html"} +{"d:Title": "Rambles: The Matrix", "d:Description": "Jade Falcon reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.rambles.net/matrix.html"} +{"d:Title": "The Chief Report: The Matrix", "d:Description": "Wolfpack Productions reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.wolfpackproductions.com/archive/matrix.html"} +{"d:Title": "ChildCare Action Project: The Matrix", "d:Description": "Analysis of the film's suitability for Christian children.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.capalert.com/capreports/matrix.htm"} +{"d:Title": "CineSight: The Matrix", "d:Description": "Review of the film by Steve Gibbs.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://cinesightarchives.tripod.com/MOVIE/matrix.html"} +{"d:Title": "Crazy for Cinema: The Matrix", "d:Description": "In-depth review of the film's plot line.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.crazy4cinema.com/Review/FilmsM/matrix.html"} +{"d:Title": "The Critic's Homepage: The Matrix", "d:Description": "Joe Soria reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://buscemifan.tripod.com/matrix.htm"} +{"d:Title": "Feed My Ego: The Matrix", "d:Description": "Commentary on the film and the DVD by Scott Ventura.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://feedmyego.com/movies/M/MatrixThe1999.html"} +{"d:Title": "Film Buff Movie Reviews: The Matrix", "d:Description": "Review of the film by Lucas.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://film-buff.tripod.com/thematrix.html"} +{"d:Title": "The Filthy Critic: The Matrix", "d:Description": "A sarcastic review of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://bigempire.com/filthy/thematrix.html"} +{"d:Title": "Kids in Mind: The Matrix", "d:Description": "A review based on the film's R-rated content.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.kids-in-mind.com/M/matrix_the_1999__275.htm"} +{"d:Title": "MFS Movie Database: The Matrix", "d:Description": "Review by Marcus Chan.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://moviemarcus.tripod.com/archive/m/matrix/main.htm"} +{"d:Title": "A Derivative Dazzling 'Matrix'", "d:Description": "\"...one big, fat, honking comic book of a sci-fi-martial-arts adventure flick.\" By Michael O'Sullivan [Washington Post]", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/matrixosullivan.htm"} +{"d:Title": "A Dazzling Futuristic Phantasm", "d:Description": "\"There's a kind of liberating, almost transforming energy in this film...\" By Stephen Hunter [Washington Post].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/matrixhunter.htm"} +{"d:Title": "The Movie Boy: The Matrix", "d:Description": "Review and a mock dialogue between the Wachowski brothers, by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://themovieboy.com/reviews/m/99_matrix.htm"} +{"d:Title": "Movie Magazine International: The Matrix", "d:Description": "Alex Lau reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.shoestring.org/mmi_revs/matrix.html"} +{"d:Title": "Projections: The Matrix", "d:Description": "Francis C. Lapointe reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.projections-movies.com/reviews/matrix.html"} +{"d:Title": "The Reel McCoy: The Matrix", "d:Description": "Review by Patrick McCoy with images, user ratings, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.the-reel-mccoy.com/movies/1999/thematrix.html"} +{"d:Title": "Reel Reviews: The Matrix", "d:Description": "Review of the film from two opposing viewpoints [Warning: Reloaded spoiler].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.angelfire.com/film/reviews2002/matrix.html"} +{"d:Title": "The Review Pages: The Matrix", "d:Description": "Review by Kathe, and still photographs.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.angelfire.com/fl2/kathe/film/review/matrix.html"} +{"d:Title": "Ross Anthony's Hollywood Report Card: The Matrix", "d:Description": "Review and letter grade for the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.rossanthony.com/M/matrix.shtml"} +{"d:Title": "Chronicle of a Passion: The Matrix", "d:Description": "Review of the film by Steven Erickson.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://home.earthlink.net/~steevee/matrix.html"} +{"d:Title": "Teen Critic: The Matrix", "d:Description": "Review of the film with user comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://teencritic.8m.com/thematrix.html"} +{"d:Title": "'The Matrix': The Reality Is All Virtual and Densely Complicated", "d:Description": "\"...a movie that captures the duality of life \u00e0 la laptop...\" By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.nytimes.com/library/film/033199matrix-film-review.html"} +{"d:Title": "Images Journal: The Matrix", "d:Description": "Review of the film by Gary Johnson, accompanied by film stills.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.imagesjournal.com/issue08/reviews/matrix/"} +{"d:Title": "SplicedWire: The Matrix", "d:Description": "Rob Blackwelder's review of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.splicedwire.com/99reviews/matrix.html"} +{"d:Title": "Reviews on the Side: The Matrix", "d:Description": "Review of the film by Steven Lekowicz.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.reviewsontheside.com/reviews/matrix.html"} +{"d:Title": "The Sci-Fi Movie Page: The Matrix", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.scifimoviepage.com/matrix.html"} +{"d:Title": "Hollywood Movie Review: The Matrix", "d:Description": "Review of the film by Tubegator.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://thefourhorsemen.tubegator.com/the-matrix-1/18/"} +{"d:Title": "QNetwork: The Matrix", "d:Description": "James Kendrick reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.qnetwork.com/?page=review&id=522"} +{"d:Title": "Movie Vault: The Matrix [Matthew Coats]", "d:Description": "Review of the film with user ratings.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.movie-vault.com/reviews/gInkgwpoxqUmjsbJ"} +{"d:Title": "Media Circus: The Matrix", "d:Description": "Review by Anthony Leong (previously published in Frontier magazine).", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.mediacircus.net/matrix.html"} +{"d:Title": "A Fistful of Reviews: The Matrix", "d:Description": "Features two different reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://celldream.tripod.com/mnop/matrix.htm"} +{"d:Title": "RollingStone.com: The Matrix", "d:Description": "Review by Peter Travers, with user comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.rollingstone.com/movies/reviews/the-matrix-20010418"} +{"d:Title": "Cinephobia: The Matrix", "d:Description": "Stephen Rowley's review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.sterow.com/?p=229"} +{"d:Title": "Decent Films: The Matrix", "d:Description": "Review by Steven D. Greydanus.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://decentfilms.com/reviews/matrix"} +{"d:Title": "NeedCoffee.com: The Matrix", "d:Description": "Humorous review of the film by Widgett.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.needcoffee.com/1999/04/01/matrix-movie-review/"} +{"d:Title": "Chicago Sun Times: The Matrix", "d:Description": "\"...a visually dazzling cyberadventure, full of kinetic excitement...\" By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-matrix-1999"} +{"d:Title": "Allreaders.com: The Matrix", "d:Description": "Detailed analysis of the film and links to similar movies. Review submissions welcome.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-matrix-3608"} +{"d:Title": "ReelViews: The Matrix", "d:Description": "James Berardinelli reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.reelviews.net/reelviews/matrix-the"} +{"d:Title": "The Austin Chronicle: The Matrix", "d:Description": "\"... a heady, challenging ride into one of the most fabulously constructed science fiction parallel universes...\" By Mark Savlov.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.austinchronicle.com/calendar/film/1999-04-02/the-matrix/"} +{"d:Title": "The Movie Mom: The Matrix", "d:Description": "Review of the film by Nell Minow, oriented toward an audience of parents.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.beliefnet.com/columnists/moviemom/2002/12/the-matrix.html"} +{"d:Title": "Village Voice: Grand Allusions", "d:Description": "Review of the film by Dennis Lim.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.villagevoice.com/film/grand-allusions-6422106"} +{"d:Title": "BeyondHollywood: The Matrix", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.beyondhollywood.com/the-matrix-1999-movie-review/"} +{"d:Title": "Flick Filosopher: The Matrix", "d:Description": "Review of the film with reader comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://www.flickfilosopher.com/1999/04/the-matrix-review.html"} +{"d:Title": "Ozus' World Movie Reviews: The Matrix", "d:Description": "Review of the film by David Schwartz.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews", "url": "http://homepages.sover.net/~ozus/matrix.htm"} +{"d:Title": "Dimspace Haiku/Limerick Review: The Matrix", "d:Description": "A review of the film in the form of haiku. Includes user-contributed reviews as well.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Capsule", "url": "http://www.dimspace.com/movie/show/The_Matrix"} +{"d:Title": "The Four Word Film Review: The Matrix", "d:Description": "Over 60 reviews of the film using only four words each. Also includes partial cast and crew list.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Capsule", "url": "http://www.fwfr.com/display.asp?ID=129"} +{"d:Title": "TV Guide Online - The Matrix", "d:Description": "Review and full cast list.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Capsule", "url": "http://www.tvguide.com/movies/matrix/133979/"} +{"d:Title": "The Cinema Laser: The Matrix", "d:Description": "Review by Derek M. Germano, accompanied by stills from the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.thecinemalaser.com/dvd_reviews/matrix-dvd.htm"} +{"d:Title": "DVD Movie Guide: The Matrix", "d:Description": "Review by Colin Jacobson. Includes film stills and user ratings.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://dvdmg.com/matrix.shtml"} +{"d:Title": "DVD Reviewer: The Matrix", "d:Description": "Reviews of different versions of the disc from various contributors. Includes screenshots and film information.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.dvd.reviewer.co.uk/reviews/details.asp?Index=50"} +{"d:Title": "DVD Movie Central: The Matrix", "d:Description": "Michael Jacobson reviews the film and comments on the DVD features.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.dvdmoviecentral.com/ReviewsText/matrix.htm"} +{"d:Title": "Films on Disc: The Matrix", "d:Description": "Review by Stuart J. Kobak.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.filmsondisc.com/DVDpages/matrix.htm"} +{"d:Title": "Current Film: The Matrix", "d:Description": "Disc information, review, and ratings.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.currentfilm.com/matrixdvd.html"} +{"d:Title": "DVDLaunch: The Matrix", "d:Description": "Review and ratings by Ren C.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.dvdlaunch.com/matrix.html"} +{"d:Title": "UpcomingDiscs.com: The Matrix", "d:Description": "Gino Sassani reviews the disc and film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.upcomingdiscs.com/dvd_review.php?load_this=matrix&review_where=studios"} +{"d:Title": "DVD Times: The Matrix", "d:Description": "Disc information and review by Colin Polonowski. Also includes photographs and user comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.dvdtimes.co.uk/content.php?contentid=4096"} +{"d:Title": "AudioRevolution.com: The Matrix", "d:Description": "Disc information and review by Abbie Bernstein.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/DVD", "url": "http://www.avrev.com/dvd-movie-disc-reviews/sci-fi-fantasy/matrix-the.html"} +{"d:Title": "All-Reviews.com: The Matrix", "d:Description": "Features reviews of the film by several authors.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Hubs", "url": "http://www.all-reviews.com/videos/matrix.htm"} +{"d:Title": "Urban Cinefile: The Matrix", "d:Description": "Film information, photographs, and several reviews.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Hubs", "url": "http://www.urbancinefile.com.au/home/view.asp?a=2214&s=Reviews"} +{"d:Title": "OOFNet Cinema: The Matrix", "d:Description": "Over 30 user-contributed reviews and ratings of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Hubs", "url": "http://www.oofnet.com/cinema/film/1613"} +{"d:Title": "Rotten Tomatoes: The Matrix", "d:Description": "Features over 50 reviews of the film from various critics.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Hubs", "url": "http://www.rottentomatoes.com/m/matrix/"} +{"d:Title": "Hollywood Bitchslap: The Matrix", "d:Description": "Over 24 reviews of the film from site contributors, as well as user comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix,_The/Reviews/Hubs", "url": "http://www.hollywoodbitchslap.com/review.php?movie=722"} +{"d:Title": "KillerMovies.com: The Matrix Reloaded", "d:Description": "Features news, rumors, and facts. Also includes cast and crew information, as well as photos.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The", "url": "http://www.killermovies.com/m/thematrixreloaded/"} +{"d:Title": "SoundtrackCollector - The Matrix Reloaded", "d:Description": "Soundtrack information, label and release details, reviews, and discussion.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The", "url": "http://www.soundtrackcollector.com/catalog/soundtrackdetail.php?movieid=35746"} +{"d:Title": "The Matrix Reloaded Mistakes", "d:Description": "Listing of mistakes made in the film, along with corrections, Easter eggs, and frequently asked questions.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The", "url": "http://www.moviemistakes.com/film3144"} +{"d:Title": "Wikipedia: The Matrix Reloaded", "d:Description": "Detailed plot synopsis with cast list and related links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The", "url": "http://en.wikipedia.org/wiki/Matrix_Reloaded"} +{"d:Title": "HorrorLair:The Matrix Reloaded", "d:Description": "Synopsis and an October 27, 2001 draft screenplay in PDF format.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The", "url": "http://www.horrorlair.com/movies/the_matrix_reloaded.html"} +{"d:Title": "Internet Movie Database: The Matrix Reloaded", "d:Description": "Production notes, cast/crew information, and release dates.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The", "url": "http://www.imdb.com/title/tt0234215/"} +{"d:Title": "About.com: The Matrix Reloaded", "d:Description": "Interviews, photographs from the premiere, cast and crew, downloads, articles, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The", "url": "http://movies.about.com/od/matrix2/"} +{"d:Title": "The Matrix Reloaded", "d:Description": "News about the film from Australian sources.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Articles_and_Interviews", "url": "http://www.angelfire.com/film/aust/matrix1.htm"} +{"d:Title": "The Matrix Reloaded: The Corporate Mofo Guide", "d:Description": "A short academic analysis of religious allegories in the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Articles_and_Interviews", "url": "http://corporatemofo.com/media_and_mediocrity/the_matrix_reloaded_the_corpor.html"} +{"d:Title": "Skinema: Furor over The Matrix", "d:Description": "Quotations and summaries of articles criticising the use of albino characters in the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Articles_and_Interviews", "url": "http://www.skinema.com/SpotMediaMatrix1.html"} +{"d:Title": "Newsweek: Matrix Makers", "d:Description": "Article about the special effects in the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Articles_and_Interviews", "url": "http://www.newsweek.com/matrix-makers-141365"} +{"d:Title": "'Matrix' fans unload on 'Time'", "d:Description": "Susan Wloszczyna the fan backlash after Time Magazine revealed key plot points of the film a week before its release.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Articles_and_Interviews", "url": "http://usatoday30.usatoday.com/life/movies/news/2003-05-07-time-matrix_x.htm"} +{"d:Title": "Gee-whiz effects duke it out in Matrix's 'burly brawl'", "d:Description": "A look at the special effects in the film, by Scott Bowles [USA Today].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Articles_and_Interviews", "url": "http://usatoday30.usatoday.com/life/movies/news/2003-05-07-matrix-brawl_x.htm"} +{"d:Title": "Filmtracks: The Matrix Reloaded", "d:Description": "Soundtrack review, track listings, ratings, with reader comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Downloads", "url": "http://www.filmtracks.com/titles/matrix_reloaded.html"} +{"d:Title": "UselessCreations: The Matrix Reloaded 3D Screensaver", "d:Description": "Screensaver for Windows, with screenshots, setup instructions, and release notes.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Downloads", "url": "http://www.uselesscreations.com/matrix/"} +{"d:Title": "All-Reviews: The Matrix Reloaded", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.all-reviews.com/videos-5/matrix-reloaded.htm"} +{"d:Title": "HARO Online: The Matrix Reloaded", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.haro-online.com/movies/matrix_reloaded.html"} +{"d:Title": "Bucket Reviews: The Matrix Reloaded", "d:Description": "Review of the film by Danny Baldwin. Includes some still images and film information.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.bucketreviews.com/matrix.html"} +{"d:Title": "Currentfilm.com: The Matrix Reloaded", "d:Description": "Review of the film's DVD.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.currentfilm.com/dvdreviews5/matrixreloadeddvd.html"} +{"d:Title": "Dimspace: The Matrix Reloaded", "d:Description": "Reviews of the film in haiku form.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.dimspace.com/movie/show/The_Matrix_Reloaded"} +{"d:Title": "QNetwork : The Matrix Reloaded", "d:Description": "James Kendrick reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.qnetwork.com/index.php?page=review&id=1144"} +{"d:Title": "Rotten Tomatoes: The Matrix Reloaded", "d:Description": "Articles, trailers, photographs, links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.rottentomatoes.com/m/matrix_reloaded/"} +{"d:Title": "Cinephobia: The Matrix Reloaded", "d:Description": "Review by Stephen Rowley.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.sterow.com/?p=220"} +{"d:Title": "TalkTalk: The Matrix Reloaded", "d:Description": "Review and related links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/the-matrix-reloaded/600"} +{"d:Title": "Decent Films: The Matrix Reloaded", "d:Description": "Review by Steven D. Greydanus.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://decentfilms.com/reviews/matrix2"} +{"d:Title": "Roger Ebert: The Matrix Reloaded", "d:Description": "It is an immensely skillful sci-fi adventure, combining the usual elements: heroes and villains, special effects and stunts, chases and explosions, romance and oratory.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-matrix-reloaded-2003"} +{"d:Title": "Slashdot Review: Matrix: Reloaded", "d:Description": "Review with user comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Reloaded,_The/Reviews", "url": "https://news.slashdot.org/story/03/05/15/0613212/review-matrix-reloaded"} +{"d:Title": "DVD Movie Guide: The Matrix Revisited", "d:Description": "A look at the film's special features by Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revisited,_The", "url": "http://www.dvdmg.com/matrixrevisited.shtml"} +{"d:Title": "IMDb: The Matrix Revisited", "d:Description": "Overview, cast and crew, reviews, and film recommendations.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revisited,_The", "url": "http://www.imdb.com/title/tt0295432/"} +{"d:Title": "Current Film: The Matrix Revisited", "d:Description": "Disc information, review of the film, and details about the extras.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revisited,_The/Reviews", "url": "http://www.currentfilm.com/dvdreviews4/matrixrevisiteddvd.html"} +{"d:Title": "DVDCC - The Matrix Revisited", "d:Description": "Ratings and review by Dan Jones.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revisited,_The/Reviews", "url": "http://www.dvdcc.com/cgi-bin/reviews/reviews.pl?action=showit&rev=matrixrevisited"} +{"d:Title": "GamesFirst: The Matrix Revisited", "d:Description": "Review by Jason Frank. Includes some stills from the DVD.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revisited,_The/Reviews", "url": "http://www.gamesfirst.com/reviews/jfrank/matrixrev/matrixrev.htm"} +{"d:Title": "AudioRevolution.com: The Matrix Revisited", "d:Description": "Review of the DVD by Tara O'Shea.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revisited,_The/Reviews", "url": "http://www.avrev.com/dvd-movie-disc-reviews/documentary/matrix-revisited-the.html"} +{"d:Title": "DVD Talk: The Matrix Revisited", "d:Description": "Review of the DVD and its contents by Aaron Beierle.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revisited,_The/Reviews", "url": "http://www.dvdtalk.com/reviews/2980/matrix-revisited-the/"} +{"d:Title": "KillerMovies: The Matrix Revolutions", "d:Description": "General information, multimedia, and news articles.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The", "url": "http://www.killermovies.com/m/thematrixrevolutions/"} +{"d:Title": "Internet Movie Database: The Matrix Revolutions", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production and distribution information, quotations, trivia, photographs, downloads, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The", "url": "http://imdb.com/title/tt0242653/"} +{"d:Title": "About.com: The Matrix Revolutions", "d:Description": "Review, image gallery, cast and crew, release details, and related links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The", "url": "http://romanticmovies.about.com/cs/matrix3/"} +{"d:Title": "Romantic Movies: The Matrix Revolutions", "d:Description": "Review, photographs, interviews, articles, and links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The", "url": "http://romanticmovies.about.com/od/matrix3/"} +{"d:Title": "Rotten Tomatoes: The Matrix Revolutions", "d:Description": "Links to reviews and trailers, photographs, news articles, and a public forum.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The", "url": "http://www.rottentomatoes.com/m/matrix_revolutions/"} +{"d:Title": "HorrorLair: The Matrix Revolutions", "d:Description": "Synopsis and a June 27, 2002 draft of the film's screenplay in PDF format.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The", "url": "http://www.horrorlair.com/movies/the_matrix_revolutions.html"} +{"d:Title": "Screen It: The Matrix Revolutions", "d:Description": "Breakdown of the film by categories of interest to parents, discussion of the cast as role models, and synopsis.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Articles_and_Interviews", "url": "http://www.screenit.com/movies/2003/the_matrix_revolutions.html"} +{"d:Title": "Box Office Prophets: Matrix Revolutions", "d:Description": "Article predicting how well the film will do financially.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Articles_and_Interviews", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?tmID=66"} +{"d:Title": "Guardian Unlimited Film: Matrix Revolutions", "d:Description": "Film information, reviews, ratings, and related news articles.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Articles_and_Interviews", "url": "http://www.theguardian.com/film/movie/95856/matrix.revolutions"} +{"d:Title": "Revolutions of 'Matrix'", "d:Description": "Article about the end of the trilogy and what it means to some of the cast and several fans. By Scott Bowles and Christopher Theokas [USA Today].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Articles_and_Interviews", "url": "http://usatoday30.usatoday.com/life/movies/news/2003-10-30-matrix-revolutions_x.htm"} +{"d:Title": "All-Reviews.com - The Matrix Revolutions", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.all-reviews.com/videos-5/matrix-revolutions.htm"} +{"d:Title": "Filmtracks: The Matrix Revolutions", "d:Description": "Review of the film's soundtrack with ratings and user comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.filmtracks.com/titles/matrix_revolutions.html"} +{"d:Title": "HARO Online: The Matrix Revolutions", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.haro-online.com/movies/matrix_revolutions.html"} +{"d:Title": "Aggressive-Voice.com: The Matrix Revolutions", "d:Description": "Scott Spicciati's review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://aggressive-voice.com/zzz138.html"} +{"d:Title": "Arrow in the Head: The Matrix Revolutions", "d:Description": "A review that breaks down the film according to various parameters.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://joblo.com/arrow/matrixrevolutions.htm"} +{"d:Title": "Mark Reviews Movies: The Matrix Revolutions", "d:Description": "Mark Dujsik's take on the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://mark-reviews-movies.tripod.com/reviews/M/matrix3.htm"} +{"d:Title": "Qwipster's Movie Reviews: Matrix Revolutions", "d:Description": "A review of the movie by Vince Leo.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://qwipster.net/matrixrevolutions.htm"} +{"d:Title": "The BigScreen Cinema Guide: The Matrix Revolutions", "d:Description": "Viewer reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.bigscreen.com/ReaderReview.php?movie=36968"} +{"d:Title": "Dimspace: The Matrix Revolutions", "d:Description": "Reviews of the film in haiku form.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.dimspace.com/movie/show/The_Matrix_Revolutions"} +{"d:Title": "Entertain Your Brain: The Matrix Revolutions", "d:Description": "A review by Shawn McKenzie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.entertainyourbrain.com/matrixrevolutionsrev.htm"} +{"d:Title": "The Bleat: The Matrix Revolutions", "d:Description": "Humorous review of the film, with a sardonic analysis of another review.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.lileks.com/bleats/archive/03/1103/110703.html"} +{"d:Title": "Reeling - The Matrix: Revolutions", "d:Description": "Robin and Laura Clifford review the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.reelingreviews.com/thematrixrevolutions.htm"} +{"d:Title": "TheMovieBoy - Matrix Revolutions, The (2003)", "d:Description": "Dustin Putman's review of the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.themovieboy.com/directlinks/03matrixrevolutions.htm"} +{"d:Title": "DreamLogic: Matrix Revolutions", "d:Description": "Review of the film by two contributors.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.dreamlogic.net/REVIEWS/matrixrevolutions.html"} +{"d:Title": "The Digital Basement: The Matrix Revolutions", "d:Description": "Brief movie review by TL.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://digitalbasement.blogspot.com/2007/05/movie-review-matrix-revolutions.html"} +{"d:Title": "The Sci-Fi Movie Page: Matrix Revolutions", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.scifimoviepage.com/matrixrevol.html"} +{"d:Title": "Lawrence.com : Sci-fi series self-destructs in 'The Matrix Revolutions'", "d:Description": "Review by Jon Niccum, with photographs.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://m.lawrence.com/news/2003/nov/06/scifi_series/"} +{"d:Title": "FilmJerk.com: The Matrix Revolutions", "d:Description": "Brian Orndorf reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.filmjerk.com/reviews/article.php?id_rev=185"} +{"d:Title": "epinions.com: The Matrix Revolutions", "d:Description": "Reviews of varying lengths from many contributors.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.epinions.com/reviews/The_Matrix_Revolutions_44832172"} +{"d:Title": "Philosophies, Sciences, Formalisms, Music, and Literature: Matrix Revolutions", "d:Description": "Review of the film by Ken Wais.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://abyssinia-iffat.com/Matrix_Revolutions"} +{"d:Title": "Channel 4 Film - The Matrix Revolutions", "d:Description": "Credits, review, and user ratings.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.film4.com/search?q=The+Matrix+Revolutions"} +{"d:Title": "Cinephobia - The Matrix Revolutions", "d:Description": "A review by Stephen Rowley.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.sterow.com/?p=265"} +{"d:Title": "TalkTalk: The Matrix Revolutions", "d:Description": "Review and related links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/the-matrix-revolutions/1653"} +{"d:Title": "Decent Films: The Matrix Revolutions", "d:Description": "Ratings on several aspects, review by Steven D. Greydanus, and related links.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://decentfilms.com/reviews/matrix3"} +{"d:Title": "Chicago Sun-Times: The Matrix Revolutions", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-matrix-revolutions-2003"} +{"d:Title": "The Village Voice: Holy Trinity", "d:Description": "J. Hoberman's review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.villagevoice.com/film/holy-trinity-6408815"} +{"d:Title": "The Flick Filosopher: The Matrix Revolutions", "d:Description": "MaryAnn Johanson reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.flickfilosopher.com/2003/11/the-matrix-revolutions-review.html"} +{"d:Title": "Seattle Weekly: he Matrix Revolutions", "d:Description": "Review by Brian Miller.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://archive.seattleweekly.com/2003-11-05/film/the-matrix-revolutions/"} +{"d:Title": "The Matrix: Regurgitated", "d:Description": "David Edelstein's review of the movie [Slate].", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.slate.com/articles/arts/movies/2003/11/the_matrix_regurgitated.html"} +{"d:Title": "San Francisco Chronicle: The Matrix Revolutions", "d:Description": "Review of the movie by Carla Meyer.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.sfgate.com/movies/article/The-final-installment-of-the-Wachowski-brothers-2579053.php"} +{"d:Title": "Film De Culte: Matrix Revolutions", "d:Description": "Review by Robert Hospyan and user ratings.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.filmdeculte.com/cinema/film/Matrix-Revolutions-703.html"} +{"d:Title": "Ozus' World: The Matrix Revolutions", "d:Description": "Dennis Schwartz reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://homepages.sover.net/~ozus/matrixrevolutions.htm"} +{"d:Title": "Choking on Popcorn: The Matrix Revolutions (2003)", "d:Description": "Review of the movie by Arjan, with reader comments.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Matrix_Revolutions,_The/Reviews", "url": "http://www.chokingonpopcorn.com/popcorn/2003/11/the-matrix-revolutions-2003/"} +{"d:Title": "High-Def Digest: Ultimate Matrix Collection [Blu-ray]", "d:Description": "Review by Peter M. Bracke. Includes a detailed overview of disc contents.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Reviews", "url": "http://bluray.highdefdigest.com/300/matrix_umc.html"} +{"d:Title": "High-Def Digest: The Ultimate Matrix Collection [HD DVD]", "d:Description": "Review by Peter M. Bracke.", "topic": "Top/Arts/Movies/Titles/M/Matrix_Series/Reviews", "url": "http://hddvd.highdefdigest.com/301/matrix_umc.html"} +{"d:Title": "A Matter of Honour", "d:Description": "\"A Matter of Honour\" is a film directed by Steven R. Cole about the last fatal duel in Canada.", "topic": "Top/Arts/Movies/Titles/M/Matter_of_Honour,_A", "url": "http://lastduel.tripod.com/"} +{"d:Title": "Reviews of \"A Matter of Life and Death (1946)\"", "d:Description": "Many articles from various sources about the film.", "topic": "Top/Arts/Movies/Titles/M/Matter_of_Life_and_Death,_A", "url": "http://www.powell-pressburger.org/Reviews/46_AMOLAD/"} +{"d:Title": "Britmovie - A Matter of Life and Death", "d:Description": "Synopsis, and cast and crew.", "topic": "Top/Arts/Movies/Titles/M/Matter_of_Life_and_Death,_A", "url": "http://www.britmovie.co.uk/films/A-Matter-of-Life-and-Death_1946/listType/"} +{"d:Title": "IMDb: A Matter of Life and Death", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Matter_of_Life_and_Death,_A", "url": "http://www.imdb.com/title/tt0038733/"} +{"d:Title": "IMDb: Maurice", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/M/Maurice", "url": "http://www.imdb.com/title/tt0093512/"} +{"d:Title": "All-Reviews.com: Mau Mau Sex Sex", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Mau_Mau_Sex_Sex", "url": "http://www.all-reviews.com/videos-4/mau-mau-sex-sex.htm"} +{"d:Title": "IMDb: Mau Mau Sex Sex (2000)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mau_Mau_Sex_Sex", "url": "http://www.imdb.com/title/tt0243408/"} +{"d:Title": "Metacritic.com: Mau Mau Sex Sex", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mau_Mau_Sex_Sex", "url": "http://www.metacritic.com/movie/mau-mau-sex-sex"} +{"d:Title": "All-Reviews.com: Maverick", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Maverick", "url": "http://www.all-reviews.com/videos-2/maverick.htm"} +{"d:Title": "All-Reviews.com - Maximum Risk", "d:Description": "2 star review of the movie", "topic": "Top/Arts/Movies/Titles/M/Maximum_Risk", "url": "http://www.all-reviews.com/videos-2/maximum-risk.htm"} +{"d:Title": "All-Reviews: Max Keeble's Big Move", "d:Description": "Various reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Max_Keeble's_Big_Move", "url": "http://www.all-reviews.com/videos-3/max-keebles-big-move.htm"} +{"d:Title": "HARO Online: Max Keeble's Big Move", "d:Description": "Negative review accompanied by photos.", "topic": "Top/Arts/Movies/Titles/M/Max_Keeble's_Big_Move", "url": "http://www.haro-online.com/movies/max_keeble.html"} +{"d:Title": "IMDb: Max Keeble's Big Move", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/M/Max_Keeble's_Big_Move", "url": "http://www.imdb.com/title/tt0273799/"} +{"d:Title": "All-Reviews.com: Maybe Baby", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Maybe_Baby", "url": "http://www.all-reviews.com/videos-3/maybe-baby.htm"} +{"d:Title": "Rotten Tomatoes - Maybe Baby", "d:Description": "Reviews, general information, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/M/Maybe_Baby", "url": "http://www.rottentomatoes.com/m/maybe_baby/"} +{"d:Title": "IMDb: Maybe Baby", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/M/Maybe_Baby", "url": "http://www.imdb.com/title/tt0206926/"} +{"d:Title": "Metacritic.com: Maybe Baby", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Maybe_Baby", "url": "http://www.metacritic.com/movie/maybe-baby"} +{"d:Title": "IMDb: Mayor of the Sunset Strip", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers and fan sites.", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://www.imdb.com/title/tt0230512/"} +{"d:Title": "PopEntertainment.com: Mayor of the Sunset Strip", "d:Description": "Review by Jay S. Jacobs.", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://www.popentertainment.com/mayorsunsetstrip.htm"} +{"d:Title": "Reelfilm.com: Mayor of the Sunset Strip", "d:Description": "Review by David Nusair.", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://www.reelfilm.com/tiff0305.htm#mayor"} +{"d:Title": "EFilmCritic.com: Mayor of the Sunset Strip", "d:Description": "Review by Erik Childress.", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://efilmcritic.com/review.php?movie=8917"} +{"d:Title": "ReelTalk: Mayor of the Sunset Strip", "d:Description": "Review by Betty Jo Tucker.", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://www.reeltalkreviews.com/browse/viewitem.asp?type=review&id=816"} +{"d:Title": "ReelTalk: Reflections of a Filmmaker", "d:Description": "An interview with director George Hickenlooper by Betty Jo Tucker.", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://www.reeltalkreviews.com/browse/viewitem.asp?type=feature&id=110"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Mayor of the Sunset Strip.\"", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://www.haro-online.com/movies/mayor_of_the_sunset_strip.html"} +{"d:Title": "Slantmagazine.com: Mayor of the Sunset Strip", "d:Description": "Review by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/M/Mayor_of_the_Sunset_Strip", "url": "http://www.slantmagazine.com/film/review/mayor-of-the-sunset-strip"} +{"d:Title": "KillerMovies - The Wicker Man (2005)", "d:Description": "News related to the film's production.", "topic": "Top/Arts/Movies/Titles/M/May_Day", "url": "http://www.killermovies.com/w/thewickerman/"} +{"d:Title": "Internet Movie Database: May Day (2004)", "d:Description": "Cast and crew, production information, and links.", "topic": "Top/Arts/Movies/Titles/M/May_Day", "url": "http://imdb.com/title/tt0323808/"} +{"d:Title": "HARO Online - Maze", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Maze", "url": "http://www.haro-online.com/movies/maze.html"} +{"d:Title": "Internet Movie Database: Maze", "d:Description": "Synopsis, cast and crew, user ratings and comments, production and distribution information, quotations, and links.", "topic": "Top/Arts/Movies/Titles/M/Maze", "url": "http://imdb.com/title/tt0246072/"} +{"d:Title": "Chicago Sun-Times - Maze", "d:Description": "Roger Ebert's review of the film.", "topic": "Top/Arts/Movies/Titles/M/Maze", "url": "http://www.rogerebert.com/reviews/maze-2001"} +{"d:Title": "Internet Movie Database: Mazes and Monsters", "d:Description": "Synopsis, cast and crew, user comments and ratings, quotations, and links.", "topic": "Top/Arts/Movies/Titles/M/Mazes_and_Monsters", "url": "http://imdb.com/title/tt0084314/"} +{"d:Title": "Nitrate: Ma Vie en Rose", "d:Description": "Review by Elias Savada.", "topic": "Top/Arts/Movies/Titles/M/Ma_vie_en_rose", "url": "http://www.nitrateonline.com/rvierose.html"} +{"d:Title": "Why is \"Ma Vie en Rose\" Rated R?", "d:Description": "Commentary suggesting the film was stigmatized because of its portrayal of sexual identity in young children.", "topic": "Top/Arts/Movies/Titles/M/Ma_vie_en_rose", "url": "http://www.thirdtablet.com/WhyIsMaVieEnRoseRatedR/"} +{"d:Title": "PopMatters - Me, Myself, and Irene", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://popmatters.com/film/reviews/m/me-myself-and-irene.html"} +{"d:Title": "All-Reviews.com - Me, Myself and Irene", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://www.all-reviews.com/videos/me-myself-irene.htm"} +{"d:Title": "HARO Online - Me, Myself, and Irene", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://www.haro-online.com/movies/me_myself_and_irene.html"} +{"d:Title": "Rotten Tomatoes - Me, Myself, and Irene", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://www.rottentomatoes.com/m/me_myself_and_irene/"} +{"d:Title": "Box Office Mojo - Me, Myself&Irene", "d:Description": "Daily box office data for the film. Includes the box office track records of Jim Carrey and the Farrelly brothers.", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://www.boxofficemojo.com/movies/?id=memyselfandirene.htm"} +{"d:Title": "With Bad Taste for All and Charity for None", "d:Description": "Review with MPAA ratings advice and a list of the principal cast and crew [New York Times].", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://www.nytimes.com/2000/06/23/movies/film-review-with-bad-taste-for-all-and-charity-for-none.html"} +{"d:Title": "IMDb - Me, Myself and Irene (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://www.imdb.com/title/tt0183505/"} +{"d:Title": "Metacritic.com: Me, Myself and Irene", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://www.metacritic.com/movie/me-myself-irene"} +{"d:Title": "AllReaders.com Spotlight - Me, Myself,&Irene", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Me,_Myself,_and_Irene", "url": "http://allreaders.com/movie-review-summary/me-myself-and-irene-4942"} +{"d:Title": "HARO Online: Mean Creek", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Mean_Creek", "url": "http://www.haro-online.com/movies/mean_creek.html"} +{"d:Title": "IMDb: Mean Creek (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/M/Mean_Creek", "url": "http://www.imdb.com/title/tt0377091/"} +{"d:Title": "Rotten Tomatoes: Mean Creek", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/M/Mean_Creek", "url": "http://www.rottentomatoes.com/m/mean_creek/"} +{"d:Title": "Chicago Sun-Times: Mean Creek", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/Mean_Creek", "url": "http://www.rogerebert.com/reviews/mean-creek-2004"} +{"d:Title": "1moviesearch: Mean Girls", "d:Description": "Plot synopsis and analysis of the origins of the film.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://www.1moviesearch.com/movies/mean_girls.htm"} +{"d:Title": "HARO Online: Mean Girls", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://www.haro-online.com/movies/mean_girls.html"} +{"d:Title": "All-Reviews.com: Mean Girls", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://www.all-reviews.com/videos-5/mean-girls.htm"} +{"d:Title": "Mean Girls - TEN Movies", "d:Description": "Review of the film, credits, photographs and links.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1089"} +{"d:Title": "Mooviees: Mean Girls", "d:Description": "Images, wallpapers, trailers, reviews, cast list, synopsis and financial figures.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://www.mooviees.com/7685-mean-girls/movie"} +{"d:Title": "Wikipedia: Mean Girls", "d:Description": "Features include plot, analysis, trivia and related links.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://en.wikipedia.org/wiki/Mean_Girls"} +{"d:Title": "IMDb: Mean Girls (2004)", "d:Description": "Cast and crew list, filming locations, discussion forum, and production notes.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://www.imdb.com/title/tt0377092/"} +{"d:Title": "Chicago Sun-Times: Mean Girls", "d:Description": "Roger Ebert's review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "http://www.rogerebert.com/reviews/mean-girls-2004"} +{"d:Title": "Yahoo! Movies: Mean Girls", "d:Description": "Preview, trailer, video clips, images, discussion forum, synopsis and cast list.", "topic": "Top/Arts/Movies/Titles/M/Mean_Girls", "url": "https://www.yahoo.com/movies/film/mean-girls"} +{"d:Title": "HARO Online: Mean Machine", "d:Description": "Mongoose's review: \"'Mean Machine' does manage to rise above the fray for its duration, because of an amusing script and a decent soccer match, but this is still forgettable stuff.\"", "topic": "Top/Arts/Movies/Titles/M/Mean_Machine", "url": "http://www.haro-online.com/movies/mean_machine.html"} +{"d:Title": "IMDb: Mean Machine", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mean_Machine", "url": "http://www.imdb.com/title/tt0291341/"} +{"d:Title": "Metacritic.com: Mean Machine", "d:Description": "Quotes from and links to reviews, with an overall score.", "topic": "Top/Arts/Movies/Titles/M/Mean_Machine", "url": "http://www.metacritic.com/movie/mean-machine"} +{"d:Title": "IMDb - Mean Streets (1973)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mean_Streets", "url": "http://www.imdb.com/title/tt0070379/"} +{"d:Title": "Roger Ebert - Mean Streets", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mean_Streets", "url": "http://www.rogerebert.com/reviews/mean-streets-1973"} +{"d:Title": "TV Guide Online: Mean Streets", "d:Description": "4 1/2 star review, plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mean_Streets", "url": "http://www.tvguide.com/movies/mean-streets/105887/"} +{"d:Title": "IMDB: Hakaider", "d:Description": "Cast list and review.", "topic": "Top/Arts/Movies/Titles/M/Mechanical_Violator_Hakaider", "url": "http://www.imdb.com/title/tt0161644/"} +{"d:Title": "HARO Online: The Medallion", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Medallion,_The", "url": "http://www.haro-online.com/movies/medallion.html"} +{"d:Title": "Internet Movie Database: Medallion, The", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, production and distribution information, trivia, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Medallion,_The", "url": "http://imdb.com/title/tt0288045/"} +{"d:Title": "All-Reviews.com - Medallion", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Medallion,_The", "url": "http://all-reviews.com/videos-5/medallion.htm"} +{"d:Title": "Yahoo! Movies - The Medallion", "d:Description": "Reviews, cast and crew, photographs, multimedia clips, a message board, and links.", "topic": "Top/Arts/Movies/Titles/M/Medallion,_The", "url": "http://movies.yahoo.com/movie/the-medallion/"} +{"d:Title": "Rotten Tomatoes: Meet Dave", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Meet_Dave", "url": "http://www.rottentomatoes.com/m/meet_dave/"} +{"d:Title": "Moviefone: Meet Dave", "d:Description": "Synopsis, cast, crew, trailers, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Meet_Dave", "url": "http://www.moviefone.com/movie/meet-dave/25298/main"} +{"d:Title": "MovieWeb: Meet Dave (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Meet_Dave", "url": "http://movieweb.com/movie/meet-dave/"} +{"d:Title": "All-Reviews.com - Meet Joe Black", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/M/Meet_Joe_Black", "url": "http://www.all-reviews.com/videos/meet-joe-black.htm"} +{"d:Title": "Destination Hollywood: Meet Joe Black", "d:Description": "Synopsis, cast list, photographs, audio clips, quotes, trivia, news, and message board.", "topic": "Top/Arts/Movies/Titles/M/Meet_Joe_Black", "url": "http://www.destinationhollywood.com/movies/meetjoeblack/index.html"} +{"d:Title": "IMDb - Meet Joe Black (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Meet_Joe_Black", "url": "http://www.imdb.com/title/tt0119643/"} +{"d:Title": "Greatest Films: Meet Me In St. Louis (1944)", "d:Description": "Detailed review and synopsis by Tom Dirks.", "topic": "Top/Arts/Movies/Titles/M/Meet_Me_in_St._Louis", "url": "http://www.filmsite.org/meetm.html"} +{"d:Title": "Reel Classics: Meet Me in St. Louis (1944)", "d:Description": "Synopsis, quotes, trivia, cast and crew.", "topic": "Top/Arts/Movies/Titles/M/Meet_Me_in_St._Louis", "url": "http://www.reelclassics.com/Musicals/StLouis/stlouis.htm"} +{"d:Title": "IMDb: Meet Me in St. Louis (1944)", "d:Description": "Cast and crew, plot summary, user comments and rating.", "topic": "Top/Arts/Movies/Titles/M/Meet_Me_in_St._Louis", "url": "http://www.imdb.com/title/tt0037059/"} +{"d:Title": "TV Guide Online: Meet Me in St. Louis", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/M/Meet_Me_in_St._Louis", "url": "http://www.tvguide.com/movies/meet-st-louis/105912/"} +{"d:Title": "All-Reviews.com- Meet the Deedles", "d:Description": "Multiple reviewers give this film a zero, with cast/crew information, synopsis and release information.", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Deedles", "url": "http://www.all-reviews.com/videos/meet-the-deedles.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the film, rates it 'pretty good'.", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Parents", "url": "http://www.haro-online.com/movies/meet_the_parents.html"} +{"d:Title": "PopMatters - Meet the Parents", "d:Description": "Review of the film by Renee Scolaro Rathke. Contains spoilers.", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Parents", "url": "http://popmatters.com/film/reviews/m/meet-the-parents.html"} +{"d:Title": "All-Reviews.com- Meet the Parents", "d:Description": "Multiple reviewers give the film 3 stars.", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Parents", "url": "http://www.all-reviews.com/videos-2/meet-the-parents.htm"} +{"d:Title": "Rotten Tomatoes: Meet the Parents", "d:Description": "Includes reviews, plot synopsis, trailer, movie stills, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Parents", "url": "http://www.rottentomatoes.com/m/meet_the_parents/"} +{"d:Title": "IMDb - Meet the Parents", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Parents", "url": "http://www.imdb.com/title/tt0212338/"} +{"d:Title": "Metacritic.com: Meet the Parents", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Parents", "url": "http://www.metacritic.com/movie/meet-the-parents"} +{"d:Title": "AllReaders.com Spotlight - \"Meet the Parents\"", "d:Description": "Detailed analysis of the film, starring Ben Stiller and Robert de Niro, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Meet_the_Parents", "url": "http://allreaders.com/movie-review-summary/meet-the-parents-4311"} +{"d:Title": "TV Guide Online: Melanie Darrow", "d:Description": "2 star review of this made for tv movie, includes synopsis, cast/crew information, and review.", "topic": "Top/Arts/Movies/Titles/M/Melanie_Darrow", "url": "http://www.tvguide.com/movies/melanie-darrow/133332/"} +{"d:Title": "Tracy Hyde&Melody Home Page", "d:Description": "Fan page with picture gallery, multimedia, FAQ, and links.", "topic": "Top/Arts/Movies/Titles/M/Melody", "url": "http://www.tracyhyde.net/"} +{"d:Title": "IMDb: Melody (1971)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Melody", "url": "http://www.imdb.com/title/tt0067418/"} +{"d:Title": "TV Guide Online: Melody", "d:Description": "3 star review of the film with cast/crew credits and a synopsis.", "topic": "Top/Arts/Movies/Titles/M/Melody", "url": "http://www.tvguide.com/movies/melody/106044/"} +{"d:Title": "Internet Movie Database: Melvin Goes to Dinner (2003)", "d:Description": "Cast and crew listing, user reviews and recommendations of similar films.", "topic": "Top/Arts/Movies/Titles/M/Melvin_Goes_to_Dinner", "url": "http://www.imdb.com/title/tt0323633/"} +{"d:Title": "HARO Online - Memento", "d:Description": "Mongoose reviews the film. Rates it 'Really Good.'", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://www.haro-online.com/movies/memento.html"} +{"d:Title": "PopMatters - Memento", "d:Description": "Review of the film and nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://popmatters.com/film/reviews/m/memento.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Includes a review.", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://crazy4cinema.com/Review/FilmsM/f_memento.html"} +{"d:Title": "All-Reviews.com - Memento", "d:Description": "Reviews of the movie", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://www.all-reviews.com/videos-3/memento.htm"} +{"d:Title": "About.com: Memento", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://homevideo.about.com/library/weekly/aa090501a.htm"} +{"d:Title": "Rotten Tomatoes - Memento", "d:Description": "Reviews of the movie from the nation's top critics and audiences. Also includes movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://www.rottentomatoes.com/m/memento/"} +{"d:Title": "IMDb.com - Memento", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://www.imdb.com/title/tt0209144/"} +{"d:Title": "Chicago Sun-Times - Memento", "d:Description": "Roger Ebert presents the film written and directed by Christopher Nolan.", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://www.rogerebert.com/reviews/memento-2001"} +{"d:Title": "DVD Talk: Memento : Limited Edition Review", "d:Description": "\"The design of this new set enhances the purpose of the film, but will be inevitably frustrating to those who value a straightforward DVD presentation. If you're into games, though, you'll be all over this one.\"", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://www.dvdtalk.com/reviews/3815/memento-limited-edition/"} +{"d:Title": "Metacritic.com: Memento", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Memento", "url": "http://www.metacritic.com/movie/memento"} +{"d:Title": "BeyondHollywood.com: Memento Mori", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Memento_Mori", "url": "http://www.beyondhollywood.com/whispering-corridors-2-memento-mori-1999-movie-review/"} +{"d:Title": "Michelle Yeoh Web Theatre: Memoirs of a Geisha", "d:Description": "Extensive production news updates, interviews, pictures, cast and crew information.", "topic": "Top/Arts/Movies/Titles/M/Memoirs_of_a_Geisha", "url": "http://michelleyeoh.info/Movie/memoirsofageisha.html"} +{"d:Title": "IMDb: Memoirs of a Geisha", "d:Description": "Cast and crew, filming locations, trivia, links, and forum.", "topic": "Top/Arts/Movies/Titles/M/Memoirs_of_a_Geisha", "url": "http://www.imdb.com/title/tt0397535/"} +{"d:Title": "HARO Online: La Mentale - The Code", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Mentale,_La_-_The_Code", "url": "http://www.haro-online.com/movies/mentale_the_code.html"} +{"d:Title": "IMDb: La Mentale - The Code (2002)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mentale,_La_-_The_Code", "url": "http://www.imdb.com/title/tt0304391/"} +{"d:Title": "Rotten Tomatoes: La Mentale - The Code", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/M/Mentale,_La_-_The_Code", "url": "http://www.rottentomatoes.com/m/la_mentale_the_code/"} +{"d:Title": "Men Cry Bullets", "d:Description": "Official site. Synopsis, production information, cast and crew biographies, multimedia, articles, and links.", "topic": "Top/Arts/Movies/Titles/M/Men_Cry_Bullets", "url": "http://www.idfilms.com/mencrybullets/index.html"} +{"d:Title": "Rotten Tomatoes: Men Cry Bullets", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Men_Cry_Bullets", "url": "http://www.rottentomatoes.com/m/men_cry_bullets/"} +{"d:Title": "IMDb: Men Cry Bullets (1997)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Men_Cry_Bullets", "url": "http://www.imdb.com/title/tt0148462/"} +{"d:Title": "WWWF Ground Zero: Men in Black vs Mork", "d:Description": "Mork is in violation of the Intergalactic Disease Control Treaty. Can the Men In Black track him down before he escapes back to Ork? [humor]", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series", "url": "http://www.grudge-match.com/History/mibsmork.shtml"} +{"d:Title": "All-Reviews.com: Men in Black", "d:Description": "A collection of reviews by MrBrown and others. Overall rating: 3 stars out of 4.", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black", "url": "http://www.all-reviews.com/videos/men-in-black.htm"} +{"d:Title": "Filmtracks: Men in Black", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black", "url": "http://www.filmtracks.com/titles/men_black.html"} +{"d:Title": "IMDb - Men in Black", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black", "url": "http://www.imdb.com/title/tt0119654/"} +{"d:Title": "All-Reviews.com: Men In Black II", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black_2", "url": "http://all-reviews.com/videos-4/men-in-black-two.htm"} +{"d:Title": "HARO Online: Men in Black II", "d:Description": "Haro's review of the film: \"Fun to watch, but pretty forgettable.\"", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black_2", "url": "http://www.haro-online.com/movies/men_in_blackii.html"} +{"d:Title": "The Sci-Fi Movie Page: Men in Black 2", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black_2", "url": "http://www.scifimoviepage.com/mib2.html"} +{"d:Title": "Rotten Tomatoes: Men in Black 2", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black_2", "url": "http://www.rottentomatoes.com/m/men_in_black_ii/"} +{"d:Title": "IMDb: Men in Black 2 (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Men_in_Black_Series/Men_in_Black_2", "url": "http://www.imdb.com/title/tt0120912/"} +{"d:Title": "PopMatters - Men of Honor", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/M/Men_of_Honor", "url": "http://popmatters.com/film/reviews/m/men-of-honor.html"} +{"d:Title": "All-Reviews.com- Men of Honor", "d:Description": "Multiple reviewers give this film 2 stars.", "topic": "Top/Arts/Movies/Titles/M/Men_of_Honor", "url": "http://www.all-reviews.com/videos-2/men-of-honor.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie. Rates it 'Not Bad'", "topic": "Top/Arts/Movies/Titles/M/Men_of_Honor", "url": "http://www.haro-online.com/movies/men_of_honor.html"} +{"d:Title": "Reel Faces - Men of Honor", "d:Description": "Explores the real people behind the film's story, with photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Men_of_Honor", "url": "http://www.chasingthefrog.com/reelfaces/menofhonor.php"} +{"d:Title": "Rotten Tomatoes-Men of Honor", "d:Description": "Reviews and reactions from the nation's top critics. Plus information on upcoming movies, news, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/M/Men_of_Honor", "url": "http://www.rottentomatoes.com/m/men_of_honor/"} +{"d:Title": "Imdb.com-Men of Honor", "d:Description": "Cast/crew information with release dates, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Men_of_Honor", "url": "http://www.imdb.com/title/tt0203019/"} +{"d:Title": "Metacritic.com: Men of Honor", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Men_of_Honor", "url": "http://www.metacritic.com/movie/men-of-honor"} +{"d:Title": "HARO Online: Men with Brooms", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Men_with_Brooms", "url": "http://www.haro-online.com/movies/men_with_brooms.html"} +{"d:Title": "Men with Brooms", "d:Description": "Official site from Serendipity Point Films with information about the story, the cast, rules of curling and production notes.", "topic": "Top/Arts/Movies/Titles/M/Men_with_Brooms", "url": "http://www.serendipitypoint.com/film/Men-with-Brooms"} +{"d:Title": "IMDb: Men with Brooms (2002)", "d:Description": "Cast and crew, trivia, technical data and user reviews.", "topic": "Top/Arts/Movies/Titles/M/Men_with_Brooms", "url": "http://www.imdb.com/title/tt0263734/"} +{"d:Title": "TV Guide Online: Men With Guns", "d:Description": "2 1/2 star review of the film with cast/crew credits and a synopsis.", "topic": "Top/Arts/Movies/Titles/M/Men_with_Guns", "url": "http://www.tvguide.com/movies/men-guns/132936/"} +{"d:Title": "HARO Online - Merci pour le chocolat", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Merci_pour_le_chocolat", "url": "http://www.haro-online.com/movies/merci_pour_le_chocolat.html"} +{"d:Title": "IMDb - Merci pour le chocolat (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Merci_pour_le_chocolat", "url": "http://www.imdb.com/title/tt0232083/"} +{"d:Title": "All-Reviews.com - Mercury Rising", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mercury_Rising", "url": "http://www.all-reviews.com/videos/mercury-rising.htm"} +{"d:Title": "TV Guide Online: Mercury Rising", "d:Description": "1 star review with cast/crew credits, plot synopsis, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mercury_Rising", "url": "http://www.tvguide.com/movies/mercury-rising/132943/"} +{"d:Title": "Mercy (2000)", "d:Description": "Review by Richard Scheib relating it to Basic Instincts and Blue Velvet.", "topic": "Top/Arts/Movies/Titles/M/Mercy_-_2000", "url": "http://www.moria.co.nz/horror/mercy.htm"} +{"d:Title": "IMDb: Mercy (2000)", "d:Description": "Cast information and links, plot synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mercy_-_2000", "url": "http://www.imdb.com/title/tt0188055/"} +{"d:Title": "IMDb: Mercy (2000)", "d:Description": "Cast and crew information and links to their other works.", "topic": "Top/Arts/Movies/Titles/M/Mercy_-_2000/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0188055/fullcredits"} +{"d:Title": "HARO Online-Mercy Streets", "d:Description": "Mongoose reviews the movie. Rates it not that good.", "topic": "Top/Arts/Movies/Titles/M/Mercy_Streets", "url": "http://www.haro-online.com/movies/mercy_streets.html"} +{"d:Title": "Metacritic.com: Mercy Streets", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mercy_Streets", "url": "http://www.metacritic.com/movie/mercy-streets"} +{"d:Title": "IMDb - Merlot (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Merlot", "url": "http://www.imdb.com/title/tt0164754/"} +{"d:Title": "IMDb: Keep the Aspidistra Flying (A Merry War)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Merry_War,_A", "url": "http://www.imdb.com/title/tt0119453/"} +{"d:Title": "TV Guide Online: Merry War, A", "d:Description": "3 star review with plot, cast/crew credits and background information.", "topic": "Top/Arts/Movies/Titles/M/Merry_War,_A", "url": "http://www.tvguide.com/movies/merry-war/133237/"} +{"d:Title": "All-Reviews.com - Message in a Bottle", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/M/Message_in_a_Bottle", "url": "http://www.all-reviews.com/videos/message-in-bottle.htm"} +{"d:Title": "IMDb - Message in a Bottle", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Message_in_a_Bottle", "url": "http://www.imdb.com/title/tt0139462/"} +{"d:Title": "Stunning \"Messenger\" fails to deliver", "d:Description": "CNN's Paul Clinton says that \"The Messenger: The Story of Joan of Arc\" is beautiful to look at, but suffers from insipid dialogue and an unconvincing leading lady. Review and stills.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.cnn.com/SHOWBIZ/Movies/9911/11/review.messenger/index.html"} +{"d:Title": "Screen It!: \"The Messenger: The Story of Joan of Arc\"", "d:Description": "A lengthy analysis, designed as a guide for parents.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.screenit.com/movies/1999/the_messenger_the_story_of_joan_of_arc.html"} +{"d:Title": "All-Reviews.com: \"The Messenger: The Story of Joan of Arc\"", "d:Description": "Four reviews, with an overall rating of 2/4.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.all-reviews.com/videos/messenger.htm"} +{"d:Title": "HARO Online: \"The Messenger: The Story of Joan of Arc\"", "d:Description": "A review of the film by Haro, who rates it \"okay.\"", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.haro-online.com/movies/messenger.html"} +{"d:Title": "Messenger: The Story of Joan of Arc, The (1999)", "d:Description": "Cast and credits, plot summary, awards and nominations, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://imdb.com/title/tt0151137/"} +{"d:Title": "Joan of Arc: The Messenger (1999)", "d:Description": "The film tries to be different, and ends up being ridiculous. Reviewer lays the blame on a ham-fisted script and lackluster acting. Rated 2/5.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.bbc.co.uk/films/2000/11/27/joan_of_arc_messenger_1999_review.shtml"} +{"d:Title": "Box Office Mojo: \"The Messenger: The Story of Joan of Arc\"", "d:Description": "Daily box office reports for the film's theatrical run. Includes a comparison with other Luc Besson movies.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.boxofficemojo.com/movies/?id=messenger.htm"} +{"d:Title": "Chicago Sun-Times: \"The Messenger: The Story of Joan of Arc\"", "d:Description": "Scathing review of this inept film, by Roger Ebert, who rates it 2/4.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.rogerebert.com/reviews/the-messenger-the-story-of-joan-of-arc-1999"} +{"d:Title": "Yahoo Movies: Messenger: The Story of Joan of Arc (1999)", "d:Description": "Plot synopsis, film overview, credits, awards, photographs, reviews, ratings, and message board.", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "https://www.yahoo.com/movies/film/the-messenger-the-story-of-joan-of-arc"} +{"d:Title": "The French Witch Project", "d:Description": "MaryAnn Johanson reviews the tedious and self-important \"The Messenger: The Story of Joan of Arc.\"", "topic": "Top/Arts/Movies/Titles/M/Messenger_-_The_Story_of_Joan_of_Arc,_The", "url": "http://www.flickfilosopher.com/1999/11/the-messenger-the-story-of-joan-of-arc-review.html"} +{"d:Title": "IMDb: Metroland", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Metroland", "url": "http://www.imdb.com/title/tt0119665/"} +{"d:Title": "All-Reviews.com: Metropolis", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/M/Metropolis", "url": "http://www.all-reviews.com/videos-4/metropolis.htm"} +{"d:Title": "Metropolis Walkthrough", "d:Description": "An online hypertext-and-image environment based on Fritz Lang's classic film; the site also includes profiles of the main characters in the film, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Metropolis", "url": "http://www.watson.org/~mccann/Metropolis/"} +{"d:Title": "Metropolis (1927)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/M/Metropolis", "url": "http://www.imdb.com/title/tt0017136/"} +{"d:Title": "Metacritic.com: Metropolis", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Metropolis", "url": "http://www.metacritic.com/movie/metropolis-2002"} +{"d:Title": "Metacritic.com: Metropolis", "d:Description": "Quotes from and links to reviews of the film's re-release, as well as user comments.", "topic": "Top/Arts/Movies/Titles/M/Metropolis", "url": "http://www.metacritic.com/movie/metropolis-re-release"} +{"d:Title": "TV Guide Online: Metropolis", "d:Description": "Includes a detailed review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/M/Metropolis", "url": "http://www.tvguide.com/movies/metropolis/132138/"} +{"d:Title": "Kino Lorber: Fritz Lang's Metropolis", "d:Description": "Official site for the restored version of the film. Production and restoration details, cast, video clips, and image gallery.", "topic": "Top/Arts/Movies/Titles/M/Metropolis", "url": "https://www.kinolorber.com/film/metropolis2002restoration"} +{"d:Title": "All-Reviews.com -The Mexican", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/M/Mexican,_The", "url": "http://www.all-reviews.com/videos-2/mexican.htm"} +{"d:Title": "HARO Online - Mexican, The", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Mexican,_The", "url": "http://www.haro-online.com/movies/mexican.html"} +{"d:Title": "Rotten Tomatoes - The Mexican", "d:Description": "Reviews and reactions from the nation's top critics. Plus information on upcoming movies, news, trailers, photos, and a synopsis.", "topic": "Top/Arts/Movies/Titles/M/Mexican,_The", "url": "http://www.rottentomatoes.com/m/mexican/"} +{"d:Title": "IMdb.com - Mexican, The", "d:Description": "Cast/crew information with user comments and ratings, with links to trailers, reviews, and other related details.", "topic": "Top/Arts/Movies/Titles/M/Mexican,_The", "url": "http://www.imdb.com/title/tt0236493/"} +{"d:Title": "Allreaders Spotlight - Mexican, The", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Mexican,_The", "url": "http://allreaders.com/movie-review-summary/the-mexican-5142"} +{"d:Title": "Metacritic.com: Mexican, The", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mexican,_The", "url": "http://www.metacritic.com/movie/the-mexican"} +{"d:Title": "HARO Online: Me Without You", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Me_Without_You", "url": "http://www.haro-online.com/movies/me_without_you.html"} +{"d:Title": "All-Reviews.com: Me Without You", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Me_Without_You", "url": "http://www.all-reviews.com/videos-4/me-without-you.htm"} +{"d:Title": "IMDb: Me Without You (2001)", "d:Description": "Credits, user reviews and technical information.", "topic": "Top/Arts/Movies/Titles/M/Me_Without_You", "url": "http://www.imdb.com/title/tt0263725/"} +{"d:Title": "Metacritic.com: Me Without You", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Me_Without_You", "url": "http://www.metacritic.com/movie/me-without-you"} +{"d:Title": "Haro Online", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Me_You_Them", "url": "http://www.haro-online.com/movies/me_you_them.html"} +{"d:Title": "IMDb: Eu Tu Eles (2000)", "d:Description": "Plot synopsis, cast and crew listings, and user reviews.", "topic": "Top/Arts/Movies/Titles/M/Me_You_Them", "url": "http://www.imdb.com/title/tt0244504/"} +{"d:Title": "Metacritic.com: Me You Them", "d:Description": "Film information, links to reviews, and an overall score.", "topic": "Top/Arts/Movies/Titles/M/Me_You_Them", "url": "http://www.metacritic.com/movie/me-you-them"} +{"d:Title": "All-Reviews.com - Miami Rhapsody", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Miami_Rhapsody", "url": "http://www.all-reviews.com/videos-2/miami-rhapsody.htm"} +{"d:Title": "IMDb.com - Miami Rhapsody", "d:Description": "Complete cast/crew listings with ratings and comments.", "topic": "Top/Arts/Movies/Titles/M/Miami_Rhapsody", "url": "http://www.imdb.com/title/tt0113808/"} +{"d:Title": "AllReaders.com: Michael", "d:Description": "Analysis of the John Travolta film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/M/Michael", "url": "http://allreaders.com/movie-review-summary/michael-5609"} +{"d:Title": "IMDb: Michael Clayton (2007)", "d:Description": "Videos, photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Michael_Clayton", "url": "http://www.imdb.com/title/tt0465538/"} +{"d:Title": "Rotten Tomatoes: Michael Clayton", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, news, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Michael_Clayton", "url": "http://www.rottentomatoes.com/m/michael_clayton/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, production, release, and critical reception.", "topic": "Top/Arts/Movies/Titles/M/Michael_Clayton", "url": "http://en.wikipedia.org/wiki/Michael_Clayton_(film)"} +{"d:Title": "IGN Movies: Michael Clayton", "d:Description": "Photos, videos, news, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Michael_Clayton", "url": "http://www.ign.com/movies/michael-clayton/theater-746827"} +{"d:Title": "MovieWeb: Michael Clayton (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/M/Michael_Clayton", "url": "http://movieweb.com/movie/michael-clayton/"} +{"d:Title": "The Boston Globe: Michael Clayton", "d:Description": "Review, by Ty Burr: \"Michael Clayton is about the gap between predatory professionalism and the sins of real life - about how those sins can corrode the hardest business suit of armor.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Michael_Clayton/Reviews", "url": "http://articles.boston.com/2007-10-05/news/29235856_1_michael-clayton-arthur-edens-tony-gilroy"} +{"d:Title": "USA Today: Michael Clayton", "d:Description": "Review, by Claudia Puig: \"It's a rare film that can challenge our minds and rattle our nerves so profoundly. This is unequivocally a thriller for adults. A deftly written, tautly suspenseful and intellectually demanding morality tale.\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Michael_Clayton/Reviews", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-10-04-michael-clayton_N.htm"} +{"d:Title": "FilmScouts: Michael Collins", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/M/Michael_Collins", "url": "http://www.filmscouts.com/scripts/film.cfm?Film=mic-col"} +{"d:Title": "All-Reviews.com - Michael Collins", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/M/Michael_Collins", "url": "http://www.all-reviews.com/videos-5/michael-collins.htm"} +{"d:Title": "IMDb: Michael Collins", "d:Description": "Cast and crew list, production information, plot summary, reviews, links and other details.", "topic": "Top/Arts/Movies/Titles/M/Michael_Collins", "url": "http://www.imdb.com/title/tt0117039/"} +{"d:Title": "Michael Collins", "d:Description": "Official movie site.", "topic": "Top/Arts/Movies/Titles/M/Michael_Collins", "url": "http://www.warnerbros.com/michael-collins"} +{"d:Title": "Metacritic.com: Michael Jordan to the Max", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Michael_Jordan_to_the_Max", "url": "http://www.metacritic.com/movie/michael-jordan-to-the-max"} +{"d:Title": "Michael Shayne", "d:Description": "Filmography and background on the character, also including book, radio and television versions.", "topic": "Top/Arts/Movies/Titles/M/Michael_Shayne_Series", "url": "http://www.thrillingdetective.com/shaynemike.html"} +{"d:Title": "Michael Shayne, Private Detective", "d:Description": "Cast, crew and synopsis for the first of the Lloyd Nolan series.", "topic": "Top/Arts/Movies/Titles/M/Michael_Shayne_Series", "url": "http://imdb.com/title/tt0032788/"} +{"d:Title": "Three on a Ticket", "d:Description": "Cast, crew and plot summary for the most entertaining of the series films starring Hugh Beaumont.", "topic": "Top/Arts/Movies/Titles/M/Michael_Shayne_Series", "url": "http://imdb.com/title/tt0039899/"} +{"d:Title": "AllMovie: Michael Shayne, Private Detective", "d:Description": "Synopsis, review, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/M/Michael_Shayne_Series", "url": "http://www.allmovie.com/movie/michael-shayne-private-detective-v102221"} +{"d:Title": "All-Reviews.com - Mickey Blue Eyes", "d:Description": "Collection of reviews about the movie.", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.all-reviews.com/videos/mickey-blue-eyes.htm"} +{"d:Title": "Cosmopolis - Mickey Blue Eyes -", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.cosmopolis.ch/english/cosmo7/mickeyblue.htm"} +{"d:Title": "Mickey Blue Eyes", "d:Description": "Rotten Tomatoes", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.rottentomatoes.com/m/mickey_blue_eyes/"} +{"d:Title": "This Refined Briton, To Wed a Mafia Doll, Goes to a Trattoria . . .", "d:Description": "Review by Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.nytimes.com/1999/08/20/movies/film-review-this-refined-briton-to-wed-a-mafia-doll-goes-to-a-trattoria.html"} +{"d:Title": "Homophobia Stays Home, Sex and Drugs Go to School", "d:Description": "Critique by Peter M. Nichols [New York Times].", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.nytimes.com/1999/09/17/movies/taking-the-children-homophobia-stays-home-sex-and-drugs-go-to-school.html"} +{"d:Title": "IMDb - Mickey Blue Eyes", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.imdb.com/title/tt0130121/"} +{"d:Title": "Roger Ebert - Mickey Blue Eyes", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.rogerebert.com/reviews/mickey-blue-eyes-1999"} +{"d:Title": "Metacritic.com: Mickey Blue Eyes", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mickey_Blue_Eyes", "url": "http://www.metacritic.com/movie/mickey-blue-eyes"} +{"d:Title": "IMDb - Midnight (1989)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Midnight_-_1989", "url": "http://www.imdb.com/title/tt0097876/"} +{"d:Title": "Greatest Films - Midnight Cowboy", "d:Description": "Detailed review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Cowboy", "url": "http://www.filmsite.org/midn.html"} +{"d:Title": "All-Reviews.com: Midnight Cowboy", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Cowboy", "url": "http://all-reviews.com/videos-4/midnight-cowboy.htm"} +{"d:Title": "IMDb - Midnight Cowboy", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Cowboy", "url": "http://www.imdb.com/title/tt0064665/"} +{"d:Title": "TV Guide Online: Midnight Cowboy", "d:Description": "4 star review with plot, cast/crew credits, pictures, and background information.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Cowboy", "url": "http://www.tvguide.com/movies/midnight-cowboy/106208/"} +{"d:Title": "IMDb - Midnight Express", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Midnight_Express_-_1978", "url": "http://www.imdb.com/title/tt0077928/"} +{"d:Title": "TV Guide Online: Midnight Express", "d:Description": "4 star review with plot, cast/crew credits, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Express_-_1978", "url": "http://www.tvguide.com/movies/midnight-express/106213/"} +{"d:Title": "IMDb: Midnight in St. Petersburg", "d:Description": "Synopsis, cast and crew, and viewer comments.", "topic": "Top/Arts/Movies/Titles/M/Midnight_in_St._Petersburg", "url": "http://www.imdb.com/title/tt0113634/"} +{"d:Title": "All-Reviews.com- Midnight in the Garden of Good and Evil", "d:Description": "Collection of reviews about the movie", "topic": "Top/Arts/Movies/Titles/M/Midnight_in_the_Garden_of_Good_and_Evil", "url": "http://www.all-reviews.com/videos/midnight-garden.htm"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Review of the film including cast listings and film pictures.", "topic": "Top/Arts/Movies/Titles/M/Midnight_in_the_Garden_of_Good_and_Evil", "url": "http://crazy4cinema.com/Review/FilmsM/f_midnight_garden.html"} +{"d:Title": "TV Guide Online: Midnight Man, The", "d:Description": "2 1/2 star review with plot, cast/crew credits, picture, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Man,_The", "url": "http://www.tvguide.com/movies/midnight-man/106221/"} +{"d:Title": "Rotten Tomatoes: Midnight Meat Train", "d:Description": "Synopsis, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Meat_Train", "url": "http://www.rottentomatoes.com/m/10008617-midnight_meat_train/"} +{"d:Title": "ShockTillYouDrop.Com: Midnight Meat Train", "d:Description": "Review, by Ryan Rotten: \"It's seedy, strange, creepy as hell and it relishes an exploitive gross-out gag here and there. That's a ticket to ride I'd buy any day.\" [Rating: 7 out of 10]", "topic": "Top/Arts/Movies/Titles/M/Midnight_Meat_Train", "url": "http://www.shocktillyoudrop.com/news/reviewsnews.php?id=5914"} +{"d:Title": "MovieWeb: Midnight Meat Train (2008)", "d:Description": "Synopsis, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/Midnight_Meat_Train", "url": "http://movieweb.com/movie/midnight-meat-train/"} +{"d:Title": "All-Reviews.com - Midsummer Night's Sex Comedy", "d:Description": "2 1/2 star review of the movie", "topic": "Top/Arts/Movies/Titles/M/Midsummer's_Night_Sex_Comedy", "url": "http://www.all-reviews.com/videos-2/midsummer-nights-sex.htm"} +{"d:Title": "IMDb - A Midsummer Night's Dream (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Midsummer_Night's_Dream,_A_-_1996", "url": "http://www.imdb.com/title/tt0117043/"} +{"d:Title": "All-Reviews.com - A Midsummer Night's Dream", "d:Description": "Eight reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Midsummer_Night's_Dream,_A_-_1999", "url": "http://www.all-reviews.com/videos-3/midsummer-nights-dream.htm"} +{"d:Title": "Midsummer Night's Dream", "d:Description": "Reviews of Midsummer Night's Dream from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/M/Midsummer_Night's_Dream,_A_-_1999", "url": "http://www.rottentomatoes.com/m/1090918-midsummer_nights_dream/"} +{"d:Title": "TV Guide Online: Midsummer Night's Dream, A", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/M/Midsummer_Night's_Dream,_A_-_1999", "url": "http://www.tvguide.com/movies/william-shakespeares-midsummer/133940"} +{"d:Title": "IMDb - A Midsummer Night's Dream (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Midsummer_Night's_Dream,_A_-_1999", "url": "http://www.imdb.com/title/tt0140379/"} +{"d:Title": "TV Guide Online: Midwinter's Tale, A", "d:Description": "3 star review with plot, cast/crew credits, picture, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Midwinter's_Tale,_A", "url": "http://www.tvguide.com/movies/midwinters-tale/131045/"} +{"d:Title": "HARO Online - Mifune", "d:Description": "A review by Mongoose.", "topic": "Top/Arts/Movies/Titles/M/Mifune", "url": "http://www.haro-online.com/movies/mifune.html"} +{"d:Title": "PopMatters - Mifune", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mifune", "url": "http://popmatters.com/film/reviews/m/mifune.html"} +{"d:Title": "IMDb - Mifune (Mifunes sidste sang) (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mifune", "url": "http://www.imdb.com/title/tt0164756/"} +{"d:Title": "Metacritic.com: Mifune", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mifune", "url": "http://www.metacritic.com/movie/mifunes-last-song"} +{"d:Title": "IMDb - The Mighty (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mighty,_The", "url": "http://www.imdb.com/title/tt0119670/"} +{"d:Title": "All-Reviews.com - Mighty Aphrodite", "d:Description": "Reviews of the movie, overall rating of 2 1/2 stars out of 4.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Aphrodite", "url": "http://www.all-reviews.com/videos-2/mighty-aphrodite.htm"} +{"d:Title": "TV Guide Online: Mighty Aphrodite", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Aphrodite", "url": "http://www.tvguide.com/movies/mighty-aphrodite/130381/"} +{"d:Title": "Toastburglar's Mighty Ducks Fan Page", "d:Description": "Contains pictures, information, and links.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Ducks,_The", "url": "http://www.angelfire.com/movies/quackquackquack/"} +{"d:Title": "Knuckle Pucked!", "d:Description": "Fan site dedicated to the films, with character information, links, pictures, and other related information.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Ducks,_The", "url": "http://www.angelfire.com/de3/knucklepucked/index.html"} +{"d:Title": "UltimateDisney.com: The Mighty Ducks", "d:Description": "DVD review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Ducks,_The", "url": "http://www.ultimatedisney.com/mightyducks.html"} +{"d:Title": "IMDb: The Mighty Ducks", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Ducks,_The", "url": "http://www.imdb.com/title/tt0104868/"} +{"d:Title": "Allreaders: Mighty Ducks, The", "d:Description": "Offers an analysis of the Emilio Estevez film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Ducks,_The", "url": "http://allreaders.com/movie-review-summary/the-mighty-ducks-5544"} +{"d:Title": "IMDb: A Mighty Heart (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Heart,_A", "url": "http://www.imdb.com/title/tt0829459/"} +{"d:Title": "Rotten Tomatoes: A Mighty Heart", "d:Description": "Review links, synopsis, critical consensus, production notes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Heart,_A", "url": "http://www.rottentomatoes.com/m/mighty_heart/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the story, cast, production, criticism, and awards.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Heart,_A", "url": "http://en.wikipedia.org/wiki/A_Mighty_Heart_(film)"} +{"d:Title": "IGN Movies: A Mighty Heart", "d:Description": "Photos, videos, news, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Mighty_Heart,_A", "url": "http://www.ign.com/movies/a-mighty-heart/theater-842302"} +{"d:Title": "USA Today: A Mighty Heart", "d:Description": "Review, by Claudia Puig: \"Emotionally and viscerally compelling and retains a suspenseful, edge-of-the-seat quality.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mighty_Heart,_A", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-06-21-mighty-heart_N.htm"} +{"d:Title": "All-Reviews.com - Mighty Joe Young", "d:Description": "Multiple reviewers give this film 2/4 stars.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Joe_Young_-_1998", "url": "http://www.all-reviews.com/videos/mighty-joe-young.htm"} +{"d:Title": "IMDb - Mighty Joe Young", "d:Description": "Cast/credits plus additional information about the film with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Joe_Young_-_1998", "url": "http://www.imdb.com/title/tt0120751/"} +{"d:Title": "TV Guide Online: Mighty Joe Young", "d:Description": "2 1/2 star review with plot, cast/crew credits, picture, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Joe_Young_-_1998", "url": "http://www.tvguide.com/movies/mighty-joe-young/132908/"} +{"d:Title": "TV Guide Online: Mighty Kong, The", "d:Description": "2 star rating with plot, cast/crew credits, picture, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Kong,_The", "url": "http://www.tvguide.com/movies/mighty-kong/131499/"} +{"d:Title": "Internet Movie Database: Mighty Wind, A", "d:Description": "Plot summary, cast and crew, reviews, user comments and ratings, production and distribution information, trivia, quotations, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Wind,_A", "url": "http://imdb.com/title/tt0310281/"} +{"d:Title": "More Things: A Mighty Wind", "d:Description": "Review by Al Barger.", "topic": "Top/Arts/Movies/Titles/M/Mighty_Wind,_A", "url": "http://www.morethings.com/fan/a_mighty_wind.htm"} +{"d:Title": "Future Movies - A Mighty Wind", "d:Description": "Unsigned review (predominantly positive).", "topic": "Top/Arts/Movies/Titles/M/Mighty_Wind,_A", "url": "https://www.futuremovies.co.uk/reviews/a-mighty-wind/jay-richardson"} +{"d:Title": "Mikey (1992)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/M/Mikey", "url": "http://www.imdb.com/title/tt0104870/"} +{"d:Title": "TV Guide Online: Mikey", "d:Description": "1 star review with plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mikey", "url": "http://www.tvguide.com/movies/mikey/128990/"} +{"d:Title": "Mike Hammer", "d:Description": "The film and other history of Mickey Spillane's seedy, rules-breaking, often brutal detective.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies", "url": "http://www.thrillingdetective.com/hammer.html"} +{"d:Title": "IMDb - The Girl Hunters", "d:Description": "Cast and crew information and a review of the film where Mickey Spillane played Mike Hammer.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Girl_Hunters,_The", "url": "http://www.imdb.com/title/tt0057102/"} +{"d:Title": "I, the Jury", "d:Description": "Review by James Kendrick.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/I,_the_Jury_-_1982", "url": "http://www.qnetwork.com/?page=review&id=404"} +{"d:Title": "I, the Jury (1982)", "d:Description": "Cast, crew and plot summary.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/I,_the_Jury_-_1982", "url": "http://www.imdb.com/title/tt0084112/"} +{"d:Title": "I, the Jury", "d:Description": "Synopsis, cast and related links from the All Movie Guide.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/I,_the_Jury_-_1982", "url": "http://www.allmovie.com/movie/v24212"} +{"d:Title": "Kiss Me Deadly", "d:Description": "Analysis labeling this the \"definitive, apocalyptic, nihilistic, science-fiction film noir of all time.\"", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.filmsite.org/kiss.html"} +{"d:Title": "The Kiss Me Mangled Mystery", "d:Description": "Discussion of how and why the film's ending was altered. Also links to a review and second article about the ending.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.imagesjournal.com/issue03/features/kmd1.htm"} +{"d:Title": "Kiss Me Deadly", "d:Description": "Technical review of the film's DVD release.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.thecinemalaser.com/dvd2/reviews/kiss-me-deadly-dvd.htm"} +{"d:Title": "Kiss Me Deadly", "d:Description": "Glenn Erickson calls the film a \"cultural hand grenade, circa 1955.\"", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.dvdtalk.com/dvdsavant/s286kiss.html"} +{"d:Title": "Kiss Me Deadly (1955)", "d:Description": "Cast, crew, plot summary and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.imdb.com/title/tt0048261/"} +{"d:Title": "Kiss Me Deadly", "d:Description": "Jeremy Heilman says the film gives off radioactively intense energy.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.moviemartyr.com/1955/kissmedeadly.htm"} +{"d:Title": "AllMovie: Kiss Me Deadly", "d:Description": "Synopsis, review, credits, and list of similar films.", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.allmovie.com/movie/kiss-me-deadly-v27523"} +{"d:Title": "Kiss Me Deadly", "d:Description": "Robert Weston essay calling this \"arguably the greatest example of American noir cinema.\"", "topic": "Top/Arts/Movies/Titles/M/Mike_Hammer_Movies/Kiss_Me_Deadly", "url": "http://www.filmmonthly.com/film_noir/kiss_me_deadly.html"} +{"d:Title": "IMDB: Milk (1999/I)", "d:Description": "Cast and crew details and reviews of the \"amiable black comedy\".", "topic": "Top/Arts/Movies/Titles/M/Milk", "url": "http://www.imdb.com/title/tt0160534/"} +{"d:Title": "Rotten Tomatoes: Milk", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Milk_-_2008", "url": "http://www.rottentomatoes.com/m/10009495-milk/"} +{"d:Title": "Wikipedia: Milk (film)", "d:Description": "Encyclopedia entry discussing the 2008 movie's plot. production, cast, release, and awards.", "topic": "Top/Arts/Movies/Titles/M/Milk_-_2008", "url": "http://en.wikipedia.org/wiki/Milk_(film)"} +{"d:Title": "TV Guide: Milk", "d:Description": "Review, by Perry Seibert: \"Harvey Milk embodied the concept that \"all politics is personal,\" and by presenting the famed Mayor of Castro Street's personal and public lives with such clarity and empathy, Van Sant has made something very rare in Hollywood -- a genuinely powerful political film that works equally well as a story of personal triumph.\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Milk_-_2008", "url": "http://www.tvguide.com/movies/milk/review/295989/"} +{"d:Title": "IGN: Milk", "d:Description": "Photos, videos, news, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Milk_-_2008", "url": "http://www.ign.com/movies/milk/theater-14219421"} +{"d:Title": "USA Today: Milk", "d:Description": "Review, by Claudia Puig: \"Penn's Oscar-caliber transformation is breathtaking, and the saga of one man's fight for human rights is engrossing.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Milk_-_2008", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-11-25-milk-review_N.htm"} +{"d:Title": "ReelViews: Milk", "d:Description": "Review, by James Berardinelli: \"Milk feels like an important picture, but not in a way that makes it tedious to watch. There's no pretentious sheen to the proceedings.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Milk_-_2008", "url": "http://www.reelviews.net/reelviews/milk"} +{"d:Title": "All-Reviews.com - Milk Money", "d:Description": "Multiple reviewers rate this film 2/4 stars.", "topic": "Top/Arts/Movies/Titles/M/Milk_Money", "url": "http://www.all-reviews.com/videos-2/milk-money.htm"} +{"d:Title": "TV Guide Online: Millennium", "d:Description": "1 star review with plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Millennium", "url": "http://www.tvguide.com/movies/millennium/127533/"} +{"d:Title": "IMDb: Miller's Crossing (1990)", "d:Description": "Cast and crew listing, trivia, quotes, and technical details.", "topic": "Top/Arts/Movies/Titles/M/Miller's_Crossing", "url": "http://www.imdb.com/title/tt0100150/"} +{"d:Title": "Chicago Sun-Times: Miller's Crossing", "d:Description": "Roger Ebert's review: \"It is likely to be most appreciated by movie lovers who will enjoy its resonance with films of the past.\" Three out of five stars.", "topic": "Top/Arts/Movies/Titles/M/Miller's_Crossing", "url": "http://www.rogerebert.com/reviews/millers-crossing-1990"} +{"d:Title": "IMDb: Million Dollar Baby", "d:Description": "Movie information includes cast, crew, reviews, plot summary, comments, and related links.", "topic": "Top/Arts/Movies/Titles/M/Million_Dollar_Baby", "url": "http://www.imdb.com/title/tt0405159/"} +{"d:Title": "HARO Online - Million Dollar Hotel, The", "d:Description": "Mongoose reviews the movie. Rates it 'pretty bad'.", "topic": "Top/Arts/Movies/Titles/M/Million_Dollar_Hotel,_The", "url": "http://www.haro-online.com/movies/million_dollar_hotel.html"} +{"d:Title": "Macphisto.net: Million Dollar Hotel", "d:Description": "Film information and photographs from the film's press conference during the 50th Berlinale festival.", "topic": "Top/Arts/Movies/Titles/M/Million_Dollar_Hotel,_The", "url": "http://www.macphisto.net/berlinale/"} +{"d:Title": "Rotten Tomatoes - Million Dollar Hotel", "d:Description": "Multiple reviews, synopsis, cast/crew information, trailers, and other related information.", "topic": "Top/Arts/Movies/Titles/M/Million_Dollar_Hotel,_The", "url": "http://www.rottentomatoes.com/m/million_dollar_hotel/"} +{"d:Title": "IMDb - The Million Dollar Hotel (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Million_Dollar_Hotel,_The", "url": "http://www.imdb.com/title/tt0120753/"} +{"d:Title": "Metacritic.com: Million Dollar Hotel, The", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Million_Dollar_Hotel,_The", "url": "http://www.metacritic.com/movie/the-million-dollar-hotel"} +{"d:Title": "IMDb - The Million Dollar Kid", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Million_Dollar_Kid,_The", "url": "http://www.imdb.com/title/tt0172464/"} +{"d:Title": "Movie Quotes Site: Mimic", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/M/Mimic", "url": "http://www.moviequotes.com/archive/titles/2340.html"} +{"d:Title": "MovieWeb: Mimic", "d:Description": "A brief review with cast list, publicitiy shots, and movie stills.", "topic": "Top/Arts/Movies/Titles/M/Mimic", "url": "http://movieweb.com/movie/mimic/"} +{"d:Title": "All-Reviews.com: Mimic", "d:Description": "A collection of three different reviews of the movie. Overall rating: 2 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/M/Mimic", "url": "http://www.all-reviews.com/videos/mimic.htm"} +{"d:Title": "IMDb: Mimic (1997)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mimic", "url": "http://www.imdb.com/title/tt0119675/"} +{"d:Title": "TV Guide Online: Mimic", "d:Description": "3 star review with plot, cast, picture, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mimic", "url": "http://www.tvguide.com/movies/mimic/132114/"} +{"d:Title": "The Minority Report Fansite", "d:Description": "Pre-production news and fan art.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://minorityreport.8m.com/index2.html"} +{"d:Title": "KillerMovies.com: Minority Report", "d:Description": "Synopsis, trailers, and articles.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.killermovies.com/m/minorityreport/"} +{"d:Title": "All-Reviews.com: Minority Report", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.all-reviews.com/videos-4/minority-report.htm"} +{"d:Title": "HARO Online: Minority Report", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.haro-online.com/movies/minority_report.html"} +{"d:Title": "Romantic Movies: Minority Report", "d:Description": "Review, picture gallery, and links.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://romanticmovies.about.com/library/weekly/aaminorityreportreview.htm"} +{"d:Title": "About.com: Minority Report", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://homevideo.about.com/library/weekly/aafpr121902.htm"} +{"d:Title": "Filmtracks: Minority Report", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.filmtracks.com/titles/minority_report.html"} +{"d:Title": "Things to Think About After Seeing Minority Report", "d:Description": "Scott Manning's review of the film, as well as a series of political and legal questions associated with the film's premise.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.scottmanning.com/archives/000421.php"} +{"d:Title": "Rotten Tomatoes: Minority Report", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.rottentomatoes.com/m/minority_report/"} +{"d:Title": "The Sci-Fi Movie Page: Minority Report", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.scifimoviepage.com/minority.html"} +{"d:Title": "IMDb: Minority Report", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.imdb.com/title/tt0181689/"} +{"d:Title": "Chicago Sun-Times: Minority Report", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.rogerebert.com/reviews/minority-report-2002"} +{"d:Title": "Contactmusic.com: Minority Report", "d:Description": "Articles, interviews, and multimedia.", "topic": "Top/Arts/Movies/Titles/M/Minority_Report", "url": "http://www.contactmusic.com/pages/minoreportx20x05x02"} +{"d:Title": "Reel Faces: Miracle", "d:Description": "Explores the real people behind the film's story, including photographs and related links.", "topic": "Top/Arts/Movies/Titles/M/Miracle", "url": "http://www.chasingthefrog.com/reelfaces/miracle.php"} +{"d:Title": "IMDb: Miracle (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/M/Miracle", "url": "http://www.imdb.com/title/tt0349825/"} +{"d:Title": "HARO Online: Miracle", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Miracle", "url": "http://www.haro-online.com/movies/miracle.html"} +{"d:Title": "Rotten Tomatoes: Miracle", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/M/Miracle", "url": "http://www.rottentomatoes.com/m/miracle/"} +{"d:Title": "Chicago Sun-Times: Miracle", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/Miracle", "url": "http://www.rogerebert.com/reviews/miracle-2004"} +{"d:Title": "New York Magazine: Miracle at St. Anna", "d:Description": "Review, by David Edelstein: \"When Lee isn't doing cinematic somersaults or mining for injustice, he doesn't seem to know where to put the camera. The logistics of the plot make no sense, and he has nothing to sell but the theme of our common humanity--in which, on the evidence, I don't think he believes.\"", "topic": "Top/Arts/Movies/Titles/M/Miracle_at_St._Anna", "url": "http://nymag.com/movies/reviews/50496/"} +{"d:Title": "Rotten Tomatoes: Miracle at St. Anna", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Miracle_at_St._Anna", "url": "http://www.rottentomatoes.com/m/miracle_at_st_anna/"} +{"d:Title": "TV Guide: Miracle at St. Anna", "d:Description": "Review, by Ken Fox: \"This is first Lee's first attempt at a war epic, but it feels like it's his very first film: What should have been an eloquent answer to the likes of John Wayne and Clint Eastwood -- with whom Lee justly took to task over the total absence of any black soldiers in \"The Flags Of Our Fathers\" -- is instead a patchy war-time drama.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Miracle_at_St._Anna", "url": "http://www.tvguide.com/movies/miracle-st-anna/review/294292/"} +{"d:Title": "IGN: Miracle at St. Anna", "d:Description": "News, photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Miracle_at_St._Anna", "url": "http://www.ign.com/movies/miracle-at-st-anna/theater-13467290"} +{"d:Title": "USA Today: Miracle at St. Anna", "d:Description": "Review, by Claudia Puig: \"Aspires to be epic, but mostly it's just unfocused, sprawling and badly in need of editing. \" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Miracle_at_St._Anna", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-09-25-miracle-st-anna_N.htm"} +{"d:Title": "ReelViews: Miracle at St. Anna", "d:Description": "Review, by James Berardinelli: \"Miracle at St. Anna is overlong and poorly focused. It tends to meander, the military context is not well established, and too much time is spent on interaction with underdeveloped secondary characters.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Miracle_at_St._Anna", "url": "http://www.reelviews.net/reelviews/miracle-at-st-anna"} +{"d:Title": "Internet Movie Database: Wunder von Bern, Das", "d:Description": "Synopsis, cast and crew, user comments and ratings, awards, production information, and links.", "topic": "Top/Arts/Movies/Titles/M/Miracle_of_Bern,_The", "url": "http://imdb.com/title/tt0326429/"} +{"d:Title": "AllReaders.com Spotlight - Miracle on 34th Street", "d:Description": "A detailed analysis of Miracle on 34th Street, and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/M/Miracle_on_34th_Street", "url": "http://allreaders.com/movie-review-summary/miracle-on-34th-street-3689"} +{"d:Title": "IMDb: MirrorMask (2004)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/M/MirrorMask", "url": "http://www.imdb.com/title/tt0366780/"} +{"d:Title": "Rotten Tomatoes: Mirrors", "d:Description": "Synopsis, cast members, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mirrors", "url": "http://www.rottentomatoes.com/m/mirrors/"} +{"d:Title": "TV Guide: Mirrors", "d:Description": "Review, by Ken Fox: \"Actually a marked improvement over the plodding and confusing original.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mirrors", "url": "http://www.tvguide.com/movies/mirrors/review/293509/"} +{"d:Title": "IGN: Mirrors", "d:Description": "News, photos, videos, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Mirrors", "url": "http://www.ign.com/movies/mirrors/theater-883074"} +{"d:Title": "The Boston Globe: Mirrors", "d:Description": "Review, by Ty Burr: \"At nearly two hours, Mirrors is overlong for a summer horror toss-off, and the movie's three or four false endings make it seem even more of a haul.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mirrors", "url": "http://archive.boston.com/ae/movies/articles/2008/08/16/secret_lives_of_demonic_mirrors/?camp=pm"} +{"d:Title": "ReelViews: Mirrors", "d:Description": "Review, by James Berardinelli: \"The gore is so badly done that it's borderline comical and poor lighting passes for 'atmosphere.'\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mirrors", "url": "http://www.reelviews.net/reelviews/mirrors"} +{"d:Title": "Crazy for Cinema", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Misery", "url": "http://crazy4cinema.com/Review/FilmsM/f_misery.html"} +{"d:Title": "A Writer Who Really Suffers", "d:Description": "Critique by Vincent Canby [New York Times].", "topic": "Top/Arts/Movies/Titles/M/Misery", "url": "http://www.nytimes.com/1990/11/30/movies/review-film-a-writer-who-really-suffers.html"} +{"d:Title": "Imdb.com", "d:Description": "Cast/Crew information with user ratings and comments, site also includes taglines and plot outline.", "topic": "Top/Arts/Movies/Titles/M/Misery", "url": "http://www.imdb.com/title/tt0100157/"} +{"d:Title": "Sun Times.com-Misery", "d:Description": "3 star review of the film by Roger Ebert, includes cast/crew information.", "topic": "Top/Arts/Movies/Titles/M/Misery", "url": "http://www.rogerebert.com/reviews/misery-1990"} +{"d:Title": "TV Guide Online-Movie Database", "d:Description": "3 star review with cast/crew information.", "topic": "Top/Arts/Movies/Titles/M/Misery", "url": "http://www.tvguide.com/movies/misery/128205/"} +{"d:Title": "Greatest Films - The Misfits", "d:Description": "Brief review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/Misfits,_The", "url": "http://www.filmsite.org/misf.html"} +{"d:Title": "Crazy for Cinema: Misfits, The", "d:Description": "Review of the film, with cast/crew information and pictures.", "topic": "Top/Arts/Movies/Titles/M/Misfits,_The", "url": "http://crazy4cinema.com/Review/FilmsM/f_misfits.html"} +{"d:Title": "IMDb - The Misfits", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Misfits,_The", "url": "http://www.imdb.com/title/tt0055184/"} +{"d:Title": "TV Guide Online: Misfits, The", "d:Description": "3 1/2 star review with synopsis, cast/crew credits and background information.", "topic": "Top/Arts/Movies/Titles/M/Misfits,_The", "url": "http://www.tvguide.com/movies/misfits/106350/"} +{"d:Title": "MISFIT PATROL The movie", "d:Description": "With movie, cast, free news, free email, free links, and MP3.", "topic": "Top/Arts/Movies/Titles/M/Misfit_Patrol", "url": "http://www.acepix.com/misfit.htm"} +{"d:Title": "TV Guide Online: Missing", "d:Description": "4 star review with synopsis, cast/crew credits, and background information.", "topic": "Top/Arts/Movies/Titles/M/Missing", "url": "http://movies.tvguide.com/missing/106373"} +{"d:Title": "Internet Movie Database: Missing, The", "d:Description": "Plot summary, cast and crew, production and distribution information, articles, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/Missing,_The_-_2003", "url": "http://imdb.com/title/tt0338188/"} +{"d:Title": "All-Reviews.com - The Missing", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Missing,_The_-_2003", "url": "http://www.all-reviews.com/videos-5/missing.htm"} +{"d:Title": "Filmtracks: The Missing", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/M/Missing,_The_-_2003", "url": "http://www.filmtracks.com/titles/missing.html"} +{"d:Title": "TEN Movies: The Missing", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/M/Missing,_The_-_2003", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1061"} +{"d:Title": "'The Mission' (PG)", "d:Description": "Summary from Washington post", "topic": "Top/Arts/Movies/Titles/M/Mission,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/themissionpgkempley_a0cad9.htm"} +{"d:Title": "Mission, The (1986)", "d:Description": "IMDB profile.", "topic": "Top/Arts/Movies/Titles/M/Mission,_The", "url": "http://www.imdb.com/title/tt0091530/"} +{"d:Title": "All-Reviews.com - Mission Impossible", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible", "url": "http://www.all-reviews.com/videos-3/mission-impossible.htm"} +{"d:Title": "Scotland the Movie Location Guide - Mission Impossible", "d:Description": "Scottish filming locations of Mission Impossible", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible", "url": "http://www.scotlandthemovie.com/movies/fmission.html"} +{"d:Title": "Rotten Tomatoes - Mission Impossible", "d:Description": "Reviews of Mission: Impossible from the nation's top critics and audiences. Also includes movie information and comparison shopping for DVDs and videos.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible", "url": "http://www.rottentomatoes.com/m/mission_impossible/"} +{"d:Title": "IMDb.com - Mission Impossible (1996)", "d:Description": "Includes cast/crew information, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible", "url": "http://www.imdb.com/title/tt0117060/"} +{"d:Title": "TV Guide Online: Mission Impossible", "d:Description": "Cast/crew information, review, and other background details.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible", "url": "http://www.tvguide.com/movies/mission-impossible/131287/"} +{"d:Title": "Mission Impossible 2", "d:Description": "Paramount's official multilingual site includes trailers in Quicktime format.", "priority": "1", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://www.missionimpossible.com/"} +{"d:Title": "PopMatters - Mission Impossible 2", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://popmatters.com/film/reviews/m/mission-impossible2.html"} +{"d:Title": "All-Reviews.com - Mission Impossible 2", "d:Description": "Collection of reviews and facts about the movie.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://www.all-reviews.com/videos/mission-impossible-2.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://www.haro-online.com/movies/mi2.html"} +{"d:Title": "Filmtracks: Mission Impossible 2", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://www.filmtracks.com/titles/mission_imp2.html"} +{"d:Title": "Rotten Tomatoes - Mission Impossible 2 (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://www.rottentomatoes.com/m/mission_impossible_ii/"} +{"d:Title": "Box Office Mojo - Mission Impossible 2", "d:Description": "Box office data for Mission Impossible 2 since day one. Includes a comparison with the first one and the box office track records of Tom Cruise and John Woo.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://www.boxofficemojo.com/movies/?id=mi2.htm"} +{"d:Title": "IMDb: Mission: Impossible 2", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_2", "url": "http://www.imdb.com/title/tt0120755/"} +{"d:Title": "IMDb.com: Mission Impossible 3", "d:Description": "Features cast and crew, plot summary, trivia and image gallery.", "topic": "Top/Arts/Movies/Titles/M/Mission_Impossible_Series/Mission_Impossible_3", "url": "http://www.imdb.com/title/tt0317919/"} +{"d:Title": "PopMatters - Mission to Mars", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mission_to_Mars", "url": "http://popmatters.com/film/reviews/m/mission-to-mars.html"} +{"d:Title": "HARO Online - Mission to Mars", "d:Description": "A review of the film by Haro", "topic": "Top/Arts/Movies/Titles/M/Mission_to_Mars", "url": "http://www.haro-online.com/movies/mission_to_mars.html"} +{"d:Title": "Filmtracks: Mission to Mars", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mission_to_Mars", "url": "http://www.filmtracks.com/titles/mission_mars.html"} +{"d:Title": "Rotten Tomatoes-Mission to Mars", "d:Description": "Reviews, media predictions, news, trailers, box office, photos.", "topic": "Top/Arts/Movies/Titles/M/Mission_to_Mars", "url": "http://www.rottentomatoes.com/m/mission_to_mars/"} +{"d:Title": "Box Office Mojo - Mission to Mars", "d:Description": "Box office data for Mission to Mars since day one. Includes Brian De Palma's box office track record.", "topic": "Top/Arts/Movies/Titles/M/Mission_to_Mars", "url": "http://www.boxofficemojo.com/movies/?id=missiontomars.htm"} +{"d:Title": "IMDb - Mission to Mars", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mission_to_Mars", "url": "http://www.imdb.com/title/tt0183523/"} +{"d:Title": "Metacritic.com: Mission to Mars", "d:Description": "Reviews from various critics and media.", "topic": "Top/Arts/Movies/Titles/M/Mission_to_Mars", "url": "http://www.metacritic.com/movie/mission-to-mars"} +{"d:Title": "PopMatters - Miss Congeniality", "d:Description": "Review of the film by Leslie Smith.", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://popmatters.com/film/reviews/m/miss-congeniality.html"} +{"d:Title": "All-Reviews.com- Miss Congeniality", "d:Description": "Multiple reviewers rate this movie 2 1/2 stars.", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://www.all-reviews.com/videos-2/miss-congeniality.htm"} +{"d:Title": "HARO Online - Miss Congeniality", "d:Description": "Review", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://www.haro-online.com/movies/miss_congeniality.html"} +{"d:Title": "Miss Congeniality", "d:Description": "Official UK site.", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://miss-congeniality.warnerbros.co.uk/main.html"} +{"d:Title": "Internet Movie Database: Miss Congeniality", "d:Description": "Full film listing - cast, crew, production notes, synopsis.", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://imdb.com/title/tt0212346/combined"} +{"d:Title": "Rotten Tomatoes - Miss Congeniality (2000)", "d:Description": "Reviews and reactions from various US critics, plus the trailer and photos.", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://www.rottentomatoes.com/m/miss_congeniality/"} +{"d:Title": "NYTimes.com: Miss Congeniality", "d:Description": "Review by A.O. Scott", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://www.nytimes.com/2000/12/22/movies/film-review-operation-ugly-duckling-fighting-terrorism-in-heels.html"} +{"d:Title": "Metacritic.com: Miss Congeniality", "d:Description": "Reviews from critics across the USA, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://www.metacritic.com/movie/miss-congeniality"} +{"d:Title": "Allreaders Spotlight - Miss Congeniality", "d:Description": "A detailed analysis of the film, and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/M/Miss_Congeniality", "url": "http://allreaders.com/movie-review-summary/miss-congeniality-4039"} +{"d:Title": "Oregon Live: Mister Foe", "d:Description": "Review, by Grant Butler: \"If you've been wondering what Billy Elliot would look like all grown up, naked or in a fetching frock, here's your chance.\" [Grade: B-]", "topic": "Top/Arts/Movies/Titles/M/Mister_Foe", "url": "http://www.oregonlive.com/movies/index.ssf/2008/09/movie_review_mister_foe_will_s.html"} +{"d:Title": "Rotten Tomatoes: Mister Foe", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mister_Foe", "url": "http://www.rottentomatoes.com/m/hallam_foe/"} +{"d:Title": "USA Today: Mister Foe", "d:Description": "Review, by Claudia Puig: \"Not a movie to cozy up to. The twisted tale is only mildly intriguing, worth seeing mainly for the striking performance of Jamie Bell (Billy Elliot) as Hallam Foe, a creepy teenage voyeur beset with an Oedipal complex.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mister_Foe", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-09-04-mister-foe_N.htm"} +{"d:Title": "MovieWeb: Mister Foe (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/M/Mister_Foe", "url": "http://movieweb.com/movie/mister-foe/"} +{"d:Title": "All-Reviews.com: Mixed Nuts", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Mixed_Nuts_-_1994", "url": "http://www.all-reviews.com/videos-3/mixed-nuts.htm"} +{"d:Title": "Rotten Tomatoes: Mixed Nuts", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Mixed_Nuts_-_1994", "url": "http://www.rottentomatoes.com/m/mixed_nuts/"} +{"d:Title": "IMDb: Mixed Nuts (1994)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mixed_Nuts_-_1994", "url": "http://www.imdb.com/title/tt0110538/"} +{"d:Title": "Mob Daze (2002)", "d:Description": "Cast and crew details at IMDb.", "topic": "Top/Arts/Movies/Titles/M/Mob_Daze", "url": "http://www.imdb.com/title/tt0303636/"} +{"d:Title": "IMDb - Mob Queen (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mob_Queen", "url": "http://www.imdb.com/title/tt0154887/"} +{"d:Title": "Greatest Films - Modern Times", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/Modern_Times", "url": "http://www.filmsite.org/mode.html"} +{"d:Title": "Teach with Movies - Modern Times", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/M/Modern_Times", "url": "http://www.teachwithmovies.org/guides/modern-times.html"} +{"d:Title": "IMDb - Modern Times", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Modern_Times", "url": "http://www.imdb.com/title/tt0027977/"} +{"d:Title": "TV Guide Online: Modern Times", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/Modern_Times", "url": "http://www.tvguide.com/movies/modern-times/106547/"} +{"d:Title": "IMDb: Modesty Blaise", "d:Description": "Plot summary, cast listing, user reviews and other information.", "topic": "Top/Arts/Movies/Titles/M/Modesty_Blaise", "url": "http://www.imdb.com/title/tt0060708/"} +{"d:Title": "DVD Savant Review: Modesty Blaise", "d:Description": "A DVD review by Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/M/Modesty_Blaise", "url": "http://www.dvdtalk.com/dvdsavant/s538blaise.html"} +{"d:Title": "The Spinning Image: Modesty Blaise", "d:Description": "Review of the film, written by Graeme Clark.", "topic": "Top/Arts/Movies/Titles/M/Modesty_Blaise", "url": "http://www.thespinningimage.co.uk/cultfilms/displaycultfilm.asp?reviewid=177"} +{"d:Title": "The DVD Journal: Modesty Blaise", "d:Description": "Kim Morgan gives the film three stars out of five, and praises the Fox DVD transfer.", "topic": "Top/Arts/Movies/Titles/M/Modesty_Blaise", "url": "http://www.dvdjournal.com/quickreviews/m/modestyblaise.q.shtml"} +{"d:Title": "All-Reviews.com - The Mod Squad", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/M/Mod_Squad,_The", "url": "http://www.all-reviews.com/videos/mod-squad.htm"} +{"d:Title": "The Mod Squad", "d:Description": "Official site.", "topic": "Top/Arts/Movies/Titles/M/Mod_Squad,_The", "url": "http://www.mgm.com/title_title.php?title_star=MODSQD"} +{"d:Title": "IMDb - The Mod Squad (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mod_Squad,_The", "url": "http://www.imdb.com/title/tt0120757/"} +{"d:Title": "Roger Ebert - The Mod Squad", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mod_Squad,_The", "url": "http://www.rogerebert.com/reviews/the-mod-squad-1999"} +{"d:Title": "TV Guide Online: Mod Squad, The", "d:Description": "1 1/2 star review with synopsis, cast/crew credits and background information.", "topic": "Top/Arts/Movies/Titles/M/Mod_Squad,_The", "url": "http://www.tvguide.com/movies/mod-squad/132912/"} +{"d:Title": "Molly: Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of Molly.", "topic": "Top/Arts/Movies/Titles/M/Molly", "url": "http://www.rottentomatoes.com/m/molly/"} +{"d:Title": "Molly", "d:Description": "Official site.", "topic": "Top/Arts/Movies/Titles/M/Molly", "url": "http://www.mgm.com/title_title.php?title_star=MOLLY"} +{"d:Title": "IMDb : Molly (1999)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, tag and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Molly", "url": "http://www.imdb.com/title/tt0143746/"} +{"d:Title": "Metacritic.com: Molly", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Molly", "url": "http://www.metacritic.com/movie/molly"} +{"d:Title": "All-Reviews.com: Moll Flanders", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Moll_Flanders", "url": "http://www.all-reviews.com/videos-3/moll-flanders.htm"} +{"d:Title": "Rotten Tomatoes: Moll Flanders", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Moll_Flanders", "url": "http://www.rottentomatoes.com/m/1071414-moll_flanders/"} +{"d:Title": "IMDb: Moll Flanders (1996)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Moll_Flanders", "url": "http://www.imdb.com/title/tt0117071/"} +{"d:Title": "Village Voice: Momma's Man", "d:Description": "Review, by J. Hoberman: \"One of the sweetest, saddest stories Franz Kafka never wrote.\"", "topic": "Top/Arts/Movies/Titles/M/Momma's_Man", "url": "http://www.villagevoice.com/film/childhood-in-mommas-man-struggles-with-daddy-issues-in-hamlet-2-6388610"} +{"d:Title": "Entertainment Weekly: Momma's Man", "d:Description": "Review, by Owen Gleiberman: \"Beautiful, wise, and poker-faced comedy of discombobulation.\" [Grade: A]", "topic": "Top/Arts/Movies/Titles/M/Momma's_Man", "url": "http://www.ew.com/article/2008/08/29/mommas-man"} +{"d:Title": "MovieWeb: Momma's Man (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/M/Momma's_Man", "url": "http://movieweb.com/movie/mommas-man/"} +{"d:Title": "IMDb.com Mommie Dearest", "d:Description": "Includes credits and cast information.", "topic": "Top/Arts/Movies/Titles/M/Mommie_Dearest", "url": "http://www.imdb.com/title/tt0082766/"} +{"d:Title": "IMDb: Momo", "d:Description": "Information about the 1986 movie based on Michael Ende's book Momo.", "topic": "Top/Arts/Movies/Titles/M/Momo", "url": "http://www.imdb.com/title/tt0091537/"} +{"d:Title": "Wikipedia: Momo (movie)", "d:Description": "Synopsis and information about the author's involvement in the 1986 movie based on Michael Ende's book Momo (also known as The Grey Gentlemen).", "topic": "Top/Arts/Movies/Titles/M/Momo", "url": "http://en.wikipedia.org/wiki/Momo_(film)"} +{"d:Title": "All Movie Guide: Momo", "d:Description": "Features plot synopsis, cast and production credits.", "topic": "Top/Arts/Movies/Titles/M/Momo", "url": "http://www.allmovie.com/movie/v120930"} +{"d:Title": "Criterion Collection: Mona Lisa", "d:Description": "Synopsis, review and DVD technical appraisal.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa", "url": "http://dvdmg.com/monalisa.shtml"} +{"d:Title": "DVD Movie Central: Mona Lisa", "d:Description": "Synopsis, review, and DVD technical appraisal.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa", "url": "http://www.dvdmoviecentral.com/ReviewsText/mona_lisa.htm"} +{"d:Title": "Rotten Tomatoes: Mona Lisa", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa", "url": "http://www.rottentomatoes.com/m/mona_lisa/"} +{"d:Title": "IMDb: Mona Lisa", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa", "url": "http://www.imdb.com/title/tt0091538/"} +{"d:Title": "HARO Online: Mona Lisa Smile", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa_Smile", "url": "http://www.haro-online.com/movies/mona_lisa_smile.html"} +{"d:Title": "Rotten Tomatoes: Mona Lisa Smile", "d:Description": "Includes synopsis, trailer, reviews, image gallery, cast and news.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa_Smile", "url": "http://www.rottentomatoes.com/m/mona_lisa_smile/"} +{"d:Title": "Yahoo! Movies: Mona Lisa Smile", "d:Description": "Contains synopsis, cast, production photographs and trailer.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa_Smile", "url": "http://movies.yahoo.com/movie/mona-lisa-smile/"} +{"d:Title": "Internet Movie Database: Mona Lisa Smile", "d:Description": "Includes synopsis, trailer, news, cast, image gallery and reviews.", "topic": "Top/Arts/Movies/Titles/M/Mona_Lisa_Smile", "url": "http://www.imdb.com/title/tt0304415/"} +{"d:Title": "Monday Morning in a Coney Island Police Court (1908)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/M/Monday_Morning_in_a_Coney_Island_Police_Court", "url": "http://www.imdb.com/title/tt0000727/combined"} +{"d:Title": "IMDb : Qian bu gou yong (1998)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/M/Money_No_Enough", "url": "http://www.imdb.com/title/tt0125468/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/M/Money_Pit,_The", "url": "http://www.fast-rewind.com/moneypit.htm"} +{"d:Title": "Rotten Tomatoes: The Money Pit", "d:Description": "Links to reviews, synopsis, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Money_Pit,_The", "url": "http://www.rottentomatoes.com/m/money_pit/"} +{"d:Title": "IMDb: The Money Pit (1986)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Money_Pit,_The", "url": "http://www.imdb.com/title/tt0091541/"} +{"d:Title": "IMDb: Mongol (2007)", "d:Description": "Plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mongol", "url": "http://www.imdb.com/title/tt0416044/"} +{"d:Title": "Rotten Tomatoes: Mongol", "d:Description": "Synopsis, credits, photos, links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mongol", "url": "http://www.rottentomatoes.com/m/mongol/"} +{"d:Title": "MovieWeb: Mongol (2008)", "d:Description": "Summary, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/M/Mongol", "url": "http://movieweb.com/movie/mongol/"} +{"d:Title": "HARO Online: The Monkey's Mask", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Monkey's_Mask,_The", "url": "http://www.haro-online.com/movies/monkeys_mask.html"} +{"d:Title": "Metacritic.com: The Monkey's Mask", "d:Description": "Synopsis, quotes from and links to reviews, as well as an overall rating.", "topic": "Top/Arts/Movies/Titles/M/Monkey's_Mask,_The", "url": "http://www.metacritic.com/movie/the-monkeys-mask"} +{"d:Title": "All-Reviews.com - Monkeybone", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/M/Monkeybone", "url": "http://www.all-reviews.com/videos-2/monkeybone.htm"} +{"d:Title": "Rotten Tomatoes: Monkeybone", "d:Description": "Reviews, synopsis, photos, and trailers.", "topic": "Top/Arts/Movies/Titles/M/Monkeybone", "url": "http://www.rottentomatoes.com/m/monkeybone/"} +{"d:Title": "IMDb - Monkeybone", "d:Description": "Cast/credits plus additional information about the film, with user comments, ratings, and other movie recommendations.", "topic": "Top/Arts/Movies/Titles/M/Monkeybone", "url": "http://www.imdb.com/title/tt0166276/"} +{"d:Title": "Metacritic.com: Monkeybone", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Monkeybone", "url": "http://www.metacritic.com/movie/monkeybone"} +{"d:Title": "IMDb - Monolith (1993)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/M/Monolith", "url": "http://www.imdb.com/title/tt0107597/"} +{"d:Title": "TV Guide Online: Monolith", "d:Description": "Review by Charles Cassady Jr. (negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Monolith", "url": "http://www.tvguide.com/movies/monolith/131154/"} +{"d:Title": "IMDb: Tontons flingueurs, Les (1963)", "d:Description": "Cast, crew, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Gangster", "url": "http://imdb.com/title/tt0057591/"} +{"d:Title": "Washington Post: Monsieur Hire (PG-13)", "d:Description": "Rita Kempley's review of the film.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/monsieurhirepg13kempley_a0a016.htm"} +{"d:Title": "Raging Bull Movie Reviews: Monsieur Hire", "d:Description": "Mike Lorifice takes an in-depth look at the mystery starring Michel Blanc and Sandrine Bonnaire.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://metalasylum.com/ragingbull/movies/monsieurhire.html"} +{"d:Title": "Deseret News: Monsieur Hire", "d:Description": "Chris Hicks' assessment of Patrice Leconte's mystery.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://www.deseretnews.com/article/700001220/Monsieur-Hire.html"} +{"d:Title": "The Tech MIT: Monsieur Hire blends tension, eroticism, and the bizarre", "d:Description": "Review by Elizabeth L. Williams.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://tech.mit.edu/V110/N27/hire.27a.html"} +{"d:Title": "IMDb: Monsieur Hire (1989)", "d:Description": "Film resource site has information on cast, crew, reviews, plot summary, comments and photographs.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://www.imdb.com/title/tt0097904/"} +{"d:Title": "IMDb Reviews: Monsieur Hire (1989)", "d:Description": "Review by Sandra Grossman.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://www.imdb.com/reviews/07/0794.html"} +{"d:Title": "Chicago Sun-Times: Monsieur Hire", "d:Description": "Roger Ebert's take on the French mystery.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://www.rogerebert.com/reviews/monsieur-hire-1990"} +{"d:Title": "TV Guide Online: Monsieur Hire", "d:Description": "Capsule review of the film.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Hire", "url": "http://www.tvguide.com/movies/monsieur-hire/127990/"} +{"d:Title": "HARO Online: Monsieur Ibrahim", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Ibrahim", "url": "http://www.haro-online.com/movies/monsieur_ibrahim.html"} +{"d:Title": "IMDb: Monsieur Ibrahim (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Ibrahim", "url": "http://www.imdb.com/title/tt0329388/"} +{"d:Title": "Rotten Tomatoes: Monsieur Ibrahim", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Ibrahim", "url": "http://www.rottentomatoes.com/m/monsieur_ibrahim/"} +{"d:Title": "Chicago Sun-Times: Monsieur Ibrahim", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/Monsieur_Ibrahim", "url": "http://www.rogerebert.com/reviews/monsieur-ibrahim-2003"} +{"d:Title": "Monsoon Wedding", "d:Description": "Official site featuring synopsis, character details, images, news and distribution contact details.", "priority": "1", "topic": "Top/Arts/Movies/Titles/M/Monsoon_Wedding", "url": "http://monsoonwedding.indiatimes.com/"} +{"d:Title": "HARO Online: Monsoon Wedding", "d:Description": "Very positive review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/M/Monsoon_Wedding", "url": "http://www.haro-online.com/movies/monsoon_wedding.html"} +{"d:Title": "All-Reviews.com: Monsoon Wedding", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Monsoon_Wedding", "url": "http://all-reviews.com/videos-4/monsoon-wedding.htm"} +{"d:Title": "Rotten Tomatoes: Monsoon Wedding", "d:Description": "Reviews, news articles, photos and trailer.", "topic": "Top/Arts/Movies/Titles/M/Monsoon_Wedding", "url": "http://www.rottentomatoes.com/m/monsoon_wedding/"} +{"d:Title": "IMDb: Monsoon Wedding", "d:Description": "Provides cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/M/Monsoon_Wedding", "url": "http://www.imdb.com/title/tt0265343/"} +{"d:Title": "Metacritic.com: Monsoon Wedding.", "d:Description": "Cross-section of reviews with an average score.", "topic": "Top/Arts/Movies/Titles/M/Monsoon_Wedding", "url": "http://www.metacritic.com/movie/monsoon-wedding"} +{"d:Title": "About.com: Monsoon Wedding", "d:Description": "Ivana Redwine reviews the film's DVD.", "topic": "Top/Arts/Movies/Titles/M/Monsoon_Wedding", "url": "http://movies.about.com/od/vanityfair/a/vanityfr083104.htm"} +{"d:Title": "HARO Online: Monster", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Monster", "url": "http://www.haro-online.com/movies/monster.html"} +{"d:Title": "Telegraph: Charlize is pure gold, says proud S Africa premier", "d:Description": "President Thabo Mbeki of South Africa yesterday led a chorus of praise for Charlize Theron, who won the Oscar for best actress in the movie 'Monster'.", "topic": "Top/Arts/Movies/Titles/M/Monster", "url": "http://www.telegraph.co.uk/news/worldnews/northamerica/usa/1455824/Charlize-is-pure-gold-says-proud-S-Africa-premier.html"} +{"d:Title": "IMDb: Monster", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Monster", "url": "http://www.imdb.com/title/tt0340855/"} +{"d:Title": "Monster's Ball", "d:Description": "Review of the film by Swiss director Marc Forster with Halle Berry and Billy Bob Thornton.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball", "url": "http://www.cosmopolis.ch/english/film/65/monster_s_ball.htm"} +{"d:Title": "Rotten Tomatoes: Monster's Ball", "d:Description": "Collection of reviews from the nation's critics, photos, a trailer download, and cast information.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball", "url": "http://www.rottentomatoes.com/m/monsters_ball/"} +{"d:Title": "Metacritic.com: Monster's Ball", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, and rating.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball", "url": "http://www.metacritic.com/movie/monsters-ball"} +{"d:Title": "About.com: Monster's Ball", "d:Description": "Ivana Redwine reviews the DVD.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball", "url": "http://movies.about.com/od/berryhalle/p/halleberrybio.htm"} +{"d:Title": "Entertainment Weekly: Monster's Ball", "d:Description": "Lisa Schwarzbaum's mostly favorable review.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball", "url": "http://ew.com/article/2002/06/07/monsters-ball-2/"} +{"d:Title": "All-Reviews.com - Monster's Ball", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball/Reviews", "url": "http://www.all-reviews.com/videos-4/monsters-ball.htm"} +{"d:Title": "Haro Online", "d:Description": "A positive review of the film.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball/Reviews", "url": "http://www.haro-online.com/movies/monsters_ball.html"} +{"d:Title": "Monster's Ball - Cranky Critic Movie Reviews", "d:Description": "Cranky Critic rates the movie worth $6.50 of your money.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball/Reviews", "url": "http://www.crankycritic.com/archive01/monstersball.html"} +{"d:Title": "Chicago Sun-Times: Monster's Ball", "d:Description": "A four star review by Rober Ebert.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball/Reviews", "url": "http://www.rogerebert.com/reviews/monsters-ball-2002"} +{"d:Title": "Slant Magazine: Monster's Ball", "d:Description": "A two star movie review by Ed Gonzalez.", "topic": "Top/Arts/Movies/Titles/M/Monster's_Ball/Reviews", "url": "http://www.slantmagazine.com/film/review/monsters-ball"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, filming locations, pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/M/Monster_Squad,_The", "url": "http://www.fast-rewind.com/monstersquad.htm"} +{"d:Title": "Rotten Tomatoes: The Monster Squad", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Monster_Squad,_The", "url": "http://www.rottentomatoes.com/m/monster_squad/"} +{"d:Title": "IMDb: The Monster Squad (1987)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Monster_Squad,_The", "url": "http://www.imdb.com/title/tt0093560/"} +{"d:Title": "IMDb: Montana (1998)", "d:Description": "Includes a plot summary, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/M/Montana", "url": "http://www.imdb.com/title/tt0119699/"} +{"d:Title": "All-Reviews.com - Monty Python's The Meaning of Life", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/M/Monty_Python's_The_Meaning_of_Life", "url": "http://www.all-reviews.com/videos-2/meaning-of-life.htm"} +{"d:Title": "Rotten Tomatoes: Monty Python's The Meaning of Life", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Monty_Python's_The_Meaning_of_Life", "url": "http://www.rottentomatoes.com/m/monty_pythons_the_meaning_of_life/"} +{"d:Title": "IMDb: Monty Python's The Meaning of Life (1983)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Monty_Python's_The_Meaning_of_Life", "url": "http://www.imdb.com/title/tt0085959/"} +{"d:Title": "TV Guide Online: Moondance", "d:Description": "2 star review with synopsis, cast/crew credits, and background information.", "topic": "Top/Arts/Movies/Titles/M/Moondance", "url": "http://www.tvguide.com/movies/moondance/133145/"} +{"d:Title": "Washington Post - Moonstruck", "d:Description": "Review by Rita Kempley (positive).", "topic": "Top/Arts/Movies/Titles/M/Moonstruck", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/moonstruck.htm"} +{"d:Title": "Montreal Film Journal - Moonstruck", "d:Description": "Review by Kevin Laforest (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Moonstruck", "url": "http://www.montrealfilmjournal.com/review.asp?R=R0000368"} +{"d:Title": "IMDb - Moonstruck (1987)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/M/Moonstruck", "url": "http://www.imdb.com/title/tt0093565/"} +{"d:Title": "Chicago Sun-Times - Moonstruck", "d:Description": "Review by Roger Ebert (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Moonstruck", "url": "http://www.rogerebert.com/reviews/moonstruck-1988"} +{"d:Title": "rec.arts.movies.reviews - Moonstruck (1987)", "d:Description": "Review by Mark R. Leeper (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Moonstruck", "url": "http://www.imdb.com/reviews/02/0234.html"} +{"d:Title": "rec.arts.movies.reviews - Moonstruck (1987)", "d:Description": "Review by Serdar Yegulalp (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Moonstruck", "url": "http://www.imdb.com/reviews/78/7887.html"} +{"d:Title": "Cinebooks Database - Moonstruck", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Moonstruck", "url": "http://www.tvguide.com/movies/moonstruck/106688/"} +{"d:Title": "The Unofficial Mortal Kombat 3 Movie Page", "d:Description": "Offers updates about the next film in the series.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series", "url": "http://mk3movie.mortalkombatonline.com/"} +{"d:Title": "The Omniversal Bar", "d:Description": "Features fan fiction, art, and poetry.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series", "url": "http://mkfiction.20m.com/"} +{"d:Title": "The Omniversal Bar", "d:Description": "Mortal Kombat movie fan fiction, art, and poetry.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat", "url": "http://mkfiction.20m.com/"} +{"d:Title": "Filmtracks: Mortal Kombat", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat", "url": "http://www.filmtracks.com/titles/mortal_kombat.html"} +{"d:Title": "Wikipedia: Mortal Kombat", "d:Description": "Contains characters, plot, and trivia.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat", "url": "http://en.wikipedia.org/wiki/Mortal_Kombat:_The_Movie"} +{"d:Title": "Mortal Kombat - IMDB", "d:Description": "Contains cast list, quotes, trivia, goofs, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat", "url": "http://www.imdb.com/title/tt0113855/"} +{"d:Title": "TV Guide Online: Mortal Kombat", "d:Description": "Offers cast, reviews, and a picture.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat", "url": "http://www.tvguide.com/movies/mortal-kombat/130964/"} +{"d:Title": "IMDb: Mortal Kombat: Annihilation (1997)", "d:Description": "Contains cast list, photos, and plot outline.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat_Annihilation", "url": "http://www.imdb.com/title/tt0119707/"} +{"d:Title": "Wikipedia: Mortal Kombat: Annihilation", "d:Description": "Lists plot summary and cast.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat_Annihilation", "url": "http://en.wikipedia.org/wiki/Mortal_Kombat_Annihilation"} +{"d:Title": "TV Guide Online: Mortal Kombat - Annihilation", "d:Description": "Offers cast, review, and a picture.", "topic": "Top/Arts/Movies/Titles/M/Mortal_Kombat_Series/Mortal_Kombat_Annihilation", "url": "http://www.tvguide.com/movies/mortal-kombat-annihilation/132242/"} +{"d:Title": "IMDb - Mortuary Academy (1988)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Mortuary_Academy", "url": "http://www.imdb.com/title/tt0095659/"} +{"d:Title": "Moscow on the Hudson (1984)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/M/Moscow_on_the_Hudson", "url": "http://www.imdb.com/title/tt0087747/"} +{"d:Title": "Rotten Tomatoes: Most Wanted", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Most_Wanted", "url": "http://www.rottentomatoes.com/m/1079025-most_wanted/"} +{"d:Title": "IMDb: Most Wanted (1997)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Most_Wanted", "url": "http://www.imdb.com/title/tt0119709/"} +{"d:Title": "IMDb - Motel Hell (1980)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Motel_Hell", "url": "http://www.imdb.com/title/tt0081184/"} +{"d:Title": "IMDb: Mother (1996)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mother", "url": "http://www.imdb.com/title/tt0117091/"} +{"d:Title": "TV Guide Online: Mother", "d:Description": "2 1/2 star review with synopsis, cast/crew credits and background information.", "topic": "Top/Arts/Movies/Titles/M/Mother", "url": "http://www.tvguide.com/movies/mother/131350/"} +{"d:Title": "TV Guide Online: Mother Night", "d:Description": "2 star review with cast/crew credits, synopsis, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mother_Night", "url": "http://www.tvguide.com/movies/mother-night/131622/"} +{"d:Title": "Plume Noire: The Mothman Prophecies", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mothman_Prophecies,_The", "url": "http://www.plume-noire.com/movies/reviews/themothmanprophecies.html"} +{"d:Title": "All-Reviews.com: Mothman Prophecies", "d:Description": "Four reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mothman_Prophecies,_The", "url": "http://www.all-reviews.com/videos-4/mothman-prophecies.htm"} +{"d:Title": "HARO Online: The Mothman Prophecies", "d:Description": "Haro's mostly unfavorable review of the film.", "topic": "Top/Arts/Movies/Titles/M/Mothman_Prophecies,_The", "url": "http://www.haro-online.com/movies/mothman_prophecies.html"} +{"d:Title": "VNS Dictionary: Mothman Prophecies", "d:Description": "Video clips from the film.", "topic": "Top/Arts/Movies/Titles/M/Mothman_Prophecies,_The", "url": "http://johnkeel.tripod.com/mothman.html"} +{"d:Title": "Rotten Tomatoes: The Mothman Prophecies", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Mothman_Prophecies,_The", "url": "http://www.rottentomatoes.com/m/mothman_prophecies/"} +{"d:Title": "Imdb: Mothman Prophecies, The (2002)", "d:Description": "Contains cats and crew information, and reviews.", "topic": "Top/Arts/Movies/Titles/M/Mothman_Prophecies,_The", "url": "http://www.imdb.com/title/tt0265349/"} +{"d:Title": "The Mothman Prophecies", "d:Description": "Cast, biographies, production notes, pictures, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mothman_Prophecies,_The", "url": "http://movies.xenite.org/mothman-prophecies/"} +{"d:Title": "IMDb: The Motorcycle Diaries (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/M/Motorcycle_Diaries,_The", "url": "http://www.imdb.com/title/tt0318462/"} +{"d:Title": "HARO Online: The Motorcycle Diaries", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Motorcycle_Diaries,_The", "url": "http://www.haro-online.com/movies/motorcycle_diaries.html"} +{"d:Title": "TEN Movies: The Motorcycle Diaries", "d:Description": "Review of the film by Adnan Khan during the 2004 Dubai International Film Festival", "topic": "Top/Arts/Movies/Titles/M/Motorcycle_Diaries,_The", "url": "http://movies.theemiratesnetwork.com/diff/2004/motorcycle_diaries.php"} +{"d:Title": "The Motorcycle Diaries", "d:Description": "Official site.", "topic": "Top/Arts/Movies/Titles/M/Motorcycle_Diaries,_The", "url": "http://www.motorcyclediariesmovie.com/"} +{"d:Title": "Rotten Tomatoes: The Motorcycle Diaries", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/M/Motorcycle_Diaries,_The", "url": "http://www.rottentomatoes.com/m/motorcycle_diaries/"} +{"d:Title": "Future Movies: The Motorcycle Diaries", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/M/Motorcycle_Diaries,_The", "url": "https://www.futuremovies.co.uk/reviews/the-motorcycle-diaries/nik-huggins"} +{"d:Title": "Moulin Rouge", "d:Description": "Synopsis, review, and a listing of awards won.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_1952", "url": "http://www.nicksflickpicks.com/moulin52.html"} +{"d:Title": "Learning Guide to Moulin Rouge", "d:Description": "Designed for teachers wishing to show the movie to their students. Includes brief description and historical information.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_1952", "url": "http://www.teachwithmovies.org/guides/moulin-rouge.html"} +{"d:Title": "Rotten Tomatoes: Moulin Rouge (1952)", "d:Description": "Reviews, synopsis, and cast/crew information.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_1952", "url": "http://www.rottentomatoes.com/m/1014294-moulin_rouge/"} +{"d:Title": "IMDb.com: Moulin Rouge (1952)", "d:Description": "Cast and crew listing, trailer, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_1952", "url": "http://www.imdb.com/title/tt0044926/"} +{"d:Title": "All-Reviews.com: Moulin Rouge", "d:Description": "Four reviews offering differing opinions about the film.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://www.all-reviews.com/videos-3/moulin-rouge.htm"} +{"d:Title": "About.com: Moulin Rouge", "d:Description": "Review by Ivana Redwine and DVD information.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://homevideo.about.com/library/weekly/aafpr122501.htm"} +{"d:Title": "Cosmopolis: Moulin Rouge", "d:Description": "Review by Louis Gerber.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://www.cosmopolis.ch/english/cosmo20/moulin_rouge.htm"} +{"d:Title": "Bohemian Storm", "d:Description": "Fanfiction, synopsis, gallery, memorable quotes, and reviews.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://www.angelfire.com/film/bohostorm/"} +{"d:Title": "Rotten Tomatoes: Moulin Rouge", "d:Description": "Reviews, synopsis, cast and crew information, video clips, images and a forum.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://www.rottentomatoes.com/m/1107863-moulin_rouge/"} +{"d:Title": "IMDb.com: Moulin Rouge", "d:Description": "Cast and crew information with user comments, images, reviews, and promotional links.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://www.imdb.com/title/tt0203009/"} +{"d:Title": "Metacritic: Moulin Rouge", "d:Description": "Links to multiple critic and user reviews.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://www.metacritic.com/movie/moulin-rouge!"} +{"d:Title": "Maison du Moulin \u00e0 Vent Rouge", "d:Description": "Lyrics, behind the scenes and publicity images, cast and crew information, synopsis, and information about Henri de Toulouse-Lautrec.", "topic": "Top/Arts/Movies/Titles/M/Moulin_Rouge_-_2001", "url": "http://zone27mk.tripod.com/moulin.html"} +{"d:Title": "Mountain Gorilla - The making of the IMAX film", "d:Description": "An article by the director, Adrian Warren, on the making of the film, with image gallery containing production stills.", "topic": "Top/Arts/Movies/Titles/M/Mountain_Gorilla", "url": "http://www.lastrefuge.co.uk/data/articles/imax_gorilla/IMAX_Gorilla_main.html"} +{"d:Title": "Big Movie Zone: Mountain Gorilla", "d:Description": "Film information, reviews and worldwide theater listings.", "topic": "Top/Arts/Movies/Titles/M/Mountain_Gorilla", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=113"} +{"d:Title": "All-Reviews.com- Mouse Hunt", "d:Description": "Multiple reviewers give this movie 2/4 stars.", "topic": "Top/Arts/Movies/Titles/M/Mouse_Hunt", "url": "http://www.all-reviews.com/videos-2/mouse-hunt.htm"} +{"d:Title": "Allreaders Spotlight - Mouse Hunt", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Mouse_Hunt", "url": "http://allreaders.com/movie-review-summary/mouse-hunt-4447"} +{"d:Title": "TV Guide Online: Mouse Hunt", "d:Description": "2 1/2 star review with cast/crew credits, synopsis, and background information.", "topic": "Top/Arts/Movies/Titles/M/Mouse_Hunt", "url": "http://www.tvguide.com/movies/mouse-hunt/132734/"} +{"d:Title": "Mr. Baseball (1992)", "d:Description": "Listing at IMDb with cast and crew credits, plot outline, user comments, and reviews.", "topic": "Top/Arts/Movies/Titles/M/Mr._Baseball", "url": "http://www.imdb.com/title/tt0104926/"} +{"d:Title": "TV Guide Online: Mr. Baseball", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/Mr._Baseball", "url": "http://www.tvguide.com/movies/mr-baseball/128740/"} +{"d:Title": "IMDb: Mr. Bean's Holiday (2007)", "d:Description": "Photo gallery, plot, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mr._Bean's_Holiday", "url": "http://www.imdb.com/title/tt0453451/"} +{"d:Title": "Rotten Tomatoes: Mr. Bean's Holiday", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mr._Bean's_Holiday", "url": "http://www.rottentomatoes.com/m/mr_beans_holiday/"} +{"d:Title": "Wikipedia: Mr. Bean's Holiday", "d:Description": "Article describing overview, plot, reception, and rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Bean's_Holiday", "url": "http://en.wikipedia.org/wiki/Mr._Bean's_Holiday"} +{"d:Title": "MovieWeb: Mr. Bean's Holiday", "d:Description": "Reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/M/Mr._Bean's_Holiday", "url": "http://www.movieweb.com/movie/mr-beans-holiday"} +{"d:Title": "IGN Movies: Mr. Bean's Holiday", "d:Description": "Provides information, photo gallery, and a trailer.", "topic": "Top/Arts/Movies/Titles/M/Mr._Bean's_Holiday", "url": "http://www.ign.com/movies/mr-beans-holiday/theater-848695"} +{"d:Title": "IMDb: Mr. Brooks (2007)", "d:Description": "Videos, photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mr._Brooks", "url": "http://www.imdb.com/title/tt0780571/"} +{"d:Title": "Rotten Tomatoes: Mr. Brooks", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mr._Brooks", "url": "http://www.rottentomatoes.com/m/mr_brooks/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, ending, and soundtrack.", "topic": "Top/Arts/Movies/Titles/M/Mr._Brooks", "url": "http://en.wikipedia.org/wiki/Mr._Brooks"} +{"d:Title": "ReelViews: Mr. Brooks", "d:Description": "Review, by James Berardinelli: \"A curious mix of the campy and the intelligent, of high concept and low psychology. In spite of these contradictions, or perhaps because of them, it works. This is a tense and engaging thriller.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mr._Brooks", "url": "http://www.reelviews.net/reelviews/mr-brooks"} +{"d:Title": "IGN Movies: Mr. Brooks", "d:Description": "Photos, videos, news, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Mr._Brooks", "url": "http://www.ign.com/movies/mr-brooks/theater-817805"} +{"d:Title": "MovieWeb: Mr. Brooks (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/M/Mr._Brooks", "url": "http://movieweb.com/movie/mr-brooks/"} +{"d:Title": "The Boston Globe: Mr. Brooks", "d:Description": "Review, by Ty Burr: \"A fertile example of the Studio Film Gone Berserk, where too many characters and too many story lines geometrically progress until a level of blissful absurdity is reached.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mr._Brooks", "url": "http://archive.boston.com/ae/movies/articles/2007/06/01/the_murderer_in_the_rear_view_mirror/?camp=pm"} +{"d:Title": "Mr. Deeds", "d:Description": "Official site. Synopsis, cast and crew biographies, production notes, and multimedia.", "topic": "Top/Arts/Movies/Titles/M/Mr._Deeds", "url": "http://www.sonypictures.com/movies/mrdeeds/"} +{"d:Title": "All-Reviews.com: Mr. Deeds", "d:Description": "Several reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Mr._Deeds", "url": "http://all-reviews.com/videos-4/mr-deeds.htm"} +{"d:Title": "HARO Online: Mr. Deeds", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/Mr._Deeds", "url": "http://www.haro-online.com/movies/mr_deeds.html"} +{"d:Title": "Rotten Tomatoes: Mr. Deeds (2002)", "d:Description": "Collection of reviews, as well as a trailer, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mr._Deeds", "url": "http://www.rottentomatoes.com/m/mr_deeds/"} +{"d:Title": "IMdb: Mr. Deeds (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mr._Deeds", "url": "http://www.imdb.com/title/tt0280590/"} +{"d:Title": "Metacritic.com: Mr. Deeds", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Mr._Deeds", "url": "http://www.metacritic.com/movie/mr-deeds"} +{"d:Title": "IMDb: Mr. Drake's Duck", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mr._Drake's_Duck", "url": "http://www.imdb.com/title/tt0043821/"} +{"d:Title": "All-Reviews.com: Mr. Holland's Opus", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Mr._Holland's_Opus", "url": "http://www.all-reviews.com/videos-3/mr-hollands-opus.htm"} +{"d:Title": "Rotten Tomatoes: Mr. Holland's Opus", "d:Description": "Contains links to other reviews.", "topic": "Top/Arts/Movies/Titles/M/Mr._Holland's_Opus", "url": "http://www.rottentomatoes.com/m/mr_hollands_opus/"} +{"d:Title": "IMDB: Mr. Holland's Opus", "d:Description": "Contains reviews, pictures, cast information, and a plot outline.", "topic": "Top/Arts/Movies/Titles/M/Mr._Holland's_Opus", "url": "http://www.imdb.com/title/tt0113862/"} +{"d:Title": "All-Reviews.com: Mr. Jones", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Mr._Jones", "url": "http://www.all-reviews.com/videos-3/mr-jones.htm"} +{"d:Title": "Rotten Tomatoes: Mr. Jones", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Mr._Jones", "url": "http://www.rottentomatoes.com/m/mr_jones/"} +{"d:Title": "IMDb: Mr. Jones (1993)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mr._Jones", "url": "http://www.imdb.com/title/tt0107611/"} +{"d:Title": "All-Reviews.com: Mr. Magoo", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Magoo", "url": "http://all-reviews.com/videos-4/mr-magoo.htm"} +{"d:Title": "TV Guide Online: Mr. Magoo", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/Mr._Magoo", "url": "http://www.tvguide.com/movies/mr-magoo/132595/"} +{"d:Title": "IMDb: Mr. Magorium's Wonder Emporium (2007)", "d:Description": "Photo gallery, video, plot outline, cast list, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mr._Magorium's_Wonder_Emporium", "url": "http://www.imdb.com/title/tt0457419/"} +{"d:Title": "Rotten Tomatoes: Mr. Magorium's Wonder Emporium", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mr._Magorium's_Wonder_Emporium", "url": "http://www.rottentomatoes.com/m/mr_magoriums_wonder_emporium/"} +{"d:Title": "MovieWeb: Mr. Magorium's Wonder Emporium (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/M/Mr._Magorium's_Wonder_Emporium", "url": "http://www.movieweb.com/movie/mr-magoriums-wonder-emporium"} +{"d:Title": "RogerEbert.com: Mr. Magorium's Wonder Emporium", "d:Description": "Review, by Roger Ebert: \"Hoffman has countless characters inside of him, and this is one of his nicest.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mr._Magorium's_Wonder_Emporium", "url": "http://www.rogerebert.com/reviews/mr-magoriums-wonder-emporium-2007"} +{"d:Title": "IGN Movies: Mr. Magorium's Wonder Emporium", "d:Description": "Photos, videos, news, message board, and a review (rating: 1.5 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Mr._Magorium's_Wonder_Emporium", "url": "http://www.ign.com/movies/mr-magoriums-wonder-emporium/theater-743752"} +{"d:Title": "USA Today", "d:Description": "Review, by Claudia Puig: \"Writer/director Zach Helm, who wrote 'Stranger Than Fiction,' achieves bursts of charm and whimsy, but not quite enough magic to elicit a consistent sense of wonderment.\"", "topic": "Top/Arts/Movies/Titles/M/Mr._Magorium's_Wonder_Emporium", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-11-15-magoriums-review_N.htm"} +{"d:Title": "Think Fast, Mr. Moto", "d:Description": "Cast, crew and review of the first film in the series.", "topic": "Top/Arts/Movies/Titles/M/Mr._Moto_Series", "url": "http://imdb.com/title/tt0029660/"} +{"d:Title": "AllMovie: Think Fast, Mr. Moto", "d:Description": "Synopsis, review, and credits.", "topic": "Top/Arts/Movies/Titles/M/Mr._Moto_Series", "url": "http://www.allmovie.com/movie/think-fast-mr-moto-v113307"} +{"d:Title": "IMDB : Yatgo ho yan (1997)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Mr._Nice_Guy", "url": "http://www.imdb.com/title/tt0117786/"} +{"d:Title": "IMDb - Mr. Saturday Night (1992)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Mr._Saturday_Night", "url": "http://www.imdb.com/title/tt0104928/"} +{"d:Title": "Cinebooks Database - Mr. Saturday Night", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Saturday_Night", "url": "http://www.tvguide.com/movies/mr-saturday-night/129129/"} +{"d:Title": "Greatest Films - Mr. Smith Goes To Washington", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/Mr._Smith_Goes_to_Washington", "url": "http://www.filmsite.org/mrsm.html"} +{"d:Title": "Mr. Smith Goes To Washington (1939)", "d:Description": "Erik Weems notes on film where Jimmy Stewart is told: \"You're not a Senator. You're just an honorary stooge.\"", "topic": "Top/Arts/Movies/Titles/M/Mr._Smith_Goes_to_Washington", "url": "http://eeweems.com/capra/_mr_smith_washington.html"} +{"d:Title": "Elizabeth's Mr. Smith Goes to Washington Page", "d:Description": "Posters, stills, video clips and more related to the film", "topic": "Top/Arts/Movies/Titles/M/Mr._Smith_Goes_to_Washington", "url": "http://www.reelclassics.com/Movies/MrSmith/mrsmith.htm"} +{"d:Title": "IMDb - Mr. Smith Goes to Washington", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mr._Smith_Goes_to_Washington", "url": "http://www.imdb.com/title/tt0031679/"} +{"d:Title": "IMDb - Mr. Vincent (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mr._Vincent", "url": "http://www.imdb.com/title/tt0119719/"} +{"d:Title": "Deseret News - Mr. Wonderful", "d:Description": "Review by Chris Hicks (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wonderful", "url": "http://www.deseretnews.com/article/700001244/Mr-Wonderful.html"} +{"d:Title": "The Tech - Lack of Development Dooms Mr. Wonderful", "d:Description": "Review by Scott Deskin (predominantly negative).", "topic": "Top/Arts/Movies/Titles/M/Mr._Wonderful", "url": "http://tech.mit.edu/V113/N49/wonderful.49a.html"} +{"d:Title": "IMDb - Mr. Wonderful (1993)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wonderful", "url": "http://www.imdb.com/title/tt0107613/"} +{"d:Title": "rec.arts.movies.reviews - Mr. Wonderful (1993)", "d:Description": "Review by Scott Renshaw (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wonderful", "url": "http://www.imdb.com/reviews/22/2225.html"} +{"d:Title": "Chicago Sun-Times - Mr. Wonderful", "d:Description": "Review by Roger Ebert (negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wonderful", "url": "http://www.rogerebert.com/reviews/mr-wonderful-1993"} +{"d:Title": "Austin Chronicle - Mr. Wonderful", "d:Description": "Review by Marc Savlov (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wonderful", "url": "http://www.austinchronicle.com/calendar/film/1993-10-22/mr-wonderful/"} +{"d:Title": "TV Guide Online: Mr. Wonderful", "d:Description": "Review by Adrienne Onofri (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wonderful", "url": "http://www.tvguide.com/movies/mr-wonderful/129343/"} +{"d:Title": "James Lee Wong", "d:Description": "The character in his six films, plus his appearances in several short stories in Collier's Magazine.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wong_Series", "url": "http://www.thrillingdetective.com/wong.html"} +{"d:Title": "Mr. Wong, Detective", "d:Description": "Cast, crew and review of the first film in the series.", "topic": "Top/Arts/Movies/Titles/M/Mr._Wong_Series", "url": "http://www.imdb.com/title/tt0030473/"} +{"d:Title": "IMDb: Mr. Woodcock (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mr._Woodcock", "url": "http://www.imdb.com/title/tt0419984/"} +{"d:Title": "Rotten Tomatoes: Mr. Woodcock", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mr._Woodcock", "url": "http://www.rottentomatoes.com/m/mr_woodcock/"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"To laugh at parts of this film would indicate one has a streak of Woodcockism in oneself. But to gaze in stupefied fascination is perfectly understandable. That's what makes Thornton such a complex actor.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mr._Woodcock", "url": "http://www.rogerebert.com/reviews/mr-woodcock-2007"} +{"d:Title": "IGN Movies: Mr. Woodcock", "d:Description": "Photo gallery, videos, and a review (rating: 1 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Mr._Woodcock", "url": "http://www.ign.com/movies/mr-woodcock/theater-761176"} +{"d:Title": "MovieWeb: Mr. Woodcock (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/M/Mr._Woodcock", "url": "http://movieweb.com/movie/mr-woodcock/"} +{"d:Title": "Scotland the Movie Location Guide - Mrs Brown", "d:Description": "Scottish filming locations for Mrs Brown", "topic": "Top/Arts/Movies/Titles/M/Mrs._Brown", "url": "http://www.scotlandthemovie.com/movies/fbrown.html"} +{"d:Title": "IMDb: Mrs. Brown", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Brown", "url": "http://www.imdb.com/title/tt0119280/"} +{"d:Title": "All-Reviews.com: Mrs. Dalloway", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Dalloway", "url": "http://www.all-reviews.com/videos-3/mrs-dalloway.htm"} +{"d:Title": "Mrs. Dalloway", "d:Description": "Brief review by Andrea Chase. Discusses the merits of the adaptation and the performance of Vanessa Redgrave in the title role. From Movie Magazine International.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Dalloway", "url": "http://www.shoestring.org/mmi_revs/dalloway.html"} +{"d:Title": "IMDb: Mrs. Dalloway", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Dalloway", "url": "http://www.imdb.com/title/tt0119723/"} +{"d:Title": "TV Guide Online: Mrs. Dalloway", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Dalloway", "url": "http://www.tvguide.com/movies/mrs-dalloway/132937/"} +{"d:Title": "All-Reviews.com- Mrs. Doubtfire", "d:Description": "Multiple reviewers give this film 3/4 stars.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Doubtfire", "url": "http://www.all-reviews.com/videos-2/mrs-doubtfire.htm"} +{"d:Title": "WWWF Grudge Match: Mrs. Doubtfire vs. Tootsie", "d:Description": "The two manly ladies fight it out. [humor]", "topic": "Top/Arts/Movies/Titles/M/Mrs._Doubtfire", "url": "http://www.grudge-match.com/History/doubtfire-tootsie.shtml"} +{"d:Title": "Crazy for Cinema", "d:Description": "Offers a movie review.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Doubtfire", "url": "http://crazy4cinema.com/Review/FilmsM/f_doubtfire.html"} +{"d:Title": "USA Movies: Mrs Doubtfire", "d:Description": "Location information and trivia alongside screen captures.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Doubtfire", "url": "http://www.filminamerica.com/Movies/MrsDoubtfire/"} +{"d:Title": "Despite Dismal Scenes, Mrs. Doubtfire Succeeds", "d:Description": "Review from MIT's The Tech.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Doubtfire", "url": "http://tech.mit.edu/V113/N61/doubt.61a.html"} +{"d:Title": "TV Guide Online: Mrs. Doubtfire", "d:Description": "3 1/2 star review with synopsis, cast/crew credits, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Doubtfire", "url": "http://www.tvguide.com/movies/mrs-doubtfire/129299/"} +{"d:Title": "Teach with Movies: Mrs. Miniver", "d:Description": "Learning guide for the movie.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Miniver", "url": "http://www.teachwithmovies.org/guides/mrs-miniver.html"} +{"d:Title": "Oscar's Worst?", "d:Description": "Gerald Peary's review: \"might take the booby-prize cake as the most bloated and insufferable Oscar winner\".", "topic": "Top/Arts/Movies/Titles/M/Mrs._Miniver", "url": "http://www.geraldpeary.com/reviews/mno/mrs-miniver.html"} +{"d:Title": "Reel Classics: Mrs. Miniver", "d:Description": "Essay on the film with pictures and quotes.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Miniver", "url": "http://reelclassics.com/Movies/Miniver/miniver.htm"} +{"d:Title": "IMDb: Mrs. Miniver", "d:Description": "Plot summary, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Miniver", "url": "http://www.imdb.com/title/tt0035093/"} +{"d:Title": "The Flick Filosopher: Mrs. Miniver", "d:Description": "MaryAnn Johanson's review: \"a strikingly unsentimental account of the theft of England's innocence in the early days of WWII.\"", "topic": "Top/Arts/Movies/Titles/M/Mrs._Miniver", "url": "http://www.flickfilosopher.com/1999/01/mrs-miniver-review.html"} +{"d:Title": "All-Reviews.com: Mrs. Parker and the Vicious Circle", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Parker_and_the_Vicious_Circle", "url": "http://www.all-reviews.com/videos-3/mrs-parker-vicious.htm"} +{"d:Title": "Rotten Tomatoes: Mrs. Parker and the Vicious Circle", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Parker_and_the_Vicious_Circle", "url": "http://www.rottentomatoes.com/m/mrs_parker_and_the_vicious_circle/"} +{"d:Title": "IMDb: Mrs. Parker and the Vicious Circle (1994)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mrs._Parker_and_the_Vicious_Circle", "url": "http://www.imdb.com/title/tt0110588/"} +{"d:Title": "All-Reviews.com - Mrs. Winterbourne", "d:Description": "Review of the Movie", "topic": "Top/Arts/Movies/Titles/M/Mrs._Winterbourne", "url": "http://www.all-reviews.com/videos-3/mrs-winterbourne.htm"} +{"d:Title": "IMDb - Mrs. Winterbourne (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mrs._Winterbourne", "url": "http://www.imdb.com/title/tt0117104/"} +{"d:Title": "Rotten Tomatoes - Much Ado About Nothing", "d:Description": "Reviews of the movie MUCH ADO ABOUT NOTHING (1993) the nation's top critics and audiences. Also includes movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing", "url": "http://www.rottentomatoes.com/m/1045992-much_ado_about_nothing/"} +{"d:Title": "TV Guide Online - Much Ado About Nothing", "d:Description": "Cast/crew information, 3 star review, synopsis, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing", "url": "http://www.tvguide.com/movies/ado/129562"} +{"d:Title": "IMDb.com - Much Ado About Nothing (1993)", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing", "url": "http://www.imdb.com/title/tt0107616/"} +{"d:Title": "Washington Post", "d:Description": "Reviewed by Hal Hinson, ok rating.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/muchadoaboutnothingpg13hinson_a0a81a.htm"} +{"d:Title": "CineScene.com (Mark Ashley)", "d:Description": "Unfavorable review of the film.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.cinescene.com/names/room111999.html#much"} +{"d:Title": "Parent/Teacher Learning Guides at teachwithmovies.org", "d:Description": "Guide for parents/teachers with helpful background, lessons learned, any objectionable content and ratings.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.teachwithmovies.org/guides/much-ado-about-nothing.html"} +{"d:Title": "Crazy for Cinema (Lisa Skrzyniarz)", "d:Description": "Reviewed by Lisa Skryniarz, overall rating 3/4 stars.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.crazy4cinema.com/Review/FilmsM/much_ado.html"} +{"d:Title": "Teen Movie Critic (Roger Davidson)", "d:Description": "Brief review of the film, 4 star rating.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.dreamagic.com/roger/12-02-96.html#much"} +{"d:Title": "All-Reviews.com - Much Ado About Nothing", "d:Description": "Review of the Movie", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.all-reviews.com/videos-3/much-ado-about-nothing.htm"} +{"d:Title": "Deseret News: Much Ado About Nothing", "d:Description": "Review by Chris Hicks, overall rating 3 1/2 stars.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.deseretnews.com/article/700001251/Much-Ado-About-Nothing.html"} +{"d:Title": "eFilmCritic.com", "d:Description": "Multiple reviewers rate this movie, 'awesome', add your own comments.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.efilmcritic.com/review.php?movie=2347"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Roger Ebert reviews the film, rating it 3/4 stars.", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.rogerebert.com/reviews/much-ado-about-nothing-1993"} +{"d:Title": "Austin Chronicle - Much Ado About Nothing", "d:Description": "Reviewed by Robert Faires, overall rating of 4/5 stars", "topic": "Top/Arts/Movies/Titles/M/Much_Ado_About_Nothing/Reviews", "url": "http://www.austinchronicle.com/calendar/film/1993-06-25/much-ado-about-nothing/"} +{"d:Title": "HARO Online: The Mudge Boy", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Mudge_Boy,_The", "url": "http://www.haro-online.com/movies/mudge_boy.html"} +{"d:Title": "IMDb: The Mudge Boy (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/M/Mudge_Boy,_The", "url": "http://www.imdb.com/title/tt0339419/"} +{"d:Title": "Rotten Tomatoes: The Mudge Boy", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/M/Mudge_Boy,_The", "url": "http://www.rottentomatoes.com/m/mudge_boy/"} +{"d:Title": "Chicago Sun-Times: The Mudge Boy", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/Mudge_Boy,_The", "url": "http://www.rogerebert.com/reviews/the-mudge-boy-2004"} +{"d:Title": "HARO Online: Mulholland Drive", "d:Description": "Mongoose reviews \"Mulholland Drive.\" Rates it \"Pretty Good\".", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.haro-online.com/movies/mulholland_drive.html"} +{"d:Title": "All-Reviews.com: Mulholland Drive", "d:Description": "Review of the movie by Steve Rhodes, who gives it 2 1/2 stars and finds it \"more confusing, not less, as the story unfolds.\"", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.all-reviews.com/videos-3/mulholland-drive.htm"} +{"d:Title": "Cosmopolis: Mulholland Drive", "d:Description": "Review of the film with pictures and biographies of the director and stars.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.cosmopolis.ch/english/cosmo25/mulholland_drive.htm"} +{"d:Title": "Lost On Mulholland Dr.", "d:Description": "Fan site that compiles and classifies message board threads in an attempt to weave together the movie's fragmented plot.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.mulholland-drive.net/"} +{"d:Title": "Rotten Tomatoes: Mulholland Drive", "d:Description": "Links to reviews, a forum, photos, and the trailer.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.rottentomatoes.com/m/mulholland_dr/"} +{"d:Title": "The City of Absurdity: Mulholland Drive", "d:Description": "Interviews, articles, photos and information on the soundtrack.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.thecityofabsurdity.com/mulhollanddrive/"} +{"d:Title": "IMDb: Mulholland Drive", "d:Description": "Cast and crew, user comments and ratings on the film.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.imdb.com/title/tt0166924/"} +{"d:Title": "Metacritic.com: Mulholland Drive", "d:Description": "General information, links to reviews, and an overall score for the film.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://www.metacritic.com/movie/mulholland-dr"} +{"d:Title": "About.com: Mulholland Drive", "d:Description": "Ivana Redwine reviews the DVD.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Drive", "url": "http://movies.about.com/od/interviews/fl/xavier-samuel-adore-interview.htm"} +{"d:Title": "All-Reviews.com: Mulholland Falls", "d:Description": "Movie review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Falls", "url": "http://www.all-reviews.com/videos-3/mulholland-falls.htm"} +{"d:Title": "Rotten Tomatoes: Mulholland Falls", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Falls", "url": "http://www.rottentomatoes.com/m/mulholland_falls/"} +{"d:Title": "NYTimes.com: Mulholland Falls", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Falls", "url": "http://www.nytimes.com/1996/04/26/movies/film-review-high-test-swagger-by-burly-buddies.html"} +{"d:Title": "IMDb: Mulholland Falls (1996)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mulholland_Falls", "url": "http://www.imdb.com/title/tt0117107/"} +{"d:Title": "All-Reviews.com - Multiplicity", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/M/Multiplicity", "url": "http://www.all-reviews.com/videos-2/multiplicity.htm"} +{"d:Title": "IMDb.com - Multiplicity", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/Multiplicity", "url": "http://www.imdb.com/title/tt0117108/"} +{"d:Title": "IMDB: Mumbo Jumbo, The (2000)", "d:Description": "Plot summary and a cast and crew list of the film.", "topic": "Top/Arts/Movies/Titles/M/Mumbo_Jumbo,_The", "url": "http://www.imdb.com/title/tt0245299/"} +{"d:Title": "Vine International: The Mumbo Jumbo", "d:Description": "Official site of the children's movie by the production company.", "topic": "Top/Arts/Movies/Titles/M/Mumbo_Jumbo,_The", "url": "http://home2.btconnect.com/Vine-Internation/film39.html"} +{"d:Title": "All-Reviews.com - Mumford", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/M/Mumford", "url": "http://www.all-reviews.com/videos/mumford.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/M/Mumford", "url": "http://www.rottentomatoes.com/m/mumford/"} +{"d:Title": "IMDb - Mumford (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mumford", "url": "http://www.imdb.com/title/tt0140397/"} +{"d:Title": "Metacritic.com: Mumford", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mumford", "url": "http://www.metacritic.com/movie/mumford"} +{"d:Title": "WWWF Grudge Match: Imhotep vs. Moses", "d:Description": "Humorous hypothetical match-up between Imhotep and Moses (as played by Charlton Heston).", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series", "url": "http://www.grudge-match.com/History/imhotep-moses.shtml"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the Mummy.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.haro-online.com/movies/mummy.html"} +{"d:Title": "All-Reviews.com - The Mummy (1999)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.all-reviews.com/videos/the-mummy.htm"} +{"d:Title": "Cineclub - Movies: The Mummy", "d:Description": "Includes ratings, a review, and several movie stills.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.cineclub.de/e/movies/the_mummy.html"} +{"d:Title": "Filmtracks: The Mummy", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.filmtracks.com/titles/mummy.html"} +{"d:Title": "Mummy (1999) - Rotten Tomatoes", "d:Description": "Reviews of Mummy from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.rottentomatoes.com/m/1087270-mummy/"} +{"d:Title": "Box Office Mojo: The Mummy", "d:Description": "Box office coverage of the film. Includes detailed breakdowns of the data and comparisons.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.boxofficemojo.com/movies/?id=mummy.htm"} +{"d:Title": "IMDb - The Mummy (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.imdb.com/title/tt0120616/"} +{"d:Title": "TV Guide Online: Mummy, The", "d:Description": "Includes a detailed review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The", "url": "http://www.tvguide.com/movies/mummy/133977/"} +{"d:Title": "Rotten Tomatoes: The Mummy: Tomb of the Dragon Emperor", "d:Description": "Synopsis, cast members, photos, trailer, news, review links, and a message board.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The_-_Tomb_of_the_Dragon_Emperor", "url": "http://www.rottentomatoes.com/m/mummy_tomb_of_the_dragon_emperor/"} +{"d:Title": "RogerEbert.com", "d:Description": "Review, by Roger Ebert: \"Now why did I like this movie? It was just plain dumb fun, is why. It is absurd and preposterous, and proud of it.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The_-_Tomb_of_the_Dragon_Emperor", "url": "http://www.rogerebert.com/reviews/the-mummy-tomb-of-the-dragon-emperor-2008"} +{"d:Title": "TV Guide: The Mummy: Tomb of the Dragon Emperor", "d:Description": "Review, by Ken Fox: \"Still passable popcorn fare, even if you'll barely taste it before swallowing.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The_-_Tomb_of_the_Dragon_Emperor", "url": "http://www.tvguide.com/movies/mummy-tomb-dragon-emperor/review/292488/"} +{"d:Title": "ReelViews: Mummy, The: Tomb of the Dragon Emperor", "d:Description": "Review, by James Berardinelli: \"Feels perfunctory and obligatory and, despite the return of several familiar characters, is more like an afterthought than an organic third piece of a trilogy puzzle.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The_-_Tomb_of_the_Dragon_Emperor", "url": "http://www.reelviews.net/reelviews/mummy-the-tomb-of-the-dragon-emperor"} +{"d:Title": "IGN: The Mummy: Tomb of the Dragon Emperor", "d:Description": "News, photos, videos, features, box office data, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy,_The_-_Tomb_of_the_Dragon_Emperor", "url": "http://www.ign.com/movies/the-mummy-tomb-of-the-dragon-emperor/theater-55999"} +{"d:Title": "The Mummy Archive", "d:Description": "Includes cast and character information, gallery, video games information, collages, quotes, ancient Egypt information, and animations.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The", "url": "http://www.angelfire.com/film/mummyreturns/"} +{"d:Title": "Imhotep's Chamber", "d:Description": "Includes information on the characters, behind the scenes, special effects, the Mummy, Imhotep, Seti I, and postcards.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The", "url": "http://imhoteps_chamber.tripod.com/"} +{"d:Title": "KillerMovies.com - The Mummy Returns", "d:Description": "Features the latest news, rumors, and facts. Also includes trailers, plot, cast and crew information, and photos.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The", "url": "http://killermovies.com/mummy/"} +{"d:Title": "Destiny Awaits", "d:Description": "Image gallery, cast and character information, story guide, Egytian facts, and Mummy games.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The", "url": "http://mummyreturns.8m.com/"} +{"d:Title": "Love on the Nile: The Mummy Imhotep and Anck-su-Namun", "d:Description": "Historical information on Egypt, movie information, fan art, fan fiction, and The Mummy Sims.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The", "url": "http://www.sullivanet.com/mummy/"} +{"d:Title": "The Mummy Web", "d:Description": "Cast, the legend, image gallery, art gallery, wallpaper, fanfiction, contests, and literature.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The", "url": "http://themummyweb.angelfire.com/"} +{"d:Title": "All-Reviews.com - The Mummy Returns", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The/Reviews", "url": "http://www.all-reviews.com/videos-3/mummy-returns.htm"} +{"d:Title": "About.com Review", "d:Description": "Ivana Redwine reviews \"The Mummy Returns.\"", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The/Reviews", "url": "http://homevideo.about.com/library/weekly/aa100401a.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The/Reviews", "url": "http://www.haro-online.com/movies/mummy_returns.html"} +{"d:Title": "Idlebrain.com review - The Mummy Returns", "d:Description": "Idlebrain.com review by PSK.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The/Reviews", "url": "http://www.idlebrain.com/angreji/mr-mummy.html"} +{"d:Title": "Filmtracks: The Mummy Returns", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The/Reviews", "url": "http://www.filmtracks.com/titles/mummy_returns.html"} +{"d:Title": "Rotten Tomatoes - The Mummy Returns", "d:Description": "Reviews and reactions from the nation's top critics. Plus information on upcoming movies, news, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The/Reviews", "url": "http://www.rottentomatoes.com/m/mummy_returns/"} +{"d:Title": "Metacritic.com: The Mummy Returns", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, home video details, and rating.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Mummy_Returns,_The/Reviews", "url": "http://www.metacritic.com/movie/the-mummy-returns"} +{"d:Title": "HARO Online: The Scorpion King", "d:Description": "Review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://www.haro-online.com/movies/scorpion_king.html"} +{"d:Title": "All-Reviews.com: The Scorpion King", "d:Description": "Reviews of the film by several contributors.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://www.all-reviews.com/videos-4/scorpion-king.htm"} +{"d:Title": "Filmtracks: The Scorpion King", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://www.filmtracks.com/titles/scorpion_king.html"} +{"d:Title": "Rotten Tomatoes: The Scorpion King", "d:Description": "Links to reviews, news articles, photographs, trailer, and a forum.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://www.rottentomatoes.com/m/scorpion_king/"} +{"d:Title": "IMDb: Scorpion King, The (2002)", "d:Description": "Filmography, comments, and related details.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://www.imdb.com/title/tt0277296/"} +{"d:Title": "About Romantic Movies: The Scorpion King", "d:Description": "Interviews, pictures, reviews, movie trailer and DVD news.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://movies.about.com/od/thescorpionking/"} +{"d:Title": "Metacritic.com: The Scorpion King", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://www.metacritic.com/movie/the-scorpion-king"} +{"d:Title": "About.com: The Scorpion King", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/M/Mummy_Series/Scorpion_King,_The", "url": "http://movies.about.com/od/toppicks/tp/datemovies.htm"} +{"d:Title": "All-Reviews.com - Murder at 1600", "d:Description": "Review of the movie. Overall rating: 2 1/2 stars.", "topic": "Top/Arts/Movies/Titles/M/Murder_at_1600", "url": "http://www.all-reviews.com/videos-2/murder-at-1600.htm"} +{"d:Title": "AllReaders.com Spotlight - Murder at 1600", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/Murder_at_1600", "url": "http://allreaders.com/movie-review-summary/murder-at-1600-5148"} +{"d:Title": "IMDbMurder at the Vanities (1934)", "d:Description": "Includes a plot summary, cast list, trailer, and user rating.", "topic": "Top/Arts/Movies/Titles/M/Murder_at_the_Vanities", "url": "http://www.imdb.com/title/tt0025529/"} +{"d:Title": "All-Reviews.com: Murder by Numbers", "d:Description": "Six reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Murder_by_Numbers", "url": "http://www.all-reviews.com/videos-4/murder-by-numbers.htm"} +{"d:Title": "HARO Online: Murder by Numbers", "d:Description": "Haro's review: \"...feels like making a movie by numbers. Everything about this movie feels like a retread.\"", "topic": "Top/Arts/Movies/Titles/M/Murder_by_Numbers", "url": "http://www.haro-online.com/movies/murder_by_numbers.html"} +{"d:Title": "IMDb: Murder by Numbers (2002)", "d:Description": "Cast and crew listing, user comments, trivia and quotes.", "topic": "Top/Arts/Movies/Titles/M/Murder_by_Numbers", "url": "http://www.imdb.com/title/tt0264935/"} +{"d:Title": "Chicago Sun-Times: Murder by Numbers", "d:Description": "Roger Ebert's review: \"Bullock does a good job here of working against her natural likability, creating a character you'd like to like, and could like, if she weren't so sad, strange and turned in upon herself.\" Three stars.", "topic": "Top/Arts/Movies/Titles/M/Murder_by_Numbers", "url": "http://www.rogerebert.com/reviews/murder-by-numbers-2002"} +{"d:Title": "Metacritic.com: Murder by Numberes", "d:Description": "Quotes from and links to reviews of the film with an overall score and viewer comments.", "topic": "Top/Arts/Movies/Titles/M/Murder_by_Numbers", "url": "http://www.metacritic.com/movie/murder-by-numbers"} +{"d:Title": "All-Reviews.com- Muriel's Wedding", "d:Description": "Multiple reviewers give this movie 2 1/2 stars out of 4.", "topic": "Top/Arts/Movies/Titles/M/Muriel's_Wedding", "url": "http://www.all-reviews.com/videos/muriels-wedding.htm"} +{"d:Title": "IMDb: Muriel's Wedding", "d:Description": "Cast and crew, reviews, trailer, and awards.", "topic": "Top/Arts/Movies/Titles/M/Muriel's_Wedding", "url": "http://www.imdb.com/title/tt0110598/"} +{"d:Title": "TV Guide Online: Muriel's Wedding", "d:Description": "2 1/2 star review with cast/crew credits, synopsis, and other background information.", "topic": "Top/Arts/Movies/Titles/M/Muriel's_Wedding", "url": "http://www.tvguide.com/movies/muriels-wedding/130466/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews The Muse.", "topic": "Top/Arts/Movies/Titles/M/Muse,_The", "url": "http://www.haro-online.com/movies/muse.html"} +{"d:Title": "All-Reviews.com: The Muse", "d:Description": "Multiple reviewers give this movie 2 1/2 out of 4 stars.", "topic": "Top/Arts/Movies/Titles/M/Muse,_The", "url": "http://www.all-reviews.com/videos/muse.htm"} +{"d:Title": "The Muse", "d:Description": "Rotten Tomatoes", "topic": "Top/Arts/Movies/Titles/M/Muse,_The", "url": "http://www.rottentomatoes.com/m/muse/"} +{"d:Title": "IMDb - The Muse (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Muse,_The", "url": "http://www.imdb.com/title/tt0164108/"} +{"d:Title": "Metacritic.com: Muse, The", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Muse,_The", "url": "http://www.metacritic.com/movie/the-muse"} +{"d:Title": "All-Reviews.com: Music Box", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/Music_Box", "url": "http://www.all-reviews.com/videos-3/music-box.htm"} +{"d:Title": "Rotten Tomatoes: Music Box", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/Music_Box", "url": "http://www.rottentomatoes.com/m/1014451-music_box/"} +{"d:Title": "IMDb: Music Box (1989)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Music_Box", "url": "http://www.imdb.com/title/tt0100211/"} +{"d:Title": "IMDb: Music From Another Room", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Music_from_Another_Room", "url": "http://www.imdb.com/title/tt0119734/"} +{"d:Title": "TV Guide Online: Music From Another Room", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/Music_from_Another_Room", "url": "http://www.tvguide.com/movies/music-room/132849/"} +{"d:Title": "The Music Man (1962)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Music_Man,_The", "url": "http://www.imdb.com/title/tt0056262/"} +{"d:Title": "Music of the Heart: Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of the movie.", "topic": "Top/Arts/Movies/Titles/M/Music_of_the_Heart", "url": "http://www.rottentomatoes.com/m/music_of_the_heart/"} +{"d:Title": "IMDb - Music of the Heart (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Music_of_the_Heart", "url": "http://www.imdb.com/title/tt0166943/"} +{"d:Title": "Metacritic.com: Music of the Heart", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Music_of_the_Heart", "url": "http://www.metacritic.com/movie/music-of-the-heart"} +{"d:Title": "Tracksounds: The Musketeer", "d:Description": "Offers a review of the soundtrack by David Arnold. Includes sound clips and track listings.", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "http://www.tracksounds.com/reviews/musketeer.htm"} +{"d:Title": "All-Reviews.com: Musketeer, The", "d:Description": "Includes multiple reviews of the film.", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "http://www.all-reviews.com/videos-3/musketeer.htm"} +{"d:Title": "HARO Online: The Musketeer", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "http://www.haro-online.com/movies/musketeer.html"} +{"d:Title": "The Musketeer", "d:Description": "Official site with synopsis, trailer, downloads, and soundtrack details [Flash required].", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "http://www.the-musketeer.com/"} +{"d:Title": "Filmtracks: The Musketeer", "d:Description": "Soundtrack review with audio clips and ratings.", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "http://www.filmtracks.com/titles/musketeer.html"} +{"d:Title": "IMDb: Musketeer, The", "d:Description": "Includes a plot outline, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "http://www.imdb.com/title/tt0246544/"} +{"d:Title": "Metacritic.com: The Musketeer", "d:Description": "Quotes from and links to several film reviews.", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "http://www.metacritic.com/movie/the-musketeer"} +{"d:Title": "Awesome Stories: The Musketeer", "d:Description": "Background information on the places and people depicted in the film.", "topic": "Top/Arts/Movies/Titles/M/Musketeer,_The", "url": "https://www.awesomestories.com/asset/view/Musketeer-The"} +{"d:Title": "All-Reviews.com - Mute Witness", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/M/Mute_Witness", "url": "http://www.all-reviews.com/videos-3/mute-witness.htm"} +{"d:Title": "IMDb: Mute Witness", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/M/Mute_Witness", "url": "http://www.imdb.com/title/tt0110604/"} +{"d:Title": "IMDb - Mutiny (1999)", "d:Description": "Cast/credits plus additional information about the made-for-TV film", "topic": "Top/Arts/Movies/Titles/M/Mutiny_-_1999", "url": "http://www.imdb.com/title/tt0181769/"} +{"d:Title": "Greatest Films - Mutiny On The Bounty (1935)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/Mutiny_on_the_Bounty_-_1935", "url": "http://www.filmsite.org/muti.html"} +{"d:Title": "IMDb - Mutiny on the Bounty (1935)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mutiny_on_the_Bounty_-_1935", "url": "http://www.imdb.com/title/tt0026752/"} +{"d:Title": "Mutiny on the Bounty (1962)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/M/Mutiny_on_the_Bounty_-_1962", "url": "http://www.imdb.com/title/tt0056264/"} +{"d:Title": "Yahoo! Movies: Mutiny on the Bounty (1962)", "d:Description": "Synopsis, cast and crew, and message board.", "topic": "Top/Arts/Movies/Titles/M/Mutiny_on_the_Bounty_-_1962", "url": "https://www.yahoo.com/movies/film/mutiny-on-the-bounty-1962/?ref=gs"} +{"d:Title": "Metacritic.com: MVP: Most Valuable Primate", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/MVP_-_Most_Valuable_Primate", "url": "http://www.metacritic.com/movie/mvp-most-valuable-primate"} +{"d:Title": "Myra Breckinridge (1970)", "d:Description": "Credits and information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Myra_Breckinridge", "url": "http://www.imdb.com/title/tt0066115/"} +{"d:Title": "Gore Vidal's Myra Breckinridge Website", "d:Description": "A visual and textual synopsis of the film and novel with pictures from the film starring Raquel Welch.", "topic": "Top/Arts/Movies/Titles/M/Myra_Breckinridge", "url": "http://myrasite.tripod.com/"} +{"d:Title": "All-Reviews.com - Mystery Alaska", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/M/Mystery,_Alaska", "url": "http://www.all-reviews.com/videos/mystery-alaska.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/M/Mystery,_Alaska", "url": "http://www.rottentomatoes.com/m/mystery_alaska/"} +{"d:Title": "IMDb - Mystery, Alaska", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Mystery,_Alaska", "url": "http://www.imdb.com/title/tt0134618/"} +{"d:Title": "Metacritic.com: Mystery, Alaska", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/Mystery,_Alaska", "url": "http://www.metacritic.com/movie/mystery-alaska"} +{"d:Title": "Chicago Sun-Times: Mystery, Alaska", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/Mystery,_Alaska", "url": "http://www.rogerebert.com/reviews/mystery-alaska-1999"} +{"d:Title": "The Mystery Men Project", "d:Description": "A fansite for the movie based on the Darkhorse comic.", "topic": "Top/Arts/Movies/Titles/M/Mystery_Men", "url": "http://theneitherworld.com/mmen"} +{"d:Title": "HARO Online", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/M/Mystery_Men", "url": "http://www.haro-online.com/movies/mystery_men.html"} +{"d:Title": "All-Reviews.com - Mystery Men", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/M/Mystery_Men", "url": "http://www.all-reviews.com/videos/mystery-men.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mystery_Men", "url": "http://crazy4cinema.com/Review/FilmsM/f_mystery_men.html"} +{"d:Title": "Mystery Men: Rotten Tomatoes", "d:Description": "Links to reviews, news, articles, previews, and trailers of Mystery Men.", "topic": "Top/Arts/Movies/Titles/M/Mystery_Men", "url": "http://www.rottentomatoes.com/m/mystery_men/"} +{"d:Title": "IMDB : Mystery Men (1999)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/M/Mystery_Men", "url": "http://www.imdb.com/title/tt0132347/"} +{"d:Title": "TV Guide Online: Mystery Train", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/Mystery_Train", "url": "http://www.tvguide.com/movies/mystery-train/127478/"} +{"d:Title": "HARO Online - The Mystic Masseur", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Mystic_Masseur,_The", "url": "http://www.haro-online.com/movies/mystic_masseur.html"} +{"d:Title": "IMDb - Mystic Masseur, The (2001)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/Mystic_Masseur,_The", "url": "http://www.imdb.com/title/tt0282771/"} +{"d:Title": "Chicago Sun-Times - The Mystic Masseur", "d:Description": "Review by Roger Ebert (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/Mystic_Masseur,_The", "url": "http://www.rogerebert.com/reviews/the-mystic-masseur-2002"} +{"d:Title": "All-Reviews.com - Mystic River", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/Mystic_River", "url": "http://all-reviews.com/videos-5/mystic-river.htm"} +{"d:Title": "HARO Online: Mystic River", "d:Description": "Haro reviews the film as pretty good; learn more about why.", "topic": "Top/Arts/Movies/Titles/M/Mystic_River", "url": "http://www.haro-online.com/movies/mystic_river.html"} +{"d:Title": "Filmtracks: Mystic River", "d:Description": "Soundtrack review that features the track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/M/Mystic_River", "url": "http://www.filmtracks.com/titles/mystic_river.html"} +{"d:Title": "Rotten Tomatoes: Mystic River", "d:Description": "Links to reviews, news articles, photographs, trailers, and a public forum.", "topic": "Top/Arts/Movies/Titles/M/Mystic_River", "url": "http://www.rottentomatoes.com/m/mystic_river/"} +{"d:Title": "Mystic River", "d:Description": "Official site with cast and crew biographies, photos and clips, poster, and links to reviews.", "topic": "Top/Arts/Movies/Titles/M/Mystic_River", "url": "http://www.warnerbros.com/mystic-river"} +{"d:Title": "IMDb - The Myth of Fingerprints (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/Myth_of_Fingerprints,_The", "url": "http://www.imdb.com/title/tt0119746/"} +{"d:Title": "IMDb: My Architect - A Son's Journey (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey", "url": "http://www.imdb.com/title/tt0373175/"} +{"d:Title": "My Architect", "d:Description": "Official site with synopsis, biographies, and multimedia.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey", "url": "http://www.myarchitectfilm.com/"} +{"d:Title": "Rotten Tomatoes: My Architect - A Son's Journey", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey", "url": "http://www.rottentomatoes.com/m/my_architect/"} +{"d:Title": "Reel Film Reviews: My Architect - A Son's Journey", "d:Description": "Review by David Nusair.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.reelfilm.com/myarch.htm"} +{"d:Title": "Boston Phoenix: Designs For Living", "d:Description": "Review by Steve Vineberg.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.bostonphoenix.com/boston/movies/reviews/documents/03592619.asp"} +{"d:Title": "Pittsburgh Post-Gazette: My Architect - A Son's Journey", "d:Description": "Review by Patricia Lowry.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.post-gazette.com/movies/20040206architect0206fnp2.asp"} +{"d:Title": "PopEntertainment.com: My Architect - A Son's Journey", "d:Description": "Review by Jay S. Jacobs.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.popentertainment.com/myarchitect.htm"} +{"d:Title": "HARO Online: My Architect - A Son's Journey", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.haro-online.com/movies/my_architect.html"} +{"d:Title": "Deseret News: My Architect - A Son's Journey", "d:Description": "Review by Jeff Vice.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.deseretnews.com/article/700003462/My-Architect-A-Sons-Journey.html"} +{"d:Title": "Chicago Sun-Times: My Architect", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.rogerebert.com/reviews/my-architect-2004"} +{"d:Title": "San Francisco Chronicle: Son Builds a Picture of His Famous Dad", "d:Description": "Review by Mick LaSalle.", "topic": "Top/Arts/Movies/Titles/M/My_Architect_-_A_Son's_Journey/Reviews", "url": "http://www.sfgate.com/movies/article/Son-builds-a-picture-of-his-famous-dad-2825038.php"} +{"d:Title": "HARO Online: My Baby's Daddy", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_Baby's_Daddy", "url": "http://www.haro-online.com/movies/my_babys_daddy.html"} +{"d:Title": "IMDb: My Baby's Daddy (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/M/My_Baby's_Daddy", "url": "http://www.imdb.com/title/tt0332712/"} +{"d:Title": "Rotten Tomatoes: My Baby's Daddy", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/M/My_Baby's_Daddy", "url": "http://www.rottentomatoes.com/m/my_babys_daddy/"} +{"d:Title": "IMDb: My Beautiful Laundrette", "d:Description": "Provides details of cast and crew, related links and users' comments.", "topic": "Top/Arts/Movies/Titles/M/My_Beautiful_Laundrette", "url": "http://www.imdb.com/title/tt0091578/"} +{"d:Title": "Chicago Sun-Times: My Beautiful Laundrette", "d:Description": "Robert Ebert's review looks at the film's unconventional plot, its unknown actors and its message about making choices in life.", "topic": "Top/Arts/Movies/Titles/M/My_Beautiful_Laundrette", "url": "http://www.rogerebert.com/reviews/my-beautiful-laundrette-1986"} +{"d:Title": "IMDb: Mon meilleur ami (2006/I)", "d:Description": "Photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend", "url": "http://www.imdb.com/title/tt0778784/"} +{"d:Title": "Rotten Tomatoes: My Best Friend", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend", "url": "http://www.rottentomatoes.com/m/1175074-my_best_friend/"} +{"d:Title": "MovieWeb: My Best Friend (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and box office data.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend", "url": "http://www.movieweb.com/movie/FIzMtzDByRWqDG"} +{"d:Title": "Boston Globe: My Best Friend", "d:Description": "Review, by Wesley Morris: \"Leconte's writing is tight and nimble, and while the tests of the duo's friendship are facile, under the circumstances, they make sense. The bond between Francois and Bruno approximates the real thing; Leconte seems to be arguing that you can grow a flower from fake soil.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend", "url": "http://archive.boston.com/news/globe/living/articles/2007/07/27/best_friend_nimbly_shares_laughs_and_lessons/?camp=pm"} +{"d:Title": "Rotten Tomatoes: My Best Friend's Girl", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Girl", "url": "http://www.rottentomatoes.com/m/10008611-my_best_friends_girl/"} +{"d:Title": "MovieWeb.com: My Best Friend's Girl (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Girl", "url": "http://www.movieweb.com/movie/my-best-friends-girl"} +{"d:Title": "ReelViews: My Best Friend's Girl", "d:Description": "Review, by James Berardinelli: \"My Best Friend's Girl isn't just a misfire; it's a misfire compounded by a chain of miscalculations, and it's hard to figure out who this could appeal to (except, perhaps, Dane Cook's fan club).\"", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Girl", "url": "http://www.reelviews.net/reelviews/my-best-friend-s-girl"} +{"d:Title": "IGN: My Best Friend's Girl", "d:Description": "Photos, videos, news, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Girl", "url": "http://www.ign.com/movies/my-best-friends-girl/theater-14248349"} +{"d:Title": "All-Reviews.com- My Best Friend's Wedding", "d:Description": "Multiple reviewers give this movie 2 1/2 stars out of 4.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Wedding", "url": "http://www.all-reviews.com/videos-2/best-friends-wedding.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Wedding", "url": "http://crazy4cinema.com/Review/FilmsM/f_bestwedding.html"} +{"d:Title": "Metacritic.com: My Best Friend's Wedding", "d:Description": "Quotes from and links to reviews of the film, with an overall rating.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Wedding", "url": "http://www.metacritic.com/movie/my-best-friends-wedding"} +{"d:Title": "AllReaders.com Spotlight - My Best Friend's Wedding", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Wedding", "url": "http://allreaders.com/movie-review-summary/my-best-friends-wedding-5095"} +{"d:Title": "TV Guide Online: My Best Friend's Wedding", "d:Description": "3 star review with synopsis, cast/crew credits, and other background information.", "topic": "Top/Arts/Movies/Titles/M/My_Best_Friend's_Wedding", "url": "http://www.tvguide.com/movies/best-friends-wedding/132304/"} +{"d:Title": "HARO Online: My Big Fat Greek Wedding", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Greek_Wedding", "url": "http://www.haro-online.com/movies/my_big_fat_greek_wedding.html"} +{"d:Title": "All-Reviews.com: My Big Fat Greek Wedding", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Greek_Wedding", "url": "http://www.all-reviews.com/videos-4/my-big-fat-greek-wedding.htm"} +{"d:Title": "Rotten Tomatoes: My Big Fat Greek Wedding", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Greek_Wedding", "url": "http://www.rottentomatoes.com/m/my_big_fat_greek_wedding/"} +{"d:Title": "Chicago Sun-Times: My Big Fat Greek Wedding", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Greek_Wedding", "url": "http://www.rogerebert.com/reviews/my-big-fat-greek-wedding-2002"} +{"d:Title": "IMDb: My Big Fat Greek Wedding (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Greek_Wedding", "url": "http://www.imdb.com/title/tt0259446/"} +{"d:Title": "About.com: My Big Fat Greek Wedding", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Greek_Wedding", "url": "http://movies.about.com/cs/connieandcarla/a/cncrnv041204.htm"} +{"d:Title": "MovieWeb: My Big Fat Greek Wedding", "d:Description": "Plot description, production information, credits, and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Greek_Wedding", "url": "http://movieweb.com/movie/my-big-fat-greek-wedding/"} +{"d:Title": "IMDb: My Big Fat Independent Movie (2004)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/M/My_Big_Fat_Independent_Movie", "url": "http://www.imdb.com/title/tt0385890/"} +{"d:Title": "Washington Post - My Blue Heaven", "d:Description": "Scathing review of the comedy by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/M/My_Blue_Heaven", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/myblueheavenpg13kempley_a0a095.htm"} +{"d:Title": "Rotten Tomatoes: About My Blue Heaven", "d:Description": "Plot, trivia, and cast information of the film.", "topic": "Top/Arts/Movies/Titles/M/My_Blue_Heaven", "url": "http://www.rottentomatoes.com/m/1029161-my_blue_heaven/"} +{"d:Title": "eFilmCritic - My Blue Heaven", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/My_Blue_Heaven", "url": "http://www.efilmcritic.com/review.php?movie=2818"} +{"d:Title": "IMDb.com - My Blue Heaven (1990)", "d:Description": "Cast/crew credits with a synopsis, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/M/My_Blue_Heaven", "url": "http://www.imdb.com/title/tt0100212/"} +{"d:Title": "TV Guide Online: My Blue Heaven", "d:Description": "Cast/crew credits, synopsis, reviews, and other background information.", "topic": "Top/Arts/Movies/Titles/M/My_Blue_Heaven", "url": "http://www.tvguide.com/movies/blue-heaven/128091/"} +{"d:Title": "HARO Online: My Boss's Daughter", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/M/My_Boss's_Daughter", "url": "http://www.haro-online.com/movies/my_bosss_daughter.html"} +{"d:Title": "Internet Movie Database: My Boss's Daughter", "d:Description": "Synopsis, cast and crew, user comments and ratings, production information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/My_Boss's_Daughter", "url": "http://imdb.com/title/tt0270980/"} +{"d:Title": "All-Reviews.com - My Boss's Daughter", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/M/My_Boss's_Daughter", "url": "http://all-reviews.com/videos-5/my-bosss-daughter.htm"} +{"d:Title": "My Chorus: Official Film Website", "d:Description": "Contains screening information, trailer, cast and crew, and a FAQ.", "topic": "Top/Arts/Movies/Titles/M/My_Chorus", "url": "http://www.mychorusfilm.com/"} +{"d:Title": "All-Reviews.com - My Cousin Vinny", "d:Description": "Review by Dragan Antulov (mixed), rating.", "topic": "Top/Arts/Movies/Titles/M/My_Cousin_Vinny", "url": "http://www.all-reviews.com/videos-4/my-cousin-vinny.htm"} +{"d:Title": "Allreaders - My Cousin Vinny", "d:Description": "Analysis of the film with recommendations.", "topic": "Top/Arts/Movies/Titles/M/My_Cousin_Vinny", "url": "http://allreaders.com/movie-review-summary/my-cousin-vinny-4553"} +{"d:Title": "TV Guide Online: My Cousin Vinny", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/M/My_Cousin_Vinny", "url": "http://www.tvguide.com/movies/cousin-vinny/129130/"} +{"d:Title": "Greatest Films - My Darling Clementine", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/My_Darling_Clementine", "url": "http://www.filmsite.org/myda.html"} +{"d:Title": "IMDb - My Darling Clementine", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Darling_Clementine", "url": "http://www.imdb.com/title/tt0038762/"} +{"d:Title": "Roger Ebert - My Darling Clementine", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/M/My_Darling_Clementine", "url": "http://www.rogerebert.com/reviews/great-movie-my-darling-clementine-1946"} +{"d:Title": "TV Guide Online: My Darling Clementine", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/My_Darling_Clementine", "url": "http://www.tvguide.com/movies/darling-clementine/106997/"} +{"d:Title": "HARO Online - My Dog Skip", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/M/My_Dog_Skip", "url": "http://www.haro-online.com/movies/my_dog_skip.html"} +{"d:Title": "Rotten Tomatoes - My Dog Skip", "d:Description": "Review compilation, previews, production notes, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/M/My_Dog_Skip", "url": "http://www.rottentomatoes.com/m/my_dog_skip/"} +{"d:Title": "IMDb - My Dog Skip (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Dog_Skip", "url": "http://www.imdb.com/title/tt0156812/"} +{"d:Title": "Metacritic.com: My Dog Skip", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/M/My_Dog_Skip", "url": "http://www.metacritic.com/movie/my-dog-skip"} +{"d:Title": "Greatest Films - My Fair Lady", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/M/My_Fair_Lady", "url": "http://www.filmsite.org/myfa.html"} +{"d:Title": "Teach with Movies - My Fair Lady", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/M/My_Fair_Lady", "url": "http://www.teachwithmovies.org/guides/my-fair-lady.html"} +{"d:Title": "All-Reviews.com: My Fair Lady", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/M/My_Fair_Lady", "url": "http://www.all-reviews.com/videos-4/my-fair-lady.htm"} +{"d:Title": "Reel Classics: My Fair Lady", "d:Description": "Synopsis and detailed overview, credits, awards, related articles, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/M/My_Fair_Lady", "url": "http://www.reelclassics.com/Musicals/Fairlady/fairlady.htm"} +{"d:Title": "IMDb - My Fair Lady", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Fair_Lady", "url": "http://www.imdb.com/title/tt0058385/"} +{"d:Title": "AllReaders.com Spotlight - My Fair Lady", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/M/My_Fair_Lady", "url": "http://allreaders.com/movie-review-summary/my-fair-lady-4138"} +{"d:Title": "TV Guide Online: My Fair Lady", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/My_Fair_Lady", "url": "http://www.tvguide.com/movies/fair-lady/107008/"} +{"d:Title": "All-Reviews.com - My Family", "d:Description": "Review of the movie, overall rating 2/4 stars.", "topic": "Top/Arts/Movies/Titles/M/My_Family", "url": "http://www.all-reviews.com/videos-2/my-family.htm"} +{"d:Title": "IMDb.com - My Family (1995)", "d:Description": "Cast/crew information, user comments, ratings, and other background details.", "topic": "Top/Arts/Movies/Titles/M/My_Family", "url": "http://www.imdb.com/title/tt0113896/"} +{"d:Title": "All-Reviews.com- My Favorite Martian (1999)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Martian", "url": "http://www.all-reviews.com/videos/my-favorite-martian.htm"} +{"d:Title": "IMDb - My Favorite Martian (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Martian", "url": "http://www.imdb.com/title/tt0120764/"} +{"d:Title": "TV Guide Online: My Favorite Martian", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Martian", "url": "http://www.tvguide.com/movies/favorite-martian/133157/"} +{"d:Title": "LowComDom - My Favorite Year", "d:Description": "Review by Mongo (positive).", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Year", "url": "http://www.lowcomdom.com/film/m/my_fav_year.html"} +{"d:Title": "All-Reviews.com: My Favorite Year", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Year", "url": "http://www.all-reviews.com/videos-4/my-favorite-year.htm"} +{"d:Title": "eFilmCritic - My Favorite Year", "d:Description": "Review by Alexandre Paquin (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Year", "url": "http://www.efilmcritic.com/review.php?movie=2751"} +{"d:Title": "IMDb - My Favorite Year (1982)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Year", "url": "http://www.imdb.com/title/tt0084370/"} +{"d:Title": "TV Guide Online: My Favorite Year", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/M/My_Favorite_Year", "url": "http://www.tvguide.com/movies/favorite-year/107016/"} +{"d:Title": "IMDb: My Fellow Americans (1996)", "d:Description": "Plot summary, cast and crew listings, and comments.", "topic": "Top/Arts/Movies/Titles/M/My_Fellow_Americans", "url": "http://www.imdb.com/title/tt0117119/"} +{"d:Title": "HARO Online: My First Mister", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_First_Mister", "url": "http://www.haro-online.com/movies/my_first_mister.html"} +{"d:Title": "All-Reviews.com: My First Mister", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/M/My_First_Mister", "url": "http://www.all-reviews.com/videos-3/my-first-mister.htm"} +{"d:Title": "IMDb: My First Mister (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/My_First_Mister", "url": "http://www.imdb.com/title/tt0206963/"} +{"d:Title": "Metacritic.com: My First Mister", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/M/My_First_Mister", "url": "http://www.metacritic.com/movie/my-first-mister"} +{"d:Title": "All-Reviews.com: My Giant", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/M/My_Giant", "url": "http://www.all-reviews.com/videos/my-giant.htm"} +{"d:Title": "TV Guide Online: My Giant", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/M/My_Giant", "url": "http://www.tvguide.com/movies/giant/133058/"} +{"d:Title": "Washingtonpost.com", "d:Description": "My Girl, reviewed by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/M/My_Girl", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/mygirlpghinson_a0a722.htm"} +{"d:Title": "Chicago Sun Times - My Girl", "d:Description": "A review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/M/My_Girl", "url": "http://www.rogerebert.com/reviews/my-girl-1991"} +{"d:Title": "TV Guide Online: My Girl", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/M/My_Girl", "url": "http://www.tvguide.com/movies/girl/128406/"} +{"d:Title": "Internet Movie Database: My House in Umbria", "d:Description": "Synopsis, cast and crew, user comments and ratings, production information, and links.", "topic": "Top/Arts/Movies/Titles/M/My_House_in_Umbria", "url": "http://imdb.com/title/tt0323332/"} +{"d:Title": "HBO constructs a magnificent, richly detailed 'House'", "d:Description": "Review of the movie by David Wiegand [San Francisco Chronicle].", "topic": "Top/Arts/Movies/Titles/M/My_House_in_Umbria", "url": "http://www.sfgate.com/entertainment/article/HBO-constructs-a-magnificent-richly-detailed-2646319.php"} +{"d:Title": "My House In Umbria", "d:Description": "Official site with synopsis, cast and crew, trailer, and television schedule.", "topic": "Top/Arts/Movies/Titles/M/My_House_in_Umbria", "url": "http://store.hbo.com/my-house-in-umbria-dvd/detail.php?p=100450"} +{"d:Title": "Scotland the Movie Location Guide - My Life so Far", "d:Description": "Scottish filming locations of My Life so Far", "topic": "Top/Arts/Movies/Titles/M/My_Life_So_Far", "url": "http://www.scotlandthemovie.com/movies/flife.html"} +{"d:Title": "IMDb - My Life So Far (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Life_So_Far", "url": "http://www.imdb.com/title/tt0120899/"} +{"d:Title": "TV Guide Online: My Life So Far", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/My_Life_So_Far", "url": "http://www.tvguide.com/movies/life-far/133308/"} +{"d:Title": "My Life So Far", "d:Description": "Cast information and review, by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/M/My_Life_So_Far/Reviews", "url": "http://www.rogerebert.com/reviews/my-life-so-far-1999"} +{"d:Title": "HARO Online: My Life Without Me", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_Life_Without_Me", "url": "http://www.haro-online.com/movies/my_life_without_me.html"} +{"d:Title": "Rotten Tomatoes: My Life Without Me", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/M/My_Life_Without_Me", "url": "http://www.rottentomatoes.com/m/my_life_without_me/"} +{"d:Title": "IMDb: My Life Without Me (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/My_Life_Without_Me", "url": "http://www.imdb.com/title/tt0314412/"} +{"d:Title": "HARO Online: My Mother Likes Women", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_Mother_Likes_Women", "url": "http://www.haro-online.com/movies/my_mother_likes_women.html"} +{"d:Title": "IMDb: My Mother Likes Women (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/M/My_Mother_Likes_Women", "url": "http://www.imdb.com/title/tt0306432/"} +{"d:Title": "Rotten Tomatoes: My Mother Likes Women", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/M/My_Mother_Likes_Women", "url": "http://www.rottentomatoes.com/m/my_mother_likes_women/"} +{"d:Title": "Scotland the Movie Location Guide - My Name is Joe", "d:Description": "Filming locations for My Name is Joe", "topic": "Top/Arts/Movies/Titles/M/My_Name_Is_Joe", "url": "http://www.scotlandthemovie.com/movies/fname.html"} +{"d:Title": "IMDb - My Name Is Joe", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Name_Is_Joe", "url": "http://www.imdb.com/title/tt0151691/"} +{"d:Title": "My Own Private Idaho", "d:Description": "Screenplay of the film by Gus Van Sant.", "topic": "Top/Arts/Movies/Titles/M/My_Own_Private_Idaho", "url": "http://scifiscripts.name2host.com/msol/idaho.html"} +{"d:Title": "IMDb: My Own Private Idaho (1991)", "d:Description": "Cast and crew, user comments and ratings, and trivia.", "topic": "Top/Arts/Movies/Titles/M/My_Own_Private_Idaho", "url": "http://imdb.com/title/tt0102494/"} +{"d:Title": "IMDb - My Son the Fanatic", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Son_the_Fanatic", "url": "http://www.imdb.com/title/tt0119743/"} +{"d:Title": "TV Guide Online: My Son The Fanatic", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/My_Son_the_Fanatic", "url": "http://www.tvguide.com/movies/son-fanatic/133518/"} +{"d:Title": "My Sweet Killer", "d:Description": "Official site with synopsis, cast and crew, reviews, and contact information.", "topic": "Top/Arts/Movies/Titles/M/My_Sweet_Killer", "url": "http://www.roguearts.com/msk/"} +{"d:Title": "IMDb - My Sweet Killer (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/M/My_Sweet_Killer", "url": "http://www.imdb.com/title/tt0161841/"} +{"d:Title": "TV Guide Online: My Very Best Friend", "d:Description": "Includes review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/M/My_Very_Best_Friend", "url": "http://www.tvguide.com/movies/best-friend/133431/"} +{"d:Title": "HARO Online: My Wife Maurice", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/M/My_Wife_Maurice", "url": "http://www.haro-online.com/movies/my_wife_maurice.html"} +{"d:Title": "TV Guide: My Winnipeg", "d:Description": "Review, by Ken Fox: \"So it should come as no surprise that what Maddin eventually produced is a film about HIS Winnipeg, a psychological terrain that's no more -- nor less -- \"real\" than William Carlos William's Paterson or Marcel Proust's Combray.\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/M/My_Winnipeg", "url": "http://www.tvguide.com/movies/winnipeg/review/293698"} +{"d:Title": "Rotten Tomatoes: My Winnipeg", "d:Description": "Synopsis, showtimes, cast members, photos, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/M/My_Winnipeg", "url": "http://www.rottentomatoes.com/m/10008850-my_winnipeg/"} +{"d:Title": "IMDb - 'Neath Brooklyn Bridge (1942)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/N/'Neath_Brooklyn_Bridge", "url": "http://www.imdb.com/title/tt0034420/"} +{"d:Title": "Cinebooks Database - 'Neath Brooklyn Bridge", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/N/'Neath_Brooklyn_Bridge", "url": "http://www.tvguide.com/movies/neath-brooklyn-bridge/107292/"} +{"d:Title": "IMDb: Nailed", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nailed_-_2001", "url": "http://www.imdb.com/title/tt0246108/"} +{"d:Title": "Strictly Film School: Mike Leigh", "d:Description": "Feature on Mikes Leigh's filns 'Naked' (1993) and 'Secrets and Lies' (1996).", "topic": "Top/Arts/Movies/Titles/N/Naked", "url": "http://www.filmref.com/directors/dirpages/leigh.html"} +{"d:Title": "Jpoc: 'Naked'", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/N/Naked", "url": "http://www.jpoc.net/movies/n/00/naked.html"} +{"d:Title": "IMDb: Naked", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Naked", "url": "http://www.imdb.com/title/tt0107653/"} +{"d:Title": "IMDb - Naked Acts (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Naked_Acts", "url": "http://www.imdb.com/title/tt0119755/"} +{"d:Title": "Naked and the Dead, The (1958)", "d:Description": "IMDb listing with credits, summary, reviews, recommendations, and links.", "topic": "Top/Arts/Movies/Titles/N/Naked_and_the_Dead,_The", "url": "http://www.imdb.com/title/tt0051978/"} +{"d:Title": "IMDb - Naked City: Justice with a Bullet (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Naked_City_-_Justice_with_a_Bullet", "url": "http://www.imdb.com/title/tt0168085/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Review, trivia, filming locations, links, soundtrack and DVD information.", "topic": "Top/Arts/Movies/Titles/N/Naked_Gun,_The", "url": "http://www.fast-rewind.com/nakedgun.htm"} +{"d:Title": "IMDb - The Naked Kiss", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Naked_Kiss,_The", "url": "http://www.imdb.com/title/tt0058390/"} +{"d:Title": "TV Guide Online: The Naked Kiss", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/N/Naked_Kiss,_The", "url": "http://www.tvguide.com/movies/naked-kiss/107205/"} +{"d:Title": "All-Reviews.com: Naked Lunch", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/N/Naked_Lunch", "url": "http://all-reviews.com/videos-4/naked-lunch.htm"} +{"d:Title": "Deseret News: Naked Lunch", "d:Description": "Chris Hicks' review: \"No doubt there will be a camp of moviegoers that appreciates \"Naked Lunch\" as something special, but from this corner, it's just an unpleasant alternate universe.\"", "topic": "Top/Arts/Movies/Titles/N/Naked_Lunch", "url": "http://www.deseretnews.com/article/700001288/Naked-Lunch.html"} +{"d:Title": "IMDb: Naked Lunch (1991)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Naked_Lunch", "url": "http://www.imdb.com/title/tt0102511/"} +{"d:Title": "Chicago Sun-Times: Naked Lunch", "d:Description": "Roger Ebert's review: \"While I admired it in an abstract way, I felt repelled by the material on a visceral level.\" Rated 2.5 stars.", "topic": "Top/Arts/Movies/Titles/N/Naked_Lunch", "url": "http://www.rogerebert.com/reviews/naked-lunch-1992"} +{"d:Title": "IMDb - The Naked Man (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Naked_Man,_The", "url": "http://www.imdb.com/title/tt0120767/"} +{"d:Title": "The Naked Spur (1953)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/N/Naked_Spur,_The", "url": "http://www.imdb.com/title/tt0044953/"} +{"d:Title": "Mann of the West", "d:Description": "Chicago Reader Movie Review article comparing \"The Naked Spur\" to another of Anthony Mann's films, \"Man of the West.\"", "topic": "Top/Arts/Movies/Titles/N/Naked_Spur,_The", "url": "http://www.chicagoreader.com/movies/archives/2002/0702/020705.html"} +{"d:Title": "Turner Classic Movies: The Naked Spur (1953)", "d:Description": "Film synopsis, full cast and crew, soundtrack and original print information, awards, quotations, technical details, and articles.", "topic": "Top/Arts/Movies/Titles/N/Naked_Spur,_The", "url": "http://www.tcm.com/tcmdb/title/84549/The-Naked-Spur/"} +{"d:Title": "IMDb - Naked Street, The (1955)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/N/Naked_Street,_The", "url": "http://www.imdb.com/title/tt0048412/"} +{"d:Title": "Cinebooks Database - Naked Street, The", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/N/Naked_Street,_The", "url": "http://www.tvguide.com/movies/naked-street/107212/"} +{"d:Title": "80's Movies Rewind", "d:Description": "Guide includes review, filming locations, trivia, links, soundtrack and DVD information.", "topic": "Top/Arts/Movies/Titles/N/Name_of_the_Rose,_The", "url": "http://www.fast-rewind.com/rose.htm"} +{"d:Title": "IMDb: Name der Rose, Der (1986)", "d:Description": "Detailed credits, plot summary, user reviews.", "topic": "Top/Arts/Movies/Titles/N/Name_of_the_Rose,_The", "url": "http://www.imdb.com/title/tt0091605/"} +{"d:Title": "Allreaders: Name of the Rose", "d:Description": "Plot elements in a factor model and a short review.", "topic": "Top/Arts/Movies/Titles/N/Name_of_the_Rose,_The", "url": "http://allreaders.com/movie-review-summary/the-name-of-the-rose-4146"} +{"d:Title": "Nancy Drew", "d:Description": "Information on the series, including lobby card photos.", "topic": "Top/Arts/Movies/Titles/N/Nancy_Drew_Series", "url": "http://www.nancydrewsleuth.com/cmovie.html"} +{"d:Title": "Nancy Drew - Detective", "d:Description": "Synopsis of the first Granville film.", "topic": "Top/Arts/Movies/Titles/N/Nancy_Drew_Series", "url": "http://www.san.beck.org/MM/1938/NancyDrewDetective.html"} +{"d:Title": "Nancy Drew - Reporter", "d:Description": "Synopsis of the second Granville film.", "topic": "Top/Arts/Movies/Titles/N/Nancy_Drew_Series", "url": "http://www.san.beck.org/MM/1939/NancyDrewReporter.html"} +{"d:Title": "Nancy Drew - Troubleshooter", "d:Description": "Synopis of the third Granville film, focusing on Nancy's jealousy over her father's romantic interests.", "topic": "Top/Arts/Movies/Titles/N/Nancy_Drew_Series", "url": "http://www.san.beck.org/MM/1939/NancyDrewTroubleshooter.html"} +{"d:Title": "Nancy Drew - Detective", "d:Description": "Cast, crew and review of the first Granville film.", "topic": "Top/Arts/Movies/Titles/N/Nancy_Drew_Series", "url": "http://www.imdb.com/title/tt0030491/"} +{"d:Title": "Nancy Drew and the Hidden Staircase", "d:Description": "Cast, crew and reviews of the final Bonita Granville \"Nancy\" film.", "topic": "Top/Arts/Movies/Titles/N/Nancy_Drew_Series", "url": "http://www.imdb.com/title/tt0031708/"} +{"d:Title": "Rotten Tomatoes: Nanking", "d:Description": "Synopsis, cast members, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/N/Nanking", "url": "http://www.rottentomatoes.com/m/nanking/"} +{"d:Title": "Variety: Nanking", "d:Description": "Review, by Justin Chang: \"The horrific 1937-38 massacre of more than 200,000 Chinese during the early days of the Japanese occupation gets a polished presentation in Nanking.\"", "topic": "Top/Arts/Movies/Titles/N/Nanking", "url": "http://www.variety.com/index.asp?layout=features2007&content=jump&jump=review&dept=berlin&nav=RBerlin&articleid=VE1117932520&cs=1&p=0"} +{"d:Title": "MovieWeb: Nanking (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/N/Nanking", "url": "http://www.movieweb.com/movie/nanking"} +{"d:Title": "TV Guide: Nanking", "d:Description": "Review, by Ken Fox: \"What Guttentag and Sturman gain in dramatic immediacy, however, they lose when it comes to historical context, and the chance to offer insight into why such things occur in the first place -- and continue to happen today -- is lost.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/N/Nanking", "url": "http://www.tvguide.com/movies/nanking/review/289328/"} +{"d:Title": "IMDb: The Nanny Diaries (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/N/Nanny_Diaries,_The", "url": "http://www.imdb.com/title/tt0489237/"} +{"d:Title": "Rotten Tomatoes: The Nanny Diaries", "d:Description": "Review links, synopsis, critical consensus, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/N/Nanny_Diaries,_The", "url": "http://www.rottentomatoes.com/m/nanny_diaries/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the premise, cast, rating, and reception.", "topic": "Top/Arts/Movies/Titles/N/Nanny_Diaries,_The", "url": "http://en.wikipedia.org/wiki/The_Nanny_Diaries_(film)"} +{"d:Title": "IGN Movies: The Nanny Diaries", "d:Description": "Photos, videos, message board, and a review by Todd Gilchrist (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/N/Nanny_Diaries,_The", "url": "http://www.ign.com/movies/the-nanny-diaries/theater-878472"} +{"d:Title": "MovieWeb: The Nanny Diaries (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/N/Nanny_Diaries,_The", "url": "http://www.movieweb.com/movie/the-nanny-diaries"} +{"d:Title": "IMDb: Napol\u00e9on (1927)", "d:Description": "Cast information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Napoleon_-_1927", "url": "http://www.imdb.com/title/tt0018192/"} +{"d:Title": "TV Guide Online: Napoleon", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/N/Napoleon_-_1927", "url": "http://www.tvguide.com/movies/napoleon/132413/"} +{"d:Title": "IMDb - Napoleon (1995)", "d:Description": "Cast/credits plus additional information about this children's film", "topic": "Top/Arts/Movies/Titles/N/Napoleon_-_1996", "url": "http://www.imdb.com/title/tt0113932/"} +{"d:Title": "HARO Online: Napoleon Dynamite", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Napoleon_Dynamite", "url": "http://www.haro-online.com/movies/napoleon_dynamite.html"} +{"d:Title": "IMDb: Napoleon Dynamite (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/N/Napoleon_Dynamite", "url": "http://www.imdb.com/title/tt0374900/"} +{"d:Title": "Rotten Tomatoes: Napoleon Dynamite", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/N/Napoleon_Dynamite", "url": "http://www.rottentomatoes.com/m/napoleon_dynamite/"} +{"d:Title": "Chicago Sun-Times: Napoleon Dynamite", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/N/Napoleon_Dynamite", "url": "http://www.rogerebert.com/reviews/napoleon-dynamite-2004"} +{"d:Title": "Future Movies: Napoleon Dynamite", "d:Description": "Review by Michelle Thomas (positive).", "topic": "Top/Arts/Movies/Titles/N/Napoleon_Dynamite", "url": "http://www.futuremovies.co.uk/reviews/napoleon-dynamite/michelle-thomas"} +{"d:Title": "All-Reviews.com - Narc", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Narc", "url": "http://all-reviews.com/videos-5/narc.htm"} +{"d:Title": "HARO Online - Narc", "d:Description": "Pseudonymously signed review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/N/Narc", "url": "http://www.haro-online.com/movies/narc.html"} +{"d:Title": "Contactmusic.com: Narc", "d:Description": "Features production notes and background information on the film.", "topic": "Top/Arts/Movies/Titles/N/Narc", "url": "http://www.contactmusic.com/pages/narcproduction2x16x01x03"} +{"d:Title": "TV Guide Online: Narrow Margin", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/N/Narrow_Margin", "url": "http://www.tvguide.com/movies/narrow-margin/128127/"} +{"d:Title": "Greatest Films - Nashville (1975)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/N/Nashville", "url": "http://www.filmsite.org/nash.html"} +{"d:Title": "All-Reviews.com: Nashville", "d:Description": "Review of the movie by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/N/Nashville", "url": "http://www.all-reviews.com/videos-4/nashville.htm"} +{"d:Title": "IMDb - Nashville (1975)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Nashville", "url": "http://www.imdb.com/title/tt0073440/"} +{"d:Title": "TV Guide Online: Nashville", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/N/Nashville", "url": "http://www.tvguide.com/movies/nashville/107241/"} +{"d:Title": "The Pirate Bay Shows Love to Nasty Old People", "d:Description": "Article about a torrent provider helping distribute the film, with reader comments.", "topic": "Top/Arts/Movies/Titles/N/Nasty_Old_People", "url": "http://torrentfreak.com/the-pirate-bay-shows-love-to-nasty-old-people-091011/"} +{"d:Title": "Nasty Old People", "d:Description": "The director's weblog features news, production notes, and a link to the trailer.", "topic": "Top/Arts/Movies/Titles/N/Nasty_Old_People", "url": "http://nastyoldpeople.blogspot.com/"} +{"d:Title": "IMDb: Nasty Old People", "d:Description": "Synopsis, full cast and crew, trivia, viewer comments and ratings, distribution information, technical specifications, and release dates.", "topic": "Top/Arts/Movies/Titles/N/Nasty_Old_People", "url": "http://www.imdb.com/title/tt1527679/"} +{"d:Title": "The Pirate Bay: Nasty Old People", "d:Description": "Official torrent download page for the film.", "topic": "Top/Arts/Movies/Titles/N/Nasty_Old_People", "url": "http://thepiratebay.se/torrent/5117424/Nasty.Old.People.2009.XviD"} +{"d:Title": "FilmDrunk: Nasty Old People", "d:Description": "Review of the trailer and reader comments.", "topic": "Top/Arts/Movies/Titles/N/Nasty_Old_People", "url": "http://uproxx.com/filmdrunk/nasty-old-people/"} +{"d:Title": "IMDb: Thanksgiving Family Reunion", "d:Description": "Cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/N/National_Lampoon's_Vacation_Series/National_Lampoon's_Thanksgiving_Reunion", "url": "http://www.imdb.com/title/tt0374275/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/N/National_Lampoon's_Vacation_Series/National_Lampoon's_Vacation", "url": "http://www.fast-rewind.com/vacation.htm"} +{"d:Title": "HARO Online - National Security", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/N/National_Security", "url": "http://www.haro-online.com/movies/national_security.html"} +{"d:Title": "Internet Movie Database: National Treasure", "d:Description": "Cast and crew, production and distribution information, news, filming locations, and links.", "topic": "Top/Arts/Movies/Titles/N/National_Treasure_-_2004", "url": "http://imdb.com/title/tt0368891/"} +{"d:Title": "National Treasure: Book of Secrets (2007)", "d:Description": "With Nicolas Cage, Justin Bartha, Diane Kruger. Treasure hunter Benjamin Franklin Gates (Cage) looks to discover the truth behind the assassination of Abraham Lincoln. Photos, cast details, reviews, plot summary, and discussions.", "topic": "Top/Arts/Movies/Titles/N/National_Treasure_-_Book_of_Secrets", "url": "http://www.imdb.com/title/tt0465234/"} +{"d:Title": "Rotten Tomatoes: National Treasure: Book of Secrets", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/N/National_Treasure_-_Book_of_Secrets", "url": "http://www.rottentomatoes.com/m/national_treasure_book_of_secrets/"} +{"d:Title": "National Treasure: Book Of Secrets", "d:Description": "Official site with trailer, downloads, gallery, and games.", "topic": "Top/Arts/Movies/Titles/N/National_Treasure_-_Book_of_Secrets", "url": "http://movies.disney.com/national-treasure"} +{"d:Title": "IGN: National Treasure: Book of Secrets", "d:Description": "Photos, videos, news, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/N/National_Treasure_-_Book_of_Secrets", "url": "http://www.ign.com/movies/national-treasure-book-of-secrets/theater-846369"} +{"d:Title": "'Secrets' finds $45.5M in Box-office Treasure", "d:Description": "\"On a weekend filled with original movies, nothing was a match for the National Treasure franchise.\" By Scott Bowles. [USA Today]", "topic": "Top/Arts/Movies/Titles/N/National_Treasure_-_Book_of_Secrets", "url": "http://usatoday30.usatoday.com/life/movies/news/2007-12-23-boxoffice_N.htm"} +{"d:Title": "IMDb: The Natural", "d:Description": "Cast, plot summary, reviews and trivia.", "topic": "Top/Arts/Movies/Titles/N/Natural,_The", "url": "http://www.imdb.com/title/tt0087781/"} +{"d:Title": "Movie Mistakes: The Natural", "d:Description": "Documentation of known mistakes made in the film.", "topic": "Top/Arts/Movies/Titles/N/Natural,_The", "url": "http://www.moviemistakes.com/film879"} +{"d:Title": "Movie-List: The Natural", "d:Description": "Quicktime video of the original motion picture theater trailer.", "topic": "Top/Arts/Movies/Titles/N/Natural,_The", "url": "http://www.movie-list.com/trailers.php?id=natural"} +{"d:Title": "Yahoo! Movies: The Natural", "d:Description": "User reviews, cast and crew, awards nominations, and a message board.", "topic": "Top/Arts/Movies/Titles/N/Natural,_The", "url": "http://movies.yahoo.com/movie/the-natural/"} +{"d:Title": "AllReaders.com: The Natural", "d:Description": "Review summaries and in-depth ratings of plot, characters, adversaries, setting, and style.", "topic": "Top/Arts/Movies/Titles/N/Natural,_The", "url": "http://allreaders.com/movie-review-summary/the-natural-19272"} +{"d:Title": "IMDb: Natural Born Killers (1994)", "d:Description": "Includes a plot outline, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/N/Natural_Born_Killers", "url": "http://www.imdb.com/title/tt0110632/"} +{"d:Title": "Nutzworld: Natural Born Killers", "d:Description": "A review by writer and critic Brian W. Fairbanks.", "topic": "Top/Arts/Movies/Titles/N/Natural_Born_Killers/Reviews", "url": "http://www.angelfire.com/oh2/writer/naturalbornkillers.html"} +{"d:Title": "All-Reviews.com: Natural Born Killers", "d:Description": "Reviews of the movie by Jerry Saravia and Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/N/Natural_Born_Killers/Reviews", "url": "http://www.all-reviews.com/videos-4/natural-born-killers.htm"} +{"d:Title": "TV Guide Online: Natural Born Killers", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/N/Natural_Born_Killers/Reviews", "url": "http://www.tvguide.com/movies/natural-born-killers/129949/"} +{"d:Title": "IMDb: Natural City", "d:Description": "Cast/credits and message board.", "topic": "Top/Arts/Movies/Titles/N/Natural_City", "url": "http://www.imdb.com/title/tt0378428/"} +{"d:Title": "f The Digital Fix: Natural City", "d:Description": "Critical review of the film. Includes photographs.", "topic": "Top/Arts/Movies/Titles/N/Natural_City", "url": "http://film.thedigitalfix.com/content/id/11405/natural-city.html"} +{"d:Title": "Future Movies: Natural City", "d:Description": "Complimentary review of the film. Includes photographs.", "topic": "Top/Arts/Movies/Titles/N/Natural_City", "url": "http://www.futuremovies.co.uk/reviews/natural-city/johan-de-silva"} +{"d:Title": "IMDb - Nautilus (1998)", "d:Description": "Credits", "topic": "Top/Arts/Movies/Titles/N/Nautilus", "url": "http://www.imdb.com/title/tt0165400/"} +{"d:Title": "BMN Review: Navy SEALS", "d:Description": "Ken M. Wilson's review: \"Rent it and watch it with a group of your most testosterone-ladened pals, except root for the bad guys.\"", "topic": "Top/Arts/Movies/Titles/N/Navy_SEALs", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=32201_ken"} +{"d:Title": "IMDb: Navy SEALS", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Navy_SEALs", "url": "http://www.imdb.com/title/tt0100232/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Review, trivia, filming locations, links, soundtrack and DVD information.", "topic": "Top/Arts/Movies/Titles/N/Near_Dark", "url": "http://www.fast-rewind.com/neardark.htm"} +{"d:Title": "IMDb - Near Dark (1987)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Near_Dark", "url": "http://www.imdb.com/title/tt0093605/"} +{"d:Title": "TV Guide Online: Near Dark", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/N/Near_Dark", "url": "http://www.tvguide.com/movies/near-dark/107291/"} +{"d:Title": "Michael D's: Necessary Roughness", "d:Description": "Review of the DVD release of the film. Rates technical and quality issues associated with DVD production.", "topic": "Top/Arts/Movies/Titles/N/Necessary_Roughness", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ReviewID=2157"} +{"d:Title": "Washington Post: Necessary Roughness", "d:Description": "Review by Rita Kempley", "topic": "Top/Arts/Movies/Titles/N/Necessary_Roughness", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/necessaryroughnesspgkempley_a0a26b.htm"} +{"d:Title": "Currentfilm.com: Necessary Roughness", "d:Description": "Rates the movie and production quality of the DVD.", "topic": "Top/Arts/Movies/Titles/N/Necessary_Roughness", "url": "http://www.currentfilm.com/dvdreviews2/necessaryroughnessdvd.html"} +{"d:Title": "Deseret News: Necessary Roughness", "d:Description": "Critic Chris Hicks gives a mostly positive review.", "topic": "Top/Arts/Movies/Titles/N/Necessary_Roughness", "url": "http://www.deseretnews.com/article/700001298/Necessary-Roughness.html"} +{"d:Title": "IMDb: Necessary Roughness", "d:Description": "Synopsis, cast, crew and plot summary.", "topic": "Top/Arts/Movies/Titles/N/Necessary_Roughness", "url": "http://www.imdb.com/title/tt0102517/"} +{"d:Title": "Chicago Sun-Times: Necessary Roughness", "d:Description": "Roger Ebert critiques the Scott Bakula film.", "topic": "Top/Arts/Movies/Titles/N/Necessary_Roughness", "url": "http://www.rogerebert.com/reviews/necessary-roughness-1991"} +{"d:Title": "TV Guide Online: Necessary Roughness", "d:Description": "Synopsis and review, includes information about cast and crew.", "topic": "Top/Arts/Movies/Titles/N/Necessary_Roughness", "url": "http://www.tvguide.com/movies/necessary-roughness/128554/"} +{"d:Title": "Ned Kelly (2003)", "d:Description": "IMDb: Plot outline, user comments, cast and crew lists, and links.", "topic": "Top/Arts/Movies/Titles/N/Ned_Kelly_-_2003", "url": "http://www.imdb.com/title/tt0277941/"} +{"d:Title": "Urban Cinefile", "d:Description": "Synopsis and review by Andrew L Urban. Includes interviews with Heath Ledger, lead, and Gregor Jordan, director.", "topic": "Top/Arts/Movies/Titles/N/Ned_Kelly_-_2003", "url": "http://www.urbancinefile.com.au/home/view.asp?a=7213&s=Reviews"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, cast, trailer links, and forum.", "topic": "Top/Arts/Movies/Titles/N/Ned_Kelly_-_2003", "url": "http://www.rottentomatoes.com/m/1131148-ned_kelly/"} +{"d:Title": "All-Reviews.com: The Negotiator", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/N/Negotiator,_The", "url": "http://www.all-reviews.com/videos/negotiator.htm"} +{"d:Title": "IMDb: The Negotiator (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Negotiator,_The", "url": "http://www.imdb.com/title/tt0120768/"} +{"d:Title": "Roger Ebert: The Negotiator", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/N/Negotiator,_The", "url": "http://www.rogerebert.com/reviews/the-negotiator-1998"} +{"d:Title": "AllreadersReview - The Negotiator", "d:Description": "A review of the plot, setting, theme, and structure of the Samuel L. Jackson film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/N/Negotiator,_The", "url": "http://allreaders.com/movie-review-summary/the-negotiator-3975"} +{"d:Title": "TV Guide Online: The Negotiator", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/N/Negotiator,_The", "url": "http://www.tvguide.com/movies/negotiator/133296/"} +{"d:Title": "IMDb: The Nephew", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nephew,_The", "url": "http://www.imdb.com/title/tt0119772/"} +{"d:Title": "All-Reviews.com - The Net", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Net,_The", "url": "http://www.all-reviews.com/videos-2/net.htm"} +{"d:Title": "IMDb: The Net", "d:Description": "Synopsis, image gallery, trailers and news articles.", "topic": "Top/Arts/Movies/Titles/N/Net,_The", "url": "http://www.imdb.com/title/tt0113957/"} +{"d:Title": "Netforce", "d:Description": "Entry in IMDB.", "topic": "Top/Arts/Movies/Titles/N/Netforce", "url": "http://www.imdb.com/title/tt0158423/"} +{"d:Title": "Greatest Films: Network (1976)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/N/Network", "url": "http://www.filmsite.org/netw.html"} +{"d:Title": "All-Reviews.com: Network", "d:Description": "Reviews of the movie by Brian Koller and Walter Frith.", "topic": "Top/Arts/Movies/Titles/N/Network", "url": "http://www.all-reviews.com/videos-4/network.htm"} +{"d:Title": "IMDb: Network (1976)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Network", "url": "http://www.imdb.com/title/tt0074958/"} +{"d:Title": "Allreaders: Network Spotlight", "d:Description": "Detailed analysis, and a list of similar films.", "topic": "Top/Arts/Movies/Titles/N/Network", "url": "http://allreaders.com/movie-review-summary/network-3937"} +{"d:Title": "TV Guide Online: Network", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/N/Network", "url": "http://www.tvguide.com/movies/network/107316/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Review, filming locations, trivia, pictures, soundtrack information, and related links.", "topic": "Top/Arts/Movies/Titles/N/Neverending_Story,_The", "url": "http://www.fast-rewind.com/neverending.htm"} +{"d:Title": "Rotten Tomatoes: Never Back Down", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, and review links.", "topic": "Top/Arts/Movies/Titles/N/Never_Back_Down", "url": "http://www.rottentomatoes.com/m/never_back_down/"} +{"d:Title": "IGN Movies: Never Back Down", "d:Description": "Photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/N/Never_Back_Down", "url": "http://www.ign.com/movies/never-back-down/theater-965256"} +{"d:Title": "MovieWeb: Never Back Down (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/N/Never_Back_Down", "url": "http://www.movieweb.com/movie/never-back-down"} +{"d:Title": "The Boston Globe: Never Back Down", "d:Description": "Review, by Wesley Morris: \"The movie is just a cheesy, preposterous, semi-eroticized way of yelling, `Fight! Fight!,` when two people go at it in the school cafeteria.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/N/Never_Back_Down", "url": "http://archive.boston.com/ae/movies/articles/2008/03/14/in_never_back_down_teens_fight_for_attention/?camp=pm"} +{"d:Title": "All-Reviews.com: Never Been Kissed", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/N/Never_Been_Kissed", "url": "http://www.all-reviews.com/videos/never-been-kissed.htm"} +{"d:Title": "Rotten Tomatoes: Never Been Kissed", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/N/Never_Been_Kissed", "url": "http://www.rottentomatoes.com/m/never_been_kissed/"} +{"d:Title": "IMDb: Never Been Kissed (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/N/Never_Been_Kissed", "url": "http://www.imdb.com/title/tt0151738/"} +{"d:Title": "IMDb - Pote tin Kyriaki", "d:Description": "Pote tin Kyriaki (1960) at IMDb.", "topic": "Top/Arts/Movies/Titles/N/Never_on_Sunday", "url": "http://www.imdb.com/title/tt0054198/"} +{"d:Title": "Manhattan's Girls", "d:Description": "Fan fiction based on the film Newsies", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://www.angelfire.com/ns/manhattansgirls/index.html"} +{"d:Title": "Lost in New York - Brooky's Newsies Page", "d:Description": "Includes fan fiction, contest, information on the convention, rants, a drinking game and script.", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://www.angelfire.com/ny5/brooky/index.html"} +{"d:Title": "Uptown to Grand Central Station...", "d:Description": "Includes lyrics, pictures, random babblings, and a lodging house.", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://www.angelfire.com/punk2/extra/index.html"} +{"d:Title": "Dragonfly's Hifalutin Distribution Apparatus", "d:Description": "Brief dictionary of newsie slang, fan art, Racetrack blackjack game, synopsis, photos, fan fiction, and links.", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://www.angelfire.com/amiga/dragonflynewsgoil/"} +{"d:Title": "UltimateDisney.com: Newsies", "d:Description": "DVD review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://www.ultimatedisney.com/newsies.html"} +{"d:Title": "Ceiling Fan Spin", "d:Description": "Fan site with photographs and trivia.", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://ceiling-fan-spin.webs.com/"} +{"d:Title": "IMDb: Newsies (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://www.imdb.com/title/tt0104990/"} +{"d:Title": "TV Guide Online: Newsies", "d:Description": "Movie review, plot, cast/crew information, picture and synopsis.", "topic": "Top/Arts/Movies/Titles/N/Newsies", "url": "http://www.tvguide.com/movies/newsies/129134/"} +{"d:Title": "All-Reviews.com: The Newton Boys", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/N/Newton_Boys,_The", "url": "http://www.all-reviews.com/videos/newton-boys.htm"} +{"d:Title": "Roger Ebert - The Newton Boys", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/N/Newton_Boys,_The", "url": "http://www.rogerebert.com/reviews/the-newton-boys-1998"} +{"d:Title": "IMDb - The Newton Boys (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Newton_Boys,_The", "url": "http://www.imdb.com/title/tt0120769/"} +{"d:Title": "TV Guide Online: The Newton Boys", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/N/Newton_Boys,_The", "url": "http://www.tvguide.com/movies/newton-boys/132794/"} +{"d:Title": "IMDb: The New Adventures of Pinocchio (1999)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/N/New_Adventures_of_Pinocchio,_The", "url": "http://www.imdb.com/title/tt0199950/"} +{"d:Title": "HARO Online: New Best Friend", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/New_Best_Friend", "url": "http://www.haro-online.com/movies/new_best_friend.html"} +{"d:Title": "All-Reviews.com: New Best Friend", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/N/New_Best_Friend", "url": "http://all-reviews.com/videos-4/new-best-friend.htm"} +{"d:Title": "Rotten Tomatoes: New Best Friend", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/N/New_Best_Friend", "url": "http://www.rottentomatoes.com/m/new_best_friend/"} +{"d:Title": "IMDb: New Best Friend (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/New_Best_Friend", "url": "http://www.imdb.com/title/tt0191074/"} +{"d:Title": "IMDb: New Blood (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/New_Blood", "url": "http://www.imdb.com/title/tt0177943/"} +{"d:Title": "IMDB : Yung chun ta hsiung (1977)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/N/New_Game_of_Death,_The", "url": "http://www.imdb.com/title/tt0076947/"} +{"d:Title": "HARO Online: The New Guy", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/New_Guy,_The", "url": "http://www.haro-online.com/movies/new_guy.html"} +{"d:Title": "All-Reviews.com: The New Guy", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/N/New_Guy,_The", "url": "http://www.all-reviews.com/videos-4/new-guy.htm"} +{"d:Title": "IMDb: The New Guy (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/New_Guy,_The", "url": "http://www.imdb.com/title/tt0241760/"} +{"d:Title": "Metacritic.com: The New Guy", "d:Description": "Quotes from and links to reviews of the film, with viewer comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/N/New_Guy,_The", "url": "http://www.metacritic.com/movie/the-new-guy"} +{"d:Title": "Rotten Tomatoes: New Jack City", "d:Description": "Provides a plot synopsis, production notes, cast profiles, and reviews.", "topic": "Top/Arts/Movies/Titles/N/New_Jack_City", "url": "http://www.rottentomatoes.com/m/new_jack_city/"} +{"d:Title": "IMDb.com: New Jack City", "d:Description": "Provides a cast list, plot summary, trailers and viewer comments.", "topic": "Top/Arts/Movies/Titles/N/New_Jack_City", "url": "http://www.imdb.com/title/tt0102526/"} +{"d:Title": "The Big Picture DVD: New Jack City", "d:Description": "Review offers commentary on the movie, image and sound quality.", "topic": "Top/Arts/Movies/Titles/N/New_Jack_City", "url": "http://www.thebigpicturedvd.com/new-jack-city"} +{"d:Title": "View Askew: \"Chasing Amy\"", "d:Description": "Official site with plot and character information.", "priority": "1", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://www.viewaskew.com/chasingamy/"} +{"d:Title": "All-Reviews.com: \"Chasing Amy\"", "d:Description": "Three reviews.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://www.all-reviews.com/videos-2/chasing-amy.htm"} +{"d:Title": "Movie Review - Chasing Amy", "d:Description": "Review by The Cincinnati Enquirer's Margaret A. McGurk.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://enquirer.com/columns/mcgurk/041897a_mm.html"} +{"d:Title": "Jason Lee Pictures: Chasing Amy", "d:Description": "Pictures of Jason Lee (Banky).", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://www.accesswave.ca/~jasonlee/picsca.htm"} +{"d:Title": "The Cinema Laser DVD Review -- Chasing Amy", "d:Description": "A favorable review. Includes a synopsis.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://thecinemalaser.com/dvd_reviews/chasing-amy-dvd.htm"} +{"d:Title": "RollingStone.com - Chasing Amy", "d:Description": "Includes a synopsis, review, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://www.rollingstone.com/movies/reviews/chasing-amy-19970404"} +{"d:Title": "IMDb- Chasing Amy", "d:Description": "Includes cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://www.imdb.com/title/tt0118842/"} +{"d:Title": "Metacritic.com: \"Chasing Amy\"", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://www.metacritic.com/movie/chasing-amy"} +{"d:Title": "AllReaders.com: \"Chasing Amy\"", "d:Description": "Analysis of the Ben Affleck film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://allreaders.com/movie-review-summary/chasing-amy-5791"} +{"d:Title": "TV Guide Online:"Chasing Amy"", "d:Description": "2 1/2 star review, plot synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Chasing_Amy", "url": "http://www.tvguide.com/movies/chasing-amy/132115/"} +{"d:Title": "View Askew: Clerks", "d:Description": "Official site. Includes images and clips relating to each of the main characters, information on the film and soundtrack and footage edited from the released version.", "priority": "1", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Clerks", "url": "http://www.viewaskew.com/clerks/"} +{"d:Title": "All-Reviews.com- Clerks", "d:Description": "1 1/2 star review by Steve Rhodes. Includes film information, links to purchase the DVD and VHS, and links to actor's pages.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Clerks", "url": "http://www.all-reviews.com/videos-2/clerks.htm"} +{"d:Title": "Crazy for Cinema: Clerks", "d:Description": "3 star review. Includes a cast list, as well as links to purchase the movie and poster.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Clerks", "url": "http://crazy4cinema.com/Review/FilmsC/f_clerks.html"} +{"d:Title": "Michaeldvd.com: Clerks", "d:Description": "A review of the Region 4 DVD. Includes a plot synopsis, rating of transfer quality, audio, extras, and menu. Also compares the region 4 version to region 1.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Clerks", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ReviewID=1198"} +{"d:Title": "Trooper Clerks", "d:Description": "A Star Wars flash parody. Includes cartoons, trailers, extra footage, behind the scenes, merchandise, and desktop wallpaper.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Clerks", "url": "http://www.trooperclerks.com/"} +{"d:Title": "Mutant Reviewers from Hell: Clerks", "d:Description": "Several short reviews of movie and soundtrack, with selected \"groovy quotes.\"", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Clerks", "url": "http://mutantreviewers.wordpress.com/2009/09/04/clerks-retro-review/"} +{"d:Title": "IMDb- Clerks", "d:Description": "Plot outline, cast, credits, and comments.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Clerks", "url": "http://www.imdb.com/title/tt0109445/"} +{"d:Title": "HARO Online - Dogma", "d:Description": "Mongoose rates the movie \"Pretty Good\".", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.haro-online.com/movies/dogma.html"} +{"d:Title": "Dogma", "d:Description": "Official Lions Gate Films site with general information, multimedia, hate letters, updates from the set, artwork, message board and news.", "priority": "1", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.dogma-movie.com/index.html"} +{"d:Title": "All-Reviews.com - Dogma", "d:Description": "1/2 star review by Susan Granger. Includes links to other reviews, film information, and links to actor's pages.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.all-reviews.com/videos/dogma.htm"} +{"d:Title": "Dogma Movie Mall", "d:Description": "Merchandise, including DVDs, VHSs, posters, CDs, and books.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.moviemalls.com/D/dogma.htm"} +{"d:Title": "Rotten Tomatoes: Dogma", "d:Description": "Offers reviews as well as movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.rottentomatoes.com/m/dogma/"} +{"d:Title": "Box Office Mojo - Dogma", "d:Description": "Box office coverage for Dogma since its opening. Includes a comparison to other Kevin Smith movies.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.boxofficemojo.com/movies/?id=dogma.htm"} +{"d:Title": "Metatron Fanlisting", "d:Description": "Fanlisting dedicated to Alan Rickman's character.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://ensnaring.com/metatron/"} +{"d:Title": "Metacritic.com: Dogma", "d:Description": "Links to reviews. Also includes a short synopsis, DVD details, VHS details, and user ratings.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.metacritic.com/movie/dogma"} +{"d:Title": "Dogma (1999)", "d:Description": "Lots of information from the Internet Movie Database, including a plot outline, user comments/ratings, and cast overview.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.imdb.com/title/tt0120655/"} +{"d:Title": "Roger Ebert - Dogma", "d:Description": "A 3 1/2 star review of the film. Includes a cast list, and synopsis.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Dogma", "url": "http://www.rogerebert.com/reviews/dogma-1999"} +{"d:Title": "Peters Reviews: Jay&Silent Bob Strike Back", "d:Description": "Review rating 5 stars for Kevin Smith Fans, 3 stars for non-Smith fans. Includes links.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.petersreviews.com/jandsb.html"} +{"d:Title": "All-Reviews.com: Jay and Silent Bob Strike Back", "d:Description": "4 star review by Steve Rhodes. Includes film information and links to other reviews.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.all-reviews.com/videos-3/jay-and-silent-bob.htm"} +{"d:Title": "HARO Online: Jay and Silent Bob Strike Back", "d:Description": "A review rating the movie \"Not Bad\". Includes a synopsis, and a few pictures.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.haro-online.com/movies/jay_and_silent_bob.html"} +{"d:Title": "Jay and Silent Bob Strike Back (James L. Venable)", "d:Description": "A 2 star review of the soundtrack. Includes viewer ratings, comments, and track listings.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.filmtracks.com/titles/jay_silent.html"} +{"d:Title": "Wikipedia", "d:Description": "Open content encyclopedia article describing the movie, cast members, trivia, and box office results.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://en.wikipedia.org/wiki/Jay_and_Silent_Bob_Strike_Back"} +{"d:Title": "Rotten Tomatoes: Jay and Silent Bob Strike Back", "d:Description": "Links to movie reviews, synopsis, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.rottentomatoes.com/m/jay_and_silent_bob_strike_back/"} +{"d:Title": "Mutant Reviewers from Hell: Jay and Silent Bob Strike Back", "d:Description": "Reviews with Mutant Meter ratings.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://mutantreviewers.wordpress.com/2010/01/21/jay-and-silent-bob-strike-back-retro-review/"} +{"d:Title": "Metacritic.com: Jay and Silent Bob Strike Back", "d:Description": "Information and a cross-section of reviews from many critics. Includes user ratings.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.metacritic.com/movie/jay-and-silent-bob-strike-back"} +{"d:Title": "IMDb: Jay and Silent Bob Strike Back", "d:Description": "Plot summary, trailer, cast information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.imdb.com/title/tt0261392/"} +{"d:Title": "Reno News and Review: Jay and Silent Bob Strike Back", "d:Description": "Review by Bob Grimm.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.newsreview.com/reno/force-is-with-them/content?oid=38551"} +{"d:Title": "Chicago Sun-Times: Jay and Silent Bob Strike Back", "d:Description": "Roger Ebert's 3 star review. Includes a synopsis.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Jay_and_Silent_Bob_Strike_Back", "url": "http://www.rogerebert.com/reviews/jay-and-silent-bob-strike-back-2001"} +{"d:Title": "View Askew", "d:Description": "Official site with news, cast information, and notes from Kevin Smith.", "priority": "1", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://www.viewaskew.com/mallrats/"} +{"d:Title": "Movieweb - Mallrats", "d:Description": "Synopsis, including a cast list and pictures.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://movieweb.com/movie/mallrats/"} +{"d:Title": "Mallrats", "d:Description": "Fan site with cast/crew information, news, and other multimedia.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://www.angelfire.com/fl/Maneco2/main.html"} +{"d:Title": "All-Reviews.com - Mallrats", "d:Description": "Multiple reviewers rate this film 2 1/2 stars out of 4.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://www.all-reviews.com/videos-2/mallrats.htm"} +{"d:Title": "Mallrats", "d:Description": "Synopsis and 4 star review of the DVD.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://www.hometheaterinfo.com/mallrats.htm"} +{"d:Title": "Movie Mistakes: Mallrats", "d:Description": "A publicly submitted listing of mistakes in the movie. Includes mistake ratings.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://www.moviemistakes.com/film788"} +{"d:Title": "IMDb.com - Mallrats", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://www.imdb.com/title/tt0113749/"} +{"d:Title": "TV Guide Online: Mallrats", "d:Description": "2 out of 5 star review, plot synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/N/New_Jersey_Chronicles/Mallrats", "url": "http://www.tvguide.com/movies/mallrats/130581/"} +{"d:Title": "Metacritic.com: New Rose Hotel", "d:Description": "A cross-section of reviews about the movie.", "topic": "Top/Arts/Movies/Titles/N/New_Rose_Hotel", "url": "http://www.metacritic.com/movie/new-rose-hotel"} +{"d:Title": "IMDb: New Waterford Girl (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/New_Waterford_Girl", "url": "http://www.imdb.com/title/tt0213121/"} +{"d:Title": "Metacritic.com: New Waterford Girl", "d:Description": "A cross-section of reviews about the film.", "topic": "Top/Arts/Movies/Titles/N/New_Waterford_Girl", "url": "http://www.metacritic.com/movie/new-waterford-girl"} +{"d:Title": "IMDb: New York, New York", "d:Description": "Provides plot synopsis and cast and crew credits.", "topic": "Top/Arts/Movies/Titles/N/New_York,_New_York", "url": "http://www.imdb.com/title/tt0076451/"} +{"d:Title": "New York, New York", "d:Description": "Features reviews from critics and audiences, synopsis, and credits for cast and crew.", "topic": "Top/Arts/Movies/Titles/N/New_York,_New_York", "url": "http://www.rottentomatoes.com/m/new_york_new_york/"} +{"d:Title": "HARO Online: New York Minute", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/N/New_York_Minute", "url": "http://www.haro-online.com/movies/new_york_minute.html"} +{"d:Title": "IMDb: New York Minute (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/N/New_York_Minute", "url": "http://www.imdb.com/title/tt0363282/"} +{"d:Title": "Rotten Tomatoes: New York Minute", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/N/New_York_Minute", "url": "http://www.rottentomatoes.com/m/new_york_minute/"} +{"d:Title": "Chicago Sun-Times: New York Minute", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/N/New_York_Minute", "url": "http://www.rogerebert.com/reviews/new-york-minute-2004"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, filming locations, trivia, pictures and information.", "topic": "Top/Arts/Movies/Titles/N/New_York_Ripper,_The", "url": "http://www.fast-rewind.com/ripper.htm"} +{"d:Title": "IMDb: The New York Ripper", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/New_York_Ripper,_The", "url": "http://www.imdb.com/title/tt0084719/"} +{"d:Title": "Next", "d:Description": "Official site with information, cast, crew, photo gallery, downloads, locations, and games.", "topic": "Top/Arts/Movies/Titles/N/Next", "url": "http://www.nextmovie.com/"} +{"d:Title": "IMDb: Next", "d:Description": "Features overview, cast, crew, trivia, goofs, quotes and user comments.", "topic": "Top/Arts/Movies/Titles/N/Next", "url": "http://www.imdb.com/title/tt0435705/"} +{"d:Title": "Box Office Mojo: Next", "d:Description": "Box office results, charts, photos and release information.", "topic": "Top/Arts/Movies/Titles/N/Next", "url": "http://www.boxofficemojo.com/movies/?id=next.htm"} +{"d:Title": "Wikipedia: Next", "d:Description": "Plot, production, cast, performance, reception, and trivia.", "topic": "Top/Arts/Movies/Titles/N/Next", "url": "http://en.wikipedia.org/wiki/Next_(film)"} +{"d:Title": "ReelViews: Next", "d:Description": "Review of the film by James Berardinelli. \"Some viewers will feel cheated by what Next does, and it's hard to blame them.\"", "topic": "Top/Arts/Movies/Titles/N/Next", "url": "http://www.reelviews.net/reelviews/next"} +{"d:Title": "PopMatters: The Next Best Thing", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/N/Next_Best_Thing,_The", "url": "http://popmatters.com/film/reviews/n/next-best-thing.html"} +{"d:Title": "Haro Online: The Next Best Thing", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/N/Next_Best_Thing,_The", "url": "http://haro-online.com/movies/next_best_thing.html"} +{"d:Title": "Metacritic.com: Next Best Thing, The", "d:Description": "A cross-section of reviews about the movie.", "topic": "Top/Arts/Movies/Titles/N/Next_Best_Thing,_The", "url": "http://www.metacritic.com/movie/the-next-best-thing"} +{"d:Title": "IMDb: The Next Best Thing (2000)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, award nominations, trivia.", "topic": "Top/Arts/Movies/Titles/N/Next_Best_Thing,_The", "url": "http://www.imdb.com/title/tt0156841/"} +{"d:Title": "HARO Online: The Next Big Thing", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Next_Big_Thing,_The_-_2002", "url": "http://www.haro-online.com/movies/next_big_thing.html"} +{"d:Title": "IMDb: The Next Big Thing (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Next_Big_Thing,_The_-_2002", "url": "http://www.imdb.com/title/tt0245317/"} +{"d:Title": "Female Celebrity Smoking List - Next Stop, Greenwich Village (1976)", "d:Description": "Documents the smoking habits of the characters portrayed by Ellen Greene, Dori Brenner, and Shelley Winters.", "topic": "Top/Arts/Movies/Titles/N/Next_Stop,_Greenwich_Village", "url": "http://smokingsides.com/asfs/m/N/Next%20Stop,%20Greenwich%20Village%20(1976).html"} +{"d:Title": "IMDb - Next Stop, Greenwich Village (1976)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/N/Next_Stop,_Greenwich_Village", "url": "http://www.imdb.com/title/tt0074963/"} +{"d:Title": "IMDb: Next Stop Wonderland (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Next_Stop_Wonderland", "url": "http://www.imdb.com/title/tt0119778/"} +{"d:Title": "TV Guide Online: Next Stop, Wonderland", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/N/Next_Stop_Wonderland", "url": "http://www.tvguide.com/movies/stop-wonderland/133411/"} +{"d:Title": "IMDb: Niagara, Niagara (1997)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/N/Niagara,_Niagara", "url": "http://www.imdb.com/title/tt0119780/"} +{"d:Title": "TV Guide Online: Niagara, Niagara", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/N/Niagara,_Niagara", "url": "http://www.tvguide.com/movies/niagara-niagara/133166/"} +{"d:Title": "HARO Online - Nicholas Nickleby", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/N/Nicholas_Nickleby_-_2002", "url": "http://www.haro-online.com/movies/nicholas_nickleby.html"} +{"d:Title": "Nicholas Nickelby", "d:Description": "Brief review of movie.", "topic": "Top/Arts/Movies/Titles/N/Nicholas_Nickleby_-_2002", "url": "http://www.johnrpierce.com/nickleby.html"} +{"d:Title": "IMDb: Nicholas Nickleby", "d:Description": "Features cast and crew information and viewer ratings.", "topic": "Top/Arts/Movies/Titles/N/Nicholas_Nickleby_-_2002", "url": "http://www.imdb.com/title/tt0309912/"} +{"d:Title": "Rotten Tomatoes: Nicholas Nickleby", "d:Description": "Site offers movie production notes, photo gallery, and an overview of the sentiment film reviewers have made.", "topic": "Top/Arts/Movies/Titles/N/Nicholas_Nickleby_-_2002", "url": "http://www.rottentomatoes.com/m/1119037-nicholas_nickleby/"} +{"d:Title": "The Pure at Heart at a Hardhearted Boarding School", "d:Description": "A.O. Scott of The New York Times suggests that the film might be a better choice for a family audience than the latest \"Harry Potter\" film.", "topic": "Top/Arts/Movies/Titles/N/Nicholas_Nickleby_-_2002", "url": "http://www.nytimes.com/2002/12/27/movies/film-review-the-pure-at-heart-at-a-hardhearted-boarding-school.html"} +{"d:Title": "For a Movie, Lesser Dickens Is Sometimes More", "d:Description": "Writing in The New York Times, \"Nicholas Nickleby\" director Douglas McGrath explains the critical decisions he made while making the film.", "topic": "Top/Arts/Movies/Titles/N/Nicholas_Nickleby_-_2002", "url": "http://www.nytimes.com/2002/12/22/movies/film-for-a-movie-lesser-dickens-is-sometimes-more.html"} +{"d:Title": "Internet Movie Database: Nick Knight (1989)", "d:Description": "Synopsis, cast and crew, user ratings and comments, quotations, related films, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/N/Nick_Knight", "url": "http://imdb.com/title/tt0097969/"} +{"d:Title": "And You Call Yourself a Scientist! - Nick Knight (1989)", "d:Description": "Synopsis and review.", "topic": "Top/Arts/Movies/Titles/N/Nick_Knight", "url": "http://www.aycyas.com/liz_nk.htm"} +{"d:Title": "HARO Online: Nicotina", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Nicotina", "url": "http://www.haro-online.com/movies/nicotina.html"} +{"d:Title": "IMDb: Nicotina (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/N/Nicotina", "url": "http://www.imdb.com/title/tt0337930/"} +{"d:Title": "Rotten Tomatoes: Nicotina", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/N/Nicotina", "url": "http://www.rottentomatoes.com/m/nicotina/"} +{"d:Title": "Cinema Blend: Nicotina", "d:Description": "Review by Joshua Tyler.", "topic": "Top/Arts/Movies/Titles/N/Nicotina", "url": "http://www.cinemablend.com/review.php?id=625"} +{"d:Title": "IMDb: Nico and Dani (Kr\u00e1mpack)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nico_and_Dani", "url": "http://www.imdb.com/title/tt0250478/"} +{"d:Title": "Metacritic.com: Nico and Dani", "d:Description": "Synopsis, quotes from and links to reviews, home video details, viewer comments, and an overall rating.", "topic": "Top/Arts/Movies/Titles/N/Nico_and_Dani", "url": "http://www.metacritic.com/movie/nico-and-dani"} +{"d:Title": "IMDb: Nico the Unicorn (1998)", "d:Description": "Synopsis, cast list, message board, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/N/Nico_the_Unicorn", "url": "http://imdb.com/title/tt0119782/"} +{"d:Title": "IMDb: La notte", "d:Description": "Plot summary, cast listing, and user reviews.", "topic": "Top/Arts/Movies/Titles/N/Night,_The", "url": "http://www.imdb.com/title/tt0054130/"} +{"d:Title": "Turner Classic Movies: La notte (1962)", "d:Description": "Synopsis, full cast and crew, original print and soundtrack information, quotations, and articles.", "topic": "Top/Arts/Movies/Titles/N/Night,_The", "url": "http://www.tcm.com/tcmdb/title/80542/La-notte/"} +{"d:Title": "Nightmare On Elm Street", "d:Description": "Official site. Features the interactive Freddy, a photo gallery, screen saver, interviews, and a store.", "priority": "1", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://www.nightmareonelmstreet.com/"} +{"d:Title": "A Tribute to A Nightmare on Elm Street 3: Dream Warriors", "d:Description": "Tribute to the third Nightmare movie. Complete with pictures, polls, and contests.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://www.angelfire.com/ak3/freddykrueger/index.html"} +{"d:Title": "Kissed By A Nightmare", "d:Description": "A VERY large Freddy Krueger site that includes everything from pictures to an online Freddy fan club.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://www.angelfire.com/ks/kissedbyanightmare/"} +{"d:Title": "Nightmare on Elm Street House", "d:Description": "A photo of the actual house used in the filming of the original film.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://seeing-stars.com/ImagePages/ElmStreetPhoto.shtml"} +{"d:Title": "Grudge Match: Freddy vs. Jason", "d:Description": "Comical story putting these two horror legends together.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://www.grudge-match.com/History/jason-freddy.shtml"} +{"d:Title": "Tabula Rasa: New Nightmares", "d:Description": "An article about the seven Nightmare on Elm St. movies.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://www.tabula-rasa.info/Horror/NewNightmares.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Synopsis, review, trivia, filming locations, soundtrack information, pictures, and links.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://www.fast-rewind.com/nightmareelmst.htm"} +{"d:Title": "The Nightmare On Elm Street Companion", "d:Description": "Cast and crew, synopses, screenplays, box covers, posters, photographs, deleted scenes, and soundtrack details for the series' films. Also includes trivia, links, and FAQ.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://nightmareonelmstreetfilms.com/"} +{"d:Title": "Krugui Community", "d:Description": "Fan site with character description and pictures.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://freddykrugui.angelfire.com/"} +{"d:Title": "Nightmare on Elm Street Web Ring", "d:Description": "A collection of about 24 fan sites. The ring was founded in 1996.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series", "url": "http://www.webring.org/hub?ring=freddykrueger"} +{"d:Title": "KillerMovies: Freddy Vs. Jason", "d:Description": "Includes image gallery, plot summary, and news.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series/Freddy_vs_Jason", "url": "http://www.killermovies.com/f/freddyvsjason/"} +{"d:Title": "HARO Online: Freddy vs. Jason", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series/Freddy_vs_Jason", "url": "http://www.haro-online.com/movies/freddy_vs_jason.html"} +{"d:Title": "All-Reviews.com - Freddy Vs. Jason", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series/Freddy_vs_Jason", "url": "http://all-reviews.com/videos-5/freddy-vs-jason.htm"} +{"d:Title": "DreamLogic: Freddy vs Jason", "d:Description": "Review by Chris Nelson.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series/Freddy_vs_Jason", "url": "http://dreamlogic.net/REVIEWS/freddyvsjason.html"} +{"d:Title": "Freddy Vs.Jason", "d:Description": "Official site featuring trailer previews, pictures, wallpapers, and a quiz.", "topic": "Top/Arts/Movies/Titles/N/Nightmare_on_Elm_Street_Series/Freddy_vs_Jason", "url": "http://www.warnerbros.com/freddy-vs-jason"} +{"d:Title": "Rotten Tomatoes: Nights in Rodanthe", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/N/Nights_in_Rodanthe", "url": "http://www.rottentomatoes.com/m/nights_in_rodanthe/"} +{"d:Title": "IGN: Nights in Rodanthe", "d:Description": "Photos, videos, message board, and a review (rating: 1.5 out of 5).", "topic": "Top/Arts/Movies/Titles/N/Nights_in_Rodanthe", "url": "http://www.ign.com/movies/nights-in-rodanthe/theater-14208408"} +{"d:Title": "TV Guide: Nights in Rodanthe", "d:Description": "Review, by Maitland McDonagh: \"A shameless puddle of romantic slop. \" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/N/Nights_in_Rodanthe", "url": "http://www.tvguide.com/movies/nights-rodanthe/review/295311/"} +{"d:Title": "ReelViews: Nights in Rodanthe", "d:Description": "Review, by James Berardinelli: \"Most of the laughs are unintentional, but the result is absurd and laughable.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/N/Nights_in_Rodanthe", "url": "http://www.reelviews.net/reelviews/nights-in-rodanthe"} +{"d:Title": "Notti di Cabiria, Le (1957)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/N/Nights_of_Cabiria,_The", "url": "http://www.imdb.com/title/tt0050783/"} +{"d:Title": "Roger Ebert: Nights of Cabiria (1957)", "d:Description": "Review (positive), cast, credits.", "topic": "Top/Arts/Movies/Titles/N/Nights_of_Cabiria,_The", "url": "http://www.rogerebert.com/reviews/great-movie-nights-of-cabiria-1957"} +{"d:Title": "IMDb - Night and a Day at Coney Island, A (1919)", "d:Description": "Production information.", "topic": "Top/Arts/Movies/Titles/N/Night_and_a_Day_at_Coney_Island,_A", "url": "http://www.imdb.com/title/tt0010509/combined"} +{"d:Title": "IMDb: The Night and the Moment (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Night_and_the_Moment,_The", "url": "http://www.imdb.com/title/tt0110665/"} +{"d:Title": "IMDb - Night at the Golden Eagle (2002)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Golden_Eagle", "url": "http://www.imdb.com/title/tt0250617/"} +{"d:Title": "TV Guide Online: Night at the Golden Eagle", "d:Description": "Review by Ken Fox (negative), rating.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Golden_Eagle", "url": "http://www.tvguide.com/movies/night-golden-eagle/135957/"} +{"d:Title": "New York Times - Grime and Despair in Every Room", "d:Description": "Review by A. O. Scott (mixed). [Site requires free registration.]", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Golden_Eagle", "url": "http://www.nytimes.com/2002/04/26/movies/film-in-review-night-at-the-golden-eagle.html"} +{"d:Title": "Greatest Films: A Night At The Opera (1935)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Opera,_A", "url": "http://www.filmsite.org/night.html"} +{"d:Title": "Crazy for Cinema: Review of 'A Night at the Opera'", "d:Description": "Includes review, cast listings and film pictures.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Opera,_A", "url": "http://crazy4cinema.com/Review/FilmsN/f_night_opera.html"} +{"d:Title": "Marx Brothers - Night at the Opera Treasury", "d:Description": "A complete collection of sounds and pictures from the classic 1935 Marx Brothers movie, A Night at the Opera, one of the greatest comedies of all time. WAVs, and RealAudio.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Opera,_A", "url": "http://www.nightattheopera.net/"} +{"d:Title": "IMDb: A Night at the Opera (1935)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Opera,_A", "url": "http://www.imdb.com/title/tt0026778/"} +{"d:Title": "CaseNet: A Night at the Roxbury", "d:Description": "Paramount movie moves from the SNL sketch to the big screen. Fan comments are welcomed and posted on the site.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Roxbury,_A", "url": "http://casenet.com/movie/nightattheroxbury.htm"} +{"d:Title": "All-Reviews.com - Night at the Roxbury", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Roxbury,_A", "url": "http://www.all-reviews.com/videos/night-at-roxbury.htm"} +{"d:Title": "IMDb: A Night At The Roxbury", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Roxbury,_A", "url": "http://www.imdb.com/title/tt0120770/"} +{"d:Title": "SunTimes: A Night at the Roxbury", "d:Description": "Roger Ebert reviews the movie.", "topic": "Top/Arts/Movies/Titles/N/Night_at_the_Roxbury,_A", "url": "http://www.rogerebert.com/reviews/a-night-at-the-roxbury-1998"} +{"d:Title": "IMDb: The Night Caller (1998)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/N/Night_Caller,_The", "url": "http://www.imdb.com/title/tt0127702/"} +{"d:Title": "TV Guide Online: Night Caller, The", "d:Description": "Movie review, plot, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/N/Night_Caller,_The", "url": "http://www.tvguide.com/movies/night-caller/133402/"} +{"d:Title": "IMDb: The Night Flier (1997)", "d:Description": "Provides cast, crew, trivia, photographs and user comments.", "topic": "Top/Arts/Movies/Titles/N/Night_Flier", "url": "http://www.imdb.com/title/tt0119784/"} +{"d:Title": "Combustible Celluloid: The Night Larry Kramer Kissed Me", "d:Description": "Review by Jeffrey M. Anderson.", "topic": "Top/Arts/Movies/Titles/N/Night_Larry_Kramer_Kissed_Me,_The", "url": "http://www.combustiblecelluloid.com/nightlarry.shtml"} +{"d:Title": "Metacritic.com: Night Larry Kramer Kissed Me, The", "d:Description": "A cross-section of reviews about the movie.", "topic": "Top/Arts/Movies/Titles/N/Night_Larry_Kramer_Kissed_Me,_The", "url": "http://www.metacritic.com/movie/the-night-larry-kramer-kissed-me"} +{"d:Title": "IMDb - The Night Larry Kramer Kissed Me (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Night_Larry_Kramer_Kissed_Me,_The", "url": "http://www.imdb.com/title/tt0219210/"} +{"d:Title": "IMDb: Night of Dark Shadows (1971)", "d:Description": "Includes full cast/crew information, plot summary and review of the film.", "topic": "Top/Arts/Movies/Titles/N/Night_of_Dark_Shadows", "url": "http://www.imdb.com/title/tt0067491/combined"} +{"d:Title": "IMDb: The Night of the Hunted (La Nuit des Traqu\u00e9es) (1980)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Night_of_the_Hunted,_The", "url": "http://www.imdb.com/title/tt0081250/"} +{"d:Title": "Greatest Films: The Night Of The Hunter (1955)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/N/Night_of_the_Hunter,_The", "url": "http://www.filmsite.org/nightof.html"} +{"d:Title": "Metacritic: Night of the Hunter", "d:Description": "Film information, links to reviews, and an overall score.", "topic": "Top/Arts/Movies/Titles/N/Night_of_the_Hunter,_The", "url": "http://www.metacritic.com/movie/the-night-of-the-hunter-re-release"} +{"d:Title": "IMDb: The Night of the Hunter (1955)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Night_of_the_Hunter,_The", "url": "http://www.imdb.com/title/tt0048424/"} +{"d:Title": "Roger Ebert: The Night of the Hunter (1955)", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/N/Night_of_the_Hunter,_The", "url": "http://www.rogerebert.com/reviews/great-movie-the-night-of-the-hunter-1955"} +{"d:Title": "The Dog Pile: Night of the Vampire Hunter", "d:Description": "Review by Matt Hudson.", "topic": "Top/Arts/Movies/Titles/N/Night_of_the_Vampire_Hunter", "url": "http://thedogpilemagazine.blogspot.com/2005/08/night-of-vampire-hunter.html"} +{"d:Title": "IMDb: Night of the Vampire Hunter (2000)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/N/Night_of_the_Vampire_Hunter", "url": "http://www.imdb.com/title/tt0276637/"} +{"d:Title": "IMDb: Night Train to Munich", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Night_Train_to_Munich", "url": "http://www.imdb.com/title/tt0032842/"} +{"d:Title": "AllMovie: Night Train to Munich", "d:Description": "Synopsis, review, credits, and awards.", "topic": "Top/Arts/Movies/Titles/N/Night_Train_to_Munich", "url": "http://www.allmovie.com/movie/night-train-to-munich-v35261"} +{"d:Title": "IMDb: The Night Visitor (1970)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Night_Visitor,_The", "url": "http://www.imdb.com/title/tt0066141/"} +{"d:Title": "TV Guide Online: Night Visitor, The", "d:Description": "Movie review, plot, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/N/Night_Visitor,_The", "url": "http://www.tvguide.com/movies/night-visitor/126255/"} +{"d:Title": "IMDb: Nikki, Wild Dog of the North (1961)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Nikki,_Wild_Dog_of_the_North", "url": "http://www.imdb.com/title/tt0055232/"} +{"d:Title": "IMDb: Nil by Mouth", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nil_by_Mouth", "url": "http://www.imdb.com/title/tt0119792/"} +{"d:Title": "IMDb: Nim's Island", "d:Description": "Offers cast and crew details, plot summary, release dates and message board.", "topic": "Top/Arts/Movies/Titles/N/Nim's_Island", "url": "http://www.imdb.com/title/tt0410377/"} +{"d:Title": "JustJared: Nim\u2019s Island Movie Stills", "d:Description": "Offers image gallery.", "topic": "Top/Arts/Movies/Titles/N/Nim's_Island", "url": "http://www.justjared.com/2008/01/27/nims-island-movie-stills/"} +{"d:Title": "USAToday.com: Precious 'Nim's Island' Is A Quest For All Ages", "d:Description": "Parents will find it refreshing to watch the antics of a young heroine who has the best traits of childhood and none of the bratty mouthiness. By Claudia Puig.", "topic": "Top/Arts/Movies/Titles/N/Nim's_Island", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-04-03-nims-island_N.htm"} +{"d:Title": "New York Times: Nim's Island Movie Review", "d:Description": "If \u201cNim\u2019s Island\u201d were anything but a children\u2019s movie, the casting genius who suggested Jodie Foster as a potential love interest for Gerard Butler would be looking for a new occupation. By Jeannette Catsoulis.", "topic": "Top/Arts/Movies/Titles/N/Nim's_Island", "url": "http://www.nytimes.com/2008/04/04/movies/04isla.html?_r=0"} +{"d:Title": "New York Post: Indiana Jodie and the Temple of Product Placement", "d:Description": "How much of the budget was provided by Progresso soup and Purell hand sanitizer, both of which receive the most extended product placement in any film released so far this year? By Lou Lumenick.", "topic": "Top/Arts/Movies/Titles/N/Nim's_Island", "url": "http://nypost.com/2008/04/04/indiana-jodie-and-the-temple-of-product-placement/"} +{"d:Title": "Film School Rejects: Nim\u2019s Island Movie Review", "d:Description": "Gerard Butler fills the duel role of the nerdy scientist and the swashbuckling personification of Alex Rover. By Kevin Carr.", "topic": "Top/Arts/Movies/Titles/N/Nim's_Island", "url": "https://filmschoolrejects.com/nims-island-movie-review-dec45fc41a58"} +{"d:Title": "IMDb: Nina's Heavenly Delights (2006)", "d:Description": "Plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/N/Nina's_Heavenly_Delights", "url": "http://www.imdb.com/title/tt0435706/"} +{"d:Title": "Rotten Tomatoes: Nina's Heavenly Delights", "d:Description": "Review links, synopsis, credits, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/N/Nina's_Heavenly_Delights", "url": "http://www.rottentomatoes.com/m/ninas_heavenly_delights/"} +{"d:Title": "BBC: Nina's Heavenly Delights", "d:Description": "Review, by Jaspreet Pandohar: \"\" [Rating: 2 out of 5]", "topic": "Top/Arts/Movies/Titles/N/Nina's_Heavenly_Delights", "url": "http://www.bbc.co.uk/films/2006/09/18/ninas_heavenly_delights_2006_review.shtml"} +{"d:Title": "IMDb: Nine Days in One Year (9 Dnej Odnogo Goda) (1961)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/N/Nine_Days_in_One_Year", "url": "http://www.imdb.com/title/tt0054803/"} +{"d:Title": "All-Reviews.com - Nine Months", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Nine_Months", "url": "http://www.all-reviews.com/videos-2/nine-months.htm"} +{"d:Title": "IMDb: Nine Months", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nine_Months", "url": "http://www.imdb.com/title/tt0113986/"} +{"d:Title": "Allreaders Review - Nine Months", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/N/Nine_Months", "url": "http://allreaders.com/movie-review-summary/nine-months-5150"} +{"d:Title": "HARO Online: Nine Queens", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Nine_Queens", "url": "http://www.haro-online.com/movies/nine_queens.html"} +{"d:Title": "Rotten Tomatoes: Nine Queens", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/N/Nine_Queens", "url": "http://www.rottentomatoes.com/m/nine_queens/"} +{"d:Title": "IMDb: Nine Queens (Nueve Reinas) (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nine_Queens", "url": "http://www.imdb.com/title/tt0247586/"} +{"d:Title": "All-Reviews.com: The Ninth Gate", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.all-reviews.com/videos/the-ninth-gate.htm"} +{"d:Title": "AskMen.com: The Ninth Gate", "d:Description": "Movie review from the male prospective.", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.askmen.com/toys/movies/movies15.html"} +{"d:Title": "PopMatters: The Ninth Gate", "d:Description": "Review of the film and interview with the director, Roman Polanski.", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://popmatters.com/film/reviews/n/ninth-gate.html"} +{"d:Title": "Haro Online: The Ninth Gate", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.haro-online.com/movies/ninth_gate.html"} +{"d:Title": "La Plume Noire: The Ninth Gate", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.plume-noire.com/movies/reviews/theninthgate.html"} +{"d:Title": "Rotten Tomatoes: The Ninth Gate", "d:Description": "Reviews, previews, production notes, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.rottentomatoes.com/m/ninth_gate/"} +{"d:Title": "Box Office Mojo: The Ninth Gate", "d:Description": "Box office data for the film. Includes a comparison with other Satanic thrillers.", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.boxofficemojo.com/movies/?id=ninethgate.htm"} +{"d:Title": "Metacritic.com: Ninth Gate, The", "d:Description": "A cross-section of reviews about the movie.", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.metacritic.com/movie/the-ninth-gate"} +{"d:Title": "IMDb: The Ninth Gate", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Ninth_Gate,_The", "url": "http://www.imdb.com/title/tt0142688/"} +{"d:Title": "All-Reviews.com - Nixon", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Nixon", "url": "http://www.all-reviews.com/videos-5/nixon.htm"} +{"d:Title": "RollingStone.com - Nixon", "d:Description": "Includes a synopsis, review, photos, cast and crew information, message board, trivia and links.", "topic": "Top/Arts/Movies/Titles/N/Nixon", "url": "http://www.rollingstone.com/movies/reviews/nixon-19951220"} +{"d:Title": "TV Guide Online: Nixon", "d:Description": "Movie review, plot, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/N/Nixon", "url": "http://www.tvguide.com/movies/nixon/131053/"} +{"d:Title": "IMDb: Noah's Ark (1999)", "d:Description": "Cast/credits plus additional information about the made-for-television film.", "topic": "Top/Arts/Movies/Titles/N/Noah's_Ark", "url": "http://www.imdb.com/title/tt0168355/"} +{"d:Title": "TV Guide Online: Noah's Ark", "d:Description": "Movie review, plot, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/N/Noah's_Ark", "url": "http://www.tvguide.com/movies/noahs-ark/107652/"} +{"d:Title": "IMDB: N\u00f3i albin\u00f3i (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/N/N\u00f3i", "url": "http://www.imdb.com/title/tt0351461/"} +{"d:Title": "HARO Online: Noi", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/N/N\u00f3i", "url": "http://www.haro-online.com/movies/noi.html"} +{"d:Title": "Rotten Tomatoes: Noi", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/N/N\u00f3i", "url": "http://www.rottentomatoes.com/m/noi-the-albino/"} +{"d:Title": "Nola (2003)", "d:Description": "Cast, crew and related links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/N/Nola", "url": "http://www.imdb.com/title/tt0317950/"} +{"d:Title": "Wikipedia: Norbit", "d:Description": "Contains plot synopsis, cast, reception, trivia, goofs, and references.", "topic": "Top/Arts/Movies/Titles/N/Norbit", "url": "http://en.wikipedia.org/wiki/Norbit"} +{"d:Title": "IMDb: Norbit", "d:Description": "Offers photos, cast, crew, and information.", "topic": "Top/Arts/Movies/Titles/N/Norbit", "url": "http://www.imdb.com/title/tt0477051/"} +{"d:Title": "TV Guide Online: Norbit", "d:Description": "Offers news, cast, reviews, and discussion.", "topic": "Top/Arts/Movies/Titles/N/Norbit", "url": "http://www.tvguide.com/movies/norbit/285795/"} +{"d:Title": "Crazy for Cinema: Review of 'North by Northwest'", "d:Description": "Includes review, cast listing and film pictures.", "topic": "Top/Arts/Movies/Titles/N/North_by_Northwest", "url": "http://crazy4cinema.com/Review/FilmsN/f_northwest.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes cast and crew, reviews, awards, plot summary, quotes, and other details.", "topic": "Top/Arts/Movies/Titles/N/North_by_Northwest", "url": "http://www.imdb.com/title/tt0053125/"} +{"d:Title": "Crazy4cinema Review", "d:Description": "A short review of the film.", "topic": "Top/Arts/Movies/Titles/N/North_by_Northwest/Reviews", "url": "http://www.crazy4cinema.com/Review/FilmsN/northwest.html"} +{"d:Title": "Greatest Films - North by Northwest", "d:Description": "Includes a detailed review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/N/North_by_Northwest/Reviews", "url": "http://www.filmsite.org/nort.html"} +{"d:Title": "All-Reviews.com: North By Northwest", "d:Description": "Reviews of the movie by Brian Koller and Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/N/North_by_Northwest/Reviews", "url": "http://www.all-reviews.com/videos-4/north-by-northwest.htm"} +{"d:Title": "Allreaders - North By Northwest", "d:Description": "Analytical review of the plot, setting, theme, structure and links to similar movies.", "topic": "Top/Arts/Movies/Titles/N/North_by_Northwest/Reviews", "url": "http://allreaders.com/movie-review-summary/north-northwest-3803"} +{"d:Title": "IMDb: The Notebook (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/N/Notebook,_The", "url": "http://www.imdb.com/title/tt0332280/"} +{"d:Title": "HARO Online: The Notebook", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Notebook,_The", "url": "http://www.haro-online.com/movies/notebook.html"} +{"d:Title": "Filmtracks: The Notebook", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/N/Notebook,_The", "url": "http://www.filmtracks.com/titles/notebook.html"} +{"d:Title": "Rotten Tomatoes: The Notebook", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/N/Notebook,_The", "url": "http://www.rottentomatoes.com/m/notebook/"} +{"d:Title": "Chicago Sun-Times: The Notebook", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/N/Notebook,_The", "url": "http://www.rogerebert.com/reviews/the-notebook-2004"} +{"d:Title": "The Notebook", "d:Description": "Official site with synopsis and multimedia.", "topic": "Top/Arts/Movies/Titles/N/Notebook,_The", "url": "http://www.warnerbros.com/notebook"} +{"d:Title": "Nothing But the Truth (1999)", "d:Description": "IMDb information.", "topic": "Top/Arts/Movies/Titles/N/Nothing_but_the_Truth", "url": "http://www.imdb.com/title/tt0216949/"} +{"d:Title": "CaryGrant.net: Notorious (1946)", "d:Description": "Review by Laila Valente.", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://www.carygrant.net/reviews/notorious.html"} +{"d:Title": "Notorious", "d:Description": "Site devoted to Alfred Hitchcock's classic film from 1946.", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://members.tripod.com/~Notorious_1946/notorious.htm"} +{"d:Title": "Greatest Films: Notorious", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://www.filmsite.org/noto.html"} +{"d:Title": "Crazy for Cinema: Notorious", "d:Description": "Includes review, cast listing and film pictures.", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://crazy4cinema.com/Review/FilmsN/f_notorious.html"} +{"d:Title": "About.com: Notorious", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://homevideo.about.com/library/weekly/aafpr121101.htm"} +{"d:Title": "All-Reviews.com: Notorious", "d:Description": "Reviews of the movie by Jerry Saravia and Brian Koller.", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://www.all-reviews.com/videos-4/notorious.htm"} +{"d:Title": "IMDb: Notorious", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://www.imdb.com/title/tt0038787/"} +{"d:Title": "TV Guide Online: Notorious", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/N/Notorious", "url": "http://www.tvguide.com/movies/notorious/107848/"} +{"d:Title": "HARO Online: Notorious C.H.O.", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Notorious_C.H.O.", "url": "http://www.haro-online.com/movies/notorious_cho.html"} +{"d:Title": "All-Reviews.com: Notorious C.H.O.", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/N/Notorious_C.H.O.", "url": "http://www.all-reviews.com/videos-4/notorious-cho.htm"} +{"d:Title": "IMDb: Notorious C.H.O. (2002)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/N/Notorious_C.H.O.", "url": "http://www.imdb.com/title/tt0300274/"} +{"d:Title": "Rotten Tomatoes: Notorious C.H.O.", "d:Description": "Links to reviews, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/N/Notorious_C.H.O.", "url": "http://www.rottentomatoes.com/m/margaret-cho-notorious-cho/"} +{"d:Title": "PopMatters: Notting Hill", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://popmatters.com/film/reviews/n/notting-hill.html"} +{"d:Title": "Haro Online: Notting Hill", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://www.haro-online.com/movies/notting_hill.html"} +{"d:Title": "All-Reviews.com: Notting Hill", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://www.all-reviews.com/videos/notting-hill.htm"} +{"d:Title": "Rotten Tomatoes: Notting Hill", "d:Description": "Reviews from top critics. News, synopsis, and other details.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://www.rottentomatoes.com/m/notting_hill/"} +{"d:Title": "Box Office Mojo: Notting Hill", "d:Description": "Box office coverage of the film, with detailed breakdowns of the data and comparisons.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://www.boxofficemojo.com/movies/?id=nottinghill.htm"} +{"d:Title": "Metacritic.com: Notting Hill", "d:Description": "A cross-section of reviews about the film.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://www.metacritic.com/movie/notting-hill"} +{"d:Title": "IMDb: Notting Hill (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://www.imdb.com/title/tt0125439/"} +{"d:Title": "Allreaders: Notting Hill", "d:Description": "Very detailed analysis, review and discussion of the movie.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://allreaders.com/movie-review-summary/notting-hill-3627"} +{"d:Title": "Notting Hill - Official Site", "d:Description": "Features a multimedia section, cast information, the story behind the movie, and soundtrack information.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "https://www.uphe.com/movies/notting-hill"} +{"d:Title": "TV Guide Online: Notting Hill", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/N/Notting_Hill", "url": "http://www.tvguide.com/movies/notting-hill/133500/"} +{"d:Title": "All-Reviews.com: Not Another Teen Movie", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/N/Not_Another_Teen_Movie", "url": "http://all-reviews.com/videos-4/not-another-teen-movie.htm"} +{"d:Title": "HARO Online: Not Another Teen Movie", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/Not_Another_Teen_Movie", "url": "http://www.haro-online.com/movies/not_another_teen_movie.html"} +{"d:Title": "IMDb: Not Another Teen Movie (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Not_Another_Teen_Movie", "url": "http://www.imdb.com/title/tt0277371/"} +{"d:Title": "MovieWeb: Not Another Teen Movie", "d:Description": "Plot summary, cast list, pictures, review, and trivia game.", "topic": "Top/Arts/Movies/Titles/N/Not_Another_Teen_Movie", "url": "http://www.movieweb.com/movie/not-another-teen-movie"} +{"d:Title": "IMDb: Not a Girl (2002)", "d:Description": "Includes a plot outline and cast list.", "topic": "Top/Arts/Movies/Titles/N/Not_a_Girl", "url": "http://www.imdb.com/title/tt0275022/"} +{"d:Title": "Haro Online: Not One Less", "d:Description": "Mongoose rates the movie: not bad.", "topic": "Top/Arts/Movies/Titles/N/Not_One_Less", "url": "http://www.haro-online.com/movies/not_one_less.html"} +{"d:Title": "PopMatters: Not One Less", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/N/Not_One_Less", "url": "http://popmatters.com/film/reviews/n/not-one-less.html"} +{"d:Title": "Metacritic.com: Not One Less", "d:Description": "A cross-section of reviews about the film.", "topic": "Top/Arts/Movies/Titles/N/Not_One_Less", "url": "http://www.metacritic.com/movie/not-one-less"} +{"d:Title": "IMDb: Not One Less (Yi ge dou bu neng shao) (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Not_One_Less", "url": "http://www.imdb.com/title/tt0209189/"} +{"d:Title": "All-Reviews.com - Novocaine", "d:Description": "Three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Novocaine", "url": "http://www.all-reviews.com/videos-4/novocaine.htm"} +{"d:Title": "MovieWeb - Novocaine", "d:Description": "Film summary, cast list, and movie stills.", "topic": "Top/Arts/Movies/Titles/N/Novocaine", "url": "http://movieweb.com/movie/novocaine/"} +{"d:Title": "Rotten Tomatoes: Novocaine", "d:Description": "Reviews of Novocaine from the nation's top critics and audiences.", "topic": "Top/Arts/Movies/Titles/N/Novocaine", "url": "http://www.rottentomatoes.com/m/novocaine/"} +{"d:Title": "IMDB: Novocaine", "d:Description": "Cast list, links, movie information, reviews, trivia, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Novocaine", "url": "http://www.imdb.com/title/tt0234354/"} +{"d:Title": "Metacritic.com: Novocaine", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/N/Novocaine", "url": "http://www.metacritic.com/movie/novocaine"} +{"d:Title": "Cinema.com: Novocaine", "d:Description": "Movie details, a gallery, trailers, and showtimes.", "topic": "Top/Arts/Movies/Titles/N/Novocaine", "url": "http://cinema.com/film/5772/novocaine/"} +{"d:Title": "HARO Online - Nowhere in Africa", "d:Description": "Review by Mongoose (predominantly positive), and rating.", "topic": "Top/Arts/Movies/Titles/N/Nowhere_in_Africa", "url": "http://www.haro-online.com/movies/nowhere_in_africa.html"} +{"d:Title": "All-Reviews.com - Nowhere in Africa", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Nowhere_in_Africa", "url": "http://all-reviews.com/videos-5/nowhere-in-africa.htm"} +{"d:Title": "The Perils of Escape; Caroline Link Discusses \u201cNowhere in Africa\u201d", "d:Description": "Interview with the director by Matthew Ross.", "topic": "Top/Arts/Movies/Titles/N/Nowhere_in_Africa", "url": "http://www.indiewire.com/article/the_perils_of_escape_caroline_link_discusses_nowhere_in_africa/"} +{"d:Title": "IMDb: Nowhere to Hide (Injong Sajong Polkot Opta) (1999)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nowhere_to_Hide", "url": "http://www.imdb.com/title/tt0223530/"} +{"d:Title": "IMDb: Now and Then (1995)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/N/Now_and_Then", "url": "http://www.imdb.com/title/tt0114011/"} +{"d:Title": "IMDb: No Laughing Matter (1998)", "d:Description": "Cast/credits plus additional information about this made-for-TV film.", "topic": "Top/Arts/Movies/Titles/N/No_Laughing_Matter", "url": "http://www.imdb.com/title/tt0139498/"} +{"d:Title": "Nitrate Online Review: No Man's Land", "d:Description": "Movie review from the 26th Toronto International Film Festival.", "topic": "Top/Arts/Movies/Titles/N/No_Man's_Land", "url": "http://www.nitrateonline.com/2001/rnomans.html"} +{"d:Title": "All-Reviews.com: No Man's Land", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/N/No_Man's_Land", "url": "http://www.all-reviews.com/videos-4/no-mans-land.htm"} +{"d:Title": "HARO Online: No Man's Land", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/No_Man's_Land", "url": "http://www.haro-online.com/movies/no_mans_land.html"} +{"d:Title": "Rotten Tomatoes: No Man's Land", "d:Description": "Links to movie reviews, synopsis, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/N/No_Man's_Land", "url": "http://www.rottentomatoes.com/m/no_mans_land/"} +{"d:Title": "IMDb: No Man's Land", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/N/No_Man's_Land", "url": "http://www.imdb.com/title/tt0283509/"} +{"d:Title": "Metacritic.com: No Man's Land", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/N/No_Man's_Land", "url": "http://www.metacritic.com/movie/no-mans-land"} +{"d:Title": "TV Guide Online: No Mercy", "d:Description": "Movie review, plot, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/N/No_Mercy", "url": "http://www.tvguide.com/movies/mercy/107595/"} +{"d:Title": "IMDb: Huhwihaji anha (2006)", "d:Description": "Plot summary, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/N/No_Regret", "url": "http://www.imdb.com/title/tt0996948/"} +{"d:Title": "Rotten Tomatoes: No Regret", "d:Description": "Synopsis, cast list, photos, videos, and showtimes.", "topic": "Top/Arts/Movies/Titles/N/No_Regret", "url": "http://www.rottentomatoes.com/m/huhwihaji_anha/"} +{"d:Title": "IMDb: No Reservations (2007)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/N/No_Reservations", "url": "http://www.imdb.com/title/tt0481141/"} +{"d:Title": "Rotten Tomatoes: No Reservations", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/N/No_Reservations", "url": "http://www.rottentomatoes.com/m/no_reservations/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the synopsis, cast, and box office results.", "topic": "Top/Arts/Movies/Titles/N/No_Reservations", "url": "http://en.wikipedia.org/wiki/No_Reservations_(film)"} +{"d:Title": "IGN Movies: No Reservations", "d:Description": "Message board, photos, videos, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/N/No_Reservations", "url": "http://www.ign.com/movies/no-reservations/theater-896553"} +{"d:Title": "MovieWeb: No Reservations (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/N/No_Reservations", "url": "http://www.movieweb.com/movie/no-reservations"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/N/No_Retreat,_No_Surrender", "url": "http://www.fast-rewind.com/retreat.htm"} +{"d:Title": "IMDb: No Retreat, No Surrender", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/N/No_Retreat,_No_Surrender", "url": "http://www.imdb.com/title/tt0089695/"} +{"d:Title": "HARO Online: No Such Thing", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/N/No_Such_Thing", "url": "http://www.haro-online.com/movies/no_such_thing.html"} +{"d:Title": "Rotten Tomatoes: No Such Thing", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/N/No_Such_Thing", "url": "http://www.rottentomatoes.com/m/no_such_thing/"} +{"d:Title": "IMDb: No Such Thing (2001)", "d:Description": "Plot description, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/No_Such_Thing", "url": "http://www.imdb.com/title/tt0248190/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, trivia, pictures and information.", "topic": "Top/Arts/Movies/Titles/N/No_Way_Out_-_1987", "url": "http://www.fast-rewind.com/nowayout.htm"} +{"d:Title": "Rotten Tomatoes: No Way Out", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/N/No_Way_Out_-_1987", "url": "http://www.rottentomatoes.com/m/1015218-no_way_out/"} +{"d:Title": "IMDb: No Way Out (1987)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/No_Way_Out_-_1987", "url": "http://www.imdb.com/title/tt0093640/"} +{"d:Title": "Chicago Sun-Times: No Way Out", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/N/No_Way_Out_-_1987", "url": "http://www.rogerebert.com/reviews/no-way-out-1987"} +{"d:Title": "IMDb: The Nugget", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/N/Nugget,_The", "url": "http://www.imdb.com/title/tt0278597/"} +{"d:Title": "Rotten Tomatoes: The Nugget", "d:Description": "Links to reviews, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/N/Nugget,_The", "url": "http://www.rottentomatoes.com/m/nugget/"} +{"d:Title": "IMDb: Number 23, The", "d:Description": "Offers information, cast, credits, and photos.", "topic": "Top/Arts/Movies/Titles/N/Number_23,_The", "url": "http://imdb.com/title/tt0481369/"} +{"d:Title": "Jim Carrey Online: Number 23, The", "d:Description": "Features information, news, related headlines, production notes, quotes, and trailers.", "topic": "Top/Arts/Movies/Titles/N/Number_23,_The", "url": "http://www.jimcarreyonline.com/movies/23.html"} +{"d:Title": "SlashFilm: Why The Number 23 is so Mysterious", "d:Description": "Article by Peter Sciretta. Includes a picture and related stories.", "topic": "Top/Arts/Movies/Titles/N/Number_23,_The", "url": "http://www.slashfilm.com/why-the-number-23-is-so-mysterious/"} +{"d:Title": "ComingSoon.net: Number 23, The", "d:Description": "Review by Thomas R. Tinneny. Includes cast, story, and a picture.", "topic": "Top/Arts/Movies/Titles/N/Number_23,_The", "url": "http://www.comingsoon.net/movies/reviews/19032-the-number-23-2"} +{"d:Title": "Nun's Story, The (1959)", "d:Description": "Plot summary, cast list, and rating at the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/N/Nun's_Story,_The", "url": "http://www.imdb.com/title/tt0053131/"} +{"d:Title": "PopMatters: Nurse Betty", "d:Description": "Review of the film by Lesley Smith.", "topic": "Top/Arts/Movies/Titles/N/Nurse_Betty", "url": "http://popmatters.com/film/reviews/n/nurse-betty2.html"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/N/Nurse_Betty", "url": "http://www.haro-online.com/movies/nurse_betty.html"} +{"d:Title": "Rotten Tomatoes - Nurse Betty (2000)", "d:Description": "Reviews and reactions from critics. Plus news, trailers, photos and synopsis.", "topic": "Top/Arts/Movies/Titles/N/Nurse_Betty", "url": "http://www.rottentomatoes.com/m/nurse_betty/"} +{"d:Title": "Metacritic.com: Nurse Betty", "d:Description": "A cross-section of reviews about the movie.", "topic": "Top/Arts/Movies/Titles/N/Nurse_Betty", "url": "http://www.metacritic.com/movie/nurse-betty"} +{"d:Title": "IMDb - Nurse Betty (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Nurse_Betty", "url": "http://www.imdb.com/title/tt0171580/"} +{"d:Title": "Allreaders Review - Nurse Betty", "d:Description": "Analytical review of the plot, setting, theme, structure and links to similar movies.", "topic": "Top/Arts/Movies/Titles/N/Nurse_Betty", "url": "http://allreaders.com/movie-review-summary/nurse-betty-3655"} +{"d:Title": "IMDb - Nurse From Brooklyn, The (1938)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/N/Nurse_from_Brooklyn", "url": "http://www.imdb.com/title/tt0030515/"} +{"d:Title": "TV Guide Online: Nurse from Brooklyn", "d:Description": "Unsigned review (neutral), rating.", "topic": "Top/Arts/Movies/Titles/N/Nurse_from_Brooklyn", "url": "http://www.tvguide.com/movies/nurse-brooklyn/107891/"} +{"d:Title": "Chicago Sun Times", "d:Description": "A review of The Nutcracker, by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/N/Nutcracker,_The", "url": "http://www.rogerebert.com/reviews/george-balanchines-the-nutcracker-1993"} +{"d:Title": "TV Guide Online: Nutcracker: The Motion Picture", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/N/Nutcracker,_The", "url": "http://www.tvguide.com/movies/nutcracker-motion-picture/107899/"} +{"d:Title": "IMDb: The Nutty Professor (1963)", "d:Description": "Credits, cast, plot, and reviews.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor,_The_-_1963", "url": "http://www.imdb.com/title/tt0057372/"} +{"d:Title": "TV Guide Online: The Nutty Professor", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor,_The_-_1963", "url": "http://www.tvguide.com/movies/nutty-professor/107902/"} +{"d:Title": "Eddie Murphy Is Back!", "d:Description": "Review of the Nutty Professor that proclaims the actor is back from his slump and back in his prime.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor,_The_-_1996", "url": "http://www.daveyd.com/nutty.html"} +{"d:Title": "Flicks Review: The Nutty Professor", "d:Description": "Quick movie review from WHYY.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor,_The_-_1996", "url": "http://www.whyy.org/flicks/Nutty.html"} +{"d:Title": "All-Reviews.com - The Nutty Professor (1996)", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor,_The_-_1996", "url": "http://www.all-reviews.com/videos-3/nutty-professor.htm"} +{"d:Title": "Nutty Professor, The (1996)", "d:Description": "Credits, cast, plot, and reviews at the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor,_The_-_1996", "url": "http://www.imdb.com/title/tt0117218/"} +{"d:Title": "The Nutty Professor", "d:Description": "Movie review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor,_The_-_1996", "url": "http://www.rogerebert.com/reviews/the-nutty-professor-1996"} +{"d:Title": "TV Guide Online: The Nutty Professor", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor,_The_-_1996", "url": "http://www.tvguide.com/movies/nutty-professor/131362/"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://www.haro-online.com/movies/nutty_professor_ii.html"} +{"d:Title": "PopMatters - Nutty Professor II - The Klumps", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://popmatters.com/film/reviews/n/nutty-professor-klumps.html"} +{"d:Title": "All-Reviews.com - Nutty Professor II - The Klumps", "d:Description": "Collection of reviews of the film.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://www.all-reviews.com/videos/nutty-professor-2.htm"} +{"d:Title": "AskMen.com : The Klumps", "d:Description": "Male perspective movie review.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://www.askmen.com/toys/movies/32_movie_reviews.html"} +{"d:Title": "Rotten Tomatoes: Nutty Professor 2 - The Klumps", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://www.rottentomatoes.com/m/nutty_professor_ii_the_klumps/"} +{"d:Title": "Box Office Mojo - The Nutty Professor II: The Klumps", "d:Description": "Daily box office data for the movie. Includes a comparison with other fat suit comedies and Eddie Murphy's box office track record.", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://www.boxofficemojo.com/movies/?id=nuttyprofessor2.htm"} +{"d:Title": "Mad Scientist's Weight Loss Formula for Disaster", "d:Description": "Review with MPAA ratings advice, and a list of the movie's principal cast and crew [New York Times].", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://www.nytimes.com/2000/07/28/movies/film-review-mad-scientist-s-weight-loss-formula-for-disaster.html"} +{"d:Title": "IMDb - Nutty Professor II: The Klumps (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/N/Nutty_Professor_Series/Nutty_Professor_2_-_The_Klumps", "url": "http://www.imdb.com/title/tt0144528/"} +{"d:Title": "All-Reviews.com: O", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/O", "url": "http://www.all-reviews.com/videos-3/o.htm"} +{"d:Title": "HARO Online: O", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/O/O", "url": "http://www.haro-online.com/movies/o.html"} +{"d:Title": "Rotten Tomatoes: O", "d:Description": "Links to movie reviews, synopsis, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/O/O", "url": "http://www.rottentomatoes.com/m/o/"} +{"d:Title": "Metacritic.com: O", "d:Description": "Provides quotes from and links to several reviews of the film.", "topic": "Top/Arts/Movies/Titles/O/O", "url": "http://www.metacritic.com/movie/o"} +{"d:Title": "IMDb: O", "d:Description": "Plot outline, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/O/O", "url": "http://www.imdb.com/title/tt0184791/"} +{"d:Title": "IMDb: The Object of Beauty", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Object_of_Beauty,_The", "url": "http://www.imdb.com/title/tt0102573/"} +{"d:Title": "All-Reviews.com: The Object of My Affection", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/O/Object_of_My_Affection,_The", "url": "http://www.all-reviews.com/videos/object-of-my-affection.htm"} +{"d:Title": "Filmtracks - The Object of My Affection", "d:Description": "Unsigned soundtrack review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/O/Object_of_My_Affection,_The", "url": "http://www.filmtracks.com/titles/object_affection.html"} +{"d:Title": "IMDb: The Object of My Affection", "d:Description": "Cast and credits, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/O/Object_of_My_Affection,_The", "url": "http://www.imdb.com/title/tt0120772/"} +{"d:Title": "Cinebooks Database - Love Is a Many-Splendored Thing", "d:Description": "Review by Sandra Contreras (positive), rating.", "topic": "Top/Arts/Movies/Titles/O/Object_of_My_Affection,_The", "url": "http://www.tvguide.com/movies/object-affection/132799/"} +{"d:Title": "IMDB: OcchioPinocchio (1994)", "d:Description": "Cast and crew information about the film.", "topic": "Top/Arts/Movies/Titles/O/OcchioPinocchio", "url": "http://www.imdb.com/title/tt0110708/"} +{"d:Title": "Like Television - An Occurrence at Owl Creek Bridge", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/O/Occurrence_at_Owl_Creek_Bridge,_An", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=139&format=movie&theme=guide"} +{"d:Title": "IMDb - An Occurrence at Owl Creek Bridge [La Rivi\u00e8re du Hibou] (1962)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Occurrence_at_Owl_Creek_Bridge,_An", "url": "http://www.imdb.com/title/tt0056300/"} +{"d:Title": "IMDb.com - Ocean's Eleven (1960)", "d:Description": "Plot summary, cast and credits, movie poster reader comments.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_1960", "url": "http://www.imdb.com/title/tt0054135/"} +{"d:Title": "TV Guide Online - Ocean's Eleven (1960)", "d:Description": "Film review and cast list.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_1960", "url": "http://www.tvguide.com/movies/oceans/107921/"} +{"d:Title": "Ocean's 11", "d:Description": "Official movie site. Cast and character biographies and images, trailer, crew biographies and photographs, soundtrack information, and multimedia.", "priority": "1", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://oceans11.warnerbros.com/cmp/main.html"} +{"d:Title": "Ninth Symphony Films: Ocean's 11", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://regencylady.tripod.com/site/filmreviews/oceanseleven.html"} +{"d:Title": "HaroOnline", "d:Description": "Ocean's Eleven review.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://www.haro-online.com/movies/oceans_eleven.html"} +{"d:Title": "Nitrate Online Review", "d:Description": "Ocean's Eleven review.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://www.nitrateonline.com/2001/roceans11.html"} +{"d:Title": "All-Reviews.com - Ocean's Eleven", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://all-reviews.com/videos-4/oceans-eleven.htm"} +{"d:Title": "About.com: Ocean's Eleven", "d:Description": "Review of the DVD version of the film by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://homevideo.about.com/library/weekly/aafpr051502.htm"} +{"d:Title": "Rotten Tomatoes: Ocean's Eleven", "d:Description": "Reviews from the nation's top critics. Also find photos, trailers, and forums.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://www.rottentomatoes.com/m/oceans_eleven/"} +{"d:Title": "Metacritic.com: Ocean's Eleven", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://www.metacritic.com/movie/oceans-eleven"} +{"d:Title": "Guardian Unlimited Film - Ocean's Eleven", "d:Description": "Philip French reviews the movie by the director Steven Soderbergh.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://www.theguardian.com/film/News_Story/Critic_Review/Observer_review/0,4267,651378,00.html"} +{"d:Title": "IMDb: Ocean's Eleven (2001)", "d:Description": "Cast overview and related information.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://www.imdb.com/title/tt0240772/"} +{"d:Title": "Chicago Sun-Times - Oceans Eleven", "d:Description": "Roger Ebert reviews the film directed by Steven Soderbergh.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Eleven_-_2001", "url": "http://www.rogerebert.com/reviews/oceans-eleven-2001"} +{"d:Title": "Wikipedia", "d:Description": "Article including plot description and cast list.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Thirteen", "url": "http://en.wikipedia.org/wiki/Ocean's_Thirteen"} +{"d:Title": "Rotten Tomatoes: Ocean's Thirteen", "d:Description": "Review links, show times, synopsis, critical consensus, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Thirteen", "url": "http://www.rottentomatoes.com/m/oceans_thirteen/"} +{"d:Title": "IMDb: Ocean's Thirteen (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Thirteen", "url": "http://www.imdb.com/title/tt0496806/"} +{"d:Title": "IGN Movies: Ocean's Thirteen", "d:Description": "Trailer, photos, news, and a reader review.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Thirteen", "url": "http://www.ign.com/movies/oceans-thirteen/theater-792027"} +{"d:Title": "Movie Forums: Ocean's Thirteen", "d:Description": "Review by Chris Bowyer, giving the film 3.5 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Thirteen", "url": "http://www.movieforums.com/reviews/1081587-oceans-thirteen.html"} +{"d:Title": "MovieWeb: Ocean's Thirteen (2007)", "d:Description": "Details, synopsis, photos, and news.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Thirteen", "url": "http://www.movieweb.com/movie/oceans-thirteen"} +{"d:Title": "Killer Movies - Ocean's Twelve", "d:Description": "Sequel to the 2001 remake of Ocean's Eleven. News on the film's production.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Twelve", "url": "http://www.killermovies.com/o/oceanstwelve/"} +{"d:Title": "Internet Movie Database: Ocean's Twelve (2004)", "d:Description": "Synopsis, production information, cast and crew, trivia, release details, and links.", "topic": "Top/Arts/Movies/Titles/O/Ocean's_Series/Ocean's_Twelve", "url": "http://imdb.com/title/tt0349903/"} +{"d:Title": "CNN Review", "d:Description": "\"'October Sky' best offering of a still-young year.\"", "topic": "Top/Arts/Movies/Titles/O/October_Sky", "url": "http://www.cnn.com/SHOWBIZ/Movies/9902/18/review.octobersky/"} +{"d:Title": "All-Reviews.com - October Sky", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/O/October_Sky", "url": "http://www.all-reviews.com/videos/october-sky.htm"} +{"d:Title": "October Sky", "d:Description": "Fansite with plot summary, cast information as well as links.", "topic": "Top/Arts/Movies/Titles/O/October_Sky", "url": "http://www.angelfire.com/va2/fishinabarrel/octobersky.html"} +{"d:Title": "IMDb: October Sky (1999)", "d:Description": "Cast/credits plus additional information for the film October Sky", "topic": "Top/Arts/Movies/Titles/O/October_Sky", "url": "http://www.imdb.com/title/tt0132477/"} +{"d:Title": "Rotten Tomatoes: Octopus (2000)", "d:Description": "Includes a review and movie information.", "topic": "Top/Arts/Movies/Titles/O/Octopus_-_2000", "url": "http://www.rottentomatoes.com/m/octopus/"} +{"d:Title": "Imdb.comOctopus (2000)", "d:Description": "Offers a review of the movie, and information.", "topic": "Top/Arts/Movies/Titles/O/Octopus_-_2000", "url": "http://www.imdb.com/title/tt0221905/"} +{"d:Title": "IMDb: The Odd Couple (1968)", "d:Description": "Cast/credits plus additional information for the film The Odd Couple (1968)", "topic": "Top/Arts/Movies/Titles/O/Odd_Couple_Series/Odd_Couple,_The", "url": "http://www.imdb.com/title/tt0063374/"} +{"d:Title": "TV Guide Online: The Odd Couple", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/O/Odd_Couple_Series/Odd_Couple,_The", "url": "http://www.tvguide.com/movies/odd-couple/107928/"} +{"d:Title": "IMDb: The Odd Couple II (1998)", "d:Description": "Cast information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Odd_Couple_Series/Odd_Couple_2,_The", "url": "http://www.imdb.com/title/tt0120773/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, filming locations, pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/O/Officer_and_a_Gentleman,_An", "url": "http://www.fast-rewind.com/officergentleman.htm"} +{"d:Title": "Rotten Tomatoes: An Officer and a Gentleman", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/O/Officer_and_a_Gentleman,_An", "url": "http://www.rottentomatoes.com/m/officer_and_a_gentleman/"} +{"d:Title": "IMDb: An Officer and a Gentleman (1982)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Officer_and_a_Gentleman,_An", "url": "http://www.imdb.com/title/tt0084434/"} +{"d:Title": "IMDb - Office Killer (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Office_Killer", "url": "http://www.imdb.com/title/tt0119819/"} +{"d:Title": "TV Guide Online: Office Killer", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/Office_Killer", "url": "http://www.tvguide.com/movies/office-killer/132231/"} +{"d:Title": "All-Reviews.com - Office Space", "d:Description": "Several reviews.", "topic": "Top/Arts/Movies/Titles/O/Office_Space", "url": "http://www.all-reviews.com/videos/office-space.htm"} +{"d:Title": "Office Space (1999) - Kids in Mind", "d:Description": "Parents' review of the movie Office Space.", "topic": "Top/Arts/Movies/Titles/O/Office_Space", "url": "http://www.kids-in-mind.com/O/office_space_1999__539.htm"} +{"d:Title": "ReelWavs.com: Office Space", "d:Description": "Sound clips in WAV format.", "topic": "Top/Arts/Movies/Titles/O/Office_Space", "url": "http://www.reelwavs.com/movies/office_space/"} +{"d:Title": "IMDb: Office Space (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Office_Space", "url": "http://www.imdb.com/title/tt0151804/"} +{"d:Title": "Roger Ebert: Office Space", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/O/Office_Space", "url": "http://www.rogerebert.com/reviews/office-space-1999"} +{"d:Title": "TV Guide Online: Office Space", "d:Description": "Includes review and information, reviewed by Sandra Contreras. [Rating: 3 / 5]", "topic": "Top/Arts/Movies/Titles/O/Office_Space", "url": "http://www.tvguide.com/movies/office-space/133665/"} +{"d:Title": "HARO Online: Off the Lip", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Off_the_Lip", "url": "http://www.haro-online.com/movies/off_the_lip.html"} +{"d:Title": "IMDb: Off the Lip (2004)", "d:Description": "Synopsis, trailer, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/O/Off_the_Lip", "url": "http://www.imdb.com/title/tt0223828/"} +{"d:Title": "Rotten Tomatoes: Off the Lip", "d:Description": "Reviews, trailer, and forum.", "topic": "Top/Arts/Movies/Titles/O/Off_the_Lip", "url": "http://www.rottentomatoes.com/m/off_the_lip/"} +{"d:Title": "Crazy for Cinema: Of Human Bondage (1934)", "d:Description": "Capsule review of film with Bette Davis's first major screen performance. Also stars Leslie Howard. Rated 4/4.", "topic": "Top/Arts/Movies/Titles/O/Of_Human_Bondage_-_1934", "url": "http://crazy4cinema.com/Review/FilmsO/f_human_bondage.html"} +{"d:Title": "Movie Mirrors: Of Human Bondage", "d:Description": "Plot summary and review. Rated 6/9 for entertainment value, and 7/9 for educational value.", "topic": "Top/Arts/Movies/Titles/O/Of_Human_Bondage_-_1934", "url": "http://www.san.beck.org/MM/1934/OfHumanBondage.html"} +{"d:Title": "IMDb: Of Human Bondage (1934)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, brief review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/O/Of_Human_Bondage_-_1934", "url": "http://www.imdb.com/title/tt0025586/"} +{"d:Title": "TV Guide Online: Of Human Bondage", "d:Description": "Review and credits. Rated 4/5.", "topic": "Top/Arts/Movies/Titles/O/Of_Human_Bondage_-_1934", "url": "http://www.tvguide.com/movies/human-bondage/107943/"} +{"d:Title": "IMDb: Of Mice and Men (1939)", "d:Description": "Cast/credits plus additional information for the film Of Mice and Men", "topic": "Top/Arts/Movies/Titles/O/Of_Mice_and_Men_-_1939", "url": "http://www.imdb.com/title/tt0031742/"} +{"d:Title": "IMDb: Of Mice and Men (1992)", "d:Description": "Cast/credits plus additional information for the film Of Mice and Men (1992)", "topic": "Top/Arts/Movies/Titles/O/Of_Mice_and_Men_-_1992", "url": "http://www.imdb.com/title/tt0105046/"} +{"d:Title": "IMDb - Oh, God! (1977)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Oh,_God", "url": "http://www.imdb.com/title/tt0076489/"} +{"d:Title": "TV Guide Online: Oh, God", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/Oh,_God", "url": "http://www.tvguide.com/movies/oh-god/107981/"} +{"d:Title": "Oh - What a Lovely War", "d:Description": "Original poster advertising the movie.", "topic": "Top/Arts/Movies/Titles/O/Oh_-_What_a_Lovely_War", "url": "http://www.thecinematrade.com/otitles/oh_what_a_lovely_war.htm"} +{"d:Title": "IMDb: Oh! What a Lovely War", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Oh_-_What_a_Lovely_War", "url": "http://www.imdb.com/title/tt0064754/"} +{"d:Title": "TV Guide: Oh! What a Lovely War", "d:Description": "Synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/O/Oh_-_What_a_Lovely_War", "url": "http://www.tvguide.com/movies/oh-lovely-war/107993/"} +{"d:Title": "Allreaders Review: Oklahoma", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/Oklahoma", "url": "http://allreaders.com/movie-review-summary/oklahoma-4324"} +{"d:Title": "HARO Online - Old School", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/O/Old_School_-_2003", "url": "http://www.haro-online.com/movies/old_school.html"} +{"d:Title": "All-Reviews.com - Old School", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/O/Old_School_-_2003", "url": "http://all-reviews.com/videos-5/old-school.htm"} +{"d:Title": "IMDb - Old School (2003)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/O/Old_School_-_2003", "url": "http://www.imdb.com/title/tt0302886/"} +{"d:Title": "Contactmusic.com: Old School", "d:Description": "Brief cast member profiles and production notes.", "topic": "Top/Arts/Movies/Titles/O/Old_School_-_2003", "url": "http://www.contactmusic.com/pages/oldschoolcastx15x04x03"} +{"d:Title": "All-Reviews.com - Old Yeller", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Old_Yeller", "url": "http://www.all-reviews.com/videos-3/old-yeller.htm"} +{"d:Title": "UltimateDisney.com: Old Yeller", "d:Description": "DVD review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Old_Yeller", "url": "http://www.ultimatedisney.com/oldyeller.html"} +{"d:Title": "IMDb: Oliver! (1968)", "d:Description": "Cast/credits and other information for the film Oliver!", "topic": "Top/Arts/Movies/Titles/O/Oliver", "url": "http://www.imdb.com/title/tt0063385/"} +{"d:Title": "The Flick Filosopher | Oliver!", "d:Description": "Review and discussion of the film", "topic": "Top/Arts/Movies/Titles/O/Oliver", "url": "http://www.flickfilosopher.com/1999/02/oliver-review.html"} +{"d:Title": "Allreaders Oliver! Spotlight", "d:Description": "Detailed analysis of \"Oliver\", and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/Oliver", "url": "http://allreaders.com/movie-review-summary/oliver-4041"} +{"d:Title": "TV Guide Online: Oliver", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/O/Oliver", "url": "http://www.tvguide.com/movies/oliver/108079/"} +{"d:Title": "IMDb - Oliver Twist (1948)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Oliver_Twist", "url": "http://www.imdb.com/title/tt0040662/"} +{"d:Title": "HARO Online: Megiddo", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Megiddo", "url": "http://www.haro-online.com/movies/megiddo.html"} +{"d:Title": "Metacritic.com: Megiddo", "d:Description": "Film information and links to reviews with an overall score.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Megiddo", "url": "http://www.metacritic.com/movie/megiddo-the-omega-code-2"} +{"d:Title": "IMDb: Omega Code, The (1999)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, stills.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The", "url": "http://www.imdb.com/title/tt0203408/"} +{"d:Title": "CNN - Deciphering the success of 'Omega Code' - October 26, 1999", "d:Description": "Gloria Hillard, CNN Entertainment News Correspondent provides quotes and thoughts about the film. Movie still shots and preview links.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9910/26/omega.code/"} +{"d:Title": "Let Us Reason Ministries Reviews The Omega Code", "d:Description": "A very lengthy review and editorial on the film.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Articles_and_Interviews", "url": "http://www.letusreason.org/Current15.htm"} +{"d:Title": "ChildCare Action Project: The Omega Code (1999)", "d:Description": "Reviews and scores the movie for child viewership.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.capalert.com/capreports/omegacode.htm"} +{"d:Title": "Haro Online: The Omega Code", "d:Description": "Movie review and a couple of pictures. Rated: \"Really Bad.\"", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.haro-online.com/movies/omega_code.html"} +{"d:Title": "All-Reviews.com- The Omega Code", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.all-reviews.com/videos/omega-code.htm"} +{"d:Title": "A new \"Christian\" film that leaves God's Word in the lobby", "d:Description": "\"The Omega Code\" may be good entertainment, but it is bad theology, say Dirk Eichhorst and Scott Holmgren.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.solagroup.org/articles/endtimes/et_0008.html"} +{"d:Title": "Screen It!: The Omega Code", "d:Description": "Rates the movie on such characteristics as violence, profanity, nudity, scary scenes or music. Review for parents. Also a full review of its artistic merits. Rated 1/10 for entertainment value.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.screenit.com/movies/1999/the_omega_code.html"} +{"d:Title": "Christian Spotlight on the Movies: The Omega Code", "d:Description": "Douglas Downs gives a very positive review of the movie. Responses left by viewers as well, both pro and con.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/theomegacode.html"} +{"d:Title": "Rotten-Tomatoes Omega Code Movie Information", "d:Description": "A concise listing of reviews of The Omega Movie from the nation's top critics and audiences. Includes links to movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.rottentomatoes.com/m/omega_code/"} +{"d:Title": "Signs and Blunders", "d:Description": "The Flick Filosopher reviews the movie \"The Omega Code.\"", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.flickfilosopher.com/2000/05/the-omega-code-review.html"} +{"d:Title": "TV Guide Online: Omega Code, The", "d:Description": "Cast and credits of The Omega Code, and a negative review. Rated 1/5. From CineBooks Database.", "topic": "Top/Arts/Movies/Titles/O/Omega_Code_Series/Omega_Code,_The/Reviews", "url": "http://www.tvguide.com/movies/omega-code/134299/"} +{"d:Title": "TV Guide Online: The Omega Man", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/Omega_Man,_The", "url": "http://www.tvguide.com/movies/omega-man/108088/"} +{"d:Title": "IMDb - Once (2006)", "d:Description": "Provides cast, crew, user reviews, and plot summary.", "topic": "Top/Arts/Movies/Titles/O/Once_-_2006", "url": "http://www.imdb.com/title/tt0907657/"} +{"d:Title": "Foxsearchlight - Once", "d:Description": "Podcast, reviews, photographs from the press tour and tour diary. Also provides news, the music behind the movie and live performance videos.", "topic": "Top/Arts/Movies/Titles/O/Once_-_2006", "url": "http://www.foxsearchlight.com/once/"} +{"d:Title": "Wikipedia - Once", "d:Description": "Encyclopedia article covering the movie's plot, sound track, box office performance and production.", "topic": "Top/Arts/Movies/Titles/O/Once_-_2006", "url": "http://en.wikipedia.org/wiki/Once_(film)"} +{"d:Title": "NPR Music: New-Style Musical: Hansard and Irglova in 'Once'", "d:Description": "Radio broadcast about the musical, with a brief introduction.", "topic": "Top/Arts/Movies/Titles/O/Once_-_2006", "url": "http://www.npr.org/templates/story/story.php?storyId=10146343"} +{"d:Title": "IMDb: Once Bitten (1985)", "d:Description": "Cast, crew, reviews, plot summary, comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/O/Once_Bitten", "url": "http://www.imdb.com/title/tt0089730/"} +{"d:Title": "Rotten Tomatoes: Once in the Life", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/O/Once_in_the_Life", "url": "http://www.rottentomatoes.com/m/once_in_the_life/"} +{"d:Title": "IMDb: Once in the Life (2000)", "d:Description": "Full cast links and related information.", "topic": "Top/Arts/Movies/Titles/O/Once_in_the_Life", "url": "http://www.imdb.com/title/tt0177068/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/Once_in_the_Life", "url": "http://www.metacritic.com/movie/once-in-the-life"} +{"d:Title": "IMDb - Once Upon a Time, Cinema [Nassereddin Shah, Actor-e Cinema] (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time,_Cinema", "url": "http://www.imdb.com/title/tt0104974/"} +{"d:Title": "About.com - Once Upon a Time in America", "d:Description": "Review by Ivana Redwine (positive) emphasizing DVD production values, rating.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_America", "url": "http://homevideo.about.com/library/weekly/aafpr061103.htm"} +{"d:Title": "Sergio Leone A Fistful of Leone: Once Upon a Time in America", "d:Description": "Review and synopsis. Includes sound clips and music from the movie.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_America", "url": "http://www.fistful-of-leone.com/films/ouatia.html"} +{"d:Title": "IMDb - Once Upon a Time in America", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_America", "url": "http://www.imdb.com/title/tt0087843/"} +{"d:Title": "TV Guide Online: Once Upon a Time in America", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_America", "url": "http://www.tvguide.com/movies/time-america/108178/"} +{"d:Title": "All-Reviews.com - Once Upon a Time in Mexico", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_Mexico", "url": "http://all-reviews.com/videos-5/once-upon-a-time-mexico.htm"} +{"d:Title": "HARO Online: Once Upon a Time in Mexico", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_Mexico", "url": "http://www.haro-online.com/movies/once_upon_a_time_in_mexico.html"} +{"d:Title": "SplicedWire - Once Upon a Time in Mexico", "d:Description": "Rob Blackwelder reviews the film by Robert Rodriguez.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_Mexico", "url": "http://www.splicedwire.com/03reviews/onceinmex.html"} +{"d:Title": "DreamLogic: Once Upon a Time in Mexico", "d:Description": "Review of the film by two reviewers.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_Mexico", "url": "http://www.dreamlogic.net/REVIEWS/onceuponmexico.html"} +{"d:Title": "Living La Vida Loca", "d:Description": "A forum dedicated to movie character CIA agent Sheldon Jeffrey Sands.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_Mexico", "url": "http://agentsands.proboards.com/"} +{"d:Title": "IMDB - Once upon a time in Mexico", "d:Description": "Cast and crew, synopsis and filming locations.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_Mexico", "url": "http://www.imdb.com/title/tt0285823/"} +{"d:Title": "Chicago Sun-Times: Once Upon a Time in Mexico", "d:Description": "Rogert Ebert reviews the movie written and directed by Robert Rodriguez.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_Mexico", "url": "http://www.rogerebert.com/reviews/once-upon-a-time-in-mexico-2003"} +{"d:Title": "HARO Online: Once Upon a Time in the Midlands", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_the_Midlands", "url": "http://www.haro-online.com/movies/once_upon_a_time_in_the_midlands.html"} +{"d:Title": "Rotten Tomatoes: Once Upon a Time in the Midlands", "d:Description": "Links to reviews, multimedia, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_the_Midlands", "url": "http://www.rottentomatoes.com/m/once_upon_a_time_in_the_midlands/"} +{"d:Title": "IMDb: Once Upon a Time in the Midlands (2002)", "d:Description": "Plot outline, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Once_Upon_a_Time_in_the_Midlands", "url": "http://www.imdb.com/title/tt0301684/"} +{"d:Title": "Imdb - Once Were Warriors", "d:Description": "Details of the cast, crew, links to reviews and the official site.", "topic": "Top/Arts/Movies/Titles/O/Once_Were_Warriors", "url": "http://www.imdb.com/title/tt0110729/"} +{"d:Title": "All-Reviews.com: The One", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/One,_The", "url": "http://all-reviews.com/videos-4/one.htm"} +{"d:Title": "HARO Online: The One", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/O/One,_The", "url": "http://www.haro-online.com/movies/one.html"} +{"d:Title": "IMDb: The One", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/O/One,_The", "url": "http://www.imdb.com/title/tt0267804/"} +{"d:Title": "Metacritic.com: The One", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, home video release details, and an overall rating.", "topic": "Top/Arts/Movies/Titles/O/One,_The", "url": "http://www.metacritic.com/movie/the-one"} +{"d:Title": "HARO Online - Onegin", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/O/Onegin", "url": "http://www.haro-online.com/movies/onegin.html"} +{"d:Title": "IMDb: Onegin", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/O/Onegin", "url": "http://www.imdb.com/title/tt0119079/"} +{"d:Title": "Allreaders Onegin Spotlight", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Ralph Fiennes and Liv Tyler film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/O/Onegin", "url": "http://allreaders.com/movie-review-summary/onegin-5876"} +{"d:Title": "Digital Post Production: One", "d:Description": "Article about the production of the movie, with pictures.", "topic": "Top/Arts/Movies/Titles/O/One_-_2000", "url": "http://www.digitalpostproduction.com/Htm/Articles/IndieFilms/One/One_1.htm"} +{"d:Title": "IMDb: One (2000)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/O/One_-_2000", "url": "http://www.imdb.com/title/tt0227189/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the 2000 Cannes Winner for Best Director.", "topic": "Top/Arts/Movies/Titles/O/One_and_a_Two,_A", "url": "http://www.haro-online.com/movies/yi_yi.html"} +{"d:Title": "IMDb: Yi yi (2000)", "d:Description": "Cast and crew links, user comments, and related information.", "topic": "Top/Arts/Movies/Titles/O/One_and_a_Two,_A", "url": "http://www.imdb.com/title/tt0244316/"} +{"d:Title": "AllReaders.com Yi Yi Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/O/One_and_a_Two,_A", "url": "http://allreaders.com/movie-review-summary/yi-yi-a-one-and-two-5745"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/One_and_a_Two,_A", "url": "http://www.metacritic.com/movie/yi-yi"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the 2000 Academy Award Winner for Best Documentary.", "topic": "Top/Arts/Movies/Titles/O/One_Day_in_September", "url": "http://www.haro-online.com/movies/one_day_in_september.html"} +{"d:Title": "IMDb: One Day in September (1999)", "d:Description": "Cast information, comments, synopsis, and related details.", "topic": "Top/Arts/Movies/Titles/O/One_Day_in_September", "url": "http://www.imdb.com/title/tt0230591/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/One_Day_in_September", "url": "http://www.metacritic.com/movie/one-day-in-september"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/O/One_Fine_Day", "url": "http://crazy4cinema.com/Review/FilmsO/f_onefineday.html"} +{"d:Title": "AllReaders.com Review - One Fine Day", "d:Description": "Detailed analysis of the film starring Michelle Pfeiffer and George Clooney, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/One_Fine_Day", "url": "http://allreaders.com/movie-review-summary/one-fine-day-4076"} +{"d:Title": "TV Guide Online: One Fine Day", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/One_Fine_Day", "url": "http://www.tvguide.com/movies/fine-day/131962/"} +{"d:Title": "One Flew Over The Cuckoo's Nest (1975)", "d:Description": "Greatest Films - Review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/O/One_Flew_Over_the_Cuckoo's_Nest", "url": "http://www.filmsite.org/onef.html"} +{"d:Title": "IMDb: One Flew Over the Cuckoo's Nest (1975)", "d:Description": "Cast/credits plus other information for the film One Flew Over the Cuckoo's Nest", "topic": "Top/Arts/Movies/Titles/O/One_Flew_Over_the_Cuckoo's_Nest", "url": "http://www.imdb.com/title/tt0073486/"} +{"d:Title": "Allreaders.com: \"One Flew Over the Cuckoo's Nest\"", "d:Description": "Detailed analysis of the Jack Nicholson film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/One_Flew_Over_the_Cuckoo's_Nest", "url": "http://allreaders.com/movie-review-summary/one-flew-over-the-cuckoos-nest-3893"} +{"d:Title": "IMDb: One from the Heart (1982)", "d:Description": "Full cast and crew, trivia, synopsis, quotations, soundtrack details, user reviews and ratings, message board, production information, and links.", "topic": "Top/Arts/Movies/Titles/O/One_from_the_Heart", "url": "http://www.imdb.com/title/tt0084445/"} +{"d:Title": "Combustible Celluloid: One from the Heart", "d:Description": "Review of the film by Jeffrey M. Anderson: \"...turns out to be a hugely personal film, a technological wonder years ahead of its time.\"", "topic": "Top/Arts/Movies/Titles/O/One_from_the_Heart", "url": "http://www.combustiblecelluloid.com/onefromhe.shtml"} +{"d:Title": "Rotten Tomatoes: One from the Heart", "d:Description": "Reviews of the film and an overall rating.", "topic": "Top/Arts/Movies/Titles/O/One_from_the_Heart", "url": "http://www.rottentomatoes.com/m/one_from_the_heart/"} +{"d:Title": "Turner Classic Movies: One From the Heart", "d:Description": "Synopsis, music, original print details, awards, review, and technical specifications.", "topic": "Top/Arts/Movies/Titles/O/One_from_the_Heart", "url": "http://www.tcm.com/tcmdb/title/85673/One-From-the-Heart/"} +{"d:Title": "allmovie: One from the Heart", "d:Description": "Film overview, expanded synopsis, review, credits, and a listing of similar films.", "topic": "Top/Arts/Movies/Titles/O/One_from_the_Heart", "url": "http://www.allmovie.com/movie/v36453"} +{"d:Title": "All-Reviews.com: One Hour Photo", "d:Description": "Collection of mostly favorable reviews.", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://www.all-reviews.com/videos-4/one-hour-photo.htm"} +{"d:Title": "HARO Online: One Hour Photo", "d:Description": "Mongoose's review: \"Williams' best performance in a long time...\" Rated \"pretty good.\"", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://www.haro-online.com/movies/one_hour_photo.html"} +{"d:Title": "The New York Times: That Orderly World of His? It's About to Explode", "d:Description": "Elvis Mitchell's mostly favorable review: \"However underpopulated, the movie is thick with concentration.\" [Free registration required]", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://www.nytimes.com/2002/08/21/movies/21PHOT.html"} +{"d:Title": "About.com - One Hour Photo", "d:Description": "Predominantly positive review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://homevideo.about.com/library/weekly/aafpr022703.htm"} +{"d:Title": "MoreThings.com: One Hour Photo", "d:Description": "A critical appreciation of this psychological thriller.", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://www.morethings.com/fan/one_hour_photo.htm"} +{"d:Title": "USA Today: Williams imprints 'One Hour Photo\"", "d:Description": "Claudia Puig's review: \"'One Hour Photo' takes Robin Williams to darker and more complex places that we can't turn our eyes away from.\"", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://usatoday30.usatoday.com/life/movies/2002-08-20-one-hour-photo_x.htm"} +{"d:Title": "Internet Movie Database: One Hour Photo", "d:Description": "Synopsis, cast and crew, reviews, trivia, soundtrack listing, promotional and technical details, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://www.imdb.com/title/tt0265459/"} +{"d:Title": "Chicago Sun-Times - One Hour Photo", "d:Description": "Roger Ebert's review: \"The movie at first seems soundly grounded in everyday reality, in the routine of a predictable job. When Romanek departs from reality, he does it subtly, sneakily, so that we believe what we see until he pulls the plug.\" 3.5 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://www.rogerebert.com/reviews/one-hour-photo-2002"} +{"d:Title": "Contactmusic.com: One Hour Photo", "d:Description": "Synopsis, review, brief cast profiles, interview with Robin Williams, production notes, and trailer.", "topic": "Top/Arts/Movies/Titles/O/One_Hour_Photo", "url": "http://www.contactmusic.com/pages/onehourphotox09x09x02"} +{"d:Title": "IMDb - One Man and His Dog (De Man met de Hond) (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/One_Man_and_His_Dog", "url": "http://www.imdb.com/title/tt0188047/"} +{"d:Title": "One Million B.C. (1940)", "d:Description": "Dave Sindelar describes it as \"Romeo and Juliet\" with dinosaurs.", "topic": "Top/Arts/Movies/Titles/O/One_Million_B.C.", "url": "http://www.scifilm.org/musing108.html"} +{"d:Title": "One Million B.C.", "d:Description": "Plot summary and the ideological implications of the film.", "topic": "Top/Arts/Movies/Titles/O/One_Million_B.C.", "url": "http://public.wsu.edu/~delahoyd/one.mill.b.c.html"} +{"d:Title": "One Million B.C. (1940)", "d:Description": "Cast and crew details from the Internet Movie Database for the Victor Mature/Carole Landis version of this story.", "topic": "Top/Arts/Movies/Titles/O/One_Million_B.C.", "url": "http://www.imdb.com/title/tt0032871/"} +{"d:Title": "AllMovie: One Million B.C.", "d:Description": "Overview, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/O/One_Million_B.C.", "url": "http://www.allmovie.com/movie/one-million-bc-v36385"} +{"d:Title": "Rotten Tomatoes: One Missed Call", "d:Description": "Synopsis, cast members, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/O/One_Missed_Call", "url": "http://www.rottentomatoes.com/m/one_missed_call/"} +{"d:Title": "The Boston Globe: One Missed Call", "d:Description": "Review, by Wesley Morris: \"One Missed Call was originally a so-so Takashi Miike freak-out. Now it's a worse-worse American eyesore.\" [Rating: 0.5 out of 4]", "topic": "Top/Arts/Movies/Titles/O/One_Missed_Call", "url": "http://www.boston.com/ae/movies/articles/2008/01/05/in_missed_call_plot_is_hopelessly_disconnected/"} +{"d:Title": "IGN Movies: One Missed Call", "d:Description": "News, photos, videos, message board, and a review (rating: 1 out of 5).", "topic": "Top/Arts/Movies/Titles/O/One_Missed_Call", "url": "http://www.ign.com/movies/one-missed-call/theater-774703"} +{"d:Title": "MovieWeb: One Missed Call (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/O/One_Missed_Call", "url": "http://www.movieweb.com/movie/one-missed-call-2008"} +{"d:Title": "ReelViews: One Missed Call", "d:Description": "Review, by James Berardinelli: \"Uninspired and painfully familiar.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/O/One_Missed_Call", "url": "http://www.reelviews.net/reelviews/one-missed-call"} +{"d:Title": "TV Guide: One Missed Call", "d:Description": "Review, by Ken Fox: \"The result is yet another tired, ultimately incoherent horror movie that undoes the promise of its pretty good premise and potentially interesting story structure with dull scares, sloppy ending and a pair of unconvincing, leaden lead performances.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/O/One_Missed_Call", "url": "http://www.tvguide.com/movies/missed/review/291996/"} +{"d:Title": "IMDb: \"One More Kiss\"", "d:Description": "Cast, crew, reviews, soundtrack listing, trailers and message board.", "topic": "Top/Arts/Movies/Titles/O/One_More_Kiss", "url": "http://www.imdb.com/title/tt0229625/"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/O/One_Night_at_McCool's", "url": "http://www.all-reviews.com/videos-3/one-night-at-mccools.htm"} +{"d:Title": "HARO Online", "d:Description": "Three paragraph review.", "topic": "Top/Arts/Movies/Titles/O/One_Night_at_McCool's", "url": "http://www.haro-online.com/movies/one_night_at_mccools.html"} +{"d:Title": "Rotten Tomatoes: One Night at McCool's", "d:Description": "Reviews, news, and multimedia.", "topic": "Top/Arts/Movies/Titles/O/One_Night_at_McCool's", "url": "http://www.rottentomatoes.com/m/one_night_at_mccools/"} +{"d:Title": "Done Them Wrong: A Bad Babe for a Good Time", "d:Description": "Critique, with a brief plot synopsis and production details. By Elvis Mitchell [New York Times].", "topic": "Top/Arts/Movies/Titles/O/One_Night_at_McCool's", "url": "http://www.nytimes.com/2001/04/27/movies/film-review-done-them-wrong-a-bad-babe-for-a-good-time.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/One_Night_at_McCool's", "url": "http://www.metacritic.com/movie/one-night-at-mccools"} +{"d:Title": "AllReaders.com Review: One Night at McCool's", "d:Description": "Analysis of the Liv Tyler and Matt Dillon film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/One_Night_at_McCool's", "url": "http://allreaders.com/movie-review-summary/one-night-at-mccools-5875"} +{"d:Title": "All-Reviews.com: One Night Stand", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/One_Night_Stand", "url": "http://all-reviews.com/videos-4/one-night-stand.htm"} +{"d:Title": "IMDb - One Night Stand (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/One_Night_Stand", "url": "http://www.imdb.com/title/tt0119832/"} +{"d:Title": "All-Reviews.com - One Tough Cop", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/O/One_Tough_Cop", "url": "http://www.all-reviews.com/videos/one-tough-cop.htm"} +{"d:Title": "IMDb - One Tough Cop (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/One_Tough_Cop", "url": "http://www.imdb.com/title/tt0122642/"} +{"d:Title": "Roger Ebert - One Tough Cop", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/O/One_Tough_Cop", "url": "http://www.rogerebert.com/reviews/one-tough-cop-1998"} +{"d:Title": "TV Guide Online: One Tough Cop", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/One_Tough_Cop", "url": "http://www.tvguide.com/movies/tough-cop/133667/"} +{"d:Title": "All-Reviews.com: One True Thing", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/O/One_True_Thing", "url": "http://www.all-reviews.com/videos/one-true-thing.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "One True Thing - Compilation/summary of reviews of the film", "topic": "Top/Arts/Movies/Titles/O/One_True_Thing", "url": "http://www.rottentomatoes.com/m/one_true_thing/"} +{"d:Title": "IMDb - One True Thing (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/One_True_Thing", "url": "http://www.imdb.com/title/tt0120776/"} +{"d:Title": "TV Guide Online: One True Thing", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/One_True_Thing", "url": "http://www.tvguide.com/movies/one-true-thing/133295/"} +{"d:Title": "Internet Movie Database: Ong-bak", "d:Description": "Cast and crew, user comments and ratings, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/O/Ong-Bak_-_Muay_Thai_Warrior", "url": "http://imdb.com/title/tt0368909/"} +{"d:Title": "Ong-Bak Generation Video", "d:Description": "Fan site with news, photographs, home video details,and links.", "topic": "Top/Arts/Movies/Titles/O/Ong-Bak_-_Muay_Thai_Warrior", "url": "http://fabdial.free.fr/"} +{"d:Title": "Washingtonpost.com", "d:Description": "Only The Lonely reviewed by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/O/Only_the_Lonely", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/onlythelonelypg13kempley_a0a10a.htm"} +{"d:Title": "Imdb.com", "d:Description": "Review of Only The Lonely, by Roger Snappy Rubio.", "topic": "Top/Arts/Movies/Titles/O/Only_the_Lonely", "url": "http://www.imdb.com/reviews/10/1021.html"} +{"d:Title": "TV Guide Online: Only The Lonely", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/O/Only_the_Lonely", "url": "http://www.tvguide.com/movies/lonely/128498/"} +{"d:Title": "All-Reviews.com - Only You", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Only_You", "url": "http://www.all-reviews.com/videos-2/only-you.htm"} +{"d:Title": "IMDb: On Golden Pond", "d:Description": "Cast/credits plus additional information for the film On Golden Pond", "topic": "Top/Arts/Movies/Titles/O/On_Golden_Pond", "url": "http://www.imdb.com/title/tt0082846/"} +{"d:Title": "MRQE: On Golden Pond (1981)", "d:Description": "Reviews.", "topic": "Top/Arts/Movies/Titles/O/On_Golden_Pond", "url": "http://www.mrqe.com/movie_reviews/on-golden-pond-m100040121"} +{"d:Title": "IMDb - Bossu, Le (1997)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/O/On_Guard_-_1997", "url": "http://imdb.com/title/tt0118756/"} +{"d:Title": "HARO Online - On Guard!", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/O/On_Guard_-_1997", "url": "http://www.haro-online.com/movies/on_guard.html"} +{"d:Title": "IMDb - On_Line (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/O/On_Line", "url": "http://imdb.com/title/tt0302889/"} +{"d:Title": "HARO Online - On_Line", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/O/On_Line", "url": "http://www.haro-online.com/movies/on_line.html"} +{"d:Title": "IMDb: On the Beach", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/O/On_the_Beach", "url": "http://www.imdb.com/title/tt0053137/"} +{"d:Title": "All-Reviews.com: On the Line", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/On_the_Line_-_2001", "url": "http://all-reviews.com/videos-4/on-the-line.htm"} +{"d:Title": "IMDb: On the Line (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/On_the_Line_-_2001", "url": "http://www.imdb.com/title/tt0279286/"} +{"d:Title": "Arco Films: On the Run", "d:Description": "Official site with synopsis, trailer, credits, stills, and distribution details.", "topic": "Top/Arts/Movies/Titles/O/On_the_Run", "url": "http://www.arcofilms.com/ontherun/ontherunindex.html"} +{"d:Title": "Metacritic.com: On the Ropes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/On_the_Run", "url": "http://www.metacritic.com/movie/on-the-ropes"} +{"d:Title": "Cinema Laser - On the Town", "d:Description": "Review by Derek M. Germano (positive) with emphasis on DVD production values.", "topic": "Top/Arts/Movies/Titles/O/On_the_Town_-_1949", "url": "http://www.thecinemalaser.com/dvd_reviews/on-the-town-dvd.htm"} +{"d:Title": "IMDb - On the Town (1949)", "d:Description": "Cast, credits, awards, production information.", "topic": "Top/Arts/Movies/Titles/O/On_the_Town_-_1949", "url": "http://www.imdb.com/title/tt0041716/"} +{"d:Title": "IMDb - User Comments - Index", "d:Description": "Links to multiple pseudonymously signed reviews (predominantly positive).", "topic": "Top/Arts/Movies/Titles/O/On_the_Town_-_1949", "url": "http://www.imdb.com/title/tt0041716/usercomments"} +{"d:Title": "DVD Talk - On the Town", "d:Description": "Review by Chris Hughes (positive) with emphasis on DVD production values.", "topic": "Top/Arts/Movies/Titles/O/On_the_Town_-_1949", "url": "http://www.dvdtalk.com/reviews/1527/on-the-town/"} +{"d:Title": "Cinebooks Database - On the Town", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/O/On_the_Town_-_1949", "url": "http://www.tvguide.com/movies/town/108136/"} +{"d:Title": "Greatest Films: On the Waterfront (1954)", "d:Description": "Detailed review, synopsis and discussion of thematic elements.", "topic": "Top/Arts/Movies/Titles/O/On_the_Waterfront", "url": "http://www.filmsite.org/onth.html"} +{"d:Title": "All-Reviews.com: On the Waterfront", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/O/On_the_Waterfront", "url": "http://www.all-reviews.com/videos-4/on-the-waterfront.htm"} +{"d:Title": "IMDb: On the Waterfront (1954)", "d:Description": "Cast/credits plus additional information for the film.", "topic": "Top/Arts/Movies/Titles/O/On_the_Waterfront", "url": "http://www.imdb.com/title/tt0047296/"} +{"d:Title": "Allreaders.com: \"On the Waterfront\" Review", "d:Description": "Detailed analysis of the Marlon Brando film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/On_the_Waterfront", "url": "http://allreaders.com/movie-review-summary/on-the-waterfront-5608"} +{"d:Title": "IMDb - Open Mic (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Open_Mic", "url": "http://www.imdb.com/title/tt0237523/"} +{"d:Title": "All-Reviews.com - Open Range", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/O/Open_Range", "url": "http://all-reviews.com/videos-5/open-range.htm"} +{"d:Title": "Open Range", "d:Description": "Review from Haro Online.", "topic": "Top/Arts/Movies/Titles/O/Open_Range", "url": "http://www.haro-online.com/movies/open_range.html"} +{"d:Title": "IMDb: Open Range (2003)", "d:Description": "Cast, crew, plot summary, reviews, and related movie data.", "topic": "Top/Arts/Movies/Titles/O/Open_Range", "url": "http://www.imdb.com/title/tt0316356/"} +{"d:Title": "HARO Online: Open Water", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Open_Water", "url": "http://www.haro-online.com/movies/open_water.html"} +{"d:Title": "IMDb: Open Water (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/O/Open_Water", "url": "http://www.imdb.com/title/tt0374102/"} +{"d:Title": "Rotten Tomatoes: Open Water", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/O/Open_Water", "url": "http://www.rottentomatoes.com/m/open_water/"} +{"d:Title": "Chicago Sun-Times: Open Water", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/O/Open_Water", "url": "http://www.rogerebert.com/reviews/open-water-2004"} +{"d:Title": "HARO Online - Open Your Eyes", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/O/Open_Your_Eyes", "url": "http://www.haro-online.com/movies/open_your_eyes.html"} +{"d:Title": "IMDb - Open Your Eyes (Abre los ojos) (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Open_Your_Eyes", "url": "http://www.imdb.com/title/tt0125659/"} +{"d:Title": "TV Guide Online: Open Your Eyes", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/Open_Your_Eyes", "url": "http://www.tvguide.com/movies/open-eyes/133568/"} +{"d:Title": "All-Reviews.com - Operation Dumbo Drop", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Operation_Dumbo_Drop", "url": "http://www.all-reviews.com/videos-2/operation-dumbo-drop.htm"} +{"d:Title": "Operation Dumbo Drop (1995)", "d:Description": "IMDb listing with credits, summary, reviews and recommendations, and links.", "topic": "Top/Arts/Movies/Titles/O/Operation_Dumbo_Drop", "url": "http://www.imdb.com/title/tt0114048/"} +{"d:Title": "IMDB : Yapian zhanzheng (1997)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/O/Opium_War,_The", "url": "http://www.imdb.com/title/tt0120538/"} +{"d:Title": "All-Reviews.com: The Opposite of Sex", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/O/Opposite_of_Sex,_The", "url": "http://www.all-reviews.com/videos/opposite-of-sex.htm"} +{"d:Title": "The Opposite of Sex", "d:Description": "\"For the most part it was sabotaged by the narrative device used to communicate the story.\" Review by Avia Midons. [h2so4 Magazine #11]", "topic": "Top/Arts/Movies/Titles/O/Opposite_of_Sex,_The", "url": "http://www.h2so4.net/reviews/oppositesex.html"} +{"d:Title": "IMDb: The Opposite of Sex", "d:Description": "Cast overview, synopsis, and related information.", "topic": "Top/Arts/Movies/Titles/O/Opposite_of_Sex,_The", "url": "http://www.imdb.com/title/tt0120777/"} +{"d:Title": "Metacritic.com: The Opposite of Sex", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/O/Opposite_of_Sex,_The", "url": "http://www.metacritic.com/movie/the-opposite-of-sex"} +{"d:Title": "Rotten Tomatoes: Oranges Are Not the Only Fruit", "d:Description": "Reviews.", "topic": "Top/Arts/Movies/Titles/O/Oranges_Are_Not_the_Only_Fruit", "url": "http://www.rottentomatoes.com/m/oranges_are_not_the_only_fruit/"} +{"d:Title": "IMDb: Oranges Are Not the Only Fruit", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Oranges_Are_Not_the_Only_Fruit", "url": "http://www.imdb.com/title/tt0098032/"} +{"d:Title": "Yahoo! Groups: Orange County Movie", "d:Description": "Fan discussion group dedicated to the film. [Requires Yahoo! membership to view].", "topic": "Top/Arts/Movies/Titles/O/Orange_County", "url": "http://groups.yahoo.com/group/orangecountymovie/"} +{"d:Title": "All-Reviews.com: Orange County", "d:Description": "Review by Dustin Putman, with links to other reviews of the film.", "topic": "Top/Arts/Movies/Titles/O/Orange_County", "url": "http://www.all-reviews.com/videos-4/orange-county.htm"} +{"d:Title": "HARO Online: Orange County", "d:Description": "Review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/O/Orange_County", "url": "http://www.haro-online.com/movies/orange_county.html"} +{"d:Title": "IMDb: Orange County", "d:Description": "Cast and crew, production details, reviews, synopsis, quotes, trivia, multimedia, technical specifications, and related links.", "topic": "Top/Arts/Movies/Titles/O/Orange_County", "url": "http://www.imdb.com/title/tt0273923/"} +{"d:Title": "Metacritic.com: Orange County", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/O/Orange_County", "url": "http://www.metacritic.com/movie/orange-county"} +{"d:Title": "IMDb: The Order", "d:Description": "Cast and crew, reviews, technical specifications, and multimedia downloads.", "topic": "Top/Arts/Movies/Titles/O/Order,_The_-_2001", "url": "http://www.imdb.com/title/tt0193364/"} +{"d:Title": "All-Reviews.com - The Order (2003)", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/O/Order,_The_-_2003", "url": "http://www.all-reviews.com/videos-5/order.htm"} +{"d:Title": "Internet Movie Database: Order, The (2003)", "d:Description": "Synopsis, cast and crew, user ratings and comments, reviews, quotations, trivia, production and distribution information, photographs, media, downloads, and links.", "topic": "Top/Arts/Movies/Titles/O/Order,_The_-_2003", "url": "http://www.imdb.com/title/tt0304711/"} +{"d:Title": "IMDb - Ordinary Decent Criminal", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Ordinary_Decent_Criminal", "url": "http://www.imdb.com/title/tt0160611/"} +{"d:Title": "Ordinary Decent Criminal (2000): Reviews and Preview", "d:Description": "Reviews of the movie. Also includes movie information, trailer, poster, photos, and news.", "topic": "Top/Arts/Movies/Titles/O/Ordinary_Decent_Criminal", "url": "http://www.rottentomatoes.com/m/ordinary-decent-criminal/"} +{"d:Title": "IMDb", "d:Description": "Plot summary, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/O/Ordinary_People", "url": "http://www.imdb.com/title/tt0081283/"} +{"d:Title": "The Flick Filosopher", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Ordinary_People", "url": "http://www.flickfilosopher.com/1999/03/ordinary-people-review.html"} +{"d:Title": "Ordinary People", "d:Description": "Plot summary and comments focusing on the therapy aspects of the movie.", "topic": "Top/Arts/Movies/Titles/O/Ordinary_People", "url": "http://medhum.med.nyu.edu/view/10067"} +{"d:Title": "All-Reviews.com: Orgazmo", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/Orgazmo", "url": "http://all-reviews.com/videos-4/orgazmo.htm"} +{"d:Title": "IMDb - Orgazmo (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Orgazmo", "url": "http://www.imdb.com/title/tt0124819/"} +{"d:Title": "Rotten Tomatoes: The Original Kings of Comedy", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/O/Original_Kings_of_Comedy,_The", "url": "http://www.rottentomatoes.com/m/original_kings_of_comedy/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/Original_Kings_of_Comedy,_The", "url": "http://www.metacritic.com/movie/the-original-kings-of-comedy"} +{"d:Title": "IMDb: The Original Kings of Comedy (2000)", "d:Description": "Full cast information, synopsis, and reviews from users.", "topic": "Top/Arts/Movies/Titles/O/Original_Kings_of_Comedy,_The", "url": "http://www.imdb.com/title/tt0236388/"} +{"d:Title": "All-Reviews.com: Original Sin", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/Original_Sin_-_2001", "url": "http://www.all-reviews.com/videos-3/original-sin.htm"} +{"d:Title": "HARO Online: Original Sin", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Original_Sin_-_2001", "url": "http://www.haro-online.com/movies/original_sin.html"} +{"d:Title": "IMDb: Original Sin (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Original_Sin_-_2001", "url": "http://www.imdb.com/title/tt0218922/"} +{"d:Title": "Metacritic.com: Original Sin", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, home video details, and rating.", "topic": "Top/Arts/Movies/Titles/O/Original_Sin_-_2001", "url": "http://www.metacritic.com/movie/original-sin"} +{"d:Title": "Chicago Sun-Times: Original Sin", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/O/Original_Sin_-_2001", "url": "http://www.rogerebert.com/reviews/original-sin-2001"} +{"d:Title": "HARO Online: Orwell Rolls in His Grave", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Orwell_Rolls_in_His_Grave", "url": "http://www.haro-online.com/movies/orwell_rolls.html"} +{"d:Title": "IMDb: Orwell Rolls in His Grave (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/O/Orwell_Rolls_in_His_Grave", "url": "http://www.imdb.com/title/tt0410407/"} +{"d:Title": "Rotten Tomatoes: Orwell Rolls In His Grave", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/O/Orwell_Rolls_in_His_Grave", "url": "http://www.rottentomatoes.com/m/orwell_rolls_in_his_grave/"} +{"d:Title": "HARO Online: Osama", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Osama", "url": "http://www.haro-online.com/movies/osama.html"} +{"d:Title": "IMDb: Osama (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/O/Osama", "url": "http://www.imdb.com/title/tt0368913/"} +{"d:Title": "Rotten Tomatoes: Osama", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/O/Osama", "url": "http://www.rottentomatoes.com/m/osama/"} +{"d:Title": "Future Movies: Osama", "d:Description": "Review by Christopher Gow.", "topic": "Top/Arts/Movies/Titles/O/Osama", "url": "http://www.futuremovies.co.uk/reviews/osama/guest"} +{"d:Title": "Chicago Sun-Times: Osama", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/O/Osama", "url": "http://www.rogerebert.com/reviews/osama-2004"} +{"d:Title": "IMDB- Oscar and Lucinda (1997)", "d:Description": "Details about the cast and crew, user comments, plot summary, links to external reviews, photographs and miscellaneous links.", "topic": "Top/Arts/Movies/Titles/O/Oscar_and_Lucinda", "url": "http://www.imdb.com/title/tt0119843/"} +{"d:Title": "Cosmopolis - The Osterman Weekend", "d:Description": "Review of Sam Peckinpah's film with Rutger Hauer, John Hurt, Burt Lancaster, et al. With a biography of Sam Peckinpah.", "topic": "Top/Arts/Movies/Titles/O/Osterman_Weekend,_The", "url": "http://www.cosmopolis.ch/english/cosmo6/osterman.htm"} +{"d:Title": "IMDb - The Osterman Weekend (1983)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Osterman_Weekend,_The", "url": "http://www.imdb.com/title/tt0086058/"} +{"d:Title": "TV Guide Online: The Osterman Weekend", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/O/Osterman_Weekend,_The", "url": "http://www.tvguide.com/movies/osterman-weekend/108412/"} +{"d:Title": "All-Reviews.com - Othello (1995)", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Othello", "url": "http://www.all-reviews.com/videos-3/othello-1995.htm"} +{"d:Title": "IMDb: Othello (1995)", "d:Description": "Synopsis, cast and crew,and user comments.", "topic": "Top/Arts/Movies/Titles/O/Othello", "url": "http://www.imdb.com/title/tt0114057/"} +{"d:Title": "Rotten Tomatoes: The Other", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/O/Other,_The", "url": "http://www.rottentomatoes.com/m/1015710-other/"} +{"d:Title": "IMDb: The Other (1972)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Other,_The", "url": "http://www.imdb.com/title/tt0069050/"} +{"d:Title": "All-Reviews.com: The Others", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/Others,_The", "url": "http://www.all-reviews.com/videos-3/others.htm"} +{"d:Title": "HARO Online: The Others", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Others,_The", "url": "http://www.haro-online.com/movies/others.html"} +{"d:Title": "Cosmospolis: The Others", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Others,_The", "url": "http://www.cosmopolis.ch/english/cosmo23/the_others.htm"} +{"d:Title": "Rotten Tomatoes: The Others", "d:Description": "Links to reviews, synopsis, cast and crew information, multimedia, and forum", "topic": "Top/Arts/Movies/Titles/O/Others,_The", "url": "http://www.rottentomatoes.com/m/1109257-others/"} +{"d:Title": "IMDb: The Others (2001)", "d:Description": "Plot outline, cast and crew listings, and comments.", "topic": "Top/Arts/Movies/Titles/O/Others,_The", "url": "http://www.imdb.com/title/tt0230600/"} +{"d:Title": "Chicago Sun-Times: The Others", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/O/Others,_The", "url": "http://www.rogerebert.com/reviews/the-others-2001"} +{"d:Title": "Metacritic.com: The Others", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, and rating.", "topic": "Top/Arts/Movies/Titles/O/Others,_The", "url": "http://www.metacritic.com/movie/the-others"} +{"d:Title": "Rotten Tomatoes: The Other Boleyn Girl", "d:Description": "Synopsis, cast list, photos, videos, showtimes, review links, and news.", "topic": "Top/Arts/Movies/Titles/O/Other_Boleyn_Girl,_The", "url": "http://www.rottentomatoes.com/m/other_boleyn_girl/"} +{"d:Title": "IGN Movies: The Other Boleyn Girl", "d:Description": "Video, photos, message board, and news.", "topic": "Top/Arts/Movies/Titles/O/Other_Boleyn_Girl,_The", "url": "http://www.ign.com/movies/the-other-boleyn-girl/theater-836639"} +{"d:Title": "MovieWeb: The Other Boleyn Girl (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/O/Other_Boleyn_Girl,_The", "url": "http://www.movieweb.com/movie/the-other-boleyn-girl"} +{"d:Title": "Plume-Noire - The Other Conquest", "d:Description": "Review of the film by Anji Milanovic.", "topic": "Top/Arts/Movies/Titles/O/Other_Conquest,_The", "url": "http://www.plume-noire.com/movies/reviews/theotherconquest.html"} +{"d:Title": "IMDb - The Other Conquest [La Otra Conquista] (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Other_Conquest,_The", "url": "http://www.imdb.com/title/tt0175996/"} +{"d:Title": "MoreThings.com: Other People's Money", "d:Description": "A critical examination of the movie and the underlying political and philosophical themes", "topic": "Top/Arts/Movies/Titles/O/Other_People's_Money", "url": "http://www.morethings.com/fan/other_peoples_money.htm"} +{"d:Title": "TV Guide Online: Other People's Money", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/O/Other_People's_Money", "url": "http://www.tvguide.com/movies/peoples-money/128496/"} +{"d:Title": "IMDb - The Other Side of Gentlemen (Gwan ji ho kau) (1984)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Other_Side_of_Gentlemen,_The", "url": "http://www.imdb.com/title/tt0087522/"} +{"d:Title": "HARO Online: The Other Side of Heaven", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/O/Other_Side_of_Heaven,_The", "url": "http://www.haro-online.com/movies/other_side_of_heaven.html"} +{"d:Title": "IMDb: The Other Side of Heaven (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Other_Side_of_Heaven,_The", "url": "http://www.imdb.com/title/tt0250371/"} +{"d:Title": "Rotten Tomatoes: The Other Side of Heaven", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/O/Other_Side_of_Heaven,_The", "url": "http://www.rottentomatoes.com/m/the_other_side_of_heaven/"} +{"d:Title": "Metacritic: The Other Side of Heaven", "d:Description": "Synopsis, quotes from and links to reviews of the film, viewer comments, and overall rating.", "topic": "Top/Arts/Movies/Titles/O/Other_Side_of_Heaven,_The", "url": "http://www.metacritic.com/movie/the-other-side-of-heaven"} +{"d:Title": "All-Reviews.com - The Other Sister", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/O/Other_Sister,_The", "url": "http://www.all-reviews.com/videos/other-sister.htm"} +{"d:Title": "IMDb: The Other Sister", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/O/Other_Sister,_The", "url": "http://www.imdb.com/title/tt0123209/"} +{"d:Title": "The Other Sister", "d:Description": "Film review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/O/Other_Sister,_The", "url": "http://www.rogerebert.com/reviews/the-other-sister-1999"} +{"d:Title": "Little Rascals - Our Gang", "d:Description": "The Little Rascals are described and portrayed on this site.", "topic": "Top/Arts/Movies/Titles/O/Our_Gang_Series", "url": "http://www.rivalquest.com/ourgang"} +{"d:Title": "The Heustess Family Website", "d:Description": "Little Rascals multimedia and links.", "topic": "Top/Arts/Movies/Titles/O/Our_Gang_Series", "url": "http://heustess.com/"} +{"d:Title": "Our Gang", "d:Description": "Little Rascals sound bytes.", "topic": "Top/Arts/Movies/Titles/O/Our_Gang_Series", "url": "http://jayp.net/pix/ourgang.htm"} +{"d:Title": "Little Rascals/Our Gang Webring", "d:Description": "Links to webpages about the series.", "topic": "Top/Arts/Movies/Titles/O/Our_Gang_Series", "url": "http://www.webring.org/hub?ring=littlerascals"} +{"d:Title": "Les Mis\u00e9rascals", "d:Description": "The Little Rascals do Les Miserables. Unbelievable.", "topic": "Top/Arts/Movies/Titles/O/Our_Gang_Series", "url": "http://plantation.tripod.com/rascals1.htm"} +{"d:Title": "HARO Online: Our Lady of the Assassins", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Our_Lady_of_the_Assassins", "url": "http://www.haro-online.com/movies/our_lady_of_the_assassins.html"} +{"d:Title": "Rotten Tomatoes: Our Lady of the Assassins", "d:Description": "Links to reviews, multimediea, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/O/Our_Lady_of_the_Assassins", "url": "http://www.rottentomatoes.com/m/our_lady_of_the_assassins/"} +{"d:Title": "IMDb: Our Lady of the Assassins (2000)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Our_Lady_of_the_Assassins", "url": "http://www.imdb.com/title/tt0250809/"} +{"d:Title": "IMDb: Our Man in Havana", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Our_Man_in_Havana", "url": "http://www.imdb.com/title/tt0054152/"} +{"d:Title": "HARO Online - Our Song", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/O/Our_Song", "url": "http://www.haro-online.com/movies/our_song.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/Our_Song", "url": "http://www.metacritic.com/movie/our-song"} +{"d:Title": "All-Reviews.com: The Out-of-Towners", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/Out-of-Towners,_The_-_1999", "url": "http://www.all-reviews.com/videos-3/out-of-towners.htm"} +{"d:Title": "IMDb - The Out-of-Towners (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Out-of-Towners,_The_-_1999", "url": "http://www.imdb.com/title/tt0129280/"} +{"d:Title": "All-Reviews.com - Outbreak", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Outbreak", "url": "http://www.all-reviews.com/videos-2/outbreak.htm"} +{"d:Title": "HARO Online: Outfoxed - Rupert Murdoch's War on Journalism", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/O/Outfoxed_-_Rupert_Murdoch's_War_on_Journalism", "url": "http://www.haro-online.com/movies/outfoxed.html"} +{"d:Title": "IMDb: Outfoxed - Rupert Murdoch's War on Journalism (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/O/Outfoxed_-_Rupert_Murdoch's_War_on_Journalism", "url": "http://www.imdb.com/title/tt0418038/"} +{"d:Title": "Rotten Tomatoes: Outfoxed", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/O/Outfoxed_-_Rupert_Murdoch's_War_on_Journalism", "url": "http://www.rottentomatoes.com/m/outfoxed/"} +{"d:Title": "All-Reviews.com - Outland", "d:Description": "Review of the 1981 movie.", "topic": "Top/Arts/Movies/Titles/O/Outland", "url": "http://www.all-reviews.com/videos-4/outland.htm"} +{"d:Title": "IMDb.com - Outland", "d:Description": "Plot summary, cast credits and reader comments.", "topic": "Top/Arts/Movies/Titles/O/Outland", "url": "http://www.imdb.com/title/tt0082869/"} +{"d:Title": "Philadelphia Inquirer: Outlander", "d:Description": "Review, by Steven Rea: \"An enjoyably goofy hybrid of extraterrestrial sci-fi and Iron Age action, Outlander boasts a super-serious Jim Caviezel in the title role.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/O/Outlander", "url": "http://www.philly.com/inquirer/columnists/steven_rea/20090123_Merging_extraterrestrial_sci-fi_with_some_Viking-age_action.html"} +{"d:Title": "Rotten Tomatoes: Outlander", "d:Description": "Synopsis, cast members, showtimes, trailer, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/O/Outlander", "url": "http://www.rottentomatoes.com/m/outlander/"} +{"d:Title": "SmartCine: Outlander", "d:Description": "Review by Cine Marcos. \"...the weaponry, the high tech gadgets, and the village itself contributed to the effectiveness of the story.\"", "topic": "Top/Arts/Movies/Titles/O/Outlander", "url": "http://www.smartcine.com/outlander_review.html"} +{"d:Title": "The Boston Globe: Outlander", "d:Description": "Review, by Wesley Morris: \"By taking nonsense seriously Outlander never achieves camp. It's a comic book that's mistaken itself for scripture.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/O/Outlander", "url": "http://www.boston.com/ae/movies/articles/2009/01/23/spaceman_norsemen_meet_in_sci_fi_romp/?camp=pm"} +{"d:Title": "IMDb - The Outlaw Josey Wales (1976)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Outlaw_Josey_Wales,_The", "url": "http://www.imdb.com/title/tt0075029/"} +{"d:Title": "TV Guide Online: The Outlaw Josey Wales", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/O/Outlaw_Josey_Wales,_The", "url": "http://www.tvguide.com/movies/outlaw-josey-wales/108505/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Review, trivia, filming locations, pictures, soundtrack listing, and links.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The", "url": "http://www.fast-rewind.com/outsiders.htm"} +{"d:Title": "Mandy's Outsiders World", "d:Description": "Fan site with character descriptions, quotes, trivia, and obsession test.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The", "url": "http://www.angelfire.com/crazy3/outsiders/"} +{"d:Title": "The Outsiders Club", "d:Description": "Contains fan fiction and roleplay.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The", "url": "http://members.tripod.com/~Sodapop_Curtis/index.html"} +{"d:Title": "Wikipedia: The Outsiders (film)", "d:Description": "A plot synopsis, behind-the-scenes information and a list of the cast.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The", "url": "http://en.wikipedia.org/wiki/The_Outsiders_(film)"} +{"d:Title": "The Outsiders (1983)", "d:Description": "Film overview, synopsis, full cast and crew, trivia, quotations, awards, reviews and ratings, message board, bloopers, technical specification, soundtrack listing, multimedia, image gallery, and links.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The", "url": "http://www.imdb.com/title/tt0086066/"} +{"d:Title": "Yahoo!Groups: The Outsiders Rocks", "d:Description": "Discussion about the movie and the book as well as news and photos.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/The_Outsiders_Rocks/"} +{"d:Title": "WSOTT Outsiders Fanfiction Discussion", "d:Description": "A message board to discuss the writing of Outsiders fan fiction. Discuss the characters, original characters, Mary Sues and other issues with writers of all ages.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Chats_and_Forums", "url": "http://wsotta.proboards.com/"} +{"d:Title": "Neglected Outsiders Anti-Defamation Society", "d:Description": "NOADS sporks and ridicules bad Outsiders fan fiction and the authors who write them.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Fan_Fiction", "url": "http://noad-society.livejournal.com/"} +{"d:Title": "FanFiction.Net - The Outsiders (Book)", "d:Description": "Read over 6800 fan written stories of all ratings about \"The Outsiders\" book.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Fan_Fiction", "url": "http://www.fanfiction.net/book/Outsiders/"} +{"d:Title": "FanFiction.Net - The Outsiders (Movie)", "d:Description": "Archive of fan fiction based on \"The Outsiders\" movie.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Fan_Fiction", "url": "http://www.fanfiction.net/movie/Outsiders_1983/"} +{"d:Title": "FanFiction.Net - Outsiders Crossover Fanfiction", "d:Description": "Outsiders crossover fanfiction archive. Come in to read stories and fanfics that span multiple fandoms in the Outsiders universe.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Fan_Fiction", "url": "http://www.fanfiction.net/crossovers/Outsiders/1284/"} +{"d:Title": "FicWad: The Outsiders", "d:Description": "A fan fiction archive for Outsiders fiction.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Fan_Fiction", "url": "http://ficwad.com/category/518"} +{"d:Title": "The Outsiders Photo Album 2", "d:Description": "Screen caps of chapters 28 to the end as well as the DVD special features.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Image_Galleries", "url": "http://photobucket.com/albums/d40/angelcakescaps1/The%20Outsiders%20II/"} +{"d:Title": "RottenTomatoes.com - The Outsiders", "d:Description": "Reviews from the nation's top critics and audiences. Also includes movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Reviews", "url": "http://www.rottentomatoes.com/m/outsiders/"} +{"d:Title": "AllReaders.com Review - The Outsiders", "d:Description": "Detailed analysis of the film starring Tom Cruise and Rob Lowe, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/Outsiders,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-outsiders-5160"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews Outside Providence.", "topic": "Top/Arts/Movies/Titles/O/Outside_Providence", "url": "http://www.haro-online.com/movies/outside_providence.html"} +{"d:Title": "All-Reviews.com - Outside Providence", "d:Description": "Review of the Movie", "topic": "Top/Arts/Movies/Titles/O/Outside_Providence", "url": "http://www.all-reviews.com/videos/outsideprovidence.htm"} +{"d:Title": "Rotten Tomatoes: Outside Providence (1999)", "d:Description": "Reviews from top critics and audiences. Interviews, articles, synopsis, and box office figures.", "topic": "Top/Arts/Movies/Titles/O/Outside_Providence", "url": "http://www.rottentomatoes.com/m/outside_providence/"} +{"d:Title": "IMDb: Outside Providence", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/O/Outside_Providence", "url": "http://www.imdb.com/title/tt0125971/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/Outside_Providence", "url": "http://www.metacritic.com/movie/outside-providence"} +{"d:Title": "Outside Providence", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/O/Outside_Providence", "url": "http://www.rogerebert.com/reviews/outside-providence-1999"} +{"d:Title": "IMDb: Outta Time", "d:Description": "Production details, cast and crew, reviews, trailer, photographs, and related links.", "topic": "Top/Arts/Movies/Titles/O/Outta_Time", "url": "http://www.imdb.com/title/tt0279750/"} +{"d:Title": "Metacritic.com: Outta Time", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/O/Outta_Time", "url": "http://www.metacritic.com/movie/outta-time"} +{"d:Title": "All-Reviews.com: Out Cold", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/O/Out_Cold", "url": "http://all-reviews.com/videos-4/out-cold.htm"} +{"d:Title": "HARO Online: Out Cold", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/O/Out_Cold", "url": "http://www.haro-online.com/movies/out_cold.html"} +{"d:Title": "IMDb: Out Cold (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Out_Cold", "url": "http://www.imdb.com/title/tt0253798/"} +{"d:Title": "All-Reviews.com: Out for Justice", "d:Description": "Review of the film by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/O/Out_for_Justice", "url": "http://www.all-reviews.com/videos-4/out-for-justice.htm"} +{"d:Title": "IMDb: Out for Justice", "d:Description": "Synopsis, cast and crew, production details, reviews, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/O/Out_for_Justice", "url": "http://www.imdb.com/title/tt0102614/"} +{"d:Title": "Cinebooks Database - Out for Justice", "d:Description": "Unsigned review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/O/Out_for_Justice", "url": "http://www.tvguide.com/movies/justice/128296/"} +{"d:Title": "IMDb", "d:Description": "Plot summary, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Africa", "url": "http://www.imdb.com/title/tt0089755/"} +{"d:Title": "The Flick Filosopher", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Africa", "url": "http://www.flickfilosopher.com/1999/03/out-of-africa-review.html"} +{"d:Title": "Chicago Sun-Times: Out of Africa", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Africa", "url": "http://www.rogerebert.com/reviews/out-of-africa-1985"} +{"d:Title": "The Big Picture Review", "d:Description": "Video stills and review.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Africa", "url": "http://www.thebigpicturedvd.com/out-of-africa"} +{"d:Title": "AllReaders.com Review Out of Africa", "d:Description": "Detailed analysis of the film starring Robert Redford and Meryl Streep, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Africa", "url": "http://allreaders.com/movie-review-summary/out-of-africa-5613"} +{"d:Title": "IMDb: Out of Depth (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Depth", "url": "http://www.imdb.com/title/tt0179400/"} +{"d:Title": "Out of Sight (1998)", "d:Description": "At-A-Glance Film Reviews.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Sight_-_1998", "url": "http://www.rinkworks.com/movies/m/out.of.sight.1998.shtml"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Sight_-_1998", "url": "http://crazy4cinema.com/Review/FilmsO/f_out_sight.html"} +{"d:Title": "New York Times - 'Out of Sight': A Thief, a Marshal, an Item", "d:Description": "Film review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Sight_-_1998", "url": "http://www.nytimes.com/library/film/062698sight-film-review.html"} +{"d:Title": "Metacritic.com: Out of Sight", "d:Description": "Quotes from and links to several reviews of the film.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Sight_-_1998", "url": "http://www.metacritic.com/movie/out-of-sight"} +{"d:Title": "IMDb - Out of Sight (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Out_of_Sight_-_1998", "url": "http://www.imdb.com/title/tt0120780/"} +{"d:Title": "Chicago Sun-Times - Out of Sight", "d:Description": "Roger Ebert reviews the film directed by Steven Soderbergh.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Sight_-_1998", "url": "http://www.rogerebert.com/reviews/out-of-sight-1998"} +{"d:Title": "TVGuide - Out of Sight", "d:Description": "Maitland McDonagh reviews the mercurial romance passing as a crime thriller.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Sight_-_1998", "url": "http://www.tvguide.com/movies/sight/133236/"} +{"d:Title": "IMDb - Out of the Black (2001)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Black", "url": "http://www.imdb.com/title/tt0250636/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, and reactions.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Blue", "url": "http://en.wikipedia.org/wiki/Out_of_the_Blue_(2006_film)"} +{"d:Title": "IMDb: Out of the Blue (2006)", "d:Description": "Plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Blue", "url": "http://www.imdb.com/title/tt0839938/"} +{"d:Title": "Yahoo! Movies: Out of the Blue", "d:Description": "Details, cast and credits.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Blue", "url": "http://movies.yahoo.com/movie/out-of-the-blue-2008/"} +{"d:Title": "Rotten Tomatoes: Out of the Blue", "d:Description": "Review links, credits, photos, and a forum.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Blue", "url": "http://www.rottentomatoes.com/m/1194140-out_of_the_blue/"} +{"d:Title": "MovieWeb: Out of the Blue (2007)", "d:Description": "Summary, reviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Blue", "url": "http://www.movieweb.com/movie/out-of-the-blue"} +{"d:Title": "Out of the Past (1947)", "d:Description": "Detailed review, synopsis and discussion of thematic elements by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Past", "url": "http://www.filmsite.org/outo.html"} +{"d:Title": "Out of the Past (1947)", "d:Description": "Photographs, synopsis and review from Christina Lui and Karl Hill.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Past", "url": "http://www.eskimo.com/~noir/ftitles/outpast/"} +{"d:Title": "New York State Writers' Institute: Out of the Past", "d:Description": "Essay about the film by Kevin Hagopian.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Past", "url": "http://www.albany.edu/writers-inst/webpages4/filmnotes/fnf00n4.html"} +{"d:Title": "Out of the Past (1947)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/O/Out_of_the_Past", "url": "http://www.imdb.com/title/tt0039689/"} +{"d:Title": "HARO Online: Out of Time", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Time_-_2003", "url": "http://www.haro-online.com/movies/out_of_time.html"} +{"d:Title": "Internet Movie Database: Out of Time", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Time_-_2003", "url": "http://imdb.com/title/tt0313443/"} +{"d:Title": "All-Reviews.com - Out of Time", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Time_-_2003", "url": "http://www.all-reviews.com/videos-5/out-of-time.htm"} +{"d:Title": "TEN Movies: Out of Time", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/O/Out_of_Time_-_2003", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1010"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/O/Out_to_Sea", "url": "http://www.all-reviews.com/videos-2/out-to-sea.htm"} +{"d:Title": "Allreaders Review - Overboard", "d:Description": "Detailed analysis of the film starring Goldie Hawn and Kurt Russell, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/O/Overboard", "url": "http://allreaders.com/movie-review-summary/overboard-3561"} +{"d:Title": "IMDb: Over Her Dead Body (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/O/Over_Her_Dead_Body", "url": "http://www.imdb.com/title/tt0785007/"} +{"d:Title": "Rotten Tomatoes: Over Her Dead Body", "d:Description": "Synopsis, cast list, photos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/O/Over_Her_Dead_Body", "url": "http://www.rottentomatoes.com/m/1190660-over_my_dead_body/"} +{"d:Title": "IGN Movies: Over Her Dead Body", "d:Description": "Photos, videos, and a review (rating: 1 out of 5).", "topic": "Top/Arts/Movies/Titles/O/Over_Her_Dead_Body", "url": "http://www.ign.com/movies/over-her-dead-body/theater-14223435"} +{"d:Title": "USA Today: Over Her Dead Body", "d:Description": "Review, by Claudia Puig: \"Though the tale may fall short on imagination, the principal actors make Over Her Dead Body livelier than one would expect.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/O/Over_Her_Dead_Body", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-01-31-dead-body_N.htm"} +{"d:Title": "RogerEbert.com: Over Her Dead Body", "d:Description": "Review, by Roger Ebert: \"Consider for a moment how this movie might play if it took itself seriously. Would it be better than as a comedy? I suspect so.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/O/Over_Her_Dead_Body", "url": "http://www.rogerebert.com/reviews/over-her-dead-body-2008"} +{"d:Title": "MovieWeb: Over Her Dead Body (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/O/Over_Her_Dead_Body", "url": "http://www.movieweb.com/movie/over-her-dead-body"} +{"d:Title": "Official Over Her Dead Body", "d:Description": "Official site. Information, trailers, cast list, photo gallery, and downloads.", "priority": "1", "topic": "Top/Arts/Movies/Titles/O/Over_Her_Dead_Body", "url": "http://www.warnerbros.com/over-her-dead-body"} +{"d:Title": "IMDb - Over the Brooklyn Bridge (1984)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/O/Over_the_Brooklyn_Bridge", "url": "http://www.imdb.com/title/tt0087864/"} +{"d:Title": "Cinebooks Database - Over the Brooklyn Bridge", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/O/Over_the_Brooklyn_Bridge", "url": "http://www.tvguide.com/movies/brooklyn-bridge/108665/"} +{"d:Title": "IMDb: Over the Edge (1979)", "d:Description": "A full list of cast and crew, with production details, photographs and a message board.", "topic": "Top/Arts/Movies/Titles/O/Over_the_Edge", "url": "http://www.imdb.com/title/tt0079688/"} +{"d:Title": "IMDb - Over the Summer (1984)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Over_the_Summer", "url": "http://www.imdb.com/title/tt0125449/"} +{"d:Title": "Armwrestling.com: Over The Top", "d:Description": "Commentary by actor Rick Zumwalt on his role in the film.", "topic": "Top/Arts/Movies/Titles/O/Over_the_Top", "url": "http://www.armwrestling.com/rick.html"} +{"d:Title": "IMDb: Over the Top", "d:Description": "Cast and crew details, movie synopsis and user comments.", "topic": "Top/Arts/Movies/Titles/O/Over_the_Top", "url": "http://www.imdb.com/title/tt0093692/"} +{"d:Title": "Greatest Films: The Ox-Bow Incident", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/O/Ox-Bow_Incident,_The", "url": "http://www.filmsite.org/oxbo.html"} +{"d:Title": "The Ox-Bow Incident", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/O/Ox-Bow_Incident,_The", "url": "http://www.imdb.com/title/tt0036244/"} +{"d:Title": "TV Guide Online: The Ox-Bow Incident", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/O/Ox-Bow_Incident,_The", "url": "http://www.tvguide.com/movies/ox-bow-incident/108695/"} +{"d:Title": "IMDb: Oxygen", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/O/Oxygen", "url": "http://www.imdb.com/title/tt0160620/"} +{"d:Title": "All-Reviews.com- O Brother Where Art Thou?", "d:Description": "Review of the new Coen Brothers Movie.", "topic": "Top/Arts/Movies/Titles/O/O_Brother_Where_Art_Thou", "url": "http://www.all-reviews.com/videos-2/o-brother.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the Coen Brothers new movie.", "topic": "Top/Arts/Movies/Titles/O/O_Brother_Where_Art_Thou", "url": "http://www.haro-online.com/movies/o_brother_where_art_thou.html"} +{"d:Title": "PopMatters - O Brother Where Art Thou", "d:Description": "Detailed review and analysis of the film along with nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/O/O_Brother_Where_Art_Thou", "url": "http://popmatters.com/film/reviews/o/o-brother-where-art-thou.html"} +{"d:Title": "About.com Review of \"O Brother, Where Art Thou?\"", "d:Description": "Home Video/DVD Review.", "topic": "Top/Arts/Movies/Titles/O/O_Brother_Where_Art_Thou", "url": "http://homevideo.about.com/library/weekly/aa062001a.htm"} +{"d:Title": "Rotten Tomatoes: O Brother Where Art Thou (2000)", "d:Description": "Reviews, synopsis, cast, and links.", "topic": "Top/Arts/Movies/Titles/O/O_Brother_Where_Art_Thou", "url": "http://www.rottentomatoes.com/m/o_brother_where_art_thou/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/O/O_Brother_Where_Art_Thou", "url": "http://www.metacritic.com/movie/o-brother-where-art-thou"} +{"d:Title": "Rotten Tomatoes: O Lucky Man!", "d:Description": "Reviews, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/O/O_Lucky_Man", "url": "http://www.rottentomatoes.com/m/o_lucky_man/"} +{"d:Title": "IMDb: O Lucky Man!", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/O/O_Lucky_Man", "url": "http://www.imdb.com/title/tt0070464/"} +{"d:Title": "HARO Online - P.S. Your Cat is Dead!", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/P/P.S._Your_Cat_Is_Dead", "url": "http://www.haro-online.com/movies/ps_your_cat_is_dead.html"} +{"d:Title": "IMDb - P.S. Your Cat Is Dead (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/P/P.S._Your_Cat_Is_Dead", "url": "http://www.imdb.com/title/tt0245341/"} +{"d:Title": "IMDb: P.S., I Love You", "d:Description": "Includes full cast and crew lists, plot outline, filming locations and a discussion board.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.imdb.com/title/tt0431308/"} +{"d:Title": "Rotten Tomatoes: P.S., I Love You", "d:Description": "Offers synopsis, cast and crew list, photo stills, news, trailer download, forum and related links.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.rottentomatoes.com/m/ps_i_love_you/"} +{"d:Title": "P.S. I Love You Movie", "d:Description": "The film is considered to be one of the most romantic novels since Bridget Jones\u2019 Diary.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?TMID=1941"} +{"d:Title": "The Dossing Times Movie Review: P.S. I Love You", "d:Description": "The best description I can give to my experience watching P.S. I Love You is being stuck in an elevator for two hours with the most mundane of music being piped incessantly into my surroundings and an unnerving feeling that the walls are encroaching on me.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://dossing.blogspot.com/2007/12/movie-review-ps-i-love-you.html"} +{"d:Title": "Movie Review: P.S. I Love You", "d:Description": "The perfect movie for Christmas is the surprisingly progressive P.S. I Love You. By Scott Holleran.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.boxofficemojo.com/reviews/?id=2436&p=.htm"} +{"d:Title": "DVD Talk Review: P.S. I Love You", "d:Description": "Filled with scenes that aren't funny, aren't moving and seem to have an antiquated view of women, this film gets derailed in the first scene. By Cameron McGaughy.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.dvdtalk.com/reviews/33085/ps-i-love-you/"} +{"d:Title": "PS I Love You Movie Review", "d:Description": "A little on the predictable side, P.S. I Love You is an uplifting story of loss and the ability to recover from tragedy that may have you reaching for a tissue or two before the credits roll. By Rebecca Murray.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://movies.about.com/od/psiloveyou/fr/psilove122007.htm"} +{"d:Title": "Moviefone: P.S. I Love You", "d:Description": "The movie barrages us with high-frequency waves of cheap sentiment, lazy writing, absolute fabrication and only-in-the-movies nonsense. By James Rocchi.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.moviefone.com/movie/ps-i-love-you/26303/main"} +{"d:Title": "JustJared: Gerard Butler P.S. I Love You", "d:Description": "Offers brief synopsis, film poster, small image gallery and reader commentary.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.justjared.com/2007/08/24/gerard-butler-ps-i-love-you/"} +{"d:Title": "MovieWeb: P.S. I Love You", "d:Description": "Offers small image gallery.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.movieweb.com/movie/p-s-i-love-you"} +{"d:Title": "Canoe Jam: P.S.: I Love You Review", "d:Description": "The abrupt shift, from Gerry the doting husband to Gerry the cadaver, is purposefully jarring but dramatically misguided. By Kevin Williamson.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://jam.canoe.com/Movies/Reviews/P/PS_I_Love_You/2007/12/21/4735139-sun.html"} +{"d:Title": "Slant Magazine: Film Review, P.S. I Love You", "d:Description": "It's hard to think of any female star who could salvage P.S. I Love You, a bromide-filled contrivance. By Nick Schager.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.slantmagazine.com/film/review/p-s-i-love-you"} +{"d:Title": "The Numbers: P.S., I Love You", "d:Description": "Offers news, film details, synopsis, daily and weekend chart records.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.the-numbers.com/movie/P-S-I-Love-You"} +{"d:Title": "EW.com: P.S. I Love You Movie Review", "d:Description": "There's zero chemistry between P.S. I Love You's two commodified headliners. By Lisa Schwarzbaum.", "topic": "Top/Arts/Movies/Titles/P/P._S.,_I_Love_You", "url": "http://www.ew.com/article/2007/12/12/ps-i-love-you"} +{"d:Title": "IMDb: Pacific Heights (1990)", "d:Description": "Cast, crew, reviews, plot summary, comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Pacific_Heights", "url": "http://www.imdb.com/title/tt0100318/"} +{"d:Title": "Rotten Tomatoes: Pacific Heights", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pacific_Heights", "url": "http://www.rottentomatoes.com/m/pacific_heights/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Rita Kempley: \"Screenwriter Daniel Pyne bases his story on his own frustrating encounter with a deadbeat who dragged him and his wife through a costly eviction process. And he manages to provide a frustrating experience, if that is your idea of movie enjoyment.\"", "topic": "Top/Arts/Movies/Titles/P/Pacific_Heights", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/pacificheightsrkempley_a0a0a3.htm"} +{"d:Title": "SunTimes.com: Pacific Heights", "d:Description": "Review of movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Pacific_Heights", "url": "http://www.rogerebert.com/reviews/pacific-heights-1990"} +{"d:Title": "Rotten Tomatoes: Packing Suburbia", "d:Description": "DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Packing_Suburbia,_A", "url": "http://www.rottentomatoes.com/m/packing_suburbia/"} +{"d:Title": "IMDb: A Packing Suburbia (1999)", "d:Description": "Cast and crew information, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Packing_Suburbia,_A", "url": "http://www.imdb.com/title/tt0137148/"} +{"d:Title": "IMDb: The Pajama Game", "d:Description": "Quotes, plot summary, extensive cast and crew list, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Pajama_Game,_The", "url": "http://www.imdb.com/title/tt0050814/"} +{"d:Title": "Rotten Tomatoes: The Pajama Game", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pajama_Game,_The", "url": "http://www.rottentomatoes.com/m/the_pajama_game/"} +{"d:Title": "TV Guide Online: Pajama Game, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pajama_Game,_The", "url": "http://www.tvguide.com/movies/pajama-game/108738/"} +{"d:Title": "Rotten Tomatoes: The Paleface", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paleface,_The", "url": "http://www.rottentomatoes.com/m/paleface/"} +{"d:Title": "IMDb: Paleface, The (1948)", "d:Description": "Cast, crew, credits, plot summary, viewer comments, and other related movie data.", "topic": "Top/Arts/Movies/Titles/P/Paleface,_The", "url": "http://www.imdb.com/title/tt0040679/"} +{"d:Title": "Rotten Tomatoes: Palindromes", "d:Description": "Reviews, synopsis, movie mistakes, production notes, cast and crew, photos, trailers, box office figures, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Palindromes", "url": "http://www.rottentomatoes.com/m/palindromes/"} +{"d:Title": "IMDb: Palindromes (2004)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, movie mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Palindromes", "url": "http://www.imdb.com/title/tt0362004/"} +{"d:Title": "FilmForce: Palindromes", "d:Description": "Review by Todd Gilchrist, interview, mailbag, and a video.", "topic": "Top/Arts/Movies/Titles/P/Palindromes", "url": "http://www.ign.com/movies/palindromes/theater-742081"} +{"d:Title": "Jam! Movies", "d:Description": "Review, by Liz Braun: \"Todd Solondz's Palindromes confuses.\"", "topic": "Top/Arts/Movies/Titles/P/Palindromes", "url": "http://jam.canoe.com/Movies/Reviews/P/Palindromes/2005/05/13/1037593.html"} +{"d:Title": "All-Reviews.com - The Pallbearer", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pallbearer,_The", "url": "http://www.all-reviews.com/videos-3/pallbearer.htm"} +{"d:Title": "Rotten Tomatoes: The Pallbearer", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pallbearer,_The", "url": "http://www.rottentomatoes.com/m/pallbearer/"} +{"d:Title": "IMDb: The Pallbearer", "d:Description": "Quotes, reviews, links, plot summary, and crew information.", "topic": "Top/Arts/Movies/Titles/P/Pallbearer,_The", "url": "http://www.imdb.com/title/tt0117283/"} +{"d:Title": "Chicago Sun-Times: The Pallbearer", "d:Description": "Roger Ebert looks at the plot, scenes and characters.", "topic": "Top/Arts/Movies/Titles/P/Pallbearer,_The", "url": "http://www.rogerebert.com/reviews/the-pallbearer-1996"} +{"d:Title": "TV Guide Online: Pallbearer, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pallbearer,_The", "url": "http://www.tvguide.com/movies/pallbearer/131374/"} +{"d:Title": "Rotten Tomatoes: Palmetto", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Palmetto", "url": "http://www.rottentomatoes.com/m/palmetto/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list and information, newsgroup reviews, plot summary, quotes, and other details.", "topic": "Top/Arts/Movies/Titles/P/Palmetto", "url": "http://www.imdb.com/title/tt0120782/"} +{"d:Title": "TV Guide Online: Palmetto", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Palmetto", "url": "http://www.tvguide.com/movies/palmetto/132924/"} +{"d:Title": "All-Reviews.com: Palmetto", "d:Description": "Short review by Edward Johnson-Ott.", "topic": "Top/Arts/Movies/Titles/P/Palmetto/Reviews", "url": "http://all-reviews.com/videos-4/palmetto.htm"} +{"d:Title": "Chicago Sun Times", "d:Description": "By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Palmetto/Reviews", "url": "http://www.rogerebert.com/reviews/palmetto-1998"} +{"d:Title": "The Palm Beach Story (1942)", "d:Description": "Review by Tim Dirks of the \"hilarious, zany, marital screwball comedy.\"", "topic": "Top/Arts/Movies/Titles/P/Palm_Beach_Story,_The", "url": "http://www.filmsite.org/palm.html"} +{"d:Title": "IMDb: Palm Beach Story, The (1942)", "d:Description": "Cast, crew, plot summary, viewer comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Palm_Beach_Story,_The", "url": "http://www.imdb.com/title/tt0035169/"} +{"d:Title": "Washington Post", "d:Description": "Movie review and summary, by Desson Howe.", "topic": "Top/Arts/Movies/Titles/P/Palookaville_-_1996", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/review96/palookavillehowe.htm"} +{"d:Title": "Rotten Tomatoes: Palookaville", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Palookaville_-_1996", "url": "http://www.rottentomatoes.com/m/palookaville/"} +{"d:Title": "IMDb: Palookaville (1995)", "d:Description": "Cast and crew lists and information, plot summary, quotes and newsgroup reviews.", "topic": "Top/Arts/Movies/Titles/P/Palookaville_-_1996", "url": "http://www.imdb.com/title/tt0117284/"} +{"d:Title": "Chicago Sun Times", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Palookaville_-_1996", "url": "http://www.rogerebert.com/reviews/palookaville-1996"} +{"d:Title": "TV Guide Online: Palookaville", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Palookaville_-_1996", "url": "http://www.tvguide.com/movies/palookaville/131263/"} +{"d:Title": "The Cinema Laser", "d:Description": "Short review and a picture of Pal Joey.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.thecinemalaser.com/dvd_reviews/pal-joey-dvd.htm"} +{"d:Title": "Films on Disc", "d:Description": "DVD review, information, and a picture.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.filmsondisc.com/DVDpages/pal_joey_dvd_review.htm"} +{"d:Title": "Spirit of Sinatra", "d:Description": "Small review and a song list.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.spiritofsinatra.com/pages/movies/pal_joey.html"} +{"d:Title": "DVD Movie Guide: Pal Joey", "d:Description": "Review and DVD information.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.dvdmg.com/paljoey.shtml"} +{"d:Title": "Lyrics by Lorenz Hart - Pal Joey", "d:Description": "Reviews and lyrics of the songs from the movie.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.lorenzhart.org/joey_film.htm"} +{"d:Title": "Rotten Tomatoes: Pal Joey", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.rottentomatoes.com/m/pal_joey/"} +{"d:Title": "IMDb: Pal Joey (1957)", "d:Description": "Plot summary, cast overview, reviews and recommendations. From the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.imdb.com/title/tt0050815/"} +{"d:Title": "Pal Joey - Laser Disc Review", "d:Description": "Small review of the movie starring Frank Sinatra, Kim Novak and Rita Hayworth.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://usatoday30.usatoday.com/life/enter/laser/leld048.htm"} +{"d:Title": "TV Guide: Pal Joey", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Pal_Joey", "url": "http://www.tvguide.com/movies/pal-joey/108741/"} +{"d:Title": "IMDb: Laberinto del Fauno, El (2006)", "d:Description": "Photo gallery, tagline, plot summary, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pan's_Labyrinth", "url": "http://www.imdb.com/title/tt0457430/"} +{"d:Title": "FilmForce: Pan's Labyrinth", "d:Description": "News, photos, and a review by Filip Vukcevic.", "topic": "Top/Arts/Movies/Titles/P/Pan's_Labyrinth", "url": "http://www.ign.com/movies/pans-labyrinth/theater-840477"} +{"d:Title": "MovieWeb: Pan's Labyrinth", "d:Description": "Synopsis, news, photos, and a trailer.", "topic": "Top/Arts/Movies/Titles/P/Pan's_Labyrinth", "url": "http://www.movieweb.com/movie/pans-labyrinth"} +{"d:Title": "BBC Films: Pandaemonium", "d:Description": "Review, synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/P/Pandaemonium", "url": "http://www.bbc.co.uk/films/2001/09/10/pandaemonium_2001_review.shtml"} +{"d:Title": "Rotten Tomatoes: Pandaemonium", "d:Description": "Reviews, movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/P/Pandaemonium", "url": "http://www.rottentomatoes.com/m/pandaemonium/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pandaemonium", "url": "http://www.metacritic.com/movie/pandaemonium"} +{"d:Title": "IMDb: Pandaemonium", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/P/Pandaemonium", "url": "http://www.imdb.com/title/tt0210217/"} +{"d:Title": "Pandora's Box", "d:Description": "Cast, crew, credits, pictures, and a description of this 1929 German film and its restoration. Loosely adapted from two Wedekind plays comprising the 'Lulu' tragedy.", "topic": "Top/Arts/Movies/Titles/P/Pandora's_Box", "url": "http://www.celtoslavica.de/chiaroscuro/films/buechse/buechpan.html"} +{"d:Title": "Rotten Tomatoes: Pandora's Box", "d:Description": "Reviews, DVD information, synopsis, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pandora's_Box", "url": "http://www.rottentomatoes.com/m/pandoras_box/"} +{"d:Title": "IMDb: B\u00fcchse der Pandora, Die (1929)", "d:Description": "Classic silent film, also known as Pandora's Box. Complete movie information, such as cast, crew, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Pandora's_Box", "url": "http://www.imdb.com/title/tt0018737/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Panic", "url": "http://www.metacritic.com/movie/panic"} +{"d:Title": "AllReaders.com: Panic", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/P/Panic", "url": "http://allreaders.com/movie-review-summary/panic-4556"} +{"d:Title": "IMDb: Panic (2000)", "d:Description": "Cast, crew, credits, reviews, plot summary, comments, taglines, and other movie related information.", "topic": "Top/Arts/Movies/Titles/P/Panic", "url": "http://www.imdb.com/title/tt0194218/"} +{"d:Title": "Sony Pictures: Panic Room", "d:Description": "Official site with photograph gallery, character analysis, cast and crew, video clips, and screensaver.", "priority": "1", "topic": "Top/Arts/Movies/Titles/P/Panic_Room", "url": "http://www.sonypictures.com/movies/panicroom/"} +{"d:Title": "IMDb: Panic Room", "d:Description": "Cast, crew, users' comments and related links.", "topic": "Top/Arts/Movies/Titles/P/Panic_Room", "url": "http://www.imdb.com/title/tt0258000/"} +{"d:Title": "HARO Online", "d:Description": "Haro rates the film \"Pretty Good.\"", "topic": "Top/Arts/Movies/Titles/P/Panic_Room/Reviews", "url": "http://www.haro-online.com/movies/panic_room.html"} +{"d:Title": "All-Reviews.com: Panic Room", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Panic_Room/Reviews", "url": "http://www.all-reviews.com/videos-4/panic-room.htm"} +{"d:Title": "Entertain Your Brain: Panic Room", "d:Description": "Review by Shawn McKenzie.", "topic": "Top/Arts/Movies/Titles/P/Panic_Room/Reviews", "url": "http://www.entertainyourbrain.com/panicroomrev.htm"} +{"d:Title": "Shade.ca: Panic Room", "d:Description": "Synopsis, review, and rating.", "topic": "Top/Arts/Movies/Titles/P/Panic_Room/Reviews", "url": "http://www.shade.ca/getarticle.asp?i=380"} +{"d:Title": "Rotten Tomatoes: Panic Room", "d:Description": "Links to reviews, photos, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/P/Panic_Room/Reviews", "url": "http://www.rottentomatoes.com/m/panic_room/"} +{"d:Title": "Metacritic: Panic Room", "d:Description": "Quotes from and links to reviews by various critics.", "topic": "Top/Arts/Movies/Titles/P/Panic_Room/Reviews", "url": "http://www.metacritic.com/movie/panic-room"} +{"d:Title": "SunTimes.com: Panic Room", "d:Description": "Read Roger Ebert's three star review.", "topic": "Top/Arts/Movies/Titles/P/Panic_Room/Reviews", "url": "http://www.rogerebert.com/reviews/panic-room-2002"} +{"d:Title": "Rotten Tomatoes: Panther", "d:Description": "Reviews, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Panther", "url": "http://www.rottentomatoes.com/m/panther/"} +{"d:Title": "IMDb: Panther", "d:Description": "Cast and crew list and information, plot summary, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Panther", "url": "http://www.imdb.com/title/tt0114084/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Desson Howe: \"This fuzzy version of the revolution shouldn't stop anyone from enjoying the movie, and appreciating its attempt to uplift a race.\"", "topic": "Top/Arts/Movies/Titles/P/Panther", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/pantherrhowe_c01462.htm"} +{"d:Title": "Chicago Sun Times", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Panther", "url": "http://www.rogerebert.com/reviews/panther-1995"} +{"d:Title": "TV Guide Online: Panther", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Panther", "url": "http://www.tvguide.com/movies/panther/130666/"} +{"d:Title": "HARO Online: Paparazzi", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Paparazzi", "url": "http://www.haro-online.com/movies/paparazzi.html"} +{"d:Title": "IMDb: Paparazzi (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/P/Paparazzi", "url": "http://www.imdb.com/title/tt0338325/"} +{"d:Title": "Rotten Tomatoes: Paparazzi", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/P/Paparazzi", "url": "http://www.rottentomatoes.com/m/paparazzi/"} +{"d:Title": "Filmtracks: Paparazzi", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Paparazzi", "url": "http://www.filmtracks.com/titles/paparazzi.html"} +{"d:Title": "Filming Locations: Paparazzi", "d:Description": "Actual locations where the 2004 thriller was shot. Includes photos, addresses, and map links.", "topic": "Top/Arts/Movies/Titles/P/Paparazzi", "url": "http://www.seeing-stars.com/Locations/Paparazzi1.shtml"} +{"d:Title": "FilmForce: Paparazzi", "d:Description": "Review by Jeff Otto, news, and a video.", "topic": "Top/Arts/Movies/Titles/P/Paparazzi", "url": "http://www.ign.com/movies/paparazzi/theater-41049"} +{"d:Title": "All-Reviews.com - The Paper", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Paper,_The", "url": "http://www.all-reviews.com/videos-3/paper.htm"} +{"d:Title": "Rotten Tomatoes: The Paper", "d:Description": "DVD information, synopsis, movie mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paper,_The", "url": "http://www.rottentomatoes.com/m/paper/"} +{"d:Title": "IMDb: Paper, The (1994)", "d:Description": "Detailed movie information, such as cast, crew, reviews, plot summary, and comments.", "topic": "Top/Arts/Movies/Titles/P/Paper,_The", "url": "http://www.imdb.com/title/tt0110771/"} +{"d:Title": "Paper Clips", "d:Description": "IMDB listing includes cast and crew, user reviews, and related links.", "topic": "Top/Arts/Movies/Titles/P/Paper_Clips", "url": "http://www.imdb.com/title/tt0380615/"} +{"d:Title": "Paper Clips", "d:Description": "Rotten Tomatoes' links to reviews by movie critics, and their average rating.", "topic": "Top/Arts/Movies/Titles/P/Paper_Clips", "url": "http://www.rottentomatoes.com/m/paperclips/"} +{"d:Title": "Paper Moon", "d:Description": "General information and pictures.", "topic": "Top/Arts/Movies/Titles/P/Paper_Moon", "url": "http://www.angelfire.com/ia/tommr/papermoon.html"} +{"d:Title": "All-Reviews.com - Paper Moon", "d:Description": "Short review by Brian Koller.", "topic": "Top/Arts/Movies/Titles/P/Paper_Moon", "url": "http://www.all-reviews.com/videos-4/paper-moon.htm"} +{"d:Title": "Rotten Tomatoes: Paper Moon", "d:Description": "Reviews, synopsis, mistakes, production notes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paper_Moon", "url": "http://www.rottentomatoes.com/m/paper_moon/"} +{"d:Title": "IMDb: Paper Moon (1973)", "d:Description": "Cast, crew, reviews, plot summary, comments, and related information.", "topic": "Top/Arts/Movies/Titles/P/Paper_Moon", "url": "http://www.imdb.com/title/tt0070510/"} +{"d:Title": "Allreaders Paper Moon Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Paper_Moon", "url": "http://allreaders.com/movie-review-summary/paper-moon-4207"} +{"d:Title": "TV Guide Online: Paper Moon", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Paper_Moon", "url": "http://www.tvguide.com/movies/paper-moon/108786/"} +{"d:Title": "Rotten Tomatoes: Papillon", "d:Description": "Reviews, synopsis, movie mistakes, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Papillon", "url": "http://www.rottentomatoes.com/m/papillon/"} +{"d:Title": "IMDb: Papillon (1973)", "d:Description": "Cast, crew, plot summary, viewer comments, and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Papillon", "url": "http://www.imdb.com/title/tt0070511/"} +{"d:Title": "MovieWeb: Papillon", "d:Description": "Information, cast list, and box office data.", "topic": "Top/Arts/Movies/Titles/P/Papillon", "url": "http://www.movieweb.com/movie/papillon"} +{"d:Title": "IMDb: Paradine Case, The", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Paradine_Case,_The", "url": "http://www.imdb.com/title/tt0039694/"} +{"d:Title": "Rotten Tomatoes: The Paradine Case", "d:Description": "Description, cast and credits, awards list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Paradine_Case,_The", "url": "http://www.rottentomatoes.com/m/paradine_case/"} +{"d:Title": "TV Guide Online: Paradine Case, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Paradine_Case,_The", "url": "http://www.tvguide.com/movies/paradine-case/108797/"} +{"d:Title": "Paradise", "d:Description": "Official site with cast information, news and interviews, pictures, trailer, story line, and related movie details.", "priority": "1", "topic": "Top/Arts/Movies/Titles/P/Paradise", "url": "http://www.paradise-film.com/"} +{"d:Title": "IMDb: Paradise (2003/I)", "d:Description": "Movie information, such as cast, crew, and plot summary.", "topic": "Top/Arts/Movies/Titles/P/Paradise", "url": "http://www.imdb.com/title/tt0323576/"} +{"d:Title": "IMDb: Paradise Lost: The Child Murders at Robin Hood Hills (1996)", "d:Description": "Cast list, plot outline, and viewer comments and summaries.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Lost_Movies/Paradise_Lost", "url": "http://www.imdb.com/title/tt0117293/"} +{"d:Title": "SunTimes.com: Paradise Lost: The Child Murders at Robin Hood Hills", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Lost_Movies/Paradise_Lost", "url": "http://www.rogerebert.com/reviews/paradise-lost-the-child-murders-at-robin-hood-hills-1996"} +{"d:Title": "BostonPhoenix.com: Paradise: Still lost", "d:Description": "Article containing a summary.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Lost_Movies/Revelations", "url": "http://www.bostonphoenix.com/archive/tv/00/03/09/state_of_the_art.html"} +{"d:Title": "IMDb: Paradise Lost 2: Revelations (2000)", "d:Description": "Movie information and summary.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Lost_Movies/Revelations", "url": "http://www.imdb.com/title/tt0239894/"} +{"d:Title": "Rotten Tomatoes: Paradise Lost 2: Revelations", "d:Description": "Reviews, synopsis, notes, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Lost_Movies/Revelations", "url": "http://www.rottentomatoes.com/m/paradise_lost_2_revelations/"} +{"d:Title": "SunTimes.com: Paradise Lost 2: Revelations", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Lost_Movies/Revelations", "url": "http://www.rogerebert.com/reviews/paradise-lost-2-revelations-2000"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Road", "url": "http://crazy4cinema.com/Review/FilmsP/f_paradise_road.html"} +{"d:Title": "Rotten Tomatoes: Paradise Road", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Road", "url": "http://www.rottentomatoes.com/m/paradise_road/"} +{"d:Title": "IMDb: Paradise Road (1997)", "d:Description": "Plot outlines, photo gallery, cast overview, movie mistakes, quotes, awards, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Road", "url": "http://www.imdb.com/title/tt0119859/"} +{"d:Title": "TV Guide Online: Paradise Road", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Paradise_Road", "url": "http://www.tvguide.com/movies/paradise-road/132234/"} +{"d:Title": "Rotten Tomatoes: Paragraph 175", "d:Description": "Reviews, DVD information, synopsis, crew list, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paragraph_175", "url": "http://www.rottentomatoes.com/m/paragraph_175/"} +{"d:Title": "IMDb: Paragraph 175 (1999)", "d:Description": "Cast and crew profiles, user ratings, and message board.", "topic": "Top/Arts/Movies/Titles/P/Paragraph_175", "url": "http://www.imdb.com/title/tt0236576/combined"} +{"d:Title": "Rotten Tomatoes: The Parallax View", "d:Description": "Reviews, DVD information, synopsis, quotes, cast and crew lists, links, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Parallax_View,_The", "url": "http://www.rottentomatoes.com/m/parallax_view/"} +{"d:Title": "Wikipedia", "d:Description": "Article, with plot information and trivia.", "topic": "Top/Arts/Movies/Titles/P/Parallax_View,_The", "url": "http://en.wikipedia.org/wiki/The_Parallax_View"} +{"d:Title": "IMDb: The Parallax View (1974)", "d:Description": "Cast, credits, plot summary, comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Parallax_View,_The", "url": "http://www.imdb.com/title/tt0071970/"} +{"d:Title": "TV Guide Online: Parallax View, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Parallax_View,_The", "url": "http://www.tvguide.com/movies/parallax-view/108810/"} +{"d:Title": "Paranoid", "d:Description": "Short adaptation by director and cinematographer Jay Holben from a 100 line poem entitled \"Paranoid: A Chant\" in Stephen King's 1985 short story collection Skeleton Crew. Trailer and filmmaker biographies.", "topic": "Top/Arts/Movies/Titles/P/Paranoid_-_2000", "url": "http://www.paranoidthemovie.com/"} +{"d:Title": "Rotten Tomatoes: Paranoid", "d:Description": "DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paranoid_-_2000", "url": "http://www.rottentomatoes.com/m/paranoid/"} +{"d:Title": "IMDb: Paranoid (2000)", "d:Description": "Includes cast, crew, reviews and user comments.", "topic": "Top/Arts/Movies/Titles/P/Paranoid_-_2000", "url": "http://www.imdb.com/title/tt0216969/"} +{"d:Title": "Rotten Tomatoes: Paranoid Park", "d:Description": "Synopsis, cast list, photos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paranoid_Park", "url": "http://www.rottentomatoes.com/m/paranoid_park/"} +{"d:Title": "Moviefone: Paranoid Park", "d:Description": "Showtimes, synopsis, cast, crew, trailers, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Paranoid_Park", "url": "http://www.moviefone.com/movie/paranoid-park/28212/main"} +{"d:Title": "IGN Movies: Paranoid Park", "d:Description": "News, trailer, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/P/Paranoid_Park", "url": "http://www.ign.com/movies/paranoid-park/theater-14208394"} +{"d:Title": "Village Voice: Paranoid Park", "d:Description": "Review, by J. Hoberman: \"The pleasing circularity of Gus Van Sant's masterful Paranoid Park is not only a function of the film's narrative structure but reflects the arc of its maker's career. Few directors have revisited their earliest concerns with such vigor.\"", "topic": "Top/Arts/Movies/Titles/P/Paranoid_Park", "url": "http://www.villagevoice.com/film/paranoid-park-returns-gus-van-sant-to-his-roots-6388053"} +{"d:Title": "The Boston Globe: Paranoid Park", "d:Description": "Review, by Wesley Morris: \"Slight but fascinating.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Paranoid_Park", "url": "http://archive.boston.com/ae/movies/articles/2008/03/14/bewitching_park_is_young_at_heart/?camp=pm"} +{"d:Title": "Rotten Tomatoes: Pardners", "d:Description": "DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pardners", "url": "http://www.rottentomatoes.com/m/pardners/"} +{"d:Title": "IMDb: Pardners (1956)", "d:Description": "Photo galleries, plot summaries, cast list, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pardners", "url": "http://www.imdb.com/title/tt0049593/"} +{"d:Title": "Allreaders Pardners Spotlight", "d:Description": "Detailed analysis of the Jerry Lewis and Dean Martin film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Pardners", "url": "http://allreaders.com/movie-review-summary/pardners-5539"} +{"d:Title": "Rotten Tomatoes: Parenthood", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Parenthood", "url": "http://www.rottentomatoes.com/m/parenthood/"} +{"d:Title": "IMDb: Parenthood (1989)", "d:Description": "Plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Parenthood", "url": "http://www.imdb.com/title/tt0098067/"} +{"d:Title": "TV Guide Online: Parenthood", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Parenthood", "url": "http://www.tvguide.com/movies/parenthood/127530/"} +{"d:Title": "Rotten Tomatoes: The Parent Trap", "d:Description": "Reviews, synopsis, movie mistakes, cast and crew lists, posters, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Parent_Trap,_The_-_1998", "url": "http://www.rottentomatoes.com/m/1083414-parent_trap/"} +{"d:Title": "IMDb: The Parent Trap (1998)", "d:Description": "Cast and crew information, plot summary, reviews, and trivia.", "topic": "Top/Arts/Movies/Titles/P/Parent_Trap,_The_-_1998", "url": "http://www.imdb.com/title/tt0120783/"} +{"d:Title": "Allreaders Review - The Parent Trap", "d:Description": "Plot summary and review.", "topic": "Top/Arts/Movies/Titles/P/Parent_Trap,_The_-_1998", "url": "http://allreaders.com/movie-review-summary/the-parent-trap-7003"} +{"d:Title": "TV Guide Online: Parent Trap, The", "d:Description": "Review and a list of the cast and crew.", "topic": "Top/Arts/Movies/Titles/P/Parent_Trap,_The_-_1998", "url": "http://www.tvguide.com/movies/parent-trap/133413/"} +{"d:Title": "All-Reviews.com: The Parent Trap", "d:Description": "A review of the film and second opinions by others.", "topic": "Top/Arts/Movies/Titles/P/Parent_Trap,_The_-_1998/Reviews", "url": "http://www.all-reviews.com/videos/parent-trap.htm"} +{"d:Title": "Democrat and Chronicle", "d:Description": "Includes a review of the film.", "topic": "Top/Arts/Movies/Titles/P/Parent_Trap,_The_-_1998/Reviews", "url": "http://www.rochestergoesout.com/mov/p/parent.html"} +{"d:Title": "The Parent Trap", "d:Description": "Roger Ebert offers his opinions.", "topic": "Top/Arts/Movies/Titles/P/Parent_Trap,_The_-_1998/Reviews", "url": "http://www.rogerebert.com/reviews/the-parent-trap-1998"} +{"d:Title": "IMDb: Paris, Texas (1984)", "d:Description": "Cast and crew, plot summary, user comments and ratings, and related links.", "topic": "Top/Arts/Movies/Titles/P/Paris,_Texas", "url": "http://www.imdb.com/title/tt0087884/"} +{"d:Title": "Rotten Tomatoes: Paris, Texas", "d:Description": "DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paris,_Texas", "url": "http://www.rottentomatoes.com/m/paris_texas/"} +{"d:Title": "Rotten Tomatoes - Paris is Burning", "d:Description": "Reviews, information, quotes, and cast and crew.", "topic": "Top/Arts/Movies/Titles/P/Paris_Is_Burning", "url": "http://www.rottentomatoes.com/m/paris_is_burning/"} +{"d:Title": "IMDb - Paris Is Burning", "d:Description": "Overview, cast and crew details, awards, trivia, and promotional information.", "topic": "Top/Arts/Movies/Titles/P/Paris_Is_Burning", "url": "http://www.imdb.com/title/tt0100332/"} +{"d:Title": "Chicago Sun-Times - Entertainment", "d:Description": "Roger Ebert's review. Discusses the phenomenon the film seeks to document, provides some description, and introduces the larger issues it raises.", "topic": "Top/Arts/Movies/Titles/P/Paris_Is_Burning", "url": "http://www.rogerebert.com/reviews/paris-is-burning-1991"} +{"d:Title": "Rotten Tomatoes: Parting Glances", "d:Description": "Reviews, DVD information, synopsis, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Parting_Glances", "url": "http://www.rottentomatoes.com/m/parting_glances/"} +{"d:Title": "IMDb: Parting Glances", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Parting_Glances", "url": "http://www.imdb.com/title/tt0091725/"} +{"d:Title": "TV Guide Online: Parting Glances", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Parting_Glances", "url": "http://www.tvguide.com/movies/parting-glances/108871/"} +{"d:Title": "DVD Journal: The Party", "d:Description": "Short review by Mark Bourne includes analysis of the DVD version.", "topic": "Top/Arts/Movies/Titles/P/Party,_The", "url": "http://www.dvdjournal.com/quickreviews/p/party.q.shtml"} +{"d:Title": "Films on Disc: The Party", "d:Description": "Stuart J. Kobak reviews the movie and the DVD.", "topic": "Top/Arts/Movies/Titles/P/Party,_The", "url": "http://www.filmsondisc.com/dvdpages/party.htm"} +{"d:Title": "Rotten Tomatoes: The Party", "d:Description": "Summary of reviews by various critics, cast information, and discussion forum.", "topic": "Top/Arts/Movies/Titles/P/Party,_The", "url": "http://www.rottentomatoes.com/m/1015967-party/"} +{"d:Title": "IMDb: The Party (1968)", "d:Description": "Cast and crew, plot summary, user comments, trivia, and links.", "topic": "Top/Arts/Movies/Titles/P/Party,_The", "url": "http://www.imdb.com/title/tt0063415/"} +{"d:Title": "Rotten Tomatoes: Party Crashers", "d:Description": "Reviews, DVD information, synopsis, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Party_Crasher", "url": "http://www.rottentomatoes.com/m/party_crashers/"} +{"d:Title": "IMDb: Party Crasher: My Bloody Birthday (2000)", "d:Description": "Cast, crew, credits, plot summary, and other related movie data.", "topic": "Top/Arts/Movies/Titles/P/Party_Crasher", "url": "http://www.imdb.com/title/tt0172949/"} +{"d:Title": "IMDb: Party Girl (1958)", "d:Description": "Cast and crew information and plot summary.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1958", "url": "http://www.imdb.com/title/tt0052050/"} +{"d:Title": "Rotten Tomatoes: Party Girl", "d:Description": "Reviews, DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1958", "url": "http://www.rottentomatoes.com/m/1036420-party_girl/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, critical reaction, and cast.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1958", "url": "http://en.wikipedia.org/wiki/Party_Girl_(1958_film)"} +{"d:Title": "TV Guide Online: Party Girl", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1958", "url": "http://www.tvguide.com/movies/party-girl/108887/"} +{"d:Title": "Rotten Tomatoes: Party Girl", "d:Description": "Reviews, DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1995", "url": "http://www.rottentomatoes.com/m/1066050-party_girl/"} +{"d:Title": "IMDb: Party Girl (1995)", "d:Description": "Cast and crew information, reviews, plot summary and quotes.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1995", "url": "http://www.imdb.com/title/tt0114095/"} +{"d:Title": "Wikipedia", "d:Description": "Article with information about the story, internet debut, and the television spin-off.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1995", "url": "http://en.wikipedia.org/wiki/Party_Girl_(1995_film)"} +{"d:Title": "TV Guide Online: Party Girl", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Party_Girl_-_1995", "url": "http://www.tvguide.com/movies/party-girl/130667/"} +{"d:Title": "HARO Online: Party Monster", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Party_Monster", "url": "http://www.haro-online.com/movies/party_monster.html"} +{"d:Title": "Rotten Tomatoes: Party Monster", "d:Description": "Reviews, synopsis, critical consensus, credits, trailers, box office data, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Party_Monster", "url": "http://www.rottentomatoes.com/m/party_monster/"} +{"d:Title": "IMDb: Party Monster (2003)", "d:Description": "Cast, crew, credits, plot summary, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Party_Monster", "url": "http://www.imdb.com/title/tt0320244/"} +{"d:Title": "MovieWeb: Party Monster", "d:Description": "Synopsis, videos, photos, and box office data.", "topic": "Top/Arts/Movies/Titles/P/Party_Monster", "url": "http://www.movieweb.com/movie/party-monster"} +{"d:Title": "Rotten Tomatoes: Passing Glory", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Passing_Glory", "url": "http://www.rottentomatoes.com/m/passing_glory/"} +{"d:Title": "IMDb: Passing Glory (1999)", "d:Description": "Cast, credits, plot summary, plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Passing_Glory", "url": "http://www.imdb.com/title/tt0178821/"} +{"d:Title": "HARO Online: Passionada", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/P/Passionada", "url": "http://www.haro-online.com/movies/passionada.html"} +{"d:Title": "Internet Movie Database: Passionada", "d:Description": "Film information, cast and crew listing, reviews, trivia, merchandising information, media, and links.", "topic": "Top/Arts/Movies/Titles/P/Passionada", "url": "http://imdb.com/title/tt0285879/"} +{"d:Title": "Rotten Tomatoes: Passion in the Desert", "d:Description": "Description, cast and credits, user reviews, links, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Passion_in_the_Desert", "url": "http://www.rottentomatoes.com/m/passion_in_the_desert/"} +{"d:Title": "IMDb: Passion in the Desert (1997)", "d:Description": "Cast and crew information, plot summary and reviews.", "topic": "Top/Arts/Movies/Titles/P/Passion_in_the_Desert", "url": "http://www.imdb.com/title/tt0125980/"} +{"d:Title": "Unofficial Passion of Ayn Rand Homepage", "d:Description": "Review from a libertarian perspective.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Ayn_Rand,_The", "url": "http://www.missliberty.com/pageL.htm"} +{"d:Title": "DVD Talk: Passion of Ayn Rand", "d:Description": "Synopsis, review of its transfer to DVD. Rates the movie itself, along with the audio, video, extras, and replay value.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Ayn_Rand,_The", "url": "http://www.dvdtalk.com/reviews/2105/passion-of-ayn-rand/"} +{"d:Title": "IMDb: Passion of Ayn Rand, The (1999)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Ayn_Rand,_The", "url": "http://www.imdb.com/title/tt0140447/"} +{"d:Title": "A.V. Club: Passion of Ayn Rand, The", "d:Description": "Review of the drama, by Nathan Rabin.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Ayn_Rand,_The", "url": "http://www.avclub.com/review/the-passion-of-ayn-rand-19363"} +{"d:Title": "IMDb: The Passion of Joan of Arc (1928)", "d:Description": "Cast, credits, viewer comments, plot summary, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Joan_of_Arc,_The", "url": "http://www.imdb.com/title/tt0019254/"} +{"d:Title": "About.com: The Passion of Joan of Arc", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Joan_of_Arc,_The", "url": "http://worldfilm.about.com/od/frenchfilm/tp/france.htm"} +{"d:Title": "IMDb: Passion of Mind (1999)", "d:Description": "Cast, credits, plot summary, user comments, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Mind", "url": "http://www.imdb.com/title/tt0160644/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Mind", "url": "http://www.metacritic.com/movie/passion-of-mind"} +{"d:Title": "Haro Online: Review", "d:Description": "Haro rates it not that good.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Mind/Reviews", "url": "http://www.haro-online.com/movies/passion_of_mind.html"} +{"d:Title": "All-Reviews.com: Passion of Mind", "d:Description": "A 1.5 star review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Mind/Reviews", "url": "http://www.all-reviews.com/videos/passion-of-mind.htm"} +{"d:Title": "PopMatters: Passion of Mind", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_Mind/Reviews", "url": "http://popmatters.com/film/reviews/p/passion-of-mind.html"} +{"d:Title": "The Passion of the Christ: A Film by Mel Gibson", "d:Description": "Story line, cast, crew, links to various news articles, movie images, trailer, FAQs, and reasons with a forum to support the film. Presented in 18 languages.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://www.passion-movie.com/"} +{"d:Title": "KillerMovies: The Passion of the Christ", "d:Description": "Cast and crew information, synopsis, and several articles with information and pictures of the film.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://www.killermovies.com/p/passion/"} +{"d:Title": "Passion Movie", "d:Description": "Information and positive support for Mel Gibson and the movie, \"The Passion of The Christ.\" Related topics.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://www.passionmovieinfo.freeservers.com/"} +{"d:Title": "Reel Faces: The Passion of the Christ", "d:Description": "Explores the real people behind the film's story. Includes biographies, multimedia, FAQs, and related links.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://www.chasingthefrog.com/reelfaces/passion.php"} +{"d:Title": "Rotten Tomatoes: The Passion of The Christ", "d:Description": "Features reviews, trailer, news, discussion forums, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://www.rottentomatoes.com/m/passion_of_the_christ/"} +{"d:Title": "IMDb: Passion of the Christ, The (2004)", "d:Description": "Cast, crew, trailer, links, message boards, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://www.imdb.com/title/tt0335345/"} +{"d:Title": "FilmForce: The Passion of the Christ", "d:Description": "Video, news, features, mailbag, and a review.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://www.ign.com/movies/the-passion-of-the-christ/theater-487830"} +{"d:Title": "Awesome Stories: The Passion of the Christ", "d:Description": "Detailed historical background behind the film, with pictures of the real places featured in the story and links to on-line resources.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "https://www.awesomestories.com/asset/view/Passion-of-the-Christ"} +{"d:Title": "The Passion of the Christ", "d:Description": "A forum for discussion of the movie.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The", "url": "http://passionmoive.proboards.com/"} +{"d:Title": "Christian Science Monitor: 'Passion' Rekindles Debate Over Meaning of the Crucifixion", "d:Description": "A look at the cultural impact of Mel Gibson's movie.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://www.csmonitor.com/2004/0225/p01s02-ussc.html"} +{"d:Title": "www.chiesa: \"The Passion,\" the Pope, and the Phantom Review", "d:Description": "Article detailing Opus Dei's involvement in the movie.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://www.chiesa.espressonline.it/dettaglio.jsp?id=7018&eng=y"} +{"d:Title": "Have Faith in Dog", "d:Description": "An opinionated negative critique of Mel Gibson and his film, \"The Passions of The Christ,\" with comparisons to anti-semitic violence. Graphic pictures and links to Holocaust information.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://888askcss1.com/sites/faithindog.org"} +{"d:Title": "Guardian Film: Passion tops US box office", "d:Description": "News story about the movie having the biggest opening ever for an independently released film. Also links to other Guardian news items and reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://www.theguardian.com/film/2004/mar/01/news.melgibson"} +{"d:Title": "Crosswalk: Mel Gibson's Passion", "d:Description": "Information, quotes, and background on the making of the movie. Article by Holly McClure, from April, 2003.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://www.crosswalk.com/culture/features/mel-gibsons-passion-1195712.html"} +{"d:Title": "BeliefNet: Critics in the 'Passion' Pit", "d:Description": "Michael Medved article examines if the Jewish agony over 'The Passion of The Christ' is misguided. Related links and topics.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://www.beliefnet.com/Faiths/Judaism/2004/02/Critics-In-The-Passion-Pit.aspx"} +{"d:Title": "TheDenverChannel: Mel Gibson Screens Religious Movie In Colorado", "d:Description": "Positive response to the historical accuracy of the movie when screened by religious leaders. Comments from Gibson.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://www.thedenverchannel.com/entertainment/mel-gibson-screens-religious-movie-in-colorado"} +{"d:Title": "USAToday: Message of 'Passion' in Heart of Beholder", "d:Description": "Excerpts of what people are saying about the film, \"The Passion of Christ.\"", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://usatoday30.usatoday.com/news/opinion/editorials/2004-02-26-opinionline_x.htm"} +{"d:Title": "ADL and Mel Gibson's \"The Passion of the Christ\"", "d:Description": "Answers to frequently asked questions about the Anti-Defamation League's position on the film. Related links.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Articles_and_Interviews", "url": "http://www.adl.org/education-outreach/interfaith-affairs/c/adl-and-mel-gibsons-the-passion.html"} +{"d:Title": "HARO Online: The Passion of The Christ", "d:Description": "Mongoose reviews the movie. Rates it \"not bad.\"", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.haro-online.com/movies/passion_of_the_christ.html"} +{"d:Title": "1MovieSearch: The Passion of The Christ", "d:Description": "Review and commentary.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.1moviesearch.com/movies/passion.htm"} +{"d:Title": "Filmtracks: The Passion of the Christ", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.filmtracks.com/titles/passion_christ.html"} +{"d:Title": "All-Reviews.com: The Passion of The Christ", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.all-reviews.com/videos-5/passion-of-christ.htm"} +{"d:Title": "TEN Movies: The Passion of The Christ", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1064"} +{"d:Title": "Mel's Bloody Passion", "d:Description": "Review by Robert Paul Reyes.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://rreyes4966.tripod.com/melsbloodypassion/"} +{"d:Title": "Think on These Things: The Passion of the Christ", "d:Description": "Review and theological concerns regarding the movie from the pastor of Southern View Chapel. \"This is a decidedly Roman Catholic film. It has been described by some as an animated crucifix. It is Catholic in theology, emphasis, motivation and purpose.\"", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.svchapel.org/resources/articles/22-contemporary-issues/532-the-passion-of-the-christ-part-1"} +{"d:Title": "Telegraph Arts: The Masochistic Passion of Mel Gibson", "d:Description": "Movie review. Free registration required.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.telegraph.co.uk/culture/film/3614414/The-masochistic-passion-of-Mel-Gibson.html"} +{"d:Title": "Chicago Sun-Times: The Passion of The Christ", "d:Description": "Credits, review, and observations by film critic, Roger Ebert. Rated 4 stars.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-passion-of-the-christ-2004"} +{"d:Title": "Movie Forums: The Passion of the Christ", "d:Description": "Chris Bowyer gives the film 4.5 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/P/Passion_of_The_Christ,_The/Reviews", "url": "http://www.movieforums.com/reviews/1081563-the-passion-of-the-christ.html"} +{"d:Title": "IMDb: Passport to Paris (1999)", "d:Description": "Cast, credits, plot summary, comments, plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Passport_to_Paris", "url": "http://www.imdb.com/title/tt0202521/"} +{"d:Title": "IMDb: Passport to Pimlico (1949)", "d:Description": "Cast, credits, plot summary, view comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Passport_to_Pimlico", "url": "http://www.imdb.com/title/tt0041737/"} +{"d:Title": "All-Reviews.com: Past Perfect", "d:Description": "Reader-contributed review and rating.", "topic": "Top/Arts/Movies/Titles/P/Past_Perfect_-_1998", "url": "http://www.all-reviews.com/videos-4/past-perfect.htm"} +{"d:Title": "IMDb: Past Perfect (1998)", "d:Description": "Cast, credits, plot summary, comments, and production information.", "topic": "Top/Arts/Movies/Titles/P/Past_Perfect_-_1998", "url": "http://www.imdb.com/title/tt0117308/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew information, plot summary, reviews, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams", "url": "http://www.imdb.com/title/tt0129290/"} +{"d:Title": "Rotten Tomatoes: Patch Adams", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams", "url": "http://www.rottentomatoes.com/m/patch_adams/"} +{"d:Title": "Take Two Giggles, Twice Daily: Physician, Squeal Thyself", "d:Description": "Inauspicious review with MPAA ratings advice and a list of the film's leading cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams", "url": "http://www.nytimes.com/1998/12/24/movies/film-review-take-two-giggles-twice-daily-physician-squeal-thyself.html"} +{"d:Title": "AllReaders.com: Patch Adams", "d:Description": "Detailed analysis of the film and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams", "url": "http://allreaders.com/movie-review-summary/patch-adams-3737"} +{"d:Title": "MovieWeb: Patch Adams", "d:Description": "Synopsis, cast list, movie stills, and links.", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams", "url": "http://www.movieweb.com/movie/patch-adams"} +{"d:Title": "TV Guide Online: Patch Adams", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams", "url": "http://www.tvguide.com/movies/patch-adams/133655/"} +{"d:Title": "All-Reviews.com: Patch Adams", "d:Description": "A collection of six reviews.", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams/Reviews", "url": "http://www.all-reviews.com/videos/patch-adams.htm"} +{"d:Title": "Chicago Sun Times", "d:Description": "By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Patch_Adams/Reviews", "url": "http://www.rogerebert.com/reviews/patch-adams-1998"} +{"d:Title": "Filmsite.org: Paths Of Glory", "d:Description": "Detailed review, synopsis, and discussion of the film.", "topic": "Top/Arts/Movies/Titles/P/Paths_of_Glory", "url": "http://www.filmsite.org/path.html"} +{"d:Title": "Teach with Movies: Paths of Glory", "d:Description": "Review and overview of the film as a learning tool. Subscription needed for complete learning guide.", "topic": "Top/Arts/Movies/Titles/P/Paths_of_Glory", "url": "http://www.teachwithmovies.org/guides/paths-of-glory.html"} +{"d:Title": "Rotten Tomatoes: Paths of Glory", "d:Description": "Reviews, synopsis, quotes, production notes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Paths_of_Glory", "url": "http://www.rottentomatoes.com/m/paths_of_glory/"} +{"d:Title": "IMDb: Paths of Glory", "d:Description": "Cast, credits, plot summary, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Paths_of_Glory", "url": "http://www.imdb.com/title/tt0050825/"} +{"d:Title": "The Patriot Resource", "d:Description": "Discusses the movie's themes and its truthfulness. Features information on cast, characters, crew, facts and insights, multimedia, and related data.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The", "url": "http://www.patriotresource.com/film.html"} +{"d:Title": "BoxOfficeMojo: The Patriot", "d:Description": "Box office data.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The", "url": "http://www.boxofficemojo.com/movies/?id=patriot.htm"} +{"d:Title": "IMDb: The Patriot (2000)", "d:Description": "Cast, credits, plot summary, viewer comments, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The", "url": "http://www.imdb.com/title/tt0187393/"} +{"d:Title": "Metrotimes: The Patriot", "d:Description": "Article by Serena Donadoni; in depth look at the reasons for making the historical fiction film, The Patriot.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The", "url": "http://www.metrotimes.com/detroit/american-guerillas/Content?oid=2168603"} +{"d:Title": "All-Reviews.com: The Patriot", "d:Description": "Collection of eight reviews.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The/Reviews", "url": "http://www.all-reviews.com/videos/patriot.htm"} +{"d:Title": "Haro Online: The Patriot", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The/Reviews", "url": "http://www.haro-online.com/movies/patriot.html"} +{"d:Title": "PopMatters.com: The Patriot", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The/Reviews", "url": "http://popmatters.com/film/reviews/p/patriot.html"} +{"d:Title": "Rotten Tomatoes: The Patriot (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The/Reviews", "url": "http://www.rottentomatoes.com/m/1098149-patriot/"} +{"d:Title": "AllReaders.com: The Patriot", "d:Description": "Detailed analysis of the film and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-patriot-3813"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The/Reviews", "url": "http://www.metacritic.com/movie/the-patriot"} +{"d:Title": "TV Guide Online: The Patriot", "d:Description": "Negative review, with cast and crew information.", "topic": "Top/Arts/Movies/Titles/P/Patriot,_The/Reviews", "url": "http://www.tvguide.com/movies/patriot/134060/"} +{"d:Title": "Rotten Tomatoes: Patriot Games", "d:Description": "Reviews, DVD information, synopsis, mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Patriot_Games", "url": "http://www.rottentomatoes.com/m/patriot_games/"} +{"d:Title": "IMDb: Patriot Games", "d:Description": "Full cast information, synopsis, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Patriot_Games", "url": "http://www.imdb.com/title/tt0105112/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Desson Howe: \"...\"Games\" accomplishes its high-stakes mission. But even in the mindless throes of summer, it still feels like a dull triumph.\"", "topic": "Top/Arts/Movies/Titles/P/Patriot_Games", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/patriotgamesrhowe_a0aed9.htm"} +{"d:Title": "AllReaders.com: Patriot Games", "d:Description": "Detailed analysis of the film and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Patriot_Games", "url": "http://allreaders.com/movie-review-summary/patriot-games-4953"} +{"d:Title": "Rotten Tomatoes: The Patsy", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Patsy,_The", "url": "http://www.rottentomatoes.com/m/patsy/"} +{"d:Title": "IMDb: Patsy, The (1964)", "d:Description": "Cast, crew, plot summary, viewer comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Patsy,_The", "url": "http://www.imdb.com/title/tt0058456/"} +{"d:Title": "AllReaders.com: The Patsy", "d:Description": "Summary and data on this Jerry Lewis film and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Patsy,_The", "url": "http://allreaders.com/movie-review-summary/the-patsy-5540"} +{"d:Title": "Filmsite.org: Patton", "d:Description": "Review and synopsis of the film.", "topic": "Top/Arts/Movies/Titles/P/Patton", "url": "http://www.filmsite.org/patt.html"} +{"d:Title": "Teach with Movies: Patton", "d:Description": "Review and synopsis of the film. Subscription needed for the complete learning guide.", "topic": "Top/Arts/Movies/Titles/P/Patton", "url": "http://www.teachwithmovies.org/guides/patton.html"} +{"d:Title": "All-Reviews.com - Patton", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Patton", "url": "http://www.all-reviews.com/videos-4/patton.htm"} +{"d:Title": "DVD Movie Guide: Patton", "d:Description": "Detailed review of the film, with a focus on the DVD special edition released in 1999.", "topic": "Top/Arts/Movies/Titles/P/Patton", "url": "http://www.dvdmg.com/patton.shtml"} +{"d:Title": "IMDb: Patton", "d:Description": "Detailed cast and crew credits. Includes reviews submitted by users.", "topic": "Top/Arts/Movies/Titles/P/Patton", "url": "http://www.imdb.com/title/tt0066206/"} +{"d:Title": "Allreaders: Patton", "d:Description": "Analysis of the film, concentrating on characters, thematic elements, setting and the structure.", "topic": "Top/Arts/Movies/Titles/P/Patton", "url": "http://allreaders.com/movie-review-summary/patton-3823"} +{"d:Title": "TV Guide Online: Patton", "d:Description": "Positive review of the film. Includes cast and crew credits.", "topic": "Top/Arts/Movies/Titles/P/Patton", "url": "http://www.tvguide.com/movies/patton/108955/"} +{"d:Title": "All-Reviews.com: Paulie", "d:Description": "Three reviews.", "topic": "Top/Arts/Movies/Titles/P/Paulie/Reviews", "url": "http://www.all-reviews.com/videos/paulie.htm"} +{"d:Title": "TV Guide Online: Paulie", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Paulie/Reviews", "url": "http://www.tvguide.com/movies/paulie/133061/"} +{"d:Title": "All-Reviews: Pauline and Paulette", "d:Description": "Review by Harvey Karten gives plot details and looks at how such a film would not have been made in America.", "topic": "Top/Arts/Movies/Titles/P/Pauline_and_Paulette", "url": "http://www.all-reviews.com/videos-4/pauline-and-paulette.htm"} +{"d:Title": "HARO Online: Pauline and Paulette", "d:Description": "Mongoose reviews the film, looking at how the film avoids being mean-spirited by humanizing Paulette and showing Pauline to be difficult.", "topic": "Top/Arts/Movies/Titles/P/Pauline_and_Paulette", "url": "http://www.haro-online.com/movies/pauline_and_paulette.html"} +{"d:Title": "Metacritic.com: Pauline and Paulette", "d:Description": "Quotes from and links to reviews of the film with an overall rating.", "topic": "Top/Arts/Movies/Titles/P/Pauline_and_Paulette", "url": "http://www.metacritic.com/movie/pauline-and-paulette"} +{"d:Title": "IMDb: Pauline and Paulette (2000)", "d:Description": "Cast, credits, plot summary, users' comments, and related links.", "topic": "Top/Arts/Movies/Titles/P/Pauline_and_Paulette", "url": "http://www.imdb.com/title/tt0256259/"} +{"d:Title": "Rotten Tomatoes: The Pawn", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pawn,_The", "url": "http://www.rottentomatoes.com/m/pawn/"} +{"d:Title": "IMDb: Pawn, The (1998)", "d:Description": "Cast, crew, viewer comments and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Pawn,_The", "url": "http://www.imdb.com/title/tt0156881/"} +{"d:Title": "IMDb: The Pawnbroker (1964)", "d:Description": "Plot summary, cast list, trivia, movie mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pawnbroker,_The", "url": "http://www.imdb.com/title/tt0059575/"} +{"d:Title": "Rotten Tomatoes: The Pawnbroker", "d:Description": "DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pawnbroker,_The", "url": "http://www.rottentomatoes.com/m/the_pawnbroker/"} +{"d:Title": "TV Guide Online:The Pawnbroker", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pawnbroker,_The", "url": "http://www.tvguide.com/movies/pawnbroker/108963/"} +{"d:Title": "IMDb: Payback (1999)", "d:Description": "Cast, credits, plot summary, viewer comments, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Payback", "url": "http://www.imdb.com/title/tt0120784/"} +{"d:Title": "All-Reviews.com: Payback", "d:Description": "Collection of six reviews.", "topic": "Top/Arts/Movies/Titles/P/Payback/Reviews", "url": "http://www.all-reviews.com/videos/payback.htm"} +{"d:Title": "TV Guide Online: Payback", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Payback/Reviews", "url": "http://www.tvguide.com/movies/payback/133161/"} +{"d:Title": "IMDb: Paycheck (2003)", "d:Description": "Cast, crew, plot summary, trailers, and related information.", "topic": "Top/Arts/Movies/Titles/P/Paycheck", "url": "http://www.imdb.com/title/tt0338337/"} +{"d:Title": "HARO Online: Paycheck", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Paycheck", "url": "http://www.haro-online.com/movies/paycheck.html"} +{"d:Title": "All-Reviews.com: Paycheck", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Paycheck", "url": "http://www.all-reviews.com/videos-5/paycheck.htm"} +{"d:Title": "Filmtracks: Paycheck", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Paycheck", "url": "http://www.filmtracks.com/titles/paycheck.html"} +{"d:Title": "TEN Movies: Paycheck", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/P/Paycheck", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1063"} +{"d:Title": "Rotten Tomatoes: Paycheck", "d:Description": "Links to articles, a plot synopsis, photographs, video clips, and a public forum.", "topic": "Top/Arts/Movies/Titles/P/Paycheck", "url": "http://www.rottentomatoes.com/m/paycheck/"} +{"d:Title": "FilmForce: Paycheck", "d:Description": "Review by Jeff Otto, news, features, and photos.", "topic": "Top/Arts/Movies/Titles/P/Paycheck", "url": "http://www.ign.com/movies/paycheck/theater-492294"} +{"d:Title": "Haro Online - Pay It Forward", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/P/Pay_It_Forward", "url": "http://www.haro-online.com/movies/pay_it_forward.html"} +{"d:Title": "All-Reviews.com- Pay it Forward", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/P/Pay_It_Forward", "url": "http://www.all-reviews.com/videos-2/pay-it-forward.htm"} +{"d:Title": "PopMatters - Pay It Forward", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/P/Pay_It_Forward", "url": "http://popmatters.com/film/reviews/p/pay-it-forward.html"} +{"d:Title": "Rottentomatoes: Pay it Forward", "d:Description": "Reviews from critics and audiences. Also includes movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/P/Pay_It_Forward", "url": "http://www.rottentomatoes.com/m/pay_it_forward/"} +{"d:Title": "IMDb - Pay It Forward (2000)", "d:Description": "Cast, credits, plot summary, user comments, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Pay_It_Forward", "url": "http://www.imdb.com/title/tt0223897/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pay_It_Forward", "url": "http://www.metacritic.com/movie/pay-it-forward"} +{"d:Title": "All-Reviews.com: The Peacemaker", "d:Description": "Four reviews of the film.", "topic": "Top/Arts/Movies/Titles/P/Peacemaker,_The", "url": "http://www.all-reviews.com/videos/peacemaker.htm"} +{"d:Title": "Crazy4Cinema.com", "d:Description": "Review and photographs from the movie.", "topic": "Top/Arts/Movies/Titles/P/Peacemaker,_The", "url": "http://crazy4cinema.com/Review/FilmsP/f_peacemaker.html"} +{"d:Title": "Filmtracks: The Peacemaker", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Peacemaker,_The", "url": "http://www.filmtracks.com/titles/peacemaker.html"} +{"d:Title": "IMDb: The Peacemaker", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Peacemaker,_The", "url": "http://www.imdb.com/title/tt0119874/"} +{"d:Title": "Rotten Tomatoes: The Peacemaker", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Peacemaker,_The", "url": "http://www.rottentomatoes.com/m/1079516-peacemaker/"} +{"d:Title": "RogerEbert.com", "d:Description": "Review by Roger Ebert. [Score: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Peacemaker,_The", "url": "http://www.rogerebert.com/reviews/the-peacemaker-1997"} +{"d:Title": "MovieWeb: The Peacemaker (1997)", "d:Description": "Synopsis, cast list, and photos.", "topic": "Top/Arts/Movies/Titles/P/Peacemaker,_The", "url": "http://www.movieweb.com/movie/the-peacemaker"} +{"d:Title": "IMDb: Anlian taohuayuan (1992)", "d:Description": "Plot summary, cast list, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Peach_Blossom_Land", "url": "http://www.imdb.com/title/tt0103699/"} +{"d:Title": "Pearl Harbor Filming Locations", "d:Description": "Filming locations for the movie with photographs and addresses.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor", "url": "http://seeing-stars.com/Locations/2001LocationsPH.shtml"} +{"d:Title": "Rotten Tomatoes - Pearl Harbor", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor", "url": "http://www.rottentomatoes.com/m/1108389-pearl_harbor/"} +{"d:Title": "IMDb: Pearl Harbor (2001)", "d:Description": "Includes a cast list, trailer, and plot outline.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor", "url": "http://www.imdb.com/title/tt0213149/"} +{"d:Title": "FilmForce: Pearl Harbor", "d:Description": "Provides news, articles, and a review.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor", "url": "http://www.ign.com/movies/pearl-harbor/theater-33777"} +{"d:Title": "Awesome Stories: Pearl Harbor", "d:Description": "Provides the historical background behind the movie with links to photographs and documents from the US national archives.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor", "url": "https://www.awesomestories.com/asset/view/Pearl-Harbor"} +{"d:Title": "All-Reviews.com - Pearl Harbor", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor/Reviews", "url": "http://www.all-reviews.com/videos-3/pearl-harbor.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor/Reviews", "url": "http://www.haro-online.com/movies/pearl_harbor.html"} +{"d:Title": "About.com: Pearl Harbor", "d:Description": "Review of the film on DVD by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor/Reviews", "url": "http://homevideo.about.com/library/weekly/aafpr120701.htm"} +{"d:Title": "Filmtracks: Pearl Harbor", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor/Reviews", "url": "http://www.filmtracks.com/titles/pearl_harbor.html"} +{"d:Title": "Metacritic.com", "d:Description": "Offers links to reviews.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor/Reviews", "url": "http://www.metacritic.com/movie/pearl-harbor"} +{"d:Title": "Allreaders Review: Pearl Harbor", "d:Description": "Review of the characters, plot, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/P/Pearl_Harbor/Reviews", "url": "http://allreaders.com/movie-review-summary/pearl-harbor-6411"} +{"d:Title": "All-Reviews.com: Pecker", "d:Description": "Several reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pecker/Reviews", "url": "http://www.all-reviews.com/videos-4/pecker.htm"} +{"d:Title": "TV Guide Online: Pecker", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pecker/Reviews", "url": "http://www.tvguide.com/movies/pecker/133566/"} +{"d:Title": "IMDb: Peeping Tom (1960)", "d:Description": "Cast, credits, plot summary, viewer comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Peeping_Tom", "url": "http://www.imdb.com/title/tt0054167/"} +{"d:Title": "Rotten Tomatoes: Pee-Wee's Big Adventure", "d:Description": "Reviews, synopsis, mistakes, quotes, notes, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pee_Wee's_Big_Adventure", "url": "http://www.rottentomatoes.com/m/peewees_big_adventure/"} +{"d:Title": "IMDb: Pee-wee's Big Adventure (1985)", "d:Description": "Plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pee_Wee's_Big_Adventure", "url": "http://www.imdb.com/title/tt0089791/"} +{"d:Title": "TV Guide Online: Pee-Wee's Big Adventure", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pee_Wee's_Big_Adventure", "url": "http://www.tvguide.com/movies/pee-wees-big-adventure/108997/"} +{"d:Title": "IMDb: Peking Opera Blues (Do Ma Den) (1986)", "d:Description": "Cast, crew, credits, plot summary, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Peking_Opera_Blues", "url": "http://www.imdb.com/title/tt0090952/"} +{"d:Title": "TV Guide Online: Peking Opera Blues", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Peking_Opera_Blues", "url": "http://www.tvguide.com/movies/peking-opera-blues/109003/"} +{"d:Title": "The Pelican Brief", "d:Description": "A review of the movie by Patrick Mahoney, staff reporter for The Tech.", "topic": "Top/Arts/Movies/Titles/P/Pelican_Brief,_The", "url": "http://tech.mit.edu/V113/N64/pelican.64a.html"} +{"d:Title": "IMDb: The Pelican Brief (1993)", "d:Description": "Cast, credits, plot summary, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Pelican_Brief,_The", "url": "http://www.imdb.com/title/tt0107798/"} +{"d:Title": "TV Guide Online: The Pelican Brief", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pelican_Brief,_The", "url": "http://www.tvguide.com/movies/pelican-brief/129329/"} +{"d:Title": "IMDb: Penelope (2006)", "d:Description": "Photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Penelope", "url": "http://www.imdb.com/title/tt0472160/"} +{"d:Title": "Rotten Tomatoes: Penelope", "d:Description": "Review links, synopsis, credits, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Penelope", "url": "http://www.rottentomatoes.com/m/penelope/"} +{"d:Title": "MovieWeb: Penelope (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/P/Penelope", "url": "http://www.movieweb.com/movie/penelope"} +{"d:Title": "All-Reviews.com - People Vs. Larry Flynt", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/People_vs._Larry_Flynt,_The", "url": "http://www.all-reviews.com/videos-2/people-vs-flynt.htm"} +{"d:Title": "Metacritic.com: The People vs. Larry Flynt", "d:Description": "Quotes from and links to reviews of the film, with an overall score.", "topic": "Top/Arts/Movies/Titles/P/People_vs._Larry_Flynt,_The", "url": "http://www.metacritic.com/movie/the-people-vs-larry-flynt"} +{"d:Title": "IMDb: People Who Travel (Les Gens du Voyage) (1938)", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Movies/Titles/P/People_Who_Travel", "url": "http://www.imdb.com/title/tt0169942/"} +{"d:Title": "IMDb: Perfect Game (2000)", "d:Description": "Cast, credits, plot summary, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Game", "url": "http://www.imdb.com/title/tt0163787/"} +{"d:Title": "IMDb: A Perfect Murder (1998)", "d:Description": "Cast, credits, plot summary, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Murder,_A", "url": "http://www.imdb.com/title/tt0120787/"} +{"d:Title": "All-Reviews.com: A Perfect Murder", "d:Description": "Five reviews.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Murder,_A/Reviews", "url": "http://www.all-reviews.com/videos/perfect-murder.htm"} +{"d:Title": "Crazy4Cinema.com: A Perfect Murder", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Murder,_A/Reviews", "url": "http://crazy4cinema.com/Review/FilmsP/f_perfect_murder.html"} +{"d:Title": "SunTimes.com: A Perfect Murder", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Murder,_A/Reviews", "url": "http://www.rogerebert.com/reviews/a-perfect-murder-1998"} +{"d:Title": "TV Guide Online: A Perfect Murder", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Murder,_A/Reviews", "url": "http://www.tvguide.com/movies/perfect-murder/133301/"} +{"d:Title": "HARO Online: The Perfect Score", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Score,_The", "url": "http://www.haro-online.com/movies/perfect_score.html"} +{"d:Title": "IMDb: The Perfect Score (2004)", "d:Description": "Synopsis, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Score,_The", "url": "http://www.imdb.com/title/tt0314498/"} +{"d:Title": "Rotten Tomatoes: The Perfect Score", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Score,_The", "url": "http://www.rottentomatoes.com/m/perfect_score/"} +{"d:Title": "FilmForce: The Perfect Score", "d:Description": "Review by Spence D., news, features, and a video.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Score,_The", "url": "http://www.ign.com/movies/the-perfect-score/theater-486874"} +{"d:Title": "Chicago Sun-Times: The Perfect Score", "d:Description": "Roger Ebert's review. \"...will only bore or fitfully distract its audiences...\"", "topic": "Top/Arts/Movies/Titles/P/Perfect_Score,_The", "url": "http://www.rogerebert.com/reviews/the-perfect-score-2004"} +{"d:Title": "Box Office Mojo - The Perfect Storm", "d:Description": "Daily box office data for The Perfect Storm. Includes comparisons with other George Clooney, Wolfgang Peteresen and disaster movies.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://www.boxofficemojo.com/movies/?id=perfectstorm.htm"} +{"d:Title": "IMDb - The Perfect Storm", "d:Description": "Cast and credits plus additional information about the film and the players.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://www.imdb.com/title/tt0177971/"} +{"d:Title": "Yahoo! Movies: The Perfect Storm", "d:Description": "Information on the new movie.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://movies.yahoo.com/movie/the-perfect-storm/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://www.metacritic.com/movie/the-perfect-storm"} +{"d:Title": "Infoplease.com: Imperfect Storm?", "d:Description": "Sebastian Junger on the disparity between fact and film.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://www.infoplease.com/ipea/A0872880.html"} +{"d:Title": "The Perfect Storm", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://www.warnerbros.com/perfect-storm"} +{"d:Title": "Filmbug - Perfect Storm", "d:Description": "Summary, facts, cast, and links.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://www.filmbug.com/asin/B00003CXJD"} +{"d:Title": "AllReaders.com Perfect Storm Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The", "url": "http://allreaders.com/movie-review-summary/the-perfect-storm-3710"} +{"d:Title": "All-Reviews.com- The Perfect Storm", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The/Reviews", "url": "http://www.all-reviews.com/videos/perfect-storm.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The/Reviews", "url": "http://www.haro-online.com/movies/perfect_storm.html"} +{"d:Title": "PopMatters - Perfect Storm", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The/Reviews", "url": "http://popmatters.com/film/reviews/p/perfect-storm.html"} +{"d:Title": "Filmtracks: The Perfect Storm", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The/Reviews", "url": "http://www.filmtracks.com/titles/perfect_storm.html"} +{"d:Title": "The Perfect Storm - Rotten Tomatoes", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The/Reviews", "url": "http://www.rottentomatoes.com/m/perfect_storm/"} +{"d:Title": "The Flick Filosopher: The Perfect Storm", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/P/Perfect_Storm,_The/Reviews", "url": "http://www.flickfilosopher.com/2000/06/the-perfect-storm-review.html"} +{"d:Title": "All-Reviews.com - A Perfect World", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Perfect_World,_A", "url": "http://www.all-reviews.com/videos-3/perfect-world.htm"} +{"d:Title": "Rotten Tomatoes: A Perfect World", "d:Description": "Reviews, DVD information, synopsis, mistakes, quotes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Perfect_World,_A", "url": "http://www.rottentomatoes.com/m/perfect_world/"} +{"d:Title": "IMDb: A Perfect World (1993)", "d:Description": "Plot, trailer, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Perfect_World,_A", "url": "http://www.imdb.com/title/tt0107808/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Desson Howe: \"But if \"Perfect\" wins certain dramatic points within its scenes, the overall story is a mess.\"", "topic": "Top/Arts/Movies/Titles/P/Perfect_World,_A", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/aperfectworldpg13howe_a0b012.htm"} +{"d:Title": "IMDb: Perfect You, The (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/P/Perfect_You,_The", "url": "http://imdb.com/title/tt0258877/"} +{"d:Title": "Qwipster's Movie Reviews - Crazy Little Thing", "d:Description": "Review by Vince Leo (positive), rating.", "topic": "Top/Arts/Movies/Titles/P/Perfect_You,_The", "url": "http://qwipster.net/crazylittlething.htm"} +{"d:Title": "Rotten Tomatoes: Crazy Little Thing", "d:Description": "DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Perfect_You,_The", "url": "http://www.rottentomatoes.com/m/crazy_little_thing/"} +{"d:Title": "Rotten Tomatoes: Performance", "d:Description": "DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Performance", "url": "http://www.rottentomatoes.com/m/performance/"} +{"d:Title": "IMDb: Performance (1970)", "d:Description": "Cast, credits, plot summary, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Performance", "url": "http://www.imdb.com/title/tt0066214/"} +{"d:Title": "All-Reviews.com - Permanent Midnight", "d:Description": "Six reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Permanent_Midnight", "url": "http://www.all-reviews.com/videos-3/permanent-midnight.htm"} +{"d:Title": "IMDb: Permanent Midnight (1998)", "d:Description": "Cast, credits, plot summary, viewer comments, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Permanent_Midnight", "url": "http://www.imdb.com/title/tt0120788/"} +{"d:Title": "SunTimes.com: Permanent Midnight", "d:Description": "Review of the film by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Permanent_Midnight", "url": "http://www.rogerebert.com/reviews/permanent-midnight-1998"} +{"d:Title": "TV Guide Online: Permanent Midnight", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Permanent_Midnight", "url": "http://www.tvguide.com/movies/permanent-midnight/133426/"} +{"d:Title": "Film Court: Persona", "d:Description": "Review by Lawrence Russell.", "topic": "Top/Arts/Movies/Titles/P/Persona", "url": "http://www.culturecourt.com/F/Hollywood/SSS.htm"} +{"d:Title": "Rotten Tomatoes: Persona", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Persona", "url": "http://www.rottentomatoes.com/m/persona/"} +{"d:Title": "IMDb: Persona (1966)", "d:Description": "Cast, crew, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Persona", "url": "http://www.imdb.com/title/tt0060827/"} +{"d:Title": "SunTimes.com: Persona", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Persona", "url": "http://www.rogerebert.com/reviews/persona-1967"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"'Persona' (1966) is a film we return to over the years, for the beauty of its images and because we hope to understand its mysteries.\"", "topic": "Top/Arts/Movies/Titles/P/Persona", "url": "http://www.rogerebert.com/reviews/great-movie-persona-1966"} +{"d:Title": "All-Reviews.com: Personal Velocity", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Personal_Velocity", "url": "http://all-reviews.com/videos-5/personal-velocity.htm"} +{"d:Title": "HARO Online: Movies: Personal Velocity", "d:Description": "Summary and review.", "topic": "Top/Arts/Movies/Titles/P/Personal_Velocity", "url": "http://www.haro-online.com/movies/personal_velocity.html"} +{"d:Title": "IMDb: Personal Velocity: Three Portraits (2002)", "d:Description": "Plot outline, cast and crew, as well as viewer comments and summary.", "topic": "Top/Arts/Movies/Titles/P/Personal_Velocity", "url": "http://www.imdb.com/title/tt0295238/"} +{"d:Title": "Rotten Tomatoes: Personal Velocity", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, photos, trailers, box office numbers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Personal_Velocity", "url": "http://www.rottentomatoes.com/m/personal_velocity_three_portraits/"} +{"d:Title": "All-Reviews.com - Persuasion", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Persuasion", "url": "http://www.all-reviews.com/videos-3/persuasion.htm"} +{"d:Title": "IMDb: Persuasion", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Persuasion", "url": "http://www.imdb.com/title/tt0114117/"} +{"d:Title": "TV Guide Online: Persuasion", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Persuasion", "url": "http://www.tvguide.com/movies/persuasion/130769/"} +{"d:Title": "Rotten Tomatoes: The Pest", "d:Description": "Reviews, DVD information, synopsis, mistakes, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pest,_The", "url": "http://www.rottentomatoes.com/m/pest/"} +{"d:Title": "IMDb: Pest, The (1997)", "d:Description": "Cast, crew, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Pest,_The", "url": "http://www.imdb.com/title/tt0119887/"} +{"d:Title": "TV Guide Online: Pest, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pest,_The", "url": "http://www.tvguide.com/movies/pest/132085/"} +{"d:Title": "Pete's Dragon", "d:Description": "Short review and plot overview.", "topic": "Top/Arts/Movies/Titles/P/Pete's_Dragon", "url": "http://www.projections-movies.com/reviews/petesdragon.htm"} +{"d:Title": "Pete's Dragon", "d:Description": "Film information, plot synopsis, details of the quality of the DVD version, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Pete's_Dragon", "url": "http://www.michaeldvd.com.au/Reviews/PetesDragon.asp"} +{"d:Title": "DVD Movie Guide: Pete's Dragon", "d:Description": "Review of the film and digitally remastered DVD version.", "topic": "Top/Arts/Movies/Titles/P/Pete's_Dragon", "url": "http://www.dvdmg.com/petesdragon.shtml"} +{"d:Title": "Cubbi's Pete's Dragon Page", "d:Description": "Cast list and song lyrics.", "topic": "Top/Arts/Movies/Titles/P/Pete's_Dragon", "url": "http://cubbi.org/disney/pete.html"} +{"d:Title": "UltimateDisney.com: Pete's Dragon", "d:Description": "DVD review and screen captures.", "topic": "Top/Arts/Movies/Titles/P/Pete's_Dragon", "url": "http://www.ultimatedisney.com/petesdragon.html"} +{"d:Title": "IMDb: Pete's Dragon (1977)", "d:Description": "User submitted reviews, along with a cast and crew list.", "topic": "Top/Arts/Movies/Titles/P/Pete's_Dragon", "url": "http://www.imdb.com/title/tt0076538/"} +{"d:Title": "IMDb: Peter Pan (1960)", "d:Description": "Cast and crew, plus additional information about the television film based on the musical play.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_1960", "url": "http://www.imdb.com/title/tt0054176/"} +{"d:Title": "Rotten Tomatoes: Peter Pan", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_1960", "url": "http://www.rottentomatoes.com/m/1028574-peter_pan/"} +{"d:Title": "About.com: Peter Pan", "d:Description": "Collection of news articles about the 2003 film version, as well as cast and crew, and related links.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://romanticmovies.about.com/cs/peterpan/"} +{"d:Title": "KillerMovies - Peter Pan", "d:Description": "Film information, photographs, news, and links.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.killermovies.com/p/peterpan/"} +{"d:Title": "HARO Online", "d:Description": "Review of the 2003 movie, \"Peter Pan.\"", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.haro-online.com/movies/peter_pan.html"} +{"d:Title": "1MovieSearch: Peter Pan", "d:Description": "Movie review and summary.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.1moviesearch.com/movies/peter_pan_2003.htm"} +{"d:Title": "Filmtracks: Peter Pan", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.filmtracks.com/titles/peter_pan.html"} +{"d:Title": "All-Reviews.com: Peter Pan", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.all-reviews.com/videos-5/peter-pan.htm"} +{"d:Title": "TEN Movies: Peter Pan", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1051"} +{"d:Title": "Rotten Tomatoes: Peter Pan", "d:Description": "Reviews, synopsis, movie mistakes, cast and crew, photos, trailers, box office figures, VHS rental figures, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.rottentomatoes.com/m/1128577-peter_pan/"} +{"d:Title": "IMDb: Peter Pan (2003)", "d:Description": "Cast, crew, plot summary, message boards, links, and other movie data.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.imdb.com/title/tt0316396/"} +{"d:Title": "Future Movies: Peter Pan", "d:Description": "Review by Matt McAllister.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.futuremovies.co.uk/reviews/peter-pan/matt-mcallister"} +{"d:Title": "FilmForce: Peter Pan", "d:Description": "Review by Jeff Otto, news, features, photos, and videos.", "topic": "Top/Arts/Movies/Titles/P/Peter_Pan_-_2003", "url": "http://www.ign.com/movies/peter-pan-2003/theater-482571"} +{"d:Title": "The Daily Script: Pet Sematary", "d:Description": "Provides the movie script of the 1989 film based on the Stephen King novel.", "topic": "Top/Arts/Movies/Titles/P/Pet_Sematary", "url": "http://www.dailyscript.com/scripts/Pet-Sematary.html"} +{"d:Title": "IMDb: Pet Sematary (1989)", "d:Description": "Includes cast and crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/P/Pet_Sematary", "url": "http://www.imdb.com/title/tt0098084/"} +{"d:Title": "Pet Sematary (1989)", "d:Description": "Review by Graeme Clark of the film adaptation of the Stephen King novel.", "topic": "Top/Arts/Movies/Titles/P/Pet_Sematary", "url": "http://www.thespinningimage.co.uk/cultfilms/displaycultfilm.asp?reviewid=150"} +{"d:Title": "Pet Sematary - Wikipedia", "d:Description": "Article about Stephen King's horror novel and film of the same name. Includes details of plot and ending.", "topic": "Top/Arts/Movies/Titles/P/Pet_Sematary", "url": "http://en.wikipedia.org/wiki/Pet_Sematary"} +{"d:Title": "Stephen King's Pet Sematary", "d:Description": "Film review from House of Horrors.", "topic": "Top/Arts/Movies/Titles/P/Pet_Sematary", "url": "http://www.houseofhorrors.com/petsematary.htm"} +{"d:Title": "The Phantasm Website", "d:Description": "The official site dedicated to the classic sci-fi/horror film series. Information, reviews, and links.", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series", "url": "http://www.phantasm.com/"} +{"d:Title": "IMDb - Phantasm (1979)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series/Phantasm", "url": "http://www.imdb.com/title/tt0079714/"} +{"d:Title": "TV Guide Online: Phantasm", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series/Phantasm", "url": "http://www.tvguide.com/movies/phantasm/109116/"} +{"d:Title": "IMDb: Phantasm II (1988)", "d:Description": "Cast listing, plot outline, viewer comments, trivia, and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series/Phantasm_2", "url": "http://www.imdb.com/title/tt0095863/"} +{"d:Title": "IMDb: Phantasm III: Lord of the Dead (1994)", "d:Description": "Plot summary, cast and crew information, quotes, reviews, and commentary.", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series/Phantasm_III_-_Lord_of_the_Dead", "url": "http://www.imdb.com/title/tt0110823/"} +{"d:Title": "IMDb: Phantasm IV: Oblivion (1998)", "d:Description": "Complete movie information and related facts.", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series/Phantasm_IV_-_Oblivion", "url": "http://www.imdb.com/title/tt0138703/"} +{"d:Title": "Rotten Tomatoes: Phantasm IV: Oblivion", "d:Description": "Reviews, synopsis, mistakes, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series/Phantasm_IV_-_Oblivion", "url": "http://www.rottentomatoes.com/m/phantasm_iv_oblivion/"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, cast and credits, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Phantasm_Series/Phantasm_IV_-_Oblivion", "url": "http://movies.yahoo.com/movie/phantasm-iv-oblivion/"} +{"d:Title": "Hollywood Teen Movies: The Phantom", "d:Description": "Cast and a short review.", "topic": "Top/Arts/Movies/Titles/P/Phantom,_The", "url": "http://www.hollywoodteenmovies.com/ThePhantom.html"} +{"d:Title": "Rotten Tomatoes: The Phantom", "d:Description": "Reviews, DVD information, synopsis, mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Phantom,_The", "url": "http://www.rottentomatoes.com/m/1072083-phantom/"} +{"d:Title": "IMDb: Phantom, The (1996)", "d:Description": "Cast, crew, plot summary, viewer comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Phantom,_The", "url": "http://www.imdb.com/title/tt0117331/"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"It has the breakneck energy of the Indiana Jones movies, and the same love of fedora hats and very big old trucks.\"", "topic": "Top/Arts/Movies/Titles/P/Phantom,_The", "url": "http://www.rogerebert.com/reviews/the-phantom-1996"} +{"d:Title": "Phantoms", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Phantoms", "url": "http://www.ram.org/ramblings/movies/phantoms.html"} +{"d:Title": "Rotten Tomatoes: Phantoms", "d:Description": "DVD information, synopsis, cast and crew lists, movie mistakes, reviews, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Phantoms", "url": "http://www.rottentomatoes.com/m/phantoms/"} +{"d:Title": "IMDb: Phantoms (1998)", "d:Description": "Cast, crew, plot summary, viewer comments, related links, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Phantoms", "url": "http://www.imdb.com/title/tt0119891/"} +{"d:Title": "TV Guide Online: Phantoms", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Phantoms", "url": "http://www.tvguide.com/movies/phantoms/132552/"} +{"d:Title": "Phantom Lady (1944)", "d:Description": "Photographs, synopsis and review from Christina Lui and Karl Hill.", "topic": "Top/Arts/Movies/Titles/P/Phantom_Lady", "url": "http://www.eskimo.com/~noir/ftitles/phantom/"} +{"d:Title": "IMDb: Phantom Lady (1944)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/P/Phantom_Lady", "url": "http://www.imdb.com/title/tt0036260/"} +{"d:Title": "Rotten Tomatoes: Phantom Lady", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Phantom_Lady", "url": "http://www.rottentomatoes.com/m/phantom_lady/"} +{"d:Title": "Ozus' World: Phantom Lady", "d:Description": "Dennis Schwartz reviews the film that \"proved to be a boon to the German-born director Robert Siodmak's career.\"", "topic": "Top/Arts/Movies/Titles/P/Phantom_Lady", "url": "http://homepages.sover.net/~ozus/phantomlady.htm"} +{"d:Title": "AllMovie: Phantom Lady", "d:Description": "Synopsis, review, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/P/Phantom_Lady", "url": "http://www.allmovie.com/movie/phantom-lady-v106001"} +{"d:Title": "IMDb: Phantom of the Opera, The (1925)", "d:Description": "Complete movie information, such as cast, crew, reviews, plot summary, comments, pictures, and links.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_1925", "url": "http://www.imdb.com/title/tt0016220/"} +{"d:Title": "IMDb: Fantasma dell'opera, Il (1998)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion boards, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_1998", "url": "http://www.imdb.com/title/tt0119889/"} +{"d:Title": "Rotten Tomatoes: Phantom of the Opera, The (2004)", "d:Description": "Reviews, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.rottentomatoes.com/m/phantom_of_the_opera/"} +{"d:Title": "The Phantom's Opera", "d:Description": "Interactive fan forum dedicated to The Phantom of the Opera includes discussions, role playing, contests and special events.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.phantoms-opera.com/"} +{"d:Title": "The Phantom of the Opera: Mistakes, Goofs and Bloopers", "d:Description": "List includes visible crew and equipment, continuity problems, deliberate mistakes, audio difficulties and factual errors.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.moviemistakes.com/film4658"} +{"d:Title": "The Phantom of the Opera (2004)", "d:Description": "Provides box office information, articles, news and analysis.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.boxofficemojo.com/movies/?id=phantomoftheopera.htm"} +{"d:Title": "Film Phantom Arrives on Video", "d:Description": "A lavish adaptation of Andrew Lloyd Webber's long-running Broadway smash, The Phantom of the Opera will be available in both VHS and DVD formats.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.broadway.com/gen/Buzz_Story.aspx?ci=52760"} +{"d:Title": "Fanfics&Wallpapers : The Phantom of the Opera", "d:Description": "Offers a variety of desktop wallpapers based on the 2004 movie as directed by Joel Schumacher.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://fanficsetwallpapers.free.fr/wpphantom/wpphantom.htm"} +{"d:Title": "The Phantom of the Opera - Bloomingdale's 2004 Christmas Window Displays", "d:Description": "Bloomingdale's 2004 holiday window displays feature scenes from The Phantom of the Opera.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://gonyc.about.com/od/photogalleries/l/bl_bloomies01.htm"} +{"d:Title": "Andrew Lloyd Webber's The Phantom of the Opera", "d:Description": "Official film site by The Really Useful Group. Offers photos, merchandising, music clips and reviews.", "priority": "1", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.reallyuseful.com/shows/the-phantom-of-the-opera/the-film"} +{"d:Title": "IMDb: Phantom of the Opera, The (2004)", "d:Description": "Pre-production information, such as cast, crew, plot summary, and message boards.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.imdb.com/title/tt0293508/"} +{"d:Title": "BroadwayWorld.com: \"The Phantom of the Opera\" Soundtrack Scores", "d:Description": "The soundtrack of the film version of \"The Phantom of the Opera\" ranks in second place on Billboard's Year End Chart for soundtracks in 2005.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.broadwayworld.com/article/The-Phantom-of-the-Opera-Soundtrack-Scores-on-2005-Billboard-Chart-20051229"} +{"d:Title": "BroadwayWorld.com: Phantomania Begins at SITGES", "d:Description": "This past week in Spain, Joel Schumacher and Lord Andrew Lloyd Webber received awards at SITGES after a screening of The Phantom of The Opera.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.broadwayworld.com/article/PhotoVideo-Coverage-Phantomania-begins-at-SITGES-20041211"} +{"d:Title": "BroadwayWorld.com: Auction of Signed Phantom Film Script", "d:Description": "The script that director Joel Schumacher used and annotated during the filming of the movie musical version of The Phantom of the Opera will be auctioned.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.broadwayworld.com/article/eBay-Auction-of-Signed-Phantom-Film-Script-Begins-29-20070208"} +{"d:Title": "Phantom of the Opera in Fifteen Minutes", "d:Description": "Movie parody with reader commentary.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://m15m.livejournal.com/6231.html"} +{"d:Title": "Coming Soon: Andrew Lloyd Webber's The Phantom of the Opera", "d:Description": "Features cast list and critique by Glen Bucher.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.comingsoon.net/movies/reviews/7712-andrew-lloyd-webbers-the-phantom-of-the-opera"} +{"d:Title": "Phantom of the Opera, The", "d:Description": "Official site with multimedia, interviews, and production notes.", "priority": "1", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004", "url": "http://www.warnerbros.com/phantom-opera"} +{"d:Title": "eFilmCritic Movie Review - Phantom of the Opera, The", "d:Description": "Provides an archive of twelve reviews for Joel Schumacher's 2004 film adaptation. Includes reader commentary.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.efilmcritic.com/review.php?movie=11360"} +{"d:Title": "Reeling: The Phantom of the Opera", "d:Description": "The songs generally suffer on the screen, with only the Phantom's organ-driven theme and the sexual frenzy of the climatic 'Past the Point of No Return' having any real energy.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.reelingreviews.com/thephantomoftheopera.htm"} +{"d:Title": "Hybridmagazine.com: The Phantom of the Opera", "d:Description": "Overall, Phantom is a not-so-ambitious musical adaptation that does little to establish itself as a movie or true spectacle. Review by Jeffrey \u201cThe Vile One\u201d Harris.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.hybridmagazine.com/films/1204/phantom.shtml"} +{"d:Title": "Screen It Review: The Phantom of the Opera", "d:Description": "I was bored much of the time, with only a handful of musical numbers and some set designs managing to break that state, if only momentarily.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.screenit.com/ourtake/2004/the_phantom_of_the_opera.html"} +{"d:Title": "Movie Review for The Phantom of the Opera", "d:Description": "The film is a treat, whether you\u2019ve seen the show or not. Includes small thumbnail photo gallery and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.cinemablend.com/review.php?id=795"} +{"d:Title": "Let the Spectacle Astound You", "d:Description": "Set, costumes, filming technique, all came together stunningly to make a great epic of the story of the inmates of the opera house. Review by Ercasse-ainince.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://ercasse-ainince.livejournal.com/30230.html"} +{"d:Title": "Rolling Stone: The Phantom of the Opera - Review", "d:Description": "Gerard Butler brings a raw, full-throated masculinity to the Phantom. Review by Peter Travers.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.rollingstone.com/movies/reviews/phantom-of-the-opera-20041215"} +{"d:Title": "dOc DVD Review: The Phantom of the Opera", "d:Description": "The highly underrated film adaptation of the beloved musical/opera, packed with valuable extras, becomes one of the first pictures to reach the new format of HD-DVD.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=8572"} +{"d:Title": "RogerEbert.com - The Phantom of the Opera", "d:Description": "The well known movie critic provides an analysis of the 2004 film directed by Joel Schumacher.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.rogerebert.com/reviews/andrew-lloyd-webbers-phantom-of-the-opera-2004"} +{"d:Title": "The Flick Filosopher: Andrew Lloyd Webber's The Phantom of the Opera", "d:Description": "It's a good thing Gaston Leroux is dead, because this movie adaptation of his 1911 novel would have killed him. Reviewed by MaryAnn Johanson.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.flickfilosopher.com/2004/12/andrew-lloyd-webbers-the-phantom-of-the-opera-review.html"} +{"d:Title": "Film Blather: The Phantom of the Opera", "d:Description": "Review criticizes both the movie production and the director.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://filmblather.com/films/phantomoftheopera/"} +{"d:Title": "Salon: The Phantom of the Opera", "d:Description": "\"Phantom of the Opera\" feels less like a movie than a nonstop amusement park ride designed to make people feel they really got their money's worth. By Stephanie Zacharek.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.salon.com/2004/12/22/phantom/"} +{"d:Title": "VillageVoice: The Phantom Of The Opera", "d:Description": "Casting directors should know by now what countless bad musicals have shown: Just because you can carry a tune doesn't mean you can carry a whole movie. By Jorge Morales.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://www.villagevoice.com/film/the-phantom-menace-6398790"} +{"d:Title": "The Boston Globe: The Phantom of the Opera Movie Review", "d:Description": "It has a little something to irritate everybody. By Wesley Morris.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Opera,_The_-_2004/Reviews", "url": "http://archive.boston.com/ae/movies/articles/2004/12/22/a_fright_at_the_opera/?camp=pm"} +{"d:Title": "IMDb: Phantom of the Paradise (1974)", "d:Description": "Cast, crew, credits, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Phantom_of_the_Paradise", "url": "http://www.imdb.com/title/tt0071994/"} +{"d:Title": "Pharaoh's Army", "d:Description": "Official site includes RealAudio interviews, reviews, and purchasing information.", "topic": "Top/Arts/Movies/Titles/P/Pharaoh's_Army", "url": "http://www.pbs.org/pharaoh/"} +{"d:Title": "IMDb: Pharaoh's Army (1995)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/P/Pharaoh's_Army", "url": "http://www.imdb.com/title/tt0114122/"} +{"d:Title": "All-Reviews.com - Phenomenon", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Phenomenon", "url": "http://www.all-reviews.com/videos-2/phenomenon.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Phenomenon", "url": "http://crazy4cinema.com/Review/FilmsP/f_phenom.html"} +{"d:Title": "Rotten Tomatoes: Phenomenon", "d:Description": "Reviews, synopsis, mistakes, quotes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Phenomenon", "url": "http://www.rottentomatoes.com/m/phenomenon/"} +{"d:Title": "Allreaders Review - Phenomenon", "d:Description": "Detailed analysis of the John Travolta film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Phenomenon", "url": "http://allreaders.com/movie-review-summary/phenomenon-5548"} +{"d:Title": "TV Guide Online: Phenomenon", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Phenomenon", "url": "http://www.tvguide.com/movies/phenomenon/131506/"} +{"d:Title": "Greatest Films: The Philadelphia Story", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/P/Philadelphia_Story,_The", "url": "http://www.filmsite.org/phil.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Philadelphia_Story,_The", "url": "http://crazy4cinema.com/Review/FilmsP/f_pa_story.html"} +{"d:Title": "Destination Hollywood: The Philadelphia Story", "d:Description": "Synopsis, cast list, photographs, video clips, quotes, trivia, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Philadelphia_Story,_The", "url": "http://www.destinationhollywood.com/movies/philadelphiastory/"} +{"d:Title": "Reel Classics: The Philadelphia Story", "d:Description": "Cast and crew, awards, article by Ian Irvine, lyrics to one of the songs, and links.", "topic": "Top/Arts/Movies/Titles/P/Philadelphia_Story,_The", "url": "http://www.reelclassics.com/Movies/Philstory/philstory.htm"} +{"d:Title": "IMDb - The Philadelphia Story", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Philadelphia_Story,_The", "url": "http://www.imdb.com/title/tt0032904/"} +{"d:Title": "TV Guide Online: Philadelphia Story, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Philadelphia_Story,_The", "url": "http://www.tvguide.com/movies/philadelphia-story/109171/"} +{"d:Title": "Philip Marlowe", "d:Description": "Filmography of Raymond Chandler's hardboiled detective, as well as a bibliography and list of radio and TV adaptations.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies", "url": "http://www.thrillingdetective.com/marlowe.html"} +{"d:Title": "Philip Marlowe - Fictional Private Eye", "d:Description": "Brief biography and links to other Marlowe pages.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies", "url": "http://www.who2.com/bio/philip-marlowe"} +{"d:Title": "Greatest Films - The Big Sleep", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1946", "url": "http://www.filmsite.org/bigs.html"} +{"d:Title": "The Big Sleep (1946)", "d:Description": "Synopsis, and technical details.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1946", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ReviewID=364"} +{"d:Title": "Rotten Tomatoes: The Big Sleep", "d:Description": "Reviews, synopsis, mistakes, quotes, notes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1946", "url": "http://www.rottentomatoes.com/m/1002352-big_sleep/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1946", "url": "http://www.imdb.com/title/tt0038355/"} +{"d:Title": "ReelClassics: The Big Sleep (1946)", "d:Description": "Credits, detailed synopsis, articles, multimedia, bibliography, and links.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1946", "url": "http://www.reelclassics.com/Movies/BigSleep/bigsleep.htm"} +{"d:Title": "The Big Sleep (1978)", "d:Description": "Synopsis, and technical details.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1978", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ReviewID=1534"} +{"d:Title": "Rotten Tomatoes: The Big Sleep (1978)", "d:Description": "Synopsis, cast and crew, articles, and forum.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1978", "url": "http://www.rottentomatoes.com/m/1002353-big_sleep/"} +{"d:Title": "IMDb: The Big Sleep (1978)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Philip_Marlowe_Movies/Big_Sleep,_The_-_1978", "url": "http://www.imdb.com/title/tt0077234/"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Phone_Booth", "url": "http://www.haro-online.com/movies/phone_booth.html"} +{"d:Title": "All-Reviews.com: Phone Booth", "d:Description": "Several reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Phone_Booth", "url": "http://www.all-reviews.com/videos-5/phone-booth.htm"} +{"d:Title": "Rotten Tomatoes: Phone Booth", "d:Description": "Reviews, synopsis, trailer, photographs, news, and articles.", "topic": "Top/Arts/Movies/Titles/P/Phone_Booth", "url": "http://www.rottentomatoes.com/m/phone_booth/"} +{"d:Title": "Yahoo! Movies: Phone Booth", "d:Description": "Synopsis, credits, reviews, trailers, and photographs.", "topic": "Top/Arts/Movies/Titles/P/Phone_Booth", "url": "http://movies.yahoo.com/movie/phone-booth/"} +{"d:Title": "IMDb: Phone Booth (2002)", "d:Description": "Cast, crew, plot outline, reviews, trivia, and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Phone_Booth", "url": "http://www.imdb.com/title/tt0183649/"} +{"d:Title": "Contactmusic.com: Phone Booth", "d:Description": "Synopsis, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/P/Phone_Booth", "url": "http://www.contactmusic.com/pages/phoneboothx19x03x03"} +{"d:Title": "Wikipedia: Photographing Fairies", "d:Description": "Encyclopedic article on the 1997 British film.", "topic": "Top/Arts/Movies/Titles/P/Photographing_Fairies", "url": "http://en.wikipedia.org/wiki/Photographing_Fairies"} +{"d:Title": "IMDb: Photographing Fairies (1997)", "d:Description": "Cast and crew lists, production details, plot summary, discussion board, user ratings and reviews.", "topic": "Top/Arts/Movies/Titles/P/Photographing_Fairies", "url": "http://www.imdb.com/title/tt0119893/"} +{"d:Title": "The Spinning Image: Photographing Fairies (1997)", "d:Description": "Review by Mary Sibley commenting on the film's audience, appeal, mature subject matter, and production.", "topic": "Top/Arts/Movies/Titles/P/Photographing_Fairies", "url": "http://www.thespinningimage.co.uk/cultfilms/displaycultfilm.asp?reviewid=439"} +{"d:Title": "Rotten Tomatoes: Photographing Fairies (1997)", "d:Description": "Review, film rating, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Photographing_Fairies", "url": "http://www.rottentomatoes.com/m/photographing_fairies/"} +{"d:Title": "All Movie Guide: Photographing Fairies", "d:Description": "Plot synopsis, review, and production facts.", "topic": "Top/Arts/Movies/Titles/P/Photographing_Fairies", "url": "http://www.allmovie.com/movie/v158698"} +{"d:Title": "Darren Aronofsky Online: Pi", "d:Description": "Short review and trailers.", "topic": "Top/Arts/Movies/Titles/P/Pi", "url": "http://aronofksy.tripod.com/pi.html"} +{"d:Title": "Internet Movie Database: Pi", "d:Description": "Overview, trailer, production credits, and links.", "topic": "Top/Arts/Movies/Titles/P/Pi", "url": "http://www.imdb.com/title/tt0138704/"} +{"d:Title": "TV Guide Online: Pi", "d:Description": "Review, plot synopsis, cast credits, and pictures.", "topic": "Top/Arts/Movies/Titles/P/Pi", "url": "http://www.tvguide.com/movies/pi/133258/"} +{"d:Title": "BBC Films: The Pianist", "d:Description": "Movie summary and review, cast and crew, and related links. Interviews with Adrien Brody and Maureen Lipman.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "http://www.bbc.co.uk/films/2002/12/19/the_pianist_2003_review.shtml"} +{"d:Title": "BBC News: How Playing The Pianist Took its Toll", "d:Description": "Article in which Adrien Brody reveals the physical and mental challenges involved in playing the lead role in Roman Polanski's award-winning film, The Pianist.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "http://news.bbc.co.uk/1/hi/2688547.stm"} +{"d:Title": "Reel Faces - The Pianist", "d:Description": "Explores the real people behind the film's story. Includes photographs, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "http://www.chasingthefrog.com/reelfaces/thepianist.php"} +{"d:Title": "Rotten Tomatoes: The Pianist", "d:Description": "Reviews, movie information, trailer, poster, photographs, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "http://www.rottentomatoes.com/m/pianist/"} +{"d:Title": "IMDb: The Pianist", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "http://www.imdb.com/title/tt0253474/"} +{"d:Title": "Chicago Sun-Times - The Pianist", "d:Description": "Roger Ebert reviews the film directed by Roman Polanski, written by Ronald Harwood.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "http://www.rogerebert.com/reviews/the-pianist-2003"} +{"d:Title": "Awesome Stories: The Pianist", "d:Description": "Historical background of the film with links to primary sources.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "https://www.awesomestories.com/asset/view/Pianist-The"} +{"d:Title": "United States Holocaust Memorial Museum - Szpilman's Warsaw", "d:Description": "Offers the history behind The Pianist, including historical film footage, interviews, and archive material.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The", "url": "https://www.ushmm.org/information/exhibitions/online-exhibitions/special-focus/szpilman-warsaw-pianist"} +{"d:Title": "About.com: Review of The Pianist on DVD", "d:Description": "Ivana Redwine gives a review of \"The Pianist\" on DVD, with special features listed. Related reviews and resources.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The/Reviews", "url": "http://homevideo.about.com/library/weekly/aafpr060403.htm"} +{"d:Title": "All-Reviews.com: The Pianist", "d:Description": "Reader-submitted reviews, ratings.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The/Reviews", "url": "http://www.all-reviews.com/videos-5/pianist.htm"} +{"d:Title": "BBC News: Polanski Strikes Right Chord", "d:Description": "BBC News Online reviews the Holocaust drama.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The/Reviews", "url": "http://news.bbc.co.uk/1/hi/2687329.stm"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The/Reviews", "url": "http://www.haro-online.com/movies/pianist.html"} +{"d:Title": "MRQE: The Pianist (2002)", "d:Description": "Movie reviews from around the world.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The/Reviews", "url": "http://www.mrqe.com/lookup?%5EPianist,+The+(2002)"} +{"d:Title": "The Observer: The Pianist", "d:Description": "Review and analysis by Jay Rayner.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The/Reviews", "url": "http://www.theguardian.com/film/2002/nov/03/londonfilmfestival2002.artsfeatures"} +{"d:Title": "Guardian Film: The Pianist", "d:Description": "Review by Philip French.", "topic": "Top/Arts/Movies/Titles/P/Pianist,_The/Reviews", "url": "http://www.theguardian.com/film/News_Story/Critic_Review/Observer_review/0,4267,882391,00.html"} +{"d:Title": "All-Reviews.com: The Piano", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Piano,_The", "url": "http://www.all-reviews.com/videos-3/piano.htm"} +{"d:Title": "Simply Scripts: The Piano", "d:Description": "The fourth draft script for the movie.", "topic": "Top/Arts/Movies/Titles/P/Piano,_The", "url": "http://www.dailyscript.com/scripts/thepianolesson.html"} +{"d:Title": "IMDb - Piano, The (1993)", "d:Description": "Full information about the cast, crew and film locations. Also links to user and external reviews, movie connections and photographs.", "topic": "Top/Arts/Movies/Titles/P/Piano,_The", "url": "http://www.imdb.com/title/tt0107822/"} +{"d:Title": "Rotten Tomatoes: The Piano", "d:Description": "Reviews, synopsis, mistakes, quotes, production notes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Piano,_The", "url": "http://www.rottentomatoes.com/m/piano/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Hal Hinson: \"'The Piano' is dark, sublime music, and after it's over, you won't be able to get it out of your head.\"", "topic": "Top/Arts/Movies/Titles/P/Piano,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/thepianorhinson_a0a8ab.htm"} +{"d:Title": "Metacritic.com: The Piano", "d:Description": "Quotes from and links to reviews of the film, with an overall rating and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Piano,_The", "url": "http://www.metacritic.com/movie/the-piano"} +{"d:Title": "Chicago Sun-Times: The Piano", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/P/Piano,_The", "url": "http://www.rogerebert.com/reviews/the-piano-1993"} +{"d:Title": "MovieMartyr: The Piano Teacher", "d:Description": "Detailed analysis accompanied by photos.", "topic": "Top/Arts/Movies/Titles/P/Piano_Teacher,_The", "url": "http://www.moviemartyr.com/2002/pianoteacher.htm"} +{"d:Title": "Shadows on the Wall: La Pianiste", "d:Description": "Review by Rich Cline looking at how it is a difficult film to watch. Also allows visitors to add their own comments.", "topic": "Top/Arts/Movies/Titles/P/Piano_Teacher,_The", "url": "http://www.shadowsonthewall.co.uk/swpiatea.htm"} +{"d:Title": "Rotten Tomatoes: The Piano Teacher", "d:Description": "Quotes from and links to reviews, plot synopsis and a public forum.", "topic": "Top/Arts/Movies/Titles/P/Piano_Teacher,_The", "url": "http://www.rottentomatoes.com/m/piano_teacher/"} +{"d:Title": "IMDb: The Piano Teacher", "d:Description": "Cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/P/Piano_Teacher,_The", "url": "http://www.imdb.com/title/tt0254686/"} +{"d:Title": "Metacritic.com: The Piano Teacher", "d:Description": "Links to reviews from various critics. Also includes film information and user reviews.", "topic": "Top/Arts/Movies/Titles/P/Piano_Teacher,_The", "url": "http://www.metacritic.com/movie/the-piano-teacher"} +{"d:Title": "IMDb: Picking Up the Pieces (2000)", "d:Description": "Cast list, plot outlines, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Picking_up_the_Pieces", "url": "http://www.imdb.com/title/tt0192455/"} +{"d:Title": "Rotten Tomatoes: Picking Up the Pieces", "d:Description": "Reviews, DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Picking_up_the_Pieces", "url": "http://www.rottentomatoes.com/m/picking_up_the_pieces/"} +{"d:Title": "Chicago Reader - The Pickle", "d:Description": "Review by Jonathan Rosenbaum (negative).", "topic": "Top/Arts/Movies/Titles/P/Pickle,_The", "url": "http://onfilm.chicagoreader.com/movies/capsules/07101_PICKLE.html"} +{"d:Title": "IMDb - Pickle, The (1993)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/P/Pickle,_The", "url": "http://www.imdb.com/title/tt0107824/combined"} +{"d:Title": "Chicago Sun-Times - The Pickle", "d:Description": "Review by Roger Ebert (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/P/Pickle,_The", "url": "http://www.rogerebert.com/reviews/the-pickle-1993"} +{"d:Title": "TV Guide Online: Pickle, The", "d:Description": "Review by Tom Hinckley (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/P/Pickle,_The", "url": "http://www.tvguide.com/movies/pickle/129540/"} +{"d:Title": "Rotten Tomatoes: Picnic", "d:Description": "Synopsis, DVD information, reviews, cast and crew lists, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Picnic", "url": "http://www.rottentomatoes.com/m/picnic/"} +{"d:Title": "IMDb: Picnic (1955)", "d:Description": "Movie data, such as cast, crew, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Picnic", "url": "http://www.imdb.com/title/tt0048491/"} +{"d:Title": "Picnic", "d:Description": "Review by Roger Ebert for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/P/Picnic", "url": "http://www.rogerebert.com/reviews/picnic-1996"} +{"d:Title": "Picnic at Hanging Rock", "d:Description": "Includes photos, reviews, and information about the DVD.", "topic": "Top/Arts/Movies/Titles/P/Picnic_at_Hanging_Rock", "url": "http://www10.pair.com/~crazydv/weir/picnic/index.html"} +{"d:Title": "Rotten Tomatoes: Picnic at Hanging Rock", "d:Description": "Reviews, synopsis, mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Picnic_at_Hanging_Rock", "url": "http://www.rottentomatoes.com/m/picnic_at_hanging_rock/"} +{"d:Title": "IMDB - Picnic at Hanging Rock (1975)", "d:Description": "Complete information about the cast and crew of the movie, with links to external reviews, technical details and miscellaneous information.", "topic": "Top/Arts/Movies/Titles/P/Picnic_at_Hanging_Rock", "url": "http://www.imdb.com/title/tt0073540/"} +{"d:Title": "Picnic at Hanging Rock", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Picnic_at_Hanging_Rock", "url": "http://www.rogerebert.com/reviews/great-movie-picnic-at-hanging-rock-1975"} +{"d:Title": "All-Reviews.com - Picture bride", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Picture_Bride", "url": "http://www.all-reviews.com/videos-3/picture-bride.htm"} +{"d:Title": "Rotten Tomatoes: Picture Bride", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Picture_Bride", "url": "http://www.rottentomatoes.com/m/picture_bride/"} +{"d:Title": "IMDb: Picture Bride (1994)", "d:Description": "Cast, crew, reviews, plot summary, and related information.", "topic": "Top/Arts/Movies/Titles/P/Picture_Bride", "url": "http://www.imdb.com/title/tt0114129/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Rita Kempley: \"...for all its touches and influences, the film feels unfinished, almost as if it were the first chapter of a Pacific island saga.\"", "topic": "Top/Arts/Movies/Titles/P/Picture_Bride", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/picturebridepg13kempley_a06ed2.htm"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"Like stories of the old days in many cultures, this tale is probably not as harrowing as the reality...\"", "topic": "Top/Arts/Movies/Titles/P/Picture_Bride", "url": "http://www.rogerebert.com/reviews/picture-bride-1995"} +{"d:Title": "All-Reviews.com: Picture Perfect", "d:Description": "A 3 star review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Picture_Perfect", "url": "http://www.all-reviews.com/videos/picture-perfect.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Picture_Perfect", "url": "http://crazy4cinema.com/Review/FilmsP/f_pperfect.html"} +{"d:Title": "Rotten Tomatoes: Picture Perfect", "d:Description": "Reviews, synopsis, mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Picture_Perfect", "url": "http://www.rottentomatoes.com/m/1078216-picture_perfect/"} +{"d:Title": "IMDb: Picture Perfect (1997)", "d:Description": "Plot outlines, cast list, mistakes, quotes, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Picture_Perfect", "url": "http://www.imdb.com/title/tt0119896/"} +{"d:Title": "TV Guide Online: Picture Perfect", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Picture_Perfect", "url": "http://www.tvguide.com/movies/picture-perfect/132230/"} +{"d:Title": "HARO Online: Pieces of April", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Pieces_of_April", "url": "http://www.haro-online.com/movies/pieces_of_april.html"} +{"d:Title": "All-Reviews.com - Pieces of April", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pieces_of_April", "url": "http://www.all-reviews.com/videos-5/pieces-of-april.htm"} +{"d:Title": "DreamLogic: Pieces of April", "d:Description": "Review by Kris Kobayashi.", "topic": "Top/Arts/Movies/Titles/P/Pieces_of_April", "url": "http://dreamlogic.net/REVIEWS/piecesofapril.html"} +{"d:Title": "Rotten Tomatoes: Pieces of April", "d:Description": "Reviews, synopsis, movie mistakes, cast and crew, photos, trailers, box office numbers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pieces_of_April", "url": "http://www.rottentomatoes.com/m/pieces_of_april/"} +{"d:Title": "IMDb: Pieces of April (2003)", "d:Description": "Movie summary, viewer comments, cast and crew, message board, and other related information.", "topic": "Top/Arts/Movies/Titles/P/Pieces_of_April", "url": "http://www.imdb.com/title/tt0311648/"} +{"d:Title": "FilmForce: Pieces of April", "d:Description": "Review by Jeff Otto, news, interviews, and a video.", "topic": "Top/Arts/Movies/Titles/P/Pieces_of_April", "url": "http://www.ign.com/movies/pieces-of-april/theater-535786"} +{"d:Title": "IMDb: A Piece of Eden (2000)", "d:Description": "Cast and crew information, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Piece_of_Eden,_A", "url": "http://www.imdb.com/title/tt0181945/"} +{"d:Title": "Rotten Tomatoes: A Piece of Eden", "d:Description": "Reviews, DVD information, synopsis, cast list, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Piece_of_Eden,_A", "url": "http://www.rottentomatoes.com/m/1129077-piece_of_eden/"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"...the story line runs out of steam about four-fifths of the way through, and the closing scenes lack dramatic interest, dissolving in a haze of landscapes and blue skies and happily-ever-after music.\"", "topic": "Top/Arts/Movies/Titles/P/Piece_of_Eden,_A", "url": "http://www.rogerebert.com/reviews/a-piece-of-eden-2000"} +{"d:Title": "IMDb: The Last Hangman (2005)", "d:Description": "Videos, photo gallery, cast list, quotes, mistakes, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pierrepoint", "url": "http://www.imdb.com/title/tt0462477/"} +{"d:Title": "Rotten Tomatoes: Pierrepoint", "d:Description": "Synopsis, review links, cast list, photos, videos, and showtimes.", "topic": "Top/Arts/Movies/Titles/P/Pierrepoint", "url": "http://www.rottentomatoes.com/m/pierrepoint/"} +{"d:Title": "RogerEbert.com", "d:Description": "Review, by Roger Ebert: \"The key to the film is in the performances by Spall and Stevenson -- and by Marsan. The utter averageness of the characters, their lack of insight, their normality, contrasts with the subject matter in an unsettling way.\" [Score: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Pierrepoint", "url": "http://www.rogerebert.com/reviews/pierrepoint-the-last-hangman-2007-1"} +{"d:Title": "MovieWeb: Pierrepoint", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/P/Pierrepoint", "url": "http://www.movieweb.com/movie/pierrepoint-the-last-hangman"} +{"d:Title": "The Boston Globe: Pierrepoint", "d:Description": "Review, by Ty Burr: \"Like its hero, the movie doesn't flinch for most of its running time.\" [Score: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Pierrepoint", "url": "http://archive.boston.com/ae/movies/articles/2007/06/15/the_last_hangman_is_grim_but_humane/?camp=pm"} +{"d:Title": "IMDb: Pig (1998)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Pig", "url": "http://imdb.com/title/tt0175038/"} +{"d:Title": "IMDb: The Pillow Book", "d:Description": "Cast and crew information, plot summary, reviews, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/P/Pillow_Book,_The", "url": "http://www.imdb.com/title/tt0114134/"} +{"d:Title": "Rotten Tomatoes: The Pillow Book", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pillow_Book,_The", "url": "http://www.rottentomatoes.com/m/the_pillow_book/"} +{"d:Title": "TV Guide Online: Pillow Book, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pillow_Book,_The", "url": "http://www.tvguide.com/movies/pillow-book/131740/"} +{"d:Title": "Rotten Tomatoes: Pillow Talk", "d:Description": "Reviews, DVD information, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pillow_Talk", "url": "http://www.rottentomatoes.com/m/pillow_talk/"} +{"d:Title": "IMDb: Pillow Talk (1959)", "d:Description": "Photo gallery, taglines, plot, trailer, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pillow_Talk", "url": "http://www.imdb.com/title/tt0053172/"} +{"d:Title": "TV Guide Online: Pillow Talk", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pillow_Talk", "url": "http://www.tvguide.com/movies/pillow-talk/109227/"} +{"d:Title": "IMDb: Pineapple Express (2008)", "d:Description": "Plot outline, cast list, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pineapple_Express", "url": "http://www.imdb.com/title/tt0910936/"} +{"d:Title": "Rotten Tomatoes: The Pineapple Express", "d:Description": "Synopsis, news, photos, showtimes, and links.", "topic": "Top/Arts/Movies/Titles/P/Pineapple_Express", "url": "http://www.rottentomatoes.com/m/pineapple_express/"} +{"d:Title": "IGN Movies: Pineapple Express", "d:Description": "Photos, interview, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pineapple_Express", "url": "http://www.ign.com/movies/pineapple-express/theater-925261"} +{"d:Title": "MovieWeb: Pineapple Express (2008)", "d:Description": "Summary, reviews, synopsis, videos, and news.", "topic": "Top/Arts/Movies/Titles/P/Pineapple_Express", "url": "http://www.movieweb.com/movie/pineapple-express"} +{"d:Title": "HARO Online: Pinero", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/P/Pi\u00f1ero", "url": "http://www.haro-online.com/movies/pinero.html"} +{"d:Title": "IMDb: Pi\u00f1ero", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/P/Pi\u00f1ero", "url": "http://www.imdb.com/title/tt0261066/"} +{"d:Title": "Metacritic.com: Pinero", "d:Description": "Quotes from and links to film reviews with an overall rating.", "topic": "Top/Arts/Movies/Titles/P/Pi\u00f1ero", "url": "http://www.metacritic.com/movie/pinero"} +{"d:Title": "Slant Magazine: Pinero", "d:Description": "Review by Ed Gonzalez, comparing the film with Pi\u00f1ero's poetry.", "topic": "Top/Arts/Movies/Titles/P/Pi\u00f1ero", "url": "http://www.slantmagazine.com/film/review/pinero"} +{"d:Title": "TV Guide Online: Pink Flamingos", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pink_Flamingos", "url": "http://www.tvguide.com/movies/pink-flamingos/129754/"} +{"d:Title": "Inspector Clouseau", "d:Description": "Tribute to Peter Sellers as Inspector Clouseau in the films. Survey taken of favorite disguises, lines, quotes and scenes. Image stills, video and sound clips from the movies.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series", "url": "http://inspectorclouseau.com/"} +{"d:Title": "A Shot in the Dark: The Pink Panther", "d:Description": "Discussion forum for the movie series.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series", "url": "http://ashotinthedarkthepinkpanther.yuku.com/"} +{"d:Title": "IMDb: Birth of the Pink Panther (2006)", "d:Description": "Information on cast, crew, news, plot summary, and message boards.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Birth_of_the_Pink_Panther", "url": "http://www.imdb.com/title/tt0383216/"} +{"d:Title": "Rotten Tomatoes: Pink Panther", "d:Description": "Reviews, synopsis, mistakes, credits, posters, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Birth_of_the_Pink_Panther", "url": "http://www.rottentomatoes.com/m/pink_panther/"} +{"d:Title": "USA Today", "d:Description": "Review, by Mike Clark: \"Transforming Clouseau's perennial nemesis into a more urbane smoothie, Kevin Kline delivers like a pro.\" [Score: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Birth_of_the_Pink_Panther", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2006-02-09-panther-review_x.htm"} +{"d:Title": "IGN Movies: The Pink Panther (2006)", "d:Description": "Review, news, box office data, and trailers.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Birth_of_the_Pink_Panther", "url": "http://www.ign.com/movies/the-pink-panther-2006/theater-34476"} +{"d:Title": "Rotten Tomatoes: Curse of the Pink Panther", "d:Description": "Reviews, synopsis, notes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Curse_of_the_Pink_Panther", "url": "http://www.rottentomatoes.com/m/curse_of_the_pink_panther/"} +{"d:Title": "IMDb: Curse of the Pink Panther (1983)", "d:Description": "Cast, crew, plot summary, and related movie information. One of the films in the Pink Panther series that does not star Peter Sellers.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Curse_of_the_Pink_Panther", "url": "http://www.imdb.com/title/tt0085384/"} +{"d:Title": "All-Reviews.com: The Pink Panther", "d:Description": "Short film review by Brian Koller.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Pink_Panther,_The", "url": "http://all-reviews.com/videos-4/pink-panther.htm"} +{"d:Title": "IMDb: Pink Panther, The (1964)", "d:Description": "Movie details and viewer comments from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Pink_Panther,_The", "url": "http://www.imdb.com/title/tt0057413/"} +{"d:Title": "Rotten Tomatoes: The Pink Panther", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Pink_Panther,_The", "url": "http://www.rottentomatoes.com/m/1016338-pink_panther/"} +{"d:Title": "MGM Movie Database: The Pink Panther", "d:Description": "Official site with a synopsis of the movie, cast and crew information, multimedia such as trailer, audio clips, and photographs.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Pink_Panther,_The", "url": "http://www.mgm.com/title_title.php?title_star=PINKPANT"} +{"d:Title": "Allreaders.com: The Pink Panther", "d:Description": "Review of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Pink_Panther,_The", "url": "http://allreaders.com/movie-review-summary/the-pink-panther-4065"} +{"d:Title": "IMDb: Pink Panther Strikes Again, The (1976)", "d:Description": "Information on the film from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Pink_Panther_Strikes_Again,_The", "url": "http://www.imdb.com/title/tt0075066/"} +{"d:Title": "Rotten Tomatoes: The Pink Panther Strikes Again", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Pink_Panther_Strikes_Again,_The", "url": "http://www.rottentomatoes.com/m/pink_panther_strikes_again/"} +{"d:Title": "Rotten Tomatoes: The Return of the Pink Panther", "d:Description": "Reviews, information, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Return_of_the_Pink_Panther,_The", "url": "http://www.rottentomatoes.com/m/return_of_the_pink_panther/"} +{"d:Title": "IMDb: Return of the Pink Panther, The (1975)", "d:Description": "Cast and crew listing, credits, plot summary, commentary and reviews, and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Return_of_the_Pink_Panther,_The", "url": "http://www.imdb.com/title/tt0072081/"} +{"d:Title": "IMDb: Revenge of the Pink Panther (1978)", "d:Description": "Plot summary, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Revenge_of_the_Pink_Panther", "url": "http://www.imdb.com/title/tt0078163/"} +{"d:Title": "Rotten Tomatoes: Revenge of the Pink Panther", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Revenge_of_the_Pink_Panther", "url": "http://www.rottentomatoes.com/m/revenge_of_the_pink_panther/"} +{"d:Title": "Rotten Tomatoes: A Shot in the Dark", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Shot_in_the_Dark,_A", "url": "http://www.rottentomatoes.com/m/1018909-shot_in_the_dark/"} +{"d:Title": "IMDb: A Shot in the Dark (1964)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Shot_in_the_Dark,_A", "url": "http://www.imdb.com/title/tt0058586/"} +{"d:Title": "Allreaders.com: A Shot in the Dark", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Shot_in_the_Dark,_A", "url": "http://allreaders.com/movie-review-summary/shot-in-the-dark-4170"} +{"d:Title": "IMDb: Son of the Pink Panther (1993)", "d:Description": "Cast, crew, plot summary, commentary and related topics.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Son_of_the_Pink_Panther", "url": "http://www.imdb.com/title/tt0108187/"} +{"d:Title": "Rotten Tomatoes: Son of the Pink Panther", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Son_of_the_Pink_Panther", "url": "http://www.rottentomatoes.com/m/son_of_the_pink_panther/"} +{"d:Title": "Yahoo! Movies: Son of the Pink Panther", "d:Description": "Details, cast, crew, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Son_of_the_Pink_Panther", "url": "http://movies.yahoo.com/movie/son-of-the-pink-panther-1993/"} +{"d:Title": "IMDb: Trail of the Pink Panther (1982)", "d:Description": "Cast, crew, reviews, plot summary, comments, and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Trail_of_the_Pink_Panther", "url": "http://www.imdb.com/title/tt0084814/"} +{"d:Title": "Rotten Tomatoes: Trail of the Pink Panther", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Trail_of_the_Pink_Panther", "url": "http://www.rottentomatoes.com/m/trail_of_the_pink_panther/"} +{"d:Title": "TV Guide Online: Trail of the Pink Panther", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/P/Pink_Panther_Series/Trail_of_the_Pink_Panther", "url": "http://www.tvguide.com/movies/trail-pink-panther/121116/"} +{"d:Title": "HARO Online: Pinocchio", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Pinocchio_-_2002", "url": "http://www.haro-online.com/movies/pinocchio.html"} +{"d:Title": "All-Reviews.com: Pinocchio (2002)", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pinocchio_-_2002", "url": "http://www.all-reviews.com/videos-5/pinocchio.htm"} +{"d:Title": "IMDb: Pinocchio (2002)", "d:Description": "Photo gallery, cast list, trivia, awards, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pinocchio_-_2002", "url": "http://www.imdb.com/title/tt0255477/"} +{"d:Title": "Rotten Tomatoes: Pinocchio", "d:Description": "Reviews, synopsis, credits, photos, trailers, numbers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pinocchio_-_2002", "url": "http://www.rottentomatoes.com/m/1119026-pinocchio/"} +{"d:Title": "HARO Online - Pipe Dream", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/P/Pipe_Dream_-_2002", "url": "http://www.haro-online.com/movies/pipe_dream.html"} +{"d:Title": "Rotten Tomatoes: Pipe Dream", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pipe_Dream_-_2002", "url": "http://www.rottentomatoes.com/m/pipe_dream/"} +{"d:Title": "IMDb: Pipe Dream (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/P/Pipe_Dream_-_2002", "url": "http://www.imdb.com/title/tt0164810/"} +{"d:Title": "Rotten Tomatoes: Piranha", "d:Description": "Reviews, DVD information, synopsis, mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Piranha", "url": "http://www.rottentomatoes.com/m/1016359-piranha/"} +{"d:Title": "IMDb: Piranha", "d:Description": "Synopsis, cast and crew, reviews, trivia, and links.", "topic": "Top/Arts/Movies/Titles/P/Piranha", "url": "http://www.imdb.com/title/tt0078087/"} +{"d:Title": "IMDb: Pirates", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Pirates", "url": "http://www.imdb.com/title/tt0091757/"} +{"d:Title": "Rotten Tomatoes: Pirates", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pirates", "url": "http://www.rottentomatoes.com/m/1016363-pirates/"} +{"d:Title": "Allreaders Review - Pirates", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Walter Matthau film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/P/Pirates", "url": "http://allreaders.com/movie-review-summary/pirates-4103"} +{"d:Title": "IMDb: Pirates of Silicon Valley (1999) (TV)", "d:Description": "Photo gallery, plot outlines, trailer, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_Silicon_Valley", "url": "http://www.imdb.com/title/tt0168122/"} +{"d:Title": "Rotten Tomatoes: Pirates of Silicon Valley", "d:Description": "Reviews, synopsis, movie mistakes, credits, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_Silicon_Valley", "url": "http://www.rottentomatoes.com/m/pirates_of_silicon_valley/"} +{"d:Title": "Never Trust a Pirate", "d:Description": "Robert Cringely writes at length how Pirates of Silicon Valley seems like a \"bizarro version\" of his documentary Triumph of the Nerds.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_Silicon_Valley", "url": "http://www.pbs.org/cringely/pulpit/1999/pulpit_19990624_000397.html"} +{"d:Title": "Rotten Tomatoes: Pirates of the Caribbean: At World's End", "d:Description": "Articles, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_At_World's_End", "url": "http://www.rottentomatoes.com/m/pirates_of_the_caribbean_3/"} +{"d:Title": "IMDb: Pirates of the Caribbean 3 (2007)", "d:Description": "Plot outlines, cast overview, trivia, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_At_World's_End", "url": "http://www.imdb.com/title/tt0449088/"} +{"d:Title": "Wikipedia", "d:Description": "Article with a plot outline, and production notes.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_At_World's_End", "url": "http://en.wikipedia.org/wiki/Pirates_of_the_Caribbean:_At_Worlds_End"} +{"d:Title": "MovieWeb: At World's End", "d:Description": "Synopsis, news, and an interview.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_At_World's_End", "url": "http://www.movieweb.com/movie/pirates-of-the-caribbean-at-worlds-end"} +{"d:Title": "FilmForce: Pirates of the Caribbean: At World's End", "d:Description": "Provides news, articles, and photos.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_At_World's_End", "url": "http://www.ign.com/movies/pirates-of-the-caribbean-at-worlds-end/theater-610056"} +{"d:Title": "Movie Forums - Pirates of the Caribbean: At World's End", "d:Description": "Director Gore Verbinski's trilogy reaches its end, and despite a few spats of confusion, it does so as boldly as it began.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_At_World's_End", "url": "http://www.movieforums.com/reviews/1081584-pirates-of-the-caribbean-at-worlds-end.html"} +{"d:Title": "Rotten Tomatoes: Pirates of the Caribbean: Dead Man's Chest", "d:Description": "Reviews, show times, synopsis, movie mistakes, cast and crew, posters, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_Dead_Man's_Chest", "url": "http://www.rottentomatoes.com/m/pirates_of_the_caribbean_dead_mans_chest/"} +{"d:Title": "IMDb: Pirates of the Caribbean: Dead Man's Chest", "d:Description": "Photo gallery, plot outlines, cast overview, trivia, goofs, quotes, awards, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_Dead_Man's_Chest", "url": "http://www.imdb.com/title/tt0383574/"} +{"d:Title": "Wikipedia", "d:Description": "Detailed article, with plot information, a cast list, box office figures, critics' reactions, trivia, and a list of references to the first film.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_Dead_Man's_Chest", "url": "http://en.wikipedia.org/wiki/Pirates_of_the_Caribbean:_Dead_Man's_Chest"} +{"d:Title": "Pirates of the Caribbean: Dead Man's Chest", "d:Description": "Official site. Trailers, world map, music, and a mini-game. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_Dead_Man's_Chest", "url": "http://pirates.disney.com/pirates-of-the-caribbean-dead-mans-chest"} +{"d:Title": "USA Today", "d:Description": "Review, by Claudia Puig: \"It gets off to a slow, talky start, then suddenly seems too conscious of jam-packing every scene with thrills and excitement.\"", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_Dead_Man's_Chest", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2006-07-05-pirates-review_x.htm"} +{"d:Title": "FilmForce: Pirates of the Caribbean: Dead Man's Chest", "d:Description": "Review, news, features, mailbags, photos, and videos.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_Dead_Man's_Chest", "url": "http://www.ign.com/movies/pirates-of-the-caribbean-dead-mans-chest/theater-570328"} +{"d:Title": "National Geographic News: Grim Life Cursed Real Pirates of Caribbean", "d:Description": "An article written by Stefan Lovgren for National Geographic News. Comparison with the life of real pirates and that which is portrayed in the movie.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://news.nationalgeographic.com/news/2003/07/0711_030711_piratescarribean.html"} +{"d:Title": "KillerMovies: Pirates of the Caribbean", "d:Description": "Film information, photographs, news archive, and links.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://www.killermovies.com/p/piratesofthecaribbean/"} +{"d:Title": "Filmtracks: Pirates of the Caribbean", "d:Description": "Soundtrack information, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://www.filmtracks.com/titles/pirates_caribbean.html"} +{"d:Title": "Wikipedia: Pirates of the Caribbean: The Curse of the Black Pearl", "d:Description": "A detailed article on the Disney studios movie, including cast, plot details, extensive list of awards, links.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://en.wikipedia.org/wiki/Pirates_of_the_Caribbean:_The_Curse_of_the_Black_Pearl"} +{"d:Title": "Mooviees! - Pirates of the Caribean", "d:Description": "Features photos, wallpapers, trailers, reviews, cast overview, synopsis and production notes about this movie title.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://www.mooviees.com/371-pirates-of-the-caribbean-the-curse-of-the-black-pearl/movie"} +{"d:Title": "Rotten Tomatoes: Pirates of the Caribbean", "d:Description": "Reviews from the top critics, tallied on a TomatoMeter. Also includes movie info, trailer, poster, photos, news, articles, box offices statistics and forum.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://www.rottentomatoes.com/m/pirates_of_the_caribbean_the_curse_of_the_black_pearl/"} +{"d:Title": "IMDb: Pirates of the Caribbean: The Curse of the Black Pearl (2003)", "d:Description": "Information such as cast, crew, reviews, plot summary, comments, trivia, and discussion forum.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://www.imdb.com/title/tt0325980/"} +{"d:Title": "Awesome Stories: Pirates of the Caribbean", "d:Description": "Provides the factual story behind the movie, including embedded links explaining the life of real pirates in Port Royal and the Spanish Main.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "https://www.awesomestories.com/asset/view/Pirates-of-the-Caribbean"} +{"d:Title": "FOXNews.com: Disney Enters Uncharted Waters With Pirates", "d:Description": "Article on the pirate action adventure movie and its PG-13 rating.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl", "url": "http://www.foxnews.com/story/2003/07/11/disney-enters-uncharted-waters-with-pirates.html"} +{"d:Title": "Best Reviews&News on Pirates of the Caribbean: Curse of the Black Pearl", "d:Description": "Collection of movie reviews, news, and information; with special focus on Johnny Depp's character, Jack Sparrow.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Fan_Pages", "url": "http://www.angelfire.com/comics/howardfineandhoward/BlackPearl.html"} +{"d:Title": "The Pirates of the Caribbean Fanlisting", "d:Description": "The official fanlisting with character information and links.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Fan_Pages", "url": "http://deep-down.net/potc/"} +{"d:Title": "NsaneJackSparrow's PotC Site", "d:Description": "Fan site with role playing games, screenplay, glossary of pirate terms, and links.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Fan_Pages", "url": "http://nsanejacksparrow.tripod.com/"} +{"d:Title": "Captain Jack Sparrow's Cove", "d:Description": "Quotes, pictures, and information on the characters from the film.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Fan_Pages", "url": "http://www.angelfire.com/un/peeps/"} +{"d:Title": "He's a Pirate", "d:Description": "Fan tribute with image gallery, wallpapers, banners, icons, quizzes, and links to music clips.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Fan_Pages", "url": "http://potc.arwen-undomiel.com/"} +{"d:Title": "Pirates of the Caribbean", "d:Description": "Fan forum with sections dedicated to the movie, the actors, and games.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Fan_Pages", "url": "http://hotchick25.proboards.com/"} +{"d:Title": "eFilmCritic: Pirates of the Caribbean: The Curse of the Black Pearl", "d:Description": "Detailed review with viewer comments and ratings.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Reviews", "url": "http://www.efilmcritic.com/review.php?movie=7895&reviewer=198"} +{"d:Title": "HARO Online: Pirates of the Caribbean - The Curse of the Black Pearl", "d:Description": "Review with two movie stills.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Reviews", "url": "http://www.haro-online.com/movies/pirates_caribbean.html"} +{"d:Title": "All-Reviews.com: Pirates of the Caribbean: the Curse of the Black Pearl", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Reviews", "url": "http://all-reviews.com/videos-5/pirates-of-the-caribbean.htm"} +{"d:Title": "FlickFilosopher.com: Pirates of the Caribbean", "d:Description": "Detailed movie review and interesting commentary.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Reviews", "url": "http://www.flickfilosopher.com/2003/07/pirates-of-the-caribbean-the-curse-of-the-black-pearl-review.html"} +{"d:Title": "SunTimes.com", "d:Description": "Movie summary and review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Pirates_of_the_Caribbean_Series/Pirates_of_the_Caribbean_-_The_Curse_of_the_Black_Pearl/Reviews", "url": "http://www.rogerebert.com/reviews/pirates-of-the-caribbean-the-curse-of-the-black-pearl-2003"} +{"d:Title": "Rotten Tomatoes: The Pistol: The Birth of a Legend", "d:Description": "DVD information, synopsis, cast and crew lists, posters, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pistol,_The", "url": "http://www.rottentomatoes.com/m/pistol_the_the_birth_of_a_legend/"} +{"d:Title": "IMDb - The Pistol (1991)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Pistol,_The", "url": "http://www.imdb.com/title/tt0102674/"} +{"d:Title": "Riddick Movie", "d:Description": "News about the third film in the Riddick series.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series", "url": "http://www.riddick-movie.com/"} +{"d:Title": "IMDb: The Chronicles of Riddick", "d:Description": "Cast and crew, synopsis, forum, trailers, posters, photos, showtimes, and links.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.imdb.com/title/tt0296572/"} +{"d:Title": "About.com: The Chronicles of Riddick", "d:Description": "Synopis, photos, cast and crew, trailer, and links.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://romanticmovies.about.com/od/chroniclesriddick/"} +{"d:Title": "Box Office Prophets: The Chronicles of Riddick", "d:Description": "Box office analysis including reviews, trailers and release schedules.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?TMID=94"} +{"d:Title": "Box Office Mojo: The Chronicles of Riddick", "d:Description": "Box office statistics and news.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.boxofficemojo.com/movies/?id=chroniclesofriddick.htm"} +{"d:Title": "Film Tracks: The Chronicles of Riddick", "d:Description": "Graeme Revell's soundtrack review, audio clips, song lists, pictures and viewer ratings.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.filmtracks.com/titles/chronicles_riddick.html"} +{"d:Title": "HARO Online: The Chronicles of Riddick", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.haro-online.com/movies/chronicles_of_riddick.html"} +{"d:Title": "DreamLogic: The Chronicles of Riddick", "d:Description": "Reviews by Chris Nelson and Kris Kobayashi, with pictures.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.dreamlogic.net/REVIEWS/riddick.html"} +{"d:Title": "Rotten Tomatoes: The Chronicles of Riddick", "d:Description": "Aggregated reviews, news, synopsis, trailer, photos, showtimes and forum.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.rottentomatoes.com/m/chronicles_of_riddick/"} +{"d:Title": "TEN Movies: The Chronicles of Riddick", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1088"} +{"d:Title": "Yahoo! Movies: The Chronicles of Riddick", "d:Description": "News, premiere photos, exclusive features, trailers, and showtimes.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://movies.yahoo.com/movie/the-chronicles-of-riddick/"} +{"d:Title": "Hollywood Stock Exchange: The Chronicles of Riddick", "d:Description": "Synopsis, Cast and Crew and Hollywood Stock Exchange statistics.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Chronicles_of_Riddick,_The", "url": "http://www.hsx.com/security/view/PITC2"} +{"d:Title": "Riddick Online Gallery", "d:Description": "Images, fan art, and multimedia of the character Riddick, portrayed by Vin Diesel.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://riddickpb.tripod.com/riddickgallery.html"} +{"d:Title": "Pitch Black Online", "d:Description": "Features photo gallery, cast biographies, video and audio clips, and fan fiction.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://www.angelfire.com/movies/pitchblack/"} +{"d:Title": "Box Office Mojo", "d:Description": "Box office data for Pitch Black. Includes a comparison with other sci-fi creature features.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://www.boxofficemojo.com/movies/?id=pitchblack.htm"} +{"d:Title": "Rotten Tomatoes: Pitch Black", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photographs, and news.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://www.rottentomatoes.com/m/pitch_black/"} +{"d:Title": "Pitch Black", "d:Description": "The official site features character infos, downloads and images.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://www.pitchblack.com/"} +{"d:Title": "MovieWeb: Pitch Black", "d:Description": "A plot summary, movie stills, and a cast list.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://www.movieweb.com/movie/pitch-black"} +{"d:Title": "IMDb: Pitch Black", "d:Description": "Cast, credits, plot summary, viewer comments, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://www.imdb.com/title/tt0134847/"} +{"d:Title": "FilmForce: Pitch Black", "d:Description": "Review by Scott Chitwood, news, and photos.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black", "url": "http://www.ign.com/movies/pitch-black/theater-33741"} +{"d:Title": "All-Reviews.com - Pitch Black", "d:Description": "Collection of three reviews.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black/Reviews", "url": "http://www.all-reviews.com/videos/pitch-black.htm"} +{"d:Title": "HARO Online: Pitch Black", "d:Description": "Review of film.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black/Reviews", "url": "http://www.haro-online.com/movies/pitch_black.html"} +{"d:Title": "PopMatters - Pitch Black", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black/Reviews", "url": "http://popmatters.com/film/reviews/p/pitch-black.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Pitch_Black/Reviews", "url": "http://www.metacritic.com/movie/pitch-black"} +{"d:Title": "IMDb", "d:Description": "Contains cast and crew, synopsis, forum, trailers, credits, photos and quotes.", "topic": "Top/Arts/Movies/Titles/P/Pitch_Black_Series/Riddick_-_2013", "url": "http://www.imdb.com/title/tt1411250/"} +{"d:Title": "Rotten Tomatoes: Pizza Man", "d:Description": "Synopsis, cast list, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pizza_Man", "url": "http://www.rottentomatoes.com/m/pizza_man/"} +{"d:Title": "IMDb: Pizza Man (1991)", "d:Description": "Plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pizza_Man", "url": "http://www.imdb.com/title/tt0102676/"} +{"d:Title": "TV Guide Online: Pizza Man", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pizza_Man", "url": "http://www.tvguide.com/movies/pizza-man/128608/"} +{"d:Title": "A Place in the Sun (1951)", "d:Description": "Tim Dirks offers a detailed review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/P/Place_in_the_Sun,_A_-_1951", "url": "http://www.filmsite.org/plac.html"} +{"d:Title": "IMDb: A Place in the Sun (1951)", "d:Description": "Cast, crew, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Place_in_the_Sun,_A_-_1951", "url": "http://www.imdb.com/title/tt0043924/"} +{"d:Title": "Rotten Tomatoes: A Place in the Sun", "d:Description": "Reviews, synopsis, movie mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Place_in_the_Sun,_A_-_1951", "url": "http://www.rottentomatoes.com/m/place_in_the_sun/"} +{"d:Title": "Rotten Tomatoes: Planes, Trains and Automobiles", "d:Description": "Reviews, synopsis, mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Planes,_Trains,_and_Automobiles", "url": "http://www.rottentomatoes.com/m/planes_trains_and_automobiles/"} +{"d:Title": "IMDb: Planes, Trains&Automobiles (1987)", "d:Description": "Plot outlines, trailer, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Planes,_Trains,_and_Automobiles", "url": "http://www.imdb.com/title/tt0093748/"} +{"d:Title": "AllReaders.com Review - Planes, Trains and Automobiles", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Steve Martin film, and links to similar films.", "topic": "Top/Arts/Movies/Titles/P/Planes,_Trains,_and_Automobiles", "url": "http://allreaders.com/movie-review-summary/planes-trains-and-automobiles-4649"} +{"d:Title": "All-Reviews.com - Planet of the Apes (2001)", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.all-reviews.com/videos-3/planet-of-apes-2001.htm"} +{"d:Title": "POTA Toys", "d:Description": "Brief look at the toys from the new Planet of the Apes film.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://planet-of-the-apes.fanspace.com/toys.htm"} +{"d:Title": "The Planet of the Apes 2001 Sound Track", "d:Description": "Very informative sound track information. Listen to audio clips, view ratings, notes and quotes.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.filmtracks.com/titles/planet_apes.html"} +{"d:Title": "About.com Review", "d:Description": "Home Video/DVD Guide Ivana Redwine reviews the DVD of \"Planet of the Apes\" (2001).", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://homevideo.about.com/library/weekly/aafpr112901.htm"} +{"d:Title": "Planet of the Apes Movie Wallpapers", "d:Description": "The number one source of movie wallpapers, desktop patterns, on the Internet: Any movie that has a wallpaper will be on this page.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.joblo.com/moviewallpapers/planetoftheapes.htm"} +{"d:Title": "Rotten Tomatoes - Planet of the Apes (2001)", "d:Description": "Links to previews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.rottentomatoes.com/m/1108704-planet_of_the_apes/"} +{"d:Title": "Moviemistakes.com: Planet of the Apes", "d:Description": "Errors found in the film by viewers, such as continuity, are featured.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.moviemistakes.com/film984"} +{"d:Title": "Metacritic.com: Planet of the Apes", "d:Description": "Information and a cross-section of review from the country's top critics.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.metacritic.com/movie/planet-of-the-apes"} +{"d:Title": "KillerMovies.com - Planet of the Apes", "d:Description": "Features latest news, rumors, and facts about the upcoming film.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.killermovies.com/p/planetoftheapes/"} +{"d:Title": "FilmForce: Planet of the Apes", "d:Description": "News, features, and a review by Glen Oliver.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.ign.com/movies/planet-of-the-apes-2001/theater-33909"} +{"d:Title": "MovieWeb: Planet of the Apes", "d:Description": "Reviews, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_-_2001", "url": "http://www.movieweb.com/movie/planet-of-the-apes"} +{"d:Title": "MovieProp.com: The Planet of the Apes", "d:Description": "Pictures, essays, and information on the movies, TV show, collectibles and props used. Related links.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series", "url": "http://www.movieprop.com/tvandmovie/PlanetoftheApes/index.htm"} +{"d:Title": "The Forbidden Zone: Planet of the Apes", "d:Description": "Information about the Apes films, TV shows, and comics. Contains articles, interviews, photographs and sound clips.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series", "url": "http://theforbidden-zone.com/"} +{"d:Title": "Apemania", "d:Description": "Professional site about Planet of The Apes and the re-enactment troupe of simians known as Apemania, the passion and brainchild of Hollywood make-up artist Brian Penikas.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series", "url": "http://www.apemania.com/"} +{"d:Title": "The Sci-Fi Movie Page: Planet of the Apes", "d:Description": "Series retrospective, with pictures.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series", "url": "http://www.scifimoviepage.com/planet_30.html"} +{"d:Title": "Planet of the Apes Webring", "d:Description": "Listing of member sites and an invitation to join.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series", "url": "http://www.webring.org/hub?ring=ape"} +{"d:Title": "IMDb: Battle for the Planet of the Apes (1973)", "d:Description": "Complete cast and crew information, credits, plot summary, and other related film and fan details.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Battle_for_the_Planet_of_the_Apes", "url": "http://www.imdb.com/title/tt0069768/"} +{"d:Title": "Fantastic Musings and Ramblings: Beneath the Planet of the Apes", "d:Description": "Review by Dave Sindelar. \"...a rather glum and gloomy addition to the series, [...] it lacks the fun that marks most of the other entries in the series.\"", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Beneath_the_Planet_of_the_Apes", "url": "http://www.scifilm.org/musing1097.html"} +{"d:Title": "IMDb: Beneath the Planet of the Apes (1970)", "d:Description": "Plot summary, commentary, reviews, cast and crew listings, and related movie and fan information.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Beneath_the_Planet_of_the_Apes", "url": "http://www.imdb.com/title/tt0065462/"} +{"d:Title": "IMDb: Conquest of the Planet of the Apes (1972)", "d:Description": "Complete movie information from the Internet Movie Database, such as cast, crew, viewer commentary, and plot summary.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Conquest_of_the_Planet_of_the_Apes", "url": "http://www.imdb.com/title/tt0068408/"} +{"d:Title": "Scifilm.org: Escape From the Planet of the Apes", "d:Description": "Background, plot, and review by Jason Warren.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Escape_from_the_Planet_of_the_Apes", "url": "http://www.scifilm.org/musing216.html"} +{"d:Title": "IMDb: Escape from the Planet of the Apes (1971)", "d:Description": "Plot summary, commentary, and film details such as trivia, cast, crew, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Escape_from_the_Planet_of_the_Apes", "url": "http://www.imdb.com/title/tt0067065/"} +{"d:Title": "All-Reviews.com: Planet of the Apes", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Planet_of_the_Apes_-_1968", "url": "http://www.all-reviews.com/videos-2/planet-of-apes.htm"} +{"d:Title": "Charlton Heston World: Planet of the Apes", "d:Description": "Synopsis of the film, facts, cast list, and photographs from the movie.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Planet_of_the_Apes_-_1968", "url": "http://charltonhestonworld2.homestead.com/PlanetOfApesPg1.html"} +{"d:Title": "IMDb: Planet of the Apes (1968)", "d:Description": "Cast, crew, reviews, plot summary, comments, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Planet_of_the_Apes_Series/Planet_of_the_Apes_-_1968", "url": "http://www.imdb.com/title/tt0063442/"} +{"d:Title": "Bad Movies: Plan 9 from Outer Space", "d:Description": "Review, plot summary, stills, audio clips, and a video clip.", "topic": "Top/Arts/Movies/Titles/P/Plan_9_from_Outer_Space", "url": "http://www.badmovies.org/movies/plannine/"} +{"d:Title": "IMDb: Plan 9 from Outer Space (1958)", "d:Description": "Plot outlines, taglines, cast list, trivia, movie mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Plan_9_from_Outer_Space", "url": "http://www.imdb.com/title/tt0052077/"} +{"d:Title": "Rotten Tomatoes: Plan 9 from Outer Space", "d:Description": "Reviews, synopsis, mistakes, quotes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Plan_9_from_Outer_Space", "url": "http://www.rottentomatoes.com/m/plan-9-from-outer-space/"} +{"d:Title": "All-Reviews.com: Plato's Run", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Plato's_Run", "url": "http://www.all-reviews.com/videos-5/platos-run.htm"} +{"d:Title": "Rotten Tomatoes: Plato's Run", "d:Description": "DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Plato's_Run", "url": "http://www.rottentomatoes.com/m/platos_run/"} +{"d:Title": "IMDb: Plato's Run (1997)", "d:Description": "Plot outline, trailer, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Plato's_Run", "url": "http://www.imdb.com/title/tt0119903/"} +{"d:Title": "Fast-Rewind.com: Platoon", "d:Description": "Plot summary, trivia, and review.", "topic": "Top/Arts/Movies/Titles/P/Platoon", "url": "http://www.fast-rewind.com/platoon.htm"} +{"d:Title": "About.com", "d:Description": "Ivana Redwine reviews \"Platoon.\"", "topic": "Top/Arts/Movies/Titles/P/Platoon", "url": "http://homevideo.about.com/library/blpick061301a.htm"} +{"d:Title": "IMDb - Platoon (1986)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Platoon", "url": "http://www.imdb.com/title/tt0091763/"} +{"d:Title": "TV Guide Online: Platoon", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Platoon", "url": "http://www.tvguide.com/movies/platoon/109313/"} +{"d:Title": "IMDb: The Players Club (1998)", "d:Description": "Plot outlines, trailer, cast list, movie mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Player's_Club,_The", "url": "http://www.imdb.com/title/tt0119905/"} +{"d:Title": "Rotten Tomatoes: The Players Club", "d:Description": "Reviews, synopsis, movie mistakes, credits, posters, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Player's_Club,_The", "url": "http://www.rottentomatoes.com/m/players_club/"} +{"d:Title": "TV Guide Online: Players Club, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Player's_Club,_The", "url": "http://www.tvguide.com/movies/players-club/133054/"} +{"d:Title": "IMDb: Player, The (1992)", "d:Description": "Cast, credits, plot summary, viewer comments, and related movie information and data.", "topic": "Top/Arts/Movies/Titles/P/Player,_The", "url": "http://www.imdb.com/title/tt0105151/"} +{"d:Title": "Rotten Tomatoes: The Player", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Player,_The", "url": "http://www.rottentomatoes.com/m/player/"} +{"d:Title": "IMDb: Playhouse (2003)", "d:Description": "Plot, cast, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/PlayHouse", "url": "http://www.imdb.com/title/tt0392614/"} +{"d:Title": "Rotten Tomatoes: Playhouse", "d:Description": "DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/PlayHouse", "url": "http://www.rottentomatoes.com/m/playhouse/"} +{"d:Title": "All-Reviews.com - Playing By Heart", "d:Description": "Seven reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Playing_by_Heart", "url": "http://www.all-reviews.com/videos-3/playing-by-heart.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Playing_by_Heart", "url": "http://crazy4cinema.com/Review/FilmsP/f_playing_heart.html"} +{"d:Title": "Filmtracks: Playing by Heart", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Playing_by_Heart", "url": "http://www.filmtracks.com/titles/playing_heart.html"} +{"d:Title": "TV Guide Online: Playing By Heart", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Playing_by_Heart", "url": "http://www.tvguide.com/movies/playing-heart/133522/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/P/Playing_for_Keeps", "url": "http://www.fast-rewind.com/p4keeps.htm"} +{"d:Title": "Rotten Tomatoes: Playing for Keeps", "d:Description": "Reviews, DVD information, synopsis, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Playing_for_Keeps", "url": "http://www.rottentomatoes.com/m/playing_for_keeps/"} +{"d:Title": "IMDb: Playing for Keeps", "d:Description": "Cast list, plot summary, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Playing_for_Keeps", "url": "http://www.imdb.com/title/tt0091767/"} +{"d:Title": "TV Guide Online: Playing For Keeps", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Playing_for_Keeps", "url": "http://www.tvguide.com/movies/playing-keeps/109334/"} +{"d:Title": "All-Reviews.com - Playing God", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Playing_God", "url": "http://www.all-reviews.com/videos-2/playing-god.htm"} +{"d:Title": "Rotten Tomatoes: Playing God", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Playing_God", "url": "http://www.rottentomatoes.com/m/playing_god/"} +{"d:Title": "Tech", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Playing_God", "url": "http://tech.mit.edu/V117/N51/playinggod.51a.html"} +{"d:Title": "IMDb: Playing God (1997)", "d:Description": "Cast and crew information, plot summary, reviews and quotes.", "topic": "Top/Arts/Movies/Titles/P/Playing_God", "url": "http://www.imdb.com/title/tt0119906/"} +{"d:Title": "TV Guide Online: Playing God", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Playing_God", "url": "http://www.tvguide.com/movies/playing-god/132023/"} +{"d:Title": "IMDb: Playmaker", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Playmaker", "url": "http://imdb.com/title/tt0110849/"} +{"d:Title": "Rotten Tomatoes: Playmaker", "d:Description": "Synopsis and cast information.", "topic": "Top/Arts/Movies/Titles/P/Playmaker", "url": "http://www.rottentomatoes.com/m/playmaker/"} +{"d:Title": "Rotten Tomatoes: Play it to the Bone", "d:Description": "Articles, reviews, news, previews, cast and crew, and trailers of the movie.", "topic": "Top/Arts/Movies/Titles/P/Play_It_to_the_Bone", "url": "http://www.rottentomatoes.com/m/play_it_to_the_bone/"} +{"d:Title": "IMDb: Play it to the Bone", "d:Description": "Cast, crew, credits, plot summary, plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Play_It_to_the_Bone", "url": "http://www.imdb.com/title/tt0196857/"} +{"d:Title": "All-Reviews.com: Play it to the Bone", "d:Description": "3 negative reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Play_It_to_the_Bone/Reviews", "url": "http://www.all-reviews.com/videos/play-it-to-bone.htm"} +{"d:Title": "PopMatters.com: Play It to the Bone", "d:Description": "Detailed review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/P/Play_It_to_the_Bone/Reviews", "url": "http://popmatters.com/film/reviews/p/play-it-to-the-bone.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Play_It_to_the_Bone/Reviews", "url": "http://www.metacritic.com/movie/play-it-to-the-bone"} +{"d:Title": "IMDb: Pleasantville (1998)", "d:Description": "Cast and crew credits, plot summary, reviews and other details.", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998", "url": "http://www.imdb.com/title/tt0120789/"} +{"d:Title": "Rotten Tomatoes: Pleasantville", "d:Description": "Reviews, critical consensus, synopsis, mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998", "url": "http://www.rottentomatoes.com/m/pleasantville/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998", "url": "http://www.metacritic.com/movie/pleasantville"} +{"d:Title": "MovieWeb: Pleasantville", "d:Description": "A cast list, plot summary and stills.", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998", "url": "http://www.movieweb.com/movie/pleasantville"} +{"d:Title": "TV Guide Online: Pleasantville", "d:Description": "Review and cast list.", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998", "url": "http://www.tvguide.com/movies/pleasantville/133493/"} +{"d:Title": "Pleasantville More Than Pleasant -- It's Brilliant", "d:Description": "By Paul Clinton. [CNN] \"This is one you have to see.\"", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9810/23/pleasantville.review/"} +{"d:Title": "All-Reviews.com: Pleasantville", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998/Reviews", "url": "http://www.all-reviews.com/videos/pleasantville.htm"} +{"d:Title": "Pleasantville", "d:Description": "By Roger Ebert. [Chicago Sun-Times] \"One of the year's best and most original films.\"", "topic": "Top/Arts/Movies/Titles/P/Pleasantville_-_1998/Reviews", "url": "http://www.rogerebert.com/reviews/pleasantville-1998"} +{"d:Title": "IMDb: \"Please!\" (1999)", "d:Description": "Cast, crew, reviews, plot summary, links and message board.", "topic": "Top/Arts/Movies/Titles/P/Please_-_1999", "url": "http://www.imdb.com/title/tt0286899/"} +{"d:Title": "YouTube: Please!", "d:Description": "Written, produced and directed by Paul Black the 15 minute film stars Gerard Butler.", "topic": "Top/Arts/Movies/Titles/P/Please_-_1999", "url": "http://www.youtube.com/watch?v=AiCw8V_pF9o"} +{"d:Title": "Brooklyn International Film Festival: Archives 2001: Please", "d:Description": "Provides a brief synopsis and biography of director, Paul Black. Winner of the 2001 Audience Award.", "topic": "Top/Arts/Movies/Titles/P/Please_-_1999", "url": "http://www.brooklynfilmfestival.org/films/detail.asp?cid=2&fid=69"} +{"d:Title": "All-Reviews.com: The Pledge", "d:Description": "Collection of short reviews.", "topic": "Top/Arts/Movies/Titles/P/Pledge,_The", "url": "http://www.all-reviews.com/videos-2/pledge.htm"} +{"d:Title": "HARO Online: The Pledge", "d:Description": "Very short review, with two stills.", "topic": "Top/Arts/Movies/Titles/P/Pledge,_The", "url": "http://www.haro-online.com/movies/pledge.html"} +{"d:Title": "Rotten Tomatoes: The Pledge (2001)", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pledge,_The", "url": "http://www.rottentomatoes.com/m/1104203-pledge/"} +{"d:Title": "IMDb: The Pledge (2001)", "d:Description": "Cast listing, plot summary, viewer comments and rating, award nominations, trivia.", "topic": "Top/Arts/Movies/Titles/P/Pledge,_The", "url": "http://www.imdb.com/title/tt0237572/"} +{"d:Title": "IGN Movies: The Pledge", "d:Description": "Review by Brian Zoromski, news, and features.", "topic": "Top/Arts/Movies/Titles/P/Pledge,_The", "url": "http://www.ign.com/movies/the-pledge/theater-34689"} +{"d:Title": "The Pledge Movie Review", "d:Description": "Brief reviews contributed by users.", "topic": "Top/Arts/Movies/Titles/P/Pledge,_The", "url": "http://allreaders.com/movie-review-summary/the-pledge-4615"} +{"d:Title": "HARO Online - Plunkett and Macleane", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/P/Plunkett_and_Macleane", "url": "http://www.haro-online.com/movies/plunkett.html"} +{"d:Title": "IMDb: Plunkett&Macleane (1999)", "d:Description": "Includes a plot summary, cast list, and trailer.", "topic": "Top/Arts/Movies/Titles/P/Plunkett_and_Macleane", "url": "http://www.imdb.com/title/tt0134033/"} +{"d:Title": "Rotten Tomatoes: Plunkett&Macleane", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Plunkett_and_Macleane", "url": "http://www.rottentomatoes.com/m/plunkett_and_macleane/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Plunkett_and_Macleane", "url": "http://www.metacritic.com/movie/plunkett-macleane"} +{"d:Title": "RogerEbert.com: Plunkett And Macleane", "d:Description": "Review, by Roger Ebert: \"If there's one thing that annoys me in a movie (and there are many in this one), it's when the characters escape through a loophole in the cinematic technique.\" [Score: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Plunkett_and_Macleane", "url": "http://www.rogerebert.com/reviews/plunkett-and-macleane-1999"} +{"d:Title": "All-Reviews.com: Point Blank", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Point_Blank", "url": "http://all-reviews.com/videos-5/point-blank.htm"} +{"d:Title": "Rotten Tomatoes: Point Blank", "d:Description": "DVD information, synopsis, cast and crew lists, posters, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Point_Blank", "url": "http://www.rottentomatoes.com/m/1016479-point_blank/"} +{"d:Title": "IMDb: Point Blank (1967)", "d:Description": "Tagline, plot summaries, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Point_Blank", "url": "http://www.imdb.com/title/tt0062138/"} +{"d:Title": "IMDb: Point Break (1991)", "d:Description": "Cast and crew, plot summary, user comments and ratings, trivia, and related links.", "topic": "Top/Arts/Movies/Titles/P/Point_Break", "url": "http://www.imdb.com/title/tt0102685/"} +{"d:Title": "Rotten Tomatoes: Point Break", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Point_Break", "url": "http://www.rottentomatoes.com/m/point_break/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Hal Hinson: \"To keep the movie in motion, the filmmakers have their characters make the most ludicrously illogical choices imaginable.\"", "topic": "Top/Arts/Movies/Titles/P/Point_Break", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/pointbreakrhinson_a13f81.htm"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"There's a footchase through the streets, yards, alleys and living rooms of Santa Monica; two skydiving sequences with virtuoso photography, powerful chemistry between the good and evil characters, and an ominous, brooding score by Mark Isham that underlines the mood.\" [Score: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Point_Break", "url": "http://www.rogerebert.com/reviews/point-break-1991"} +{"d:Title": "MMI Review: Point Of No Return", "d:Description": "Compares it to 'La Femme Nikita', the film it was based on.", "topic": "Top/Arts/Movies/Titles/P/Point_of_No_Return_-_1993", "url": "http://www.shoestring.org/mmi_revs/ptnoret.html"} +{"d:Title": "Rotten Tomatoes: Point of No Return", "d:Description": "Reviews, synopsis, mistakes, notes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Point_of_No_Return_-_1993", "url": "http://www.rottentomatoes.com/m/1042629-point_of_no_return/"} +{"d:Title": "IMDb: Point of No Return (1993)", "d:Description": "Plot summary, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Point_of_No_Return_-_1993", "url": "http://www.imdb.com/title/tt0107843/"} +{"d:Title": "Point of No Return Review", "d:Description": "By notable critic Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Point_of_No_Return_-_1993", "url": "http://www.rogerebert.com/reviews/point-of-no-return-1993"} +{"d:Title": "Point of View: The Fantasy, The Reality", "d:Description": "A pictorial tour of Point of View film locations situated in Vancouver, Canada. Also, interviews and storyline.", "topic": "Top/Arts/Movies/Titles/P/Point_of_View", "url": "http://anthonylarme.tripod.com/pov/index.html"} +{"d:Title": "IMDb: Point of View (2001) (V)", "d:Description": "Cast, crew, credits, plot summary, viewer comments, and links.", "topic": "Top/Arts/Movies/Titles/P/Point_of_View", "url": "http://www.imdb.com/title/tt0288176/"} +{"d:Title": "Rotten Tomatoes: Point of View", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Point_of_View", "url": "http://www.rottentomatoes.com/m/point_of_view/"} +{"d:Title": "Rotten Tomatoes: Poison Ivy", "d:Description": "Reviews, synopsis, mistakes, notes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Poison_Ivy", "url": "http://www.rottentomatoes.com/m/1039642-poison_ivy/"} +{"d:Title": "IMDb: Poision Ivy (1992)", "d:Description": "Cast and crew information, synopsis, and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Poison_Ivy", "url": "http://www.imdb.com/title/tt0105156/"} +{"d:Title": "RollingStone.com - Poison Ivy", "d:Description": "Includes a review, synopsis, photos, cast and crew, message board, and trivia.", "topic": "Top/Arts/Movies/Titles/P/Poison_Ivy", "url": "http://www.rollingstone.com/movies/reviews/poison-ivy-19920508"} +{"d:Title": "RogerEbert.com: Poison Ivy", "d:Description": "Review, by Roger Ebert: \"As it is, we get a movie that never really convinces us it's anything but a lurid wind-up machine with lots of rainy nights and lightning flashes, split-second double-crosses and hysterical upheavals.\" [Score: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Poison_Ivy", "url": "http://www.rogerebert.com/reviews/poison-ivy-1992"} +{"d:Title": "TV Guide Online: Poison Ivy", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Poison_Ivy", "url": "http://www.tvguide.com/movies/poison-ivy/128939/"} +{"d:Title": "Rotten Tomatoes: Poison Ivy 2: Lily", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Poison_Ivy_II", "url": "http://www.rottentomatoes.com/m/poison_ivy_2_lily/"} +{"d:Title": "IMDb: Poison Ivy II (1996)", "d:Description": "Tagline, plot summary, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Poison_Ivy_II", "url": "http://www.imdb.com/title/tt0114151/"} +{"d:Title": "IMDb.com: Police Academy", "d:Description": "Features a plot outline, cast overview, awards and user reviews.", "topic": "Top/Arts/Movies/Titles/P/Police_Academy", "url": "http://www.imdb.com/title/tt0087928/"} +{"d:Title": "Rotten Tomatoes: Police Academy", "d:Description": "DVD information, synopsis, movie mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Police_Academy", "url": "http://www.rottentomatoes.com/m/police_academy/"} +{"d:Title": "Allreaders Police Academy Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Police_Academy", "url": "http://allreaders.com/movie-review-summary/police-academy-4260"} +{"d:Title": "IMDb - Police Story (Ging chaat goo si) (1985)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Police_Story", "url": "http://www.imdb.com/title/tt0089374/"} +{"d:Title": "Rotten Tomatoes: Police Story", "d:Description": "Reviews, synopsis, mistakes, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Police_Story", "url": "http://www.rottentomatoes.com/m/police_story/"} +{"d:Title": "Allreaders Police Story Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Police_Story", "url": "http://allreaders.com/movie-review-summary/police-story-3966"} +{"d:Title": "Polish Wedding", "d:Description": "Short review of film by All-Reviews.com.", "topic": "Top/Arts/Movies/Titles/P/Polish_Wedding", "url": "http://www.all-reviews.com/videos-4/polish-wedding.htm"} +{"d:Title": "IMDb: Polish Wedding (1998)", "d:Description": "Includes a plot summary, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/P/Polish_Wedding", "url": "http://www.imdb.com/title/tt0119910/"} +{"d:Title": "Rotten Tomatoes: Polish Wedding", "d:Description": "Reviews, synopsis, movie mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Polish_Wedding", "url": "http://www.rottentomatoes.com/m/polish_wedding/"} +{"d:Title": "RogerEbert.com", "d:Description": "Review, by Roger Ebert: \"All the generational values are squished together in Polish Wedding. We're not looking at behavior, but at a lot of dubious anecdotes.\" [Score: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Polish_Wedding", "url": "http://www.rogerebert.com/reviews/polish-wedding-1998"} +{"d:Title": "TV Guide Online: Polish Wedding", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Polish_Wedding", "url": "http://www.tvguide.com/movies/polish-wedding/132314/"} +{"d:Title": "Pollock", "d:Description": "Official site with information about the cast, production, and filmmakers. Historical background, pictures, and reference material.", "priority": "1", "topic": "Top/Arts/Movies/Titles/P/Pollock", "url": "http://www.sonyclassics.com/pollock/"} +{"d:Title": "About.com: Pollock", "d:Description": "Ivana Redwine reviews the movie.", "topic": "Top/Arts/Movies/Titles/P/Pollock", "url": "http://homevideo.about.com/library/weekly/aa072501a.htm"} +{"d:Title": "Rotten Tomatoes: Pollock (2000)", "d:Description": "Reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/P/Pollock", "url": "http://www.rottentomatoes.com/m/pollock/"} +{"d:Title": "IMDb: Pollock (2000)", "d:Description": "Cast and credits, reviews, and links.", "topic": "Top/Arts/Movies/Titles/P/Pollock", "url": "http://www.imdb.com/title/tt0183659/"} +{"d:Title": "Metacritic.com: Pollock", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pollock", "url": "http://www.metacritic.com/movie/pollock"} +{"d:Title": "ChristianAnswers.net: Pollyanna", "d:Description": "Review and cast listing.", "topic": "Top/Arts/Movies/Titles/P/Pollyanna", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/pollyanna.html"} +{"d:Title": "Rotten Tomatoes: Pollyanna", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pollyanna", "url": "http://www.rottentomatoes.com/m/1016512-pollyanna/"} +{"d:Title": "IMDb: Pollyanna (1960)", "d:Description": "Cast, credits, plot summary, viewer comments, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Pollyanna", "url": "http://www.imdb.com/title/tt0054195/"} +{"d:Title": "Film Tracks", "d:Description": "Reviews of the Poltergeist and Poltergeist II soundtracks, including track listings and other notes.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series", "url": "http://www.filmtracks.com/titles/poltergeist.html"} +{"d:Title": "Poltergeist House", "d:Description": "Photograph of the actual home used in the 1982 horror film, \"Poltergeist.\"", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist", "url": "http://seeing-stars.com/ImagePages/PoltergeistHousePhoto.shtml"} +{"d:Title": "IMDb: Poltergeist (1982)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion forum, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist", "url": "http://www.imdb.com/title/tt0084516/"} +{"d:Title": "The Terror Trap: Poltergeist", "d:Description": "Detailed summary of the movie, as well as information on the characters and the actors in the film.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist", "url": "http://www.terrortrap.com/ghostsandmonsters/poltergeist/"} +{"d:Title": "Rotten Tomatoes: Poltergeist", "d:Description": "Reviews, synopsis, mistakes, quotes, notes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist", "url": "http://www.rottentomatoes.com/m/1016513-poltergeist/"} +{"d:Title": "eFilmCritic: Poltergeist 3", "d:Description": "Review and commentary.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_III", "url": "http://efilmcritic.com/review.php?movie=2655"} +{"d:Title": "IMDb: Poltergeist III (1988)", "d:Description": "Movie information including plot details, reviews, viewer commentary, cast and crew listing, credits, and related topics.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_III", "url": "http://www.imdb.com/title/tt0095889/"} +{"d:Title": "Rotten Tomatoes: Poltergeist III", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_III", "url": "http://www.rottentomatoes.com/m/poltergeist_iii/"} +{"d:Title": "Review for Poltergeist III", "d:Description": "Movie summary and analysis; reviewed by Jerry Saravia.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_III", "url": "http://www.imdb.com/reviews/327/32735.html"} +{"d:Title": "Arrow in the Head Reviews: Poltergeist 2", "d:Description": "Review and plot analysis.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_II_-_The_Other_Side", "url": "http://www.joblo.com/arrow/poltergeist2.htm"} +{"d:Title": "Filmtracks: Poltergeist II - The Other Side", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_II_-_The_Other_Side", "url": "http://www.filmtracks.com/titles/poltergeist2.html"} +{"d:Title": "IMDb: Poltergeist II: The Other Side (1986)", "d:Description": "Information on the movie from the Internet Movie Database, such as cast, crew, and plot summary.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_II_-_The_Other_Side", "url": "http://www.imdb.com/title/tt0091778/"} +{"d:Title": "Rotten Tomatoes: Poltergeist II: The Other Side", "d:Description": "Reviews, synopsis, mistakes, credits, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Poltergeist_Series/Poltergeist_II_-_The_Other_Side", "url": "http://www.rottentomatoes.com/m/poltergeist_ii_the_other_side/"} +{"d:Title": "Rotten Tomatoes: Poolhall Junkies", "d:Description": "Reviews, synopsis, mistakes, credits, posters, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Poolhall_Junkies", "url": "http://www.rottentomatoes.com/m/poolhall_junkies/"} +{"d:Title": "IMDb: Poolhall Junkies", "d:Description": "Cast, crew, credits, plot summary, photographs, viewer comments, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Poolhall_Junkies", "url": "http://www.imdb.com/title/tt0273982/"} +{"d:Title": "MovieWeb: Poolhall Junkies", "d:Description": "Reviews, photos, trailer, and box office data.", "topic": "Top/Arts/Movies/Titles/P/Poolhall_Junkies", "url": "http://www.movieweb.com/movie/poolhall-junkies"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/P/Pootie_Tang", "url": "http://www.haro-online.com/movies/pootie_tang.html"} +{"d:Title": "All-Reviews.com - Pootie Tang", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Pootie_Tang", "url": "http://www.all-reviews.com/videos-3/pootie-tang.htm"} +{"d:Title": "Rotten Tomatoes - Pootie Tang", "d:Description": "Photos, and links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pootie_Tang", "url": "http://www.rottentomatoes.com/m/pootie_tang/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Pootie_Tang", "url": "http://www.metacritic.com/movie/pootie-tang"} +{"d:Title": "Rotten Tomatoes: Popeye", "d:Description": "Reviews, synopsis, movie mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Popeye", "url": "http://www.rottentomatoes.com/m/1016534-popeye/"} +{"d:Title": "IMDb: Popeye (1980)", "d:Description": "Plot outlines, cast list, movie mistakes, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Popeye", "url": "http://www.imdb.com/title/tt0081353/"} +{"d:Title": "TV Guide Online: Popeye", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Popeye", "url": "http://www.tvguide.com/movies/popeye/109429/"} +{"d:Title": "Porgy's Charleston", "d:Description": "Dubose Heyward's literary imagination is examined, as well as the location of his story and the many adaptations of \"Porgy and Bess.\"", "topic": "Top/Arts/Movies/Titles/P/Porgy_and_Bess", "url": "http://xroads.virginia.edu/~HYPER/PORGY/chas.html"} +{"d:Title": "Rotten Tomatoes: Porgy and Bess", "d:Description": "Reviews, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Porgy_and_Bess", "url": "http://www.rottentomatoes.com/m/10000604-porgy_and_bess/"} +{"d:Title": "IMDb: Porgy and Bess (1959)", "d:Description": "Cast, crew, plot summary, viewer comments, and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Porgy_and_Bess", "url": "http://www.imdb.com/title/tt0053182/"} +{"d:Title": "Hollywood Teen Movies: The Porky's Trilogy", "d:Description": "Summary and commentary on all three movies, cast listings, reviews, pictures, and video merchandise.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series", "url": "http://www.hollywoodteenmovies.com/PORKY'S.html"} +{"d:Title": "The 80's Movies Rewind: Porky's", "d:Description": "Review, trivia, and pictures.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's", "url": "http://www.fast-rewind.com/porkys.htm"} +{"d:Title": "Rotten Tomatoes: Porky's", "d:Description": "Reviews, synopsis, mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's", "url": "http://www.rottentomatoes.com/m/1016561-porkys/"} +{"d:Title": "IMDb: Porky's (1981)", "d:Description": "Movie information consisting of cast, crew, credits, reviews, plot summary, taglines, message board, trivia, and related information.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's", "url": "http://www.imdb.com/title/tt0084522/"} +{"d:Title": "TV Guide Online: Porky's", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's", "url": "http://www.tvguide.com/movies/porkys/109437/"} +{"d:Title": "Rotten Tomatoes: Porky's II: The Next Day", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's_II_-_The_Next_Day", "url": "http://www.rottentomatoes.com/m/porkys_ii_the_next_day/"} +{"d:Title": "IMDb: Porky's II: The Next Day (1983)", "d:Description": "Data with topics including cast, crew, credits, plot summary, and user reviews.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's_II_-_The_Next_Day", "url": "http://www.imdb.com/title/tt0086129/"} +{"d:Title": "Rotten Tomatoes: Porky's Revenge", "d:Description": "Reviews, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's_Revenge", "url": "http://www.rottentomatoes.com/m/porkys_revenge/"} +{"d:Title": "IMDb: Porky's Revenge (1985)", "d:Description": "Cast, crew, credits, plot summary, commentary, and related movie information.", "topic": "Top/Arts/Movies/Titles/P/Porky's_Series/Porky's_Revenge", "url": "http://www.imdb.com/title/tt0089826/"} +{"d:Title": "Rotten Tomatoes: The Portrait of a Lady", "d:Description": "Reviews, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/P/Portrait_of_a_Lady,_The", "url": "http://www.rottentomatoes.com/m/1074321-portrait_of_a_lady/"} +{"d:Title": "IMDb: Portrait of a Lady, The", "d:Description": "Includes plot outline, cast, user comments and ratings and film details.", "topic": "Top/Arts/Movies/Titles/P/Portrait_of_a_Lady,_The", "url": "http://www.imdb.com/title/tt0117364/"} +{"d:Title": "Rotten Tomatoes: Portrait of the Soul", "d:Description": "DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Portrait_of_the_Soul", "url": "http://www.rottentomatoes.com/m/portrait_of_the_soul/"} +{"d:Title": "Sex Files: Portrait of the Soul (1998)", "d:Description": "Cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Portrait_of_the_Soul", "url": "http://www.imdb.com/title/tt0189938/"} +{"d:Title": "Rotten Tomatoes: Poseidon", "d:Description": "Reviews, synopsis, movie mistakes, production notes, cast and crew lists, photos, trailers, box office summary, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Poseidon", "url": "http://www.rottentomatoes.com/m/poseidon/"} +{"d:Title": "IMDb: Poseidon (2006)", "d:Description": "Photo gallery, plot outlines, cast overview, trivia, goofs, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Poseidon", "url": "http://www.imdb.com/title/tt0409182/"} +{"d:Title": "Wikipedia", "d:Description": "Article covering the storyline, sets, cast, trivia, reactions, and the DVD release.", "topic": "Top/Arts/Movies/Titles/P/Poseidon", "url": "http://en.wikipedia.org/wiki/Poseidon_(film)"} +{"d:Title": "FilmForce: Poseidon", "d:Description": "Review by Stax, news, features, photos, and videos.", "topic": "Top/Arts/Movies/Titles/P/Poseidon", "url": "http://www.ign.com/movies/poseidon-2006/theater-662113"} +{"d:Title": "USA Today: 'Poseidon' sinks under its own weight", "d:Description": "Review, by Claudia Puig: \"The sole reason to see it, if you must, is for the special effects and stunts. And even those eventually grow numbing...\"", "topic": "Top/Arts/Movies/Titles/P/Poseidon", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2006-05-10-poseidon_x.htm"} +{"d:Title": "Entertainment Weekly: Poseidon", "d:Description": "Review, by Lisa Schwarzbaum: \"It's a buoyant, old-wave disaster pic for a generation of well-conditioned thrill seekers...\" [Grade: B+]", "topic": "Top/Arts/Movies/Titles/P/Poseidon", "url": "http://www.ew.com/article/2006/05/10/poseidon"} +{"d:Title": "All-Reviews.com: The Poseidon Adventure", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Poseidon_Adventure,_The", "url": "http://www.all-reviews.com/videos-2/poseidon-adventure.htm"} +{"d:Title": "IMDb: The Poseidon Adventure", "d:Description": "Synopsis, pictures, trailers, news articles, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Poseidon_Adventure,_The", "url": "http://www.imdb.com/title/tt0069113/"} +{"d:Title": "Rotten Tomatoes: The Poseidon Adventure", "d:Description": "Synopsis, rating, review, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Poseidon_Adventure,_The", "url": "http://www.rottentomatoes.com/m/1016584-poseidon_adventure/"} +{"d:Title": "MRQE: The Poseidon Adventure", "d:Description": "Reviews and ratings from around the world.", "topic": "Top/Arts/Movies/Titles/P/Poseidon_Adventure,_The", "url": "http://www.mrqe.com/movie_reviews/the-poseidon-adventure-m100003421"} +{"d:Title": "MovieWeb: The Poseidon Adventure", "d:Description": "Synopsis, rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/P/Poseidon_Adventure,_The", "url": "http://www.movieweb.com/movie/the-poseidon-adventure"} +{"d:Title": "Movies.com: The Poseidon Adventure", "d:Description": "Synopsis, reviews, ratings, pictures and awards.", "topic": "Top/Arts/Movies/Titles/P/Poseidon_Adventure,_The", "url": "http://www.movies.com/the-poseidon-adventure/m63608"} +{"d:Title": "TVGuide: The Poseidon Adventure", "d:Description": "Synopsis, review, rating, news articles, video clips, cast and crew.", "topic": "Top/Arts/Movies/Titles/P/Poseidon_Adventure,_The", "url": "http://www.tvguide.com/movies/poseidon-adventure/109471/"} +{"d:Title": "All-Reviews.com: Possession", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Possession", "url": "http://www.all-reviews.com/videos-4/possession.htm"} +{"d:Title": "HARO Online: Possession", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/P/Possession", "url": "http://www.haro-online.com/movies/possession.html"} +{"d:Title": "Rotten Tomatoes: Possession", "d:Description": "Reviews, synopsis, mistakes, credits, posters, photos, trailers, numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Possession", "url": "http://www.rottentomatoes.com/m/possession/"} +{"d:Title": "IMDb: Possession (2002)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Possession", "url": "http://www.imdb.com/title/tt0256276/"} +{"d:Title": "Moviefone: Possession (2008)", "d:Description": "Synopsis, cast, crew, photos.", "topic": "Top/Arts/Movies/Titles/P/Possession_-_2008", "url": "http://www.moviefone.com/movie/possession/26178/main"} +{"d:Title": "MovieWeb: Possession (2009)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/P/Possession_-_2008", "url": "http://www.movieweb.com/movie/possession-2009"} +{"d:Title": "Rotten Tomatoes: Postal Movie", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Postal", "url": "http://www.rottentomatoes.com/m/postal/"} +{"d:Title": "IGN Movies: Postal", "d:Description": "Photos, videos, news, preview, mailbag, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/P/Postal", "url": "http://www.ign.com/movies/postal/theater-778320"} +{"d:Title": "The New York Times: Postal", "d:Description": "Review, by Nathan Lee: \"Infantile, irreverent and boorish to the max, Postal explodes with bad attitude and lousy filmmaking.\"", "topic": "Top/Arts/Movies/Titles/P/Postal", "url": "http://www.nytimes.com/2008/05/23/movies/23post.html?ref=movies&_r=0"} +{"d:Title": "MovieWeb: Postal (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/P/Postal", "url": "http://www.movieweb.com/movie/postal"} +{"d:Title": "TV Guide: Postal", "d:Description": "Review, by Maitland McDonagh: \"Postal's touches of wit are lost in the flying body parts, gross-out gags, and the full frontal spectacle of Foley's no-longer-private parts.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Postal", "url": "http://www.tvguide.com/movies/postal/review/293678/"} +{"d:Title": "All-Reviews.com - Il Postino", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Postman,_The_-_1995", "url": "http://www.all-reviews.com/videos-3/il-postino.htm"} +{"d:Title": "IMDb: Postino, Il (1994)", "d:Description": "Cast, crew, plot synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Postman,_The_-_1995", "url": "http://www.imdb.com/title/tt0110877/"} +{"d:Title": "Rotten Tomatoes: Il Postino", "d:Description": "Reviews, synopsis, production notes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Postman,_The_-_1995", "url": "http://www.rottentomatoes.com/m/il_postino_the_postman/"} +{"d:Title": "AllReaders.com \"The Postman\" Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/P/Postman,_The_-_1995", "url": "http://allreaders.com/movie-review-summary/the-postman-il-postino-4248"} +{"d:Title": "All-Reviews.com- The Postman", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/P/Postman,_The_-_1997", "url": "http://www.all-reviews.com/videos-2/postman.htm"} +{"d:Title": "IMDb: The Postman", "d:Description": "Provides cast and crew details, plot summary, quotes and informational links.", "topic": "Top/Arts/Movies/Titles/P/Postman,_The_-_1997", "url": "http://imdb.com/title/tt0119925/"} +{"d:Title": "TV Guide Online: Postman, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Postman,_The_-_1997", "url": "http://www.tvguide.com/movies/postman/130798/"} +{"d:Title": "FilmSite.org: The Postman Always Rings Twice", "d:Description": "Detailed analysis and summary of the 1946 film.", "topic": "Top/Arts/Movies/Titles/P/Postman_Always_Rings_Twice,_The_-_1946", "url": "http://www.filmsite.org/post.html"} +{"d:Title": "Rotten Tomatoes: The Postman Always Rings Twice", "d:Description": "Reviews, synopsis, mistakes, quotes, production notes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Postman_Always_Rings_Twice,_The_-_1946", "url": "http://www.rottentomatoes.com/m/1016591-postman_always_rings_twice/"} +{"d:Title": "IMDb: Postman Always Rings Twice, The (1946)", "d:Description": "Cast, crew, plot summary, viewer comments, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Postman_Always_Rings_Twice,_The_-_1946", "url": "http://www.imdb.com/title/tt0038854/"} +{"d:Title": "Post Concussion - The Movie", "d:Description": "Official site featuring pictures, screening schedule, cast information and reviews.", "topic": "Top/Arts/Movies/Titles/P/Post_Concussion", "url": "http://www.bluewaterfilms.com/"} +{"d:Title": "Rotten Tomatoes: Post Concussion", "d:Description": "Synopsis, reviews, crew list, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Post_Concussion", "url": "http://www.rottentomatoes.com/m/post_concussion/"} +{"d:Title": "IMDb: Post Concussion (1999)", "d:Description": "Cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Post_Concussion", "url": "http://www.imdb.com/title/tt0246159/"} +{"d:Title": "All-Reviews.com - Powder", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/P/Powder", "url": "http://www.all-reviews.com/videos-2/powder.htm"} +{"d:Title": "IMDb: Powder (1995)", "d:Description": "Cast and crew information, reviews, and plot summary.", "topic": "Top/Arts/Movies/Titles/P/Powder", "url": "http://www.imdb.com/title/tt0114168/"} +{"d:Title": "Rotten Tomatoes: Powder", "d:Description": "Reviews, synopsis, movie mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Powder", "url": "http://www.rottentomatoes.com/m/powder/"} +{"d:Title": "TV Guide Online: Powder", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Powder", "url": "http://www.tvguide.com/movies/powder/130992/"} +{"d:Title": "Chicago Sun Times", "d:Description": "By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/P/Powder/Reviews", "url": "http://www.rogerebert.com/reviews/powder-1995"} +{"d:Title": "Rotten Tomatoes: Practical Magic", "d:Description": "Reviews, synopsis, mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Practical_Magic", "url": "http://www.rottentomatoes.com/m/practical_magic/"} +{"d:Title": "TV Guide Online: Practical Magic", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Practical_Magic", "url": "http://www.tvguide.com/movies/practical-magic/133659/"} +{"d:Title": "All-Reviews.com - Practical Magic", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/P/Practical_Magic/Reviews", "url": "http://www.all-reviews.com/videos/practical-magic.htm"} +{"d:Title": "Fast-Rewind.com - Predator", "d:Description": "Brief movie review, trivia, pictures.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator", "url": "http://www.fast-rewind.com/predator.htm"} +{"d:Title": "Predator Zone", "d:Description": "Movie fan site containing pictures and information.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator", "url": "http://thehunterspride.tripod.com/"} +{"d:Title": "Filmtracks: Predator", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator", "url": "http://www.filmtracks.com/titles/predator.html"} +{"d:Title": "Rotten Tomatoes: Predator", "d:Description": "Reviews, DVD information, synopsis, mistakes, quotes, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator", "url": "http://www.rottentomatoes.com/m/predator/"} +{"d:Title": "IMDb: Predator (1987)", "d:Description": "Photo gallery, taglines, plot outlines, cast list, trivia, mistakes, user comments, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator", "url": "http://www.imdb.com/title/tt0093773/"} +{"d:Title": "MovieWeb: Predator", "d:Description": "Reviews, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator", "url": "http://www.movieweb.com/movie/predator"} +{"d:Title": "TV Guide Online: Predator", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator", "url": "http://www.tvguide.com/movies/predator/109735/"} +{"d:Title": "IMDb: Predators", "d:Description": "Synopsis, image gallery, trailers and fun facts.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predators", "url": "http://www.imdb.com/title/tt1424381/"} +{"d:Title": "Rotten Tomatoes: Predators", "d:Description": "Synopsis, pictures, trailers, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predators", "url": "http://www.rottentomatoes.com/m/10012256-predators/"} +{"d:Title": "MRQE: Predators", "d:Description": "Reviews and ratings from around the world.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predators", "url": "http://www.mrqe.com/movie_reviews/predators-m100082958"} +{"d:Title": "MovieWeb: Predators", "d:Description": "Synopsis, trailers, image gallery, reviews, ratings, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predators", "url": "http://www.movieweb.com/movie/predators"} +{"d:Title": "Movies.com: Predators", "d:Description": "Synopsis, trailers, image gallery, reviews and ratings.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predators", "url": "http://www.movies.com/predators/m60212"} +{"d:Title": "IMDb: Predator 2", "d:Description": "Synopsis, trailers, pictures, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator_2", "url": "http://www.imdb.com/title/tt0100403/"} +{"d:Title": "Rotten Tomatoes: Predator 2", "d:Description": "Synopsis, credits, reviews and ratings.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator_2", "url": "http://www.rottentomatoes.com/m/predator_2/"} +{"d:Title": "MovieWeb: Predator 2", "d:Description": "Synopsis, trailers, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator_2", "url": "http://www.movieweb.com/movie/predator-2"} +{"d:Title": "Movies.com: Predator 2", "d:Description": "Synopsis, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator_2", "url": "http://www.movies.com/predator-2/m12809"} +{"d:Title": "MRQE: Predator 2", "d:Description": "Reviews and ratings from around the world.", "topic": "Top/Arts/Movies/Titles/P/Predator_Series/Predator_2", "url": "http://www.mrqe.com/movie_reviews/predator-2-m100037964"} +{"d:Title": "Rotten Tomatoes: Prefontaine", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prefontaine", "url": "http://www.rottentomatoes.com/m/prefontaine/"} +{"d:Title": "IMDb: Prefontaine (1997)", "d:Description": "Photo gallery, plot outlines, cast list, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prefontaine", "url": "http://www.imdb.com/title/tt0119937/"} +{"d:Title": "TV Guide Online: Prefontaine", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Prefontaine", "url": "http://www.tvguide.com/movies/prefontaine/132060/"} +{"d:Title": "The President's Lady:Charlton Heston&Susan Hayward", "d:Description": "Pictures, and synopsis about this classic Charlton Heston and Susan Hayward movie.", "topic": "Top/Arts/Movies/Titles/P/President's_Lady,_The", "url": "http://charltonhestonworld.homestead.com/PresidentsLady.html"} +{"d:Title": "President's Lady, The (1953)", "d:Description": "Includes summary, reviews and ratings, cast and crew credits, and links.", "topic": "Top/Arts/Movies/Titles/P/President's_Lady,_The", "url": "http://www.imdb.com/title/tt0046204/"} +{"d:Title": "IMDb: The Prestige", "d:Description": "Offers cast, crew, plot summary and discussion board.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://www.imdb.com/title/tt0482571/"} +{"d:Title": "Christopher Priest - The Prestige", "d:Description": "When Newmarket Films offered to option The Prestige, the novelist had never heard of Christopher Nolan.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://myweb.tiscali.co.uk/christopherpriest/prescnolan.htm"} +{"d:Title": "CanMag: The Prestige Trailer", "d:Description": "Trailer footage for the upcoming film The Prestige.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://www.canmag.com/news/4/3/4374"} +{"d:Title": "Rotten Tomatoes: The Prestige", "d:Description": "Synopsis, cast and crew lists, photos, trailer, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://www.rottentomatoes.com/m/prestige/"} +{"d:Title": "Wikipedia", "d:Description": "Article, with plot information and trivia.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://en.wikipedia.org/wiki/The_Prestige_(film)"} +{"d:Title": "Apple: The Prestige", "d:Description": "Trailer offered in standard and high definition, in three sizes.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://trailers.apple.com/trailers/touchstone/theprestige/"} +{"d:Title": "The Prestige Edit Bay Visit With Chris Nolan", "d:Description": "After the success of Batman Begins, the name Chris Nolan means something. The lights went down, the cameras rolled, we were about to see the first 10 minutes of The Prestige. Two-part interview by Steven Chupnick.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://www.movieweb.com/the-prestige-edit-bay-visit-with-chris-nolan-part-1"} +{"d:Title": "Christian Bale and Hugh Jackman Set for The Prestige", "d:Description": "The two stars will play rival magicians in turn-of-the-century London who battle each other for trade secrets.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://www.movieweb.com/christian-bale-and-hugh-jackman-set-for-the-prestige"} +{"d:Title": "FilmForce: The Prestige", "d:Description": "News, features, photos, and a trailer.", "topic": "Top/Arts/Movies/Titles/P/Prestige,_The", "url": "http://www.ign.com/movies/the-prestige/theater-776180"} +{"d:Title": "Rotten Tomatoes: Ready to Wear", "d:Description": "Reviews, synopsis, movie mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pr\u00eat-\u00e0-Porter", "url": "http://www.rottentomatoes.com/m/ready_to_wear/"} +{"d:Title": "IMDb: Pr\u00eat-\u00e0-Porter (1994)", "d:Description": "Photo gallery, plot summaries, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pr\u00eat-\u00e0-Porter", "url": "http://www.imdb.com/title/tt0110907/"} +{"d:Title": "Wikipedia", "d:Description": "Article covering awards, the title, and a cast list.", "topic": "Top/Arts/Movies/Titles/P/Pr\u00eat-\u00e0-Porter", "url": "http://en.wikipedia.org/wiki/Pr%C3%AAt-%C3%A0-Porter_(film)"} +{"d:Title": "Fast Rewind - Pretty In Pink", "d:Description": "Review, trivia, and pictures.", "topic": "Top/Arts/Movies/Titles/P/Pretty_in_Pink", "url": "http://www.fast-rewind.com/prettyinpink.htm"} +{"d:Title": "eFilmCritic.com", "d:Description": "\"Another beautiful example of the talent that John Hughes used to be....\" A review and rating in restrospect.", "topic": "Top/Arts/Movies/Titles/P/Pretty_in_Pink", "url": "http://www.efilmcritic.com/review.php?movie=1113"} +{"d:Title": "Pretty in Pink", "d:Description": "\"My favorite moments were the quietest ones, in which nothing was being said because a boy was trying to get up the courage to ask a girl out on a date, and she knew it, and he knew it, and still nothing was happening.\" Review by Roger Ebert. [Sun-Times]", "topic": "Top/Arts/Movies/Titles/P/Pretty_in_Pink", "url": "http://www.rogerebert.com/reviews/pretty-in-pink-1986"} +{"d:Title": "Rotten Tomatoes: Pretty Persuasion", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, photos, trailers, box office data, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Persuasion", "url": "http://www.rottentomatoes.com/m/pretty_persuasion/"} +{"d:Title": "IMDb: Pretty Persuasion (2005)", "d:Description": "Photo gallery, plot summaries, trailer, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Persuasion", "url": "http://www.imdb.com/title/tt0381505/"} +{"d:Title": "FilmForce: Pretty Persuasion", "d:Description": "Review by Jeff Otto, and trailers.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Persuasion", "url": "http://www.ign.com/movies/pretty-persuasion/theater-757867"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"So the movie is daring, and well-acted. Yet it isn't very satisfying, because the serious content keeps breaking through the soggy plot intended to contain it.\" [Score: 2 out of 5]", "topic": "Top/Arts/Movies/Titles/P/Pretty_Persuasion", "url": "http://www.rogerebert.com/reviews/pretty-persuasion-2005"} +{"d:Title": "All-Reviews.com - Pretty Woman", "d:Description": "Two reviews of the film.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Woman", "url": "http://www.all-reviews.com/videos/prettywoman.htm"} +{"d:Title": "The Pretty Woman Motel", "d:Description": "A photo of a motel used in the movie.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Woman", "url": "http://seeing-stars.com/ImagePages/LasPalmasHotelPhoto.shtml"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Woman", "url": "http://crazy4cinema.com/Review/FilmsP/f_pretty_woman.html"} +{"d:Title": "IMDb: Pretty Woman (1990)", "d:Description": "Plot outlines, trailer, cast list, trivia, mistakes, quotes, awards, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Woman", "url": "http://www.imdb.com/title/tt0100405/"} +{"d:Title": "Rotten Tomatoes: Pretty Woman", "d:Description": "Reviews, synopsis, mistakes, production notes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Woman", "url": "http://www.rottentomatoes.com/m/pretty_woman/"} +{"d:Title": "Allreaders Pretty Woman Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Woman", "url": "http://allreaders.com/movie-review-summary/pretty-woman-3545"} +{"d:Title": "TV Guide Online: Pretty Woman", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pretty_Woman", "url": "http://www.tvguide.com/movies/pretty-woman/127935/"} +{"d:Title": "Rotten Tomatoes: A Price Above Rubies", "d:Description": "Reviews, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Price_Above_Rubies,_A", "url": "http://www.rottentomatoes.com/m/price_above_rubies/"} +{"d:Title": "IMDb: A Price Above Rubies (1998)", "d:Description": "Photo gallery, plot summaries, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Price_Above_Rubies,_A", "url": "http://www.imdb.com/title/tt0120793/"} +{"d:Title": "AllReaders.com Price Above Rubies Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Price_Above_Rubies,_A", "url": "http://allreaders.com/movie-review-summary/price-above-rubies-4208"} +{"d:Title": "PopMatters - Price of Glory", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/P/Price_of_Glory", "url": "http://popmatters.com/film/reviews/p/price-of-glory.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, credits, and synopsis.", "topic": "Top/Arts/Movies/Titles/P/Price_of_Glory", "url": "http://www.rottentomatoes.com/m/price_of_glory/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Price_of_Glory", "url": "http://www.metacritic.com/movie/price-of-glory"} +{"d:Title": "IMDb - Price of Glory (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Price_of_Glory", "url": "http://www.imdb.com/title/tt0188160/"} +{"d:Title": "IMDb: The Price of Milk (2000)", "d:Description": "Plot summary, cast list, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Price_of_Milk,_The", "url": "http://www.imdb.com/title/tt0249893/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Desson Thomson: \"Manages to be innocent, physically passionate, earnestly romantic and self-deprecatingly funny, all at once.\"", "topic": "Top/Arts/Movies/Titles/P/Price_of_Milk,_The", "url": "http://www.washingtonpost.com/wp-srv/entertainment/movies/reviews/priceofmilkhowe.htm"} +{"d:Title": "New York Magazine", "d:Description": "Review, by Peter Rainer: \"Gets points for oddness. Excellence is another matter.\"", "topic": "Top/Arts/Movies/Titles/P/Price_of_Milk,_The", "url": "http://nymag.com/nymetro/movies/reviews/4389/"} +{"d:Title": "FilmForce: The Price of Milk", "d:Description": "Review by Spence D., and news.", "topic": "Top/Arts/Movies/Titles/P/Price_of_Milk,_The", "url": "http://www.ign.com/movies/the-price-of-milk/theater-56002"} +{"d:Title": "Rotten Tomatoes: The Price of Milk", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Price_of_Milk,_The", "url": "http://www.rottentomatoes.com/m/the_price_of_milk/"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review, by Roger Ebert: \"There is a place for whimsy and magic realism, and that place may not be on a cow farm in New Zealand. Or perhaps it is, but not with this story.\" [Score: 2 out of 5]", "topic": "Top/Arts/Movies/Titles/P/Price_of_Milk,_The", "url": "http://www.rogerebert.com/reviews/the-price-of-milk-2001"} +{"d:Title": "Rotten Tomatoes: Pride", "d:Description": "Reviews, synopsis, critical consensus, production notes, credits, photos, trailers, news, box office data, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pride", "url": "http://www.rottentomatoes.com/m/pride/"} +{"d:Title": "IMDb: Pride (2007)", "d:Description": "Photos, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pride", "url": "http://www.imdb.com/title/tt0475355/"} +{"d:Title": "IGN Movies: Pride", "d:Description": "Photos, videos, news, and a review.", "topic": "Top/Arts/Movies/Titles/P/Pride", "url": "http://www.ign.com/movies/pride/theater-805102"} +{"d:Title": "USA Today: Pride", "d:Description": "Review, by Claudia Puig: \"Worth seeing, not only because it shows how an ordinary man can do something extraordinary, but because it allows audiences the opportunity to watch an extraordinary actor in a performance that could have been rote, but instead is nuanced and intelligent.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Pride", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-03-22-pride_N.htm"} +{"d:Title": "MovieWeb: Pride", "d:Description": "Synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/P/Pride", "url": "http://www.movieweb.com/movie/pride"} +{"d:Title": "Rotten Tomatoes: Pride and Glory", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Glory", "url": "http://www.rottentomatoes.com/m/pride_and_glory/"} +{"d:Title": "USA Today: Pride and Glory", "d:Description": "Review, by Claudia Puig: \"It's d\u00e9j\u00e0 vu all over again. There isn't much more to say about \"We Own the Night 2.\" Oops, make that Pride and Glory.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Glory", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-10-23-pride-and-glory_N.htm"} +{"d:Title": "IGN: Pride and Glory", "d:Description": "Photos, videos, news, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Glory", "url": "http://www.ign.com/movies/pride-and-glory/theater-379765"} +{"d:Title": "The Austin Chronicle: Pride and Glory", "d:Description": "Review, by Marjorie Baumgarten: \"It's a good thing this movie has been sitting on the shelf for a year or more, because, apart from the difference in release dates, there's little to distinguish this new cop drama from last year's cop drama \"We Own the Night.\"\" [Rating: 2 out of 5]", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Glory", "url": "http://www.austinchronicle.com/calendar/film/2008-10-24/691730/"} +{"d:Title": "ReelViews: Pride and Glory", "d:Description": "Review, by James Berardinelli: \"The final 15 minutes are so awful that it's difficult to believe that the bulk of the film is actually decent.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Glory", "url": "http://www.reelviews.net/reelviews/pride-and-glory"} +{"d:Title": "TV Guide: Pride and Glory", "d:Description": "Review, by Cammila Albertson: \"Pride and Glory would be a pretty cool movie if it were made in 1982.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Glory", "url": "http://www.tvguide.com/movies/pride-glory/review/294901/"} +{"d:Title": "Rotten Tomatoes: Pride and Prejudice (1940)", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Prejudice_-_1940", "url": "http://www.rottentomatoes.com/m/1016698-pride_and_prejudice/"} +{"d:Title": "IMDb: Pride and Prejudice (1940)", "d:Description": "MGM film starring Greer Garson and Laurence Olivier. Cast listing, film summary, user comments, photographs, and other miscellaneous information.", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Prejudice_-_1940", "url": "http://www.imdb.com/title/tt0032943/"} +{"d:Title": "IMDb: Pride and Prejudice", "d:Description": "Plot summary, user comments, memorable quotes, filming locations, and other detailed information on this A and E movie made in 1995.", "topic": "Top/Arts/Movies/Titles/P/Pride_and_Prejudice_-_1995", "url": "http://imdb.com/title/tt0112130/"} +{"d:Title": "Teach With Movies: Pride of the Yankees", "d:Description": "Short profile of film given to teach through entertainment. Fee required for learning guide.", "topic": "Top/Arts/Movies/Titles/P/Pride_of_the_Yankees,_The", "url": "http://www.teachwithmovies.org/guides/pride-of-the-yankees.html"} +{"d:Title": "IMDb: Pride of the Yankees, The (1942)", "d:Description": "Cast and crew listing, reviews, plot summary, comments, and other related information on the movie.", "topic": "Top/Arts/Movies/Titles/P/Pride_of_the_Yankees,_The", "url": "http://www.imdb.com/title/tt0035211/"} +{"d:Title": "Rotten Tomatoes: The Pride of the Yankees", "d:Description": "Reviews, synopsis, mistakes, quotes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pride_of_the_Yankees,_The", "url": "http://www.rottentomatoes.com/m/pride_of_the_yankees/"} +{"d:Title": "ReelClassics.com: The Pride of the Yankees (1942)", "d:Description": "Information about the Oscar-winning film, including summary and facts about the movie, cast and crew, quotes, movie stills and posters, and related information.", "topic": "Top/Arts/Movies/Titles/P/Pride_of_the_Yankees,_The", "url": "http://www.reelclassics.com/Movies/Yankees/yankees.htm"} +{"d:Title": "IMDb: Priest", "d:Description": "Offers full cast and crew list, links and a discussion board.", "topic": "Top/Arts/Movies/Titles/P/Priest", "url": "http://www.imdb.com/title/tt0822847/"} +{"d:Title": "ICv2 News: Priest Live Action Movie", "d:Description": "Priest is an epic fantasy series set in the frontier days of the American West, though it ranges across the centuries.", "topic": "Top/Arts/Movies/Titles/P/Priest", "url": "http://www.icv2.com/articles/news/3124.html"} +{"d:Title": "All-Reviews.com - Primal Fear", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear", "url": "http://www.all-reviews.com/videos-3/primal-fear.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear", "url": "http://crazy4cinema.com/Review/FilmsP/f_primal_fear.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew information, reviews, quotes, plot summary, and goofs.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear", "url": "http://www.imdb.com/title/tt0117381/"} +{"d:Title": "Rotten Tomatoes: Primal Fear", "d:Description": "Reviews, synopsis, mistakes, quotes, production notes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear", "url": "http://www.rottentomatoes.com/m/primal_fear/"} +{"d:Title": "Allreaders Primal Fear Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear", "url": "http://allreaders.com/movie-review-summary/primal-fear-4794"} +{"d:Title": "TV Guide Online: Primal Fear", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear", "url": "http://www.tvguide.com/movies/primal-fear/131060/"} +{"d:Title": "Death Comes for the Archbishop", "d:Description": "Review from MetroActive Movies.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear/Reviews", "url": "http://www.metroactive.com/papers/metro/04.11.96/prim-fear-9615.html"} +{"d:Title": "Primal Fear (1996)", "d:Description": "Review by Scott Marcus.", "topic": "Top/Arts/Movies/Titles/P/Primal_Fear/Reviews", "url": "http://www.imdb.com/reviews/49/4938.html"} +{"d:Title": "All-Reviews.com: Primary Colors", "d:Description": "3 favorable reviews.", "topic": "Top/Arts/Movies/Titles/P/Primary_Colors", "url": "http://www.all-reviews.com/videos/primary-colors.htm"} +{"d:Title": "Rotten Tomatoes: Primary Colors", "d:Description": "Reviews, synopsis, critical consensus, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Primary_Colors", "url": "http://www.rottentomatoes.com/m/primary_colors/"} +{"d:Title": "IMDb - Primary Colors (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Primary_Colors", "url": "http://www.imdb.com/title/tt0119942/"} +{"d:Title": "Metacritic.com: Primary Colors", "d:Description": "Quotes from and links to reviews of the film, with an overall rating.", "topic": "Top/Arts/Movies/Titles/P/Primary_Colors", "url": "http://www.metacritic.com/movie/primary-colors"} +{"d:Title": "Roger Ebert - Primary Colors", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/P/Primary_Colors", "url": "http://www.rogerebert.com/reviews/primary-colors-1998"} +{"d:Title": "TV Guide Online: Primary Colors", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Primary_Colors", "url": "http://www.tvguide.com/movies/primary-colors/132942/"} +{"d:Title": "Wikipedia: Primer", "d:Description": "Encyclopedia article on the film's plot, themes, cast, production, science, distribution, score, and reception.", "topic": "Top/Arts/Movies/Titles/P/Primer", "url": "http://en.wikipedia.org/wiki/Primer_(film)"} +{"d:Title": "Wait, Can We Go Over That Again? Thoughts on Primer", "d:Description": "Essay about the plot's opacity, effect of the technical jargon, characterization, and the character's unclear motives. [Asking the Wrong Questions]", "topic": "Top/Arts/Movies/Titles/P/Primer", "url": "http://wrongquestions.blogspot.com/2007/01/wait-can-we-go-over-that-again-thoughts.html"} +{"d:Title": "Andy's Anachronisms Review", "d:Description": "\"While the film wasn't nearly incomprehensible as I had been lead to believe, I can understand people's confusion given the execution of the film.\" [Contains spoilers]", "topic": "Top/Arts/Movies/Titles/P/Primer", "url": "http://www.timetravelreviews.com/movies/primer.html"} +{"d:Title": "IMDb - Primer (2004)", "d:Description": "Cast/crew credits and film information.", "topic": "Top/Arts/Movies/Titles/P/Primer", "url": "http://www.imdb.com/title/tt0390384/"} +{"d:Title": "Primer", "d:Description": "Official site with production information, trailer, stills, and discussion forum.", "topic": "Top/Arts/Movies/Titles/P/Primer", "url": "http://erbpfilm.com/film/primer"} +{"d:Title": "A.V. Club Review", "d:Description": "\"Just because a movie cost less than a new car to shoot doesn't mean it can't look great.\" By Scott Tobias.", "topic": "Top/Arts/Movies/Titles/P/Primer", "url": "http://www.avclub.com/article/the-new-cult-canon-iprimeri-2248"} +{"d:Title": "IMDb: Princess and the Pirate, The (1944)", "d:Description": "Cast, crew, credits, plot summary, viewer comments, and links.", "topic": "Top/Arts/Movies/Titles/P/Princess_and_the_Pirate,_The", "url": "http://www.imdb.com/title/tt0037193/"} +{"d:Title": "MGM Movie Database: The Princess and the Pirate", "d:Description": "A short synopsis, cast listing, and four movie stills.", "topic": "Top/Arts/Movies/Titles/P/Princess_and_the_Pirate,_The", "url": "http://www.mgm.com/title_title.php?title_star=PRINCESA"} +{"d:Title": "Rotten Tomatoes: The Princess and the Pirate", "d:Description": "Reviews, synopsis, quotes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Princess_and_the_Pirate,_The", "url": "http://www.rottentomatoes.com/m/the-princess-and-the-pirate/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"The Princess and the Warrior.\"", "topic": "Top/Arts/Movies/Titles/P/Princess_and_the_Warrior,_The", "url": "http://www.haro-online.com/movies/princess_and_the_warrior.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Princess_and_the_Warrior,_The", "url": "http://www.metacritic.com/movie/the-princess-and-the-warrior"} +{"d:Title": "The Princess Bride Fannesite", "d:Description": "Fan page with links, favorite quotes and movie moments.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The", "url": "http://www.neloo.com/fannesite/bride1.html"} +{"d:Title": "The Princess Bride Drinking Game", "d:Description": "By Chris Ring.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The", "url": "http://www.ringthis.com/tv_drinking_games/prinbrid.php"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, reviews, plot summary, quotes, trivia, goofs, and other information.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The", "url": "http://www.imdb.com/title/tt0093779/"} +{"d:Title": "Mawidge", "d:Description": "A Yahoo! group \"Where Westly Lives\".", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/mawidge/"} +{"d:Title": "Yahoo Groups: Labyrinth Princess", "d:Description": "Mailing list for fans of the movies \"Labyrinth\" or \"The Princess Bride\".", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/labyrinthprincess/"} +{"d:Title": "Yahoo Groups: Princess Bride", "d:Description": "Large, active mailing list. Meet other fans, discuss the movie, the book, and any related topics.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/princessbride"} +{"d:Title": "Yahoo Movies Groups: The Princess Bride 2", "d:Description": "A message board devoted to the movie.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/theprincessbride2/"} +{"d:Title": "The Princess Bride Webring", "d:Description": "Lists the sites in the ring with brief descriptions.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Directories", "url": "http://www.webring.org/hub?ring=tpb"} +{"d:Title": "Crazy 4 Cinema: The Princess Bride", "d:Description": "Video review.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.crazy4cinema.com/Review/FilmsP/f_pbride.html"} +{"d:Title": "Washington Post", "d:Description": "By Rita Kempley", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theprincessbridepgkempley_a0ca4d.htm"} +{"d:Title": "Washington Post", "d:Description": "By Desson Howe", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theprincessbridepghowe_a0b105.htm"} +{"d:Title": "CurrentFilm.com - The Princess Bride", "d:Description": "Movie and DVD review.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.currentfilm.com/dvdreviews/princessbridedvd.html"} +{"d:Title": "Rotten Tomatoes: The Princess Bride", "d:Description": "Collection of links to movie, video and DVD reviews from the nation's top critics. Also includes movie information, ratings, and viewer comments.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.rottentomatoes.com/m/princess_bride/"} +{"d:Title": "Mutant Reviewers From Hell: The Princess Bride", "d:Description": "Reviews and quotes.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://mutantreviewers.wordpress.com/2010/09/19/the-princess-bride-piratey-retro-review/"} +{"d:Title": "Clark Quinn", "d:Description": "Originally posted to rec.arts.movies.reviews.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.imdb.com/reviews/01/0141.html"} +{"d:Title": "Mark R. Leeper", "d:Description": "Originally posted to rec.arts.movies.reviews.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.imdb.com/reviews/01/0156.html"} +{"d:Title": "Ellen Eades", "d:Description": "Originally posted to rec.arts.movies.reviews.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.imdb.com/reviews/01/0157.html"} +{"d:Title": "IMDb: The Princess Bride", "d:Description": "Over 300 reviews and comments from Internet Movie Database users.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.imdb.com/title/tt0093779/reviews"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Review by Roger Ebert. Rated 3\u00bd stars.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-princess-bride-1987"} +{"d:Title": "Allreaders Princess Bride Spotlight", "d:Description": "Detailed analysis of the film, with links.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-princess-bride-4699"} +{"d:Title": "The Big Picture: The Princess Bride", "d:Description": "DVD review by Jeff McNeal. Includes screen captures.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.thebigpicturedvd.com/the-princess-bride"} +{"d:Title": "TV Guide Online: Princess Bride, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Princess_Bride,_The/Reviews", "url": "http://www.tvguide.com/movies/princess-bride/109825/"} +{"d:Title": "All-Reviews.com - Princess Caraboo", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/P/Princess_Caraboo", "url": "http://www.all-reviews.com/videos-3/princess-caraboo.htm"} +{"d:Title": "IMDb: Princess Caraboo", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Princess_Caraboo", "url": "http://www.imdb.com/title/tt0110892/"} +{"d:Title": "Rotten Tomatoes: Princess Caraboo", "d:Description": "Reviews, DVD information, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Princess_Caraboo", "url": "http://www.rottentomatoes.com/m/princess_caraboo/"} +{"d:Title": "Movie Mirrors - The Princess Comes Across", "d:Description": "Review by Sanderson Beck.", "topic": "Top/Arts/Movies/Titles/P/Princess_Comes_Across,_The", "url": "http://www.san.beck.org/MM/1936/PrincessComesAcross.html"} +{"d:Title": "IMDb - Princess Comes Across, The (1936)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/P/Princess_Comes_Across,_The", "url": "http://www.imdb.com/title/tt0028138/"} +{"d:Title": "Cinebooks Database - Princess Comes Across, The", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/P/Princess_Comes_Across,_The", "url": "http://www.tvguide.com/movies/princess-comes/109827/"} +{"d:Title": "HARO Online: The Princess Diaries", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://www.haro-online.com/movies/princess_diaries.html"} +{"d:Title": "Filmtracks: The Princess Diaries", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://www.filmtracks.com/titles/princess_diaries.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews on other sites and photos.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://www.rottentomatoes.com/m/princess_diaries/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://www.metacritic.com/movie/the-princess-diaries"} +{"d:Title": "Roger Ebert: The Princess Diaries", "d:Description": "If the movie is determined to be this dimwitted, couldn't it at least move a little more quickly? The metronome is set too slow, as if everyone is acting and thinking in half-time.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://www.rogerebert.com/reviews/the-princess-diaries-2001"} +{"d:Title": "FilmForce", "d:Description": "News, interviews, and a review by Smriti Mundhra.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://www.ign.com/movies/the-princess-diaries/theater-150654"} +{"d:Title": "USA Today: Girls will royally enjoy 'The Princess Diaries'", "d:Description": "Review, by Susan Wloszczyna: \"Like its heroine, The Princess Diaries isn't always perfect. But it fills an empty spot in the hearts of girls of all ages who have been pining all summer for a movie like this.\" [Score: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://usatoday30.usatoday.com/life/movies/2001-08-03-the-princess-diaries-review.htm"} +{"d:Title": "MovieWeb: The Princess Diaries", "d:Description": "Reviews, synopsis, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries,_The", "url": "http://www.movieweb.com/movie/the-princess-diaries"} +{"d:Title": "IMDb: The Princess Diaries 2: Royal Engagement (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries_2_-_Royal_Engagement,_The", "url": "http://www.imdb.com/title/tt0368933/"} +{"d:Title": "Rotten Tomatoes: The Princess Diaries 2 - Royal Engagement", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries_2_-_Royal_Engagement,_The", "url": "http://www.rottentomatoes.com/m/princess_diaries_2_royal_engagement/"} +{"d:Title": "HARO Online: The Princess Diaires 2 - Royal Engagement", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries_2_-_Royal_Engagement,_The", "url": "http://www.haro-online.com/movies/princess_diaries2.html"} +{"d:Title": "Chicago Sun-Times: The Princess Diaries 2 - Royal Engagement", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries_2_-_Royal_Engagement,_The", "url": "http://www.rogerebert.com/reviews/the-princess-diaries-2-royal-engagement-2004"} +{"d:Title": "FilmForce: The Princess Diaries 2: Royal Engagement", "d:Description": "Interview, news, and a video.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries_2_-_Royal_Engagement,_The", "url": "http://www.ign.com/movies/the-princess-diaries-2-royal-engagement/theater-39693"} +{"d:Title": "MovieWeb: The Princess Diaries 2: Royal Engagement", "d:Description": "Reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/P/Princess_Diaries_Series/Princess_Diaries_2_-_Royal_Engagement,_The", "url": "http://www.movieweb.com/movie/the-princess-diaries-2-royal-engagement"} +{"d:Title": "IMDb: Princess Raccoon", "d:Description": "Cast, crew, reviews, plot summary, and production details.", "topic": "Top/Arts/Movies/Titles/P/Princess_Raccoon", "url": "http://www.imdb.com/title/tt0441674/"} +{"d:Title": "Cannes Film Festival: Operetta Tanukigoten", "d:Description": "Credits, synopsis, pictures, and related articles.", "topic": "Top/Arts/Movies/Titles/P/Princess_Raccoon", "url": "http://www.festival-cannes.fr/en/archives/ficheFilm/id/4278649/year/2005.html"} +{"d:Title": "IMDb - Prince&Me, The (2004)", "d:Description": "Cast, credits, and production information.", "topic": "Top/Arts/Movies/Titles/P/Prince_and_Me,_The", "url": "http://www.imdb.com/title/tt0337697/"} +{"d:Title": "Rotten Tomatoes: The Prince&Me", "d:Description": "Reviews, synopsis, mistakes, credits, posters, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prince_and_Me,_The", "url": "http://www.rottentomatoes.com/m/prince_and_me/"} +{"d:Title": "IGN Movies: The Prince and Me", "d:Description": "Provides news, box office data, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prince_and_Me,_The", "url": "http://www.ign.com/movies/the-prince-and-me/theater-628695"} +{"d:Title": "Rotten Tomatoes: The Prince and the Surfer", "d:Description": "Review, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prince_and_the_Surfer,_The", "url": "http://www.rottentomatoes.com/m/prince_and_the_surfer/"} +{"d:Title": "IMDb: The Prince and the Surfer (1999)", "d:Description": "Plot summaries, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prince_and_the_Surfer,_The", "url": "http://www.imdb.com/title/tt0173052/"} +{"d:Title": "Rotten Tomatoes: The Chronicles of Narnia: Prince Caspian", "d:Description": "Synopsis, cast members, showtimes, videos, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prince_Caspian", "url": "http://www.rottentomatoes.com/m/chronicles_of_narnia_prince_caspian/"} +{"d:Title": "Telegraph", "d:Description": "Interview with director Andrew Adamson: \"The Lion, the Witch and the Wardrobe was a very emotional story about sacrifice and forgiveness. In some ways, this is a more personal story, a story of these kids returning to a place that they love, but which no longer exists. This is more about coming to adulthood, about growth and adventure.\"", "topic": "Top/Arts/Movies/Titles/P/Prince_Caspian", "url": "http://www.telegraph.co.uk/culture/film/starsandstories/3673212/Narnia-is-even-more-magical-in-Prince-Caspian.html"} +{"d:Title": "IGN Movies: The Chronicles of Narnia: Prince Caspian", "d:Description": "News, photos, videos, interviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prince_Caspian", "url": "http://www.ign.com/movies/the-chronicles-of-narnia-prince-caspian/theater-41217"} +{"d:Title": "MovieWeb: The Chronicles of Narnia: Prince Caspian", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/P/Prince_Caspian", "url": "http://www.movieweb.com/movie/the-chronicles-of-narnia-prince-caspian"} +{"d:Title": "IMDb: Prince of Persia - The Sands of Time", "d:Description": "Synopsis, pictures, cast, news articles, trailers and clips.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_Persia_-_The_Sands_of_Time", "url": "http://www.imdb.com/title/tt0473075/"} +{"d:Title": "Official Site: Prince of Persia - The Sands of Time", "d:Description": "Synopsis, trailers, image gallery, downloads, list of music, cast and credits.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_Persia_-_The_Sands_of_Time", "url": "http://movies.disney.com/prince-of-persia-the-sands-of-time"} +{"d:Title": "All-Reviews.com - Prince of the City", "d:Description": "Reader-contributed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_the_City", "url": "http://www.all-reviews.com/videos-4/prince-of-city.htm"} +{"d:Title": "IMDb: Prince of the City (1981)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_the_City", "url": "http://www.imdb.com/title/tt0082945/"} +{"d:Title": "Rotten Tomatoes: Prince of the City", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_the_City", "url": "http://www.rottentomatoes.com/m/prince_of_the_city/"} +{"d:Title": "Rotten Tomatoes: The Prince of Tides", "d:Description": "Reviews, synopsis, mistakes, credits, posters, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_Tides,_The", "url": "http://www.rottentomatoes.com/m/prince_of_tides/"} +{"d:Title": "IMDb: The Prince of Tides", "d:Description": "Plot outline, cast and crew, reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_Tides,_The", "url": "http://www.imdb.com/title/tt0102713/"} +{"d:Title": "Chicago Sun-Times: Prince of Tides", "d:Description": "Roger Ebert's review of the movie. Rated 3 1/2 stars.", "topic": "Top/Arts/Movies/Titles/P/Prince_of_Tides,_The", "url": "http://www.rogerebert.com/reviews/prince-of-tides-1991"} +{"d:Title": "IMDb: Prisoner of Zenda, The (1937)", "d:Description": "Cast, crew, plot summary, viewer comments, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Prisoner_of_Zenda,_The_-_1937", "url": "http://www.imdb.com/title/tt0029442/"} +{"d:Title": "Rotten Tomatoes: The Prisoner of Zenda", "d:Description": "Reviews, synopsis, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prisoner_of_Zenda,_The_-_1937", "url": "http://www.rottentomatoes.com/m/1016756-prisoner_of_zenda/"} +{"d:Title": "IMDb: Prison Planet (1992)", "d:Description": "Cast, crew, plot summary, viewer comments and ratings, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Prison_Planet", "url": "http://www.imdb.com/title/tt0140471/"} +{"d:Title": "Rotten Tomatoes: Prison Planet", "d:Description": "Synopsis, cast and crew, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prison_Planet", "url": "http://www.rottentomatoes.com/m/prison_planet/"} +{"d:Title": "IMDb: Private Files of J. Edgar Hoover, The (1977)", "d:Description": "Cast, credits, plot summary, user comments, and other movie information.", "topic": "Top/Arts/Movies/Titles/P/Private_Files_of_J._Edgar_Hoover,_The", "url": "http://www.imdb.com/title/tt0076567/"} +{"d:Title": "IMDb: Private Life of Henry VIII., The (1933)", "d:Description": "Cast, crew, credits, photographs, and plot line.", "topic": "Top/Arts/Movies/Titles/P/Private_Life_of_Henry_VIII.,_The", "url": "http://www.imdb.com/title/tt0024473/"} +{"d:Title": "All-Reviews.com- Private Parts", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/P/Private_Parts", "url": "http://www.all-reviews.com/videos-2/private-parts.htm"} +{"d:Title": "Rotten Tomatoes: Private Parts", "d:Description": "Reviews, critical consensus, synopsis, mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Private_Parts", "url": "http://www.rottentomatoes.com/m/1075777-private_parts/"} +{"d:Title": "When a Scourge Turns On the Charm", "d:Description": "Review with MPAA rating advice and a list of the leading cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/P/Private_Parts", "url": "http://www.nytimes.com/1997/03/07/movies/when-a-scourge-turns-on-the-charm.html"} +{"d:Title": "All-Reviews.com: The Producers", "d:Description": "Review of the movie by Andrew Hicks.", "topic": "Top/Arts/Movies/Titles/P/Producers,_The", "url": "http://www.all-reviews.com/videos-2/producers.htm"} +{"d:Title": "Rotten Tomatoes: The Producers", "d:Description": "Reviews, DVD information, synopsis, mistakes, cast and crew lists, photos, trailers, box office data, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Producers,_The", "url": "http://www.rottentomatoes.com/m/producers_the_movie_musical/"} +{"d:Title": "IMDb - The Producers", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Producers,_The", "url": "http://www.imdb.com/title/tt0063462/"} +{"d:Title": "FilmForce: The Producers", "d:Description": "Review by Jeff Otto, news, photos, and videos.", "topic": "Top/Arts/Movies/Titles/P/Producers,_The", "url": "http://www.ign.com/movies/the-producers/theater-623795"} +{"d:Title": "MovieWeb: The Producers", "d:Description": "Synopsis, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/P/Producers,_The", "url": "http://www.movieweb.com/movie/the-producers"} +{"d:Title": "TV Guide Online: Producers, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Producers,_The", "url": "http://www.tvguide.com/movies/producers/115049/"} +{"d:Title": "Metacritic.com: The Producers", "d:Description": "Quotes from and links to reviews of the film, along with an overall rating and user comments.", "topic": "Top/Arts/Movies/Titles/P/Producers,_The", "url": "http://www.metacritic.com/movie/the-producers-re-release"} +{"d:Title": "Rotten Tomatoes: The Professionals", "d:Description": "Reviews, synopsis, movie mistakes, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Professionals,_The", "url": "http://www.rottentomatoes.com/m/professionals/"} +{"d:Title": "IMDb: The Professionals (1966)", "d:Description": "Plot summaries, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Professionals,_The", "url": "http://www.imdb.com/title/tt0060862/"} +{"d:Title": "Floridian: Real Problems with a Fictional Movie", "d:Description": "Discussion of \"The Profit\" and the Church of Scientology, with pictures from the movie.", "topic": "Top/Arts/Movies/Titles/P/Profit,_The", "url": "http://www.sptimes.com/News/082401/Floridian/Real_problems_with_a_.shtml"} +{"d:Title": "IMDb: The Profit", "d:Description": "Plot outline, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Profit,_The", "url": "http://www.imdb.com/title/tt0277255/"} +{"d:Title": "Rotten Tomatoes: Project Viper", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Project_V.I.P.E.R.", "url": "http://www.rottentomatoes.com/m/project_viper/"} +{"d:Title": "IMDb - Project V.I.P.E.R. (2002)", "d:Description": "Cast, credits, and production information.", "topic": "Top/Arts/Movies/Titles/P/Project_V.I.P.E.R.", "url": "http://www.imdb.com/title/tt0310024/"} +{"d:Title": "All-Reviews.com: Promises", "d:Description": "Detailed summary and review.", "topic": "Top/Arts/Movies/Titles/P/Promises", "url": "http://www.all-reviews.com/videos-4/promises.htm"} +{"d:Title": "Rotten Tomatoes: Promises", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Promises", "url": "http://www.rottentomatoes.com/m/promises/"} +{"d:Title": "IMDb: Promises (2001)", "d:Description": "Plot summary, crew listing, and related movie data.", "topic": "Top/Arts/Movies/Titles/P/Promises", "url": "http://www.imdb.com/title/tt0282864/"} +{"d:Title": "Rotten Tomatoes: Prom Night Movie", "d:Description": "Synopsis, photos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prom_Night", "url": "http://www.rottentomatoes.com/m/prom_night/"} +{"d:Title": "IMDb: Prom Night (2008)", "d:Description": "Photo gallery, videos, cast list, quotes, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prom_Night", "url": "http://www.imdb.com/title/tt0926129/"} +{"d:Title": "IGN Movies: Prom Night", "d:Description": "Photos, videos, news, message board, and a review (rating: 1 out of 5).", "topic": "Top/Arts/Movies/Titles/P/Prom_Night", "url": "http://www.ign.com/movies/prom-night-remake/theater-895951"} +{"d:Title": "MovieWeb: Prom Night (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/P/Prom_Night", "url": "http://www.movieweb.com/movie/prom-night"} +{"d:Title": "PopMatters : Proof of Life", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/P/Proof_of_Life", "url": "http://popmatters.com/film/reviews/p/proof-of-life.html"} +{"d:Title": "HARO Online : Proof Of Life", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/P/Proof_of_Life", "url": "http://www.haro-online.com/movies/proof_of_life.html"} +{"d:Title": "All-Reviews.com- Proof of Life", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/P/Proof_of_Life", "url": "http://www.all-reviews.com/videos-2/proof-of-life.htm"} +{"d:Title": "Rotten Tomatoes - Proof of Life (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/P/Proof_of_Life", "url": "http://www.rottentomatoes.com/m/proof_of_life/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Proof_of_Life", "url": "http://www.metacritic.com/movie/proof-of-life"} +{"d:Title": "IMDb: Proof of Life", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/P/Proof_of_Life", "url": "http://www.imdb.com/title/tt0228750/"} +{"d:Title": "AllReaders.com Proof of Life Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Proof_of_Life", "url": "http://allreaders.com/movie-review-summary/proof-of-life-4157"} +{"d:Title": "IMDb: The Prophecy (1995)", "d:Description": "Photo gallery, plot outlines, cast list, quotes, movie mistakes, awards, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prophecy,_The", "url": "http://www.imdb.com/title/tt0114194/"} +{"d:Title": "Rotten Tomatoes: The Prophecy", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, quotes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prophecy,_The", "url": "http://www.rottentomatoes.com/m/1065632-prophecy/"} +{"d:Title": "TV Guide Online: Prophecy, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Prophecy,_The", "url": "http://www.tvguide.com/movies/prophecy/130439/"} +{"d:Title": "Rotten Tomatoes: Prospero's Books", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Prospero's_Books", "url": "http://www.rottentomatoes.com/m/prosperos_books/"} +{"d:Title": "IMDb: Prospero's Books (1991)", "d:Description": "Cast, crew, reviews, plot summary, comments, and related movie information and data.", "topic": "Top/Arts/Movies/Titles/P/Prospero's_Books", "url": "http://www.imdb.com/title/tt0102722/"} +{"d:Title": "HARO Online: Proteus", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Proteus", "url": "http://www.haro-online.com/movies/proteus.html"} +{"d:Title": "IMDb: Proteus (2003)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Proteus", "url": "http://www.imdb.com/title/tt0379461/"} +{"d:Title": "Efilmcritic: Proteus", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/P/Proteus", "url": "http://www.efilmcritic.com/review.php?movie=10188"} +{"d:Title": "Rotten Tomatoes: Proteus", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/P/Proteus", "url": "http://www.rottentomatoes.com/m/proteus/"} +{"d:Title": "IMDb: Prozac Nation (2001)", "d:Description": "Photo gallery, plot, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Prozac_Nation", "url": "http://www.imdb.com/title/tt0236640/"} +{"d:Title": "Psycho Trivia", "d:Description": "Online trivia game devoted to the film.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960", "url": "http://psychotrivia.tripod.com/"} +{"d:Title": "Andrea's Hitchcock's Psycho", "d:Description": "Essays, cast and crew information, plot summary, trivia, a 1962 interview with Hitchcock, images, and sound clips [VRML site-version available].", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960", "url": "http://web.tiscali.it/andrebalza/"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes cast and crew list, awards, reviews, plot summary, quotes, trivia, links, and other details.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960", "url": "http://www.imdb.com/title/tt0054215/"} +{"d:Title": "Sound in Psycho", "d:Description": "Discusses the way Hitchcock used sound and music in the film.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Articles_and_Interviews", "url": "http://www.filmsound.org/articles/hitchcock/makingpsycho.htm"} +{"d:Title": "Images - The Parlor Scene in Psycho: Images of Duality", "d:Description": "Discusses the way Hitchcock filmed the parlor scene.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Articles_and_Interviews", "url": "http://www.imagesjournal.com/issue02/features/psycho.htm"} +{"d:Title": "Alfred Hitchcock's Psycho", "d:Description": "A salute to the movie on its 36th anniversary by Brian W. Fairbanks, with pictures.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Articles_and_Interviews", "url": "http://www.angelfire.com/oh2/writer/Psycho.html"} +{"d:Title": "All-Reviews.com - Psycho (1960)", "d:Description": "Four reviews of the movie.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Reviews", "url": "http://www.all-reviews.com/videos-3/psycho-1960.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Reviews", "url": "http://crazy4cinema.com/Review/FilmsP/f_psycho.html"} +{"d:Title": "Greatest Films - Psycho", "d:Description": "Detailed review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Reviews", "url": "http://www.filmsite.org/psyc.html"} +{"d:Title": "Psycho", "d:Description": "A fan presents a review and movie trivia.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Reviews", "url": "http://www.angelfire.com/nb/djinn1928/index.html"} +{"d:Title": "Allreaders Psycho Spotlight", "d:Description": "Very detailed analysis of the film and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Reviews", "url": "http://allreaders.com/movie-review-summary/psycho-3596"} +{"d:Title": "TV Guide Online: Psycho", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1960/Reviews", "url": "http://www.tvguide.com/movies/psycho/115099/"} +{"d:Title": "All-Reviews.com - Psycho (1998)", "d:Description": "Nine reviews.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1998", "url": "http://www.all-reviews.com/videos-3/psycho-1998.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1998", "url": "http://crazy4cinema.com/Review/FilmsP/f_psycho98.html"} +{"d:Title": "IMDb: Psycho (1998)", "d:Description": "Plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1998", "url": "http://www.imdb.com/title/tt0155975/"} +{"d:Title": "Rotten Tomatoes: Psycho", "d:Description": "Reviews, synopsis, mistakes, critical consensus, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1998", "url": "http://www.rottentomatoes.com/m/1084964-psycho/"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1998", "url": "http://the-editing-room.com/psycho.html"} +{"d:Title": "MovieWeb: Psycho", "d:Description": "Stills from the movie, a cast list and summary.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1998", "url": "http://www.movieweb.com/movie/psycho-1998"} +{"d:Title": "TV Guide Online: Psycho", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Psycho_-_1998", "url": "http://www.tvguide.com/movies/psycho/133654/"} +{"d:Title": "All-Reviews.com- Psycho Beach Party", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/P/Psycho_Beach_Party", "url": "http://www.all-reviews.com/videos-2/psycho-beach-party.htm"} +{"d:Title": "Haro Online: Psycho Beach Party", "d:Description": "A favorable review.", "topic": "Top/Arts/Movies/Titles/P/Psycho_Beach_Party", "url": "http://www.haro-online.com/movies/psycho_beach_party.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/P/Psycho_Beach_Party", "url": "http://www.metacritic.com/movie/psycho-beach-party"} +{"d:Title": "IMDb: Public Enemies", "d:Description": "Includes cast and crew list, message board, release dates and filming locations and movie related information.", "topic": "Top/Arts/Movies/Titles/P/Public_Enemies", "url": "http://imdb.com/title/tt1152836/"} +{"d:Title": "HSX Prediction Market: MovieStocks: Public Enemies", "d:Description": "Listing on the Hollywood Stock Exchange, a fantasy trading game. Description and links to movie portfolios.", "topic": "Top/Arts/Movies/Titles/P/Public_Enemies", "url": "http://www.hsx.com/security/view/PUBNM"} +{"d:Title": "Filmsite.org: The Public Enemy (1931)", "d:Description": "Background information and review by Tom Dirks.", "topic": "Top/Arts/Movies/Titles/P/Public_Enemy,_The", "url": "http://www.filmsite.org/publ.html"} +{"d:Title": "Rotten Tomatoes: The Public Enemy", "d:Description": "Links to reviews, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/P/Public_Enemy,_The", "url": "http://www.rottentomatoes.com/m/1016885-public_enemy/"} +{"d:Title": "IMDb: The Public Enemy (1931)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Public_Enemy,_The", "url": "http://www.imdb.com/title/tt0022286/"} +{"d:Title": "BBC: Films: Puckoon", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/P/Puckoon", "url": "http://www.bbc.co.uk/films/2003/02/26/puckoon_2003_review.shtml"} +{"d:Title": "Rotten Tomatoes: Puckoon", "d:Description": "Reviews, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Puckoon", "url": "http://www.rottentomatoes.com/m/puckoon/"} +{"d:Title": "IMDb: Puckoon (2002)", "d:Description": "Cast, crew, credits, and plot summary.", "topic": "Top/Arts/Movies/Titles/P/Puckoon", "url": "http://www.imdb.com/title/tt0276428/"} +{"d:Title": "Matt's Pulp Fiction page", "d:Description": "Images, cast info, sounds, script and trailer.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction", "url": "http://www.angelfire.com/movies/filmsforcriminals/pulp.html"} +{"d:Title": "Marc's Pulp Fiction Site", "d:Description": "Synopsis, cast list, film facts, some photos, and related links.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction", "url": "http://pulpfictionwv.tripod.com/"} +{"d:Title": "IMDb - Pulp Fiction (1994)", "d:Description": "Information about the film from the Internet Movie Database (IMDB), including cast/credits, basic film outline and extra information.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction", "url": "http://www.imdb.com/title/tt0110912/"} +{"d:Title": "Allreaders Pulp Fiction Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction", "url": "http://allreaders.com/movie-review-summary/pulp-fiction-3668"} +{"d:Title": "About.com: Pulp Fiction", "d:Description": "Ivana Redwine's review of the film's Collector's Edition DVD.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction/Reviews", "url": "http://homevideo.about.com/library/weekly/aafpr090402.htm"} +{"d:Title": "All-Reviews.com: Pulp Fiction", "d:Description": "Rating and brief description of Pulp Fiction by All-Reviews.com", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction/Reviews", "url": "http://www.all-reviews.com/videos/pulp-fiction.htm"} +{"d:Title": "Coldbacon Review: Pulp Fiction", "d:Description": "Review and analysis.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction/Reviews", "url": "http://www.coldbacon.com/movies/pulpfiction.html"} +{"d:Title": "Rotten Tomatoes: Pulp Fiction", "d:Description": "A collection of reviews.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction/Reviews", "url": "http://www.rottentomatoes.com/m/pulp_fiction/"} +{"d:Title": "Metacritic.com - Pulp Fiction", "d:Description": "Reviews of the movie from various critics", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction/Reviews", "url": "http://www.metacritic.com/movie/pulp-fiction"} +{"d:Title": "TV Guide Online: Pulp Fiction", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pulp_Fiction/Reviews", "url": "http://www.tvguide.com/movies/pulp-fiction/130222/"} +{"d:Title": "IMDb: Kairo (2001)", "d:Description": "Plot outline, trailer, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pulse_-_2001", "url": "http://www.imdb.com/title/tt0286751/"} +{"d:Title": "Rotten Tomatoes: Pulse", "d:Description": "Reviews, DVD information, synopsis, production notes, photos, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pulse_-_2001", "url": "http://www.rottentomatoes.com/m/1113170-pulse/"} +{"d:Title": "Rotten Tomatoes: Pulse", "d:Description": "Reviews, show times, synopsis, cast and crew, photos, trailers, box office history, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pulse_-_2006", "url": "http://www.rottentomatoes.com/m/pulse/"} +{"d:Title": "Horror.com - Pulse", "d:Description": "Review, by stacilayne: \"Pulse, co-written by horror maven Wes Craven, is no Scream \u2014 but that doesn't mean it's not a scream all the same.\"", "topic": "Top/Arts/Movies/Titles/P/Pulse_-_2006", "url": "http://www.horror.com/php/article-1340-1.html"} +{"d:Title": "IMDb: Pulse (2006)", "d:Description": "Photo gallery, plot outlines, cast list, quotes, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pulse_-_2006", "url": "http://www.imdb.com/title/tt0454919/"} +{"d:Title": "FilmForce: Pulse", "d:Description": "Review by Shawn Adler, alongside news, box office figures, and photos.", "topic": "Top/Arts/Movies/Titles/P/Pulse_-_2006", "url": "http://www.ign.com/movies/pulse/theater-768243"} +{"d:Title": "Rotten Tomatoes: Pumping Iron", "d:Description": "Reviews, synopsis, quotes, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pumping_Iron", "url": "http://www.rottentomatoes.com/m/pumping_iron/"} +{"d:Title": "IMDb: Pumping Iron (1977)", "d:Description": "Movie information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/P/Pumping_Iron", "url": "http://www.imdb.com/title/tt0076578/"} +{"d:Title": "HARO Online: Pumpkin", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Pumpkin", "url": "http://www.haro-online.com/movies/pumpkin.html"} +{"d:Title": "All-Reviews.com: Pumpkin", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/P/Pumpkin", "url": "http://www.all-reviews.com/videos-4/pumpkin.htm"} +{"d:Title": "Rotten Tomatoes: Pumpkin", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/P/Pumpkin", "url": "http://www.rottentomatoes.com/m/pumpkin/"} +{"d:Title": "IMDb: Pumpkin (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Pumpkin", "url": "http://www.imdb.com/title/tt0265591/"} +{"d:Title": "Metacritic.com", "d:Description": "Provides links to a variety of film reviews and user commentary.", "topic": "Top/Arts/Movies/Titles/P/Pumpkin", "url": "http://www.metacritic.com/movie/pumpkin"} +{"d:Title": "Chicago Sun-Times: Pumpkin", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/P/Pumpkin", "url": "http://www.rogerebert.com/reviews/pumpkin-2002"} +{"d:Title": "Rotten Tomatoes: Pumpkinhead", "d:Description": "Reviews, synopsis, movie mistakes, credits, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pumpkinhead", "url": "http://www.rottentomatoes.com/m/pumpkinhead/"} +{"d:Title": "IMDb: Pumpkinhead (1989)", "d:Description": "Plot outline, cast list, trivia, movie mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pumpkinhead", "url": "http://www.imdb.com/title/tt0095925/"} +{"d:Title": "TV Guide Online: Pumpkinhead", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pumpkinhead", "url": "http://www.tvguide.com/movies/pumpkinhead/127139/"} +{"d:Title": "Pump Up the Volume Fan Page", "d:Description": "A large page of links with a few pictures.", "topic": "Top/Arts/Movies/Titles/P/Pump_Up_the_Volume", "url": "http://www.angelfire.com/hi2/slaterphobia/index.html"} +{"d:Title": "IMDb - Pump Up the Volume (1990)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Pump_Up_the_Volume", "url": "http://www.imdb.com/title/tt0100436/"} +{"d:Title": "Rotten Tomatoes: Pump Up the Volume", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pump_Up_the_Volume", "url": "http://www.rottentomatoes.com/m/pump_up_the_volume/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Rita Kempley: \"It's a howl from the heart, a relentlessly involving movie that gives a kid every reason to believe that he or she can come of age.\"", "topic": "Top/Arts/Movies/Titles/P/Pump_Up_the_Volume", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/pumpupthevolumerkempley_a0a14d.htm"} +{"d:Title": "Punch-Drunk Love", "d:Description": "Fan site with reviews, pictures, audio and video interviews, Cannes details, and information on Jeremy Blake's animations in the film. [English and French.]", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love", "url": "http://damienfree.fr.free.fr/punch_drunk_love.htm"} +{"d:Title": "Rotten Tomatoes: Punch-Drunk Love", "d:Description": "Quotes from and links to reviews, cast and crew, photographs, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love", "url": "http://www.rottentomatoes.com/m/punchdrunk_love/"} +{"d:Title": "Cinema Prism: Punch Drunk Love", "d:Description": "Article by Cubie King analyzing the systematic use of color in the film, arguing that its distinct style represents a leap into maturity for this filmmaker.", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love", "url": "http://cineprism.wordpress.com/2007/09/02/punch-drunk-love-the-budding-of-an-auteur/"} +{"d:Title": "IMDb: Punch-Drunk Love", "d:Description": "Production credits, user comments, and links.", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love", "url": "http://www.imdb.com/title/tt0272338/"} +{"d:Title": "All-Reviews.com: Punch-Drunk Love", "d:Description": "Review of the movie by Harvey Karten.", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love/Reviews", "url": "http://www.all-reviews.com/videos-5/punch-drunk-love.htm"} +{"d:Title": "HARO Online: Punch-Drunk Love", "d:Description": "Mongoose's review. Rated \"pretty good.\"", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love/Reviews", "url": "http://www.haro-online.com/movies/punch-drunk_love.html"} +{"d:Title": "About.com: Review of Punch-Drunk Love DVD", "d:Description": "Ivana Redwine reviews the movie on DVD.", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love/Reviews", "url": "http://homevideo.about.com/cs/romantic/fr/PunchDrunk_Love.htm"} +{"d:Title": "The Flick Filosopher: Punch-Drunk Love", "d:Description": "Mixed review by MaryAnn Johanson.", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love/Reviews", "url": "http://www.flickfilosopher.com/2002/10/punch-drunk-love-review.html"} +{"d:Title": "Metacritic.com: Punch-Drunk Love", "d:Description": "Quotes from and links to reviews of the film, with viewer comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love/Reviews", "url": "http://www.metacritic.com/movie/punch-drunk-love"} +{"d:Title": "Flipside Movie Emporium: Punch-Drunk Love", "d:Description": "Review by Rob Vaux, who calls it an \"angry, raw, shockingly beautiful romantic comedy.\"", "topic": "Top/Arts/Movies/Titles/P/Punch-Drunk_Love/Reviews", "url": "http://www.flipsidearchive.com/punchdrunklove.html"} +{"d:Title": "The Punisher", "d:Description": "Official site; currently offers a video clip of movie to be released in Summer of 2004.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://www.punisherthemovie.com/"} +{"d:Title": "KillerMovies - The Punisher", "d:Description": "Synopsis, photographs, news, and links.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://www.killermovies.com/p/thepunisher/"} +{"d:Title": "About.com: The Punisher", "d:Description": "Articles and multimedia.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://romanticmovies.about.com/od/thepunisher/"} +{"d:Title": "HARO Online: The Punisher", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://www.haro-online.com/movies/punisher.html"} +{"d:Title": "DreamLogic : The Punisher", "d:Description": "Review by Chris Nelson and Kris Kobayashi.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://dreamlogic.net/REVIEWS/punisher.html"} +{"d:Title": "TEN Movies: The Punisher", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1067"} +{"d:Title": "Filmtracks: The Punisher", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://www.filmtracks.com/titles/punisher.html"} +{"d:Title": "Rotten Tomatoes: The Punisher", "d:Description": "Reviews, synopsis, movie mistakes, production notes, cast and crew lists, posters, photos, trailers, box office history, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://www.rottentomatoes.com/m/1131721-punisher/"} +{"d:Title": "IMDb: Punisher, The (2004)", "d:Description": "Cast, crew, credits, plot summary, and other movie data.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://www.imdb.com/title/tt0330793/"} +{"d:Title": "FilmForce: The Punisher", "d:Description": "Review by Jeff Otto, news, features, and mailbags.", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://www.ign.com/movies/the-punisher-2004/theater-481283"} +{"d:Title": "USA Today: Fast-paced 'Punisher' has comic-book pluck", "d:Description": "Review, by Mike Clark: \"Trashy and disturbingly violent yet fairly zippy and amusingly cast...\"", "topic": "Top/Arts/Movies/Titles/P/Punisher,_The", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2004-04-15-punisher-review_x.htm"} +{"d:Title": "Rotten Tomatoes: Puppet Master", "d:Description": "DVD information, synopsis, movie mistakes, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Puppet_Master", "url": "http://www.rottentomatoes.com/m/puppet_master/"} +{"d:Title": "IMDb: Puppet Master (1989)", "d:Description": "Plot summaries, cast list, trivia, movie mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Puppet_Master", "url": "http://www.imdb.com/title/tt0098143/"} +{"d:Title": "Internet Movie Database", "d:Description": "1994 Hollywood Pictures remake cast and crew lists, plot summary, and reviews.", "topic": "Top/Arts/Movies/Titles/P/Puppet_Masters,_The", "url": "http://www.imdb.com/title/tt0111003/combined"} +{"d:Title": "Bioskope Pictures", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/P/Pure_Blood", "url": "http://www.icon.co.za/~bioskope/pureblood/"} +{"d:Title": "Rotten Tomatoes: Pure Blood", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pure_Blood", "url": "http://www.rottentomatoes.com/m/pure_blood/"} +{"d:Title": "IMDb: Pure Blood (2000)", "d:Description": "Cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pure_Blood", "url": "http://www.imdb.com/title/tt0285954/"} +{"d:Title": "DVD Talk", "d:Description": "Review, by Bill Gibron: \"Pure Blood does provide enough of the boo basics to keep horror fans intrigued. But it probably won't give its audience the frightening food for thought its creator imagined...or hoped for.\"", "topic": "Top/Arts/Movies/Titles/P/Pure_Blood", "url": "http://www.dvdtalk.com/reviews/15991/pure-blood/"} +{"d:Title": "Rotten Tomatoes: Pure Country", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, posters, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pure_Country", "url": "http://www.rottentomatoes.com/m/pure_country/"} +{"d:Title": "IMDb - Pure Country (1992)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Pure_Country", "url": "http://www.imdb.com/title/tt0105191/"} +{"d:Title": "TV Guide Online - Pure Country", "d:Description": "Detailed review of the film, cast and crew list.", "topic": "Top/Arts/Movies/Titles/P/Pure_Country", "url": "http://www.tvguide.com/movies/pure-country/128790/"} +{"d:Title": "Rotten Tomatoes: A Pure Formality", "d:Description": "Reviews, DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pure_Formality,_A", "url": "http://www.rottentomatoes.com/m/pure_formality/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Rita Keimpley: \"The petite Polanski and the hulking Depardieu are an evenly matched and engaging pair of duelists, though the match does go on a bit too long.\"", "topic": "Top/Arts/Movies/Titles/P/Pure_Formality,_A", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/apureformalitypg13kempley_a093c7.htm"} +{"d:Title": "IMDb: Pura formalit\u00e0, Una (1994)", "d:Description": "Plot summary, cast list, poster, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pure_Formality,_A", "url": "http://www.imdb.com/title/tt0110917/"} +{"d:Title": "TV Guide Online: Pure Formality, A", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/P/Pure_Formality,_A", "url": "http://www.tvguide.com/movies/pure-formality/130401/"} +{"d:Title": "Rottentomatoes: Purple Butterfly", "d:Description": "Collection of reviews and cast information.", "topic": "Top/Arts/Movies/Titles/P/Purple_Butterfly", "url": "http://www.rottentomatoes.com/m/purple_butterfly/"} +{"d:Title": "Zi hudie", "d:Description": "Listing with Internet Movie Database includes cast and crew links, reviews, plot summary, viewer comments, discussion, and related material.", "topic": "Top/Arts/Movies/Titles/P/Purple_Butterfly", "url": "http://www.imdb.com/title/tt0363290/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/P/Purple_Rain", "url": "http://www.fast-rewind.com/purplerain.htm"} +{"d:Title": "Rotten Tomatoes: Purple Rain", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/P/Purple_Rain", "url": "http://www.rottentomatoes.com/m/purple_rain/"} +{"d:Title": "IMDb: Purple Rain (1984)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Purple_Rain", "url": "http://www.imdb.com/title/tt0087957/"} +{"d:Title": "Allreaders Review - Purple Rain", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring the actor formerly known as Prince.", "topic": "Top/Arts/Movies/Titles/P/Purple_Rain", "url": "http://allreaders.com/movie-review-summary/purple-rain-7251"} +{"d:Title": "All-Reviews.com: The Purple Rose of Cairo", "d:Description": "Reader-contributed review and rating.", "topic": "Top/Arts/Movies/Titles/P/Purple_Rose_of_Cairo,_The", "url": "http://www.all-reviews.com/videos-4/purple-rose-of-cairo.htm"} +{"d:Title": "Rotten Tomatoes: The Purple Rose of Cairo", "d:Description": "Links to reviews, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/P/Purple_Rose_of_Cairo,_The", "url": "http://www.rottentomatoes.com/m/purple_rose_of_cairo/"} +{"d:Title": "IMDb: The Purple Rose of Cairo (1985)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Purple_Rose_of_Cairo,_The", "url": "http://www.imdb.com/title/tt0089853/"} +{"d:Title": "Rotten Tomatoes: Purple Storm", "d:Description": "Reviews, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Purple_Storm", "url": "http://www.rottentomatoes.com/m/purple_storm/"} +{"d:Title": "BBC", "d:Description": "Review, by Almar Haflidason: \"The styling is immaculate, the plot is tight, the acting less theatrical, and the special effects good.\" [Score: 4 out of 5]", "topic": "Top/Arts/Movies/Titles/P/Purple_Storm", "url": "http://www.bbc.co.uk/films/2001/05/22/purple_storm_1999_review.shtml"} +{"d:Title": "IMDb: Purple Storm (Ziyu Fengbao) (1999)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/P/Purple_Storm", "url": "http://www.imdb.com/title/tt0226693/"} +{"d:Title": "Rotten Tomatoes: Pursuit of Happiness", "d:Description": "Reviews, DVD information, synopsis, cast list, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pursuit_of_Happiness", "url": "http://www.rottentomatoes.com/m/1122202-pursuit_of_happiness/"} +{"d:Title": "IMDb: Pursuit of Happiness (2001)", "d:Description": "Plot outline, trailer, cast list, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/P/Pursuit_of_Happiness", "url": "http://www.imdb.com/title/tt0243508/"} +{"d:Title": "Rotten Tomatoes: Push", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Push", "url": "http://www.rottentomatoes.com/m/push/"} +{"d:Title": "MovieWeb: Push", "d:Description": "Summary, synopsis, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/P/Push", "url": "http://www.movieweb.com/movie/push-2009"} +{"d:Title": "IGN: Push", "d:Description": "Photos, videos, news, previews, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/P/Push", "url": "http://www.ign.com/movies/push/theater-820010"} +{"d:Title": "ReelViews: Push", "d:Description": "Review, by James Berardinelli: \"The strength of Push is its relentlessness. The movie doesn't pause for anything and, when it provides exposition, it does so without bringing the action to a grinding halt.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Push", "url": "http://www.reelviews.net/reelviews/push"} +{"d:Title": "TV Guide: Push", "d:Description": "Review, by Cammila Albertson: \"If your tolerance for repetition in genre films is already low, this one will probably push you right over the edge.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/P/Push", "url": "http://www.tvguide.com/movies/push/review/296583/"} +{"d:Title": "All-Reviews.com - Pushing Tin", "d:Description": "Collection of five reviews of the film.", "topic": "Top/Arts/Movies/Titles/P/Pushing_Tin", "url": "http://www.all-reviews.com/videos/pushing-tin.htm"} +{"d:Title": "Rotten Tomatoes: Pushing Tin", "d:Description": "Reviews, DVD information, synopsis, movie mistakes, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pushing_Tin", "url": "http://www.rottentomatoes.com/m/pushing_tin/"} +{"d:Title": "IMDb - Pushing Tin (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/P/Pushing_Tin", "url": "http://www.imdb.com/title/tt0120797/"} +{"d:Title": "Roger Ebert - Pushing Tin", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/P/Pushing_Tin", "url": "http://www.rogerebert.com/reviews/pushing-tin-1999"} +{"d:Title": "AllReaders.com Review - Pushing Tin", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Angelina Jolie movie, and links to similar films.", "topic": "Top/Arts/Movies/Titles/P/Pushing_Tin", "url": "http://allreaders.com/movie-review-summary/pushing-tin-4385"} +{"d:Title": "Movie Mirrors: Puttin' on the Ritz (1930)", "d:Description": "Blow-by-blow account of the plot of this musical. Rated 5/9 for both entertainment and educational value.", "topic": "Top/Arts/Movies/Titles/P/Puttin'_on_the_Ritz_-_1930", "url": "http://www.san.beck.org/MM/1930/PuttinontheRitz.html"} +{"d:Title": "IMDb: Puttin' on the Ritz (1930)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/P/Puttin'_on_the_Ritz_-_1930", "url": "http://www.imdb.com/title/tt0021276/"} +{"d:Title": "Pygmalion: Criterion Collection", "d:Description": "DVD review and movie summary with cast and crew, by Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/P/Pygmalion", "url": "http://dvdmg.com/pygmalion.shtml"} +{"d:Title": "Rotten Tomatoes: Pygmalion", "d:Description": "DVD information, synopsis, cast and crew lists, links, and a forum.", "topic": "Top/Arts/Movies/Titles/P/Pygmalion", "url": "http://www.rottentomatoes.com/m/1016943-pygmalion/"} +{"d:Title": "IMDb: Pygmalion (1938)", "d:Description": "Cast, crew, plot summary, viewer comments, and other related movie information.", "topic": "Top/Arts/Movies/Titles/P/Pygmalion", "url": "http://www.imdb.com/title/tt0030637/"} +{"d:Title": "IMDb : Q (1982)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Q/Q", "url": "http://www.imdb.com/title/tt0084556/"} +{"d:Title": "TV Guide Online: Q", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Q", "url": "http://www.tvguide.com/movies/q/115185/"} +{"d:Title": "Spirit of Baraka", "d:Description": "A description of the film series and other works of Godfrey Reggio. Also includes information on the film Baraka, made by the cinematographer of Koyaanisqatsi, as well as other related data.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series", "url": "http://www.spiritofbaraka.com/"} +{"d:Title": "The Qatsi Trilogy", "d:Description": "Events and screenings, FAQs, viewer support, and information on three films, Koyaanisqatsi, Powaqqatsi, and Naqoyqatsi, directed by Godfrey Reggio.", "priority": "1", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series", "url": "http://www.qatsi.org/"} +{"d:Title": "Locus Online: Claude Lalumi\u00e8re Reviews the Qatsi Films", "d:Description": "Review and summary of the three movies in this series, as well as the soundtrack and the director's style.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series", "url": "http://www.locusmag.com/2003/Reviews/Lalumiere01_Qatsi.html"} +{"d:Title": "All About Koyaanisqatsi", "d:Description": "News, film information, trailer, translations of the Hopi prophecies, credits, screenings, pictures, music, and links.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Koyaanisqatsi", "url": "http://www.angelfire.com/movies/Koyaanisqatsi/"} +{"d:Title": "IMDb: Koyaanisqatsi (1983)", "d:Description": "Plot summary, reviews, viewer comments, links, and related movie data.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Koyaanisqatsi", "url": "http://www.imdb.com/title/tt0085809/"} +{"d:Title": "Koyaanisqatsi: Life out of Balance", "d:Description": "Summary, credits, awards, and reviews, as well as a photograph gallery featuring stills from the film.", "priority": "1", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Koyaanisqatsi", "url": "http://www.koyaanisqatsi.org/films/koyaanisqatsi.php"} +{"d:Title": "An Essay on Koyaanisqatsi", "d:Description": "Karl Samuel Green discusses the film as an example of alternative cinema. Also includes responses to a questionnaire about the film.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Koyaanisqatsi", "url": "http://www.karlsgreen.co.uk/koyaanisqatsi.html"} +{"d:Title": "CultFlicks.net: Koyaanisqatsi", "d:Description": "Review, trivia, and a video clip.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Koyaanisqatsi", "url": "http://www.cultflicks.net/documentary/koyaanisqatsi-review.html"} +{"d:Title": "TV Guide Online: Koyaanisqatsi", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Koyaanisqatsi", "url": "http://www.tvguide.com/movies/koyaanisqatsi/review/132491/"} +{"d:Title": "Christian Science Monitor - Naqoyqatsi", "d:Description": "David Sterritt reviews Godfrey Reggio's documentary and motion-picture poetry that explores life.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Naqoyqatsi", "url": "http://www.csmonitor.com/2002/1101/p15s01-almo.html"} +{"d:Title": "Naqoyqatsi - War Life", "d:Description": "Overview and several film stills.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Naqoyqatsi", "url": "http://www.koyaanisqatsi.org/films/naqoyqatsi.php"} +{"d:Title": "IMDb: Naqoyqatsi (2002)", "d:Description": "Crew, reviews, plot summary, comments, discussions, links, and related movie data.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Naqoyqatsi", "url": "http://www.imdb.com/title/tt0145937/"} +{"d:Title": "OffOffOff - Naqoyqatsi", "d:Description": "David N. Butterworth reviews the movie by Godfrey Reggio and Philip Glass.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Naqoyqatsi", "url": "http://www.offoffoff.com/film/2002/naqoyqatsi.php"} +{"d:Title": "IMDb: Powaqqatsi (1988)", "d:Description": "Cast and crew, plot summary, comments, and related information.", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Powaqqatsi", "url": "http://www.imdb.com/title/tt0095895/"} +{"d:Title": "Powaqqatsi: Sorcerer Life", "d:Description": "News, photograph gallery, and information on the movie. Related links.", "priority": "1", "topic": "Top/Arts/Movies/Titles/Q/Qatsi_Series/Powaqqatsi", "url": "http://www.koyaanisqatsi.org/films/powaqqatsi.php"} +{"d:Title": "IMDb : Quack, Quack (1931)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quack,_Quack", "url": "http://www.imdb.com/title/tt0152114/"} +{"d:Title": "IMDb: Quack-a-Doodle Do (1950)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quack-a-Doodle_Do", "url": "http://www.imdb.com/title/tt0152115/"} +{"d:Title": "IMDb: Quacker Tracker, The (1967)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quacker_Tracker", "url": "http://www.imdb.com/title/tt0062166/"} +{"d:Title": "IMDb: Quackodile Tears (1962)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quackodile_Tears", "url": "http://www.imdb.com/title/tt0056386/"} +{"d:Title": "IMDb: Quackser Fortune Has a Cousin in the Bronx (1970)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quackser_Fortune_Has_a_Cousin_in_the_Bronx", "url": "http://www.imdb.com/title/tt0066266/"} +{"d:Title": "IMDb : Quack Service (1943)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quack_Service", "url": "http://www.imdb.com/title/tt0184843/"} +{"d:Title": "IMDb: Quack Shot (1954)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quack_Shot", "url": "http://www.imdb.com/title/tt0047380/"} +{"d:Title": "All-Reviews.com: Quadrophenia", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/Q/Quadrophenia", "url": "http://www.all-reviews.com/videos-3/quadrophenia.htm"} +{"d:Title": "IMDb: Quadrophenia", "d:Description": "Plot summary, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/Q/Quadrophenia", "url": "http://www.imdb.com/title/tt0079766/"} +{"d:Title": "Metacritic.com: Quadrophenia", "d:Description": "Collection of links to other critics' reviews.", "topic": "Top/Arts/Movies/Titles/Q/Quadrophenia", "url": "http://www.metacritic.com/movie/quadrophenia"} +{"d:Title": "TV Guide Online: Quadrophenia", "d:Description": "Review, credits, and a song list.", "topic": "Top/Arts/Movies/Titles/Q/Quadrophenia", "url": "http://www.tvguide.com/movies/quadrophenia/115188/"} +{"d:Title": "IMDb: Quail Hunt (1935)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quail_Hunt", "url": "http://www.imdb.com/title/tt0142785/"} +{"d:Title": "IMDb: Quaint St. Augustine (1939)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quaint_St._Augustine", "url": "http://www.imdb.com/title/tt0128426/"} +{"d:Title": "IMDb : Quake (1993) (V)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quake", "url": "http://www.imdb.com/title/tt0107908/"} +{"d:Title": "IMDb: Quality Street (1937)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quality_Street", "url": "http://www.imdb.com/title/tt0029454/"} +{"d:Title": "TV Guide Online: Quality Street", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Quality_Street", "url": "http://www.tvguide.com/movies/quality-street/115190/"} +{"d:Title": "IMDb: Quality Time (1997)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quality_Time", "url": "http://www.imdb.com/title/tt0119970/"} +{"d:Title": "IMDb: Quantez (1957)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quantez", "url": "http://www.imdb.com/title/tt0050872/"} +{"d:Title": "IMDb: Quantrill's Raiders (1958)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quantrill's_Raiders", "url": "http://www.imdb.com/title/tt0052103/"} +{"d:Title": "Rotten Tomatoes: Quantum Project", "d:Description": "Links to reviews, synopsis, cast information, and quotes.", "topic": "Top/Arts/Movies/Titles/Q/Quantum_Project", "url": "http://www.rottentomatoes.com/m/quantum_project/"} +{"d:Title": "IMDb: Quantum Project (2000)", "d:Description": "Cast and crew information, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/Q/Quantum_Project", "url": "http://www.imdb.com/title/tt0239860/"} +{"d:Title": "IMDb: Quare Fellow, The (1962)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quare_Fellow,_The", "url": "http://www.imdb.com/title/tt0056387/"} +{"d:Title": "IMDb: Quarrel, The (1992)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quarrel,_The", "url": "http://www.imdb.com/title/tt0105200/"} +{"d:Title": "TV Guide Online: Quarrel, The", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Quarrel,_The", "url": "http://www.tvguide.com/movies/quarrel/128945/"} +{"d:Title": "IMDb: Quarry, The (1998)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quarry,_The", "url": "http://www.imdb.com/title/tt0169156/"} +{"d:Title": "IMDb: Quarterback, The (1940)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quarterback,_The", "url": "http://www.imdb.com/title/tt0032957/"} +{"d:Title": "IMDb - Quartet (1949)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quartet", "url": "http://www.imdb.com/title/tt0040335/"} +{"d:Title": "IMDb - Quattro Noza", "d:Description": "Features cast and crew details, photos from the premiere, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/Q/Quattro_Noza", "url": "http://www.imdb.com/title/tt0342916/"} +{"d:Title": "IMDb: Quebec (1951)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quebec", "url": "http://www.imdb.com/title/tt0043946/"} +{"d:Title": "IMDb - Queen, The", "d:Description": "Features plot summary, cast info, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/Q/Queen,_The", "url": "http://www.imdb.com/title/tt0063477/"} +{"d:Title": "IMDb: Queens Logic (1991)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Queens_Logic", "url": "http://www.imdb.com/title/tt0102741/"} +{"d:Title": "TV Guide Online: Queens Logic", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Queens_Logic", "url": "http://www.tvguide.com/movies/queens-logic/128250/"} +{"d:Title": "IMDb: Queen Bee (1955)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Bee", "url": "http://www.imdb.com/title/tt0048527/"} +{"d:Title": "TV Guide Online: Queen Bee", "d:Description": "Provides a plot summary style review which states the film \"is a fairly interesting character study of a dominating, manipulative woman.\"", "topic": "Top/Arts/Movies/Titles/Q/Queen_Bee", "url": "http://www.tvguide.com/movies/queen-bee/115201/"} +{"d:Title": "Greatest Films: Queen Christina (1933)", "d:Description": "Brief review, lengthy synopsis of one of Greta Garbo's most memorable films, by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Christina", "url": "http://www.filmsite.org/quee.html"} +{"d:Title": "Combustible Celluloid: Queen Christina (1933)", "d:Description": "Review. Rated \"8\u00bd Fellinis\" (highest rating). Includes a still from the famous final sequence.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Christina", "url": "http://www.combustiblecelluloid.com/queen.shtml"} +{"d:Title": "Queen Christina of Sweden: The Movie", "d:Description": "Discussion of the film's historical inaccuracies. Also contains images and links.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Christina", "url": "http://www.windweaver.com/christina/movie.htm"} +{"d:Title": "Movie Mirrors: Queen Christina (1933)", "d:Description": "Synopsis also alludes to two historical inaccuracies. Rated 8/9 for both entertainment and educational value.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Christina", "url": "http://www.san.beck.org/MM/1933/QueenChristina.html"} +{"d:Title": "IMDb: Queen Christina (1933)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Christina", "url": "http://www.imdb.com/title/tt0024481/"} +{"d:Title": "Jonathan Rosenbaum: Queen Christina", "d:Description": "Capsule review of one of Greta Garbo's finest performances, in the Chicago Reader.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Christina", "url": "http://www.chicagoreader.com/chicago/queen-christina/Film?oid=1149711"} +{"d:Title": "TV Guide Online: Queen Christina", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Queen_Christina", "url": "http://www.tvguide.com/movies/queen-christina/115202/"} +{"d:Title": "IMDb: Queen for a Day (1951)", "d:Description": "Full cast and crew links, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/Q/Queen_for_a_Day", "url": "http://www.imdb.com/title/tt0043947/"} +{"d:Title": "HARO Online: Queen of the Damned", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Damned", "url": "http://www.haro-online.com/movies/queen_of_the_damned.html"} +{"d:Title": "All-Reviews.com: Queen of the Damned", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Damned", "url": "http://www.all-reviews.com/videos-4/queen-of-damned.htm"} +{"d:Title": "Australian Made Movies: Queen of the Damned", "d:Description": "Images and news.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Damned", "url": "http://www.angelfire.com/film/queenmo/"} +{"d:Title": "Queen of the Damned", "d:Description": "Fan site with synopsis, author biography, pictures, character background, and vampire family tree.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Damned", "url": "http://www.angelfire.com/vamp/queenofthedamed/"} +{"d:Title": "Queen of the Damned - The Movie", "d:Description": "Fan site with character biographies, MP3 links, news, and synopsis.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Damned", "url": "http://queenofthedamned.atspace.com/"} +{"d:Title": "Rotten Tomatoes: Queen of the Damned", "d:Description": "Links to movie reviews, plot synopsis, cast and crew information, images, and forum.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Damned", "url": "http://www.rottentomatoes.com/m/queen_of_the_damned/"} +{"d:Title": "IMDb - Queen of the Damned (2001)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Damned", "url": "http://www.imdb.com/title/tt0238546/"} +{"d:Title": "IMDb - Queen of the Stardust Ballroom", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Queen_of_the_Stardust_Ballroom", "url": "http://www.imdb.com/title/tt0073596/"} +{"d:Title": "IMDb - Quest, The", "d:Description": "Features cast and crew details, plot summary, photographs from the premiere, and links to review sites.", "topic": "Top/Arts/Movies/Titles/Q/Quest,_The", "url": "http://www.imdb.com/title/tt0117420/"} +{"d:Title": "Question Authority", "d:Description": "Official site. News, casting, soundtrack, sample scene, story board, crew information.", "topic": "Top/Arts/Movies/Titles/Q/Question_Authority", "url": "http://www.questionauthoritymovie.com/"} +{"d:Title": "IMDb: Question of Equality, The (1995)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Question_of_Equality,_The", "url": "http://www.imdb.com/title/tt0129708/"} +{"d:Title": "Scotland the Movie Location Guide: Quest for Fire", "d:Description": "Information on filming locations.", "topic": "Top/Arts/Movies/Titles/Q/Quest_for_Fire", "url": "http://www.scotlandthemovie.com/movies/fquest.html"} +{"d:Title": "Rotten Tomatoes: Quest for Fire", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/Q/Quest_for_Fire", "url": "http://www.rottentomatoes.com/m/quest_for_fire/"} +{"d:Title": "IMDb: Quest for Fire (Guerre du feu, La) (1981)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quest_for_Fire", "url": "http://www.imdb.com/title/tt0082484/"} +{"d:Title": "Rotten Tomatoes: Que Viva Mexico!", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/Q/Que_Viva_Mexico", "url": "http://www.rottentomatoes.com/m/que_viva_mexico/"} +{"d:Title": "IMDb: Que Viva Mexico! (\u00a1Che viva Mexico!) (1932)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Que_Viva_Mexico", "url": "http://www.imdb.com/title/tt0022756/"} +{"d:Title": "IMDb: Quicksilver Highway (1997)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quicksilver_Highway", "url": "http://www.imdb.com/title/tt0119975/"} +{"d:Title": "TV Guide Online: Quicksilver Highway", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Quicksilver_Highway", "url": "http://www.tvguide.com/movies/quicksilver-highway/133531/"} +{"d:Title": "The Quick and the Dead Unofficial Web Page", "d:Description": "A fansite by an extra in the movie. Production information, pictures, deleted scenes, articles, and links.", "topic": "Top/Arts/Movies/Titles/Q/Quick_and_the_Dead,_The", "url": "http://www.thequickandthedead.net/"} +{"d:Title": "Rotten Tomatoes: The Quick and the Dead", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Q/Quick_and_the_Dead,_The", "url": "http://www.rottentomatoes.com/m/1059629-quick_and_the_dead/"} +{"d:Title": "IMDb: The Quick and The Dead", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/Q/Quick_and_the_Dead,_The", "url": "http://www.imdb.com/title/tt0114214/"} +{"d:Title": "IMDb: Quick Change (1990)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quick_Change", "url": "http://www.imdb.com/title/tt0100449/"} +{"d:Title": "HARO Online: The Quiet American", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "http://www.haro-online.com/movies/quiet_american.html"} +{"d:Title": "Filmtracks: The Quiet American", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "http://www.filmtracks.com/titles/quiet_american.html"} +{"d:Title": "All-Reviews.com: The Quiet American", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "http://all-reviews.com/videos-5/quiet-american.htm"} +{"d:Title": "About.com: The Quiet American", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "http://homevideo.about.com/cs/warmovies/fr/DVDPkQuietAmeri.htm"} +{"d:Title": "Rotten Tomatoes: The Quiet American", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "http://www.rottentomatoes.com/m/1118347-quiet_american/"} +{"d:Title": "IMDb: The Quiet American (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "http://www.imdb.com/title/tt0258068/"} +{"d:Title": "Chicago Sun-Times: The Quiet American", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "http://www.rogerebert.com/reviews/the-quiet-american-2003"} +{"d:Title": "Awesome Stories: The Quiet American", "d:Description": "Historical background of the movie with links to primary sources.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_American,_The_-_2002", "url": "https://www.awesomestories.com/asset/view/Quiet-American-The"} +{"d:Title": "IMDb: Quiet Days in Hollywood (1997)", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_Days_in_Hollywood", "url": "http://www.imdb.com/title/tt0114216/"} +{"d:Title": "Greatest Films: The Quiet Man", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/Q/Quiet_Man,_The", "url": "http://www.filmsite.org/quie.html"} +{"d:Title": "Crazy for Cinema: The Quiet Man", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_Man,_The", "url": "http://crazy4cinema.com/Review/FilmsQ/f_quiet_man.html"} +{"d:Title": "Reel Classics: The Quiet Man (1952)", "d:Description": "Review, plot summary, production information, cast and crew biographies, history, articles, and song lyrics", "topic": "Top/Arts/Movies/Titles/Q/Quiet_Man,_The", "url": "http://www.reelclassics.com/Movies/QuietMan/quietman.htm"} +{"d:Title": "IMDb: The Quiet Man", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_Man,_The", "url": "http://www.imdb.com/title/tt0045061/"} +{"d:Title": "TV Guide Online: The Quiet Man", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Q/Quiet_Man,_The", "url": "http://www.tvguide.com/movies/quiet-man/115248/"} +{"d:Title": "All-Reviews.com: Quills", "d:Description": "Collection of reviews of the film.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "http://www.all-reviews.com/videos-2/quills.htm"} +{"d:Title": "HARO Online", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "http://www.haro-online.com/movies/quills.html"} +{"d:Title": "In admiration of Kate Winslet: Quills", "d:Description": "Fan site with pre-release reviews, worldwide release information and synopsis.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "http://filmlover2.tripod.com/_quills__movie.htm"} +{"d:Title": "Rotten Tomatoes: Quills (2000)", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "http://www.rottentomatoes.com/m/quills/"} +{"d:Title": "Metacritic.com: Quills", "d:Description": "Reviews from various critics.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "http://www.metacritic.com/movie/quills"} +{"d:Title": "IMDb: Quills", "d:Description": "Cast and crew, plot synopsis, and user ratings.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "http://www.imdb.com/title/tt0180073/"} +{"d:Title": "Allreaders Review: Quills", "d:Description": "Review and analytical classification of the film.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "http://allreaders.com/movie-review-summary/quills-3595"} +{"d:Title": "Yahoo! Movies:Quills", "d:Description": "Film information, synopsis, DVD details, awards, reviews, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/Q/Quills", "url": "https://www.yahoo.com/movies/film/quill-2004"} +{"d:Title": "IMDb: Quints", "d:Description": "List of the cast and crew, plot synopsis and user comments.", "topic": "Top/Arts/Movies/Titles/Q/Quints", "url": "http://www.imdb.com/title/tt0245380/"} +{"d:Title": "HARO Online: Quitting", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/Q/Quitting", "url": "http://www.haro-online.com/movies/quitting.html"} +{"d:Title": "Rotten Tomatoes: Quitting", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Q/Quitting", "url": "http://www.rottentomatoes.com/m/quitting/"} +{"d:Title": "IMDb: Quitting (Zuotian) (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/Q/Quitting", "url": "http://www.imdb.com/title/tt0298238/"} +{"d:Title": "Metacritic.com: Quitting", "d:Description": "Provides quotes from and links to several film reviews.", "topic": "Top/Arts/Movies/Titles/Q/Quitting", "url": "http://www.metacritic.com/movie/quitting"} +{"d:Title": "All-Reviews.com: Quiz Show", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/Q/Quiz_Show", "url": "http://www.all-reviews.com/videos-2/quiz-show.htm"} +{"d:Title": "IMDb: Quiz Show", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/Q/Quiz_Show", "url": "http://www.imdb.com/title/tt0110932/"} +{"d:Title": "Chicago Sun-Times: Quiz Show", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/Q/Quiz_Show", "url": "http://www.rogerebert.com/reviews/quiz-show-1994"} +{"d:Title": "IMDb: Quo Vadis? (1951)", "d:Description": "Cast and crew, plot summary, viewer comments and rating, awards and nominations.", "topic": "Top/Arts/Movies/Titles/Q/Quo_Vadis_-_1951", "url": "http://www.imdb.com/title/tt0043949/"} +{"d:Title": "Dennis Schwartz's Movie Reviews: Quo Vadis?", "d:Description": "Cast and crew, synopsis. Grade: C.", "topic": "Top/Arts/Movies/Titles/Q/Quo_Vadis_-_1951", "url": "http://homepages.sover.net/~ozus/quovadis.htm"} +{"d:Title": "IMDb : Q&A (1990)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Q/Q_and_A", "url": "http://www.imdb.com/title/tt0100442/"} +{"d:Title": "Movie Mirrors: Q Planes", "d:Description": "Plot description.", "topic": "Top/Arts/Movies/Titles/Q/Q_Planes", "url": "http://www.san.beck.org/MM/1939/QPlanes.html"} +{"d:Title": "IMDb : Q Planes (1939)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Q/Q_Planes", "url": "http://www.imdb.com/title/tt0031831/"} +{"d:Title": "HARO Online: The R.M.", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/R.M.,_The", "url": "http://www.haro-online.com/movies/rm.html"} +{"d:Title": "IMDb: The R.M. (2003)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/R/R.M.,_The", "url": "http://www.imdb.com/title/tt0341540/"} +{"d:Title": "Urban Cinefile Review", "d:Description": "Synopsis and reviews by Andrew L. Urban and Louise Keller. Includes an interview with the director, Phillip Noyce, and a review of the soundtrack", "topic": "Top/Arts/Movies/Titles/R/Rabbit-Proof_Fence", "url": "http://www.urbancinefile.com.au/home/view.asp?a=5769&s=Reviews"} +{"d:Title": "HARO Online", "d:Description": "Review and stills.", "topic": "Top/Arts/Movies/Titles/R/Rabbit-Proof_Fence", "url": "http://www.haro-online.com/movies/rabbit-proof_fence.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news articles, a plot synopsis, and a public forum.", "topic": "Top/Arts/Movies/Titles/R/Rabbit-Proof_Fence", "url": "http://www.rottentomatoes.com/m/rabbitproof_fence/"} +{"d:Title": "IMDb: Rabbit-Proof Fence (2002)", "d:Description": "Plot outline, user comments, cast list, and trailer link.", "topic": "Top/Arts/Movies/Titles/R/Rabbit-Proof_Fence", "url": "http://www.imdb.com/title/tt0252444/"} +{"d:Title": "IMDb - Rabid Grannies (1989)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rabid_Grannies", "url": "http://www.imdb.com/title/tt0098157/"} +{"d:Title": "TV Guide Online: Rabid Grannies", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rabid_Grannies", "url": "http://www.tvguide.com/movies/rabid-grannies/127473/"} +{"d:Title": "Radio", "d:Description": "Official site with cast and character information, synopsis, production details, trailer, multimedia, and links [requires Flash].", "topic": "Top/Arts/Movies/Titles/R/Radio_-_2003", "url": "http://www.sonypictures.com/movies/radio/"} +{"d:Title": "Reel Faces - Radio", "d:Description": "Explores the real people behind the film's story, with photographs and links.", "topic": "Top/Arts/Movies/Titles/R/Radio_-_2003", "url": "http://www.chasingthefrog.com/reelfaces/radio.php"} +{"d:Title": "HARO Online: Radio", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/R/Radio_-_2003", "url": "http://www.haro-online.com/movies/radio.html"} +{"d:Title": "Internet Movie Database: Radio", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production and distribution information, news, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/R/Radio_-_2003", "url": "http://imdb.com/title/tt0316465/"} +{"d:Title": "All-Reviews.com - Radio", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Radio_-_2003", "url": "http://www.all-reviews.com/videos-5/radio.htm"} +{"d:Title": "Rotten Tomatoes: Radio", "d:Description": "Links to reviews, news articles, a plot description, photographs, trailers, and a public forum.", "topic": "Top/Arts/Movies/Titles/R/Radio_-_2003", "url": "http://www.rottentomatoes.com/m/radio/"} +{"d:Title": "Washington Post - Radio Days (PG)", "d:Description": "Review by Rita Kempley (predominantly negative).", "topic": "Top/Arts/Movies/Titles/R/Radio_Days", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/radiodayspgkempley_a09f93.htm"} +{"d:Title": "Washington Post - Radio Days (PG)", "d:Description": "Review by Paul Attanasio (negative),", "topic": "Top/Arts/Movies/Titles/R/Radio_Days", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/radiodayspgattanasio_a0ad93.htm"} +{"d:Title": "DVD Movie Guide - Radio Days (1987)", "d:Description": "Review by Colin Jacobson (positive), ratings.", "topic": "Top/Arts/Movies/Titles/R/Radio_Days", "url": "http://www.dvdmg.com/radiodays.shtml"} +{"d:Title": "Digitally Obsessed - Radio Days (1987)", "d:Description": "Review by Debi Lee Mandel (positive).", "topic": "Top/Arts/Movies/Titles/R/Radio_Days", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1694"} +{"d:Title": "IMDb - Radio Days (1987)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/R/Radio_Days", "url": "http://www.imdb.com/title/tt0093818/"} +{"d:Title": "Chicago Sun-Times - Radio Days", "d:Description": "Review by Roger Ebert (positive), rating.", "topic": "Top/Arts/Movies/Titles/R/Radio_Days", "url": "http://www.rogerebert.com/reviews/radio-days-1987"} +{"d:Title": "TV Guide Online: Radio Days", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/R/Radio_Days", "url": "http://www.tvguide.com/movies/radio-days/115299/"} +{"d:Title": "IMDb - Rafferty Goes to Coney Island (1915)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/R/Rafferty_Goes_to_Coney_Island", "url": "http://www.imdb.com/title/tt0005943/combined"} +{"d:Title": "IMDb - The Rage (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rage,_The", "url": "http://www.imdb.com/title/tt0117433/"} +{"d:Title": "TV Guide Online: Rage, The", "d:Description": "Features review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rage,_The", "url": "http://www.tvguide.com/movies/rage/133400/"} +{"d:Title": "Sydney Morning Herald: The Rage in Placid Lake", "d:Description": "Negative review by Paul Byrnes, suggesting that the director \"struggles to find the right tone\".", "topic": "Top/Arts/Movies/Titles/R/Rage_in_Placid_Lake,_The", "url": "http://www.smh.com.au/articles/2003/08/27/1061663846031.html"} +{"d:Title": "Cinephilia: Rage In Placid Lake, The", "d:Description": "Cynthia Karena gives a positive review of the film.", "topic": "Top/Arts/Movies/Titles/R/Rage_in_Placid_Lake,_The", "url": "http://www.cinephilia.net.au/show_review.php?reviewid=268&movieid=1940"} +{"d:Title": "Cinephilia: Rage In Placid Lake, The", "d:Description": "Synopsis and mixed review by Bruce Paterson.", "topic": "Top/Arts/Movies/Titles/R/Rage_in_Placid_Lake,_The", "url": "http://www.cinephilia.net.au/show_review.php?reviewid=273&movieid=1945"} +{"d:Title": "Triple J: Rage In Placid Lake, The", "d:Description": "Review by Megan Spencer, rating the film \"tops\", along with user reviews.", "topic": "Top/Arts/Movies/Titles/R/Rage_in_Placid_Lake,_The", "url": "http://www.abc.net.au/triplej/review/film/s937381.htm"} +{"d:Title": "The Film Pie: The Rage In Placid Lake", "d:Description": "Review by Matthew Toomey. \"Mid-way through [...], I knew this would be a film that would [...] deserve the ovation...\"", "topic": "Top/Arts/Movies/Titles/R/Rage_in_Placid_Lake,_The", "url": "http://www.thefilmpie.com/index.php?option=com_content&view=article&id=946&Itemid=56"} +{"d:Title": "IMDb: Rage In Placid Lake, The (2003)", "d:Description": "Cast and crew, reviews, summary, viewer comments and discussions, taglines and awards.", "topic": "Top/Arts/Movies/Titles/R/Rage_in_Placid_Lake,_The", "url": "http://www.imdb.com/title/tt0305999/"} +{"d:Title": "Greatest Films: Raging Bull", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/R/Raging_Bull", "url": "http://www.filmsite.org/ragi.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, filming locations, pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/R/Raging_Bull", "url": "http://www.fast-rewind.com/ragingbull.htm"} +{"d:Title": "All-Reviews.com: Raging Bull", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/R/Raging_Bull", "url": "http://www.all-reviews.com/videos-4/raging-bull.htm"} +{"d:Title": "IMDb: Raging Bull", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Raging_Bull", "url": "http://www.imdb.com/title/tt0081398/"} +{"d:Title": "IMDb: - Railway Children, The (1970)", "d:Description": "Synopsis, cast and crew, awards, trivia, and links.", "topic": "Top/Arts/Movies/Titles/R/Railway_Children,_The_-_1970", "url": "http://imdb.com/title/tt0066279/"} +{"d:Title": "Bluebell Railway - Location for The Railway Children", "d:Description": "Details of the locations and rolling stock used in the film, and photo stills from the film.", "topic": "Top/Arts/Movies/Titles/R/Railway_Children,_The_-_2000", "url": "http://www.bluebell-railway.co.uk/bluebell/railwaychildren.html"} +{"d:Title": "BBC News: Railway Children get return ticket", "d:Description": "Actress Jenny Agutter launches a new TV version of The Railway Children, the film which made her a star in the 1970s.", "topic": "Top/Arts/Movies/Titles/R/Railway_Children,_The_-_2000", "url": "http://news.bbc.co.uk/1/hi/708374.stm"} +{"d:Title": "DigitallyObsessed: The Railway Children (2000)", "d:Description": "Review and technical details.", "topic": "Top/Arts/Movies/Titles/R/Railway_Children,_The_-_2000", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=4054"} +{"d:Title": "IMDb: The Railway Children (2000)", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Railway_Children,_The_-_2000", "url": "http://www.imdb.com/title/tt0215111/"} +{"d:Title": "The Tech: Watered-down Russell film doesn't shock enough", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Rainbow,_The", "url": "http://tech.mit.edu/V109/N28/rain.28a.html"} +{"d:Title": "IMDb: The Rainbow", "d:Description": "Synopsis, cast and crew.", "topic": "Top/Arts/Movies/Titles/R/Rainbow,_The", "url": "http://www.imdb.com/title/tt0098165/"} +{"d:Title": "Chicago Sun-Times: The Rainbow", "d:Description": "Synopsis and review by Roger Ebert - 'a measured, thoughtful literary adaptation'.", "topic": "Top/Arts/Movies/Titles/R/Rainbow,_The", "url": "http://www.rogerebert.com/reviews/the-rainbow-1989"} +{"d:Title": "Rotten Tomatoes: The Rainbow", "d:Description": "Reviews and other movie info.", "topic": "Top/Arts/Movies/Titles/R/Rainbow,_The", "url": "http://www.rottentomatoes.com/m/the_rainbow/"} +{"d:Title": "All-Reviews.com- The Rainmaker", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/R/Rainmaker,_The_-_1997", "url": "http://www.all-reviews.com/videos/rainmaker.htm"} +{"d:Title": "IMDb Entry", "d:Description": "credits for Rainmaker, The (1997)", "topic": "Top/Arts/Movies/Titles/R/Rainmaker,_The_-_1997", "url": "http://www.imdb.com/title/tt0119978/"} +{"d:Title": "TV Guide Online: Rainmaker, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rainmaker,_The_-_1997", "url": "http://www.tvguide.com/movies/john-grishams-rainmaker/132755/"} +{"d:Title": "Haro-online.com", "d:Description": "Pictures and review of the film, Rain.", "topic": "Top/Arts/Movies/Titles/R/Rain_-_2001", "url": "http://www.haro-online.com/movies/rain.html"} +{"d:Title": "All-Reviews.com - Rain", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rain_-_2001", "url": "http://www.all-reviews.com/videos-4/rain.htm"} +{"d:Title": "IMDb.com - Rain (2001/III)", "d:Description": "Poster, cast list, movie synopsis, comments for the 2001 movie.", "topic": "Top/Arts/Movies/Titles/R/Rain_-_2001", "url": "http://www.imdb.com/title/tt0287645/"} +{"d:Title": "Metacritic.com: Rain", "d:Description": "Quotes from and links to reviews of the film, with viewer comments and an overall score.", "topic": "Top/Arts/Movies/Titles/R/Rain_-_2001", "url": "http://www.metacritic.com/movie/rain"} +{"d:Title": "IMDb - Rain Man (1988)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/R/Rain_Man", "url": "http://www.imdb.com/title/tt0095953/"} +{"d:Title": "Rotten Tomatoes: Rain Man", "d:Description": "Links to reviews, synopsis, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rain_Man", "url": "http://www.rottentomatoes.com/m/rain_man/"} +{"d:Title": "Roger Ebert - Rain Man", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Rain_Man", "url": "http://www.rogerebert.com/reviews/rain-man-1988"} +{"d:Title": "The Flick Filosopher | Rain Man", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Rain_Man", "url": "http://www.flickfilosopher.com/1999/03/rain-man-review.html"} +{"d:Title": "AllReaders.com Rain Man Spotlight", "d:Description": "Detailed analysis of the film starring Tom Cruise and Dustin Hoffman, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Rain_Man", "url": "http://allreaders.com/movie-review-summary/rain-man-3509"} +{"d:Title": "TV Guide Online: Rain Man", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rain_Man", "url": "http://www.tvguide.com/movies/rain-man/127175/"} +{"d:Title": "Filmtracks: Raise the Titanic", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/R/Raise_the_Titanic", "url": "http://www.filmtracks.com/titles/raise_titanic.html"} +{"d:Title": "The Internet Movie Database (IMDb): Raise Your Voice", "d:Description": "Cast and crew listings, technical details, user comments, pictures and link to trailer.", "topic": "Top/Arts/Movies/Titles/R/Raise_Your_Voice", "url": "http://imdb.com/title/tt0361696/"} +{"d:Title": "Boston Globe: 'Voice' rises to dazzling heights of badness", "d:Description": "Wesley Morris' mixed review: \"...doesn't simply reach for the stars, it grabs at them like they were free keychains at a college recruitment meeting. The movie is the most fun kind of terrible.\"", "topic": "Top/Arts/Movies/Titles/R/Raise_Your_Voice", "url": "http://archive.boston.com/ae/movies/articles/2004/10/08/voice_rises_to_dazzling_heights_of_badness/?camp=pm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Raising_Arizona", "url": "http://crazy4cinema.com/Review/FilmsR/f_raising_az.html"} +{"d:Title": "IMDb - Raising Arizona (1987)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Raising_Arizona", "url": "http://www.imdb.com/title/tt0093822/"} +{"d:Title": "Roger Ebert - Raising Arizona", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Raising_Arizona", "url": "http://www.rogerebert.com/reviews/raising-arizona-1987"} +{"d:Title": "TV Guide Online: Raising Arizona", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Raising_Arizona", "url": "http://www.tvguide.com/movies/raising-arizona/115380/"} +{"d:Title": "IMDb: Raising Helen (2004)", "d:Description": "Plot synopsis, cast and crew list, reviews, user ratings, trivia and discussion forum.", "topic": "Top/Arts/Movies/Titles/R/Raising_Helen", "url": "http://imdb.com/title/tt0350028/"} +{"d:Title": "HARO Online: Raising Helen", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Raising_Helen", "url": "http://www.haro-online.com/movies/raising_helen.html"} +{"d:Title": "Yahoo! Movies: Raising Helen", "d:Description": "Showtimes, previews, trailers, images, cast list and discussion forum.", "topic": "Top/Arts/Movies/Titles/R/Raising_Helen", "url": "http://movies.yahoo.com/movie/raising-helen/"} +{"d:Title": "Chicago Sun-Times: Raising Helen", "d:Description": "Roger Ebert's review of the film.", "topic": "Top/Arts/Movies/Titles/R/Raising_Helen", "url": "http://www.rogerebert.com/reviews/raising-helen-2004"} +{"d:Title": "Raising Victor Vargas", "d:Description": "Positive review of the film from Haro Online.", "topic": "Top/Arts/Movies/Titles/R/Raising_Victor_Vargas", "url": "http://www.haro-online.com/movies/raising_victor_vargas.html"} +{"d:Title": "Raising Victor Vargas (2002)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Raising_Victor_Vargas", "url": "http://www.imdb.com/title/tt0316188/"} +{"d:Title": "DVD Movie Guide - A Raisin In The Sun", "d:Description": "Review by Colin Jacobson (predominantly negative), ratings.", "topic": "Top/Arts/Movies/Titles/R/Raisin_in_the_Sun,_A", "url": "http://www.dvdmg.com/raisininthesun.shtml"} +{"d:Title": "Teach with Movies - A Raisin In The Sun", "d:Description": "Review by James A. Frieden and Deborah W. Elliott with emphasis on classroom presentation.", "topic": "Top/Arts/Movies/Titles/R/Raisin_in_the_Sun,_A", "url": "http://www.teachwithmovies.org/guides/raisin-in-the-sun.html"} +{"d:Title": "IMDb - Raisin in the Sun, A (1961)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/R/Raisin_in_the_Sun,_A", "url": "http://www.imdb.com/title/tt0055353/"} +{"d:Title": "DVD Talk - A Raisin in the Sun", "d:Description": "Review by Chuck Arrington (positive) emphasizing DVD production values.", "topic": "Top/Arts/Movies/Titles/R/Raisin_in_the_Sun,_A", "url": "http://www.dvdtalk.com/reviews/664/raisin-in-the-sun-a/"} +{"d:Title": "AllMovie: A Raisin in the Sun", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/R/Raisin_in_the_Sun,_A", "url": "http://www.allmovie.com/movie/a-raisin-in-the-sun-v40173"} +{"d:Title": "Cinebooks Database - Raisin in the Sun, A", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/R/Raisin_in_the_Sun,_A", "url": "http://www.tvguide.com/movies/raisin-sun/115378/"} +{"d:Title": "IMDb - Rambling Rose (1991)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rambling_Rose", "url": "http://www.imdb.com/title/tt0102753/"} +{"d:Title": "TV Guide Online: Rambling Rose", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rambling_Rose", "url": "http://www.tvguide.com/movies/rambling-rose/128553/"} +{"d:Title": "Movieprop.com: Rambo Movies", "d:Description": "Includes reviews as well as information on Rambo knives, video games, toys, and music.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series", "url": "http://www.movieprop.com/tvandmovie/rambo/"} +{"d:Title": "Rambomania", "d:Description": "Fan community devoted to the series.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series", "url": "http://rambomania.proboards.com/"} +{"d:Title": "Rambo Web Page", "d:Description": "A brief fan site with information on all three movies of the 'Rambo' series.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series", "url": "http://tmills13.tripod.com/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/First_Blood", "url": "http://www.fast-rewind.com/rambo.htm"} +{"d:Title": "First Blood", "d:Description": "Script, shot breakdown, filming locations, essays, pictures, sound clips, collectibles, and links.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/First_Blood", "url": "http://firstblood.stormpages.com/"} +{"d:Title": "IMDb: First Blood", "d:Description": "The Internet Movie Database includes cast overview, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/First_Blood", "url": "http://www.imdb.com/title/tt0083944/"} +{"d:Title": "Allreaders.com Review: Rambo First Blood", "d:Description": "Analysis of the Sylvester Stallone film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/First_Blood", "url": "http://allreaders.com/movie-review-summary/first-blood-rambo-5944"} +{"d:Title": "TV Guide Online: First Blood", "d:Description": "Includes a brief review of the movie as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/First_Blood", "url": "http://www.tvguide.com/movies/blood/126197/"} +{"d:Title": "IMDb: Rambo: First Blood Part II", "d:Description": "The Internet Movie Database includes plot summary, cast overview, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/First_Blood_Part_II", "url": "http://www.imdb.com/title/tt0089880/"} +{"d:Title": "TV Guide Online: Rambo: First Blood, Part II", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/First_Blood_Part_II", "url": "http://www.tvguide.com/movies/rambo-blood-ii/115382/"} +{"d:Title": "IMDb: Rambo (2008)", "d:Description": "Videos, photo gallery, plot outlines, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_-_2008", "url": "http://www.imdb.com/title/tt0462499/"} +{"d:Title": "Rotten Tomatoes: Rambo", "d:Description": "Synopsis, cast list, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_-_2008", "url": "http://www.rottentomatoes.com/m/john_rambo/"} +{"d:Title": "IGN Movies: Rambo", "d:Description": "Videos, photos, news, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_-_2008", "url": "http://www.ign.com/movies/rambo/theater-41142"} +{"d:Title": "MovieWeb: Rambo (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_-_2008", "url": "http://www.movieweb.com/movie/rambo"} +{"d:Title": "The Boston Globe: Rambo", "d:Description": "Review, by Mark Feeney: \"Rambo isn't dull. It is, however, often murkily directed, a real shortcoming in an action movie. In the big rescue-the-prisoners sequence, it's very hard to keep track of who is doing what to whom where.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_-_2008", "url": "http://archive.boston.com/ae/movies/articles/2008/01/25/rambos_back_and_there_will_be_blood/?camp=pm"} +{"d:Title": "Scottmanning.com: Rambo III", "d:Description": "Article discussing the relevance of the movie's depiction of the culture of Afghanistan.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_III", "url": "http://www.scottmanning.com/archives/000447.php"} +{"d:Title": "IMDb: Rambo III", "d:Description": "The Internet Movie Database includes cast overview, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_III", "url": "http://www.imdb.com/title/tt0095956/"} +{"d:Title": "TV Guide Online: Rambo III", "d:Description": "Includes a brief review of the movie as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/R/Rambo_Series/Rambo_III", "url": "http://www.tvguide.com/movies/rambo-iii/127058/"} +{"d:Title": "PopMatters - Ran", "d:Description": "Review by Michael Abernethy.", "topic": "Top/Arts/Movies/Titles/R/Ran", "url": "http://popmatters.com/film/reviews/r/ran.html"} +{"d:Title": "IMDb - Ran (1985)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Ran", "url": "http://www.imdb.com/title/tt0089881/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Ran", "url": "http://www.metacritic.com/movie/ran"} +{"d:Title": "Roger Ebert - Ran", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Ran", "url": "http://www.rogerebert.com/reviews/ran-1985"} +{"d:Title": "TV Guide Online: Ran", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Ran", "url": "http://www.tvguide.com/movies/ran/115391/"} +{"d:Title": "Jaundiced Eye Productions", "d:Description": "Review, gives the movie his highest rating.", "topic": "Top/Arts/Movies/Titles/R/Random_Acts_of_Violence", "url": "http://the-grand-panjandrum.tripod.com/randomacts.html"} +{"d:Title": "All-Reviews.com- Random Hearts", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/R/Random_Hearts", "url": "http://www.all-reviews.com/videos/random-hearts.htm"} +{"d:Title": "HARO Online - Random Hearts", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/R/Random_Hearts", "url": "http://www.haro-online.com/movies/random_hearts.html"} +{"d:Title": "Box Office Mojo - Random Hearts", "d:Description": "Box office data for Random Hearts since day one. Includes Harrison Ford's box office track record.", "topic": "Top/Arts/Movies/Titles/R/Random_Hearts", "url": "http://www.boxofficemojo.com/movies/?id=randomhearts.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/R/Random_Hearts", "url": "http://www.rottentomatoes.com/m/random_hearts/"} +{"d:Title": "IMDb - Random Hearts", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Random_Hearts", "url": "http://www.imdb.com/title/tt0156934/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Random_Hearts", "url": "http://www.metacritic.com/movie/random-hearts"} +{"d:Title": "Roger Ebert - Random Hearts", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Random_Hearts", "url": "http://www.rogerebert.com/reviews/random-hearts-1999"} +{"d:Title": "TV Guide Online: Ransom", "d:Description": "Includes short plot synopsis, movie review, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/R/Ransom_-_1975", "url": "http://www.tvguide.com/movies/ransom/126362/"} +{"d:Title": "All-Reviews.com - Ransom", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Ransom_-_1996", "url": "http://www.all-reviews.com/videos-2/ransom.htm"} +{"d:Title": "IMDb entry", "d:Description": "credits for Ransom (1996)", "topic": "Top/Arts/Movies/Titles/R/Ransom_-_1996", "url": "http://www.imdb.com/title/tt0117438/"} +{"d:Title": "Allreaders Review - Ransom", "d:Description": "Detailed analysis of the Mel Gibson film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Ransom_-_1996", "url": "http://allreaders.com/movie-review-summary/ransom-4658"} +{"d:Title": "TV Guide Online: Ransom", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Ransom_-_1996", "url": "http://www.tvguide.com/movies/ransom/131356/"} +{"d:Title": "The Film Idea", "d:Description": "Rigorous critical analysis of Rashomon and how its visual style reflects its vision and holds the film together.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Rashomon", "url": "http://filmsociety.wellington.net.nz/FilmIdea.html"} +{"d:Title": "About.com: Rashomon", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/R/Rashomon", "url": "http://homevideo.about.com/library/weekly/aafpr040302.htm"} +{"d:Title": "All-Reviews.com: Rashomon", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rashomon", "url": "http://www.all-reviews.com/videos-4/rashomon.htm"} +{"d:Title": "Half Empty: Marty's Notes On \"Roshomon\"", "d:Description": "Summary of, and comments on the 1951 film by Japanese director Akira Kurosawa about the subjectivity of truth.", "topic": "Top/Arts/Movies/Titles/R/Rashomon", "url": "http://halfempty.com/wp/2000/02/martys-notes-on-roshomon/"} +{"d:Title": "IMDb: Rashomon (1950)", "d:Description": "Cast, production credits, and additional information.", "topic": "Top/Arts/Movies/Titles/R/Rashomon", "url": "http://www.imdb.com/title/tt0042876/"} +{"d:Title": "TV Guide Online: Rashomon", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rashomon", "url": "http://www.tvguide.com/movies/rashomon/126371/"} +{"d:Title": "IMDb: Raspberry&Lavender (2003)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Raspberry_&_Lavender", "url": "http://www.imdb.com/title/tt0222790/"} +{"d:Title": "All-Reviews.com", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Ratcatcher", "url": "http://www.all-reviews.com/videos-3/ratcatcher.htm"} +{"d:Title": "HARO Online", "d:Description": "Review by Mongoose with pictures.", "topic": "Top/Arts/Movies/Titles/R/Ratcatcher", "url": "http://www.haro-online.com/movies/ratcatcher.html"} +{"d:Title": "IMDb: Ratcatcher", "d:Description": "Synopsis, cast and crew and user comments.", "topic": "Top/Arts/Movies/Titles/R/Ratcatcher", "url": "http://www.imdb.com/title/tt0171685/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Ratcatcher", "url": "http://www.metacritic.com/movie/ratcatcher"} +{"d:Title": "All-Reviews.com: Rat Race", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rat_Race", "url": "http://www.all-reviews.com/videos-3/rat-race.htm"} +{"d:Title": "HARO Online: Rat Race", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Rat_Race", "url": "http://www.haro-online.com/movies/rat_race.html"} +{"d:Title": "Rotten Tomatoes: Rat Race", "d:Description": "Links to movie reviews, synopsis, cast information, and multimedia.", "topic": "Top/Arts/Movies/Titles/R/Rat_Race", "url": "http://www.rottentomatoes.com/m/rat_race/"} +{"d:Title": "IMDb: Rat Race", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rat_Race", "url": "http://www.imdb.com/title/tt0250687/"} +{"d:Title": "Metacritic.com: Rat Race", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Rat_Race", "url": "http://www.metacritic.com/movie/rat-race"} +{"d:Title": "IMDb - Raven (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Raven", "url": "http://www.imdb.com/title/tt0117445/"} +{"d:Title": "TV Guide Online: Raven", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Raven", "url": "http://www.tvguide.com/movies/raven/132748/"} +{"d:Title": "Ravenous: A Fan Site", "d:Description": "Story, cast, scenes, sounds, and links.", "topic": "Top/Arts/Movies/Titles/R/Ravenous", "url": "http://www.angelfire.com/movies/ravenouslist/index.html"} +{"d:Title": "IMDb: Ravenous", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Ravenous", "url": "http://www.imdb.com/title/tt0129332/"} +{"d:Title": "All-Reviews.com", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Ravenous/Reviews", "url": "http://www.all-reviews.com/videos-3/ravenous.htm"} +{"d:Title": "Roger Ebert: Ravenous", "d:Description": "Review by Roger Ebert", "topic": "Top/Arts/Movies/Titles/R/Ravenous/Reviews", "url": "http://www.rogerebert.com/reviews/ravenous-1999"} +{"d:Title": "Raw Deal (1948)", "d:Description": "Review by Roger Westcombe of the \"unheralded masterpiece\".", "topic": "Top/Arts/Movies/Titles/R/Raw_Deal", "url": "http://www.crimeculture.com/Contents/FilmReviews/RawDeal.htm"} +{"d:Title": "Raw Deal (1948)", "d:Description": "Cast, crew, and review from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Raw_Deal", "url": "http://www.imdb.com/title/tt0040723/"} +{"d:Title": "Raw Deal", "d:Description": "Reviewed by Dennis Schwartz who calls the cinematography by John Alton \"electric.\"", "topic": "Top/Arts/Movies/Titles/R/Raw_Deal", "url": "http://homepages.sover.net/~ozus/rawdeal48.htm"} +{"d:Title": "Raw Deal", "d:Description": "Cast, plot synopsis and review by Adam Bregman for the All Movie Guide.", "topic": "Top/Arts/Movies/Titles/R/Raw_Deal", "url": "http://www.allmovie.com/movie/v107322"} +{"d:Title": "Raw Deal - The Case of the Flamin' Man", "d:Description": "Nancy Steffen-Fluhr review of the film that is \"grotesquely violent even by Mann's standards.\"", "topic": "Top/Arts/Movies/Titles/R/Raw_Deal", "url": "https://web.njit.edu/~newrev/v2s1/e2.html"} +{"d:Title": "IMDb: Ray (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Ray", "url": "http://www.imdb.com/title/tt0350258/"} +{"d:Title": "Rotten Tomatoes: Ray", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/R/Ray", "url": "http://www.rottentomatoes.com/m/ray/"} +{"d:Title": "HARO Online: Ray", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Ray", "url": "http://www.haro-online.com/movies/ray.html"} +{"d:Title": "All-Reviews.com: Ray", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Ray", "url": "http://www.all-reviews.com/videos-5/ray.htm"} +{"d:Title": "Future MoviesFutureMovies.com: Ray", "d:Description": "Feature article by Ed Colley about the production.", "topic": "Top/Arts/Movies/Titles/R/Ray", "url": "http://www.futuremovies.co.uk/reviews/ray/ed-colley"} +{"d:Title": "IMDb - Razor Blade Smile (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Razor_Blade_Smile", "url": "http://www.imdb.com/title/tt0159693/"} +{"d:Title": "TV Guide Online: Razor Blade Smile", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Razor_Blade_Smile", "url": "http://www.tvguide.com/movies/razor-blade-smile/133685/"} +{"d:Title": "Re-Animator", "d:Description": "Contains pictures, a review, and facts.", "topic": "Top/Arts/Movies/Titles/R/Re-Animator", "url": "http://www.houseofhorrors.com/re-animator.htm"} +{"d:Title": "Movie Mirrors: Reaching for the Moon (1930)", "d:Description": "Detailed synopsis. Rated 5/9 for both entertainment and educational value.", "topic": "Top/Arts/Movies/Titles/R/Reaching_for_the_Moon_-_1930", "url": "http://www.san.beck.org/MM/1930/ReachingfortheMoon.html"} +{"d:Title": "IMDb: Reaching for the Moon (1930)", "d:Description": "Cast and credits, plot summaries, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/R/Reaching_for_the_Moon_-_1930", "url": "http://www.imdb.com/title/tt0021287/"} +{"d:Title": "IMDb - Reach the Rock (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Reach_the_Rock", "url": "http://www.imdb.com/title/tt0119986/"} +{"d:Title": "PopMatters - Ready to Rumble", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Ready_to_Rumble", "url": "http://popmatters.com/film/reviews/r/ready-to-rumble.html"} +{"d:Title": "All-Reviews.com - Ready to Rumble", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Ready_to_Rumble", "url": "http://www.all-reviews.com/videos/ready-to-rumble.htm"} +{"d:Title": "Rotten Tomatoes - Ready to Rumble (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/R/Ready_to_Rumble", "url": "http://www.rottentomatoes.com/m/ready_to_rumble/"} +{"d:Title": "Box Office Mojo - Ready to Rumble", "d:Description": "Box office data for Ready to Rumble since day one.", "topic": "Top/Arts/Movies/Titles/R/Ready_to_Rumble", "url": "http://www.boxofficemojo.com/movies/?id=readytorumble.htm"} +{"d:Title": "IMDb - Ready to Rumble (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Ready_to_Rumble", "url": "http://www.imdb.com/title/tt0217756/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Ready_to_Rumble", "url": "http://www.metacritic.com/movie/ready-to-rumble"} +{"d:Title": "HARO Online: Read My Lips", "d:Description": "Mongoose reviews \"Read My Lips.\"", "topic": "Top/Arts/Movies/Titles/R/Read_My_Lips", "url": "http://www.haro-online.com/movies/read_my_lips.html"} +{"d:Title": "Rotten Tomatoes: Read My Lips", "d:Description": "Links to reviews, multimedia, synopsis, cast information and forum.", "topic": "Top/Arts/Movies/Titles/R/Read_My_Lips", "url": "http://www.rottentomatoes.com/m/read_my_lips/"} +{"d:Title": "IMDb: Read My Lips (Sur Mes L\u00e8vres) (2001)", "d:Description": "Plot description, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Read_My_Lips", "url": "http://www.imdb.com/title/tt0274117/"} +{"d:Title": "IMDb: Reality Bites (1994)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Reality_Bites", "url": "http://www.imdb.com/title/tt0110950/"} +{"d:Title": "TV Guide Online: Reality Bites", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Reality_Bites", "url": "http://www.tvguide.com/movies/reality-bites/129805/"} +{"d:Title": "IMDb - The Real Blonde (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Real_Blonde,_The", "url": "http://www.imdb.com/title/tt0119987/"} +{"d:Title": "Roger Ebert - The Real Blonde", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Real_Blonde,_The", "url": "http://www.rogerebert.com/reviews/the-real-blonde-1998"} +{"d:Title": "TV Guide Online: Real Blonde, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Real_Blonde,_The", "url": "http://www.tvguide.com/movies/real-blonde/132917/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information, and links.", "topic": "Top/Arts/Movies/Titles/R/Real_Genius", "url": "http://www.fast-rewind.com/rgenius.htm"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Real_Genius", "url": "http://crazy4cinema.com/Review/FilmsR/f_real_genius.html"} +{"d:Title": "DVD Talk: Real Genius", "d:Description": "Review of the film on DVD by Aaron Beierle.", "topic": "Top/Arts/Movies/Titles/R/Real_Genius", "url": "http://www.dvdtalk.com/reviews/3984/real-genius/"} +{"d:Title": "AllReaders.com Real Genius Spotlight", "d:Description": "Detailed analysis of the Val Kilmer film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Real_Genius", "url": "http://allreaders.com/movie-review-summary/real-genius-4650"} +{"d:Title": "HARO Online: A Real Job", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Real_Job,_A", "url": "http://www.haro-online.com/movies/real_job.html"} +{"d:Title": "IMDb: A Real Job (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Real_Job,_A", "url": "http://www.imdb.com/title/tt0292887/"} +{"d:Title": "All-Reviews.com - Real Women Have Curves", "d:Description": "Reviews of the film, with ratings.", "topic": "Top/Arts/Movies/Titles/R/Real_Women_Have_Curves", "url": "http://www.all-reviews.com/videos-5/real-women-have-curves.htm"} +{"d:Title": "HARO Online - Real Women Have Curves", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/R/Real_Women_Have_Curves", "url": "http://www.haro-online.com/movies/real_women_have_curves.html"} +{"d:Title": "IMDb - Real Women Have Curves (2002)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/R/Real_Women_Have_Curves", "url": "http://www.imdb.com/title/tt0296166/"} +{"d:Title": "Film Restoration More Than 'Rear Window'-dressing", "d:Description": "Bill Desowitz article about the restoration of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://www.cnn.com/SHOWBIZ/9712/11/rearwindow.restoration.lat/"} +{"d:Title": "Rear Window (1954)", "d:Description": "Tim Dirks review of the film, with plenty of snippets from the script.", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://www.filmsite.org/rear.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://www.crazy4cinema.com/Review/FilmsR/f_rear_window.html"} +{"d:Title": "PopMatters", "d:Description": "Review of film reissue and examination of special effects technologies and the Korean War references in the film.", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://popmatters.com/film/reviews/r/rear-window.html"} +{"d:Title": "All-Reviews.com - Rear Window", "d:Description": "Reviews by Steve Rhodes and Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://www.all-reviews.com/videos-2/rear-window.htm"} +{"d:Title": "Kaedrin: Rear Window", "d:Description": "Movie review, scene analysis, quotes, and links.", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://www.kaedrin.com/fun/movies/rear.html"} +{"d:Title": "Rear Window (1954)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://www.imdb.com/title/tt0047396/"} +{"d:Title": "TV Guide Online: Rear Window", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/R/Rear_Window", "url": "http://www.tvguide.com/movies/rear-window/126437/"} +{"d:Title": "Greatest Films: Rebecca", "d:Description": "Detailed review with quotes.", "topic": "Top/Arts/Movies/Titles/R/Rebecca", "url": "http://www.filmsite.org/rebec.html"} +{"d:Title": "Crazy for Cinema: Rebecca", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rebecca", "url": "http://www.crazy4cinema.com/Review/FilmsR/rebecca.html"} +{"d:Title": "About.com: Rebecca", "d:Description": "Ivana Redwine reviews the two-disc Criterion Collection DVD.", "topic": "Top/Arts/Movies/Titles/R/Rebecca", "url": "http://homevideo.about.com/library/weekly/aafpr073102.htm"} +{"d:Title": "IMDb: Rebecca", "d:Description": "Plot summary, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/R/Rebecca", "url": "http://www.imdb.com/title/tt0032976/"} +{"d:Title": "The Flick Filosopher", "d:Description": "MaryAnn Johanson's review: \"Atmospheric and moody, Alfred Hitchcock's Rebecca is a masterpiece of style and substance, an extended meditation on how the dead haunt the living.\"", "topic": "Top/Arts/Movies/Titles/R/Rebecca", "url": "http://www.flickfilosopher.com/1999/01/rebecca-review.html"} +{"d:Title": "Greatest Films: Rebel Without A Cause", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/R/Rebel_Without_a_Cause", "url": "http://www.filmsite.org/rebel.html"} +{"d:Title": "Cosmopolis - Rebel Without A Cause", "d:Description": "Review of the classic movie with James Dean.", "topic": "Top/Arts/Movies/Titles/R/Rebel_Without_a_Cause", "url": "http://www.cosmopolis.ch/english/cosmo6/rebel.htm"} +{"d:Title": "All-Reviews.com: Rebel Without a Cause", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/R/Rebel_Without_a_Cause", "url": "http://www.all-reviews.com/videos-4/rebel-without-a-cause.htm"} +{"d:Title": "IMDb: Rebel Without a Cause", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Rebel_Without_a_Cause", "url": "http://www.imdb.com/title/tt0048545/"} +{"d:Title": "TV Guide Online: Rebel Without a Cause", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rebel_Without_a_Cause", "url": "http://www.tvguide.com/movies/rebel-cause/115495/"} +{"d:Title": "HARO Online: The Reckoning", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Reckoning,_The_-_2003", "url": "http://www.haro-online.com/movies/reckoning.html"} +{"d:Title": "IMDb: The Reckoning (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Reckoning,_The_-_2003", "url": "http://www.imdb.com/title/tt0258816/"} +{"d:Title": "Chicago Sun-Times: The Reckoning", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Reckoning,_The_-_2003", "url": "http://www.rogerebert.com/reviews/the-reckoning-2004"} +{"d:Title": "HARO Online: Reconstruction", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Reconstruction", "url": "http://www.haro-online.com/movies/reconstruction.html"} +{"d:Title": "IMDb: Reconstruction (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Reconstruction", "url": "http://www.imdb.com/title/tt0366943/"} +{"d:Title": "Rotten Tomatoes: Reconstruction", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/R/Reconstruction", "url": "http://www.rottentomatoes.com/m/reconstruction_2004/"} +{"d:Title": "All-Reviews.com - The Recruit", "d:Description": "Four reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Recruit,_The", "url": "http://all-reviews.com/videos-5/recruit.htm"} +{"d:Title": "The Recruit (2003)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Recruit,_The", "url": "http://www.imdb.com/title/tt0292506/"} +{"d:Title": "The Recruit", "d:Description": "Review by Roger Ebert for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/R/Recruit,_The", "url": "http://www.rogerebert.com/reviews/the-recruit-2003"} +{"d:Title": "IGN Movies: Redbelt", "d:Description": "Photos, videos, news, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Redbelt", "url": "http://www.ign.com/movies/redbelt/theater-14226580"} +{"d:Title": "USA Today: Redbelt", "d:Description": "Review, by Claudia Puig: \"It's certainly not Mamet's signature rapid-fire dialogue, but it's an intriguing and engrossing departure.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Redbelt", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-05-01-redbelt_N.htm"} +{"d:Title": "All-Reviews.com: Reds", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Reds", "url": "http://www.all-reviews.com/videos-4/reds.htm"} +{"d:Title": "IMDb: Reds (1981)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Reds", "url": "http://www.imdb.com/title/tt0082979/"} +{"d:Title": "The Austin Chronicle Movie Guide: Reds", "d:Description": "Brief review with links to cast information.", "topic": "Top/Arts/Movies/Titles/R/Reds", "url": "http://www.austinchronicle.com/calendar/film/2000-08-16/reds/"} +{"d:Title": "Barnes and Noble: Reds", "d:Description": "Reviews and buying information.", "topic": "Top/Arts/Movies/Titles/R/Reds", "url": "http://www.barnesandnoble.com/w/dvd-reds-warren-beatty/3633636"} +{"d:Title": "All-Reviews.com: Red Corner", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Red_Corner", "url": "http://all-reviews.com/videos-4/red-corner.htm"} +{"d:Title": "IMDb: Red Corner", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Red_Corner", "url": "http://www.imdb.com/title/tt0119994/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/R/Red_Dawn", "url": "http://www.fast-rewind.com/reddawn.htm"} +{"d:Title": "IMDb: Red Dawn (1984)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Red_Dawn", "url": "http://www.imdb.com/title/tt0087985/"} +{"d:Title": "AllReaders.com Review - Red Dawn", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Patrick Swayze, Powers Boothe, and Jennifer Grey, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Red_Dawn", "url": "http://allreaders.com/movie-review-summary/red-dawn-7182"} +{"d:Title": "TV Guide Online: Red Desert", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Red_Desert", "url": "http://www.tvguide.com/movies/red-desert/115524/"} +{"d:Title": "Red Dirt", "d:Description": "Cast information, synopsis, articles, reviews, and production notes.", "topic": "Top/Arts/Movies/Titles/R/Red_Dirt", "url": "http://www.reddirt.com/"} +{"d:Title": "TV Guide Online: Red Dust", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Red_Dust_-_1932", "url": "http://www.tvguide.com/movies/red-dust/115528/"} +{"d:Title": "IMDb: Red Eye", "d:Description": "Features plot outline, cast and crew, trivia, goofs, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Red_Eye", "url": "http://www.imdb.com/title/tt0421239/"} +{"d:Title": "Wikipedia: Red Eye", "d:Description": "Offers plot information, production details, and cast.", "topic": "Top/Arts/Movies/Titles/R/Red_Eye", "url": "http://en.wikipedia.org/wiki/Red_Eye_(film)"} +{"d:Title": "Reel Views: Red Eye", "d:Description": "Review by James Berardinelli, [2.5/4]. Includes pictures. \"Wes Craven's Red Eye is more of a mixed bag.\"", "topic": "Top/Arts/Movies/Titles/R/Red_Eye", "url": "http://www.reelviews.net/reelviews/red-eye"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/R/Red_Heat", "url": "http://www.all-reviews.com/videos-3/red-heat.htm"} +{"d:Title": "AllReaders.com Review - Red Heat", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Arnold Schwarzenegger film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Red_Heat", "url": "http://allreaders.com/movie-review-summary/red-heat-3556"} +{"d:Title": "PopMatters", "d:Description": "Review of the film by Todd R. Ramlow.", "topic": "Top/Arts/Movies/Titles/R/Red_Planet", "url": "http://popmatters.com/film/reviews/r/red-planet.html"} +{"d:Title": "All-Reviews.com: Red Planet", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/R/Red_Planet", "url": "http://www.all-reviews.com/videos-2/red-planet.htm"} +{"d:Title": "Rotten Tomatoes - Red Planet", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Red_Planet", "url": "http://www.rottentomatoes.com/m/red_planet/"} +{"d:Title": "IMDb: Red Planet", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Red_Planet", "url": "http://www.imdb.com/title/tt0199753/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Red_Planet", "url": "http://www.metacritic.com/movie/red-planet"} +{"d:Title": "Filmbug - Red Planet", "d:Description": "Summary, cast, and links.", "topic": "Top/Arts/Movies/Titles/R/Red_Planet", "url": "http://www.filmbug.com/asin/B00003CX44"} +{"d:Title": "TV Guide Online: Red Planet Mars", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Red_Planet", "url": "http://www.tvguide.com/movies/red-planet-mars/115551/"} +{"d:Title": "Greatest Films: Red River", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/R/Red_River", "url": "http://www.filmsite.org/redr.html"} +{"d:Title": "IMDb: Red River", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Red_River", "url": "http://www.imdb.com/title/tt0040724/"} +{"d:Title": "TV Guide Online: Red River", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Red_River", "url": "http://www.tvguide.com/movies/red-river/115553/"} +{"d:Title": "The DVD Journal - Red Rock West", "d:Description": "Concise review of the film.", "topic": "Top/Arts/Movies/Titles/R/Red_Rock_West", "url": "http://www.dvdjournal.com/quickreviews/r/redrockwest.q.shtml"} +{"d:Title": "RottenTomatoes.com - Red Rock West", "d:Description": "Reviews, synopsis, credits.", "topic": "Top/Arts/Movies/Titles/R/Red_Rock_West", "url": "http://www.rottentomatoes.com/m/red_rock_west/"} +{"d:Title": "Red Rock West (1994)", "d:Description": "Box office information about the movie.", "topic": "Top/Arts/Movies/Titles/R/Red_Rock_West", "url": "http://www.boxofficemojo.com/movies/?id=redrockwest.htm"} +{"d:Title": "IMDb - Red Rock West (1992)", "d:Description": "Synopsis, cast and crew information, user comments.", "topic": "Top/Arts/Movies/Titles/R/Red_Rock_West", "url": "http://www.imdb.com/title/tt0105226/"} +{"d:Title": "Red Rock West - Wikipedia", "d:Description": "Synopsis, cast information.", "topic": "Top/Arts/Movies/Titles/R/Red_Rock_West", "url": "http://en.wikipedia.org/wiki/Red_Rock_West"} +{"d:Title": "AllMovie: Red Rock West", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/R/Red_Rock_West", "url": "http://www.allmovie.com/movie/red-rock-west-v121369"} +{"d:Title": "ReelViews: Red Rock West", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Red_Rock_West", "url": "http://www.reelviews.net/reelviews/red-rock-west"} +{"d:Title": "Teach with Movies: The Red Shoes", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/R/Red_Shoes,_The", "url": "http://www.teachwithmovies.org/guides/red-shoes.html"} +{"d:Title": "About.com: The Red Shoes", "d:Description": "Movie review and DVD information.", "topic": "Top/Arts/Movies/Titles/R/Red_Shoes,_The", "url": "http://homevideo.about.com/library/weekly/aafpr111301.htm"} +{"d:Title": "IMDb: The Red Shoes", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Red_Shoes,_The", "url": "http://www.imdb.com/title/tt0040725/"} +{"d:Title": "TV Guide Online: Red Shoes, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Red_Shoes,_The", "url": "http://www.tvguide.com/movies/red-shoes/115565/"} +{"d:Title": "HARO Online: Red Trousers - The Life of the Hong Kong Stuntmen", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Red_Trousers_-_The_Life_of_the_Hong_Kong_Stuntmen", "url": "http://www.haro-online.com/movies/red_trousers.html"} +{"d:Title": "IMDb: Red Trousers -The Life of the Hong Kong Stuntmen (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Red_Trousers_-_The_Life_of_the_Hong_Kong_Stuntmen", "url": "http://www.imdb.com/title/tt0360921/"} +{"d:Title": "Hollywood Bitchslap: Red Trousers - The Life of the Hong Kong Stuntmen", "d:Description": "Review by Jay Seaver.", "topic": "Top/Arts/Movies/Titles/R/Red_Trousers_-_The_Life_of_the_Hong_Kong_Stuntmen", "url": "http://hollywoodbitchslap.com/review.php?movie=10041&reviewer=371"} +{"d:Title": "HARO Online - The Red Violin", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/R/Red_Violin,_The", "url": "http://www.haro-online.com/movies/red_violin.html"} +{"d:Title": "All-Reviews.com: The Red Violin", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Red_Violin,_The", "url": "http://www.all-reviews.com/videos-4/red-violin.htm"} +{"d:Title": "Filmtracks: The Red Violin", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/R/Red_Violin,_The", "url": "http://www.filmtracks.com/titles/red_violin.html"} +{"d:Title": "IMDb: Violon rouge, Le", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Red_Violin,_The", "url": "http://www.imdb.com/title/tt0120802/"} +{"d:Title": "AllReaders.com Red Violin Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Red_Violin,_The", "url": "http://allreaders.com/movie-review-summary/the-red-violin-5223"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Ref,_The", "url": "http://crazy4cinema.com/Review/FilmsR/f_ref.html"} +{"d:Title": "All-Reviews.com - The Ref", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/R/Ref,_The", "url": "http://www.all-reviews.com/videos-3/ref.htm"} +{"d:Title": "AllReaders.com Review - The Ref", "d:Description": "Detailed analysis of the Denis Leary and Kevin Spacey film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Ref,_The", "url": "http://allreaders.com/movie-review-summary/the-ref-4789"} +{"d:Title": "HARO Online: Reign of Fire", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/R/Reign_of_Fire", "url": "http://www.haro-online.com/movies/reign_of_fire.html"} +{"d:Title": "All-Reviews.com: Reign of Fire", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Reign_of_Fire", "url": "http://www.all-reviews.com/videos-4/reign-of-fire.htm"} +{"d:Title": "Rotten Tomatoes: Reign of Fire", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Reign_of_Fire", "url": "http://www.rottentomatoes.com/m/reign_of_fire/"} +{"d:Title": "IMDb: Reign of Fire (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Reign_of_Fire", "url": "http://www.imdb.com/title/tt0253556/"} +{"d:Title": "Metacritic.com: Reign of Fire", "d:Description": "Quotes from and links to reviews of the film, as well as user comments and an overall score.", "topic": "Top/Arts/Movies/Titles/R/Reign_of_Fire", "url": "http://www.metacritic.com/movie/reign-of-fire"} +{"d:Title": "Chicago Sun-Times: Reign of Fire", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Reign_of_Fire", "url": "http://www.rogerebert.com/reviews/reign-of-fire-2002"} +{"d:Title": "PopMatters", "d:Description": "Review of Reindeer Games and interview with director John Frankenheimer.", "topic": "Top/Arts/Movies/Titles/R/Reindeer_Games", "url": "http://popmatters.com/film/reviews/r/reindeer-games.html"} +{"d:Title": "HARO Online - Reindeer Games", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Reindeer_Games", "url": "http://haro-online.com/movies/reindeer_games.html"} +{"d:Title": "All-Reviews.com - Reindeer Games", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/R/Reindeer_Games", "url": "http://www.all-reviews.com/videos/reindeer-games.htm"} +{"d:Title": "Box Office Mojo - Reindeer Games", "d:Description": "Box office data for Reindeer Games since day one. Includes John Frankenheimer's box office track record.", "topic": "Top/Arts/Movies/Titles/R/Reindeer_Games", "url": "http://www.boxofficemojo.com/movies/?id=reindeergames.htm"} +{"d:Title": "Rotten Tomatoes - Reindeer Games", "d:Description": "Reviews, previews, production notes, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Reindeer_Games", "url": "http://www.rottentomatoes.com/m/reindeer_games/"} +{"d:Title": "IMDb - Reindeer Games (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Reindeer_Games", "url": "http://www.imdb.com/title/tt0184858/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Reindeer_Games", "url": "http://www.metacritic.com/movie/reindeer-games"} +{"d:Title": "AllReaders.com Review - The Reivers", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Steve McQueen film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Reivers,_The", "url": "http://allreaders.com/movie-review-summary/the-reivers-4269"} +{"d:Title": "IMDb: Relative Values", "d:Description": "Plot summary, cast listing, viewer comments, and trailer.", "topic": "Top/Arts/Movies/Titles/R/Relative_Values", "url": "http://imdb.com/title/tt0210943/"} +{"d:Title": "IMDb: Relax... It's Just Sex", "d:Description": "Plot summary, cast and crew listing, and reviews.", "topic": "Top/Arts/Movies/Titles/R/Relax..._It's_Just_Sex", "url": "http://www.imdb.com/title/tt0159696/"} +{"d:Title": "All-Reviews.com - The Relic", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Relic,_The", "url": "http://www.all-reviews.com/videos-2/relic.htm"} +{"d:Title": "Filmtracks: The Relic", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/R/Relic,_The", "url": "http://www.filmtracks.com/titles/relic.html"} +{"d:Title": "IMDb: Religulous (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Religulous", "url": "http://www.imdb.com/title/tt0815241/"} +{"d:Title": "Rotten Tomatoes: Religulous", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Religulous", "url": "http://www.rottentomatoes.com/m/religulous/"} +{"d:Title": "All-Reviews.com - Remains of the Day", "d:Description": "Short review of the movie.", "topic": "Top/Arts/Movies/Titles/R/Remains_of_the_Day,_The", "url": "http://www.all-reviews.com/videos-2/remains-of-the-day.htm"} +{"d:Title": "IMDb: The Remains of the Day", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Remains_of_the_Day,_The", "url": "http://www.imdb.com/title/tt0107943/"} +{"d:Title": "Roger Ebert - The Remains of the Day", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Remains_of_the_Day,_The", "url": "http://www.rogerebert.com/reviews/the-remains-of-the-day-1993"} +{"d:Title": "Allreaders.com: \"The Remains of the Day\"", "d:Description": "Detailed analysis of the film starring Anthony Hopkins and Emma Thompson, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Remains_of_the_Day,_The", "url": "http://allreaders.com/movie-review-summary/the-remains-of-the-day-3896"} +{"d:Title": "IMDb: Rembrandt", "d:Description": "Synopsis, cast and crew, and viewer comments.", "topic": "Top/Arts/Movies/Titles/R/Rembrandt", "url": "http://www.imdb.com/title/tt0028167/"} +{"d:Title": "TV Guide Online: Rembrandt", "d:Description": "Review, cast, crew.", "topic": "Top/Arts/Movies/Titles/R/Rembrandt", "url": "http://www.tvguide.com/movies/rembrandt/115621/"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Remember_the_Titans", "url": "http://www.haro-online.com/movies/remember_the_titans.html"} +{"d:Title": "All-Reviews.com- Remember the Titans", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/R/Remember_the_Titans", "url": "http://www.all-reviews.com/videos-2/remember-the-titans.htm"} +{"d:Title": "PopMatters - Remember the Titans", "d:Description": "Review by Tobias Peterson.", "topic": "Top/Arts/Movies/Titles/R/Remember_the_Titans", "url": "http://popmatters.com/film/reviews/r/remember-the-titans.html"} +{"d:Title": "Rotten Tomatoes - Remember the Titans (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/R/Remember_the_Titans", "url": "http://www.rottentomatoes.com/m/remember_the_titans/"} +{"d:Title": "IMDb - Remember the Titans (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Remember_the_Titans", "url": "http://www.imdb.com/title/tt0210945/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Remember_the_Titans", "url": "http://www.metacritic.com/movie/remember-the-titans"} +{"d:Title": "Awesome Stories: Remember the Titans", "d:Description": "Detailed historical background behind the film, with links to online resources throughout the text.", "topic": "Top/Arts/Movies/Titles/R/Remember_the_Titans", "url": "https://www.awesomestories.com/asset/view/Remember-The-Titans"} +{"d:Title": "AllReaders.com - Remo Williams The Adventure Begins", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Master of Sinanju film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Remo_Williams_The_Adventure_Begins", "url": "http://allreaders.com/movie-review-summary/remo-williams-the-adventure-begins-3565"} +{"d:Title": "IMDb: Rendition (2007)", "d:Description": "Photo gallery, plot outlines, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Rendition", "url": "http://www.imdb.com/title/tt0804522/"} +{"d:Title": "Rotten Tomatoes: Rendition", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Rendition", "url": "http://www.rottentomatoes.com/m/rendition/"} +{"d:Title": "Rendition", "d:Description": "Official site. Provides film information, trailer, show times, and character profiles.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Rendition", "url": "http://www.renditionmovie.com/"} +{"d:Title": "IGN Movies: Rendition", "d:Description": "News, photos, videos, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Rendition", "url": "http://www.ign.com/movies/rendition/theater-857431"} +{"d:Title": "RogerEbert.com: Rendition", "d:Description": "Review, by Roger Ebert: \"Rendition is valuable and rare. As I wrote from Toronto: `It is a movie about the theory and practice of two things: torture and personal responsibility. And it is wise about what is right, and what is wrong.`\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Rendition", "url": "http://www.rogerebert.com/reviews/rendition-2007"} +{"d:Title": "MovieWeb: Rendition (2007)", "d:Description": "Summary, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/R/Rendition", "url": "http://www.movieweb.com/movie/rendition"} +{"d:Title": "All-Reviews.com- The Replacements", "d:Description": "Collection of reviews and facts about the movie.", "topic": "Top/Arts/Movies/Titles/R/Replacements,_The", "url": "http://www.all-reviews.com/videos/replacements.htm"} +{"d:Title": "PopMatters - The Replacements", "d:Description": "Review and interview.", "topic": "Top/Arts/Movies/Titles/R/Replacements,_The", "url": "http://popmatters.com/film/reviews/r/replacements2.html"} +{"d:Title": "Rotten Tomatoes - The Replacements (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/R/Replacements,_The", "url": "http://www.rottentomatoes.com/m/replacements/"} +{"d:Title": "IMDb - The Replacements (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Replacements,_The", "url": "http://www.imdb.com/title/tt0191397/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Replacements,_The", "url": "http://www.metacritic.com/movie/the-replacements"} +{"d:Title": "Allreaders Review - The Replacements", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Replacements,_The", "url": "http://allreaders.com/movie-review-summary/the-replacements-3570"} +{"d:Title": "Movie Quotes Site: Replacement Killers, The", "d:Description": "An index of quotes from the movie. Submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/R/Replacement_Killers,_The", "url": "http://www.moviequotes.com/archive/titles/2177.html"} +{"d:Title": "Filmtracks: The Replacement Killers", "d:Description": "A review of the movie soundtrack by Harry Gregson-Williams. Includes a track listing.", "topic": "Top/Arts/Movies/Titles/R/Replacement_Killers,_The", "url": "http://www.filmtracks.com/titles/replacement.html"} +{"d:Title": "IMDb: Replacement Killers, The (1998)", "d:Description": "The Internet Movie Database offers credits, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Replacement_Killers,_The", "url": "http://www.imdb.com/title/tt0120008/"} +{"d:Title": "John Woo: The Replacement Killers", "d:Description": "A John Woo fan's review of the movie. With pictures and personal comments.", "topic": "Top/Arts/Movies/Titles/R/Replacement_Killers,_The", "url": "http://fabkid.tripod.com/TRK.html"} +{"d:Title": "TV Guide Online: The Replacement Killers", "d:Description": "Includes a review of the movie as well as detailed cast and crew information.", "topic": "Top/Arts/Movies/Titles/R/Replacement_Killers,_The", "url": "http://www.tvguide.com/movies/replacement-killers/132921/"} +{"d:Title": "IMDb: Repo Man", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Repo_Man", "url": "http://www.imdb.com/title/tt0087995/"} +{"d:Title": "TV Guide Online: Repo Man", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Repo_Man", "url": "http://www.tvguide.com/movies/repo-man/115659/"} +{"d:Title": "Rotten Tomatoes: Reprise", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Reprise", "url": "http://www.rottentomatoes.com/m/1196293-reprise/"} +{"d:Title": "MovieWeb: Reprise (2008)", "d:Description": "Summary, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/R/Reprise", "url": "http://www.movieweb.com/movie/reprise"} +{"d:Title": "USA Today: Reprise", "d:Description": "Review, by Claudia Puig: \"With its almost stream-of-consciousness style, Reprise offers a fresh and compelling look at the vagaries of friendship and creativity.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Reprise", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-05-15-reprise_N.htm"} +{"d:Title": "Slate: Reprise", "d:Description": "Review, by Dana Stevens: \"The feature debut of young Norwegian director Joachim Trier, is as crisp and cool as a swig of Champagne.\"", "topic": "Top/Arts/Movies/Titles/R/Reprise", "url": "http://www.slate.com/articles/arts/movies/2008/05/hip_scandinavian_gloom.html"} +{"d:Title": "IMDb: Yonggary (1999)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Reptilian", "url": "http://www.imdb.com/title/tt0272425/"} +{"d:Title": "IMDB: Repulsion (1965)", "d:Description": "Contains reviews, and cast.", "topic": "Top/Arts/Movies/Titles/R/Repulsion", "url": "http://www.imdb.com/title/tt0059646/"} +{"d:Title": "Popmatters: Requiem for a Dream", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://popmatters.com/film/reviews/r/requiem-for-a-dream.html"} +{"d:Title": "All-Reviews.com: Requiem for a Dream", "d:Description": "Reviews of the film.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.all-reviews.com/videos-2/requiem-for-dream.htm"} +{"d:Title": "HARO Online", "d:Description": "Short film review.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.haro-online.com/movies/requiem_for_a_dream.html"} +{"d:Title": "Darren Aronofsky Online: Requiem For A Dream", "d:Description": "Review, two trailers, and two sound clips.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://aronofksy.tripod.com/requiem.html"} +{"d:Title": "Rotten Tomatoes - Requiem for a Dream (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.rottentomatoes.com/m/requiem_for_a_dream/"} +{"d:Title": "NYTimes.com Review: Addicted to Drugs and Drug Rituals", "d:Description": "Film review by Elvis Mitchell.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.nytimes.com/2000/10/06/movies/film-review-addicted-to-drugs-and-drug-rituals.html"} +{"d:Title": "IMDb: Requiem for a Dream (2000)", "d:Description": "Cast, credits, and additional information.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.imdb.com/title/tt0180093/"} +{"d:Title": "Chicago Reader: Requiem for a Dream", "d:Description": "Review by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.chicagoreader.com/chicago/movies/Section?oid=846987archives/2000/1200/001201.html"} +{"d:Title": "Allreaders Review: Requiem for a Dream", "d:Description": "Analysis of the film.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://allreaders.com/movie-review-summary/requiem-for-dream-3560"} +{"d:Title": "Flipside Movie Emporium: Requiem for a Dream", "d:Description": "Review by Michael B. Scrutchin.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.flipsidearchive.com/requiemforadream.html"} +{"d:Title": "Cinebooks Database - Angel, Angel Down We Go", "d:Description": "Review by Ken Fox (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/R/Requiem_for_a_Dream", "url": "http://www.tvguide.com/movies/requiem-dream/134658/"} +{"d:Title": "IMDb: Rescue Dawn", "d:Description": "Provides plot outline, cast list, trivia, filming locations and discussion board.", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://www.imdb.com/title/tt0462504/"} +{"d:Title": "Rescue Dawn", "d:Description": "An action drama based on the life of US fighter pilot Dieter Dengler, Christian Bale will star and Werner Herzog will direct.", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://movies.about.com/od/balechristian/a/rescue072005.htm"} +{"d:Title": "WorstPreviews: Rescue Dawn", "d:Description": "Offers an essay regarding what to expect from this \"artistic examination of the human spirit\".", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://www.worstpreviews.com/review.php?id=39"} +{"d:Title": "Rotten Tomatoes: Rescue Dawn", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://www.rottentomatoes.com/m/rescue_dawn/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, criticism, cast, reception, and trivia.", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://en.wikipedia.org/wiki/Rescue_Dawn"} +{"d:Title": "IGN Movies: Rescue Dawn", "d:Description": "Provides photos, videos, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://www.ign.com/movies/rescue-dawn/theater-852369"} +{"d:Title": "Christian Bale and Steve Zahn Set for Rescue Dawn", "d:Description": "Christian Bale and Steve Zahn will star in Rescue Dawn, an action drama by Werner Herzog and based on the director's acclaimed 1997 documentary, Little Dieter Needs to Fly.", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://www.movieweb.com/christian-bale-and-steve-zahn-set-for-rescue-dawn"} +{"d:Title": "MGM Acquires Rescue Dawn", "d:Description": "MGM has acquired all North American rights to Rescue Dawn, the survival story of Dieter Dengler, an American pilot shot down in Viet Cong territory, written and directed by Werner Herzog and starring Christian Bale.", "topic": "Top/Arts/Movies/Titles/R/Rescue_Dawn", "url": "http://www.movieweb.com/mgm-acquires-rescue-dawn"} +{"d:Title": "Reservoir Dogs", "d:Description": "Full script.", "topic": "Top/Arts/Movies/Titles/R/Reservoir_Dogs", "url": "http://www.cthulhu.org/resevoir.txt"} +{"d:Title": "All-Reviews.com - Reservoir Dogs", "d:Description": "Very short review of the movie", "topic": "Top/Arts/Movies/Titles/R/Reservoir_Dogs", "url": "http://www.all-reviews.com/videos-2/reservoir-dogs.htm"} +{"d:Title": "Rotten Tomatoes: Reservoir Dogs", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Reservoir_Dogs", "url": "http://www.rottentomatoes.com/m/reservoir_dogs/"} +{"d:Title": "Reservoir Dogs", "d:Description": "Credits for the movie at IMDb.", "topic": "Top/Arts/Movies/Titles/R/Reservoir_Dogs", "url": "http://www.imdb.com/title/tt0105236/"} +{"d:Title": "Chicago Sun-Times: Reservoir Dogs", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Reservoir_Dogs", "url": "http://www.rogerebert.com/reviews/reservoir-dogs-1992"} +{"d:Title": "Allreaders Review - Reservoir Dogs", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Reservoir_Dogs", "url": "http://allreaders.com/movie-review-summary/reservoir-dogs-3826"} +{"d:Title": "TV Guide Online: Reservoir Dogs", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Reservoir_Dogs", "url": "http://www.tvguide.com/movies/reservoir-dogs/128950/"} +{"d:Title": "HARO Online", "d:Description": "A negative review of the film.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.haro-online.com/movies/resident_evil.html"} +{"d:Title": "All-Reviews.com: Resident Evil", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.all-reviews.com/videos-4/resident-evil.htm"} +{"d:Title": "Resident Evil", "d:Description": "Official site. Features synopsis, trailer and contests.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.sonypictures.com/movies/residentevil/"} +{"d:Title": "Rotten Tomatoes: Resident Evil", "d:Description": "Links to reviews from the nation's top critics, photos, trailer, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.rottentomatoes.com/m/resident_evil/"} +{"d:Title": "IMDb: Resident Evil", "d:Description": "Synopsis, cast and crew and user comments.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.imdb.com/title/tt0120804/"} +{"d:Title": "Metacritic.com", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.metacritic.com/movie/resident-evil"} +{"d:Title": "DVDtalk: Resident Evil", "d:Description": "Review of the special edition DVD.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.dvdtalk.com/reviews/4319/resident-evil-se/"} +{"d:Title": "Chicago Sun-Times: Resident Evil", "d:Description": "Roger Ebert's review of the film.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.rogerebert.com/reviews/resident-evil-2002"} +{"d:Title": "Flipside Movie Emporium: Resident Evil", "d:Description": "Review by Michael B. Scrutchin.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.flipsidearchive.com/residentevil.html"} +{"d:Title": "Contactmusic.com: Resident Evil", "d:Description": "Synopsis, pictures, trailer, interviews, and a Milla Jovovich video feature.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.contactmusic.com/pages/residentevilmillax10x07x02"} +{"d:Title": "EW.com: Resident Evil", "d:Description": "Review by Daniel Fierman and related articles.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil", "url": "http://www.ew.com/article/2002/03/06/resident-evil"} +{"d:Title": "HARO Online: Resident Evil - Apocalypse", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Apocalypse", "url": "http://www.haro-online.com/movies/resident_evil_apocalypse.html"} +{"d:Title": "Killer Movies: Resident Evil 2 - Apocalypse", "d:Description": "Film information, synopsis, news, and links.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Apocalypse", "url": "http://www.killermovies.com/r/residentevil2/"} +{"d:Title": "Resident Evil: Apocalypse", "d:Description": "Official Sony Pictures website for the movie.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Apocalypse", "url": "http://www.sonypictures.com/movies/residentevilapocalypse/"} +{"d:Title": "Rotten Tomatoes: Resident Evil - Apocalypse", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Apocalypse", "url": "http://www.rottentomatoes.com/m/resident_evil_apocalypse/"} +{"d:Title": "IMDb: Resident Evil - Apocalypse (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Apocalypse", "url": "http://www.imdb.com/title/tt0318627/"} +{"d:Title": "TEN Movies: Resident Evil 2", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Apocalypse", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1108"} +{"d:Title": "Chicago Sun-Times: Resident Evil - Apocalypse", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Apocalypse", "url": "http://www.rogerebert.com/reviews/resident-evil-apocalypse-2004"} +{"d:Title": "Wikipedia", "d:Description": "Article with a synopsis, cast list, production details, and game connections.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Extinction", "url": "http://en.wikipedia.org/wiki/Resident_Evil:_Extinction"} +{"d:Title": "IMDb: Resident Evil: Extinction (2007)", "d:Description": "Photo gallery, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Extinction", "url": "http://www.imdb.com/title/tt0432021/"} +{"d:Title": "Rotten Tomatoes: Resident Evil: Extinction", "d:Description": "Synopsis, credits, photos, trailers, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Extinction", "url": "http://www.rottentomatoes.com/m/resident_evil_extinction/"} +{"d:Title": "IGN Movies: Resident Evil: Extinction", "d:Description": "News, mailbags, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Extinction", "url": "http://www.ign.com/movies/resident-evil-extinction/theater-605467"} +{"d:Title": "MovieWeb: Resident Evil: Extinction (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Resident_Evil_Series/Resident_Evil_-_Extinction", "url": "http://www.movieweb.com/movie/resident-evil-extinction"} +{"d:Title": "All-Reviews.com: Respiro", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Respiro", "url": "http://www.all-reviews.com/videos-5/respiro.htm"} +{"d:Title": "Respiro (2002)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Respiro", "url": "http://www.imdb.com/title/tt0286516/"} +{"d:Title": "Respiro", "d:Description": "Review by Roger Ebert for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/R/Respiro", "url": "http://www.rogerebert.com/reviews/respiro-2003"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Restaurant", "url": "http://www.metacritic.com/movie/restaurant"} +{"d:Title": "IMDb: Restaurant", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Restaurant", "url": "http://www.imdb.com/title/tt0152176/"} +{"d:Title": "HARO Online", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/R/Restless", "url": "http://www.haro-online.com/movies/restless.html"} +{"d:Title": "IMDb: Resurrecting the Champ (2007)", "d:Description": "Plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Resurrecting_the_Champ", "url": "http://www.imdb.com/title/tt0416185/"} +{"d:Title": "Rotten Tomatoes: Resurrecting the Champ", "d:Description": "Review links, synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Resurrecting_the_Champ", "url": "http://www.rottentomatoes.com/m/resurrecting_the_champ/"} +{"d:Title": "IGN Movies: Resurrecting the Champ", "d:Description": "Provides information, photos, features, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Resurrecting_the_Champ", "url": "http://www.ign.com/movies/resurrecting-the-champ/theater-903838"} +{"d:Title": "MovieWeb: Resurrecting the Champ", "d:Description": "Summary, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/R/Resurrecting_the_Champ", "url": "http://www.movieweb.com/movie/resurrecting-the-champ"} +{"d:Title": "TV Guide Online: Resurrection", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Resurrection_-_1980", "url": "http://www.tvguide.com/movies/resurrection/115778/"} +{"d:Title": "IMDb: Retroactive (1997)", "d:Description": "The Internet Movie Database offers a plot outline, user comments, and cast credits.", "topic": "Top/Arts/Movies/Titles/R/Retroactive", "url": "http://www.imdb.com/title/tt0117468/"} +{"d:Title": "TV Guide Online: Retroactive", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/R/Retroactive", "url": "http://www.tvguide.com/movies/retroactive/133344/"} +{"d:Title": "HARO Online: The Return", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Return,_The", "url": "http://www.haro-online.com/movies/return.html"} +{"d:Title": "IMDb: The Return (Vozvrashcheniye) (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Return,_The", "url": "http://www.imdb.com/title/tt0376968/"} +{"d:Title": "Rotten Tomatoes: The Return", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/R/Return,_The", "url": "http://www.rottentomatoes.com/m/1130023-return/"} +{"d:Title": "Chicago Sun-Times: The Return", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Return,_The", "url": "http://www.rogerebert.com/reviews/the-return-2004"} +{"d:Title": "HARO Online: Returner", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/R/Returner", "url": "http://www.haro-online.com/movies/returner.html"} +{"d:Title": "Internet Movie Database: Returner", "d:Description": "Plot summary, cast and crew, user comments and ratings, reviews, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/R/Returner", "url": "http://imdb.com/title/tt0339579/"} +{"d:Title": "IMDb: Return of the Secaucus 7", "d:Description": "Synopsis, cast and crew, reviews, trivia, and links.", "topic": "Top/Arts/Movies/Titles/R/Return_of_the_Secaucus_7,_The", "url": "http://www.imdb.com/title/tt0081420/"} +{"d:Title": "All-Reviews.com - Return to Me (2000)", "d:Description": "Collection of movie reviews and movie facts", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://www.all-reviews.com/videos/return-to-me.htm"} +{"d:Title": "PopMatters - Return to Me", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://popmatters.com/film/reviews/r/return-to-me.html"} +{"d:Title": "Rotten Tomatoes - Return to Me", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://www.rottentomatoes.com/m/return_to_me/"} +{"d:Title": "Box Office Mojo - Return to Me", "d:Description": "Box office data for Return to Me since day one. Includes David Duchovny's box office track record and a comparison with other romantic comedies.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://www.boxofficemojo.com/movies/?id=returntome.htm"} +{"d:Title": "Return To Me", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://www.mgm.com/title_title.php?title_star=RETURNME"} +{"d:Title": "IMDb - Return to Me (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://www.imdb.com/title/tt0122459/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://www.metacritic.com/movie/return-to-me"} +{"d:Title": "Filmbug - Return to Me", "d:Description": "Summary, cast information, and links.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://www.filmbug.com/asin/6306012419"} +{"d:Title": "AllReaders.com Review - Return to Me", "d:Description": "Analytical review of the plot, setting, theme, and structure of the David Duchovny film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Me", "url": "http://allreaders.com/movie-review-summary/return-me-3802"} +{"d:Title": "Return to Oz Unofficial Site", "d:Description": "Fan site with articles, interviews, behind-the-scenes details, cast and character biographies, fan art, multimedia, contests, memorabilia, props, forum, and message board.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Oz", "url": "http://www.waltdisneysreturntooz.com/"} +{"d:Title": "IMDb: Return to Oz (1985)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Oz", "url": "http://www.imdb.com/title/tt0089908/"} +{"d:Title": "IMDb: Return to Paradise (1998)", "d:Description": "Plot outline, cast and crew listings, and comments.", "topic": "Top/Arts/Movies/Titles/R/Return_to_Paradise", "url": "http://www.imdb.com/title/tt0124595/"} +{"d:Title": "Thorup", "d:Description": "Pictures cast list and links.", "topic": "Top/Arts/Movies/Titles/R/Revenge_of_the_Nerds", "url": "http://thorup.com/nerd.html"} +{"d:Title": "IMDb - Revenge of the Nerds II: Nerds in Paradise (1987)", "d:Description": "Cast list, user comments and poster.", "topic": "Top/Arts/Movies/Titles/R/Revenge_of_the_Nerds", "url": "http://www.imdb.com/title/tt0093857/"} +{"d:Title": "HTMLized Script", "d:Description": "Slightly modified version of the first draft script, converting it to HTML and adding internal bookmarks at each song.", "topic": "Top/Arts/Movies/Titles/R/Revenge_of_the_Old_Queen", "url": "http://www.angelfire.com/movies/RevengeOldQueen/rotoq.html"} +{"d:Title": "IMDb: Revolutionary Road (2008)", "d:Description": "Information on the film based on a novel by Richard Yates. Includes photographs, cast list, user reviews and message boards.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road", "url": "http://www.imdb.com/title/tt0959337/"} +{"d:Title": "Wikipedia: Revolutionary Road", "d:Description": "Encyclopedia article provides a film synopsis, principal cast list, development and awards.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road", "url": "http://en.wikipedia.org/wiki/Revolutionary_Road_(film)"} +{"d:Title": "Rotten Tomatoes: Revolutionary Road (2008)", "d:Description": "Includes Synopsis, trailers, pictures, critic and user reviews.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road", "url": "http://www.rottentomatoes.com/m/revolutionary_road/"} +{"d:Title": "Fandango: Revolutionary Road", "d:Description": "Movie overview and synopsis. Fan reviews, critics, photographs and trailer.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road", "url": "http://www.fandango.com/revolutionaryroad_109350/movieoverview"} +{"d:Title": "Revolutionary Road", "d:Description": "Official movie site. Includes the story, media gallery, photographs, cast, filmmakers, and DVD release information.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road", "url": "http://www.paramount.com/movies/revolutionary-road"} +{"d:Title": "The Washington Post: Hitting a Dead End", "d:Description": "Review of the film written by Ann Hornaday, Washington Post Staff Writer.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road/Reviews", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2009/01/01/AR2009010101971.html"} +{"d:Title": "The New York Times: Revolutionary Road", "d:Description": "Review of the film written by Manohla Dargis.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road/Reviews", "url": "http://www.nytimes.com/2008/12/26/movies/26road.html"} +{"d:Title": "San Francisco Chronicle: Revolutionary Road", "d:Description": "Review written by Mick LaSalle, Chronicle movie critic.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road/Reviews", "url": "http://www.sfgate.com/movies/article/Movie-review-Revolutionary-Road-year-s-best-3178292.php"} +{"d:Title": "News Blaze: Revolutionary Road", "d:Description": "Movie review written by Prairie Miller, multimedia journalist.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road/Reviews", "url": "http://newsblaze.com/entertainment/movie-reviews/revolutionary-road-movie-review_7775/"} +{"d:Title": "Entertainment Weekly: Revolutionary Road", "d:Description": "Movie review written by Owen Gleiberman.", "topic": "Top/Arts/Movies/Titles/R/Revolutionary_Road/Reviews", "url": "http://www.ew.com/article/2008/12/24/revolutionary-road"} +{"d:Title": "HARO Online: The Revolution Will Not be Televised", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/R/Revolution_Will_Not_Be_Televised,_The", "url": "http://www.haro-online.com/movies/revolution_will_not_be_televised.html"} +{"d:Title": "At-a-Glance Film Reviews - Rhubarb (1951)", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/R/Rhubarb", "url": "http://www.rinkworks.com/movies/m/rhubarb.1951.shtml"} +{"d:Title": "IMDb - Rhubarb (1951)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/R/Rhubarb", "url": "http://www.imdb.com/title/tt0043967/"} +{"d:Title": "TV Guide Online: Rhubarb", "d:Description": "Unsigned review, rating.", "topic": "Top/Arts/Movies/Titles/R/Rhubarb", "url": "http://www.tvguide.com/movies/rhubarb/115889/"} +{"d:Title": "IMDb - Richard III (1954)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Richard_III_-_1954", "url": "http://www.imdb.com/title/tt0049674/"} +{"d:Title": "All-Reviews.com - Richard III", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Richard_III_-_1995", "url": "http://www.all-reviews.com/videos-3/richard-3-1995.htm"} +{"d:Title": "Cosmopolis - Richard III", "d:Description": "the movie by director Richard Loncraine, based on Shakespeare's play, starring Ian McKellen, Annette Bening, Jim Broadbent, et al.", "topic": "Top/Arts/Movies/Titles/R/Richard_III_-_1995", "url": "http://www.cosmopolis.ch/english/cosmo4/richard.htm"} +{"d:Title": "Richard III", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/R/Richard_III_-_1995", "url": "http://www.mgm.com/title_title.php?title_star=RICHARD3"} +{"d:Title": "IMDb - Richard III (1995)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Richard_III_-_1995", "url": "http://www.imdb.com/title/tt0114279/"} +{"d:Title": "All-Reviews.com - Richie Rich", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/R/Richie_Rich", "url": "http://www.all-reviews.com/videos-2/richie-rich.htm"} +{"d:Title": "Washingtonpost.com", "d:Description": "Richie Rich, reviewed by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/R/Richie_Rich", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/richierichpg13kempley_c01353.htm"} +{"d:Title": "Chicago Sun Times", "d:Description": "Review of Richie Rich, by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/R/Richie_Rich", "url": "http://www.rogerebert.com/reviews/richie-rich-1994"} +{"d:Title": "TV Guide Online: Richie Rich", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/R/Richie_Rich", "url": "http://www.tvguide.com/movies/richie-rich/130260/"} +{"d:Title": "HARO Online - Ride With The Devil", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/R/Ride_with_the_Devil", "url": "http://www.haro-online.com/movies/ride_with_the_devil.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of Ride with the Devil.", "topic": "Top/Arts/Movies/Titles/R/Ride_with_the_Devil", "url": "http://www.rottentomatoes.com/m/1084873-ride_with_the_devil/"} +{"d:Title": "IMDb: Ride with the Devil", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Ride_with_the_Devil", "url": "http://www.imdb.com/title/tt0134154/"} +{"d:Title": "IMDb: Riding Alone for Thousands of Miles", "d:Description": "Cast, crew, reviews, plot summary, and forum.", "topic": "Top/Arts/Movies/Titles/R/Riding_Alone_for_Thousands_of_Miles", "url": "http://www.imdb.com/title/tt0437447/"} +{"d:Title": "All-Reviews.com: Riding In Cars with Boys", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Riding_in_Cars_with_Boys", "url": "http://www.all-reviews.com/videos-3/riding-in-cars.htm"} +{"d:Title": "HARO Online: Riding in Cars with Boys", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Riding_in_Cars_with_Boys", "url": "http://www.haro-online.com/movies/riding_in_cars.html"} +{"d:Title": "Rotten Tomatoes: Riding in Cars with Boys", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Riding_in_Cars_with_Boys", "url": "http://www.rottentomatoes.com/m/riding_in_cars_with_boys/"} +{"d:Title": "IMDb: Riding in Cars with Boys (2001)", "d:Description": "Plot outline, trailer, cast list, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Riding_in_Cars_with_Boys", "url": "http://www.imdb.com/title/tt0200027/"} +{"d:Title": "Chicago Sun-Times: Riding in Cars with Boys", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Riding_in_Cars_with_Boys", "url": "http://www.rogerebert.com/reviews/riding-in-cars-with-boys-2001"} +{"d:Title": "Rotten Tomatoes: Righteous Kill", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Righteous_Kill", "url": "http://www.rottentomatoes.com/m/righteous_kill/"} +{"d:Title": "IGN: Righteous Kill", "d:Description": "Photos, videos, news, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Righteous_Kill", "url": "http://www.ign.com/movies/righteous-kill/theater-907146"} +{"d:Title": "USA Today: Righteous Kill", "d:Description": "Review, by Claudia Puig: \"By the time the movie reaches its protracted conclusion, it feels like a slog. Pacino has a few funny lines, as does Leguizamo, but not nearly enough to save the film from collapsing under the weight of its own self-righteous tedium.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Righteous_Kill", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-09-11-righteous-review_N.htm"} +{"d:Title": "ReelViews: Righteous Kill", "d:Description": "Review, by James Berardinelli: \"Its failure to live up to even modest expectations is a blow. There's nothing righteous to be found here.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Righteous_Kill", "url": "http://www.reelviews.net/reelviews/righteous-kill"} +{"d:Title": "TV Guide: Righteous Kill", "d:Description": "Review, by Ken Fox: \"The entire movie is one big build-up to a twist that, while not exactly cheating, plays is an awfully cheap trick.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Righteous_Kill", "url": "http://www.tvguide.com/movies/righteous-kill/review/292919/"} +{"d:Title": "The Boston Globe: Righteous Kill", "d:Description": "Review, by Jason Matloff: \"When actors are as great as De Niro and Pacino, watching them in a movie like Righteous Kill is deadly.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Righteous_Kill", "url": "http://archive.boston.com/ae/movies/articles/2008/09/12/big_names_cant_save_this_crime_thriller/"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Right_Stuff,_The", "url": "http://crazy4cinema.com/Review/FilmsR/f_right_stuff.html"} +{"d:Title": "All-Reviews.com - The Right Stuff", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/R/Right_Stuff,_The", "url": "http://www.all-reviews.com/videos-3/right-stuff.htm"} +{"d:Title": "IMDb: The Right Stuff", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Right_Stuff,_The", "url": "http://www.imdb.com/title/tt0086197/"} +{"d:Title": "TV Guide Online: Right Stuff, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Right_Stuff,_The", "url": "http://www.tvguide.com/movies/right-stuff/116014/"} +{"d:Title": "Ringufan", "d:Description": "Fanpage with many images, reviews, links, FAQ and film specifications.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://ringufan.intelligent-light.com/"} +{"d:Title": "Inteferon's Viral Vestibule", "d:Description": "Fan resource providing related images and downloads, movie analysis aids, links, polls, community archives, and the Vestibule Forum.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://www.neodymsystems.com/ring/index.shtml"} +{"d:Title": "Black Moon - Ring", "d:Description": "Incisive review, with images from the manga.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://www.theblackmoon.com/Deadmoon/ring.html"} +{"d:Title": "The Ring Cycle", "d:Description": "Pages covering most official releases from the Ring series, with reviews, images, downloads and links.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://www.mandiapple.com/snowblood/theringcycle.htm"} +{"d:Title": "Hellfire's Ring Page", "d:Description": "Fansite dedicated to the entire Ring series of movies, featuring artwork, imagery, short reviews and animations.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://www.angelfire.com/ultra/rage0/index.html"} +{"d:Title": "Braineater - Ring", "d:Description": "Indepth reviews and analysis of all the movies in the series, with related links.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://www.braineater.com/ringu.html"} +{"d:Title": "Curse of the Ring", "d:Description": "J Lopez's site dedicated to the Ring phenomenon. The site covers all the movie releases, books and TV specials and also includes fanworks.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://www.curseofthering.com/"} +{"d:Title": "Ringu", "d:Description": "Livejournal community devoted to the Ring cycle, including the US remake.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_1998", "url": "http://ringu.livejournal.com/"} +{"d:Title": "HARO Online: The Ring", "d:Description": "Haro's review: \"The ending is somewhat of a let down, mainly because the set up was so much better than average. But it is a fun trip getting to the end.\" Rated \"pretty good.\"", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_2002", "url": "http://www.haro-online.com/movies/ring.html"} +{"d:Title": "All-Reviews.com: The Ring", "d:Description": "Collection of reviews of the film.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_2002", "url": "http://www.all-reviews.com/videos-5/ring.htm"} +{"d:Title": "About.com: The Ring", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_2002", "url": "http://homevideo.about.com/cs/horror/gr/theringdvdrevie.htm"} +{"d:Title": "Internet Movie Database: The Ring", "d:Description": "Cast and crew credits, trivia, box office information and image gallery.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_2002", "url": "http://www.imdb.com/title/tt0298130/"} +{"d:Title": "Filmtracks: The Ring", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_2002", "url": "http://www.filmtracks.com/titles/ring.html"} +{"d:Title": "Rotten Tomatoes: The Ring", "d:Description": "Links to reviews and articles, photos, trailers and a forum.", "topic": "Top/Arts/Movies/Titles/R/Ring,_The_-_2002", "url": "http://www.rottentomatoes.com/m/ring/"} +{"d:Title": "All-Reviews.com - Ringmaster", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Ringmaster", "url": "http://www.all-reviews.com/videos-3/ringmaster.htm"} +{"d:Title": "Scotland the Movie Location Guide - Ring of Bright Water", "d:Description": "Scottish filming locations of Ring of Bright Water", "topic": "Top/Arts/Movies/Titles/R/Ring_of_Bright_Water", "url": "http://www.scotlandthemovie.com/movies/fring.html"} +{"d:Title": "IMDb - Ring of Bright Water (1969)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/R/Ring_of_Bright_Water", "url": "http://www.imdb.com/title/tt0064893/"} +{"d:Title": "Rotten Tomatoes - Ring of Fire", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/R/Ring_of_Fire", "url": "http://www.rottentomatoes.com/m/kidd_video_the_master_zapper/"} +{"d:Title": "IMDb: Ring of Fire (2000)", "d:Description": "Cast overview, synopsis, user reviews, and trailer.", "topic": "Top/Arts/Movies/Titles/R/Ring_of_Fire", "url": "http://www.imdb.com/title/tt0186202/"} +{"d:Title": "AllReaders.com Ring of Steel Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Ring_of_Steel", "url": "http://allreaders.com/movie-review-summary/ring-of-steel-4352"} +{"d:Title": "IMDb: Rio Bravo", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Rio_Bravo", "url": "http://www.imdb.com/title/tt0053221/"} +{"d:Title": "TV Guide Online: Rio Bravo", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rio_Bravo", "url": "http://www.tvguide.com/movies/rio-bravo/116039/"} +{"d:Title": "Ripper - Letter from Hell", "d:Description": "Arrow in the Head reviews says \"Ripper does it all wrong.\"", "topic": "Top/Arts/Movies/Titles/R/Ripper", "url": "http://joblo.com/arrow/ripper.htm"} +{"d:Title": "Ripper (2001)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Ripper", "url": "http://www.imdb.com/title/tt0268579/"} +{"d:Title": "IMDb - Rising Sun (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rising_Sun", "url": "http://www.imdb.com/title/tt0107969/"} +{"d:Title": "TV Guide Online: Rising Sun", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rising_Sun", "url": "http://www.tvguide.com/movies/rising-sun/129524/"} +{"d:Title": "IMDb - River Niger, The (1976)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/R/River_Niger,_The", "url": "http://www.imdb.com/title/tt0075145/"} +{"d:Title": "Cinebooks Database - River Niger, The", "d:Description": "Unsigned review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/R/River_Niger,_The", "url": "http://www.tvguide.com/movies/river-niger/116086/"} +{"d:Title": "IMDb - A River Runs Through It (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/River_Runs_Through_It,_A", "url": "http://www.imdb.com/title/tt0105265/"} +{"d:Title": "Roger Ebert - A River Runs Through It", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/River_Runs_Through_It,_A", "url": "http://www.rogerebert.com/reviews/a-river-runs-through-it-1992"} +{"d:Title": "TV Guide Online: River Runs Through It, A", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/River_Runs_Through_It,_A", "url": "http://www.tvguide.com/movies/river-runs/129170/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"The Road Home.\"", "topic": "Top/Arts/Movies/Titles/R/Road_Home,_The", "url": "http://www.haro-online.com/movies/road_home.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Road_Home,_The", "url": "http://www.metacritic.com/movie/the-road-home"} +{"d:Title": "AllReaders.com Review - The Road Home", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Road_Home,_The", "url": "http://allreaders.com/movie-review-summary/the-road-home-4713"} +{"d:Title": "HARO Online: The Road to Perdition", "d:Description": "Haro's mostly favorable review: \"'The Road to Perdition' feels at times like it has an inflated sense of self-importance. This should not discount the good work of everybody involved, but the work is good, not outstanding.\"", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.haro-online.com/movies/road_to_perdition.html"} +{"d:Title": "Paris Woman Journal: Road to Perdition", "d:Description": "Review by Brian W. Fairbanks.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.angelfire.com/oh2/writer/roadtoperdition.html"} +{"d:Title": "All-Reviews.com: Road to Perdition", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.all-reviews.com/videos-4/road-to-perdition.htm"} +{"d:Title": "Filmtracks: Road to Perdition", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.filmtracks.com/titles/road_perdition.html"} +{"d:Title": "Rotten Tomatoes: Road to Perdition", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.rottentomatoes.com/m/road_to_perdition/"} +{"d:Title": "IMDb: Road to Perdition (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.imdb.com/title/tt0257044/"} +{"d:Title": "Metacritic.com: Road to Perdition", "d:Description": "Quotes from and links to reviews of the film, with viewer comments and an overall score.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.metacritic.com/movie/road-to-perdition"} +{"d:Title": "Chicago Sun-Times: Road to Perdition", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.rogerebert.com/reviews/road-to-perdition-2002"} +{"d:Title": "Awesome Stories: The Road to Perdition", "d:Description": "Links and information on characters and subjects featured in the film, including Prohibition and the mob in Chicago.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "https://www.awesomestories.com/asset/view/Road-to-Perdition"} +{"d:Title": "Contactmusic.com: Road To Perdition", "d:Description": "Synopsis, video interviews, Venice Film Festival video, the graphic novel, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Perdition", "url": "http://www.contactmusic.com/pages/roadtoperditionx08x08x02"} +{"d:Title": "All-Reviews.com: The Road to Wellville", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Wellville,_The", "url": "http://www.all-reviews.com/videos-4/road-to-wellville.htm"} +{"d:Title": "AllReaders.com Review - The Road to Wellville", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Anthony Hopkins and Bridget Fonda.", "topic": "Top/Arts/Movies/Titles/R/Road_to_Wellville,_The", "url": "http://allreaders.com/movie-review-summary/the-road-wellville-6876"} +{"d:Title": "PopMatters - Road Trip", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://popmatters.com/film/reviews/r/road-trip.html"} +{"d:Title": "HARO Online - Road Trip", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://www.haro-online.com/movies/road_trip.html"} +{"d:Title": "All-Reviews.com: Road Trip (2000)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://www.all-reviews.com/videos/road-trip.htm"} +{"d:Title": "Rotten Tomatoes - Road Trip (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://www.rottentomatoes.com/m/1097259-road_trip/"} +{"d:Title": "Box Office Mojo - Road Trip", "d:Description": "Box office data for Road Trip since day one. Includes a comparison with other teen and raunchy comedies.", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://www.boxofficemojo.com/movies/?id=roadtrip.htm"} +{"d:Title": "A DVD Talk With Todd Phillips", "d:Description": "A talk with the director of Road Trip.", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://www.dvdtalk.com/interviews/todd_phillips_d.html"} +{"d:Title": "IMDb - Road Trip (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://www.imdb.com/title/tt0215129/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://www.metacritic.com/movie/road-trip"} +{"d:Title": "AllReaders.com Review - Road Trip", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Tom Green film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Road_Trip", "url": "http://allreaders.com/movie-review-summary/road-trip-3954"} +{"d:Title": "The Roaring Twenties (1939)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Roaring_Twenties,_The", "url": "http://www.imdb.com/title/tt0031867/"} +{"d:Title": "The Roaring Twenties (1939)", "d:Description": "Extensive review and background information by Tom Dirks.", "topic": "Top/Arts/Movies/Titles/R/Roaring_Twenties,_The", "url": "http://www.filmsite.org/roar.html"} +{"d:Title": "AllMovie: The Roaring Twenties", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/R/Roaring_Twenties,_The", "url": "http://www.allmovie.com/movie/the-roaring-twenties-v41622"} +{"d:Title": "IMDB: Robe, The (1953)", "d:Description": "Cast, crew, reviews, plot summary, comments, and discussion of the first motion picture in CinemaScope.", "topic": "Top/Arts/Movies/Titles/R/Robe,_The", "url": "http://www.imdb.com/title/tt0046247/"} +{"d:Title": "Robin Hood", "d:Description": "Historical inspirations for the mythical character.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies", "url": "http://www.missgien.net/misc/films/robin.html"} +{"d:Title": "All-Reviews.com on The Adventures of Robin Hood", "d:Description": "Two reviews of the classic action picture.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Adventures_of_Robin_Hood,_The", "url": "http://www.all-reviews.com/videos-3/robin-hood-1938.htm"} +{"d:Title": "The Adventures of Robin Hood (1938)", "d:Description": "Filmsite review of what it describes \"the Errol Flynn picture\".", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Adventures_of_Robin_Hood,_The", "url": "http://www.filmsite.org/adve.html"} +{"d:Title": "AllReaders.com Review Summary for The Adventures of Robin Hood", "d:Description": "Detailed analysis of the film, and list of titles with similar characteristics.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Adventures_of_Robin_Hood,_The", "url": "http://allreaders.com/movie-review-summary/the-adventures-of-robin-hood-4028"} +{"d:Title": "The Adventures of Robin Hood (1938)", "d:Description": "A salute to the 65th anniversary and special edition DVD of the film.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Adventures_of_Robin_Hood,_The", "url": "http://www.boldoutlaw.com/robspot/flynn-robinhood.html"} +{"d:Title": "Robin and Marian (1976)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_and_Marian", "url": "http://www.imdb.com/title/tt0075147/"} +{"d:Title": "AllReaders.com Review - Robin and Marian", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Sean Connery, Audrey Hepburn, and Robert Shaw.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_and_Marian", "url": "http://allreaders.com/movie-review-summary/robin-and-marian-4061"} +{"d:Title": "Silent Era: Robin Hood", "d:Description": "Review by Carl Bennett.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_1922", "url": "http://www.silentera.com/video/robinHoodHV.html"} +{"d:Title": "IMDb: Robin Hood (1922)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_1922", "url": "http://www.imdb.com/title/tt0013556/"} +{"d:Title": "The Movies of Mel Brooks: Robin Hood", "d:Description": "Cast, sound files, and pictures.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_Men_in_Tights", "url": "http://www.ladyofthecake.com/mel/hood/rhstory.htm"} +{"d:Title": "AllReaders.com Review - Robin Hood Men in Tights", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_Men_in_Tights", "url": "http://allreaders.com/movie-review-summary/robin-hood-men-in-tights-4089"} +{"d:Title": "MovieQuotes: Robin Hood Prince of Thieves", "d:Description": "User-contributed quotations from the film.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_Prince_of_Thieves", "url": "http://www.moviequotes.com/repository.cgi?pg=3&tt=91298"} +{"d:Title": "IMDb: Robin Hood: Prince of Thieves (1991)", "d:Description": "Synopsis, cast and crew, awards, trivia, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_Prince_of_Thieves", "url": "http://www.imdb.com/title/tt0102798/"} +{"d:Title": "AllReaders.com Robin Hood Prince of Thieves Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_Prince_of_Thieves", "url": "http://allreaders.com/movie-review-summary/robin-hood-prince-of-thieves-4270"} +{"d:Title": "Robin Hood Spotlight of the Month: Robin Hood - Prince of Thieves", "d:Description": "A critical analysis of the movie, with pictures.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Robin_Hood_-_Prince_of_Thieves", "url": "http://www.boldoutlaw.com/robspot/robin-hood-prince-of-thieves.html"} +{"d:Title": "The Story of Robin Hood and His Merrie Men", "d:Description": "Internet Movie database cast and crew, plot summary and review.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Story_of_Robin_Hood_and_His_Merrie_Men,_The", "url": "http://www.imdb.com/title/tt0045197/"} +{"d:Title": "AllMovie: The Story of Robin Hood", "d:Description": "Synopsis and credits.", "topic": "Top/Arts/Movies/Titles/R/Robin_Hood_Movies/Story_of_Robin_Hood_and_His_Merrie_Men,_The", "url": "http://www.allmovie.com/movie/the-story-of-robin-hood-v47101"} +{"d:Title": "MovieProp.com - Robocop", "d:Description": "Provides synopsis of three installments, general information about characters and news about related memorabilia.", "topic": "Top/Arts/Movies/Titles/R/Robocop_Series", "url": "http://www.movieprop.com/tvandmovie/robocop/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/R/Robocop_Series", "url": "http://www.fast-rewind.com/robocop.htm"} +{"d:Title": "All-Reviews.com - Robocop (1987)", "d:Description": "Review of the original film. Takes both a historical and production perspective.", "topic": "Top/Arts/Movies/Titles/R/Robocop_Series", "url": "http://www.all-reviews.com/videos-4/robocop.htm"} +{"d:Title": "Robocop", "d:Description": "Official site for the DVD trilogy with synopsis and multimedia.", "topic": "Top/Arts/Movies/Titles/R/Robocop_Series", "url": "http://robocop.com/"} +{"d:Title": "RoboCop Archive", "d:Description": "News, forum, pictures, comics, games, fan art, multimedia, and information database.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Robocop_Series", "url": "http://robocoparchive.com/"} +{"d:Title": "IMDb.com - Robocop (1987)", "d:Description": "Cast/crew information with user comments and ratings, and other background details.", "topic": "Top/Arts/Movies/Titles/R/Robocop_Series", "url": "http://www.imdb.com/title/tt0093870/"} +{"d:Title": "Robot Stories", "d:Description": "Official site with synopsis, cast and crew biographies, and multimedia.", "topic": "Top/Arts/Movies/Titles/R/Robot_Stories", "url": "http://www.robotstories.net/"} +{"d:Title": "IMDb: Robot Stories (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Robot_Stories", "url": "http://www.imdb.com/title/tt0301777/"} +{"d:Title": "Offoffoff Film: Robot Stories", "d:Description": "Review by Joshua Tanzer.", "topic": "Top/Arts/Movies/Titles/R/Robot_Stories", "url": "http://www.offoffoff.com/film/2003/robotstories.php"} +{"d:Title": "Scotland the Movie Location Guide - Rob Roy", "d:Description": "Scottish filming locations for Rob Roy", "topic": "Top/Arts/Movies/Titles/R/Rob_Roy", "url": "http://www.scotlandthemovie.com/movies/frobroy.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rob_Roy", "url": "http://www.all-reviews.com/videos-2/rob-roy.htm"} +{"d:Title": "IMDb - Rob Roy (1995)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/R/Rob_Roy", "url": "http://www.imdb.com/title/tt0114287/"} +{"d:Title": "Roger Ebert - Rob Roy", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Rob_Roy", "url": "http://www.rogerebert.com/reviews/rob-roy-1995"} +{"d:Title": "TV Guide Online: Rob Roy", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rob_Roy", "url": "http://www.tvguide.com/movies/rob-roy/130640/"} +{"d:Title": "All-Reviews.com - The Rock", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rock,_The", "url": "http://www.all-reviews.com/videos-2/rock.htm"} +{"d:Title": "IMDb: The Rock", "d:Description": "Cast, credits, reviews and additional information.", "topic": "Top/Arts/Movies/Titles/R/Rock,_The", "url": "http://imdb.com/title/tt0117500/"} +{"d:Title": "Allreaders.com Review - The Rock", "d:Description": "Analysis of the characters, plot, theme, and structure of the Sean Connery and Nicolas Cage film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Rock,_The", "url": "http://allreaders.com/movie-review-summary/the-rock-6326"} +{"d:Title": "Rotten Tomatoes: The Rocker", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Rocker,_The", "url": "http://www.rottentomatoes.com/m/10009055-rocker/"} +{"d:Title": "IGN: The Rocker", "d:Description": "News, photos, trailers, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Rocker,_The", "url": "http://www.ign.com/movies/the-rocker/theater-905867"} +{"d:Title": "ReelViews: The Rocker", "d:Description": "Review, by James Berardinelli: \"The Rocker is more disappointing than it is outright bad. One expects something a little fresher from Wilson.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Rocker,_The", "url": "http://www.reelviews.net/reelviews/rocker-the"} +{"d:Title": "TV Guide: The Rocker", "d:Description": "Review, by Ken Fox: \"The movie's is really good, clean fun that's fine for slightly older kids and a lot of fun for adults.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Rocker,_The", "url": "http://www.tvguide.com/movies/rocker/review/293503/"} +{"d:Title": "The Boston Globe: The Rocker", "d:Description": "Review, by Wesley Morris: \"A grubby little redemption comedy that in every way feels like a consignment-shop Jack Black vehicle.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Rocker,_The", "url": "http://archive.boston.com/lifestyle/food/articles/2008/08/20/rocker_has_heart_as_well_as_its_share_of_metal_headed_humor/?camp=pm"} +{"d:Title": "The Rocketeer", "d:Description": "Dedicated to Dave Stevens' aerial ace: Cliff Secord.", "topic": "Top/Arts/Movies/Titles/R/Rocketeer,_The", "url": "http://spot.colorado.edu/~dziadeck/rf/index.htm"} +{"d:Title": "The Rocketeer", "d:Description": "DVD Movie Guide look at the movie from the context of other comic book hero character films of the era.", "topic": "Top/Arts/Movies/Titles/R/Rocketeer,_The", "url": "http://www.dvdmg.com/rocketeer.shtml"} +{"d:Title": "Filmtracks: The Rocketeer", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/R/Rocketeer,_The", "url": "http://www.filmtracks.com/titles/rocketeer.html"} +{"d:Title": "A Nerd Who Gets a Life (And a Love) in Space", "d:Description": "Review with MPAA ratings advice and a list of the leading cast and crew. By Lawrence Van Gelder [New York Times].", "topic": "Top/Arts/Movies/Titles/R/Rocketman", "url": "http://www.nytimes.com/1997/10/10/movies/film-review-a-nerd-who-gets-a-life-and-a-love-in-space.html"} +{"d:Title": "IMDb - RocketMan (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rocketman", "url": "http://www.imdb.com/title/tt0120029/"} +{"d:Title": "TV Guide Online: Rocketman", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rocketman", "url": "http://www.tvguide.com/movies/rocketman/132332/"} +{"d:Title": "IMDb: Rocket Gibraltar (1988)", "d:Description": "Cast and crew list, trailer, user comments, and related links.", "topic": "Top/Arts/Movies/Titles/R/Rocket_Gibraltar", "url": "http://www.imdb.com/title/tt0096003/"} +{"d:Title": "TV Guide Online: Rocket Gibraltar", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/R/Rocket_Gibraltar", "url": "http://www.tvguide.com/movies/rocket-gibraltar/127849/"} +{"d:Title": "IMDb: Rocket Science (2007)", "d:Description": "Photo gallery, plot synopsis, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Rocket_Science", "url": "http://www.imdb.com/title/tt0477078/"} +{"d:Title": "Rocket Science", "d:Description": "Official site. Soundtrack, e-cards, downloads, photos, and videos.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Rocket_Science", "url": "http://www.rocketsciencemovie.com/"} +{"d:Title": "IGN Movies: Rocket Science", "d:Description": "Photos, videos, and a review by Todd Gilchrist (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Rocket_Science", "url": "http://www.ign.com/movies/rocket-science/theater-903840"} +{"d:Title": "Chicago Sun-Times: Rocket Science", "d:Description": "Review, by Roger Ebert: \"I suspect a lot of high school students will recognize elements of real life in the movie, and that the movie will build a following.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Rocket_Science", "url": "http://www.rogerebert.com/reviews/rocket-science-2007"} +{"d:Title": "MovieWeb: Rocket Science", "d:Description": "Reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/R/Rocket_Science", "url": "http://www.movieweb.com/movie/rocket-science"} +{"d:Title": "USA Today: Rocket Science", "d:Description": "Review, by Claudia Puig: \"It is smart, witty and blessedly unpredictable.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Rocket_Science", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-08-09-rocket-science_N.htm"} +{"d:Title": "MovieInsider: RocknRolla", "d:Description": "Offers cast and crew overview, production information, theatrical release details and plot summary.", "topic": "Top/Arts/Movies/Titles/R/RocknRolla", "url": "http://www.themovieinsider.com/m4130/rocknrolla/"} +{"d:Title": "Guy Ritchie Going for \"RocknRolla\"", "d:Description": "Snatch director Guy Ritchie is on duty to direct caper movie RocknRolla based on the script he himself wrote. By Flora Jean.", "topic": "Top/Arts/Movies/Titles/R/RocknRolla", "url": "http://www.aceshowbiz.com/news/view/00008968.html"} +{"d:Title": "MovieWeb.com: RocknRolla Review", "d:Description": "Everybody wants a piece of the pie and everybody get screwed on way or another in the process.", "topic": "Top/Arts/Movies/Titles/R/RocknRolla", "url": "http://www.movieweb.com/movie/rocknrolla/RE8eFa999YrObb"} +{"d:Title": "RocknRolla Gets Early Praise, Guy Ritchie Confirms Sequels", "d:Description": "Guy Ritchie's RocknRolla's domestic future may be uncertain, but we're hearing from across the pond today that the forthcoming film - arguably a true return to the kinetic complexity of Lock, Stock and Two Smoking Barrels and Snatch - is \"brilliant\" and \"absolute class.\" By Kevin Powers.", "topic": "Top/Arts/Movies/Titles/R/RocknRolla", "url": "http://www.firstshowing.net/2008/rocknrolla-gets-early-praise-guy-ritchie-confirms-sequels/"} +{"d:Title": "Guy Ritchie To Make Another Ensemble British Gangster Movie", "d:Description": "Richie has announced that he will return to the genre that launched his career. The filmmaker wrote a script titled RocknRolla, which he will direct under Joel Silver\u2019s Dark Castle Entertainment production company. By Peter Sciretta.", "topic": "Top/Arts/Movies/Titles/R/RocknRolla", "url": "http://www.slashfilm.com/guy-ritchie-to-make-another-ensemble-british-gangster-movie/"} +{"d:Title": "SlashFilm: New RocknRolla Photos", "d:Description": "Includes brief synopsis and on-set photos.", "topic": "Top/Arts/Movies/Titles/R/RocknRolla", "url": "http://www.slashfilm.com/new-rocknrolla-photos/"} +{"d:Title": "IMDb: Rockula", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rockula", "url": "http://www.imdb.com/title/tt0100506/"} +{"d:Title": "Rocky Horror Picture Show Official Fan Site", "d:Description": "Resource for fans of the film containing background information, fan club detail, memorabilia and show details.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The", "url": "http://www.rockyhorror.com/"} +{"d:Title": "TimeWarp", "d:Description": "Official UK Rocky Horror fan club. News and information, fan-run archive, music, media and casts are all included here.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The", "url": "http://www.timewarp.org.uk/"} +{"d:Title": "Ultimate Rocky Horror Links Page", "d:Description": "Categorized list of Rocky links.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The", "url": "http://www.midnightmadness.org/ultimatelink.htm"} +{"d:Title": "Rocky Horror Webring", "d:Description": "Links to Rocky Horror related web sites.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The", "url": "http://www.webring.org/hub?ring=rhps"} +{"d:Title": "Rocky Horror Purity Test", "d:Description": "Over two hundred questions with scoring, to determine how (im)pure you are with respect to Rocky Horror.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The", "url": "http://www.rockymusic.org/purity.php"} +{"d:Title": "IMDB: The Rocky Horror Picture Show (1975)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes and merchandising links.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The", "url": "http://www.imdb.com/title/tt0073629/"} +{"d:Title": "Un-Toucha-Touchables", "d:Description": "Convention presented by The Chicagoland Rocky Horror Area Performers in Chicago, Illinois.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Conventions", "url": "http://www.crhap.com/convention.htm"} +{"d:Title": "Convention Photos by Arthur Levesque", "d:Description": "Heavily captioned and annotated pictures from conventions starting with RockyCon 1998 in Las Vegas.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Conventions", "url": "http://www.dammitja.net/"} +{"d:Title": "UK Transylvania Conventions", "d:Description": "Various conventions organized by TimeWarp, the official UK Rocky Horror fan club. The first three conventions took place in 1992, 1994, and 1999.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Conventions", "url": "http://www.transylvania.timewarp.org.uk/"} +{"d:Title": "Rocky Horror Archives", "d:Description": "Offers photos of and detailed information about most Rocky conventions from Denver 1999 onward.", "priority": "1", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Conventions", "url": "http://www.rockyhorrorarchives.org/"} +{"d:Title": "Annotated Science Fiction/Double Feature", "d:Description": "Annotated lyrics which include detailed information about all the movies referenced in this song, as well as images from them.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages", "url": "http://www.rockymusic.org/sfdf/"} +{"d:Title": "Kim McFarland's Amazing Title-less Rocky Horror Page", "d:Description": "Original Rocky Horror cartoons, and cast photos.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages", "url": "http://www.c4vct.com/kym/rhps"} +{"d:Title": "Crazed Imaginations", "d:Description": "International fanzine featuring original and crossover RHPS fan fiction. No longer publishing actively, but back issues are available.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages", "url": "http://www.crazedimaginations.com/"} +{"d:Title": "Forces of Darkness", "d:Description": "Rocky Horror fan club, Switzerland.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages", "url": "http://www.rockyhorror.ch/"} +{"d:Title": "The Student's Guide to RHPS Costumes", "d:Description": "Information about creating costumes and wigs for tribute performances.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages", "url": "http://www.angelfire.com/film/ickle/costumes/rhpscostumes.html"} +{"d:Title": "Sins O' The Flesh", "d:Description": "Los Angeles, California. One of the longest-running Rocky Horror Picture Show casts - over 15 years.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.sinsotheflesh.org/"} +{"d:Title": "New York City Cast", "d:Description": "New York, New York", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.nycrhps.org/"} +{"d:Title": "Come Again Players", "d:Description": "South Hadley, Massachusetts", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.comeagainplayers.org/"} +{"d:Title": "Home of Happiness", "d:Description": "Montclair, New Jersey", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.homeofhappiness.com/"} +{"d:Title": "Full Body Cast", "d:Description": "Cambridge, Massachusetts", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.fullbodycast.org/"} +{"d:Title": "Teseracte Players", "d:Description": "Boston, Massachusetts", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.teseracte.com/"} +{"d:Title": "Formal Dress Optional", "d:Description": "Newark, Delaware. Weekly at the F and G Cinema Center.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.formaldressoptional.org/"} +{"d:Title": "Rich Weirdoes", "d:Description": "Orlando, Florida. Biographies, schedule, venue details, gallery, and links.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.richweirdoes.com/"} +{"d:Title": "Midnight Madness", "d:Description": "Chicago, Illinois. Upcoming events, biographies, and links.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.midnightmadness.org/"} +{"d:Title": "Sensual Daydreams", "d:Description": "Milwaukee, Wisconsin", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.sensualdaydreams.com/"} +{"d:Title": "Queerios!", "d:Description": "Austin, Texas. Offers galleries, forums, show location, details and links. Also has photos from conventions and road trips to other productions in Texas.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.austinrocky.org/"} +{"d:Title": "Los Bastardos", "d:Description": "Fort Worth, Texas. Featuring cast photographs and information, show details and Rocky Horror merchandise.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.losbastardos.com/"} +{"d:Title": "Low Down Cheap Little Punks", "d:Description": "Raleigh, North Carolina", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.lickitlips.com/"} +{"d:Title": "Simply His Servants", "d:Description": "Cleveland, Ohio", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.simplyhisservants.com/"} +{"d:Title": "Vicarious Theatre Company", "d:Description": "Seattle, Washington", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.thezenroom.com/"} +{"d:Title": "Transylvanian Electric Company", "d:Description": "New Orleans, Louisiana. Overview, cast profiles, and photographs.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://neworleansrocky.tripod.com/"} +{"d:Title": "Lowdown Cheap Little Punks", "d:Description": "Edmonton, Alberta, Canada. Features pictures, links, costume tips, and scripts.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://mmonroe.tripod.com/rocky.htm"} +{"d:Title": "Sins Of The Flesh", "d:Description": "Allentown, Pennsylvania. Calendar, cast members and pictures.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.angelfire.com/film/rhpsallentownpa/index.html"} +{"d:Title": "N9 Cast", "d:Description": "Marietta, Georgia. Atlanta's longest running and largest Rocky Horror Cast. Site covers times and locations, extensive gallery and cast information.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.greywolfe.com/n9"} +{"d:Title": "Frankie's Favorite Obsession", "d:Description": "Las Vegas, Nevada", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.rhpsvegas.com/"} +{"d:Title": "Friday Nite Specials", "d:Description": "Aberdeen, New Jersey", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.fridaynitespecials.com/"} +{"d:Title": "Chicagoland Rocky Horror Area Performers", "d:Description": "Links and news about shadowcasts in Illinois, Indiana, and Wisconsin.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.crhap.org/"} +{"d:Title": "Colorado's Elusive Ingredient", "d:Description": "Denver, Colorado", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.denverrockyhorror.com/"} +{"d:Title": "Completely Crazy", "d:Description": "Woodridge, Illinois", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.completelycrazy.net/"} +{"d:Title": "RKO Army", "d:Description": "Rhode Island", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.royrossi.com/RKO/"} +{"d:Title": "My Favorite Obsession", "d:Description": "Northern California. Includes show times and places, cast information, and photos.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://www.myfavoriteobsession.org/"} +{"d:Title": "Midnight Insanity", "d:Description": "Long Beach, California", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "https://www.facebook.com/WorldFamousMidnightInsanity"} +{"d:Title": "Little Morals", "d:Description": "Nashville, Tennessee", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "http://nashvillerockyhorrorpictureshow.wordpress.com/"} +{"d:Title": "Transylvanian Nipple Productions", "d:Description": "Philadelphia, Pennsylvania. Performing at several venues in the tri-state area. Includes pictures of shows and events, show dates, cast detail and guestbook.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Fan_Pages/Shadowcasts", "url": "https://www.facebook.com/PhillyRockyHorror"} +{"d:Title": "ChildCare Action Project", "d:Description": "Christian analysis of the movie's content, intended for parents.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Reviews", "url": "http://www.capalert.com/capreports/rockyhorror.htm"} +{"d:Title": "BadMovies.org", "d:Description": "Character overviews, plot review, sound files, images, and a video.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Horror_Picture_Show,_The/Reviews", "url": "http://www.badmovies.org/movies/rockyhorror/"} +{"d:Title": "Movieprop.com - Rocky Series", "d:Description": "Coverage of all movies of the series; including the themes, music, storylines, and opponents. Includes information about related memorabilia.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series", "url": "http://movieprop.com/tvandmovie/Rocky/index.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series", "url": "http://www.fast-rewind.com/rocky3.htm"} +{"d:Title": "Total Rocky.com", "d:Description": "Fan page with news, articles, multimedia, trivia, memorabilia, and forum.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series", "url": "http://www.totalrocky.com/"} +{"d:Title": "Greatest Films: Rocky (1976)", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series/Rocky", "url": "http://www.filmsite.org/rock.html"} +{"d:Title": "IMDb: Rocky", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series/Rocky", "url": "http://www.imdb.com/title/tt0075148/"} +{"d:Title": "Allreaders.com Review: Rocky", "d:Description": "Analysis of the Sylvester Stallone film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series/Rocky", "url": "http://allreaders.com/movie-review-summary/rocky-5780"} +{"d:Title": "TV Guide Online: Rocky", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series/Rocky", "url": "http://www.tvguide.com/movies/rocky/116206/"} +{"d:Title": "Rotten Tomatoes: Rocky IV", "d:Description": "Reviews, synopsis, mistakes, credits, posters, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series/Rocky_IV", "url": "http://www.rottentomatoes.com/m/rocky_iv/"} +{"d:Title": "IMDb: Rocky IV (1985)", "d:Description": "Plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series/Rocky_IV", "url": "http://www.imdb.com/title/tt0089927/"} +{"d:Title": "Chicago Sun-Times: Rocky IV", "d:Description": "Roger Ebert's review: \"It's been a long run, one hit movie after another, but \"Rocky IV\" is a last gasp, a film so predictable that viewing it is like watching one of those old sitcoms where the characters never change and the same situations turn up again and again\".", "topic": "Top/Arts/Movies/Titles/R/Rocky_Series/Rocky_IV", "url": "http://www.rogerebert.com/reviews/rocky-iv-1985"} +{"d:Title": "All-Reviews.com: Rock Star", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rock_Star", "url": "http://www.all-reviews.com/videos-3/rock-star.htm"} +{"d:Title": "HARO Online: Rock Star", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Rock_Star", "url": "http://www.haro-online.com/movies/rock_star.html"} +{"d:Title": "IMDb: Rock Star", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rock_Star", "url": "http://www.imdb.com/title/tt0202470/"} +{"d:Title": "Metacritic.com: Rock Star", "d:Description": "Quotes from and links to reviews of the film, with viewer comments and an overall score.", "topic": "Top/Arts/Movies/Titles/R/Rock_Star", "url": "http://www.metacritic.com/movie/rock-star"} +{"d:Title": "Chicago Sun-Times: Rock Star", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Rock_Star", "url": "http://www.rogerebert.com/reviews/rock-star-2001"} +{"d:Title": "Desson Howe: 'Roger&Me' (R)", "d:Description": "Review of the film, from the Washington Post.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/rogermerhowe_a0b24d.htm"} +{"d:Title": "Hal Hinson: 'Roger&Me' (R)", "d:Description": "Review of the opinionated and funny critique of the Reagan era. In the Washington Post.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/rogermerhinson_a0a906.htm"} +{"d:Title": "ABC-CLIO Video Rating Guide for Libraries: Roger&Me", "d:Description": "Review of the controversial film. Rated 5 stars, highly recommended.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://www.lib.berkeley.edu/MRC/Roger&Me.html"} +{"d:Title": "Movie Commentary by Scott Ventura: Roger&Me", "d:Description": "Short review of the documentary. Rated 4/5.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://feedmyego.com/movies/R/RogerMe1989.html"} +{"d:Title": "Dog Day Film Reviews: Roger&Me (1989)", "d:Description": "A \"regular joe\" who is also a film lover revisits Michael Moore's first movie.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://www.angelfire.com/ga/dogday/rogerandme.html"} +{"d:Title": "Multinational Monitor: Flint Follies", "d:Description": "Very positive review of \"Roger&Me\".", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://multinationalmonitor.org/hyper/issues/1990/01/gold.html"} +{"d:Title": "Chicago Reader: Roger&Me", "d:Description": "Capsule review of the black-comedy documentary, by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://onfilm.chicagoreader.com/movies/capsules/07860_ROGER_AND_ME.html"} +{"d:Title": "Deseret News: Roger&Me", "d:Description": "Chris Hicks reviews the documentary.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://www.deseretnews.com/article/700001561/Roger--Me.html"} +{"d:Title": "IMDb: Roger&Me (1989)", "d:Description": "Cast and credits, viewer comments and rating, plot summary, awards won.", "topic": "Top/Arts/Movies/Titles/R/Roger_&_Me", "url": "http://www.imdb.com/title/tt0098213/"} +{"d:Title": "IMDb - Rogue Force (aka Renegade Force)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rogue_Force", "url": "http://www.imdb.com/title/tt0126253/"} +{"d:Title": "IMDb: Rogue Trader", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rogue_Trader", "url": "http://www.imdb.com/title/tt0131566/"} +{"d:Title": "Rotten Tomatoes: Role Models", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Role_Models", "url": "http://www.rottentomatoes.com/m/role_models/"} +{"d:Title": "USA Today: Role Models", "d:Description": "Review, by Claudia Puig: \"Misfits and misanthropes are the heroes of Role Models, a surprisingly clever comedy.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Role_Models", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-11-06-role-models_N.htm"} +{"d:Title": "The New York Times: Role Models", "d:Description": "Review, by Stephen Holden: \"With the ferocity of a drill instructor and the boundless confidence of a self-help guru who combines psychobabble clich\u00e9s with embarrassingly explicit confessions, Ms. Lynch's Gayle redeems the movie from utter banality.\"", "topic": "Top/Arts/Movies/Titles/R/Role_Models", "url": "http://www.nytimes.com/2008/11/07/movies/07mode.html"} +{"d:Title": "IGN: Role Models", "d:Description": "Photos, videos, features, message board, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Role_Models", "url": "http://www.ign.com/movies/role-models/theater-14272857"} +{"d:Title": "ReelViews: Role Models", "d:Description": "Review, by James Berardinelli: \"It's disposable entertainment at its most extreme.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Role_Models", "url": "http://www.reelviews.net/reelviews/role-models"} +{"d:Title": "TV Guide: Role Models", "d:Description": "Review, by Cammila Albertson: \"It does consistently remain both totally nuts and totally hilarious.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Role_Models", "url": "http://www.tvguide.com/movies/role-models/review/295819/"} +{"d:Title": "WWWF Grudge Match: Rollerball Tournament", "d:Description": "Ten pop culture icons battle in the Rollerball arena.", "topic": "Top/Arts/Movies/Titles/R/Rollerball_-_1975", "url": "http://www.grudge-match.com/History/rollerball.shtml"} +{"d:Title": "IMDb: Rollerball (1975)", "d:Description": "Cast and credits, plot summaries, viewer comments and rating, awards and nominations, capsule review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/R/Rollerball_-_1975", "url": "http://www.imdb.com/title/tt0073631/"} +{"d:Title": "All-Reviews.com: Rollerball", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Rollerball_-_2001", "url": "http://www.all-reviews.com/videos-4/rollerball.htm"} +{"d:Title": "HARO Online: Rollerball", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Rollerball_-_2001", "url": "http://www.haro-online.com/movies/rollerball.html"} +{"d:Title": "Rotten Tomatoes: Rollerball", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Rollerball_-_2001", "url": "http://www.rottentomatoes.com/m/rollerball/"} +{"d:Title": "IMDb: Rollerball (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rollerball_-_2001", "url": "http://www.imdb.com/title/tt0246894/"} +{"d:Title": "DVD Talk: Rollerball", "d:Description": "Movie review, pictures, and DVD information.", "topic": "Top/Arts/Movies/Titles/R/Rollerball_-_2001", "url": "http://www.dvdtalk.com/reviews/3889/rollerball-se/"} +{"d:Title": "HARO Online - Romance", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/R/Romance_-_1999", "url": "http://www.haro-online.com/movies/romance.html"} +{"d:Title": "Catherine Breillat Opens Up About 'Romance,' Sex and Censorship", "d:Description": "Interview with the director by Saul Anton.", "topic": "Top/Arts/Movies/Titles/R/Romance_-_1999", "url": "http://www.indiewire.com/article/interview_catherine_breillat_opens_up_about_romance_sex_and_censorship/"} +{"d:Title": "Salon.com - Romance", "d:Description": "Ray Sawhill writes about Catherine Breillat's art-house sex movie.", "topic": "Top/Arts/Movies/Titles/R/Romance_-_1999", "url": "http://www.salon.com/1999/09/17/romance/"} +{"d:Title": "Guardian Unlimited Film - Romance Review", "d:Description": "Peter Bradshaw writes about Catherine Breillat's opaque essay in eroticism.", "topic": "Top/Arts/Movies/Titles/R/Romance_-_1999", "url": "http://www.theguardian.com/film/1999/oct/08/5"} +{"d:Title": "IMDb - Romance (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Romance_-_1999", "url": "http://www.imdb.com/title/tt0194314/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Romance_-_1999", "url": "http://www.metacritic.com/movie/romance"} +{"d:Title": "TV Guide Online: Romance", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Romance_-_1999", "url": "http://www.tvguide.com/movies/romance/134289/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information, and links.", "topic": "Top/Arts/Movies/Titles/R/Romancing_the_Stone", "url": "http://www.fast-rewind.com/romancingthestone.htm"} +{"d:Title": "All-Reviews.com: Romancing the Stone", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/R/Romancing_the_Stone", "url": "http://www.all-reviews.com/videos-4/romancing-the-stone.htm"} +{"d:Title": "Greatest Films: Roman Holiday", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/R/Roman_Holiday", "url": "http://www.filmsite.org/roma.html"} +{"d:Title": "Crazy for Cinema", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Roman_Holiday", "url": "http://crazy4cinema.com/Review/FilmsR/f_roman_holiday.html"} +{"d:Title": "About.com: Roman Holiday", "d:Description": "Ivana Redwine's favorable review of the Special Collector's Edition DVD.", "topic": "Top/Arts/Movies/Titles/R/Roman_Holiday", "url": "http://homevideo.about.com/library/weekly/aafpr120302.htm"} +{"d:Title": "Roman Holiday (1953)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/R/Roman_Holiday", "url": "http://www.imdb.com/title/tt0046250/"} +{"d:Title": "Allreaders Roman Holiday Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Roman_Holiday", "url": "http://allreaders.com/movie-review-summary/roman-holiday-5028"} +{"d:Title": "TV Guide Online: Roman Holiday", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Roman_Holiday", "url": "http://www.tvguide.com/movies/roman-holiday/116250/"} +{"d:Title": "IMDb - Romeo and Juliet (1968)", "d:Description": "Contains cast and crew details, soundtrack listing, awards, user commentaries, and trivia.", "topic": "Top/Arts/Movies/Titles/R/Romeo_and_Juliet_-_1968", "url": "http://www.imdb.com/title/tt0063518/"} +{"d:Title": "IMDb: Romeo + Juliet", "d:Description": "Film database including cast and crew details, filming locations, links and a message board.", "topic": "Top/Arts/Movies/Titles/R/Romeo_and_Juliet_-_1996", "url": "http://www.imdb.com/title/tt0117509/combined"} +{"d:Title": "The Washington Post: Romeo is Bleeding", "d:Description": "Rita Kempley's review: \"...Henkin has hard-boiled the action genre twice over with this lurid, ludicrous, appallingly violent, offhandedly moralistic spoof.\"", "topic": "Top/Arts/Movies/Titles/R/Romeo_Is_Bleeding", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/romeoisbleedingrkempley_a0a412.htm"} +{"d:Title": "Deseret News: Romeo is Bleeding", "d:Description": "Chris Hicks review of the movie, which he awards two stars.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Is_Bleeding", "url": "http://www.deseretnews.com/article/700001562/Romeo-is-Bleeding.html"} +{"d:Title": "IMDb: Romeo Is Bleeding", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Is_Bleeding", "url": "http://www.imdb.com/title/tt0107983/"} +{"d:Title": "Chicago Sun-Times: Romeo is Bleeding", "d:Description": "Roger Ebert describes the film as \"an exercise in overwrought style and overwritten melodrama, and proof that a great cast cannot save a film from self-destruction.\"", "topic": "Top/Arts/Movies/Titles/R/Romeo_Is_Bleeding", "url": "http://www.rogerebert.com/reviews/romeo-is-bleeding-1994"} +{"d:Title": "All-Reviews.com - Romeo Must Die", "d:Description": "Cast information and review.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.all-reviews.com/videos/romeo-must-die.htm"} +{"d:Title": "HARO Online: Romeo Must Die", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.haro-online.com/movies/romeo_must_die.html"} +{"d:Title": "PopMatters: Romeo Must Die", "d:Description": "Review and movie poster.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://popmatters.com/film/reviews/r/romeo-must-die.html"} +{"d:Title": "Review of Romeo Must Die", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.idlebrain.com/angreji/mr-romeo.html"} +{"d:Title": "Box Office Mojo - Romeo Must Die", "d:Description": "Box office data for Romeo Must Die since day one. Includes a box office comparison between Jet Li and fellow Hong Kong alumni.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.boxofficemojo.com/movies/?id=romeomustdie.htm"} +{"d:Title": "Rotten Tomatoes: Romeo Must Die", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.rottentomatoes.com/m/romeo_must_die/"} +{"d:Title": "IMDb: Romeo Must Die", "d:Description": "Cast, production credits, and review.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.imdb.com/title/tt0165929/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.metacritic.com/movie/romeo-must-die"} +{"d:Title": "Webfind.net Movie Guide", "d:Description": "Summary, cast, and links.", "topic": "Top/Arts/Movies/Titles/R/Romeo_Must_Die", "url": "http://www.filmbug.com/asin/B00003CXGG"} +{"d:Title": "Imdb - Romper Stomper", "d:Description": "Complete cast and crew list, plot outline, memorable quotes, trivia and links to external reviews.", "topic": "Top/Arts/Movies/Titles/R/Romper_Stomper", "url": "http://www.imdb.com/title/tt0105275/"} +{"d:Title": "Future Movies: Romper Stomper", "d:Description": "Matt McAllister's review of the special edition DVD.", "topic": "Top/Arts/Movies/Titles/R/Romper_Stomper", "url": "http://www.futuremovies.co.uk/reviews/romper-stomper/matt-mcallister"} +{"d:Title": "TV Guide Online: Romper Stomper", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Romper_Stomper", "url": "http://www.tvguide.com/movies/romper-stomper/129519/"} +{"d:Title": "Filming Locations for Romy&Michelle's High School Reunion", "d:Description": "Pictures, addresses, and map links.", "topic": "Top/Arts/Movies/Titles/R/Romy_and_Michele's_High_School_Reunion", "url": "http://www.seeing-stars.com/Locations/RomyAndMichelle1.shtml"} +{"d:Title": "Rotten Tomatoes: Romy and Michele's High School Reunion", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Romy_and_Michele's_High_School_Reunion", "url": "http://www.rottentomatoes.com/m/romy_and_micheles_high_school_reunion/"} +{"d:Title": "IMDb: Romy and Michele's High School Reunion (1997)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Romy_and_Michele's_High_School_Reunion", "url": "http://www.imdb.com/title/tt0120032/"} +{"d:Title": "Chicago Sun-Times: Romy and Michele's High School Reunion", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Romy_and_Michele's_High_School_Reunion", "url": "http://www.rogerebert.com/reviews/romy-and-micheles-high-school-reunion-1997"} +{"d:Title": "Lisa Mira Janeane", "d:Description": "For fans of Lisa Kudrow, Mira Sorvino and Janeane Garofalo.", "topic": "Top/Arts/Movies/Titles/R/Romy_and_Michele's_High_School_Reunion/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Lisa_Mira_Janeane/"} +{"d:Title": "Romy and Michelle", "d:Description": "Yahoo Groups mailing list.", "topic": "Top/Arts/Movies/Titles/R/Romy_and_Michele's_High_School_Reunion/Chats_and_Forums", "url": "http://groups.yahoo.com/group/romyandmichele/"} +{"d:Title": "Romy Michelle", "d:Description": "List for fans of the 1997 film; message archives available to members.", "topic": "Top/Arts/Movies/Titles/R/Romy_and_Michele's_High_School_Reunion/Chats_and_Forums", "url": "http://groups.yahoo.com/group/romy_michele/"} +{"d:Title": "Ronin - Cosmopolis", "d:Description": "the film by John Frankenheimer starring Robert DeNiro, Jean Reno, Stellan Skarsgard", "topic": "Top/Arts/Movies/Titles/R/Ronin", "url": "http://www.cosmopolis.ch/english/cosmo3/ronin.htm"} +{"d:Title": "All-Reviews.com- Ronin", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/R/Ronin", "url": "http://www.all-reviews.com/videos/ronin.htm"} +{"d:Title": "Ronin", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/R/Ronin", "url": "http://www.mgm.com/title_title.php?title_star=RONIN"} +{"d:Title": "IMDb: Ronin", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Ronin", "url": "http://www.imdb.com/title/tt0122690/"} +{"d:Title": "AllReaders.com Reviews: Ronin", "d:Description": "Analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/R/Ronin", "url": "http://allreaders.com/movie-review-summary/ronin-5929"} +{"d:Title": "TV Guide Online: Ronin", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Ronin", "url": "http://www.tvguide.com/movies/ronin/133279/"} +{"d:Title": "Best Baseball Movies", "d:Description": "Rating, comment.", "topic": "Top/Arts/Movies/Titles/R/Roogie's_Bump", "url": "http://www.sandlotshrink.com/moviebb.htm"} +{"d:Title": "IMDb - Roogie's Bump (1954)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/R/Roogie's_Bump", "url": "http://www.imdb.com/title/tt0047428/combined"} +{"d:Title": "TV Guide Online: Roogie's Bump", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/R/Roogie's_Bump", "url": "http://www.tvguide.com/movies/roogies-bump/116289/"} +{"d:Title": "HARO Online: The Rookie", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Rookie,_The_-_2002", "url": "http://www.haro-online.com/movies/rookie.html"} +{"d:Title": "All-Reviews.com: The Rookie", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Rookie,_The_-_2002", "url": "http://www.all-reviews.com/videos-4/rookie.htm"} +{"d:Title": "Reel Faces - The Rookie", "d:Description": "Explores the story of Jimmy Morris, on whose life the film was based. Includes photographs, trailer, a radio interview, and a review of the film.", "topic": "Top/Arts/Movies/Titles/R/Rookie,_The_-_2002", "url": "http://www.chasingthefrog.com/reelfaces/therookie.php"} +{"d:Title": "Rotten Tomatoes: The Rookie", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Rookie,_The_-_2002", "url": "http://www.rottentomatoes.com/m/1112954-rookie/"} +{"d:Title": "IMDb: The Rookie (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rookie,_The_-_2002", "url": "http://www.imdb.com/title/tt0265662/"} +{"d:Title": "Chicago Sun-Times: The Rookie", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Rookie,_The_-_2002", "url": "http://www.rogerebert.com/reviews/the-rookie-2002"} +{"d:Title": "Awesome Stories: The Rookie", "d:Description": "The story behind the movie, including links to pictures of the real people and places featured in the film.", "topic": "Top/Arts/Movies/Titles/R/Rookie,_The_-_2002", "url": "https://www.awesomestories.com/asset/view/Rookie-The"} +{"d:Title": "IMDb - Room at the Top", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Room_at_the_Top", "url": "http://www.imdb.com/title/tt0053226/"} +{"d:Title": "Britmovie - A Room with a View", "d:Description": "Cast list and very short review.", "topic": "Top/Arts/Movies/Titles/R/Room_with_a_View,_A", "url": "http://www.britmovie.co.uk/films/A-Room-with-a-View_1986/listType/"} +{"d:Title": "Rotten Tomatoes: A Room with a View", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Room_with_a_View,_A", "url": "http://www.rottentomatoes.com/m/room_with_a_view/"} +{"d:Title": "IMDb: A Room with a View", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Room_with_a_View,_A", "url": "http://www.imdb.com/title/tt0091867/"} +{"d:Title": "Roger Ebert - A Room with a View", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Room_with_a_View,_A", "url": "http://www.rogerebert.com/reviews/a-room-with-a-view-1986"} +{"d:Title": "AllReaders.com Review - A Room With A View", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Helena Bonham Carter film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Room_with_a_View,_A", "url": "http://allreaders.com/movie-review-summary/room-with-view-4062"} +{"d:Title": "Greatest Films: Rosemary's Baby", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/R/Rosemary's_Baby", "url": "http://www.filmsite.org/rosem.html"} +{"d:Title": "IMDb: Rosemary's Baby", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Rosemary's_Baby", "url": "http://www.imdb.com/title/tt0063522/"} +{"d:Title": "TV Guide Online: Rosemary's Baby", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rosemary's_Baby", "url": "http://www.tvguide.com/movies/rosemarys-baby/116337/"} +{"d:Title": "HARO Online: Rosenstrasse", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Rosenstrasse", "url": "http://www.haro-online.com/movies/rosenstrasse.html"} +{"d:Title": "IMDb: Rosenstrasse (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/R/Rosenstrasse", "url": "http://www.imdb.com/title/tt0298131/"} +{"d:Title": "Rotten Tomtoes: Rosenstrasse", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/R/Rosenstrasse", "url": "http://www.rottentomatoes.com/m/rosenstrasse/"} +{"d:Title": "All-Reviews.com - Rosewood", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rosewood", "url": "http://www.all-reviews.com/videos-2/rosewood.htm"} +{"d:Title": "All-Reviews.com- Rounders", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/R/Rounders", "url": "http://www.all-reviews.com/videos/rounders.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Rounders - Compiled reviews of the film", "topic": "Top/Arts/Movies/Titles/R/Rounders", "url": "http://www.rottentomatoes.com/m/1083659-rounders/"} +{"d:Title": "IMDb - Rounders (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rounders", "url": "http://www.imdb.com/title/tt0128442/"} +{"d:Title": "Roger Ebert - Rounders", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Rounders", "url": "http://www.rogerebert.com/reviews/rounders-1998"} +{"d:Title": "TV Guide Online: Rounders", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rounders", "url": "http://www.tvguide.com/movies/rounders/133515/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations. pictures, trivia, and links.", "topic": "Top/Arts/Movies/Titles/R/Roxanne", "url": "http://www.fast-rewind.com/roxanne.htm"} +{"d:Title": "All-Reviews.com: The Royal Tenenbaums", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Royal_Tenenbaums,_The", "url": "http://all-reviews.com/videos-4/royal-tenenbaums.htm"} +{"d:Title": "HARO Online: The Royal Tenenbaums", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/R/Royal_Tenenbaums,_The", "url": "http://www.haro-online.com/movies/royal_tenenbaums.html"} +{"d:Title": "About.com: The Royal Tenenbaums", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/R/Royal_Tenenbaums,_The", "url": "http://homevideo.about.com/library/weekly/aafpr071702.htm"} +{"d:Title": "IMDb: The Royal Tenenbaums", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Royal_Tenenbaums,_The", "url": "http://www.imdb.com/title/tt0265666/"} +{"d:Title": "Metacritic.com: The Royal Tenenbaums", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/R/Royal_Tenenbaums,_The", "url": "http://www.metacritic.com/movie/the-royal-tenenbaums"} +{"d:Title": "Chicago Sun-Times: The Royal Tenenbaums", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Royal_Tenenbaums,_The", "url": "http://www.rogerebert.com/reviews/the-royal-tenenbaums-2001"} +{"d:Title": "Rotten Tomatoes: The Royal Tenenbaums", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and multimedia.", "topic": "Top/Arts/Movies/Titles/R/Royal_Tenenbaums,_The", "url": "http://www.rottentomatoes.com/m/the_royal_tenenbaums/"} +{"d:Title": "IMDb - Royal Tramp [Lu ding ji] (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Royal_Tramp_Series/Royal_Tramp", "url": "http://www.imdb.com/title/tt0104770/"} +{"d:Title": "IMDb - Royal Tramp 2 (Lu ding ji II zhi shen long jiao) (1992)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Royal_Tramp_Series/Royal_Tramp_2", "url": "http://www.imdb.com/title/tt0104771/"} +{"d:Title": "American Memory - Early Motion Pictures, 1897-1920", "d:Description": "Summary, production notes, digitized film in QuickTime, MPEG, and RealMedia formats.", "topic": "Top/Arts/Movies/Titles/R/Rube_and_Mandy_at_Coney_Island", "url": "http://memory.loc.gov/cgi-bin/query/r?ammem/papr:@field(NUMBER+@band(edmp+2725s3))"} +{"d:Title": "IMDb - Rube and Mandy at Coney Island (1903)", "d:Description": "Director, production information.", "topic": "Top/Arts/Movies/Titles/R/Rube_and_Mandy_at_Coney_Island", "url": "http://www.imdb.com/title/tt0232547/combined"} +{"d:Title": "Deseret News: Rubin and Ed", "d:Description": "Review by Chris Hicks.", "topic": "Top/Arts/Movies/Titles/R/Rubin_and_Ed", "url": "http://www.deseretnews.com/article/700001571/Rubin-and-Ed.html"} +{"d:Title": "IMDb: Rubin and Ed (1991)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rubin_and_Ed", "url": "http://www.imdb.com/title/tt0102817/"} +{"d:Title": "IMDb - Ruby Bridges (1998)", "d:Description": "Cast/credits plus additional information about the made-for-television film", "topic": "Top/Arts/Movies/Titles/R/Ruby_Bridges", "url": "http://www.imdb.com/title/tt0138068/"} +{"d:Title": "Reel Faces: Sean Astin as Rudy Ruettiger", "d:Description": "Question and answers concerning the truth behind characters and scenes in the movie. Features pictures of the real Rudy.", "topic": "Top/Arts/Movies/Titles/R/Rudy", "url": "http://www.chasingthefrog.com/reelfaces/rudy.php"} +{"d:Title": "Rotten Tomatoes: Rudy - 1993", "d:Description": "Provides reviews from critics and audiences, ratings, plot synopsis, forum, articles, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/R/Rudy", "url": "http://www.rottentomatoes.com/m/rudy/"} +{"d:Title": "IMDb: Rudy - 1993", "d:Description": "Provides plot summary, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/R/Rudy", "url": "http://www.imdb.com/title/tt0108002/"} +{"d:Title": "Rotten Tomatoes: The Ruins", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Ruins,_The", "url": "http://www.rottentomatoes.com/m/the_ruins/"} +{"d:Title": "IGN Movies: The Ruins", "d:Description": "Photos, videos, news, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Ruins,_The", "url": "http://www.ign.com/movies/the-ruins/theater-41346"} +{"d:Title": "MovieWeb: The Ruins (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Ruins,_The", "url": "http://www.movieweb.com/movie/the-ruins"} +{"d:Title": "All-Reviews.com: The Rules of Attraction", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Attraction,_The", "url": "http://www.all-reviews.com/videos-4/rules-of-attraction.htm"} +{"d:Title": "HARO Online: Rules of Attraction", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Attraction,_The", "url": "http://www.haro-online.com/movies/rules_of_attraction.html"} +{"d:Title": "Rotten Tomatoes: The Rules of Attraction", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Attraction,_The", "url": "http://www.rottentomatoes.com/m/rules_of_attraction/"} +{"d:Title": "IMDb: The Rules of Attraction (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Attraction,_The", "url": "http://www.imdb.com/title/tt0292644/"} +{"d:Title": "Metacritic.com: Rules of Attraction", "d:Description": "Quotes from and links to reviews of the film, viewer comments, and an overall score.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Attraction,_The", "url": "http://www.metacritic.com/movie/the-rules-of-attraction"} +{"d:Title": "Chicago Sun-Times: The Rules of Attraction", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Attraction,_The", "url": "http://www.rogerebert.com/reviews/the-rules-of-attraction-2002"} +{"d:Title": "PopMatters - Rules of Engagement", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Engagement", "url": "http://popmatters.com/film/reviews/r/rules-of-engagement.html"} +{"d:Title": "All-Reviews.com - Rules of Engagement (2000)", "d:Description": "Collection of movie reviews and movie facts", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Engagement", "url": "http://www.all-reviews.com/videos/rulesofengagement.htm"} +{"d:Title": "Rotten Tomatoes - Rules of Engagement (2000)", "d:Description": "Reviews and reactions from critics.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Engagement", "url": "http://www.rottentomatoes.com/m/rules_of_engagement/"} +{"d:Title": "Box Office Mojo - Rules of Engagement", "d:Description": "Box office data for Rules of Engagement since day one. Includes a comparison with other military dramas.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Engagement", "url": "http://www.boxofficemojo.com/movies/?id=rulesofengagement.htm"} +{"d:Title": "IMDb - Rules of Engagement", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Engagement", "url": "http://www.imdb.com/title/tt0160797/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Rules_of_Engagement", "url": "http://www.metacritic.com/movie/rules-of-engagement"} +{"d:Title": "IMDB: Rumble Fish", "d:Description": "Cast, credits, reviews and additional information.", "topic": "Top/Arts/Movies/Titles/R/Rumble_Fish", "url": "http://imdb.com/title/tt0086216/"} +{"d:Title": "Rotten Tomatoes: Rumble Fish", "d:Description": "Synopsis, cast and crew information, and reviews.", "topic": "Top/Arts/Movies/Titles/R/Rumble_Fish", "url": "http://www.rottentomatoes.com/m/rumble_fish/"} +{"d:Title": "Rotten Tomatoes: Run, Fat Boy, Run", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Run,_Fat_Boy,_Run", "url": "http://www.rottentomatoes.com/m/10008621-run_fat_boy_run/"} +{"d:Title": "Moviefone: Run Fat Boy Run", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Run,_Fat_Boy,_Run", "url": "http://www.moviefone.com/movie/run-fat-boy-run/27011/main"} +{"d:Title": "IGN Movies: Run, Fat Boy, Run", "d:Description": "Photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/R/Run,_Fat_Boy,_Run", "url": "http://www.ign.com/movies/run-fatboy-run/theater-903000"} +{"d:Title": "USA Today: Run, Fat Boy, Run", "d:Description": "Review, by Claudia Puig: \"Amiable, consistently amusing and surprisingly affecting, it has the flavor of a Nick Hornby novel, with its focus on an overgrown boy struggling to grow up and be a man.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/R/Run,_Fat_Boy,_Run", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-03-27-run-fat-boy_N.htm"} +{"d:Title": "MovieWeb: Run, Fat Boy, Run (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Run,_Fat_Boy,_Run", "url": "http://www.movieweb.com/movie/run-fat-boy-run"} +{"d:Title": "All-Reviews.com - Runaway Bride", "d:Description": "Positive review of the film", "topic": "Top/Arts/Movies/Titles/R/Runaway_Bride_-_1999", "url": "http://www.all-reviews.com/videos/runawaybride.htm"} +{"d:Title": "PopMatters - Runaway Bride", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Runaway_Bride_-_1999", "url": "http://popmatters.com/film/reviews/r/runaway-bride.html"} +{"d:Title": "Runaway Bride - Rotten Tomatoes", "d:Description": "Links to reviews, trailers, articles, and news.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Bride_-_1999", "url": "http://www.rottentomatoes.com/m/runaway_bride/"} +{"d:Title": "IMDb: Runaway Bride", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Runaway_Bride_-_1999", "url": "http://www.imdb.com/title/tt0163187/"} +{"d:Title": "TV Guide Online: Runaway Bride, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Bride_-_1999", "url": "http://www.tvguide.com/movies/runaway-bride/134101/"} +{"d:Title": "HARO Online: Runaway Jury", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Jury", "url": "http://www.haro-online.com/movies/runaway_jury.html"} +{"d:Title": "Internet Movie Database: Runaway Jury", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, trivia, production and distribution information, news, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Jury", "url": "http://imdb.com/title/tt0313542/"} +{"d:Title": "All-Reviews.com - Runaway Jury", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Jury", "url": "http://www.all-reviews.com/videos-5/runaway-jury.htm"} +{"d:Title": "TEN Movies: Runaway Jury", "d:Description": "Review by Adnan Khan.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Jury", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1059"} +{"d:Title": "Rotten Tomatoes: Runaway Jury", "d:Description": "Links to reviews, plot description, photographs, trailers, and a public forum.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Jury", "url": "http://www.rottentomatoes.com/m/runaway_jury/"} +{"d:Title": "TV Guide Online: Runaway Train", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Runaway_Train", "url": "http://www.tvguide.com/movies/runaway-train/116433/"} +{"d:Title": "HARO Online: The Rundown", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/R/Rundown,_The", "url": "http://www.haro-online.com/movies/rundown.html"} +{"d:Title": "Internet Movie Database: Rundown, The", "d:Description": "Plot summary, cast and crew, user comments and ratings, reviews, production and distribution information, trailer, trivia, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/R/Rundown,_The", "url": "http://imdb.com/title/tt0327850/"} +{"d:Title": "All-Reviews.com - The Rundown", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rundown,_The", "url": "http://www.all-reviews.com/videos-5/rundown.htm"} +{"d:Title": "TEN Movies: The Rundown", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/R/Rundown,_The", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1024"} +{"d:Title": "Future Movies: The Rundown ( Welcome To The Jungle)", "d:Description": "DVD review by Matt McAllister.", "topic": "Top/Arts/Movies/Titles/R/Rundown,_The", "url": "http://www.futuremovies.co.uk/reviews/welcome-to-the-jungle/matt-mcallister"} +{"d:Title": "PopMatters - Running Free", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Running_Free", "url": "http://popmatters.com/film/reviews/r/running-free.html"} +{"d:Title": "Rotten Tomatoes - Running Free", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Running_Free", "url": "http://www.rottentomatoes.com/m/1097822-running_free/"} +{"d:Title": "IMDb - Running Free (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Running_Free", "url": "http://www.imdb.com/title/tt0173910/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Running_Free", "url": "http://www.metacritic.com/movie/running-free"} +{"d:Title": "TV Guide Online: Running Free (2000)", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Running_Free", "url": "http://www.tvguide.com/movies/running-free/134702/"} +{"d:Title": "WWWF Grudge Match Tournament of Champions III: The Running Man", "d:Description": "Parody in which seven pop culture icons compete against deadly X-Men stalkers on the futuristic game show.", "topic": "Top/Arts/Movies/Titles/R/Running_Man,_The", "url": "http://www.grudge-match.com/History/runningman.shtml"} +{"d:Title": "IMDb - The Running Man (1987)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/R/Running_Man,_The", "url": "http://www.imdb.com/title/tt0093894/"} +{"d:Title": "Roger Ebert - The Running Man", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Running_Man,_The", "url": "http://www.rogerebert.com/reviews/the-running-man-1987"} +{"d:Title": "TV Guide Online: Running Man, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Running_Man,_The", "url": "http://www.tvguide.com/movies/running-man/116440/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, pictures, filming locations, trivia, pictures, and links.", "topic": "Top/Arts/Movies/Titles/R/Running_Scared_-_1986", "url": "http://www.fast-rewind.com/runningscared.htm"} +{"d:Title": "IMDb: Running Scared (1986)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Running_Scared_-_1986", "url": "http://www.imdb.com/title/tt0091875/"} +{"d:Title": "Run Lola Run", "d:Description": "Several images from the movie intro, and links to some reviews.", "topic": "Top/Arts/Movies/Titles/R/Run_Lola_Run", "url": "http://web2.airmail.net/mattj/runlolarun/"} +{"d:Title": "HARO Online: Run Lola Run", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/R/Run_Lola_Run", "url": "http://www.haro-online.com/movies/run_lola_run.html"} +{"d:Title": "IMDb: Lola rennt", "d:Description": "Cast and credit information.", "topic": "Top/Arts/Movies/Titles/R/Run_Lola_Run", "url": "http://imdb.com/title/tt0130827/"} +{"d:Title": "Allreaders.com: Run Lola Run", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Run_Lola_Run", "url": "http://allreaders.com/movie-review-summary/run-lola-run-4166"} +{"d:Title": "Run Lola Run", "d:Description": "Official site with cast and filmmaker biographies, director's statement, photos and clips, soundtrack information, and links.", "topic": "Top/Arts/Movies/Titles/R/Run_Lola_Run", "url": "http://www.sonypictures.com/movies/runlolarun/"} +{"d:Title": "All-Reviews.com - The Run of the Country", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/R/Run_of_the_Country,_The", "url": "http://www.all-reviews.com/videos-3/run-of-the-country.htm"} +{"d:Title": "IMDb: The Run of the Country", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/R/Run_of_the_Country,_The", "url": "http://www.imdb.com/title/tt0114307/"} +{"d:Title": "Crazy for Cinema:", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/R/Rushmore", "url": "http://crazy4cinema.com/Review/FilmsR/f_rushmore.html"} +{"d:Title": "The Rushmore Academy", "d:Description": "Movie reviews, character descriptions, cast and crew biographies, articles, soundtrack information, statistics, trivia, script, multimedia, and message boards.", "topic": "Top/Arts/Movies/Titles/R/Rushmore", "url": "http://rushmore.shootangle.com/"} +{"d:Title": "IMDb: Rushmore", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/R/Rushmore", "url": "http://www.imdb.com/title/tt0128445/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Rushmore", "url": "http://www.metacritic.com/movie/rushmore"} +{"d:Title": "TV Guide Online: Rushmore", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rushmore", "url": "http://www.tvguide.com/movies/rushmore/133155/"} +{"d:Title": "All-Reviews.com- Rush Hour", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour", "url": "http://www.all-reviews.com/videos/rush-hour.htm"} +{"d:Title": "Cosmopolis - Rush Hour", "d:Description": "Review of the film starring Jackie Chan and Chris Tucker.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour", "url": "http://www.cosmopolis.ch/english/cosmo5/rushhour.htm"} +{"d:Title": "IMDb - Rush Hour (1998)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour", "url": "http://www.imdb.com/title/tt0120812/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Rush Hour - Compiled reviews of the film from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour", "url": "http://www.rottentomatoes.com/m/rush_hour/"} +{"d:Title": "Roger Ebert - Rush Hour", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour", "url": "http://www.rogerebert.com/reviews/rush-hour-1998"} +{"d:Title": "AllReaders.com Review - Rush Hour", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Jackie Chan film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour", "url": "http://allreaders.com/movie-review-summary/rush-hour-6530"} +{"d:Title": "TV Guide Online: Rush Hour", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour", "url": "http://www.tvguide.com/movies/rush-hour/133406/"} +{"d:Title": "All-Reviews.com - Rush Hour 2", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_2", "url": "http://www.all-reviews.com/videos-3/rush-hour-two.htm"} +{"d:Title": "HARO Online - Rush Hour 2", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_2", "url": "http://www.haro-online.com/movies/rush_hour2.html"} +{"d:Title": "Filmtracks: Rush Hour 2", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_2", "url": "http://www.filmtracks.com/titles/rush_hour2.html"} +{"d:Title": "Rotten Tomatoes - Rush Hour 2", "d:Description": "Pictures, trailers, and links to previews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_2", "url": "http://www.rottentomatoes.com/m/rush_hour_2/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_2", "url": "http://www.metacritic.com/movie/rush-hour-2"} +{"d:Title": "Rotten Tomatoes: Rush Hour 3", "d:Description": "Synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_3", "url": "http://www.rottentomatoes.com/m/rush_hour_3/"} +{"d:Title": "IMDb: Rush Hour 3 (2007)", "d:Description": "Plot outline, cast list, trivia, quotes, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_3", "url": "http://www.imdb.com/title/tt0293564/"} +{"d:Title": "IGN Movies: Rush Hour 3", "d:Description": "News, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_3", "url": "http://www.ign.com/movies/rush-hour-3/theater-490233"} +{"d:Title": "Movie Forums: Rush Hour 3", "d:Description": "Review by Chris Bowyer. \"The movie's sporadic attempts at genuine drama are not completely inept, but are so half-hearted that they don't do the movie any good.\"", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_3", "url": "http://www.movieforums.com/reviews/1081596-rush-hour-3.html"} +{"d:Title": "MovieWeb: Rush Hour 3 (2007)", "d:Description": "Summary, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_3", "url": "http://www.movieweb.com/movie/rush-hour-3"} +{"d:Title": "Yahoo! Movies", "d:Description": "Details, trailers, cast and credits, preview, photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/R/Rush_Hour_Series/Rush_Hour_3", "url": "https://www.yahoo.com/movies/film/rush-hour-3"} +{"d:Title": "Russians Are Coming! The Russians Are Coming!, The (1966)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/R/Russians_Are_Coming,_The_Russians_Are_Coming,_The", "url": "http://www.imdb.com/title/tt0060921/"} +{"d:Title": "TV Guide Online: Russians Are Coming, The Russians Are Coming, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/R/Russians_Are_Coming,_The_Russians_Are_Coming,_The", "url": "http://www.tvguide.com/movies/russians-coming-russians-coming/116449/"} +{"d:Title": "Ryan's Daughter - Behind the Scenes", "d:Description": "Actor Chris Jones describes a scene from Ryan's Daughter.", "topic": "Top/Arts/Movies/Titles/R/Ryan's_Daughter", "url": "http://www.cinetropic.com/jones/ryan/scene.html"} +{"d:Title": "IMDb: Ryan's Daughter", "d:Description": "Synopsis, cast and crew, awards, trivia and viewer comments.", "topic": "Top/Arts/Movies/Titles/R/Ryan's_Daughter", "url": "http://www.imdb.com/title/tt0066319/"} +{"d:Title": "S*P*Y*S (1974)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/S.P.Y.S", "url": "http://www.imdb.com/title/tt0072107/"} +{"d:Title": "Internet Movie Database: S.W.A.T.", "d:Description": "Plot summary, cast and crew, reviews, user comments and ratings, quotations, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/S.W.A.T.", "url": "http://imdb.com/title/tt0257076/"} +{"d:Title": "All-Reviews.com - S.W.A.T.", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/S.W.A.T.", "url": "http://all-reviews.com/videos-5/swat.htm"} +{"d:Title": "Filming Locations of S.W.A.T.", "d:Description": "Information on Los Angeles filming locations, including pictures, addresses and map links.", "topic": "Top/Arts/Movies/Titles/S/S.W.A.T.", "url": "http://www.seeing-stars.com/Locations/Swat1.shtml"} +{"d:Title": "S1M0NE", "d:Description": "Official site for the comedy film.", "topic": "Top/Arts/Movies/Titles/S/S1m0ne", "url": "http://www.s1m0ne.com/"} +{"d:Title": "HARO Online: Simone", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/S1m0ne", "url": "http://www.haro-online.com/movies/simone.html"} +{"d:Title": "All-Reviews.com: Simone", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/S1m0ne", "url": "http://www.all-reviews.com/videos-4/simone.htm"} +{"d:Title": "Rotten Tomatoes - Simone", "d:Description": "Reviews, general information, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/S/S1m0ne", "url": "http://www.rottentomatoes.com/m/s1m0ne/"} +{"d:Title": "IMDb.com - Simone", "d:Description": "Cast/crew information, user comments and ratings, with other background details.", "topic": "Top/Arts/Movies/Titles/S/S1m0ne", "url": "http://www.imdb.com/title/tt0258153/"} +{"d:Title": "Metacritic.com: Simone", "d:Description": "Quotes from and links to review, as well as user comments and an overall score.", "topic": "Top/Arts/Movies/Titles/S/S1m0ne", "url": "http://www.metacritic.com/movie/s1m0ne"} +{"d:Title": "IMDb: Sabotage (1939)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sabotage_-_1939", "url": "http://www.imdb.com/title/tt0031887/"} +{"d:Title": "TV Guide Online: Sabotage", "d:Description": "Includes a very brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sabotage_-_1939", "url": "http://www.tvguide.com/movies/sabotage/116481/"} +{"d:Title": "All-Reviews.com: Sabrina (1954)", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1954", "url": "http://www.all-reviews.com/videos-4/sabrina.htm"} +{"d:Title": "Sabrina (1954)", "d:Description": "Cast list, awards, reviews, plot summary, quotes, trivia, and other details from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1954", "url": "http://www.imdb.com/title/tt0047437/"} +{"d:Title": "Allreaders Review - Sabrina", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Audrey Hepburn and Humphrey Bogart.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1954", "url": "http://allreaders.com/movie-review-summary/sabrina-1954-5842"} +{"d:Title": "TV Guide Online: Sabrina", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1954", "url": "http://www.tvguide.com/movies/sabrina/116487/"} +{"d:Title": "All-Reviews.com - Sabrina", "d:Description": "Multiple reviewers rate this film 2 1/2 stars, includes cast/crew information.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1995", "url": "http://www.all-reviews.com/videos-2/sabrina.htm"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1995", "url": "http://crazy4cinema.com/Review/FilmsS/f_sabrina.html"} +{"d:Title": "RollingStone.com - Sabrina", "d:Description": "Includes a review, synopsis, photos, cast and crew, message boards, trivia.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1995", "url": "http://www.rollingstone.com/movies/reviews/sabrina-19951215"} +{"d:Title": "IMDb: Sabrina (1995)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1995", "url": "http://www.imdb.com/title/tt0114319/"} +{"d:Title": "TV Guide Online: Sabrina", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sabrina_-_1995", "url": "http://www.tvguide.com/movies/sabrina/131063/"} +{"d:Title": "HARO Online: The Saddest Music in the World", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Saddest_Music_in_the_World,_The", "url": "http://www.haro-online.com/movies/saddest_music.html"} +{"d:Title": "IMDb: The Saddest Music in the World", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Saddest_Music_in_the_World,_The", "url": "http://www.imdb.com/title/tt0366996/"} +{"d:Title": "Rotten Tomatoes: The Saddest Music in the World", "d:Description": "Reviews, trailer, and forum.", "topic": "Top/Arts/Movies/Titles/S/Saddest_Music_in_the_World,_The", "url": "http://www.rottentomatoes.com/m/saddest_music_in_the_world/"} +{"d:Title": "Chicago Sun-Times: The Saddest Music in the World", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Saddest_Music_in_the_World,_The", "url": "http://www.rogerebert.com/reviews/the-saddest-music-in-the-world-2004"} +{"d:Title": "IMDb: The Sadness of Sex (1995)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sadness_of_Sex,_The", "url": "http://www.imdb.com/title/tt0114322/"} +{"d:Title": "IMDb.com - Safe", "d:Description": "Cast/crew information, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Safe", "url": "http://www.imdb.com/title/tt0114323/"} +{"d:Title": "TV Guide Online - Safe", "d:Description": "4 star review, cast/crew information, production notes, pictures and other related information.", "topic": "Top/Arts/Movies/Titles/S/Safe", "url": "http://www.tvguide.com/movies/safe/130402/"} +{"d:Title": "Rotten Tomatoes - Safety Patrol", "d:Description": "Reviews of the movie from the nation's top critics and audiences. Also includes movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Safety_Patrol", "url": "http://www.rottentomatoes.com/m/safety_patrol/"} +{"d:Title": "IMDb.com - Safety Patrol", "d:Description": "Cast/crew, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Safety_Patrol", "url": "http://www.imdb.com/title/tt0152265/"} +{"d:Title": "Rotten Tomatoes: Safe Men", "d:Description": "Review links, synopsis, credits, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Safe_Men", "url": "http://www.rottentomatoes.com/m/safe_men/"} +{"d:Title": "IMDb: Safe Men (1998)", "d:Description": "Photo gallery, plot summary, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Safe_Men", "url": "http://www.imdb.com/title/tt0120813/"} +{"d:Title": "RogerEbert.com", "d:Description": "Review, by Roger Ebert: \"...this is basically the kind of freshman project that should go straight to cable or video, clearing the way for its young writer-director, John Hamburg, to get on with his career.\" [Score: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Safe_Men", "url": "http://www.rogerebert.com/reviews/safe-men-1998"} +{"d:Title": "TV Guide Online: Safe Men", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Safe_Men", "url": "http://www.tvguide.com/movies/safe-men/133407/"} +{"d:Title": "Rotten Tomatoes: Sahara", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sahara_-_1943", "url": "http://www.rottentomatoes.com/m/1018069-sahara/"} +{"d:Title": "AllReaders.com: Sahara", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sahara_-_1943", "url": "http://allreaders.com/movie-review-summary/sahara-6513"} +{"d:Title": "IMDb: Sahara (1943)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sahara_-_1943", "url": "http://www.imdb.com/title/tt0036323/"} +{"d:Title": "IMDb: Sahara (2005)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/S/Sahara_-_2005", "url": "http://www.imdb.com/title/tt0318649/"} +{"d:Title": "Rotten Tomatoes: Sahara", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, posters, photos, box office data, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Sahara_-_2005", "url": "http://www.rottentomatoes.com/m/1144274-sahara/"} +{"d:Title": "RogerEbert.com", "d:Description": "Review, by Roger Ebert: \"I enjoyed this movie on its own dumb level.\" [Score: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Sahara_-_2005", "url": "http://www.rogerebert.com/reviews/sahara-2005"} +{"d:Title": "IMDb: Clara Hakedosha", "d:Description": "The Internet Movie Database includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/S/Saint_Clara", "url": "http://www.imdb.com/title/tt0115909/"} +{"d:Title": "TV Guide Online: Saint Clara", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Saint_Clara", "url": "http://www.tvguide.com/movies/saint-clara/132036/"} +{"d:Title": "The Saint Films", "d:Description": "Covers the movie career of that 20th Century Robin Hood, Simon Templar.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies", "url": "http://www.saint.org/stfilms.htm"} +{"d:Title": "The Saint Strikes Back", "d:Description": "Cast, crew and review of the first film starring George Sanders in the role.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies", "url": "http://www.imdb.com/title/tt0031890/"} +{"d:Title": "The Saint in New York", "d:Description": "Cast, crew and review of the first film appearance of the character, this time played by Louis Hayward.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies", "url": "http://www.imdb.com/title/tt0030709/"} +{"d:Title": "All-Reviews.com - The Saint", "d:Description": "Multiple reviewers rate this film 1 1/2 stars.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies/Saint,_The_-_1997", "url": "http://www.all-reviews.com/videos-2/saint.htm"} +{"d:Title": "CNN - 'The Saint' full of history - April 7, 1997", "d:Description": "Article about the history of The Saint and the 1997 film version.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies/Saint,_The_-_1997", "url": "http://www.cnn.com/SHOWBIZ/9704/07/saint.set.secrets/index.html"} +{"d:Title": "CNN - 'The Saint' is variation on old theme for Kilmer - April 7, 1997", "d:Description": "Information about the making of the film.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies/Saint,_The_-_1997", "url": "http://www.cnn.com/SHOWBIZ/9704/07/the.saint/"} +{"d:Title": "IMDb: The Saint", "d:Description": "The Internet Movie Database includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies/Saint,_The_-_1997", "url": "http://www.imdb.com/title/tt0120053/"} +{"d:Title": "Chicago Sun-Times: The Saint", "d:Description": "Film review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies/Saint,_The_-_1997", "url": "http://www.rogerebert.com/reviews/the-saint-1997"} +{"d:Title": "TV Guide Online: Saint, The", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Saint_Movies/Saint,_The_-_1997", "url": "http://www.tvguide.com/movies/saint/132074/"} +{"d:Title": "BBC Films Review: Sal\u00f2, or the 120 Days of Sodom", "d:Description": "A critical review by Michael Thomson.", "topic": "Top/Arts/Movies/Titles/S/Salo", "url": "http://www.bbc.co.uk/films/2000/10/17/salo_1975_review.shtml"} +{"d:Title": "Neil Young's Film Lounge: Salo", "d:Description": "Young calls the film a \"horrifying comedy\", and gives it 7 out of 10.", "topic": "Top/Arts/Movies/Titles/S/Salo", "url": "http://www.jigsawlounge.co.uk/film/salo.html"} +{"d:Title": "Sex Gore Mutants: Salo, or The 120 Days of Sodom", "d:Description": "M.C. Thomason reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Salo", "url": "http://www.sexgoremutants.co.uk/frame1.html"} +{"d:Title": "DVD Times: Sal\u00f2 - The 120 Days of Sodom", "d:Description": "In his review, Michael Brooke praises the film but criticizes the DVD transfer.", "topic": "Top/Arts/Movies/Titles/S/Salo", "url": "http://www.dvdtimes.co.uk/content.php?contentid=4373"} +{"d:Title": "IMDb: Sal\u00f2 o le 120 giornate di Sodoma", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Salo", "url": "http://www.imdb.com/title/tt0073650/"} +{"d:Title": "IMDb: Salome's Last Dance", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Salome's_Last_Dance", "url": "http://www.imdb.com/title/tt0096029/"} +{"d:Title": "Chicago Sun-Times: Salome's Last Dance", "d:Description": "Synopsis and comment.", "topic": "Top/Arts/Movies/Titles/S/Salome's_Last_Dance", "url": "http://www.rogerebert.com/reviews/salomes-last-dance-1988"} +{"d:Title": "IMDb.com - Saltmen of Tibet, The", "d:Description": "Cast/crew information, with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Saltmen_of_Tibet,_The", "url": "http://www.imdb.com/title/tt0120056/"} +{"d:Title": "All-Reviews.com: The Salton Sea", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Salton_Sea,_The", "url": "http://www.all-reviews.com/videos-4/salton-sea.htm"} +{"d:Title": "Rotten Tomatoes - Salton Sea, The", "d:Description": "Reviews, general information, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/S/Salton_Sea,_The", "url": "http://www.rottentomatoes.com/m/salton_sea/"} +{"d:Title": "IMDb.com - Salton Sea, The", "d:Description": "Cast/crew information with user comments, ratings, and other background details.", "topic": "Top/Arts/Movies/Titles/S/Salton_Sea,_The", "url": "http://www.imdb.com/title/tt0235737/"} +{"d:Title": "Metacritic.com: The Salton Sea", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Salton_Sea,_The", "url": "http://www.metacritic.com/movie/the-salton-sea"} +{"d:Title": "Rotten Tomatoes - Saltwater", "d:Description": "Links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/S/Saltwater", "url": "http://www.rottentomatoes.com/m/saltwater/"} +{"d:Title": "IMDb.com - Saltwater", "d:Description": "Cast/crew information with user comment and ratings.", "topic": "Top/Arts/Movies/Titles/S/Saltwater", "url": "http://www.imdb.com/title/tt0224050/"} +{"d:Title": "HARO Online: The Same River Twice", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Same_River_Twice,_The", "url": "http://www.haro-online.com/movies/same_river_twice.html"} +{"d:Title": "Minnesota Public Radio: The Same River Twice", "d:Description": "Review by Euan Kerr.", "topic": "Top/Arts/Movies/Titles/S/Same_River_Twice,_The", "url": "http://news.minnesota.publicradio.org/features/2004/01/14_kerre_sameriver/"} +{"d:Title": "The Same River Twice", "d:Description": "Rob Moss's film about river guides at the Grand Canyon. Film information, screening details, press, and filmmaker profile.", "topic": "Top/Arts/Movies/Titles/S/Same_River_Twice,_The", "url": "http://samerivertwice.com/"} +{"d:Title": "Rotten Tomatoes: The Same River Twice", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/S/Same_River_Twice,_The", "url": "http://www.rottentomatoes.com/m/1125396-same_river_twice/"} +{"d:Title": "Rotten Tomatoes - Sammyville", "d:Description": "Reviews of the movie from the nation's top critics and audiences. Also includes movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sammyville", "url": "http://www.rottentomatoes.com/m/sammyville/"} +{"d:Title": "IMDb.com - Sammyville", "d:Description": "Cast/crew information with user comments, ratings, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Sammyville", "url": "http://www.imdb.com/title/tt0189977/"} +{"d:Title": "IMDb: The Sand Pebbles (1966)", "d:Description": "Credits and information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Sand_Pebbles,_The", "url": "http://www.imdb.com/title/tt0060934/"} +{"d:Title": "AllReaders.com Review - The Sand Pebbles", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Steve McQueen and Candace Bergen film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sand_Pebbles,_The", "url": "http://allreaders.com/movie-review-summary/the-sand-pebbles-4109"} +{"d:Title": "TV Guide Online: Sand Pebbles, The", "d:Description": "Includes a detailed review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sand_Pebbles,_The", "url": "http://www.tvguide.com/movies/sand-pebbles/116744/"} +{"d:Title": "HARO Online: Sand Storm", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Sand_Storm,_The_-_2000", "url": "http://www.haro-online.com/movies/sand_storm.html"} +{"d:Title": "IMDb: Sandstorm, The", "d:Description": "Cast and crew, reviews, user ratings and comments, production details, awards, and links.", "topic": "Top/Arts/Movies/Titles/S/Sand_Storm,_The_-_2000", "url": "http://www.imdb.com/title/tt0280465/"} +{"d:Title": "TV Guide Online: Sand Trap", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sand_Trap", "url": "http://www.tvguide.com/movies/sand-trap/133343/"} +{"d:Title": "IMDb: Sanjuro (1962)", "d:Description": "Cast, production credits, and information.", "topic": "Top/Arts/Movies/Titles/S/Sanjuro", "url": "http://www.imdb.com/title/tt0056443/combined"} +{"d:Title": "TV Guide Online: Sanjuro", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sanjuro", "url": "http://www.tvguide.com/movies/sanjuro/116762/"} +{"d:Title": "Rotten Tomatoes: The Santa Clause", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Santa_Clause_Series/Santa_Clause,_The", "url": "http://www.rottentomatoes.com/m/santa_clause/"} +{"d:Title": "IMDb: The Santa Clause (1994)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Santa_Clause_Series/Santa_Clause,_The", "url": "http://www.imdb.com/title/tt0111070/"} +{"d:Title": "Allreaders.com: The Santa Clause", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Santa_Clause_Series/Santa_Clause,_The", "url": "http://allreaders.com/movie-review-summary/the-santa-clause-3874"} +{"d:Title": "IMDb: Santa Clause 2, The", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, quotations, trivia, production and distribution information, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/Santa_Clause_Series/Santa_Clause_2,_The", "url": "http://imdb.com/title/tt0304669/"} +{"d:Title": "All-Reviews.com - The Santa Clause 2", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Santa_Clause_Series/Santa_Clause_2,_The", "url": "http://all-reviews.com/videos-5/santa-clause2.htm"} +{"d:Title": "HARO Online: The Santa Clause 2", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Santa_Clause_Series/Santa_Clause_2,_The", "url": "http://www.haro-online.com/movies/santa_clause2.html"} +{"d:Title": "IMDb - The Saragossa Manuscript", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Saragossa_Manuscript,_The", "url": "http://www.imdb.com/title/tt0059643/"} +{"d:Title": "Internet Movie Database: Satan's School for Girls (2000)", "d:Description": "Synopsis, cast and crew, user comments and ratings, trivia, related films, media, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/S/Satan's_School_for_Girls_-_2000", "url": "http://imdb.com/title/tt0203088/"} +{"d:Title": "BBC Films: Saturday Night and Sunday Morning", "d:Description": "Film review,and cast and crew links.", "topic": "Top/Arts/Movies/Titles/S/Saturday_Night_and_Sunday_Morning", "url": "http://www.bbc.co.uk/films/2002/10/02/saturday_night_and_sunday_morning_1960_review.shtml"} +{"d:Title": "IMDb: Saturday Night and Sunday Morning", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Saturday_Night_and_Sunday_Morning", "url": "http://www.imdb.com/title/tt0054269/"} +{"d:Title": "TV Guide Online: Saturday Night Fever", "d:Description": "Includes a detailed review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Saturday_Night_Fever", "url": "http://movies.tvguide.com/saturday-night-fever/116821"} +{"d:Title": "IMDb - Saturday Night Fever (1977)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Saturday_Night_Fever", "url": "http://www.imdb.com/title/tt0076666/"} +{"d:Title": "Chicago Sun-Times: Saturday Night Fever", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Saturday_Night_Fever", "url": "http://www.rogerebert.com/reviews/saturday-night-fever-1977"} +{"d:Title": "Rotten Tomatoes: Saturday the 14th", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Saturday_the_14th", "url": "http://www.rottentomatoes.com/m/saturday_the_14th/"} +{"d:Title": "IMDb: Saturday the 14th", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Saturday_the_14th", "url": "http://www.imdb.com/title/tt0083033/"} +{"d:Title": "Rotten Tomatoes: Savage Messiah", "d:Description": "Synopsis, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Savage_Messiah", "url": "http://www.rottentomatoes.com/m/1045833-savage_messiah/"} +{"d:Title": "IMDb: Savage Messiah (1972)", "d:Description": "Plot summary, cast and crew information, awards, trivia, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Savage_Messiah", "url": "http://www.imdb.com/title/tt0069225/"} +{"d:Title": "HARO Online: Saved!", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Saved", "url": "http://www.haro-online.com/movies/saved.html"} +{"d:Title": "IMDb: Saved! (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Saved", "url": "http://www.imdb.com/title/tt0332375/"} +{"d:Title": "Rotten Tomatoes: Saved!", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Saved", "url": "http://www.rottentomatoes.com/m/saved/"} +{"d:Title": "Chicago Sun-Times: Saved!", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Saved", "url": "http://www.rogerebert.com/reviews/saved-2004"} +{"d:Title": "Rotten Tomatoes: Save Me", "d:Description": "Synopsis, cast members, photos, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Save_Me", "url": "http://www.rottentomatoes.com/m/10007923-save_me/"} +{"d:Title": "Village Voice: Save Me", "d:Description": "Review, by Chuck Wilson: \"Though Save Me never quite surmounts its schematic scenario, scene by scene, beat by beat, it's pretty damn good.\"", "topic": "Top/Arts/Movies/Titles/S/Save_Me", "url": "http://www.villagevoice.com/film/save-me-6390716"} +{"d:Title": "TV Guide: Save Me", "d:Description": "Review, by Ken Fox: \"A solid performance by the often underrated Judith Light lends considerable weight to this melodrama's controversial subject.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Save_Me", "url": "http://www.tvguide.com/movies/save/review/295227/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"Save the Last Dance.\" Rates it 'OK'", "topic": "Top/Arts/Movies/Titles/S/Save_the_Last_Dance", "url": "http://www.haro-online.com/movies/save_the_last_dance.html"} +{"d:Title": "All-Reviews.com - Save the Last Dance", "d:Description": "Collection of reviews of the movie, overall rating: 2 stars.", "topic": "Top/Arts/Movies/Titles/S/Save_the_Last_Dance", "url": "http://www.all-reviews.com/videos-2/save-the-last-dance.htm"} +{"d:Title": "Rotten Tomatoes - Save The Last Dance", "d:Description": "Reviews, news, trailers, and forums.", "topic": "Top/Arts/Movies/Titles/S/Save_the_Last_Dance", "url": "http://www.rottentomatoes.com/m/save_the_last_dance/"} +{"d:Title": "IMDb.com - Save the Last Dance (2001)", "d:Description": "Cast/crew information, with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Save_the_Last_Dance", "url": "http://www.imdb.com/title/tt0206275/"} +{"d:Title": "AllReaders.com Review - Save The Last Dance", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Save_the_Last_Dance", "url": "http://allreaders.com/movie-review-summary/save-the-last-dance-4660"} +{"d:Title": "Metacritic.com: Save The Last Dance", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Save_the_Last_Dance", "url": "http://www.metacritic.com/movie/save-the-last-dance"} +{"d:Title": "PopMatters - Saving Grace", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Saving_Grace", "url": "http://popmatters.com/film/reviews/s/saving-grace.html"} +{"d:Title": "HARO Online - Saving Grace", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Saving_Grace", "url": "http://www.haro-online.com/movies/saving_grace.html"} +{"d:Title": "Rotten Tomatoes - Saving Grace (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Saving_Grace", "url": "http://www.rottentomatoes.com/m/1099492-saving_grace/"} +{"d:Title": "IMDb - Saving Grace (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Saving_Grace", "url": "http://www.imdb.com/title/tt0195234/"} +{"d:Title": "Metacritic.com - Saving Grace", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Saving_Grace", "url": "http://www.metacritic.com/movie/saving-grace"} +{"d:Title": "TV Guide Online: Saving Grace", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Saving_Grace", "url": "http://www.tvguide.com/movies/saving-grace/116864/"} +{"d:Title": "Saving Private Ryan Website", "d:Description": "Sells special in-depth issue from After the Battle.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www.rzm.com/pvt.ryan/"} +{"d:Title": "Saving Private Ryan", "d:Description": "A tribute to the awe inspiring film and the D-day invasion.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www80.homepage.villanova.edu/james.dion/"} +{"d:Title": "Saving Private Ryan Artwork and WW 2 Memorial", "d:Description": "Sketches of characters from the movie.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www.angelfire.com/art/sprsketches/index.html"} +{"d:Title": "All-Reviews.com: Saving Private Ryan", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www.all-reviews.com/videos/saving-private-ryan.htm"} +{"d:Title": "Saving Private Ryan Online Encyclopedia", "d:Description": "Alphabetized and searchable index of real and fictional events, people, and places related to the film [requires Flash].", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www.sproe.com/"} +{"d:Title": "IMDb: Saving Private Ryan", "d:Description": "Cast, credits, and production information pertaining to the film.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www.imdb.com/title/tt0120815/"} +{"d:Title": "Metacritic.com: Saving Private Ryan", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www.metacritic.com/movie/saving-private-ryan"} +{"d:Title": "AllReaders.com Saving Private Ryan Spotlight", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Tom Hanks film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://allreaders.com/movie-review-summary/saving-private-ryan-6674"} +{"d:Title": "TV Guide Online: Saving Private Ryan", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Saving_Private_Ryan", "url": "http://www.tvguide.com/movies/saving-private-ryan/133062/"} +{"d:Title": "PopMatters - Saving Silverman", "d:Description": "Detailed review and analysis of the film along with nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/S/Saving_Silverman", "url": "http://popmatters.com/film/reviews/s/saving-silverman.html"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie. Rates it 'not that good'.", "topic": "Top/Arts/Movies/Titles/S/Saving_Silverman", "url": "http://www.haro-online.com/movies/saving_silverman.html"} +{"d:Title": "All-Reviews.com - Saving Silverman", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Saving_Silverman", "url": "http://www.all-reviews.com/videos-2/saving-silverman.htm"} +{"d:Title": "Rotten Tomatoes - Saving Silverman", "d:Description": "Reviews, synopsis, photos, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Saving_Silverman", "url": "http://www.rottentomatoes.com/m/saving_silverman/"} +{"d:Title": "Metacritic.com: Saving Silverman", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Saving_Silverman", "url": "http://www.metacritic.com/movie/saving-silverman"} +{"d:Title": "TV Guide Online - Saving Silverman", "d:Description": "Review of the film, with cast/crew and other background information.", "topic": "Top/Arts/Movies/Titles/S/Saving_Silverman", "url": "http://www.tvguide.com/movies/saving-silverman/135087/"} +{"d:Title": "Rotten Tomatoes - Savior", "d:Description": "Reviews of the movie from the nation's top critics and audiences. Also includes movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Savior", "url": "http://www.rottentomatoes.com/m/savior/"} +{"d:Title": "IMDb.com - Savior (1998)", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Savior", "url": "http://www.imdb.com/title/tt0120070/"} +{"d:Title": "TV Guide Online: Savior", "d:Description": "3 1/2 star review of the film with cast/crew information, production notes, and other related information.", "topic": "Top/Arts/Movies/Titles/S/Savior", "url": "http://www.tvguide.com/movies/savior/133797/"} +{"d:Title": "SAWfreaks", "d:Description": "Offers wallpapers, artworks and a overview of all movies and there unique traps. Also offers a own online game with highscore and a guestbook.", "topic": "Top/Arts/Movies/Titles/S/Saw_Movies", "url": "http://www.sawfreaks.com/"} +{"d:Title": "IMDb: Saw II (2005)", "d:Description": "Photo gallery, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Saw_Movies/Saw_II", "url": "http://www.imdb.com/title/tt0432348/"} +{"d:Title": "IGN Movies: Saw II", "d:Description": "News, videos, photos, preview, and a review by Jeff Otto.", "topic": "Top/Arts/Movies/Titles/S/Saw_Movies/Saw_II", "url": "http://www.ign.com/movies/saw-ii/theater-40536"} +{"d:Title": "Rotten Tomatoes: Saw V", "d:Description": "Synopsis, cast members, photos, showtimes, trailer, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Saw_Movies/Saw_V", "url": "http://www.rottentomatoes.com/m/saw_v/"} +{"d:Title": "MovieWeb.com: Saw V (2008)", "d:Description": "Summary, synopsis, reviews, photos, videos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/S/Saw_Movies/Saw_V", "url": "http://www.movieweb.com/movie/saw-v"} +{"d:Title": "IGN: Saw V", "d:Description": "Photos, videos, news, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Saw_Movies/Saw_V", "url": "http://www.ign.com/movies/saw-v/theater-893540"} +{"d:Title": "Rod's Say Anything Page", "d:Description": "film analysis, reviews, quotes, pictures, where are they now?", "topic": "Top/Arts/Movies/Titles/S/Say_Anything", "url": "http://www.angelfire.com/nj/antechrist/sayanything1.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, pictures, trivia, pictures, and links.", "topic": "Top/Arts/Movies/Titles/S/Say_Anything", "url": "http://www.fast-rewind.com/say_any.htm"} +{"d:Title": "IMDb - Say Anything... (1989)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Say_Anything", "url": "http://www.imdb.com/title/tt0098258/"} +{"d:Title": "Allreaders Review - Say Anything", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Say_Anything", "url": "http://allreaders.com/movie-review-summary/say-anything-6042"} +{"d:Title": "TV Guide Online: Say Anything", "d:Description": "Includes a detailed review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Say_Anything", "url": "http://www.tvguide.com/movies/say/127667/"} +{"d:Title": "All-Reviews.com - Say It Isn't So", "d:Description": "Multiple reviews of the movie, overall rating 2 stars.", "topic": "Top/Arts/Movies/Titles/S/Say_It_Isn't_So", "url": "http://www.all-reviews.com/videos-2/say-it-isnt-so.htm"} +{"d:Title": "HARO Online -Say It Isn't So", "d:Description": "Haro reviews \"Say It Isn't So.\" Rates it 'Pretty Bad'.", "topic": "Top/Arts/Movies/Titles/S/Say_It_Isn't_So", "url": "http://www.haro-online.com/movies/say_it_isnt_so.html"} +{"d:Title": "Rotten Tomatoes: Say It Isn't So", "d:Description": "Reviews, synopsis, trailers, and other information.", "topic": "Top/Arts/Movies/Titles/S/Say_It_Isn't_So", "url": "http://www.rottentomatoes.com/m/say_it_isnt_so/"} +{"d:Title": "IMDb: Say It Isn't So", "d:Description": "Cast/crew, user comments, ratings, and other background information.", "topic": "Top/Arts/Movies/Titles/S/Say_It_Isn't_So", "url": "http://www.imdb.com/title/tt0239949/"} +{"d:Title": "Allreaders.com: Say It Isn't So Review", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/S/Say_It_Isn't_So", "url": "http://allreaders.com/movie-review-summary/say-it-isn-t-so-5428"} +{"d:Title": "Metacritic.com: Say It Isn't So", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Say_It_Isn't_So", "url": "http://www.metacritic.com/movie/say-it-isnt-so"} +{"d:Title": "MMI Review: Scandal", "d:Description": "Review by Monica Sullivan.", "topic": "Top/Arts/Movies/Titles/S/Scandal", "url": "http://www.shoestring.org/mmi_revs/scandal.html"} +{"d:Title": "Rotten Tomatoes: Scandal", "d:Description": "Reviews, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/S/Scandal", "url": "http://www.rottentomatoes.com/m/1018310-scandal/"} +{"d:Title": "IMDb: Scandal", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Scandal", "url": "http://www.imdb.com/title/tt0098260/"} +{"d:Title": "A Scandal in Paris", "d:Description": "DVD review by Eric Henderson saying \"duplicity and enforced morality is rendered here with a light farcical touch.\"", "topic": "Top/Arts/Movies/Titles/S/Scandal_in_Paris,_A", "url": "http://www.slantmagazine.com/dvd/dvd_review.asp?ID=188"} +{"d:Title": "Douglas Sirk's A Scandal in Paris", "d:Description": "Video review by Gary Morris for Images Journal.", "topic": "Top/Arts/Movies/Titles/S/Scandal_in_Paris,_A", "url": "http://www.imagesjournal.com/issue09/reviews/scandalparis/"} +{"d:Title": "A Scandal in Paris (1946)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Scandal_in_Paris,_A", "url": "http://www.imdb.com/title/tt0038908/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Review, trivia, filming locations and music information.", "topic": "Top/Arts/Movies/Titles/S/Scanners", "url": "http://www.fast-rewind.com/scanners.htm"} +{"d:Title": "Scanners (1981)", "d:Description": "Cast and crew, reviews, plot summary, comments and discussion, taglines, trailers, and links from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Scanners", "url": "http://www.imdb.com/title/tt0081455/"} +{"d:Title": "IMDb: Scarface (1932)", "d:Description": "The Internet Movie Database includes plot outline, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/S/Scarface_-_1932", "url": "http://www.imdb.com/title/tt0023427/"} +{"d:Title": "TV Guide Online: Scarface", "d:Description": "Includes a detailed review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Scarface_-_1932", "url": "http://www.tvguide.com/movies/scarface/116900/"} +{"d:Title": "80's Movies Rewind: Scarface", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/S/Scarface_-_1983", "url": "http://www.fast-rewind.com/scarface.htm"} +{"d:Title": "Scarface", "d:Description": "Includes trivia, soundtrack, wallpaper, audio quotes, video promotional and production notes.", "topic": "Top/Arts/Movies/Titles/S/Scarface_-_1983", "url": "http://www.scarface1983.com/"} +{"d:Title": "IMDb.com - Scarface (1983)", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Scarface_-_1983", "url": "http://www.imdb.com/title/tt0086250/"} +{"d:Title": "TV Guide Online: Scarface", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Scarface_-_1983", "url": "http://www.tvguide.com/movies/scarface/116910/"} +{"d:Title": "Decent Films: The Scarlet and the Black", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_and_the_Black,_The_-_1983", "url": "http://decentfilms.com/reviews/scarletandtheblack"} +{"d:Title": "IMDb: The Scarlet and the Black (1983)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_and_the_Black,_The_-_1983", "url": "http://www.imdb.com/title/tt0086251/"} +{"d:Title": "Allreaders.com: The Scarlet and the Black", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_and_the_Black,_The_-_1983", "url": "http://allreaders.com/movie-review-summary/the-scarlet-and-the-black-1983-4276"} +{"d:Title": "All-Reviews.com: The Scarlet Letter (1995)", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_Letter,_The", "url": "http://www.all-reviews.com/videos-5/scarlet-letter.htm"} +{"d:Title": "IMDb: The Scarlet Letter", "d:Description": "The Internet Movie Database includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_Letter,_The", "url": "http://www.imdb.com/title/tt0114345/"} +{"d:Title": "TV Guide Online: Scarlet Letter, The (1995)", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_Letter,_The", "url": "http://www.tvguide.com/movies/scarlet-letter/130379/"} +{"d:Title": "Blakeney Manor Home of The Scarlet Pimpernel", "d:Description": "Fanpage with historical background, picture galleries for several movie versions, book information, and links.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_Pimpernel,_The_-_1982", "url": "http://www.blakeneymanor.com/"} +{"d:Title": "IMDb: The Scarlet Pimpernel(1982)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_Pimpernel,_The_-_1982", "url": "http://www.imdb.com/title/tt0084637/"} +{"d:Title": "Allreaders.com: The Scarlet Pimpernel", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Scarlet_Pimpernel,_The_-_1982", "url": "http://allreaders.com/movie-review-summary/the-scarlet-pimpernel-1982-tv-4204"} +{"d:Title": "Rotten Tomatoes: Scarred City", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Scarred_City", "url": "http://www.rottentomatoes.com/m/scarred_city/"} +{"d:Title": "IMDb: Scarred City (1998)", "d:Description": "Cast and crew information, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Scarred_City", "url": "http://www.imdb.com/title/tt0126016/"} +{"d:Title": "Scary Movie 4 - Haro Online", "d:Description": "Offers review, related links and photos.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series", "url": "http://www.haro-online.com/movies/scary_movie4.html"} +{"d:Title": "Scary Movie", "d:Description": "Contains plot, analysis, cast and crew, photos and news.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.angelfire.com/pa/martinitime/smhome.html"} +{"d:Title": "HARO Online: Scary Movie", "d:Description": "Review of the film including pictures.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.haro-online.com/movies/scary_movie.html"} +{"d:Title": "PopMatters - Scary Movie", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://popmatters.com/film/reviews/s/scary-movie.html"} +{"d:Title": "All-Reviews.com - Scary Movie", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.all-reviews.com/videos/scary-movie.htm"} +{"d:Title": "Rotten Tomatoes - Scary Movie", "d:Description": "Reviews, synopsis, media predictions, trailer, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.rottentomatoes.com/m/scary_movie/"} +{"d:Title": "Box Office Mojo - Scary Movie", "d:Description": "Daily box office for Scary Movie. Includes a comparison with the movies it spoofs and other gross-out comedies.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.boxofficemojo.com/movies/?id=scarymovie.htm"} +{"d:Title": "It's Not Quite a Scream And Not Quite a Spoof", "d:Description": "Critique with ratings advice and a list of the movie's principal cast and crew [New York Times].", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.nytimes.com/2000/07/07/movies/film-review-it-s-not-quite-a-scream-and-not-quite-a-spoof.html"} +{"d:Title": "Metacritic.com - Scary Movie", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.metacritic.com/movie/scary-movie"} +{"d:Title": "IMDb: Scary Movie", "d:Description": "Cast and credits, photo gallery, overview, information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://www.imdb.com/title/tt0175142/"} +{"d:Title": "Allreaders.com: Scary Movie", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie", "url": "http://allreaders.com/movie-review-summary/scary-movie-4452"} +{"d:Title": "HARO Online", "d:Description": "Includes a review with screenshots.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_2", "url": "http://www.haro-online.com/movies/scary_movie2.html"} +{"d:Title": "All-Reviews.com: Scary Movie 2", "d:Description": "Offers a collection of reviews.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_2", "url": "http://www.all-reviews.com/videos-3/scary-movie2.htm"} +{"d:Title": "CineClub.com: Scary Movie 2", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_2", "url": "http://www.cineclub.de/e/movies/2001/scary_movie_2.html"} +{"d:Title": "Rotten Tomatoes: Scary Movie 2", "d:Description": "Contains multimedia, forums, and links.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_2", "url": "http://www.rottentomatoes.com/m/scary_movie_2/"} +{"d:Title": "Metacritic.com: Scary Movie 2", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_2", "url": "http://www.metacritic.com/movie/scary-movie-2"} +{"d:Title": "Allreaders.com: Scary Movie 2", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_2", "url": "http://allreaders.com/movie-review-summary/scary-movie-2-6890"} +{"d:Title": "HARO Online: Scary Movie 3", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_3", "url": "http://www.haro-online.com/movies/scary_movie3.html"} +{"d:Title": "KillerMovies: Scary Movie 3: Episode I - Lord of the Brooms", "d:Description": "News articles, cast and crew, synopsis, production information, and links.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_3", "url": "http://www.killermovies.com/s/scarymovie3/"} +{"d:Title": "All-Reviews.com - Scary Movie 3", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_3", "url": "http://www.all-reviews.com/videos-5/scary-movie-three.htm"} +{"d:Title": "Rotten Tomatoes: Scary Movie 3", "d:Description": "Links to reviews, photographs, trailers, news articles, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_3", "url": "http://www.rottentomatoes.com/m/scary_movie_3/"} +{"d:Title": "Internet Movie Database: Scary Movie 3", "d:Description": "Film information, cast and crew, user comments and ratings, reviews, media, and links.", "topic": "Top/Arts/Movies/Titles/S/Scary_Movie_Series/Scary_Movie_3", "url": "http://www.imdb.com/title/tt0306047/"} +{"d:Title": "All-Reviews.com - Scent of a Woman", "d:Description": "Review of the film by Pedro Sena.", "topic": "Top/Arts/Movies/Titles/S/Scent_of_a_Woman", "url": "http://all-reviews.com/videos-4/scent-of-a-woman.htm"} +{"d:Title": "IMDb: The Schedule (1997)", "d:Description": "Cast information, synopsis, comments, and related details.", "topic": "Top/Arts/Movies/Titles/S/Schedule,_The", "url": "http://www.imdb.com/title/tt0145456/"} +{"d:Title": "The Greatest Films", "d:Description": "Review and run-through of the entire movie.", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List", "url": "http://www.filmsite.org/schi.html"} +{"d:Title": "Schindler`s List", "d:Description": "The story of Oscar Schindler, retold with pictures from the movie and movie quotes.", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List", "url": "http://www.auschwitz.dk/listen.html"} +{"d:Title": "Reel Faces: Schindler's List", "d:Description": "Explores the real people behind the movie, as well as a short biography of Schindler and FAQs on his life.", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List", "url": "http://www.chasingthefrog.com/reelfaces/schindlerslist.php"} +{"d:Title": "IMDb - Schindler's List (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List", "url": "http://www.imdb.com/title/tt0108052/"} +{"d:Title": "TV Guide Online: Schindler's List", "d:Description": "Review, synopsis, and credits.", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List", "url": "http://www.tvguide.com/movies/schindlers-list/129513/"} +{"d:Title": "The Unconscious Hypocrisy of \"Schindler's List\"", "d:Description": "\"[The movie] The Boat Is Full has more to do with ordinary human nature, and the meaning of the Holocaust, than Schindler's List.\"", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List/Reviews", "url": "http://www.spectacle.org/195/schindl.html"} +{"d:Title": "All-Reviews.com - Schindler's List", "d:Description": "4 star review of the movie", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List/Reviews", "url": "http://www.all-reviews.com/videos-2/schindlers-list.htm"} +{"d:Title": "Filmtracks: Schindler's List", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List/Reviews", "url": "http://www.filmtracks.com/titles/schindler.html"} +{"d:Title": "Teach with Movies - Schindler's List", "d:Description": "Review/Overview of the film as a learning tool", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List/Reviews", "url": "http://www.teachwithmovies.org/guides/schindlers-list.html"} +{"d:Title": "Metacritic.com: Schindler's List", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List/Reviews", "url": "http://www.metacritic.com/movie/schindlers-list"} +{"d:Title": "RogerEbert.com: Schindler's List", "d:Description": "Four-star review.", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List/Reviews", "url": "http://www.rogerebert.com/reviews/schindlers-list-1993"} +{"d:Title": "The Flick Filosopher", "d:Description": "\"Schindler's List is a devastating film.\"", "topic": "Top/Arts/Movies/Titles/S/Schindler's_List/Reviews", "url": "http://www.flickfilosopher.com/1999/03/schindlers-list-review.html"} +{"d:Title": "HARO Online: School of Rock", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/School_of_Rock,_The", "url": "http://www.haro-online.com/movies/school_of_rock.html"} +{"d:Title": "Internet Movie Database: School of Rock, The", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, production and distribution details, trivia, news, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/School_of_Rock,_The", "url": "http://imdb.com/title/tt0332379/"} +{"d:Title": "All-Reviews.com - School of Rock", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/School_of_Rock,_The", "url": "http://www.all-reviews.com/videos-5/school-of-rock.htm"} +{"d:Title": "Rotten Tomatoes: School of Rock", "d:Description": "Links to reviews, photographs, news articles, video clips, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/School_of_Rock,_The", "url": "http://www.rottentomatoes.com/m/school_of_rock/"} +{"d:Title": "Substitute Teacher Puts a Spin on the 3 R's", "d:Description": "Critique and plot synopsis, with a list of the principal cast and crew. By Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/S/School_of_Rock,_The", "url": "http://www.nytimes.com/2003/10/03/movies/film-review-substitute-teacher-puts-spin-3-r-s-rock-roll-rebellion.html"} +{"d:Title": "Future Movies: The School of Rock", "d:Description": "Positive review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/S/School_of_Rock,_The", "url": "https://www.futuremovies.co.uk/reviews/school-of-rock/nik-huggins"} +{"d:Title": "DVDBeaver - Shoeshine", "d:Description": "Review by Gary W. Tooze (predominantly negative) emphasizing DVD production values. Stills, rating.", "topic": "Top/Arts/Movies/Titles/S/Sciusci\u00e0", "url": "http://www.dvdbeaver.com/film/DVDReview/shoeshine.htm"} +{"d:Title": "IMDb - Sciusci\u00e0 (1946)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Sciusci\u00e0", "url": "http://www.imdb.com/title/tt0038913/"} +{"d:Title": "KillerMovies.com - Scooby Doo", "d:Description": "Features latest news, rumors, and facts. Also includes cast and crew information, and photos.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo", "url": "http://www.killermovies.com/s/scoobydoo/"} +{"d:Title": "HARO Online: Scooby Doo", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo", "url": "http://www.haro-online.com/movies/scooby_doo.html"} +{"d:Title": "About Romantic Movies: Scooby-Doo", "d:Description": "Synopsis, photographs, box office details, sequel news, and links.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo", "url": "http://romanticmovies.about.com/cs/scoobydoo/"} +{"d:Title": "All-Reviews.com: Scooby Doo", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo", "url": "http://www.all-reviews.com/videos-4/scooby-doo.htm"} +{"d:Title": "Rotten Tomatoes: Scooby-Doo", "d:Description": "Box office and rental history, synopsis, cast and crew, multimedia, links to reviews, and forum.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo", "url": "http://www.rottentomatoes.com/m/1112357-scoobydoo/"} +{"d:Title": "IMDb: Scooby-Doo", "d:Description": "Features cast and crew, information, and production notes.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo", "url": "http://www.imdb.com/title/tt0267913/"} +{"d:Title": "Metacritic.com: Scooby-Doo", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo", "url": "http://www.metacritic.com/movie/scooby-doo"} +{"d:Title": "KillerMovies: Scooby-Doo 2", "d:Description": "Film information, synopsis, news, and links.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo_2_-_Monsters_Unleashed", "url": "http://www.killermovies.com/s/scoobydoo2/"} +{"d:Title": "HARO Online: Scooby-Doo 2 - Monsters Unleashed", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo_2_-_Monsters_Unleashed", "url": "http://www.haro-online.com/movies/scooby_doo2.html"} +{"d:Title": "All-Reviews.com: Scooby Doo 2 - Monsters Unleashed", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo_2_-_Monsters_Unleashed", "url": "http://www.all-reviews.com/videos-5/scooby-doo-two.htm"} +{"d:Title": "IMDb: Scooby Doo 2 - Monsters Unleashed (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo_2_-_Monsters_Unleashed", "url": "http://www.imdb.com/title/tt0331632/"} +{"d:Title": "Rotten Tomatoes: Scooby Doo 2 - Monsters Unleashed", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo_2_-_Monsters_Unleashed", "url": "http://www.rottentomatoes.com/m/scooby_doo_2_monsters_unleashed/"} +{"d:Title": "Chicago Sun-Times: Scooby-Doo 2 - Monsters Unleashed", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo_2_-_Monsters_Unleashed", "url": "http://www.rogerebert.com/reviews/scooby-doo-2-monsters-unleashed-2004"} +{"d:Title": "World of Movies: Scooby-Doo 2 - Monsters Unleashed", "d:Description": "Synopsis, cast list, reviews, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Scooby-Doo_Series/Scooby-Doo_2_-_Monsters_Unleashed", "url": "https://theiapolis.com/movie-06XB/scooby-doo-2-monsters-unleashed/"} +{"d:Title": "IMDb: Scoop", "d:Description": "Includes full cast and crew, trivia, memorable quotes, filming locations, photo gallery and discussion board.", "topic": "Top/Arts/Movies/Titles/S/Scoop", "url": "http://www.imdb.com/title/tt0457513/"} +{"d:Title": "JoBlo.com: Scoop", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/S/Scoop", "url": "http://www.joblo.com/movies/database/2006/scoop/"} +{"d:Title": "HARO Online: The Score", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Score,_The", "url": "http://www.haro-online.com/movies/score.html"} +{"d:Title": "Rotten Tomatoes: The Score", "d:Description": "Links to movie reviews, synopsis, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Score,_The", "url": "http://www.rottentomatoes.com/m/1108799-score/"} +{"d:Title": "AllReaders.com: The Score", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/S/Score,_The", "url": "http://allreaders.com/movie-review-summary/the-score-6982"} +{"d:Title": "IMDb: Score, The (2001)", "d:Description": "Includes a plot outline, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/S/Score,_The", "url": "http://www.imdb.com/title/tt0227445/"} +{"d:Title": "Metacritic.com: The Score", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Score,_The", "url": "http://www.metacritic.com/movie/the-score"} +{"d:Title": "HARO Online: Scotland, PA", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Scotland,_PA", "url": "http://www.haro-online.com/movies/scotland_pa.html"} +{"d:Title": "Rotten Tomatoes: Scotland, PA", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Scotland,_PA", "url": "http://www.rottentomatoes.com/m/scotland_pa/"} +{"d:Title": "IMDb: Scotland, PA (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Scotland,_PA", "url": "http://www.imdb.com/title/tt0265713/"} +{"d:Title": "Metacritic.com: Scotland, PA", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Scotland,_PA", "url": "http://www.metacritic.com/movie/scotland-pa"} +{"d:Title": "HARO Online: Scottsboro - An American Tragedy", "d:Description": "Review of the Oscar-nominated documentary, with pictures.", "topic": "Top/Arts/Movies/Titles/S/Scottsboro_-_An_American_Tragedy", "url": "http://www.haro-online.com/movies/scottsboro_an_american_tragedy.html"} +{"d:Title": "Metacritic.com: Scottsboro - An American Tragedy", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Scottsboro_-_An_American_Tragedy", "url": "http://www.metacritic.com/movie/scottsboro-an-american-tragedy"} +{"d:Title": "IMDb: Screamers (1995)", "d:Description": "Cast, credits, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Screamers", "url": "http://www.imdb.com/title/tt0114367/"} +{"d:Title": "Tribute To Scream", "d:Description": "A fan site with news, links, and separate sections on all three movies. Includes pictures and cast information.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series", "url": "http://www.angelfire.com/co/ericscream/"} +{"d:Title": "Filmtracks: Scream/Scream 2", "d:Description": "A review of Marco Beltrami's Scream and Scream 2 soundtrack. Includes a track listing.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series", "url": "http://www.filmtracks.com/titles/scream1_2.html"} +{"d:Title": "Still Screaming", "d:Description": "Includes sections on all three movies, plus news, desktop enhancements, trailers, videos, and games.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series", "url": "http://www.stillscreaming.com/"} +{"d:Title": "It's a Scream Baby", "d:Description": "A very brief fan page with a script (hard to read) and a collection of cast photos.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream", "url": "http://members.tripod.com/~Scream_World/scream.html"} +{"d:Title": "Movie Quotes Site: Scream", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream", "url": "http://www.moviequotes.com/archive/titles/456.html"} +{"d:Title": "All-Reviews.com: Scream", "d:Description": "Three reviews of the movie by three different authors. Overall rating: 3.5 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream", "url": "http://www.all-reviews.com/videos/scream.htm"} +{"d:Title": "Crazy for Cinema: Scream", "d:Description": "Review of the movie: \"Bloody, funny and, more often than not, truly inspired.\"", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream", "url": "http://crazy4cinema.com/Review/FilmsS/f_scream.html"} +{"d:Title": "IMDb: Scream (1996)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot summary, user comments, and links.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream", "url": "http://www.imdb.com/title/tt0117571/"} +{"d:Title": "AllMovie: Scream", "d:Description": "Synopsis, review by Lucia Bozzola, credits, and related films.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream", "url": "http://www.allmovie.com/movie/scream-v136657"} +{"d:Title": "Movie Quotes Site: Scream 2", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_2", "url": "http://www.moviequotes.com/archive/titles/457.html"} +{"d:Title": "All-Reviews.com: Scream 2 (1997)", "d:Description": "Review by MrBrown: \"Scream 2 is an even more satiric film than its predecessor.\"", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_2", "url": "http://www.all-reviews.com/videos/scream2.htm"} +{"d:Title": "Crazy for Cinema: Scream 2", "d:Description": "Review of the movie: \"[...] they deflect a lot of criticism by making fun of themselves first.\"", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_2", "url": "http://crazy4cinema.com/Review/FilmsS/f_scream2.html"} +{"d:Title": "IMDb: Scream 2 (1997)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot summary, user comments, and links.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_2", "url": "http://www.imdb.com/title/tt0120082/"} +{"d:Title": "PopMatters: Scream 3", "d:Description": "A detailed review of the movie as well as an analysis of the Scream trilogy. By Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://popmatters.com/film/reviews/s/scream3.html"} +{"d:Title": "Filmtracks: Scream 3", "d:Description": "A review of Marco Beltrami's soundtrack for the Scream 3 movie. Includes a track listing.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.filmtracks.com/titles/scream3.html"} +{"d:Title": "Movie Quotes Site: Scream 3", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.moviequotes.com/archive/titles/3265.html"} +{"d:Title": "Jurassic Punk: Scream 3", "d:Description": "A collection of video clips in QuickTime format. Includes three versions of the movie teaser.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.jurassicpunk.com/movies/scream3.html"} +{"d:Title": "Haro Online: Scream 3", "d:Description": "A review of the movie by Haro. Includes two movie stills. Rating: 'Pretty Good'.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.haro-online.com/movies/scream3.html"} +{"d:Title": "All-Reviews.com: Scream 3", "d:Description": "A controversial collection of seven different reviews and ratings of the movie.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.all-reviews.com/videos/scream3.htm"} +{"d:Title": "AskMen.com - Scream 3", "d:Description": "Reviewed by Billy Bob Goldstein, rated 3/5 stars.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.askmen.com/toys/movies/movies11.html"} +{"d:Title": "IMDb: Scream 3 (2000)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot outline, user comments, and links.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.imdb.com/title/tt0134084/"} +{"d:Title": "Rotten Tomatoes: Scream 3", "d:Description": "A collection of previews, reviews, cast and crew information, trailers, stills, and news.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.rottentomatoes.com/m/scream_3/"} +{"d:Title": "AllMovie: Scream 3", "d:Description": "Synopsis, credits, review by Adam Goldberg, and related films.", "topic": "Top/Arts/Movies/Titles/S/Scream_Series/Scream_3", "url": "http://www.allmovie.com/movie/scream-3-v181888"} +{"d:Title": "All-Reviews.com - Screwed (2000)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Screwed", "url": "http://www.all-reviews.com/videos/screwed.htm"} +{"d:Title": "Rotten Tomatoes: Screwed", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Screwed", "url": "http://www.rottentomatoes.com/m/1097242-screwed/"} +{"d:Title": "Metacritic.com - Screwed", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Screwed", "url": "http://www.metacritic.com/movie/screwed"} +{"d:Title": "IMDb - Screwed (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Screwed", "url": "http://www.imdb.com/title/tt0156323/"} +{"d:Title": "IMDb: Scrooge (1951)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Scrooge_-_1951", "url": "http://www.imdb.com/title/tt0044008/"} +{"d:Title": "All-Reviews.com - Seabiscuit", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Seabiscuit", "url": "http://all-reviews.com/videos-5/seabiscuit.htm"} +{"d:Title": "Reel Faces - Seabiscuit", "d:Description": "Explores the real people featured in the film's story, with photographs, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/S/Seabiscuit", "url": "http://www.chasingthefrog.com/reelfaces/seabiscuit.php"} +{"d:Title": "The Unofficial Seabiscuit Fan Web Site", "d:Description": "Fan site with a history of the horse, and links to related sites about the movie and horse.", "topic": "Top/Arts/Movies/Titles/S/Seabiscuit", "url": "http://www.angelfire.com/film/seabiscuit/"} +{"d:Title": "The New York Times: Seabiscuit", "d:Description": "Review by A. O. Scott.", "topic": "Top/Arts/Movies/Titles/S/Seabiscuit", "url": "http://www.nytimes.com/2003/07/25/movies/film-review-scrambling-to-victory.html"} +{"d:Title": "IMDb.com: Seabiscuit", "d:Description": "Features a plot summary, photos, cast and crew lists, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Seabiscuit", "url": "http://www.imdb.com/title/tt0329575/"} +{"d:Title": "Seabiscuit", "d:Description": "Official site featuring trailers and ticket purchase information.", "topic": "Top/Arts/Movies/Titles/S/Seabiscuit", "url": "https://www.uphe.com/movies/seabiscuit"} +{"d:Title": "AwesomeStories: Seabiscuit", "d:Description": "Provides the factual story behind the movie, with links to photographs of the real people, animals and places featured in the film.", "topic": "Top/Arts/Movies/Titles/S/Seabiscuit", "url": "https://www.awesomestories.com/asset/view/Seabiscuit"} +{"d:Title": "Greatest Films - The Searchers (1956)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Searchers,_The", "url": "http://www.filmsite.org/sear.html"} +{"d:Title": "All-Reviews.com - The Searchers", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/S/Searchers,_The", "url": "http://all-reviews.com/videos-5/searchers.htm"} +{"d:Title": "IMDb - The Searchers (1956)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Searchers,_The", "url": "http://www.imdb.com/title/tt0049730/"} +{"d:Title": "Allreaders Review - The Searchers", "d:Description": "Analytical review of the plot, setting, theme, and structure of the John Wayne film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Searchers,_The", "url": "http://allreaders.com/movie-review-summary/the-searchers-9518"} +{"d:Title": "TV Guide Online: The Searchers", "d:Description": "Review, synopsis, and credits.", "topic": "Top/Arts/Movies/Titles/S/Searchers,_The", "url": "http://www.tvguide.com/movies/searchers/117046/"} +{"d:Title": "All-Reviews.com - Searching for Bobby Fischer", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Searching_for_Bobby_Fischer", "url": "http://www.all-reviews.com/videos-3/searching-bobby-fischer.htm"} +{"d:Title": "Rotten Tomatoes - Searching for Bobby Fischer", "d:Description": "Reviews of the movie from the nation's top critics and audiences.", "topic": "Top/Arts/Movies/Titles/S/Searching_for_Bobby_Fischer", "url": "http://www.rottentomatoes.com/m/searching_for_bobby_fischer/"} +{"d:Title": "IMDb.com - Searching for Bobby Fischer (1993)", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Searching_for_Bobby_Fischer", "url": "http://www.imdb.com/title/tt0108065/"} +{"d:Title": "TV Guide Online - Searching for Bobby Fischer", "d:Description": "3 1/2 star review with pictures, production notes, cast/crew and other related information.", "topic": "Top/Arts/Movies/Titles/S/Searching_for_Bobby_Fischer", "url": "http://www.tvguide.com/movies/searching-bobby-fischer/129426/"} +{"d:Title": "IMDb: The Search for John Gissing", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Search_for_John_Gissing,_The", "url": "http://www.imdb.com/title/tt0250716/"} +{"d:Title": "Allreaders.com: The Sea Hawk", "d:Description": "Analysis of the Errol Flynn film in terms of plot, characters, setting, structure, and theme.", "topic": "Top/Arts/Movies/Titles/S/Sea_Hawk,_The", "url": "http://allreaders.com/movie-review-summary/sea-hawk-the-1940-5657"} +{"d:Title": "Washington Post: Sea of Love (R)", "d:Description": "Hal Hinson's positive assessment of the film.", "topic": "Top/Arts/Movies/Titles/S/Sea_of_Love", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/seaofloverhinson_a0a95a.htm"} +{"d:Title": "Al Pacino's Loft: Sea of Love", "d:Description": "Fan page includes background information and quoted articles about the actor and the film.", "topic": "Top/Arts/Movies/Titles/S/Sea_of_Love", "url": "http://velvet_peach.tripod.com/fpacseaoflove.html"} +{"d:Title": "Rottentomatoes.com: Sea of Love (1989)", "d:Description": "Reviews from the nation's top critics and audiences. Also includes movie info, trailer, poster, photographs, news, articles and forum.", "topic": "Top/Arts/Movies/Titles/S/Sea_of_Love", "url": "http://www.rottentomatoes.com/m/sea_of_love/"} +{"d:Title": "Deseret News: Sea of Love review", "d:Description": "Appraisal of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sea_of_Love", "url": "http://www.deseretnews.com/article/700001605/Sea-of-Love.html"} +{"d:Title": "Chicago Sun-Times: Sea of Love review", "d:Description": "Roger Ebert's take on the Al Pacino / Ellen Barkin thriller.", "topic": "Top/Arts/Movies/Titles/S/Sea_of_Love", "url": "http://www.rogerebert.com/reviews/sea-of-love-1989"} +{"d:Title": "IMDB: Sea of Love (1989)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers, posters, photographs and fan sites.", "topic": "Top/Arts/Movies/Titles/S/Sea_of_Love", "url": "http://www.imdb.com/title/tt0098273/"} +{"d:Title": "AllReaders.com : Sea of Love", "d:Description": "Movie review site has detailed description of plots, settings, characters, and themes.", "topic": "Top/Arts/Movies/Titles/S/Sea_of_Love", "url": "http://allreaders.com/movie-review-summary/sea-of-love-17520"} +{"d:Title": "The Sea That Thinks", "d:Description": "Official website. Synopsis, production information, reviews, artistic concept, and pictures. In English and Dutch.", "topic": "Top/Arts/Movies/Titles/S/Sea_That_Thinks,_The", "url": "http://www.dezeediedenkt.nl/"} +{"d:Title": "HARO Online: Secondhand Lions", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Secondhand_Lions", "url": "http://www.haro-online.com/movies/secondhand_lions.html"} +{"d:Title": "All-Reviews.com - Secondhand Lions", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Secondhand_Lions", "url": "http://all-reviews.com/videos-5/secondhand-lions.htm"} +{"d:Title": "TEN Movies: Secondhand Lions", "d:Description": "Review by Snider Rodrigues.", "topic": "Top/Arts/Movies/Titles/S/Secondhand_Lions", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1050"} +{"d:Title": "Rotten Tomatoes: Secondhand Lions", "d:Description": "Links to reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Secondhand_Lions", "url": "http://www.rottentomatoes.com/m/secondhand_lions/"} +{"d:Title": "IMDb: Secondhand Lions (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Secondhand_Lions", "url": "http://www.imdb.com/title/tt0327137/"} +{"d:Title": "Chicago Sun-Times: Secondhand Lions", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Secondhand_Lions", "url": "http://www.rogerebert.com/reviews/secondhand-lions-2003"} +{"d:Title": "All-Reviews.com: Second Best", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Second_Best", "url": "http://www.all-reviews.com/videos-2/second-best.htm"} +{"d:Title": "IMDb: Second Best", "d:Description": "Cast and crew links, synopsis, awards and viewer comments.", "topic": "Top/Arts/Movies/Titles/S/Second_Best", "url": "http://www.imdb.com/title/tt0111102/"} +{"d:Title": "Rotten Tomatoes: Second Best", "d:Description": "Review links, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Second_Best", "url": "http://www.rottentomatoes.com/m/10003765-second_best/"} +{"d:Title": "HARO Online: Second Skin", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Second_Skin_-_1999", "url": "http://www.haro-online.com/movies/second_skin.html"} +{"d:Title": "Rotten Tomatoes: Second Skin", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Second_Skin_-_1999", "url": "http://www.rottentomatoes.com/m/second_time_around/"} +{"d:Title": "IMDb: Second Skin (Segunda Piel) (1999)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Second_Skin_-_1999", "url": "http://www.imdb.com/title/tt0232611/"} +{"d:Title": "HARO Online: Secretary", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Secretary", "url": "http://www.haro-online.com/movies/secretary.html"} +{"d:Title": "MovieWeb: Secretary", "d:Description": "Plot summary, credits, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Secretary", "url": "http://www.movieweb.com/movie/secretary"} +{"d:Title": "Rotten Tomatoes: Secretary", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Secretary", "url": "http://www.rottentomatoes.com/m/secretary/"} +{"d:Title": "I Need a \"Secretary\"", "d:Description": "An essay by Julian Darius on the film and its social and philosophical implications.", "topic": "Top/Arts/Movies/Titles/S/Secretary", "url": "http://www.literaryescorts.com/?act=non-fiction&item=557"} +{"d:Title": "IMDb: Secretary (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Secretary", "url": "http://www.imdb.com/title/tt0274812/"} +{"d:Title": "Chicago Sun-Times: Secretary", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Secretary", "url": "http://www.rogerebert.com/reviews/secretary-2002"} +{"d:Title": "All-Reviews - Secrets and Lies", "d:Description": "3 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/Secrets_and_Lies", "url": "http://www.all-reviews.com/videos-2/secrets-and-lies.htm"} +{"d:Title": "IMDb - Secrets&Lies (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Secrets_and_Lies", "url": "http://www.imdb.com/title/tt0117589/"} +{"d:Title": "Metacritic.com - Secrets&Lies", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Secrets_and_Lies", "url": "http://www.metacritic.com/movie/secrets-lies"} +{"d:Title": "RogerEbert.com: Secrets&Lies", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Secrets_and_Lies", "url": "http://www.rogerebert.com/reviews/secrets-and-lies-1996"} +{"d:Title": "AllReaders.com Review - Secrets and Lies", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Secrets_and_Lies", "url": "http://allreaders.com/movie-review-summary/secrets-lies-3845"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/S/Secret_Admirer", "url": "http://www.fast-rewind.com/secretadmirer.htm"} +{"d:Title": "IMDb: The Secret Agent", "d:Description": "Provides full cast and crew, external reviews, plot summary, trivia, memorable quotes and message board.", "topic": "Top/Arts/Movies/Titles/S/Secret_Agent,_The", "url": "http://www.imdb.com/title/tt0117582/"} +{"d:Title": "Rotten Tomatoes: The Secret Life of Bees", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Secret_Life_of_Bees,_The", "url": "http://www.rottentomatoes.com/m/secret_life_of_bees/"} +{"d:Title": "ReelViews: The Secret Life of Bees", "d:Description": "Review, by James Berardinelli: \"The other actress to stand out is, unsurprisingly, Queen Latifa, whose intense screen presence makes her a force to be reckoned with even when she's simply standing in the background, not saying anything.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Secret_Life_of_Bees,_The", "url": "http://www.reelviews.net/reelviews/secret-life-of-bees-the"} +{"d:Title": "USA Today: The Secret Life of Bees", "d:Description": "Review, by Claudia Puig: \"Hampered by over-earnestness and tugs too intently at the heartstrings.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Secret_Life_of_Bees,_The", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-10-16-secret-life-of-bees_N.htm"} +{"d:Title": "Rotten Tomatoes: The Secret Lives of Dentists", "d:Description": "Contains synopsis, reviews, cast and crew.", "topic": "Top/Arts/Movies/Titles/S/Secret_Lives_of_Dentists,_The", "url": "http://www.rottentomatoes.com/m/secret_lives_of_dentists/"} +{"d:Title": "Yahoo! Movies: The Secret Lives of Dentists", "d:Description": "Includes plot summary, reviews, production images, cast and credits.", "topic": "Top/Arts/Movies/Titles/S/Secret_Lives_of_Dentists,_The", "url": "http://movies.yahoo.com/movie/the-secret-lives-of-dentists/"} +{"d:Title": "Internet Movie Database: Secret Lives of Dentists, The", "d:Description": "Contains plot summary, reviews, trailer, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/S/Secret_Lives_of_Dentists,_The", "url": "http://www.imdb.com/title/tt0314630/"} +{"d:Title": "Fast Rewind: Secret of My Success", "d:Description": "80's movie fan site providing synopsis, pictures, character profiles, trivia, filming location and directions, and visitor comments.", "topic": "Top/Arts/Movies/Titles/S/Secret_of_My_Success", "url": "http://www.fast-rewind.com/soms.htm"} +{"d:Title": "IMDb: Secret of My Success", "d:Description": "Includes cast overview, synopsis, soundtrack listing goofs, links to articles and visitor comments.", "topic": "Top/Arts/Movies/Titles/S/Secret_of_My_Success", "url": "http://imdb.com/title/tt0093936/"} +{"d:Title": "Chicago Sun-Times: Secret of My Success", "d:Description": "Roger Ebert reviews the Michael J. Fox film.", "topic": "Top/Arts/Movies/Titles/S/Secret_of_My_Success", "url": "http://www.rogerebert.com/reviews/the-secret-of-my-success-1987"} +{"d:Title": "IMDb - The Secret of Roan Inish (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Secret_of_Roan_Inish,_The", "url": "http://www.imdb.com/title/tt0111112/"} +{"d:Title": "HARO Online - Secret Window", "d:Description": "Haro reviews \"Secret Window.\"", "topic": "Top/Arts/Movies/Titles/S/Secret_Window", "url": "http://www.haro-online.com/movies/secret_window.html"} +{"d:Title": "IMDb.com: Secret Window (2004)", "d:Description": "Cast, crew, reviews, plot summary, and photos.", "topic": "Top/Arts/Movies/Titles/S/Secret_Window", "url": "http://www.imdb.com/title/tt0363988/"} +{"d:Title": "DreamLogic: Secret Window", "d:Description": "Review by Chris Nelson.", "topic": "Top/Arts/Movies/Titles/S/Secret_Window", "url": "http://www.dreamlogic.net/REVIEWS/secretwindow.html"} +{"d:Title": "TEN Movies: Secret Window", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/S/Secret_Window", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1081"} +{"d:Title": "HARO Online: Seeing Other People", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Seeing_Other_People", "url": "http://www.haro-online.com/movies/seeing_other_people.html"} +{"d:Title": "IMDb: Seeing Other People (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Seeing_Other_People", "url": "http://www.imdb.com/title/tt0362129/"} +{"d:Title": "Rotten Tomatoes: Seeing Other People", "d:Description": "Reviews, trailer, and forum.", "topic": "Top/Arts/Movies/Titles/S/Seeing_Other_People", "url": "http://www.rottentomatoes.com/m/seeing_other_people/"} +{"d:Title": "Chicago Sun-Times: Seeing Other People", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Seeing_Other_People", "url": "http://www.rogerebert.com/reviews/seeing-other-people-2004"} +{"d:Title": "IMDb.com - See Jane Run (2000)", "d:Description": "Cast/crew information with user comments, ratings, and other background information.", "topic": "Top/Arts/Movies/Titles/S/See_Jane_Run", "url": "http://www.imdb.com/title/tt0197862/"} +{"d:Title": "HARO Online - See Spot Run", "d:Description": "Haro reviews the movie. Rates it 'Not that bad'.", "topic": "Top/Arts/Movies/Titles/S/See_Spot_Run", "url": "http://www.haro-online.com/movies/see_spot_run.html"} +{"d:Title": "Rotten Tomatoes - See Spot Run", "d:Description": "Reviews, general information, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/S/See_Spot_Run", "url": "http://www.rottentomatoes.com/m/see_spot_run/"} +{"d:Title": "IMDb.com - See Spot Run", "d:Description": "Cast/crew information with background details, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/See_Spot_Run", "url": "http://www.imdb.com/title/tt0250720/"} +{"d:Title": "AllReaders.com Spotlight - See Spot Run", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/S/See_Spot_Run", "url": "http://allreaders.com/movie-review-summary/see-spot-run-5246"} +{"d:Title": "Metacritic.com: See Spot Run", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/See_Spot_Run", "url": "http://www.metacritic.com/movie/see-spot-run"} +{"d:Title": "Washingtonpost.com", "d:Description": "Review of See You In The Morning, by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/S/See_You_in_the_Morning", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/seeyouinthemorningpg13hinson_a0a8fb.htm"} +{"d:Title": "Imdb.com", "d:Description": "See You In The Morning, reviewed by Mark R. Leeper.", "topic": "Top/Arts/Movies/Titles/S/See_You_in_the_Morning", "url": "http://www.imdb.com/reviews/05/0534.html"} +{"d:Title": "Chicago Sun Times", "d:Description": "A review of See You In The Morning, by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/S/See_You_in_the_Morning", "url": "http://www.rogerebert.com/reviews/see-you-in-the-morning-1989"} +{"d:Title": "TV Guide Online: See You in the Morning", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/See_You_in_the_Morning", "url": "http://www.tvguide.com/movies/morning/127683/"} +{"d:Title": "Seldom Dreaming", "d:Description": "Official site with synopsis and review comments.", "topic": "Top/Arts/Movies/Titles/S/Seldom_Dreaming", "url": "http://www.matchbookfilms.com/seldomdreaming/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/Selena", "url": "http://crazy4cinema.com/Review/FilmsS/f_selena.html"} +{"d:Title": "TV Guide: Selena", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Selena", "url": "http://www.tvguide.com/movies/selena/132093/"} +{"d:Title": "IMDb - Semi-Gods and Semi-Devils (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Semi-Gods_and_Semi-Devils", "url": "http://www.imdb.com/title/tt0111776/"} +{"d:Title": "Rotten Tomatoes: Semi-Pro", "d:Description": "Synopsis, cast list, photos, trailer, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Semi-Pro", "url": "http://www.rottentomatoes.com/m/semi_pro/"} +{"d:Title": "The Boston Globe: Semi-Pro", "d:Description": "Review, by Ty Burr: \"The problem with Semi-Pro is that it keeps forgetting it's a parody of sports movies; the final scenes are supposed to be uplifting (sort of) but they're not fooling anyone. The film's much better when it just lets the guys gas and sass each other.\"", "topic": "Top/Arts/Movies/Titles/S/Semi-Pro", "url": "http://archive.boston.com/ae/movies/articles/2008/02/29/in_semi_pro_ferrell_jumps_through_hoops___again/"} +{"d:Title": "USA Today: Semi-Pro", "d:Description": "Review, by Claudia Puig: \"Definitely more than semi-funny.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Semi-Pro", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-02-28-semi-pro_N.htm"} +{"d:Title": "IGN Movies: Semi-Pro", "d:Description": "Photos, videos, news, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Semi-Pro", "url": "http://www.ign.com/movies/semi-pro/theater-847042"} +{"d:Title": "MovieWeb: Semi-Pro (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Semi-Pro", "url": "http://movieweb.com/movie/semi-pro/"} +{"d:Title": "IMDb: Semi-Tough (1978)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Semi-Tough", "url": "http://www.imdb.com/title/tt0078227/"} +{"d:Title": "Rotten Tomatoes: Semi-Tough", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Semi-Tough", "url": "http://www.rottentomatoes.com/m/semitough/"} +{"d:Title": "Allreaders.com: Semi-Tough", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Semi-Tough", "url": "http://allreaders.com/movie-review-summary/semi-tough-3751"} +{"d:Title": "College on a Sense-Enhancing Drug", "d:Description": "Critique with MPAA ratings advice and a list of the principal cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/S/Senseless", "url": "http://www.nytimes.com/1998/02/20/movies/film-review-college-on-a-sense-enhancing-drug.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/Sense_and_Sensibility", "url": "http://crazy4cinema.com/Review/FilmsS/f_sense.html"} +{"d:Title": "All-Reviews.com - Sense and Sensibility", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sense_and_Sensibility", "url": "http://www.all-reviews.com/videos-3/sense-and-sensibility.htm"} +{"d:Title": "IMDb: Sense and Sensibility", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/S/Sense_and_Sensibility", "url": "http://www.imdb.com/title/tt0114388/"} +{"d:Title": "HARO Online: A Sensible Obsession", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/S/Sensible_Obsession,_A", "url": "http://www.haro-online.com/movies/sensible_obsession.html"} +{"d:Title": "HARO Online: Les Destinees", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Sentimental_Destinies", "url": "http://www.haro-online.com/movies/destinees.html"} +{"d:Title": "IMDb: Les Destin\u00e9es Sentimentales", "d:Description": "Film information, cast and crew, reviews, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/S/Sentimental_Destinies", "url": "http://www.imdb.com/title/tt0216689/"} +{"d:Title": "Rotten Tomatoes: Separate Tables", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Separate_Tables", "url": "http://www.rottentomatoes.com/m/1018587-separate_tables/"} +{"d:Title": "IMDb: Separate Tables (1958)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Separate_Tables", "url": "http://www.imdb.com/title/tt0052182/"} +{"d:Title": "Allreaders.com: Separate Tables", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Burt Lancaster and David Niven.", "topic": "Top/Arts/Movies/Titles/S/Separate_Tables", "url": "http://allreaders.com/movie-review-summary/separate-tables-4286"} +{"d:Title": "IMDb: September Dawn (2006)", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/September_Dawn", "url": "http://www.imdb.com/title/tt0473700/"} +{"d:Title": "Rotten Tomatoes: September Dawn", "d:Description": "Review links, synopsis, critical consensus, credits, photos, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/S/September_Dawn", "url": "http://www.rottentomatoes.com/m/september_dawn/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, development, and reception.", "topic": "Top/Arts/Movies/Titles/S/September_Dawn", "url": "http://en.wikipedia.org/wiki/September_Dawn"} +{"d:Title": "Roger Ebert: September Dawn", "d:Description": "Review, by Roger Ebert: \"What a strange, confused, unpleasant movie this is.\" [Score: 0 out of 4]", "topic": "Top/Arts/Movies/Titles/S/September_Dawn", "url": "http://www.rogerebert.com/reviews/september-dawn-2007"} +{"d:Title": "Boston Globe", "d:Description": "Review, by Ty Burr: \"The movie isn't THAT bad -- it's just made-for-TV historical treacle that has somehow found its way to the big screen (and barely that; if you want to be moved or outraged by the film, you'll have to travel to Danvers or Revere).\"", "topic": "Top/Arts/Movies/Titles/S/September_Dawn", "url": "http://archive.boston.com/ae/movies/articles/2007/08/24/revisiting_an_ugly_chapter_of_us_history/"} +{"d:Title": "MovieWeb: September Dawn (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and box office data.", "topic": "Top/Arts/Movies/Titles/S/September_Dawn", "url": "http://movieweb.com/movie/september-dawn/"} +{"d:Title": "HARO Online: September Tapes", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/September_Tapes", "url": "http://www.haro-online.com/movies/september_tapes.html"} +{"d:Title": "IMDb: September Tapes (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/September_Tapes", "url": "http://www.imdb.com/title/tt0390468/"} +{"d:Title": "Offoffoff Film Review: September Tapes", "d:Description": "Review by Joshua Tanzer.", "topic": "Top/Arts/Movies/Titles/S/September_Tapes", "url": "http://www.offoffoff.com/film/2004/septembertapes.php"} +{"d:Title": "HARO Online: Serendipity", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Serendipity", "url": "http://www.haro-online.com/movies/serendipity.html"} +{"d:Title": "All-Reviews.com: Serendipity", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Serendipity", "url": "http://www.all-reviews.com/videos-3/serendipity.htm"} +{"d:Title": "Metacritic.com: Serendipity", "d:Description": "Synopsis, quotes from and links to reviews, viewer comments, and rating.", "topic": "Top/Arts/Movies/Titles/S/Serendipity", "url": "http://www.metacritic.com/movie/serendipity"} +{"d:Title": "IMDb: Serenity (2005)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/S/Serenity", "url": "http://www.imdb.com/title/tt0379786/"} +{"d:Title": "Movieprop.com - Sergeant York", "d:Description": "Review of the Gary Cooper movie which documents the life of war hero Sergeant Alvin York.", "topic": "Top/Arts/Movies/Titles/S/Sergeant_York", "url": "http://movieprop.com/tvandmovie/reviews/Sergeantyork.htm"} +{"d:Title": "Sergeant York (1941)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/S/Sergeant_York", "url": "http://www.imdb.com/title/tt0034167/"} +{"d:Title": "TV Guide Online: Sergeant York", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Sergeant_York", "url": "http://www.tvguide.com/movies/sergeant-york/117258/"} +{"d:Title": "All-Reviews.com - Serial Mom", "d:Description": "Multiple reviewers rate this film 2 1/2 stars of 4. Includes cast/crew and genre information.", "topic": "Top/Arts/Movies/Titles/S/Serial_Mom", "url": "http://www.all-reviews.com/videos-2/serial-mom.htm"} +{"d:Title": "Serial Mom (1994)", "d:Description": "IMDb entry", "topic": "Top/Arts/Movies/Titles/S/Serial_Mom", "url": "http://www.imdb.com/title/tt0111127/"} +{"d:Title": "TV Guide Online: Serial Mom", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Serial_Mom", "url": "http://www.tvguide.com/movies/serial-mom/129841/"} +{"d:Title": "Series 7 (2001", "d:Description": "Reviews of the movie SERIES 7 (2001) the nation's top critics and audiences. Also includes movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/S/Series_7_-_The_Contenders", "url": "http://www.rottentomatoes.com/m/series_7_the_contenders/"} +{"d:Title": "IMDb.com - Series 7: The Contenders (2001)", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Series_7_-_The_Contenders", "url": "http://www.imdb.com/title/tt0251031/"} +{"d:Title": "Metacritic.com - Series 7: The Contenders", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Series_7_-_The_Contenders", "url": "http://www.metacritic.com/movie/series-7-the-contenders"} +{"d:Title": "IMDb.com - Serpent's Kiss, The", "d:Description": "Cast/crew, user comments, ratings and other information.", "topic": "Top/Arts/Movies/Titles/S/Serpent's_Kiss,_The", "url": "http://www.imdb.com/title/tt0120100/"} +{"d:Title": "All-Reviews.com: Serpico", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Serpico", "url": "http://www.all-reviews.com/videos-4/serpico.htm"} +{"d:Title": "Rotten Tomatoes: Serpico", "d:Description": "Links to reviews, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Serpico", "url": "http://www.rottentomatoes.com/m/serpico/"} +{"d:Title": "IMDb: Serpico (1973)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Serpico", "url": "http://www.imdb.com/title/tt0070666/"} +{"d:Title": "The Servant's Quarters", "d:Description": "Fan site with synopsis, cast and crew, reviews, essays, and photos.", "topic": "Top/Arts/Movies/Titles/S/Servant,_The", "url": "http://www.angelfire.com/ma/1963/"} +{"d:Title": "Yahoo! Movies: The Servant", "d:Description": "Synopsis, cast and crew, and awards.", "topic": "Top/Arts/Movies/Titles/S/Servant,_The", "url": "http://movies.yahoo.com/movie/the-servant/"} +{"d:Title": "IMDb: The Servant", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Servant,_The", "url": "http://www.imdb.com/title/tt0057490/"} +{"d:Title": "HARO Online: Serving Sara", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Serving_Sara", "url": "http://www.haro-online.com/movies/serving_sara.html"} +{"d:Title": "All-Reviews.com: Serving Sara", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Serving_Sara", "url": "http://www.all-reviews.com/videos-4/serving-sara.htm"} +{"d:Title": "Rotten Tomatoes: Serving Sara", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Serving_Sara", "url": "http://www.rottentomatoes.com/m/serving_sara/"} +{"d:Title": "IMDb: Serving Sara (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Serving_Sara", "url": "http://www.imdb.com/title/tt0261289/"} +{"d:Title": "Rotten Tomatoes: Sesame Street Presents Follow That Bird", "d:Description": "Quotes from and links to reviews of the movie, synopsis, trailer, photographs, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sesame_Street_Movies", "url": "http://www.rottentomatoes.com/m/sesame_street_presents_follow_that_bird/"} +{"d:Title": "Muppet Central Reviews: Elmo In Grouchland", "d:Description": "Three reviews of the Sesame Street feature film.", "topic": "Top/Arts/Movies/Titles/S/Sesame_Street_Movies/Adventures_of_Elmo_in_Grouchland,_The", "url": "http://www.muppetcentral.com/articles/reviews/tv-film/eig_film.shtml"} +{"d:Title": "Rotten Tomatoes: The Adventures of Elmo in Grouchland", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Sesame_Street_Movies/Adventures_of_Elmo_in_Grouchland,_The", "url": "http://www.rottentomatoes.com/m/adventures_of_elmo_in_grouchland/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/S/Sesame_Street_Movies/Adventures_of_Elmo_in_Grouchland,_The", "url": "http://www.metacritic.com/movie/the-adventures-of-elmo-in-grouchland"} +{"d:Title": "IMDb: The Adventures of Elmo in Grouchland (1999)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sesame_Street_Movies/Adventures_of_Elmo_in_Grouchland,_The", "url": "http://www.imdb.com/title/tt0159421/"} +{"d:Title": "HARO Online: Session 9", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Session_9", "url": "http://www.haro-online.com/movies/session9.html"} +{"d:Title": "Metacritic.com: Session 9", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Session_9", "url": "http://www.metacritic.com/movie/session-9"} +{"d:Title": "IMDb: Session 9 (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Session_9", "url": "http://www.imdb.com/title/tt0261983/"} +{"d:Title": "IMDb: Set It Off (1996)", "d:Description": "Cast and crew information, reviews from users, and plot outline.", "topic": "Top/Arts/Movies/Titles/S/Set_It_Off", "url": "http://www.imdb.com/title/tt0117603/"} +{"d:Title": "All-Reviews.com - Seven", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Seven", "url": "http://www.all-reviews.com/videos-3/seven.htm"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/Seven", "url": "http://crazy4cinema.com/Review/FilmsS/f_seven.html"} +{"d:Title": "IMDb - Se7en", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Seven", "url": "http://www.imdb.com/title/tt0114369/"} +{"d:Title": "Allreaders Review - Seven", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Brad Pitt and Kevin Spacey.", "topic": "Top/Arts/Movies/Titles/S/Seven", "url": "http://allreaders.com/movie-review-summary/seven-10688"} +{"d:Title": "IMDb: The Seven-Per-Cent Solution", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/S/Seven-Per-Cent_Solution,_The", "url": "http://www.imdb.com/title/tt0075194/"} +{"d:Title": "TV Guide Online: The Seven-Per-Cent Solution", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Seven-Per-Cent_Solution,_The", "url": "http://www.tvguide.com/movies/seven-cent-solution/117312/"} +{"d:Title": "About.com - Seventh Seal, The", "d:Description": "Ivana Redwine reviews Ingmar Bergman's masterwork.", "topic": "Top/Arts/Movies/Titles/S/Seventh_Seal,_The", "url": "http://homevideo.about.com/library/weekly/aa071101a.htm"} +{"d:Title": "TV Guide Online: The Seventh Seal", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Seventh_Seal,_The", "url": "http://www.tvguide.com/movies/seventh-seal/117336/"} +{"d:Title": "IMDb: Seven Brides for Seven Brothers (1954)", "d:Description": "Offers a cast list, plot summary, trailer, and user rating.", "topic": "Top/Arts/Movies/Titles/S/Seven_Brides_for_Seven_Brothers", "url": "http://www.imdb.com/title/tt0047472/"} +{"d:Title": "AllReaders.com Review - Seven Brides for Seven Daughters", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Seven_Brides_for_Seven_Brothers", "url": "http://allreaders.com/movie-review-summary/seven-brides-seven-brothers-4210"} +{"d:Title": "All-Reviews.com: Seven Days In May", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/S/Seven_Days_in_May", "url": "http://www.all-reviews.com/videos-4/seven-days-in-may.htm"} +{"d:Title": "Seven Days in May (1964)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Seven_Days_in_May", "url": "http://www.imdb.com/title/tt0058576/"} +{"d:Title": "All Movie: Seven Days in May", "d:Description": "Plot synopsis and review by Hal Erickson, credits, and awards.", "topic": "Top/Arts/Movies/Titles/S/Seven_Days_in_May", "url": "http://www.allmovie.com/movie/seven-days-in-may-v43837"} +{"d:Title": "About.com - Seven Samuri, The", "d:Description": "Ivana Redwine reviews Akira Kurosawa's masterwork.", "topic": "Top/Arts/Movies/Titles/S/Seven_Samurai,_The", "url": "http://homevideo.about.com/library/weekly/aa080101a.htm"} +{"d:Title": "All-Reviews.com: The Seven Samurai", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Seven_Samurai,_The", "url": "http://www.all-reviews.com/videos-5/seven-samurai.htm"} +{"d:Title": "IMDb: Shichinin no Samurai (1954)", "d:Description": "Cast, production credits, and information.", "topic": "Top/Arts/Movies/Titles/S/Seven_Samurai,_The", "url": "http://www.imdb.com/title/tt0047478/combined"} +{"d:Title": "AllReaders.com Seven Samurai Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Toshiro Mifune film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Seven_Samurai,_The", "url": "http://allreaders.com/movie-review-summary/seven-samurai-3600"} +{"d:Title": "Metacritic.com: Seven Samurai", "d:Description": "Quotes from and links to reviews of the film, as well as user comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Seven_Samurai,_The", "url": "http://www.metacritic.com/movie/seven-samurai-re-release"} +{"d:Title": "TV Guide Online: The Seven Samurai", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Seven_Samurai,_The", "url": "http://www.tvguide.com/movies/seven-samurai/117314/"} +{"d:Title": "IMDb: Qi jian (2005)", "d:Description": "Cast, crew, plot outline, technical details, and forum.", "topic": "Top/Arts/Movies/Titles/S/Seven_Swords", "url": "http://www.imdb.com/title/tt0429078/"} +{"d:Title": "LoveHKFilm: Seven Swords review", "d:Description": "Informative review with pictures and DVD information.", "topic": "Top/Arts/Movies/Titles/S/Seven_Swords", "url": "http://www.lovehkfilm.com/reviews_2/seven_swords.htm"} +{"d:Title": "LoveAsianFilm: Seven Swords", "d:Description": "Articles about the production, story, characters, and swords, plus a review of the film.", "topic": "Top/Arts/Movies/Titles/S/Seven_Swords", "url": "http://www.loveasianfilm.com/features/sevenswords_feature.html"} +{"d:Title": "Filming of The Seven Swords", "d:Description": "Production reports from the making of them film.", "topic": "Top/Arts/Movies/Titles/S/Seven_Swords", "url": "http://www.wu-jing.org/happenings/archives/367-On-the-Set-of-Seven-Swords%3B-Tsui-Harks-Visions.html"} +{"d:Title": "Great Canadian Guide to the Movies and TV - Sept fois... par jour", "d:Description": "Review by D. K. Latta (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Seven_Times_a_Day", "url": "http://www.pulpanddagger.com/movies/s2.html"} +{"d:Title": "IMDb - 7 fois... par jour (1971)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Seven_Times_a_Day", "url": "http://www.imdb.com/title/tt0135741/"} +{"d:Title": "Seven Years In Tibet", "d:Description": "A detailed review", "topic": "Top/Arts/Movies/Titles/S/Seven_Years_in_Tibet", "url": "http://www.space.net.au/~lmccorry/seven_years1.htm"} +{"d:Title": "World Tibet Network News", "d:Description": "Article from Newsweek about a visit to the Argentine set of the film.", "topic": "Top/Arts/Movies/Titles/S/Seven_Years_in_Tibet", "url": "http://www.tibet.ca/en/newsroom/wtn/archive/old?y=1997&m=5&p=15_5"} +{"d:Title": "IMDb - Seven Years in Tibet (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Seven_Years_in_Tibet", "url": "http://www.imdb.com/title/tt0120102/"} +{"d:Title": "IMDb: The Seven Year Itch (1955)", "d:Description": "Synopsis, cast information, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/Seven_Year_Itch,_The", "url": "http://www.imdb.com/title/tt0048605/"} +{"d:Title": "AllReaders.com Review - The Seven Year Itch", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Marilyn Monroe film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Seven_Year_Itch,_The", "url": "http://allreaders.com/movie-review-summary/the-seven-year-itch-4285"} +{"d:Title": "TV Guide: The Seven Year Itch", "d:Description": "Review, synopsis, and credits.", "topic": "Top/Arts/Movies/Titles/S/Seven_Year_Itch,_The", "url": "http://www.tvguide.com/movies/seven-year-itch/117326/"} +{"d:Title": "Hollywood Bitchslap", "d:Description": "Interview with writer, director, and star Troy A. Miller.", "topic": "Top/Arts/Movies/Titles/S/Severance", "url": "http://hollywoodbitchslap.com/feature.php?feature=1633"} +{"d:Title": "eFilmCritic: Review", "d:Description": "Review, rating, and stars.", "topic": "Top/Arts/Movies/Titles/S/Severance", "url": "http://www.efilmcritic.com/review.php?movie=13321"} +{"d:Title": "Severance", "d:Description": "Official site of independent feature length comedy. Includes sample clips, image gallery, and media kit.", "topic": "Top/Arts/Movies/Titles/S/Severance", "url": "http://www.severancemovie.com/"} +{"d:Title": "IMDb: Severance (2005)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Severance", "url": "http://www.imdb.com/title/tt0486000/"} +{"d:Title": "All-Reviews.com - Sex, Lies, and Videotape", "d:Description": "3 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/Sex,_Lies,_and_Videotape", "url": "http://www.all-reviews.com/videos-3/sex-lies-videotape.htm"} +{"d:Title": "Sex Lies and Videotape", "d:Description": "Fourth draft of the screenplay by Steven Soderbergh.", "topic": "Top/Arts/Movies/Titles/S/Sex,_Lies,_and_Videotape", "url": "http://www.dailyscript.com/scripts/sex_lies_and_video_tapes.html"} +{"d:Title": "IMDb.com - Sex, Lies, and Videotape (1989)", "d:Description": "Cast/crew information with user comments and details.", "topic": "Top/Arts/Movies/Titles/S/Sex,_Lies,_and_Videotape", "url": "http://www.imdb.com/title/tt0098724/"} +{"d:Title": "AllReaders.com - Sex, Lies&Videotape", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/S/Sex,_Lies,_and_Videotape", "url": "http://allreaders.com/movie-review-summary/sex-lies-and-videotape-37178.com/movie-review-summary/sex-lies-and-videotape-37178"} +{"d:Title": "HARO Online - Sexy Beast", "d:Description": "Mongoose reviews the film, rates it, \"Not Bad\".", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://www.haro-online.com/movies/sexy_beast.html"} +{"d:Title": "All-Reviews.com - Sexy Beast", "d:Description": "3 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://www.all-reviews.com/videos-3/sexy-beast.htm"} +{"d:Title": "About.com: Sexy Beast", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://homevideo.about.com/library/weekly/aafpr032102.htm"} +{"d:Title": "Rotten Tomatoes - Sexy Beast", "d:Description": "Reviews, links, trailers, news, and other related information.", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://www.rottentomatoes.com/m/sexy_beast/"} +{"d:Title": "eFilmCritic - Sexy Beast", "d:Description": "A site that allows users to express their feelings about the latest movies in Hollywood. Now the film-goer has a voice.", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://www.efilmcritic.com/review.php?movie=4490"} +{"d:Title": "IMDb - Sexy Beast (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://www.imdb.com/title/tt0203119/"} +{"d:Title": "Allreaders Review - Sexy Beast", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://allreaders.com/movie-review-summary/sexy-beast-6823"} +{"d:Title": "Metacritic.com - Sexy Beast", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/S/Sexy_Beast", "url": "http://www.metacritic.com/movie/sexy-beast"} +{"d:Title": "HARO Online: Sex and Lucia", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_Lucia", "url": "http://www.haro-online.com/movies/sex_and_lucia.html"} +{"d:Title": "All-Reviews.com: Sex and Lucia", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_Lucia", "url": "http://www.all-reviews.com/videos-4/sex-and-lucia.htm"} +{"d:Title": "Rotten Tomatoes: Sex and Lucia", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_Lucia", "url": "http://www.rottentomatoes.com/m/sex_and_lucia/"} +{"d:Title": "IMDb: Sex and Lucia (Luc\u00eda y el Sexo) (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_Lucia", "url": "http://www.imdb.com/title/tt0254455/"} +{"d:Title": "Metacritic.com: Sex and Lucia", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_Lucia", "url": "http://www.metacritic.com/movie/sex-and-lucia"} +{"d:Title": "Rotten Tomatoes: Sex and the City: The Movie", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_the_City_-_The_Movie", "url": "http://www.rottentomatoes.com/m/sex_and_the_city/"} +{"d:Title": "IGN Movies: Sex and the City", "d:Description": "Video, photos, news, previews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_the_City_-_The_Movie", "url": "http://www.ign.com/movies/sex-and-the-city-the-movie/theater-660843"} +{"d:Title": "MovieWeb: Sex and the City: The Movie (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Sex_and_the_City_-_The_Movie", "url": "http://movieweb.com/movie/sex-and-the-city-the-movie/"} +{"d:Title": "FOX News: Sex and the City", "d:Description": "Review, by Roger Friedman: \"I give a lot of credit to Kim Cattrall, who gets the best lines and the funniest predicaments. She\u2019s allowed her \u2014 and Samantha\u2019s \u2014 true age to be written into the script. Bravo!\"", "topic": "Top/Arts/Movies/Titles/S/Sex_and_the_City_-_The_Movie", "url": "http://www.foxnews.com/story/2008/05/05/sex-and-city-first-review-no-spoilers.html"} +{"d:Title": "Daily News: Sex and the City", "d:Description": "Review, by Colin Bertram: \"The film's initial awkwardness quickly disappears as King and his leading ladies quickly hit their stiletto-shod strides. Throughout, the four women turn in sensitive, solid performances - what you would expect from a cast totally familiar with the territory.\" [Rating: 4 out of 5]", "topic": "Top/Arts/Movies/Titles/S/Sex_and_the_City_-_The_Movie", "url": "http://www.nydailynews.com/entertainment/tv-movies/sex-city-movie-proves-great-reunion-article-1.329351"} +{"d:Title": "IMDb - The Sex Monster", "d:Description": "Cast/credits and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sex_Monster,_The", "url": "http://www.imdb.com/title/tt0159730/"} +{"d:Title": "All-Reviews.com: Sgt. Bilko", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sgt._Bilko", "url": "http://www.all-reviews.com/videos-3/sgt-bilko.htm"} +{"d:Title": "Rotten Tomatoes: Sgt. Bilko", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sgt._Bilko", "url": "http://www.rottentomatoes.com/m/sgt_bilko/"} +{"d:Title": "IMDb: Sgt. Bilko (1996)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sgt._Bilko", "url": "http://www.imdb.com/title/tt0117608/"} +{"d:Title": "All-Reviews.com - The Shadow", "d:Description": "1 1/2 star review of the movie", "topic": "Top/Arts/Movies/Titles/S/Shadow,_The", "url": "http://www.all-reviews.com/videos-2/the-shadow.htm"} +{"d:Title": "IMDb.com - Shadow, The", "d:Description": "Cast/crew information with user comments, ratings, and other background details.", "topic": "Top/Arts/Movies/Titles/S/Shadow,_The", "url": "http://www.imdb.com/title/tt0111143/"} +{"d:Title": "All-Reviews.com: Shadowlands", "d:Description": "Collection of movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shadowlands", "url": "http://www.all-reviews.com/videos-3/shadowlands.htm"} +{"d:Title": "Rotten Tomatoes: Shadowlands", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shadowlands", "url": "http://www.rottentomatoes.com/m/1048267-shadowlands/"} +{"d:Title": "AllReaders.com: Shadowlands", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Shadowlands", "url": "http://allreaders.com/movie-review-summary/shadowlands-4364"} +{"d:Title": "IMDb: Shadowlands (1993)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Shadowlands", "url": "http://www.imdb.com/title/tt0108101/"} +{"d:Title": "AwesomeStories: Shadowlands", "d:Description": "Provides historical background behind the film's premise, with links to references throughout the text.", "topic": "Top/Arts/Movies/Titles/S/Shadowlands", "url": "https://www.awesomestories.com/asset/view/Shadowlands"} +{"d:Title": "Shadow Company: The Rules of War Have Changed:.", "d:Description": "Official site offers screening information, trailers, synopsis, reviews, press area, video and contact information.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Company", "url": "http://www.shadowcompanythemovie.com/"} +{"d:Title": "IMDb: Shadow Company", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Company", "url": "http://www.imdb.com/title/tt0762133/"} +{"d:Title": "All-Reviews.com - Shadow Conspiracy", "d:Description": "Collection of reviews, overall rating 1/2 stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Conspiracy", "url": "http://www.all-reviews.com/videos-2/shadow-conspiracy.htm"} +{"d:Title": "Rotten Tomatoes: Shadow Hours", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Hours", "url": "http://www.rottentomatoes.com/m/shadow_hours/"} +{"d:Title": "IMDb: Shadow Hours (2000)", "d:Description": "Cast and crew links, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Hours", "url": "http://www.imdb.com/title/tt0226430/"} +{"d:Title": "Metacritic.com - Shadow Hours", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Hours", "url": "http://www.metacritic.com/movie/shadow-hours"} +{"d:Title": "HARO Online", "d:Description": "Includes a review and links.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Magic", "url": "http://www.haro-online.com/movies/shadow_magic.html"} +{"d:Title": "All-Reviews.com - Shadow Magic", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Magic", "url": "http://www.all-reviews.com/videos-3/shadow-magic.htm"} +{"d:Title": "Rotten Tomatoes - Shadow Magic", "d:Description": "Reviews, trailers, synopsis, and other related information.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Magic", "url": "http://www.rottentomatoes.com/m/shadow_magic/"} +{"d:Title": "IMDb.com - Shadow Magic", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Magic", "url": "http://www.imdb.com/title/tt0238588/"} +{"d:Title": "Metacritic.com: Shadow Magic", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Shadow_Magic", "url": "http://www.metacritic.com/movie/shadow-magic"} +{"d:Title": "All-Reviews.com - Shadow of the Vampire", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Shadow_of_the_Vampire", "url": "http://www.all-reviews.com/videos-2/shadow-of-vampire.htm"} +{"d:Title": "Cake Or Death - Shadow of the Vampire", "d:Description": "Compiled reviews and previews of the film.", "topic": "Top/Arts/Movies/Titles/S/Shadow_of_the_Vampire", "url": "http://www.auntiemomo.com/cakeordeath/burned.html"} +{"d:Title": "HARO Online - Shadow of the Vampire", "d:Description": "Mongoose reviews the movie. Rates it 'Pretty Good'", "topic": "Top/Arts/Movies/Titles/S/Shadow_of_the_Vampire", "url": "http://www.haro-online.com/movies/shadow_of_the_vampire.html"} +{"d:Title": "Rotten Tomatoes - Shadow of the Vampire", "d:Description": "Reviews, synopsis, media predictions, trailer, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Shadow_of_the_Vampire", "url": "http://www.rottentomatoes.com/m/shadow_of_the_vampire/"} +{"d:Title": "IMDb - Shadow of the Vampire (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Shadow_of_the_Vampire", "url": "http://www.imdb.com/title/tt0189998/"} +{"d:Title": "Metacritic.com - Shadow of the Vampire", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Shadow_of_the_Vampire", "url": "http://www.metacritic.com/movie/shadow-of-the-vampire"} +{"d:Title": "AwesomeStories.com: Shadow of the Vampire", "d:Description": "Features the story behind the movie with detail covering the real Dracula, Nosferatu, Bram Stoker and F.W. Murnau.", "topic": "Top/Arts/Movies/Titles/S/Shadow_of_the_Vampire", "url": "https://www.awesomestories.com/asset/view/Shadow-of-the-Vampire-The-Real-Dracula"} +{"d:Title": "IMDb - Shadrach (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Shadrach", "url": "http://www.imdb.com/title/tt0144604/"} +{"d:Title": "WWWF Grudge Match: Dirty Harry vs. Shaft", "d:Description": "A comical story where two symbols of 1970s coolness shoot it out in a back alley.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_1971", "url": "http://www.grudge-match.com/History/harry-shaft.shtml"} +{"d:Title": "All-Reviews.com: Shaft", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_1971", "url": "http://www.all-reviews.com/videos-4/shaft-1971.htm"} +{"d:Title": "Shaft Sounds", "d:Description": "Collection of sounds [WAV], links and news.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_1971", "url": "http://shaft.foamingaction.com/"} +{"d:Title": "IMDb: Shaft (1971)", "d:Description": "Cast information, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_1971", "url": "http://www.imdb.com/title/tt0067741/"} +{"d:Title": "TV Guide Online: Shaft", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_1971", "url": "http://www.tvguide.com/movies/shaft/117409/"} +{"d:Title": "All-Reviews.com - Shaft", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_2000", "url": "http://www.all-reviews.com/videos/shaft.htm"} +{"d:Title": "PopMatters - Shaft", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_2000", "url": "http://popmatters.com/film/reviews/s/shaft.html"} +{"d:Title": "HARO Online - Shaft", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_2000", "url": "http://www.haro-online.com/movies/shaft.html"} +{"d:Title": "Rotten Tomatoes - Shaft", "d:Description": "Reviews, synopsis, media predictions, trailer, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_2000", "url": "http://www.rottentomatoes.com/m/1098038-shaft/"} +{"d:Title": "IMDb - Shaft (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_2000", "url": "http://www.imdb.com/title/tt0162650/"} +{"d:Title": "Metacritic.com - Shaft - 2000", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_2000", "url": "http://www.metacritic.com/movie/shaft"} +{"d:Title": "AllReaders.com Review - Shaft (2000)", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Samuel L. Jackson film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Shaft_-_2000", "url": "http://allreaders.com/movie-review-summary/shaft-2000-5643"} +{"d:Title": "Filmtracks: Shakespeare in Love", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love", "url": "http://www.filmtracks.com/titles/shakespeare_love.html"} +{"d:Title": "IMDb - Shakespeare in Love (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love", "url": "http://www.imdb.com/title/tt0138097/"} +{"d:Title": "The Ring Around Shakespeare in Love", "d:Description": "For sites dedicated to the movie, actors in the movie, Shakespeare, or one of his plays.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love/Directories", "url": "http://www.webring.org/hub?ring=shakespearelove"} +{"d:Title": "Shakespeare in Love", "d:Description": "A ring for sites concerned in any way with the 1998 movie.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love/Directories", "url": "http://www.webring.org/hub?ring=silring"} +{"d:Title": "Guardian Unlimited: Shakespeare in Love", "d:Description": "Links to two reviews, movie summary, ratings, and related subjects.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love/Reviews", "url": "http://www.filmunlimited.co.uk/Film_Page/0,4061,30657,00.html"} +{"d:Title": "All-Reviews.com: Shakespeare in Love", "d:Description": "Collection of several reviews, with credits and ratings.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love/Reviews", "url": "http://www.all-reviews.com/videos/shakespeare-in-love.htm"} +{"d:Title": "Metacritic.com: Shakespeare in Love", "d:Description": "A cross-section of reviews from top movie critics.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love/Reviews", "url": "http://www.metacritic.com/movie/shakespeare-in-love"} +{"d:Title": "Shakespeare in Love", "d:Description": "A review from 1998, by Roger Ebert, taken from the Chicago Sun-Times. Cast listing and rating.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love/Reviews", "url": "http://www.rogerebert.com/reviews/shakespeare-in-love-1998"} +{"d:Title": "AllReaders.com Review: Shakespeare in Love", "d:Description": "Analytical review of the plot, setting, theme, and structure. Links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Shakespeare_in_Love/Reviews", "url": "http://allreaders.com/movie-review-summary/shakespeare-in-love-4063"} +{"d:Title": "All-Reviews.com - Shallow Grave", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Shallow_Grave", "url": "http://www.all-reviews.com/videos/shallow-grave.htm"} +{"d:Title": "Scotland the Movie Location Guide - Shallow Grave", "d:Description": "Scottish filming locations of Shallow Grave", "topic": "Top/Arts/Movies/Titles/S/Shallow_Grave", "url": "http://www.scotlandthemovie.com/movies/fgrave.html"} +{"d:Title": "IMDb: Shallow Grave", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Shallow_Grave", "url": "http://www.imdb.com/title/tt0111149/"} +{"d:Title": "All-Reviews.com: Shallow Hal", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shallow_Hal", "url": "http://www.all-reviews.com/videos-4/shallow-hal.htm"} +{"d:Title": "HARO Online: Shallow Hal", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Shallow_Hal", "url": "http://www.haro-online.com/movies/shallow_hal.html"} +{"d:Title": "Rotten Tomatoes: Shallow Hal", "d:Description": "Links to reviews, synopsis, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shallow_Hal", "url": "http://www.rottentomatoes.com/m/shallow_hal/"} +{"d:Title": "Metacritic.com: Shallow Hal", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shallow_Hal", "url": "http://www.metacritic.com/movie/shallow-hal"} +{"d:Title": "TV Guide: Shall We Dance", "d:Description": "Movie review, plot, cast, picture, background, and credits.", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dance_-_1937", "url": "http://www.tvguide.com/movies/shall-dance/117425/"} +{"d:Title": "KillerMovies - Shall We Dance?", "d:Description": "Synopsis and production news.", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dance_-_2004", "url": "http://www.killermovies.com/s/shallwedance/"} +{"d:Title": "IMDb: Shall We Dance? (2004)", "d:Description": "Film overview, cast and crew, production and release information, media, and links.", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dance_-_2004", "url": "http://www.imdb.com/title/tt0358135/"} +{"d:Title": "Rotten Tomatoes: Shall We Dance?", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dance_-_2004", "url": "http://www.rottentomatoes.com/m/shall_we_dance/"} +{"d:Title": "Washington Post", "d:Description": "Review, by Stephen Hunter: \"As glossy and overproduced as the thing is, it's a GOOD Big Stupid American movie.\"", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dance_-_2004", "url": "http://www.washingtonpost.com/wp-dyn/articles/A34310-2004Oct15.html"} +{"d:Title": "IGN Movies: Shall We Dance?", "d:Description": "Trailer, interview, and a review [3 out of 5].", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dance_-_2004", "url": "http://www.ign.com/movies/shall-we-dance/theater-708763"} +{"d:Title": "Teach with Movies: Shall We Dance?", "d:Description": "Parents' guide to using this movie as an educational tool with their teenaged children. [Paid subscription required.]", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dansu", "url": "http://www.teachwithmovies.org/guides/shall-we-dance.html"} +{"d:Title": "Midnight Eye: Shall We Dance? (1996)", "d:Description": "Review of the Hong Kong video release of the film, emphasizing the elements that give it cross-cultural appeal, especially to middle-aged men.", "topic": "Top/Arts/Movies/Titles/S/Shall_We_Dansu", "url": "http://www.midnighteye.com/reviews/shall-we-dance/"} +{"d:Title": "Greatest Films - Shane (1953)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Shane", "url": "http://www.filmsite.org/shan.html"} +{"d:Title": "Shane (1953)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Shane", "url": "http://www.imdb.com/title/tt0046303/"} +{"d:Title": "Images: Shane", "d:Description": "Review by Elizabeth Abele.", "topic": "Top/Arts/Movies/Titles/S/Shane", "url": "http://www.imagesjournal.com/issue10/infocus/shane.htm"} +{"d:Title": "TV Guide Online: Shane", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Shane", "url": "http://www.tvguide.com/movies/shane/117436/"} +{"d:Title": "HARO Online: Shanghai Knights", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Knights", "url": "http://www.haro-online.com/movies/shanghai_knights.html"} +{"d:Title": "All-Reviews.com: Shanghai Knights", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Knights", "url": "http://all-reviews.com/videos-5/shanghai-knights.htm"} +{"d:Title": "KillerMovies: Shanghai Knights", "d:Description": "Synopsis, links to multimedia, and articles.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Knights", "url": "http://www.killermovies.com/s/shanghaiknights/"} +{"d:Title": "Rotten Tomatoes: Shanghai Knights", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Knights", "url": "http://www.rottentomatoes.com/m/shanghai_knights/"} +{"d:Title": "IMDb: Shanghai Knights (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Knights", "url": "http://www.imdb.com/title/tt0300471/"} +{"d:Title": "Chicago Sun-Times: Shanghai Knights", "d:Description": "Rober Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Knights", "url": "http://www.rogerebert.com/reviews/shanghai-knights-2003"} +{"d:Title": "Shanghai Noon - Review: HARO Online", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Noon", "url": "http://www.haro-online.com/movies/shanghai_noon.html"} +{"d:Title": "Shanghai Noon - Review: PopMatters", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Noon", "url": "http://popmatters.com/film/reviews/s/shanghai-noon.html"} +{"d:Title": "Shanghai Noon - Review: All-Reviews.com", "d:Description": "Reviews of the movie", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Noon", "url": "http://www.all-reviews.com/videos/shanghai-noon.htm"} +{"d:Title": "Shanghai Noon - Review: Rotten Tomatoes", "d:Description": "Reviews and reactions from the nation's top critics. Plus information on upcoming movies, news, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Noon", "url": "http://www.rottentomatoes.com/m/shanghai_noon/"} +{"d:Title": "Shanghai Noon - Data: Internet Movie Database (IMDb)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Noon", "url": "http://www.imdb.com/title/tt0184894/"} +{"d:Title": "Metacritic.com: Shanghai Noon", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Noon", "url": "http://www.metacritic.com/movie/shanghai-noon"} +{"d:Title": "AllReaders.com Shanghai Noon Spotlight", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Jackie Chan film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Shanghai_Noon", "url": "http://allreaders.com/movie-review-summary/shanghai-noon-4782"} +{"d:Title": "Rotten Tomatoes: Shaolin Soccer", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shaolin_Soccer", "url": "http://www.rottentomatoes.com/m/shaolin_soccer/"} +{"d:Title": "Shaolin Soccer", "d:Description": "Features cast and crew details, photographs, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/S/Shaolin_Soccer", "url": "http://www.imdb.com/title/tt0286112/"} +{"d:Title": "Chicago Sun-Times: Shaolin Soccer", "d:Description": "Roger Ebert's 3-star review.", "topic": "Top/Arts/Movies/Titles/S/Shaolin_Soccer", "url": "http://www.rogerebert.com/reviews/shaolin-soccer-2004"} +{"d:Title": "HARO Online: Shattered Glass", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Shattered_Glass", "url": "http://www.haro-online.com/movies/shattered_glass.html"} +{"d:Title": "All-Reviews.com: Shattered Glass", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shattered_Glass", "url": "http://www.all-reviews.com/videos-5/shattered-glass.htm"} +{"d:Title": "Internet Movie Database: Shattered Glass (2003)", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, photographs, production and distribution information, trailer, and links.", "topic": "Top/Arts/Movies/Titles/S/Shattered_Glass", "url": "http://www.imdb.com/title/tt0323944/"} +{"d:Title": "Future Movies: Shattered Glass", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/S/Shattered_Glass", "url": "https://www.futuremovies.co.uk/reviews/shattered-glass/nik-huggins"} +{"d:Title": "TV Guide: Shattered Image", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Shattered_Image_-_1994", "url": "http://www.tvguide.com/movies/shattered-image/130708/"} +{"d:Title": "IMDb: Shaun of the Dead (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Shaun_of_the_Dead", "url": "http://www.imdb.com/title/tt0365748/"} +{"d:Title": "HARO Online: Shaun of the Dead", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Shaun_of_the_Dead", "url": "http://www.haro-online.com/movies/shaun_of_the_dead.html"} +{"d:Title": "Rotten Tomatoes: Shaun of the Dead", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shaun_of_the_Dead", "url": "http://www.rottentomatoes.com/m/shaun_of_the_dead/"} +{"d:Title": "RogerEbert.com: Shaun of the Dead", "d:Description": "Review and ratings.", "topic": "Top/Arts/Movies/Titles/S/Shaun_of_the_Dead", "url": "http://www.rogerebert.com/reviews/shaun-of-the-dead-2004"} +{"d:Title": "Future Movies: Shaun of the Dead", "d:Description": "Complimentary review of the film. Also includes photographs.", "topic": "Top/Arts/Movies/Titles/S/Shaun_of_the_Dead", "url": "https://www.futuremovies.co.uk/reviews/shaun-of-the-dead/adrian-mackinder"} +{"d:Title": "IMDb - The Shawshank Redemption", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The", "url": "http://www.imdb.com/title/tt0111161/"} +{"d:Title": "AllReaders.com - Shawshank Redemption", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The", "url": "http://allreaders.com/movie-review-summary/the-shawshank-redemption-3844"} +{"d:Title": "The Shawshank Redemption (The Washington Post)", "d:Description": "Review of the movie written by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/theshawshankredemptionrkempley_a0345a.htm"} +{"d:Title": "All-Reviews.com - The Shawshank Redemption", "d:Description": "3 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The/Reviews", "url": "http://www.all-reviews.com/videos-3/shawshank-redemption.htm"} +{"d:Title": "Greatest Films - The Shawshank Redemption", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The/Reviews", "url": "http://www.filmsite.org/shaw.html"} +{"d:Title": "Metacritic.com: The Shawshank Redemption", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The/Reviews", "url": "http://www.metacritic.com/movie/the-shawshank-redemption"} +{"d:Title": "The Shawshank Redemption (Chicago Sun-Times)", "d:Description": "Review of the movie written by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The/Reviews", "url": "http://www.rogerebert.com/reviews/great-movie-the-shawshank-redemption-1994"} +{"d:Title": "TV Guide Online: The Shawshank Redemption", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Shawshank_Redemption,_The/Reviews", "url": "http://www.tvguide.com/movies/shawshank-redemption/130177/"} +{"d:Title": "IMDb - She's All That (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/S/She's_All_That", "url": "http://www.imdb.com/title/tt0160862/"} +{"d:Title": "Roger Ebert - She's All That", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/She's_All_That", "url": "http://www.rogerebert.com/reviews/shes-all-that-1999"} +{"d:Title": "IMDb.com - She's Having a Baby", "d:Description": "Cast/crew information, user comments, ratings and other background details.", "topic": "Top/Arts/Movies/Titles/S/She's_Having_a_Baby", "url": "http://www.imdb.com/title/tt0096094/"} +{"d:Title": "AllReaders.com Spotlight - She's Having a Baby", "d:Description": "Analysis of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/She's_Having_a_Baby", "url": "http://allreaders.com/movie-review-summary/shes-having-baby-5247"} +{"d:Title": "TV Guide Online - She's Having a Baby", "d:Description": "2 star review with synopsis, cast and crew, and other background information.", "topic": "Top/Arts/Movies/Titles/S/She's_Having_a_Baby", "url": "http://www.tvguide.com/movies/shes-having-baby/127404/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/She's_So_Lovely", "url": "http://crazy4cinema.com/Review/FilmsS/f_sheslovely.html"} +{"d:Title": "TV Guide: She's So Lovely", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/S/She's_So_Lovely", "url": "http://www.tvguide.com/movies/shes-lovely/132325/"} +{"d:Title": "IMDb - She's the Man (2006)", "d:Description": "Cast, crew, user and external reviews, plot summary, release dates and discussion forums.", "topic": "Top/Arts/Movies/Titles/S/She's_the_Man", "url": "http://www.imdb.com/title/tt0454945/"} +{"d:Title": "Rotten Tomatoes - She's the Man", "d:Description": "Reviews, showtimes, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/S/She's_the_Man", "url": "http://www.rottentomatoes.com/m/10006166-shes_the_man/"} +{"d:Title": "Yahoo Movies - She's the Man", "d:Description": "News, photos and trailers, as well as local showtimes and message boards.", "topic": "Top/Arts/Movies/Titles/S/She's_the_Man", "url": "http://movies.yahoo.com/movie/shes-the-man/"} +{"d:Title": "Roger Ebert.com - She's the Man", "d:Description": "Review plus cast and credits.", "topic": "Top/Arts/Movies/Titles/S/She's_the_Man", "url": "http://www.rogerebert.com/reviews/shes-the-man-2006"} +{"d:Title": "MetroActive Movies: She's The One", "d:Description": "Richard von Busack reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/She's_the_One", "url": "http://www.metroactive.com/papers/metro/08.22.96/shes-one-9634.html"} +{"d:Title": "All-Reviews.com - She's the One", "d:Description": "2 1/2 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/She's_the_One", "url": "http://www.all-reviews.com/videos-3/shes-the-one.htm"} +{"d:Title": "Chicago Sun Times: She's the One", "d:Description": "Roger Ebert reviews the movie and gives it 2 stars.", "topic": "Top/Arts/Movies/Titles/S/She's_the_One", "url": "http://www.rogerebert.com/reviews/shes-the-one-1996"} +{"d:Title": "IMDb: She's the One", "d:Description": "Features cast/crew information, user ratings and comments, reviews, plot summary, and trailer.", "topic": "Top/Arts/Movies/Titles/S/She's_the_One", "url": "http://www.imdb.com/title/tt0117628/"} +{"d:Title": "Sherlock Holmes Films", "d:Description": "Extensive look at the films in the Basil Rathbone series.", "topic": "Top/Arts/Movies/Titles/S/Sherlock_Holmes_Movies", "url": "http://www.basilrathbone.net/films/#Sherlock"} +{"d:Title": "Holmes on Screen: Silents to Early Sound", "d:Description": "Damian Magee examines the pre-Rathbone Holmes films.", "topic": "Top/Arts/Movies/Titles/S/Sherlock_Holmes_Movies", "url": "http://members.iinet.net.au/~exlibris/onscree4.html"} +{"d:Title": "The Hound of the Baskervilles", "d:Description": "Extensive look at the film, including photos.", "topic": "Top/Arts/Movies/Titles/S/Sherlock_Holmes_Movies/Hound_of_the_Baskervilles,_The_-_1939", "url": "http://www.basilrathbone.net/films/shhound/"} +{"d:Title": "The Hound of the Baskervilles", "d:Description": "Cast, crew and review of the first of the Rathbone films.", "topic": "Top/Arts/Movies/Titles/S/Sherlock_Holmes_Movies/Hound_of_the_Baskervilles,_The_-_1939", "url": "http://www.imdb.com/title/tt0031448/"} +{"d:Title": "AllMovie: The Hound of the Baskervilles", "d:Description": "Film details, synopsis, review, credits, as well as listing of similar and related films.", "topic": "Top/Arts/Movies/Titles/S/Sherlock_Holmes_Movies/Hound_of_the_Baskervilles,_The_-_1939", "url": "http://www.allmovie.com/movie/the-hound-of-the-baskervilles-v23308"} +{"d:Title": "Rotten Tomatoes: She (1985)", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/She_-_1985", "url": "http://www.rottentomatoes.com/m/1018772-she/"} +{"d:Title": "IMDb: She (1985)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/She_-_1985", "url": "http://www.imdb.com/title/tt0090009/"} +{"d:Title": "HARO Online: She Hate ME", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/She_Hate_Me", "url": "http://www.haro-online.com/movies/she_hate_me.html"} +{"d:Title": "Rotten Tomatoes: She Hate Me", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/She_Hate_Me", "url": "http://www.rottentomatoes.com/m/she_hate_me/"} +{"d:Title": "IMDb: She Hate Me (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/She_Hate_Me", "url": "http://www.imdb.com/title/tt0384533/"} +{"d:Title": "Chicago Sun-Times: She Hate Me", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/She_Hate_Me", "url": "http://www.rogerebert.com/reviews/she-hate-me-2004"} +{"d:Title": "All-Reviews.com: Shiloh", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Shiloh_Season", "url": "http://www.all-reviews.com/videos-3/shiloh.htm"} +{"d:Title": "Shine: Scene Review", "d:Description": "Review of the Rach 3 scene.", "topic": "Top/Arts/Movies/Titles/S/Shine", "url": "http://caboo.faithweb.com/shinescene.html"} +{"d:Title": "RollingStone.com - Shine", "d:Description": "Includes a synopsis, review, photos, cast and crew, message boards, and trivia.", "topic": "Top/Arts/Movies/Titles/S/Shine", "url": "http://www.rollingstone.com/movies/reviews/shine-19961120"} +{"d:Title": "Metacritic.com - Shine", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Shine", "url": "http://www.metacritic.com/movie/shine"} +{"d:Title": "IMDb: Shine", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Shine", "url": "http://www.imdb.com/title/tt0117631/"} +{"d:Title": "Allreaders Review - Shine", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Shine", "url": "http://allreaders.com/movie-review-summary/shine-3899"} +{"d:Title": "TV Guide Online: Shine", "d:Description": "Brief synopsis and review.", "topic": "Top/Arts/Movies/Titles/S/Shine", "url": "http://www.tvguide.com/movies/shine/131567/"} +{"d:Title": "Rotten Tomatoes: Shine a Light", "d:Description": "Synopsis, cast members, photos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Shine_a_Light", "url": "http://www.rottentomatoes.com/m/shine_a_light/"} +{"d:Title": "Shine A Light", "d:Description": "Official site. Film information, video, gallery, downloads, links, and soundtrack.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Shine_a_Light", "url": "http://www.shinealightmovie.com/"} +{"d:Title": "IGN Movies: Shine a Light", "d:Description": "Photos, news, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Shine_a_Light", "url": "http://www.ign.com/movies/shine-a-light/theater-962109"} +{"d:Title": "USA Today: Shine a Light", "d:Description": "Review, by Elysa Gardner: \"The genius of Scorsese's film, which is being shown in IMAX in 93 theaters, is that it reveals the Stones' mortality while celebrating all that makes them more than mere mortals.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Shine_a_Light", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-04-03-shine-a-light_N.htm"} +{"d:Title": "MovieWeb: Shine a Light (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Shine_a_Light", "url": "http://movieweb.com/movie/shine-a-light/"} +{"d:Title": "Greatest Films - The Shining (1980)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Shining,_The", "url": "http://www.filmsite.org/shin.html"} +{"d:Title": "All-Reviews.com - The Shining", "d:Description": "4 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/Shining,_The", "url": "http://www.all-reviews.com/videos-3/shining.htm"} +{"d:Title": "IMDb: The Shining (1980)", "d:Description": "Full cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/Shining,_The", "url": "http://www.imdb.com/title/tt0081505/"} +{"d:Title": "TV Guide: The Shining", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Shining,_The", "url": "http://www.tvguide.com/movies/shining/117582/"} +{"d:Title": "HARO Online: The Shipping News", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Shipping_News,_The", "url": "http://www.haro-online.com/movies/shipping_news.html"} +{"d:Title": "All-Reviews.com: The Shipping News", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shipping_News,_The", "url": "http://www.all-reviews.com/videos-4/shipping-news.htm"} +{"d:Title": "Rotten Tomatoes: The Shipping News", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shipping_News,_The", "url": "http://www.rottentomatoes.com/m/shipping_news/"} +{"d:Title": "IMDb: The Shipping News (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Shipping_News,_The", "url": "http://www.imdb.com/title/tt0120824/"} +{"d:Title": "Metacritic.com: The Shipping News", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shipping_News,_The", "url": "http://www.metacritic.com/movie/the-shipping-news"} +{"d:Title": "IMDb: H\u00e5kon H\u00e5konsen (1990)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/S/Shipwrecked", "url": "http://www.imdb.com/title/tt0099816/"} +{"d:Title": "HARO Online: Shiri", "d:Description": "Review with pictures.", "topic": "Top/Arts/Movies/Titles/S/Shiri", "url": "http://www.haro-online.com/movies/shiri.html"} +{"d:Title": "Rotten Tomatoes: Shiri", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shiri", "url": "http://www.rottentomatoes.com/m/shiri/"} +{"d:Title": "IMDb: Swiri (1999)", "d:Description": "Cast information, synopsis, trailer, and comments.", "topic": "Top/Arts/Movies/Titles/S/Shiri", "url": "http://www.imdb.com/title/tt0192657/"} +{"d:Title": "Metacritic.com: Shiri", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Shiri", "url": "http://www.metacritic.com/movie/swiri"} +{"d:Title": "Internet Movie Database: Shivers", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, production and distribution information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/S/Shivers_-_1975", "url": "http://imdb.com/title/tt0073705/"} +{"d:Title": "Lust Feeds Cronenberg's `Shivers'", "d:Description": "Review of the movie by Mick LaSalle [San Francisco Chronicle].", "topic": "Top/Arts/Movies/Titles/S/Shivers_-_1975", "url": "http://www.sfgate.com/movies/article/Lust-Feeds-Cronenberg-s-Shivers-2798331.php"} +{"d:Title": "Midnight Madness Shock Treatment Site", "d:Description": "Features performance pictures, a review, and an audience participation script.", "topic": "Top/Arts/Movies/Titles/S/Shock_Treatment", "url": "http://www.midnightmadness.org/st/"} +{"d:Title": "Internet Movie Database: Shock Treatment (1981)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/S/Shock_Treatment", "url": "http://www.imdb.com/title/tt0083067/"} +{"d:Title": "IMDb: \"Shooters\" (2002)", "d:Description": "Includes cast, crew, reviews, user ratings and message board.", "topic": "Top/Arts/Movies/Titles/S/Shooters", "url": "http://www.imdb.com/title/tt0278035/"} +{"d:Title": "Mini Reviews: Shooters", "d:Description": "Brief, negative review citing the film as an unpleasant, visceral experience.", "topic": "Top/Arts/Movies/Titles/S/Shooters", "url": "http://www.reelfilm.com/mini28.htm"} +{"d:Title": "BBC: Shooters", "d:Description": "The film follows two friends caught up in a world of drugs, guns, and betrayal in this below average British crime drama. Reviewed by Neil Smith.", "topic": "Top/Arts/Movies/Titles/S/Shooters", "url": "http://www.bbc.co.uk/shropshire/films/2002/01/shooters.shtml"} +{"d:Title": "Shooters", "d:Description": "Dr. Kuma, who reviews the film for Phase9TV, concludes it is a superb but very, very bleak British film.", "topic": "Top/Arts/Movies/Titles/S/Shooters", "url": "http://www.phase9.tv/movie-reviews/shooters.shtml"} +{"d:Title": "IMDb: Shooting Fish", "d:Description": "Synopsis, cast and crew, and user comments,", "topic": "Top/Arts/Movies/Titles/S/Shooting_Fish", "url": "http://www.imdb.com/title/tt0120122/"} +{"d:Title": "Rotten Tomatoes: The Shootist", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shootist,_The", "url": "http://www.rottentomatoes.com/m/shootist/"} +{"d:Title": "AllReaders.com: The Shootist", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Shootist,_The", "url": "http://allreaders.com/movie-review-summary/the-shootist-4067"} +{"d:Title": "IMDb: The Shootist (1976)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Shootist,_The", "url": "http://www.imdb.com/title/tt0075213/"} +{"d:Title": "IMDb: Shoot 'Em Up", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.imdb.com/title/tt0465602/"} +{"d:Title": "WorstPreviews: Shoot 'Em Up", "d:Description": "Offers commentary, box office predictions, cast list, reader poll and images.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.worstpreviews.com/review.php?id=559§ion=preview"} +{"d:Title": "Shoot 'Em Up Movie Photos", "d:Description": "Images from the movie Shoot 'Em Up featuring Clive Owen, Monica Bellucci and Paul Giamatti.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://movies.about.com/od/shootemup/ig/Shoot-Em-Up-Photos/index.htm"} +{"d:Title": "CanMag Interview: Michael Davis on Shoot 'Em Up", "d:Description": "The writer/director invited press to his editing room to preview scenes from his upcoming movie Shoot 'Em Up. Includes small gallery.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.canmag.com/nw/7982-shoot-em-up-michael-davis"} +{"d:Title": "CanMag Interview: Set Visit - Shoot 'Em Up Pranks", "d:Description": "Interview with director Michael Davis as he explains the pranks that will occur on the set of Shoot 'Em Up. Includes photos.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.canmag.com/nw/8013-shoot-em-up-pranks"} +{"d:Title": "Shoot 'Em Up: Make Sure to Eat Your Carrots", "d:Description": "Clive Owen quickly becomes the perfect action movie hero in the over-the-top Shoot 'Em Up. By Ken Evans.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.firstshowing.net/2007/09/06/kens-review-shoot-em-up-make-sure-to-eat-your-carrots/"} +{"d:Title": "Moviefone Photos: Shoot 'Em Up", "d:Description": "Synopsis, credits, related news, photographs, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.moviefone.com/movie/shoot-em-up/28651/main"} +{"d:Title": "Rotten Tomatoes: Shoot 'Em Up", "d:Description": "Synopsis, cast and crew lists, photos, trailer, news, links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.rottentomatoes.com/m/shoot_em_up/"} +{"d:Title": "JoBlo: Shoot 'Em Up", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.joblo.com/movies/database/2007/shoot-em-up/"} +{"d:Title": "ComingSoon.net: Shoot 'Em Up Sequel Script Already Done", "d:Description": "Although New Line Cinema's hard core action flick Shoot 'Em Up won't hit theaters until September 7, director Michael Davis said today he was prepared to make a sequel. By Heather Newgen.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.comingsoon.net/movies/news/20891-shoot-em-up-sequel-script-already-done"} +{"d:Title": "Movie Forums: Shoot 'Em Up", "d:Description": "The film takes aim at the action genre and proves to be an adept marksman.", "topic": "Top/Arts/Movies/Titles/S/Shoot_'Em_Up", "url": "http://www.movieforums.com/reviews/1081598-shoot-em-up.html"} +{"d:Title": "IMDb: Shopgirl (2004)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/S/Shopgirl", "url": "http://www.imdb.com/title/tt0338427/"} +{"d:Title": "Rotten Tomatoes: The Shop Around the Corner", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Shop_Around_the_Corner,_The", "url": "http://www.rottentomatoes.com/m/shop_around_the_corner/"} +{"d:Title": "IMDb: The Shop Around the Corner (1940)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Shop_Around_the_Corner,_The", "url": "http://www.imdb.com/title/tt0033045/"} +{"d:Title": "Allreaders.com: The Shop Around the Corner", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Shop_Around_the_Corner,_The", "url": "http://allreaders.com/movie-review-summary/the-shop-around-the-corner-3707"} +{"d:Title": "Everything Johnny Five", "d:Description": "A tribute to the robot Johnny Five with a DVD preview, news, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Short_Circuit_Series/Short_Circuit", "url": "http://j5-alive.tripod.com/"} +{"d:Title": "Johnny-Five.com", "d:Description": "Fan site featuring information on the Short Circuit series centering around the robotic star.", "topic": "Top/Arts/Movies/Titles/S/Short_Circuit_Series/Short_Circuit", "url": "http://www.johnny-five.com/"} +{"d:Title": "RogerEbert.com: Short Circuit", "d:Description": "Review by Roger Ebert for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/S/Short_Circuit_Series/Short_Circuit", "url": "http://www.rogerebert.com/reviews/short-circuit-1986"} +{"d:Title": "IMDb: Short Circuit (1986)", "d:Description": "Full cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/Short_Circuit_Series/Short_Circuit", "url": "http://www.imdb.com/title/tt0091949/"} +{"d:Title": "Rotten Tomatoes: Short Circuit 2", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Short_Circuit_Series/Short_Circuit_2", "url": "http://www.rottentomatoes.com/m/short_circuit_2/"} +{"d:Title": "IMDb: Short Circuit 2 (1988)", "d:Description": "Full cast information, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Short_Circuit_Series/Short_Circuit_2", "url": "http://www.imdb.com/title/tt0096101/"} +{"d:Title": "At-A-Glance Film Review: Short Cuts", "d:Description": "A brief review of the film. Also includes a link to a review of \"Trust, Love, and Ketchup,\" the documentary about the making of \"Short Cuts.\"", "topic": "Top/Arts/Movies/Titles/S/Short_Cuts", "url": "http://rinkworks.com/movies/m/short.cuts.1993.shtml"} +{"d:Title": "The Interactive Short Cuts", "d:Description": "Navigate character interrelationships with a clickable imagemap. Also includes appropriate passages from the Carver short stories.", "topic": "Top/Arts/Movies/Titles/S/Short_Cuts", "url": "http://www.euronet.nl/users/mcbeijer/dan/shortcuts/"} +{"d:Title": "All-Reviews.com - Short Cuts", "d:Description": "3 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/Short_Cuts", "url": "http://www.all-reviews.com/videos-3/short-cuts.htm"} +{"d:Title": "Short Cuts, Narrative Film and Hypertext", "d:Description": "An academic paper, focusing on the application of narrative hypertextual theory to the film.", "topic": "Top/Arts/Movies/Titles/S/Short_Cuts", "url": "http://www.mindspring.com/~dbalcom/short_cuts.html"} +{"d:Title": "Metacritic.com: Short Cuts", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Short_Cuts", "url": "http://www.metacritic.com/movie/short-cuts"} +{"d:Title": "Chicago Sun-Times: Short Cuts", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/S/Short_Cuts", "url": "http://www.rogerebert.com/reviews/short-cuts-1993"} +{"d:Title": "TV Guide: Short Cuts", "d:Description": "Review, plot summary, cast list.", "topic": "Top/Arts/Movies/Titles/S/Short_Cuts", "url": "http://www.tvguide.com/movies/short-cuts/129354/"} +{"d:Title": "HARO Online: A Shot at Glory", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Shot_at_Glory,_A", "url": "http://www.haro-online.com/movies/shot_at_glory.html"} +{"d:Title": "Internet Movie Database: Shot at Glory, A", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/Shot_at_Glory,_A", "url": "http://imdb.com/title/tt0193854/"} +{"d:Title": "IMDb: Showboy (2002)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Showboy", "url": "http://www.imdb.com/title/tt0326148/"} +{"d:Title": "Rotten Tomatoes: Showboy", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/S/Showboy", "url": "http://www.rottentomatoes.com/m/showboy/"} +{"d:Title": "Rotten Tomatoes: ShowBusiness", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/ShowBusiness_-_The_Road_to_Broadway", "url": "http://www.rottentomatoes.com/m/show_business/"} +{"d:Title": "IMDb: ShowBusiness", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/ShowBusiness_-_The_Road_to_Broadway", "url": "http://www.imdb.com/title/tt0456004/"} +{"d:Title": "Boston Globe: ShowBusiness", "d:Description": "Review, by Ty Burr: \"The film's slick and entertaining, an obvious must-see for musical hounds.\"", "topic": "Top/Arts/Movies/Titles/S/ShowBusiness_-_The_Road_to_Broadway", "url": "http://archive.boston.com/ae/movies/articles/2007/06/08/an_entertaining_look_at_how_broadway_works/"} +{"d:Title": "All-Reviews.com: Showtime", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Showtime_-_2002", "url": "http://www.all-reviews.com/videos-4/showtime.htm"} +{"d:Title": "HARO Online: Showtime", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Showtime_-_2002", "url": "http://www.haro-online.com/movies/showtime.html"} +{"d:Title": "IMDb: Showtime (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Showtime_-_2002", "url": "http://www.imdb.com/title/tt0284490/"} +{"d:Title": "Metacritic.com: Showtime", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Showtime_-_2002", "url": "http://www.metacritic.com/movie/showtime"} +{"d:Title": "IMDb: Show Girl (1928)", "d:Description": "Cast, credits, and production information.", "topic": "Top/Arts/Movies/Titles/S/Show_Girl", "url": "http://www.imdb.com/title/tt0019378/"} +{"d:Title": "TV Guide: Show Girl", "d:Description": "Unsigned review (mixed), review.", "topic": "Top/Arts/Movies/Titles/S/Show_Girl", "url": "http://www.tvguide.com/movies/girl/117665/"} +{"d:Title": "Internet Movie Database: Shrunken Heads", "d:Description": "Plot summary, cast and crew, user comments and ratings, distribution details, and links.", "topic": "Top/Arts/Movies/Titles/S/Shrunken_Heads", "url": "http://www.imdb.com/title/tt0111175/"} +{"d:Title": "Rotten Tomatoes: Shutter", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Shutter", "url": "http://www.rottentomatoes.com/m/10009254-shutter/"} +{"d:Title": "MovieWeb: Shutter (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/S/Shutter", "url": "http://movieweb.com/movie/shutter/"} +{"d:Title": "ReelViews: Shutter", "d:Description": "Review, by James Berardinelli: \"Asian horror remakes are typically not screened for critics, and Shutter is no exception. The studios know what they have: watered-down, lifeless shells of motion pictures devoid of characters, drama, or anything remotely resembling horror.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Shutter", "url": "http://www.reelviews.net/reelviews/shutter"} +{"d:Title": "IGN Movies: Shutter", "d:Description": "Videos, photos, preview, news, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Shutter", "url": "http://www.ign.com/movies/shutter/theater-14225679"} +{"d:Title": "TV Guide: Shutter", "d:Description": "Review, by Maitland McDonagh: \"Surprisingly effective supernatural tale in which there's more to fear from the living than the dead.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Shutter", "url": "http://www.tvguide.com/movies/shutter/review/292573/"} +{"d:Title": "Wikipedia - Sicko", "d:Description": "Provides film synopsis, reception, controversies, references, and links.", "topic": "Top/Arts/Movies/Titles/S/Sicko", "url": "http://en.wikipedia.org/wiki/Sicko_(film)"} +{"d:Title": "NPR : Moore's 'Sicko' Lands Blows on U.S. Health Care", "d:Description": "Two film critics and a science correspondent size up Moore's latest documentary, Sicko, an indictment of the U.S. health care system.", "topic": "Top/Arts/Movies/Titles/S/Sicko", "url": "http://www.npr.org/templates/story/story.php?storyId=11285514&ps=bb2"} +{"d:Title": "Time - Michael Moore's New Diagnosis", "d:Description": "Interview of Moore on his latest documentary, Sicko, and why the U.S. health care system is broken.", "topic": "Top/Arts/Movies/Titles/S/Sicko", "url": "http://www.time.com/time/health/article/0,8599,1622178,00.html"} +{"d:Title": "Time - Sicko is Socko", "d:Description": "Richard Corliss takes a look at Michael Moore's latest, controversial documentary - this time about America's health-care industry.", "topic": "Top/Arts/Movies/Titles/S/Sicko", "url": "http://www.time.com/time/arts/article/0,8599,1623337,00.html?cnn=yes"} +{"d:Title": "HARO Online: Sidewalks of New York", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Sidewalks_of_New_York", "url": "http://www.haro-online.com/movies/sidewalks_of_new_york.html"} +{"d:Title": "All-Reviews.com: Sidewalks of New York", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sidewalks_of_New_York", "url": "http://all-reviews.com/videos-4/sidewalks-of-new-york.htm"} +{"d:Title": "IMDb: Sidewalks of New York", "d:Description": "Features cast/crew information, user ratings and comments, reviews, and plot summary.", "topic": "Top/Arts/Movies/Titles/S/Sidewalks_of_New_York", "url": "http://www.imdb.com/title/tt0239986/"} +{"d:Title": "Metacritic.com: Sidewalks of New York", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sidewalks_of_New_York", "url": "http://www.metacritic.com/movie/sidewalks-of-new-york"} +{"d:Title": "IMDb: Sideways (2004)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, trailers, posters and photographs.", "topic": "Top/Arts/Movies/Titles/S/Sideways", "url": "http://www.imdb.com/title/tt0375063/"} +{"d:Title": "PopEntertainment.com: Sideways", "d:Description": "Review by Jay S. Jacobs.", "topic": "Top/Arts/Movies/Titles/S/Sideways", "url": "http://www.popentertainment.com/sideways.htm"} +{"d:Title": "HARO Online: Sideways", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/Sideways", "url": "http://www.haro-online.com/movies/sideways.html"} +{"d:Title": "PopEntertainment.com: Alexander Payne", "d:Description": "Brad Balfour talks with the director about the making of \"Sideways.\"", "topic": "Top/Arts/Movies/Titles/S/Sideways", "url": "http://www.popentertainment.com/payne.htm"} +{"d:Title": "Mooviees!: Sideways", "d:Description": "Features photos, wallpapers, trailers, review links, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/S/Sideways", "url": "http://www.mooviees.com/7956-sideways/movie"} +{"d:Title": "Chicago Sun-Times: Sideways", "d:Description": "Roger Ebert's take on the film.", "topic": "Top/Arts/Movies/Titles/S/Sideways", "url": "http://www.rogerebert.com/reviews/sideways-2004"} +{"d:Title": "Future Movies: Sideways", "d:Description": "Review by Michelle Thomas.", "topic": "Top/Arts/Movies/Titles/S/Sideways", "url": "https://www.futuremovies.co.uk/reviews/sideways/michelle-thomas"} +{"d:Title": "IMDB: Sid and Nancy", "d:Description": "Cast list and overview.", "topic": "Top/Arts/Movies/Titles/S/Sid_and_Nancy", "url": "http://www.imdb.com/title/tt0091954/"} +{"d:Title": "AllReaders.com Review - Sid and Nancy", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sid_and_Nancy", "url": "http://allreaders.com/movie-review-summary/sid-nancy-6717"} +{"d:Title": "All-Reviews.com - The Siege", "d:Description": "Links to multiple signed reviews.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.all-reviews.com/videos/siege.htm"} +{"d:Title": "At-a-Glance - The Siege (1998)", "d:Description": "Unsigned review (negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.rinkworks.com/movies/m/the.siege.1998.shtml"} +{"d:Title": "Christian Spotlight on the Movies - The Siege", "d:Description": "Review by David Rettig (predominantly negative), ratings.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/i-siege.html"} +{"d:Title": "Kids in Mind - The Siege", "d:Description": "Unsigned review with emphasis on suitability for children, ratings.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.kids-in-mind.com/S/siege_1998__167.htm"} +{"d:Title": "Movie Ram-blings - The Siege", "d:Description": "Review by Ram Samudrala (predominantly positive).", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.ram.org/ramblings/movies/siege.html"} +{"d:Title": "ScreenIt.com - The Siege", "d:Description": "Unsigned review (mixed) with emphasis on suitability for children, rating.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.screenit.com/movies/1998/the_siege.html"} +{"d:Title": "Urban Cinefile - The Siege", "d:Description": "Reader-contributed reviews, signed.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.urbancinefile.com.au/home/view.asp?a=1893&s=Reviews"} +{"d:Title": "CNN - Action-Filled \"Siege\" Better Suited to Summer", "d:Description": "Review by Paul Clinton (mixed).", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.cnn.com/SHOWBIZ/Movies/9811/06/review.siege/"} +{"d:Title": "New York Times - New York as Battleground of Terrorists and Troops", "d:Description": "Review by Janet Maslin (predominantly negative). Site requires free registration.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.nytimes.com/library/film/110698siege-film-review.html"} +{"d:Title": "Nitrate Online - The Siege", "d:Description": "Review essay by Sean Axmaker.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.nitrateonline.com/rsiege.html"} +{"d:Title": "San Francisco Examiner - Siege Under Fire", "d:Description": "Review by Walter Addiego (predominantly negative).", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/e/a/1998/11/06/WEEKEND253.dtl"} +{"d:Title": "Deseret News - The Siege", "d:Description": "Review by Jeff Vice (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.deseretnews.com/article/700002126/Siege-The.html"} +{"d:Title": "Cinematter - The Siege", "d:Description": "Review by Madeleine Williams (positive).", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.cinematter.com/movie.php3?ml"} +{"d:Title": "Entertainment Weekly: The Siege", "d:Description": "Review by Owen Gleiberman (predominantly negative).", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.ew.com/ew/article/0,,285676,00.html"} +{"d:Title": "TalkTalk - The Siege", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/the-siege/637"} +{"d:Title": "IMDb - Siege, The (1998)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.imdb.com/title/tt0133952/combined"} +{"d:Title": "Flick Filosopher - Evil Is in the Eye of the Casting Director", "d:Description": "Review by MaryAnn Johanson (postive).", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.flickfilosopher.com/1998/11/the-siege-review.html"} +{"d:Title": "Chicago Sun-Times - The Siege", "d:Description": "Review by Roger Ebert (mixed), rating.", "topic": "Top/Arts/Movies/Titles/S/Siege,_The", "url": "http://www.rogerebert.com/reviews/the-siege-1998"} +{"d:Title": "IMDb: The Signal (2007/I)", "d:Description": "Plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Signal,_The", "url": "http://www.imdb.com/title/tt0780607/"} +{"d:Title": "Rotten Tomatoes: The Signal", "d:Description": "Review links, synopsis, credits, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Signal,_The", "url": "http://www.rottentomatoes.com/m/signal/"} +{"d:Title": "IGN Movies: The Signal", "d:Description": "Photos, videos, message board, and a review (rating: 1.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Signal,_The", "url": "http://www.ign.com/movies/the-signal/theater-905941"} +{"d:Title": "The Boston Globe: The Signal", "d:Description": "Review, by Wesley Morris: \"The Signal is like a Romero zombie movie in which the zombies aren't dead, they're just really temperamental. Evil here is technology-born. Maybe our cellphones and satellite dishes are giving us all the crazy.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Signal,_The", "url": "http://archive.boston.com/ae/movies/articles/2008/02/22/fierce_acting_gives_the_signal_its_power/"} +{"d:Title": "MovieWeb: The Signal (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Signal,_The", "url": "http://movieweb.com/movie/the-signal/"} +{"d:Title": "KillerMovies.com: Signs", "d:Description": "Film information, plot synopsis and links to trailers.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://www.killermovies.com/s/signs/"} +{"d:Title": "All-Reviews.com: Signs", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://www.all-reviews.com/videos-4/signs.htm"} +{"d:Title": "HARO Online: Signs", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://www.haro-online.com/movies/signs.html"} +{"d:Title": "About.com: Signs", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://homevideo.about.com/library/weekly/aafpr011503.htm"} +{"d:Title": "The Unofficial \"Signs\" Movie Site", "d:Description": "Fan site with film information, photographs, related articles, and a discussion forum.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://www.signsmovie.co.uk/"} +{"d:Title": "Rotten Tomatoes: Signs", "d:Description": "Articles, photographs, links to reviews, box office data, trailers, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://www.rottentomatoes.com/m/signs/"} +{"d:Title": "IMDb: Signs (2002)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://www.imdb.com/title/tt0286106/"} +{"d:Title": "Metacritic.com: Signs", "d:Description": "Quotes from and links to reviews of the film. Also includes viewer comments and an overall score.", "topic": "Top/Arts/Movies/Titles/S/Signs", "url": "http://www.metacritic.com/movie/signs"} +{"d:Title": "HARO Online", "d:Description": "Includes a review, images, and links.", "topic": "Top/Arts/Movies/Titles/S/Signs_and_Wonders", "url": "http://www.haro-online.com/movies/signs_and_wonders.html"} +{"d:Title": "Metacritic.com - Signs and Wonders", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Signs_and_Wonders", "url": "http://www.metacritic.com/movie/signs-wonders"} +{"d:Title": "All-Reviews.com: Silent Fall", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Silent_Fall", "url": "http://www.all-reviews.com/videos-3/silent-fall.htm"} +{"d:Title": "Rotten Tomatoes: Silent Fall", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Silent_Fall", "url": "http://www.rottentomatoes.com/m/silent_fall/"} +{"d:Title": "IMDb: Silent Fall (1994)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Silent_Fall", "url": "http://www.imdb.com/title/tt0111187/"} +{"d:Title": "IMDb: Silent Hill", "d:Description": "Contains photos, cast, crew, plot summary, trivia, goofs and quotes.", "topic": "Top/Arts/Movies/Titles/S/Silent_Hill", "url": "http://www.imdb.com/title/tt0384537/"} +{"d:Title": "Wikipedia: Silent Hill", "d:Description": "Offers plot, cast, production, reception, relation to video games, release and music information.", "topic": "Top/Arts/Movies/Titles/S/Silent_Hill", "url": "http://en.wikipedia.org/wiki/Silent_hill_movie"} +{"d:Title": "Silent Hill", "d:Description": "Official site by Sony containing photographs, an overview, clips, and purchasing information.", "topic": "Top/Arts/Movies/Titles/S/Silent_Hill", "url": "http://www.sonypictures.com/movies/silenthill/"} +{"d:Title": "Valley Forge", "d:Description": "Site focusing on the space freighter Valley Forge from 'Silent Running', including many photos and model images.", "topic": "Top/Arts/Movies/Titles/S/Silent_Running", "url": "http://www.lunadude.com/pet_proj/valley_forge/index.html"} +{"d:Title": "Home Theater Info: Silent Running", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/S/Silent_Running", "url": "http://hometheaterinfo.com/silent.htm"} +{"d:Title": "Rottentomatoes: Silent Running", "d:Description": "Reviews, movie info, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Silent_Running", "url": "http://www.rottentomatoes.com/m/silent_running/"} +{"d:Title": "IMDb: Silent Running", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Silent_Running", "url": "http://www.imdb.com/title/tt0067756/"} +{"d:Title": "IMDb: The Making of 'Silent Running'", "d:Description": "Documentary that includes interviews with the film's cast and crew, who share their experiences of working on the set and discuss the efforts that went into bringing the project to completion.", "topic": "Top/Arts/Movies/Titles/S/Silent_Running", "url": "http://www.imdb.com/title/tt0196732/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Silverado", "url": "http://crazy4cinema.com/Review/FilmsS/f_silverado.html"} +{"d:Title": "TV Guide Online: Silverado", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Silverado", "url": "http://www.tvguide.com/movies/silverado/126022/"} +{"d:Title": "IMDb: Xica da Silva (1976)", "d:Description": "Cast and crew links along with synopsis.", "topic": "Top/Arts/Movies/Titles/S/Silver_Queen", "url": "http://www.imdb.com/title/tt0078512/"} +{"d:Title": "Rotten Tomatoes: Silver Streak", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Silver_Streak", "url": "http://www.rottentomatoes.com/m/1019017-silver_streak/"} +{"d:Title": "IMDb: Silver Streak (1976)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Silver_Streak", "url": "http://www.imdb.com/title/tt0075223/"} +{"d:Title": "Allreaders.com: Silver Streak", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Silver_Streak", "url": "http://allreaders.com/movie-review-summary/silver-streak-5557"} +{"d:Title": "HARO Online: The Simian Line", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Simian_Line,_The", "url": "http://www.haro-online.com/movies/simian_line.html"} +{"d:Title": "Rotten Tomatoes: The Simian Line", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Simian_Line,_The", "url": "http://www.rottentomatoes.com/m/simian_line/"} +{"d:Title": "IMDb: The Simian Line(2000)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Simian_Line,_The", "url": "http://www.imdb.com/title/tt0186549/"} +{"d:Title": "All-Reviews.com: Simon Birch", "d:Description": "Reviews by Susan Granger and others. Overall rating: 3 stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Simon_Birch", "url": "http://www.all-reviews.com/videos/simon-birch.htm"} +{"d:Title": "Tiny Boy With an Enormously Consuming Quest", "d:Description": "Unenthusiastic review, with MPAA ratings advice and a list of the principal cast and crew. By Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/S/Simon_Birch", "url": "http://www.nytimes.com/1998/09/11/movies/film-review-tiny-boy-with-an-enormously-consuming-quest.html"} +{"d:Title": "IMDb - Simon Birch (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Simon_Birch", "url": "http://www.imdb.com/title/tt0124879/"} +{"d:Title": "Roger Ebert - Simon Birch", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Simon_Birch", "url": "http://www.rogerebert.com/reviews/simon-birch-1998"} +{"d:Title": "TV Guide: Simon Birch", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Simon_Birch", "url": "http://www.tvguide.com/movies/simon-birch/133488/"} +{"d:Title": "IMDb - Simon Says", "d:Description": "Information about the film from The Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Simon_Says", "url": "http://www.imdb.com/title/tt0136666/"} +{"d:Title": "HARO Online - Simpatico", "d:Description": "Mongoose rates the movie: okay.", "topic": "Top/Arts/Movies/Titles/S/Simpatico", "url": "http://www.haro-online.com/movies/simpatico.html"} +{"d:Title": "Rotten Tomatoes: Simpatico", "d:Description": "Reviews, news, articles, previews, and trailers of the film", "topic": "Top/Arts/Movies/Titles/S/Simpatico", "url": "http://www.rottentomatoes.com/m/simpatico/"} +{"d:Title": "IMDb: Simpatico", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Simpatico", "url": "http://www.imdb.com/title/tt0174204/"} +{"d:Title": "All-Reviews.com", "d:Description": "A Simple Plan is not so simple. This is a thoughtful, intelligent, well-acted movie that is both entertaining and, ulitmately, disturbing.", "topic": "Top/Arts/Movies/Titles/S/Simple_Plan,_A", "url": "http://www.all-reviews.com/videos/asimpleplan.htm"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Simple_Plan,_A", "url": "http://crazy4cinema.com/Review/FilmsS/f_simple_plan.html"} +{"d:Title": "IMDb - A Simple Plan (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Simple_Plan,_A", "url": "http://www.imdb.com/title/tt0120324/"} +{"d:Title": "Metacritic.com: A Simple Plan", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Simple_Plan,_A", "url": "http://www.metacritic.com/movie/a-simple-plan"} +{"d:Title": "TV Guide: A Simple Plan", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Simple_Plan,_A", "url": "http://www.tvguide.com/movies/simple-plan/131262/"} +{"d:Title": "All-Reviews.com - Simple Twist of Fate", "d:Description": "3 star review of the film.", "topic": "Top/Arts/Movies/Titles/S/Simple_Twist_of_Fate,_A", "url": "http://www.all-reviews.com/videos-2/a-simple-twist-of-fate.htm"} +{"d:Title": "IMDb.com - Simple Twist of Fate, A", "d:Description": "Cast/crew, user comments, ratings and other background information.", "topic": "Top/Arts/Movies/Titles/S/Simple_Twist_of_Fate,_A", "url": "http://www.imdb.com/title/tt0111194/"} +{"d:Title": "TV Guide Online - Simple Twist of Fate, A", "d:Description": "2 star review, synopsis, photos and other background information.", "topic": "Top/Arts/Movies/Titles/S/Simple_Twist_of_Fate,_A", "url": "http://www.tvguide.com/movies/simple-twist-fate/130133/"} +{"d:Title": "All-Reviews.com- Simply Irresistible", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Simply_Irresistible", "url": "http://www.all-reviews.com/videos/simply-irresistible.htm"} +{"d:Title": "IMDb - Simply Irresistible (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Simply_Irresistible", "url": "http://www.imdb.com/title/tt0145893/"} +{"d:Title": "Roger Ebert - Simply Irresistible", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Simply_Irresistible", "url": "http://www.rogerebert.com/reviews/simply-irresistible-1999"} +{"d:Title": "IMDb - Since You've Been Gone (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Since_You've_Been_Gone", "url": "http://www.imdb.com/title/tt0120135/"} +{"d:Title": "Washington Post - Sing (PG-13)", "d:Description": "Review by Rita Kempley (negative).", "topic": "Top/Arts/Movies/Titles/S/Sing", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/singpg13kempley_a0c9d4.htm"} +{"d:Title": "Deseret News - Sing", "d:Description": "Review by Chris Hicks (negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Sing", "url": "http://www.deseretnews.com/article/700001661/Sing.html"} +{"d:Title": "IMDb - Sing (1989)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Sing", "url": "http://www.imdb.com/title/tt0098335/"} +{"d:Title": "Chicago Sun-Times - Sing", "d:Description": "Review by Roger Ebert (mixed), rating.", "topic": "Top/Arts/Movies/Titles/S/Sing", "url": "http://www.rogerebert.com/reviews/sing-1989"} +{"d:Title": "Greatest Films - Singin' In The Rain", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Singin'_in_the_Rain", "url": "http://www.filmsite.org/sing.html"} +{"d:Title": "All-Reviews.com: Singin' In the Rain", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Singin'_in_the_Rain", "url": "http://www.all-reviews.com/videos-4/singin-in-the-rain.htm"} +{"d:Title": "IMDb - Singin' in the Rain", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Singin'_in_the_Rain", "url": "http://www.imdb.com/title/tt0045152/"} +{"d:Title": "Roger Ebert: Singin' in the Rain", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Singin'_in_the_Rain", "url": "http://www.rogerebert.com/reviews/singin-in-the-rain-1998"} +{"d:Title": "The Singing Detective (2003)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Singing_Detective,_The", "url": "http://www.imdb.com/title/tt0314676/"} +{"d:Title": "HARO Online: The Singing Detective", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Singing_Detective,_The", "url": "http://www.haro-online.com/movies/singing_detective.html"} +{"d:Title": "Sailor Of the King", "d:Description": "Fan site with image gallery, synopsis, alternative titles, posters, character profiles, links, and a list of other naval movies.", "topic": "Top/Arts/Movies/Titles/S/Single-Handed", "url": "http://www.angelfire.com/film/sailoroftheking/"} +{"d:Title": "Internet Movie Database: Single-Handed (1953)", "d:Description": "Synopsis, cast and crew, user comments and ratings, production information, release details, and media.", "topic": "Top/Arts/Movies/Titles/S/Single-Handed", "url": "http://imdb.com/title/tt0046267/"} +{"d:Title": "HARO Online: The Singles Ward", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Singles_Ward,_The", "url": "http://www.haro-online.com/movies/singles_ward.html"} +{"d:Title": "Internet Movie Database: Singles Ward, The", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, quotations, production and distribution information, trivia, photographs, and links.", "topic": "Top/Arts/Movies/Titles/S/Singles_Ward,_The", "url": "http://imdb.com/title/tt0306069/"} +{"d:Title": "IMDb: Sinners", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sinners", "url": "http://www.imdb.com/title/tt0303819/"} +{"d:Title": "IMDb - Sins of the Mind (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sins_of_the_Mind", "url": "http://www.imdb.com/title/tt0120137/"} +{"d:Title": "Sintel", "d:Description": "A computer animated short film created with Blender and released under a creative commons license. Official site, offering full quality free downloads, blog and gallery.", "topic": "Top/Arts/Movies/Titles/S/Sintel", "url": "http://www.sintel.org/"} +{"d:Title": "Blender Foundation release stunning independent short film Sintel - Geek.com", "d:Description": "Some background information behind the release of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sintel", "url": "http://www.geek.com/articles/news/blender-foundation-release-stunning-independent-short-film-sintel-20100930/"} +{"d:Title": "Sintel - Youtube", "d:Description": "The short film in full length in Youtube format.", "topic": "Top/Arts/Movies/Titles/S/Sintel", "url": "http://www.youtube.com/watch?v=eRsGyueVLvQ"} +{"d:Title": "IMDb - Sin and Redemption (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sin_and_Redemption", "url": "http://www.imdb.com/title/tt0111195/"} +{"d:Title": "IMDb: Sin City", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/S/Sin_City_Series/Sin_City", "url": "http://www.imdb.com/title/tt0401792/"} +{"d:Title": "IMDb: Sin City 2", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/S/Sin_City_Series/Sin_City_2", "url": "http://www.imdb.com/title/tt0458481/"} +{"d:Title": "JoBlo.com: Sin City 2", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/S/Sin_City_Series/Sin_City_2", "url": "http://joblo.com/upcomingmovies/movies.php?id=591"} +{"d:Title": "Rotten Tomatoes: Sin City 2", "d:Description": "Articles about the film production.", "topic": "Top/Arts/Movies/Titles/S/Sin_City_Series/Sin_City_2", "url": "http://www.rottentomatoes.com/m/sin_city_2/"} +{"d:Title": "All-Reviews.com: Sirens", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sirens", "url": "http://www.all-reviews.com/videos-3/sirens.htm"} +{"d:Title": "Rotten Tomatoes: Sirens", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sirens", "url": "http://www.rottentomatoes.com/m/1050728-sirens/"} +{"d:Title": "IMDb: Sirens (1994)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sirens", "url": "http://www.imdb.com/title/tt0111201/"} +{"d:Title": "IMDb - The Sisterhood of the Traveling Pants", "d:Description": "Provides information on the cast, crew, plot summary,and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sisterhood_of_the_Traveling_Pants,_The", "url": "http://www.imdb.com/title/tt0403508/"} +{"d:Title": "Rotten Tomatoes - The Sisterhood of the Traveling Pants", "d:Description": "Includes reviews, trailer, synopsis, credits and photographs.", "topic": "Top/Arts/Movies/Titles/S/Sisterhood_of_the_Traveling_Pants,_The", "url": "http://www.rottentomatoes.com/m/sisterhood_of_the_traveling_pants/"} +{"d:Title": "Filmtracks: The Sisterhood of the Traveling Pants", "d:Description": "Editorial review by Cliff Eidelman. Includes viewer comments and music track list.", "topic": "Top/Arts/Movies/Titles/S/Sisterhood_of_the_Traveling_Pants,_The", "url": "http://www.filmtracks.com/titles/sisterhood_pants.html"} +{"d:Title": "Sisterhood of the Traveling Pants Soundtrack News", "d:Description": "Information on the soundtrack to the movie, resources and related articles.", "topic": "Top/Arts/Movies/Titles/S/Sisterhood_of_the_Traveling_Pants,_The", "url": "http://movies.about.com/od/sisterhoodofthetraveling/a/sisterhod050305.htm"} +{"d:Title": "Channel4.com - The Sisterhood Of The Traveling Pants", "d:Description": "Credits and film review by Anna Smith.", "topic": "Top/Arts/Movies/Titles/S/Sisterhood_of_the_Traveling_Pants,_The", "url": "http://www.film4.com/search?q=The+Sisterhood+Of+The+Traveling+Pants"} +{"d:Title": "MovieWeb - The Sisterhood of the Traveling Pants", "d:Description": "Provides plot summary, cast interviews, photographs and posters, and box office information.", "topic": "Top/Arts/Movies/Titles/S/Sisterhood_of_the_Traveling_Pants,_The", "url": "http://movieweb.com/movie/the-sisterhood-of-the-traveling-pants-2/"} +{"d:Title": "Rotten Tomatoes: Sister Act", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sister_Act", "url": "http://www.rottentomatoes.com/m/sister_act/"} +{"d:Title": "IMDb: Sister Act (1992)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sister_Act", "url": "http://www.imdb.com/title/tt0105417/"} +{"d:Title": "Allreaders.com: Sister Act", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sister_Act", "url": "http://allreaders.com/movie-review-summary/sister-act-4818"} +{"d:Title": "The Movie Quotes Site - Sixteen Candles", "d:Description": "Index of quotes from the movie.", "topic": "Top/Arts/Movies/Titles/S/Sixteen_Candles", "url": "http://www.moviequotes.com/archive/titles/467.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/S/Sixteen_Candles", "url": "http://www.fast-rewind.com/sixteencandles.htm"} +{"d:Title": "IMDb.com - Sixteen Candles", "d:Description": "Cast/crew, user comments, ratings, and other background information.", "topic": "Top/Arts/Movies/Titles/S/Sixteen_Candles", "url": "http://www.imdb.com/title/tt0088128/"} +{"d:Title": "eFilmCritic - Sixteen Candles", "d:Description": "Allows you to rate this film.", "topic": "Top/Arts/Movies/Titles/S/Sixteen_Candles/Reviews", "url": "http://www.efilmcritic.com/review.php?movie=1062"} +{"d:Title": "IMDb: Sixth Man, The", "d:Description": "Cast, crew, reviews, plot summary, and comments.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Man,_The", "url": "http://imdb.com/title/tt0120142/"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the Sixth Sense", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.all-reviews.com/videos/sixthsense.htm"} +{"d:Title": "HARO Online - The Sixth Sense", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.haro-online.com/movies/sixth_sense.html"} +{"d:Title": "Cosmopolis - The Sixth Sense", "d:Description": "Review with photos.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.cosmopolis.ch/english/cosmo10/sixthsense.htm"} +{"d:Title": "Idle Brain", "d:Description": "Brief synopsis and review by Sapna Chhaya.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.idlebrain.com/mumbai/reviews/mr-sixth.html"} +{"d:Title": "Filmtracks: The Sixth Sense", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.filmtracks.com/titles/sixth_sense.html"} +{"d:Title": "Rotten Tomatoes - The Sixth Sense", "d:Description": "Synopsis and reviews, with links.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.rottentomatoes.com/m/sixth_sense/"} +{"d:Title": "Box Office Mojo: The Sixth Sense", "d:Description": "Box office data since day one. Includes Bruce Willis' box office track record.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.boxofficemojo.com/movies/?id=sixthsense.htm"} +{"d:Title": "IMDb: The Sixth Sense", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.imdb.com/title/tt0167404/"} +{"d:Title": "Metacritic.com - Sixth Sense, The", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://www.metacritic.com/movie/the-sixth-sense"} +{"d:Title": "AllReaders.com Review - The Sixth Sense", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Bruce Willis film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sixth_Sense,_The", "url": "http://allreaders.com/movie-review-summary/the-sixth-sense-6486"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/Six_Days_Seven_Nights", "url": "http://crazy4cinema.com/Review/FilmsS/f_sixdays.html"} +{"d:Title": "All-Reviews.com: Six Days Seven Nights", "d:Description": "Reviews by Steve Rhodes and others. Overall rating: 2.5 stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Six_Days_Seven_Nights", "url": "http://www.all-reviews.com/videos/six-days-seven-nights.htm"} +{"d:Title": "IMDb - Six Days Seven Nights (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Six_Days_Seven_Nights", "url": "http://www.imdb.com/title/tt0120828/"} +{"d:Title": "AllReaders.com Six Days, Seven Nights Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Harrison Ford film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Six_Days_Seven_Nights", "url": "http://allreaders.com/movie-review-summary/six-days-seven-nights-4823"} +{"d:Title": "TV Guide: Six Days, Seven Nights", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Six_Days_Seven_Nights", "url": "http://www.tvguide.com/movies/six-days-seven-nights/132906/"} +{"d:Title": "Rotten Tomatoes: Six Degrees of Separation", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Six_Degrees_of_Separation", "url": "http://www.rottentomatoes.com/m/six_degrees_of_separation/"} +{"d:Title": "IMDb: Six Degrees of Separation (1993)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Six_Degrees_of_Separation", "url": "http://www.imdb.com/title/tt0108149/"} +{"d:Title": "Movie Habit: Six Degrees of Separation", "d:Description": "Review by Marty Apes. \"Unless you're a true fan, there's no reason to run out and buy it, but this DVD is definitely worth a rental, both as a movie and as a disc.\"", "topic": "Top/Arts/Movies/Titles/S/Six_Degrees_of_Separation/Reviews", "url": "http://www.moviehabit.com/reviews/six_ht00.shtml"} +{"d:Title": "Washington Post: Six Degrees of Separation", "d:Description": "Review by Rita Kempley. \"It's too clever by half, an inside joke aimed at the New York gentry.\"", "topic": "Top/Arts/Movies/Titles/S/Six_Degrees_of_Separation/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/sixdegreesofseparationrkempley_a0a3dd.htm"} +{"d:Title": "Deseret News: Six Degrees of Separation", "d:Description": "Review by Chris Hicks. \"There are those wonderful performances by the lead players - and especially Channing, who has been underused or misused most of her film career - and they make it fairly enjoyable entertainment.\"", "topic": "Top/Arts/Movies/Titles/S/Six_Degrees_of_Separation/Reviews", "url": "http://www.deseretnews.com/article/700001669/Six-Degrees-of-Separation.html"} +{"d:Title": "IMDb - Six O'Clock News (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Six_O'Clock_News", "url": "http://www.imdb.com/title/tt0120141/"} +{"d:Title": "IMDb - Six Ways to Sunday (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Six_Ways_to_Sunday", "url": "http://www.imdb.com/title/tt0127288/"} +{"d:Title": "IMDb: Skeleton Woman (2000)", "d:Description": "Cast, crew, reviews, plot summary, and production details.", "topic": "Top/Arts/Movies/Titles/S/Skeleton_Woman", "url": "http://imdb.com/title/tt0214140/"} +{"d:Title": "Another Hole in the Head: Skinned Deep", "d:Description": "Positive review by Bruce Fletcher.", "topic": "Top/Arts/Movies/Titles/S/Skinned_Deep", "url": "http://www.sfindie.com/horror/film_skinned.htm"} +{"d:Title": "IMDb: Skinned Deep (2002)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Skinned_Deep", "url": "http://www.imdb.com/title/tt0304722/"} +{"d:Title": "Something Awful: Skinned Deep", "d:Description": "Plot description and review by Ben \"Greasnin\" Platt.", "topic": "Top/Arts/Movies/Titles/S/Skinned_Deep", "url": "http://www.somethingawful.com/movie-reviews/skinned-deep/"} +{"d:Title": "Rotten Tomatoes: Skinwalkers", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Skinwalkers", "url": "http://www.rottentomatoes.com/m/skinwalkers/"} +{"d:Title": "IMDb: Skinwalkers (2006)", "d:Description": "Videos, photo gallery, plot outlines, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Skinwalkers", "url": "http://www.imdb.com/title/tt0461703/"} +{"d:Title": "IGN Movies: Skinwalkers", "d:Description": "News, photos, videos, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Skinwalkers", "url": "http://www.ign.com/movies/skinwalkers/theater-747933"} +{"d:Title": "MovieWeb: Skinwalkers (2007)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Skinwalkers", "url": "http://movieweb.com/movie/skinwalkers/"} +{"d:Title": "IMDb - Skin Game (1971)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Skin_Game_-_1971", "url": "http://www.imdb.com/title/tt0067763/"} +{"d:Title": "Cinebooks Database - Skin Game", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Skin_Game_-_1971", "url": "http://www.tvguide.com/movies/skin-game/117964/"} +{"d:Title": "HARO Online - Skippy", "d:Description": "Haro reviews \"Skippy.\" Rates it 'Really Bad!'", "topic": "Top/Arts/Movies/Titles/S/Skippy", "url": "http://www.haro-online.com/movies/skippy.html"} +{"d:Title": "IMDb.com - Skippy", "d:Description": "Cast/crew, user comments, ratings and other background information.", "topic": "Top/Arts/Movies/Titles/S/Skippy", "url": "http://www.imdb.com/title/tt0163246/"} +{"d:Title": "All-Reviews.com- Skulls (2000)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Skulls,_The", "url": "http://www.all-reviews.com/videos/skulls.htm"} +{"d:Title": "PopMatters - The Skulls", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Skulls,_The", "url": "http://popmatters.com/film/reviews/s/skulls.html"} +{"d:Title": "Filmbug - The Skulls", "d:Description": "Summary, cast information, and links.", "topic": "Top/Arts/Movies/Titles/S/Skulls,_The", "url": "http://www.filmbug.com/movies/s/the_skulls.html"} +{"d:Title": "The Skulls - Rotten Tomatoes", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Skulls,_The", "url": "http://www.rottentomatoes.com/m/skulls/"} +{"d:Title": "Metacritic.com - Skulls, The", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Skulls,_The", "url": "http://www.metacritic.com/movie/the-skulls"} +{"d:Title": "IMDb - The Skulls (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Skulls,_The", "url": "http://www.imdb.com/title/tt0192614/"} +{"d:Title": "IMDb: Sky Captain and the World of Tomorrow (2004)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/S/Sky_Captain_and_the_World_of_Tomorrow", "url": "http://www.imdb.com/title/tt0346156/"} +{"d:Title": "Filmtracks: Sky Captain and the World of Tomorrow", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Sky_Captain_and_the_World_of_Tomorrow", "url": "http://www.filmtracks.com/titles/sky_captain.html"} +{"d:Title": "PopEntertainment.com: Sky Captain and the World of Tomorrow", "d:Description": "Review by Alex Diamond.", "topic": "Top/Arts/Movies/Titles/S/Sky_Captain_and_the_World_of_Tomorrow", "url": "http://www.popentertainment.com/skycaptain.htm"} +{"d:Title": "Future Movies: Sky Captain and the World of Tomorrow", "d:Description": "Review by Michelle Thomas, with photographs.", "topic": "Top/Arts/Movies/Titles/S/Sky_Captain_and_the_World_of_Tomorrow", "url": "https://www.futuremovies.co.uk/reviews/sky-captain-and-the-world-of-tomorrow/michelle-thomas"} +{"d:Title": "IMDb - Sky High (1952)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Sky_High", "url": "http://www.imdb.com/title/tt0045157/combined"} +{"d:Title": "HARO Online: Slackers", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Slackers", "url": "http://www.haro-online.com/movies/slackers.html"} +{"d:Title": "All-Reviews.com: Slackers", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Slackers", "url": "http://www.all-reviews.com/videos-4/slackers.htm"} +{"d:Title": "Rotten Tomatoes: Slackers", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Slackers", "url": "http://www.rottentomatoes.com/m/slackers/"} +{"d:Title": "Metacritic.com: Slackers", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Slackers", "url": "http://www.metacritic.com/movie/slackers"} +{"d:Title": "IMDb: Slackers (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Slackers", "url": "http://www.imdb.com/title/tt0240900/"} +{"d:Title": "Slap Shot Tribute", "d:Description": "Features original material written in the spirit of the movie and feature photographs, audio and other related information.", "topic": "Top/Arts/Movies/Titles/S/Slap_Shot", "url": "http://slapshot.20m.com/"} +{"d:Title": "TV Guide Online: Slap Shot", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Slap_Shot", "url": "http://www.tvguide.com/movies/slap-shot/118011/"} +{"d:Title": "IMDb - Slaughterhouse-Five (1972)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Slaughterhouse-Five", "url": "http://www.imdb.com/title/tt0069280/"} +{"d:Title": "HARO Online - SLC Punk", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/S/SLC_Punk", "url": "http://www.haro-online.com/movies/slc_punk.html"} +{"d:Title": "Rotten Tomatoes: SLC Punk", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/SLC_Punk", "url": "http://www.rottentomatoes.com/m/slc_punk/"} +{"d:Title": "Queer Horror - Sleepaway Camp", "d:Description": "Synopsis and review of the film.", "topic": "Top/Arts/Movies/Titles/S/Sleepaway_Camp", "url": "http://www.queerhorror.com/items/184.html"} +{"d:Title": "Ryan's Camp Sleepaway Page", "d:Description": "Fan site dedicated to the film.", "topic": "Top/Arts/Movies/Titles/S/Sleepaway_Camp", "url": "http://www.angelfire.com/movies/sleepawaycampcool/CAMP#Sleepaway Camp Page"} +{"d:Title": "DVD Movie Guide: Sleepaway Camp", "d:Description": "Movie and DVD review by Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/S/Sleepaway_Camp", "url": "http://www.dvdmg.com/sleepawaycamp.shtml"} +{"d:Title": "Sleepaway Camp Movies", "d:Description": "Official site containing news, interviews, photos, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Sleepaway_Camp", "url": "http://www.sleepawaycampmovies.com/"} +{"d:Title": "Horrordvds.com - Sleepaway Camp", "d:Description": "DVD Review by Dave. \"The movie is fairly weak for a slasher film but the ending was extremely cool.\"", "topic": "Top/Arts/Movies/Titles/S/Sleepaway_Camp", "url": "http://www.horrordvds.com/modules.php?name=Reviews&file=viewarticle&id=616"} +{"d:Title": "IMDb.com - Sleepaway Camp", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Sleepaway_Camp", "url": "http://www.imdb.com/title/tt0086320/"} +{"d:Title": "TV Guide: Sleepaway Camp", "d:Description": "1 1/2 star review with pictures, cast/crew details, production notes, and other related information.", "topic": "Top/Arts/Movies/Titles/S/Sleepaway_Camp", "url": "http://www.tvguide.com/movies/sleepaway-camp/118034/"} +{"d:Title": "All-Reviews.com - Sleeper", "d:Description": "3 1/2 stars overall rating of the film.", "topic": "Top/Arts/Movies/Titles/S/Sleeper", "url": "http://www.all-reviews.com/videos-2/sleeper.htm"} +{"d:Title": "Sleepers", "d:Description": "Contains pictures, information, news, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Sleepers", "url": "http://www.angelfire.com/tn/bradrenfro/Sleepers.html"} +{"d:Title": "The Editing Room", "d:Description": "A short parody script.", "topic": "Top/Arts/Movies/Titles/S/Sleepers", "url": "http://the-editing-room.com/sleepers.shtml"} +{"d:Title": "IMDb: Sleepers", "d:Description": "Features pictures, overview, cast, trivia, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sleepers", "url": "http://www.imdb.com/title/tt0117665/"} +{"d:Title": "Rotten Tomatoes: Sleepless", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sleepless", "url": "http://www.rottentomatoes.com/m/sleepless/"} +{"d:Title": "IMDb: Sleepless (Non Ho Sonno) (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sleepless", "url": "http://www.imdb.com/title/tt0220827/"} +{"d:Title": "Rotten Tomatoes: Sleepless in Seattle", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sleepless_in_Seattle", "url": "http://www.rottentomatoes.com/m/sleepless_in_seattle/"} +{"d:Title": "IMDb: Sleepless in Seattle (1993)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sleepless_in_Seattle", "url": "http://www.imdb.com/title/tt0108160/"} +{"d:Title": "Allreaders.com: Sleepless in Seattle", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sleepless_in_Seattle", "url": "http://allreaders.com/movie-review-summary/sleepless-in-seattle-4016"} +{"d:Title": "IMDb: Sleepover (2004)", "d:Description": "Plot summary, trailer, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sleepover", "url": "http://www.imdb.com/title/tt0368975/"} +{"d:Title": "All-Reviews.com: Sleepover", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sleepover", "url": "http://www.all-reviews.com/videos-5/sleepover.htm"} +{"d:Title": "HARO Online: Sleepover", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Sleepover", "url": "http://www.haro-online.com/movies/sleepover.html"} +{"d:Title": "Yahoo Movies: Sleepover", "d:Description": "Synopsis, multimedia, Greg's preview, and message board.", "topic": "Top/Arts/Movies/Titles/S/Sleepover", "url": "http://movies.yahoo.com/movie/sleepover/"} +{"d:Title": "WWWF Grudge Match: Headless Horseman vs. T-800 Terminator", "d:Description": "The two henchmen battle for the life of Jonathan Van Connor in 1799 upstate New York. [Humor]", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://www.grudge-match.com/History/horseman-terminator.shtml"} +{"d:Title": "Sleepy Jesse", "d:Description": "A club with pictures, links, news and chat. Talk about Sleepy Hollow, the characters and actors.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://groups.yahoo.com/group/sleepyjesse/"} +{"d:Title": "Box Office Mojo : Sleepy Hollow", "d:Description": "Box office data since day one. Includes Tim Burton's box office track record.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://www.boxofficemojo.com/movies/?id=sleepyhollow.htm"} +{"d:Title": "Sleepy Hollow", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://www.rottentomatoes.com/m/sleepy_hollow/"} +{"d:Title": "IMDb - Sleepy Hollow (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://www.imdb.com/title/tt0162661/"} +{"d:Title": "Metacritic.com - Sleepy Hollow", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://www.metacritic.com/movie/sleepy-hollow"} +{"d:Title": "Tim Burton's Sleepy Hollow", "d:Description": "Fan site all about the movie.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://vantassell.tripod.com/"} +{"d:Title": "The Sleepy Hollow Ring", "d:Description": "Sites dealing with the Tim Burton film Sleepy Hollow, starring Johnny Depp and Christina Ricci.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow", "url": "http://vantassell.tripod.com/ring.html"} +{"d:Title": "HARO Online - Sleepy Hollow", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow/Reviews", "url": "http://www.haro-online.com/movies/sleepy_hollow.html"} +{"d:Title": "All-Reviews - Sleepy Hollow", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow/Reviews", "url": "http://www.all-reviews.com/videos/sleepy-hollow.htm"} +{"d:Title": "Idle Brain - Sleepy Hollow", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow/Reviews", "url": "http://www.idlebrain.com/angreji/mr-sleepy.html"} +{"d:Title": "Filmtracks: Sleepy Hollow", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Sleepy_Hollow/Reviews", "url": "http://www.filmtracks.com/titles/sleepy_hollow.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Sliding_Doors", "url": "http://crazy4cinema.com/Review/FilmsS/f_sliding.html"} +{"d:Title": "Nitrate Online - Sliding Doors", "d:Description": "Review of the film by Eddie Cockrell.", "topic": "Top/Arts/Movies/Titles/S/Sliding_Doors", "url": "http://www.nitrateonline.com/rsliding.html"} +{"d:Title": "IMDb - Sliding Doors (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sliding_Doors", "url": "http://www.imdb.com/title/tt0120148/"} +{"d:Title": "Roger Ebert: Sliding Doors", "d:Description": "Review of the film by Rogert Ebert.", "topic": "Top/Arts/Movies/Titles/S/Sliding_Doors", "url": "http://www.rogerebert.com/reviews/sliding-doors-1998"} +{"d:Title": "AllReaders.com Review - Sliding Doors", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Gwyneth Paltrow film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sliding_Doors", "url": "http://allreaders.com/movie-review-summary/sliding-doors-4695"} +{"d:Title": "TV Guide Online: Sliding Doors", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Sliding_Doors", "url": "http://www.tvguide.com/movies/sliding-doors/132729/"} +{"d:Title": "IMDb - Slightly French (1949)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Slightly_French", "url": "http://www.imdb.com/title/tt0041885/"} +{"d:Title": "All-Reviews.com - Sling Blade", "d:Description": "Reviews of the movie, overall rating of 3/4 stars.", "topic": "Top/Arts/Movies/Titles/S/Sling_Blade", "url": "http://www.all-reviews.com/videos-2/sling-blade.htm"} +{"d:Title": "IMDb - Sling Blade (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sling_Blade", "url": "http://www.imdb.com/title/tt0117666/"} +{"d:Title": "Roger Ebert - Sling Blade", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Sling_Blade", "url": "http://www.rogerebert.com/reviews/sling-blade-1996"} +{"d:Title": "Metacritic.com - Sling Blade", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sling_Blade", "url": "http://www.metacritic.com/movie/sling-blade"} +{"d:Title": "TV Guide Online: Sling Blade", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Sling_Blade", "url": "http://www.tvguide.com/movies/sling-blade/131916/"} +{"d:Title": "Rotten Tomatoes: The Slipper and the Rose", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/S/Slipper_and_the_Rose,_The", "url": "http://www.rottentomatoes.com/m/slipper_and_the_rose/"} +{"d:Title": "IMDb.com - Slipper and the Rose, The (1976)", "d:Description": "Cast/crew information, with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Slipper_and_the_Rose,_The", "url": "http://www.imdb.com/title/tt0075232/"} +{"d:Title": "HARO Online: A Slipping-Down Life", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Slipping-Down_Life,_A", "url": "http://www.haro-online.com/movies/slipping-down_life.html"} +{"d:Title": "IMDb: A Slipping-Down Life (1999)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Slipping-Down_Life,_A", "url": "http://www.imdb.com/title/tt0162662/"} +{"d:Title": "Chicago Sun-Times: A Slipping-Down Life", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Slipping-Down_Life,_A", "url": "http://www.rogerebert.com/reviews/a-slipping-down-life-2004"} +{"d:Title": "Sliver (1993) - 8.6.6", "d:Description": "Review of the movie Sliver with kids in mind.", "topic": "Top/Arts/Movies/Titles/S/Sliver", "url": "http://www.kids-in-mind.com/S/sliver_1993__866.htm"} +{"d:Title": "Washington Post: Silver", "d:Description": "Negative review by Rita Kempley based on the movie's sex, violence and profanity.", "topic": "Top/Arts/Movies/Titles/S/Sliver", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/sliverrkempley_a0a383.htm"} +{"d:Title": "Sliver (1993)", "d:Description": "Reviews, plot summary, and cast list at the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Sliver", "url": "http://www.imdb.com/title/tt0108162/"} +{"d:Title": "Wikipedia: Slumdog Millionaire", "d:Description": "Includes information about the plot, production, cast, release and box office performance, critical reception, soundtrack, controversies, and won Academy Awards, Golden Globes and British Academy Awards.", "topic": "Top/Arts/Movies/Titles/S/Slumdog_Millionaire", "url": "http://en.wikipedia.org/wiki/Slumdog_Millionaire"} +{"d:Title": "Fox Searchlight: Slumdog Millionaire", "d:Description": "Has information on the film, reviews, videos, cast and crew.", "topic": "Top/Arts/Movies/Titles/S/Slumdog_Millionaire", "url": "http://www.foxsearchlight.com/slumdogmillionaire/"} +{"d:Title": "IMdb.com - Slumdog Millionaire (2008)", "d:Description": "Directed by Danny Boyle, Loveleen Tandan. A Mumbai teen who grew up in the slums, becomes a contestant on the Indian version of \"Who Wants To Be A Millionaire?\" He is arrested under suspicion of cheating, and while being interrogated, events from his life history are shown which explain why he knows the answers.", "topic": "Top/Arts/Movies/Titles/S/Slumdog_Millionaire", "url": "http://www.imdb.com/title/tt1010048/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Slums_of_Beverly_Hills", "url": "http://crazy4cinema.com/Review/FilmsS/f_slums_bh.html"} +{"d:Title": "IMDb - Slums of Beverly Hills (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Slums_of_Beverly_Hills", "url": "http://www.imdb.com/title/tt0120831/"} +{"d:Title": "Roger Ebert - Slums of Beverly Hills", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Slums_of_Beverly_Hills", "url": "http://www.rogerebert.com/reviews/slums-of-beverly-hills-1998"} +{"d:Title": "All-Reviews.com: Small Soldiers", "d:Description": "Reviews by Edward Johnson-Ott and others. Overall rating: 2 stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Small_Soldiers", "url": "http://www.all-reviews.com/videos/small-soldiers.htm"} +{"d:Title": "IMDb - Small Soldiers (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Small_Soldiers", "url": "http://www.imdb.com/title/tt0122718/"} +{"d:Title": "TV Guide: Small Soldiers", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Small_Soldiers", "url": "http://www.tvguide.com/movies/small-soldiers/133306/"} +{"d:Title": "HARO Online - Small Time Crooks", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Crooks", "url": "http://www.haro-online.com/movies/small_time_crooks.html"} +{"d:Title": "PopMatters - Small Time Crooks", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Crooks", "url": "http://popmatters.com/film/reviews/s/small-time-crooks.html"} +{"d:Title": "All-Reviews.com - Small Time Crooks (2000)", "d:Description": "Compiled reviews and other information.", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Crooks", "url": "http://www.all-reviews.com/videos/small-time-crooks.htm"} +{"d:Title": "Rotten Tomatoes - Small Time Crooks", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Crooks", "url": "http://www.rottentomatoes.com/m/small_time_crooks/"} +{"d:Title": "IMDb - Small Time Crooks (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Crooks", "url": "http://www.imdb.com/title/tt0196216/"} +{"d:Title": "Metacritic.com - Small Time Crooks", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Crooks", "url": "http://www.metacritic.com/movie/small-time-crooks"} +{"d:Title": "Small Time Obsession", "d:Description": "Reviews, video and DVD information, cast and crew, synopsis, and photos.", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Obsession", "url": "http://www.guerilla-films.com/sto/index.htm"} +{"d:Title": "IMDb.com - Small Time Obsession", "d:Description": "Cast/crew, user comments, ratings and other background information.", "topic": "Top/Arts/Movies/Titles/S/Small_Time_Obsession", "url": "http://www.imdb.com/title/tt0234750/"} +{"d:Title": "IMDb: Small Town Folk (2005)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/S/Small_Town_Folk", "url": "http://www.imdb.com/title/tt0478265/"} +{"d:Title": "IMDb: Munting tinig, Mga", "d:Description": "Cast and crew, reviews, user ratings and comments, awards, photographs, and links.", "topic": "Top/Arts/Movies/Titles/S/Small_Voices", "url": "http://imdb.com/title/tt0332701/"} +{"d:Title": "HARO Online: Small Voices", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Small_Voices", "url": "http://www.haro-online.com/movies/small_voices.html"} +{"d:Title": "Rotten Tomatoes: Smart People", "d:Description": "Synopsis, cast members, photos, showtimes, and review links.", "topic": "Top/Arts/Movies/Titles/S/Smart_People", "url": "http://www.rottentomatoes.com/m/1192938-smart_people/"} +{"d:Title": "ReelViews: Smart People", "d:Description": "Review, by James Berardinelli: \"The main problem with Smart People is that it never breaks new ground. This is territory we have seen tilled to better effect by more perceptive motion pictures.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Smart_People", "url": "http://www.reelviews.net/reelviews/smart-people"} +{"d:Title": "MovieWeb: Smart People (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/S/Smart_People", "url": "http://movieweb.com/movie/smart-people/"} +{"d:Title": "HARO Online: Smell of Camphor, Fragrance of Jasmine", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/S/Smell_of_Camphor,_Fragrance_of_Jasmine", "url": "http://www.haro-online.com/movies/smell_of_camphor.html"} +{"d:Title": "H2so4: Smell of Camphor, Fragrance of Jasmine", "d:Description": "Review by Kevin Grandfield.", "topic": "Top/Arts/Movies/Titles/S/Smell_of_Camphor,_Fragrance_of_Jasmine", "url": "http://h2so4.net/reviews/camphor.html"} +{"d:Title": "IMDb: Smell of Camphor, Fragrance of Jasmine", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Smell_of_Camphor,_Fragrance_of_Jasmine", "url": "http://www.imdb.com/title/tt0262774/"} +{"d:Title": "Metacritic.com: Smell of Camphor, Fragrance of Jasmine", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Smell_of_Camphor,_Fragrance_of_Jasmine", "url": "http://www.metacritic.com/movie/booye-kafoor-atre-yas"} +{"d:Title": "IMDb - A Smile Like Yours (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Smile_Like_Yours,_A", "url": "http://www.imdb.com/title/tt0120151/"} +{"d:Title": "TV Guide: A Smile Like Yours", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Smile_Like_Yours,_A", "url": "http://www.tvguide.com/movies/smile-like/132443/"} +{"d:Title": "All-Reviews.com: Smilla's Sense of Snow", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Smilla's_Sense_of_Snow", "url": "http://all-reviews.com/videos-4/smillas-sense-of-snow.htm"} +{"d:Title": "Rotten Tomatoes: Smilla's Sense of Snow", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Smilla's_Sense_of_Snow", "url": "http://www.rottentomatoes.com/m/smillas_sense_of_snow/"} +{"d:Title": "Yahoo! Movies: Smilla's Sense of Snow", "d:Description": "Synopsis, cast and crew, reviews and message board.", "topic": "Top/Arts/Movies/Titles/S/Smilla's_Sense_of_Snow", "url": "http://movies.yahoo.com/movie/smilla-s-sense-of-snow/"} +{"d:Title": "IMDb: Smilla's Sense of Snow (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Smilla's_Sense_of_Snow", "url": "http://www.imdb.com/title/tt0120152/"} +{"d:Title": "Smoke - Cosmopolis", "d:Description": "The film by director Wayne Wang and writer Paul Auster. Starring: Harvey Keitel, William Hurt, Harold Perrineau, Jr., Stockward Channing, Forest Whitaker, et al.", "topic": "Top/Arts/Movies/Titles/S/Smoke", "url": "http://www.cosmopolis.ch/english/cosmo4/smoke.htm"} +{"d:Title": "All-Reviews.com - Smoke", "d:Description": "Reviews of the movie, overall rating 3/4 stars.", "topic": "Top/Arts/Movies/Titles/S/Smoke", "url": "http://www.all-reviews.com/videos-2/smoke.htm"} +{"d:Title": "TV Guide Online: Smoke", "d:Description": "Unsigned review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Smoke", "url": "http://www.tvguide.com/movies/smoke/130528/"} +{"d:Title": "Rotten Tomatoes: Smokey and the Bandit", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Smokey_and_the_Bandit", "url": "http://www.rottentomatoes.com/m/smokey_and_the_bandit/"} +{"d:Title": "Smokey and the Bandit - Wikipedia", "d:Description": "Plot, production notes, and cultural references are included. Also links to articles on the two sequels.", "topic": "Top/Arts/Movies/Titles/S/Smokey_and_the_Bandit", "url": "http://en.wikipedia.org/wiki/Smokey_and_the_Bandit"} +{"d:Title": "IMDb: Smokey and the Bandit (1977)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Smokey_and_the_Bandit", "url": "http://www.imdb.com/title/tt0076729/"} +{"d:Title": "Allreaders.com: Smokey and the Bandit", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/S/Smokey_and_the_Bandit", "url": "http://allreaders.com/movie-review-summary/smokey-and-the-bandit-5716"} +{"d:Title": "RollingStone.com - Smoke Signals", "d:Description": "Includes a review, synopsis, photos, cast and crew, message boards, and trivia.", "topic": "Top/Arts/Movies/Titles/S/Smoke_Signals", "url": "http://www.rollingstone.com/movies/reviews/smoke-signals-19980626"} +{"d:Title": "IMDb - Smoke Signals (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Smoke_Signals", "url": "http://www.imdb.com/title/tt0120321/"} +{"d:Title": "Roger Ebert - Smoke Signals", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Smoke_Signals", "url": "http://www.rogerebert.com/reviews/smoke-signals-1998"} +{"d:Title": "TV Guide: Smoke Signals", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Smoke_Signals", "url": "http://www.tvguide.com/movies/smoke-signals/133307/"} +{"d:Title": "IMDb: Smokin' Aces", "d:Description": "Biography, reviews, image gallery, trailers, cast and credits.", "topic": "Top/Arts/Movies/Titles/S/Smokin'_Aces", "url": "http://www.imdb.com/title/tt0475394/"} +{"d:Title": "IMDb: Snakes on a Plane (2006)", "d:Description": "Features information about the cast, crew, reviews, trailers, and a plot summary.", "topic": "Top/Arts/Movies/Titles/S/Snakes_on_a_Plane", "url": "http://www.imdb.com/title/tt0417148/"} +{"d:Title": "Wikipedia: Snakes on a Plane", "d:Description": "Provides history, images, details, links, trivia, and information about the internet phenomena surrounding the film.", "topic": "Top/Arts/Movies/Titles/S/Snakes_on_a_Plane", "url": "http://en.wikipedia.org/wiki/Snakes_on_a_plane"} +{"d:Title": "Rotten Tomatoes: Snakes on a Plane", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, posters, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Snakes_on_a_Plane", "url": "http://www.rottentomatoes.com/m/snakes_on_a_plane/"} +{"d:Title": "IGN Movies: Snakes on a Plane", "d:Description": "News, previews, photos, videos, and a review by Chris Carle.", "topic": "Top/Arts/Movies/Titles/S/Snakes_on_a_Plane", "url": "http://www.ign.com/movies/snakes-on-a-plane/theater-766205"} +{"d:Title": "USA Today", "d:Description": "Review, by Claudia Puig: \"Cheesy, campy B-movie fun, thanks mostly to the cadre of cobras and their ilk and also to Jackson (probably the only actor alive who could pull off this save-the-day bad ass movie role).\"", "topic": "Top/Arts/Movies/Titles/S/Snakes_on_a_Plane", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2006-08-18-snakes-plane_x.htm"} +{"d:Title": "MovieWeb: Snakes on a Plane", "d:Description": "Summary, reviews, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/S/Snakes_on_a_Plane", "url": "http://movieweb.com/movie/snakes-on-a-plane/"} +{"d:Title": "IMDb - Snake&Crane Arts of Shaolin (She hao ba bu)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Snake_and_Crane_-_Arts_of_Shaolin", "url": "http://www.imdb.com/title/tt0078251/"} +{"d:Title": "IMDb - Snake Eyes (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes", "url": "http://www.imdb.com/title/tt0120832/"} +{"d:Title": "Deep Focus: Snake Eyes", "d:Description": "Review and rating by Bryant Frazer.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.deep-focus.com/flicker/snakeeye.html"} +{"d:Title": "The Aisle Seat: Snake Eyes", "d:Description": "Review by Mike McGranaghan.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.angelfire.com/pa/aisleseat/snakeeye.html"} +{"d:Title": "Illusion: Snake Eyes", "d:Description": "Review by Joan Ellis.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://joanellis.com/reviews/SNAKE_EYES.htm"} +{"d:Title": "The Boston Phoenix: Snake Eyes", "d:Description": "Review by Peter Keough.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.bostonphoenix.com/archives/1998/documents/00525351.htm"} +{"d:Title": "Rochester Democrat and Chronicle: Snake Eyes", "d:Description": "Review and rating by Jack Garner.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.rochestergoesout.com/mov/s/snakee.html"} +{"d:Title": "Film Scouts: Snake Eyes", "d:Description": "Review by Henri B\u00e9har.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.filmscouts.com/scripts/review.cfm?File=sna-eye"} +{"d:Title": "A Guide to Current DVD: Snake Eyes", "d:Description": "DVD review and rating by Aaron Beierle.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.currentfilm.com/snakeeyesdvd.html"} +{"d:Title": "CNN Showbiz: Snake Eyes", "d:Description": "Review by Paul Tatara. Includes images and clips.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://edition.cnn.com/SHOWBIZ/Movies/9808/07/review.snake.eyes/index.html"} +{"d:Title": "The Cranky Critic: Snake Eyes", "d:Description": "Review by Chuck Schwartz.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.crankycritic.com/archive98/snakeeyes.html"} +{"d:Title": "All-Reviews.com - Snake Eyes", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.all-reviews.com/videos/snake-eyes.htm"} +{"d:Title": "Bad Movie Night: Snake Eyes", "d:Description": "Review and rating by Ned Daigle.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=42846_wyldfyr"} +{"d:Title": "Home Theater Info: Snake Eyes", "d:Description": "DVD review and rating by Doug MacLean.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.hometheaterinfo.com/snake.htm"} +{"d:Title": "Images Journal: Snake Eyes", "d:Description": "Review and rating by Gary Johnson.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.imagesjournal.com/issue06/reviews/snakeeyes.htm"} +{"d:Title": "James Bowman: Snake Eyes", "d:Description": "DVD review and rating.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.jamesbowman.net/reviewDetail.asp?pubID=957"} +{"d:Title": "Kids-in-mind: Snake Eyes", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.kids-in-mind.com/S/snake_eyes_1998__376.htm"} +{"d:Title": "Screen It: Snake Eyes", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.screenit.com/movies/1998/snake_eyes.html"} +{"d:Title": "MediaCircus: Snake Eyes", "d:Description": "Review by Anthony Leong.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.mediacircus.net/snakeeyes.html"} +{"d:Title": "Light Views: Snake Eyes", "d:Description": "DVD review and rating by John Larsen.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.lightviews.com/snakeeyesdvd.htm"} +{"d:Title": "Cinema Laser: Snake Eyes", "d:Description": "DVD review by Derek M. Germano.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.thecinemalaser.com/dvd_reviews/snake-eyes-dvd.htm"} +{"d:Title": "Movie Habit: Snake Eyes", "d:Description": "Review and rating by Marty Mapes.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.moviehabit.com/reviews/sna_h198.shtml"} +{"d:Title": "The Reel McCoy: Snake Eyes", "d:Description": "Review and rating by Patrick McCoy.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.the-reel-mccoy.com/movies/1998/snakeeyes.html"} +{"d:Title": "Movie Magazine International: Snake Eyes", "d:Description": "Review by Heather Clisby.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.shoestring.org/mmi_revs/snakeeyes98.html"} +{"d:Title": "Nitrate Online: Snake Eyes", "d:Description": "Review by Eddie Cockrell.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.nitrateonline.com/rsnakeeyes.html"} +{"d:Title": "Wolfpack Productions: Snake Eyes", "d:Description": "Review and rating by Sujit Chawla.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.wolfpackproductions.com/archive/snake.html"} +{"d:Title": "Q Network Film Desk: Snake Eyes", "d:Description": "Review and rating by James Kendrick.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.qnetwork.com/?page=review&id=746"} +{"d:Title": "Chicago Reader: Snake Eyes", "d:Description": "Review by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.chicagoreader.com/movies/archives/1998/0998/09048.html"} +{"d:Title": "Deseret News: Snake Eyes", "d:Description": "Review by Jeff Vice.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.deseretnews.com/article/700002065/Snake-Eyes.html"} +{"d:Title": "Cinematter: Snake Eyes", "d:Description": "Review and rating by Matt Williams.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.cinematter.com/movie.php3?se"} +{"d:Title": "The New Zealand Herald: Snake Eyes", "d:Description": "Review by Russell Baillie.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.nzherald.co.nz/lifestyle/news/article.cfm?c_id=6&objectid=25"} +{"d:Title": "The Tech (MIT): Snake Eyes", "d:Description": "Review by Tzu-Mainn Chen.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://tech.mit.edu/V118/N32/bsnakeeyes.32a.html"} +{"d:Title": "TalkTalk: Snake Eyes", "d:Description": "Review and rating.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.talktalk.co.uk/entertainment/film/review/films/snake-eyes/471"} +{"d:Title": "The Flick Filosopher: Snake Eyes", "d:Description": "Review by MaryAnn Johanson.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.flickfilosopher.com/1999/03/snake-eyes-review.html"} +{"d:Title": "San Francisco Chronicle: Snake Eyes", "d:Description": "Review by Bob Graham.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.sfgate.com/movies/article/Snake-Doesn-t-Roll-With-Punches-De-Palma-2946407.php"} +{"d:Title": "Chicago Sun-Times: Snake Eyes", "d:Description": "Review and rating by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.rogerebert.com/reviews/snake-eyes-1998"} +{"d:Title": "Montreal Film Journal: Snake Eyes", "d:Description": "Review and rating by Kevin N. Laforest.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://montrealfilmjournal.com/snake-eyes/"} +{"d:Title": "San Francisco Examiner: Snake Eyes", "d:Description": "Review and rating by Barbara Shulgasser.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.sfgate.com/news/article/Snake-Eyes-No-dice-3076283.php"} +{"d:Title": "Movie Metropolis: Snake Eyes", "d:Description": "DVD review and rating by John J. Puccio.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://moviemet.com/review/snake-eyes-dvd-review#.VHJVCcm5eUk"} +{"d:Title": "TV Guide Online: Snake Eyes", "d:Description": "Brief review and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Snake_Eyes/Reviews", "url": "http://www.tvguide.com/movies/snake-eyes/133056/"} +{"d:Title": "HARO Online - Snatch", "d:Description": "Haro reviews the movie. Rates it \"ok\"", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://www.haro-online.com/movies/snatch.html"} +{"d:Title": "PopMatters - Snatch", "d:Description": "Review of the film and US showtimes.", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://popmatters.com/film/reviews/s/snatch.html"} +{"d:Title": "All-Reviews.com - Snatch", "d:Description": "Collection of reviews, overall rating 2 1/2 stars.", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://www.all-reviews.com/videos-2/snatch.htm"} +{"d:Title": "Snatch", "d:Description": "Fan page with movie clips, songs from the soundtracks, lyrics, animated gifs, and wavs.", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://vansnscott.tripod.com/snatch.html"} +{"d:Title": "Rotten Tomatoes - Snatch", "d:Description": "Reviews and reactions from top critics. News, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://www.rottentomatoes.com/m/snatch/"} +{"d:Title": "IMDb - Snatch (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://www.imdb.com/title/tt0208092/"} +{"d:Title": "Allreaders Review - Snatch", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Brad Pitt film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://allreaders.com/movie-review-summary/snatch-4675"} +{"d:Title": "Metacritic.com - Snatch", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Snatch", "url": "http://www.metacritic.com/movie/snatch"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Sneakers", "url": "http://crazy4cinema.com/Review/FilmsS/f_sneakers.html"} +{"d:Title": "Math in the Movies -- Sneakers", "d:Description": "Mathematician Len Aldeman discusses his role as cryptography consultant for the film.", "topic": "Top/Arts/Movies/Titles/S/Sneakers", "url": "http://world.std.com/~reinhold/math/sneakers.adleman.html"} +{"d:Title": "Sneakers", "d:Description": "Washington Post review of the film.", "topic": "Top/Arts/Movies/Titles/S/Sneakers", "url": "http://washingtonpost.com/wp-srv/style/longterm/movies/videos/sneakerspg13howe_a0aeff.htm"} +{"d:Title": "The Almight Guru: Sneakers", "d:Description": "Review, trivia, and links.", "topic": "Top/Arts/Movies/Titles/S/Sneakers", "url": "http://www.thealmightyguru.com/Reviews/Sneakers/"} +{"d:Title": "IMDb: Sneakers (1992)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Movies/Titles/S/Sneakers", "url": "http://www.imdb.com/title/tt0105435/"} +{"d:Title": "TV Guide: Monument Ave.", "d:Description": "2 1/2 star review with synopsis, cast/crew credits and background information.", "topic": "Top/Arts/Movies/Titles/S/Snitch", "url": "http://www.tvguide.com/movies/monument-ave/133562/"} +{"d:Title": "Snow Day", "d:Description": "Official Site", "topic": "Top/Arts/Movies/Titles/S/Snow_Day", "url": "http://snowdaymovie.nick.com/"} +{"d:Title": "PopMatters - Snow Day", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Snow_Day", "url": "http://popmatters.com/film/reviews/s/snow-day.html"} +{"d:Title": "Box Office Mojo - Snow Day", "d:Description": "Box office data for Snow Day since day one. Includes a comparison with other Nickelodeon movies.", "topic": "Top/Arts/Movies/Titles/S/Snow_Day", "url": "http://www.boxofficemojo.com/movies/?id=snowday.htm"} +{"d:Title": "Rotten Tomatoes: Snow Day", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Snow_Day", "url": "http://www.rottentomatoes.com/m/snow_day/"} +{"d:Title": "IMDb - Snow Day", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Snow_Day", "url": "http://www.imdb.com/title/tt0184907/"} +{"d:Title": "Metacritic.com - Snow Day", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Snow_Day", "url": "http://www.metacritic.com/movie/snow-day"} +{"d:Title": "All-Reviews.com - Snow Dogs", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Snow_Dogs", "url": "http://www.all-reviews.com/videos-4/snow-dogs.htm"} +{"d:Title": "HARO Online: Snow Dogs", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Snow_Dogs", "url": "http://www.haro-online.com/movies/snow_dogs.html"} +{"d:Title": "IMDb: Snow Dogs (2002)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Snow_Dogs", "url": "http://www.imdb.com/title/tt0281373/"} +{"d:Title": "Metacritic.com: Snow Dogs", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Snow_Dogs", "url": "http://www.metacritic.com/movie/snow-dogs"} +{"d:Title": "Snow Falling On Cedars", "d:Description": "Official Site", "topic": "Top/Arts/Movies/Titles/S/Snow_Falling_on_Cedars", "url": "http://www.snowfallingoncedars.com/"} +{"d:Title": "PopMatters", "d:Description": "Review: \"A sincere, if problematic, examination of racism and nationalist fervor.\"", "topic": "Top/Arts/Movies/Titles/S/Snow_Falling_on_Cedars", "url": "http://www.popmatters.com/film/reviews/s/snow-falling-on-cedars.html"} +{"d:Title": "All-Reviews.com - Snow Falling on Cedars", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/S/Snow_Falling_on_Cedars", "url": "http://www.all-reviews.com/videos-3/snow-falling-cedars.htm"} +{"d:Title": "HARO Online - Snow Falling on Cedars", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/S/Snow_Falling_on_Cedars", "url": "http://www.haro-online.com/movies/snow_falling_on_cedars.html"} +{"d:Title": "IMDb: Snow Falling on Cedars", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Snow_Falling_on_Cedars", "url": "http://www.imdb.com/title/tt0120834/"} +{"d:Title": "Metacritic.com - Snow Falling on Cedars", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Snow_Falling_on_Cedars", "url": "http://www.metacritic.com/movie/snow-falling-on-cedars"} +{"d:Title": "AllReaders.com Review - Snow Falling on Cedars", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Ethan Hawke film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Snow_Falling_on_Cedars", "url": "http://allreaders.com/movie-review-summary/snow-falling-on-cedars-4242"} +{"d:Title": "Charity's Place Movie Review: Snow White - The Fairest of Them All", "d:Description": "Film critic Charity Bishop calls the Hallmark Entertainment production \"incredible\" and gives it a 4 out of 5.", "topic": "Top/Arts/Movies/Titles/S/Snow_White_-_The_Fairest_of_Them_All", "url": "http://www.charitysplace.com/review/snowwhite.htm"} +{"d:Title": "Digitally Obsessed.com: Snow White - The Fairest of Them All (2002)", "d:Description": "Joel Cunningham reviews the movie, with emphasis on the DVD release.", "topic": "Top/Arts/Movies/Titles/S/Snow_White_-_The_Fairest_of_Them_All", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=3497"} +{"d:Title": "IMDb: Snow White", "d:Description": "Features complete cast and crew listings, reviews, and a trailer for the movie.", "topic": "Top/Arts/Movies/Titles/S/Snow_White_-_The_Fairest_of_Them_All", "url": "http://www.imdb.com/title/tt0255605/"} +{"d:Title": "Rotten Tomatoes: Soap Girl", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Soap_Girl", "url": "http://www.rottentomatoes.com/m/10001425-soap_girl/"} +{"d:Title": "IMDb: Soap Girl (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Soap_Girl", "url": "http://www.imdb.com/title/tt0304729/"} +{"d:Title": "Unofficial Social Misfits Site", "d:Description": "Includes photos, news, and messageboard.", "topic": "Top/Arts/Movies/Titles/S/Social_Misfits", "url": "http://www.angelfire.com/movies/socialmisfits/"} +{"d:Title": "IMDb: The Social Network", "d:Description": "Includes cast, storyline, user reviews, and message boards.", "topic": "Top/Arts/Movies/Titles/S/Social_Network,_The", "url": "http://www.imdb.com/title/tt1285016/"} +{"d:Title": "The Social Network", "d:Description": "Official site. Awards, downloadable screenplay, interviews, reviews, music and clips.", "topic": "Top/Arts/Movies/Titles/S/Social_Network,_The", "url": "http://www.thesocialnetwork-movie.com/"} +{"d:Title": "Wikipedia: The Social Network", "d:Description": "Overview of the 2010 drama film about the founding of the social networking website Facebook and the resulting lawsuits.", "topic": "Top/Arts/Movies/Titles/S/Social_Network,_The", "url": "http://en.wikipedia.org/wiki/The_Social_Network"} +{"d:Title": "Rotten Tomatoes: The Social Network", "d:Description": "Includes movie information, reviews, trailers and cast.", "topic": "Top/Arts/Movies/Titles/S/Social_Network,_The", "url": "http://www.rottentomatoes.com/m/the-social-network/"} +{"d:Title": "Rotten Tomatoes - Soft Fruit", "d:Description": "Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news", "topic": "Top/Arts/Movies/Titles/S/Soft_Fruit", "url": "http://www.rottentomatoes.com/m/soft_fruit/"} +{"d:Title": "IMDb - Soft Fruit", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Soft_Fruit", "url": "http://www.imdb.com/title/tt0180181/"} +{"d:Title": "Metacritic.com - Soft Fruit", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Soft_Fruit", "url": "http://www.metacritic.com/movie/soft-fruit"} +{"d:Title": "IMDb - Soft Toilet Seats", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Soft_Toilet_Seats", "url": "http://www.imdb.com/title/tt0171764/"} +{"d:Title": "Metacritic.com - Soft Toilet Seats", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Soft_Toilet_Seats", "url": "http://www.metacritic.com/movie/soft-toilet-seats"} +{"d:Title": "Scotland the Movie Location Guide - Soft Top Hard Shoulder", "d:Description": "Scottish filming locations of Soft Top Hard Shoulder.", "topic": "Top/Arts/Movies/Titles/S/Soft_Top_Hard_Shoulder", "url": "http://www.scotlandthemovie.com/movies/ftop.html"} +{"d:Title": "IMDb.com - Soft Top Hard Shoulder (1992)", "d:Description": "Cast/crew information, ratings, comments, and other background details.", "topic": "Top/Arts/Movies/Titles/S/Soft_Top_Hard_Shoulder", "url": "http://www.imdb.com/title/tt0105437/"} +{"d:Title": "All Movie Guide - Soft Top Hard Shoulder", "d:Description": "Cast/crew information, brief synopsis, 3 star rating, and add your own rating.", "topic": "Top/Arts/Movies/Titles/S/Soft_Top_Hard_Shoulder", "url": "http://www.allmovie.com/movie/v147131"} +{"d:Title": "Mondo-Digital - Solaris", "d:Description": "Review of the 1972 film directed by Andrei Tarkovsky, including images. (DVD Criterion Edition)", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_1972", "url": "http://www.mondo-digital.com/solaris.html"} +{"d:Title": "ReelFilm - Solaris (1972)", "d:Description": "David Nusair reviews the Tarkovsky movie.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_1972", "url": "http://www.reelfilm.com/solyaris.htm"} +{"d:Title": "Turner Classic Movies: Solaris", "d:Description": "Synopsis, background information, full cast and crew, soundtrack and original print details, trivia, articles, and technical information.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_1972", "url": "http://www.tcm.com/tcmdb/title/90696/Solaris/"} +{"d:Title": "Chicago Sun-Times - Great Movies - Tarkovsky's Solaris", "d:Description": "Roger Ebert discusses the movies by the Russian director Andrei Tarkovsky.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_1972", "url": "http://www.rogerebert.com/reviews/great-movie-solaris-1972"} +{"d:Title": "Chicago Sun-Times - Solaris", "d:Description": "Roger Ebert's original review.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_1972", "url": "http://www.rogerebert.com/reviews/solaris-1976"} +{"d:Title": "Christian Science Monitor - Philosophy in the stars", "d:Description": "David Sterrit discusses 'Solaris' and states that it will appeal to moviegoers who enjoy thinking about compelling questions with no easy answers.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.csmonitor.com/2002/1129/p14s02-almo.html"} +{"d:Title": "IMDb: Solaris", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.imdb.com/title/tt0307479/"} +{"d:Title": "Rotten Tomatoes: Solaris", "d:Description": "Review links, synopsis, critical consensus, mistakes, production notes, credits, photos, trailers, box office numbers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.rottentomatoes.com/m/solaris/"} +{"d:Title": "Slate - Solaris", "d:Description": "David Edelstein discusses Steven Soderbergh's ravishing lament for lost love.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://slate.com/?id=2074623"} +{"d:Title": "Chicago Sun-Times - Solaris", "d:Description": "A critique of the film that tells the story of a planet that reads minds, and obliges its visitors by devising and providing people they have lost, and miss.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.rogerebert.com/reviews/solaris-2002"} +{"d:Title": "Contactmusic.com - Solaris Press Conference", "d:Description": "Film information and press conference footage.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.contactmusic.com/pages/solarisx24x02x03"} +{"d:Title": "The Village Voice - Space Odysseys", "d:Description": "J. Hoberman reviews the movie adaption of a novel of philosophical sci-fi by Stanislaw Lem.", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.villagevoice.com/film/space-odysseys-6412158"} +{"d:Title": "New York Times - Solaris", "d:Description": "\"Their Love Will Go On in Outer Space\" - a review by Stephen Holden. (Requires free registration)", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.nytimes.com/2002/11/27/movies/film-review-their-love-will-go-on-in-outer-space.html"} +{"d:Title": "San Francisco Chronicle - Solaris", "d:Description": "Mick LaSalle reviews the movie and names it \"all surface and cold as Kubrick\".", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.sfgate.com/movies/article/Soderbergh-s-Solaris-is-all-surface-and-cold-as-2749930.php"} +{"d:Title": "IGN Movies: Solaris", "d:Description": "News, and a review by KJB (3 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Solaris_-_2002", "url": "http://www.ign.com/movies/solaris/theater-34290"} +{"d:Title": "Soldier", "d:Description": "Official site.", "topic": "Top/Arts/Movies/Titles/S/Soldier", "url": "http://www.wb-soldier.com/"} +{"d:Title": "All Todd - A Soldier's Website", "d:Description": "Site devoted to Kurt Russell's character in the film, Sgt. Todd", "topic": "Top/Arts/Movies/Titles/S/Soldier", "url": "http://www.angelfire.com/sd/Todd3465/Frames.html"} +{"d:Title": "All-Reviews.com - Soldier (1998)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Soldier", "url": "http://www.all-reviews.com/videos/soldier.htm"} +{"d:Title": "IMDb - Soldier (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Soldier", "url": "http://www.imdb.com/title/tt0120157/"} +{"d:Title": "TV Guide: Soldier", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Soldier", "url": "http://www.tvguide.com/movies/soldier/133660/"} +{"d:Title": "Roger Ebert -- A Soldier's Daughter Never Cries", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Soldier's_Daughter_Never_Cries,_A", "url": "http://www.rogerebert.com/reviews/a-soldiers-daughter-never-cries-1998"} +{"d:Title": "IMDb - A Soldier's Daughter Never Cries (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Soldier's_Daughter_Never_Cries,_A", "url": "http://www.imdb.com/title/tt0120835/"} +{"d:Title": "TV Guide: A Soldier's Daughter Never Cries", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Soldier's_Daughter_Never_Cries,_A", "url": "http://www.tvguide.com/movies/soldiers-daughter-cries/133569/"} +{"d:Title": "HARO Online: Solomon and Gaenor", "d:Description": "Review by Mongoose. Rating: 'Pretty Good'.", "topic": "Top/Arts/Movies/Titles/S/Solomon_and_Gaenor", "url": "http://www.haro-online.com/movies/solomon_and_gaenor.html"} +{"d:Title": "PopMatters - Solomon and Gaenor", "d:Description": "Review of the film by Dale Leech.", "topic": "Top/Arts/Movies/Titles/S/Solomon_and_Gaenor", "url": "http://popmatters.com/film/reviews/s/solomon-and-gaenor.html"} +{"d:Title": "IMDb: Solomon and Gaenor", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/S/Solomon_and_Gaenor", "url": "http://www.imdb.com/title/tt0181830/"} +{"d:Title": "Metacritic.com - Solomon and Gaenor", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Solomon_and_Gaenor", "url": "http://www.metacritic.com/movie/solomon-gaenor"} +{"d:Title": "IMDb: Somebody to Love (1994)", "d:Description": "Cast, credits and production information.", "topic": "Top/Arts/Movies/Titles/S/Somebody_to_Love_-_1994", "url": "http://www.imdb.com/title/tt0111237/"} +{"d:Title": "TV Guide Online: Somebody to Love", "d:Description": "Review by Charles Cassady, Jr., rating and cast.", "topic": "Top/Arts/Movies/Titles/S/Somebody_to_Love_-_1994", "url": "http://www.tvguide.com/movies/somebody-love/131493/"} +{"d:Title": "Washington Post - Sweet Dreams", "d:Description": "Reviews by Rita Kempley and Eve Zibart (both positive).", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/someoneelseamerica.htm"} +{"d:Title": "The Man Who Viewed Too Much - Someone Else's America", "d:Description": "Review by Mike D'Angelo (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.panix.com/~dangelo/some.html"} +{"d:Title": "IMDb - Someone Else's America (1995)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.imdb.com/title/tt0114494/combined"} +{"d:Title": "rec.arts.movies.reviews - Someone Else's America (1995)", "d:Description": "Review by Steve Rhodes (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.imdb.com/reviews/53/5329.html"} +{"d:Title": "The Austin Chronicle - Someone Else's America", "d:Description": "Review by Marjorie Baumgarten (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.austinchronicle.com/calendar/film/1996-07-05/someone-elses-america/"} +{"d:Title": "San Francisco Chronicle - Chasing Dreams in America", "d:Description": "Review by Peter Stack (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.sfgate.com/movies/article/Chasing-Dreams-in-America-Warm-wistful-look-2979819.php"} +{"d:Title": "San Francisco Examiner - Balkan Immigrants Struggle in Someone Else's America", "d:Description": "Review by David Armstrong (predominantly positive), rating", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.sfgate.com/news/article/Balkan-immigrants-struggle-in-Someone-Else-s-3142002.php"} +{"d:Title": "Cinebooks Database - This Land Is Your Land . . . and You Can Have It", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/S/Someone_Else's_America", "url": "http://www.tvguide.com/movies/elses-america/131472/"} +{"d:Title": "HARO Online", "d:Description": "Offers a review and links.", "topic": "Top/Arts/Movies/Titles/S/Someone_Like_You", "url": "http://www.haro-online.com/movies/someone_like_you.html"} +{"d:Title": "PopMatters: Someone Like You", "d:Description": "Contains reviews and interviews.", "topic": "Top/Arts/Movies/Titles/S/Someone_Like_You", "url": "http://popmatters.com/film/reviews/s/someone-like-you2.html"} +{"d:Title": "All-Reviews.com: Someone Like You", "d:Description": "Includes a review and second opinion.", "topic": "Top/Arts/Movies/Titles/S/Someone_Like_You", "url": "http://www.all-reviews.com/videos-3/someone-like-you.htm"} +{"d:Title": "Rotten Tomatoes - Someone Like You", "d:Description": "Reviews, news, pictures and other information about the film.", "topic": "Top/Arts/Movies/Titles/S/Someone_Like_You", "url": "http://www.rottentomatoes.com/m/1106020-someone_like_you/"} +{"d:Title": "IMDb.com - Someone Like You", "d:Description": "Cast/crew information with user comments and ratings with other background details.", "topic": "Top/Arts/Movies/Titles/S/Someone_Like_You", "url": "http://www.imdb.com/title/tt0244970/"} +{"d:Title": "Metacritic.com: Someone Like You", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Someone_Like_You", "url": "http://www.metacritic.com/movie/someone-like-you"} +{"d:Title": "HARO Online: Something's Gotta Give", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Something's_Gotta_Give", "url": "http://www.haro-online.com/movies/somethings_gotta_give.html"} +{"d:Title": "All-Reviews.com: Something's Gotta Give", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Something's_Gotta_Give", "url": "http://www.all-reviews.com/videos-5/somethings-gotta-give.htm"} +{"d:Title": "TEN Movies: Something's Gotta Give", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/S/Something's_Gotta_Give", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1054"} +{"d:Title": "Rotten Tomatoes: Something's Gotta Give", "d:Description": "Includes synopsis, reviews, rating, cast, trailer, image gallery and news.", "topic": "Top/Arts/Movies/Titles/S/Something's_Gotta_Give", "url": "http://www.rottentomatoes.com/m/somethings_gotta_give/"} +{"d:Title": "Yahoo! Movies: Something's Gotta Give", "d:Description": "Contains synopsis, cast, production images and trailer.", "topic": "Top/Arts/Movies/Titles/S/Something's_Gotta_Give", "url": "http://movies.yahoo.com/movie/something-s-gotta-give/"} +{"d:Title": "Internet Movie Database: Something's Gotta Give", "d:Description": "Contains cast, synopsis, trailer, news, image gallery and reviews.", "topic": "Top/Arts/Movies/Titles/S/Something's_Gotta_Give", "url": "http://www.imdb.com/title/tt0337741/"} +{"d:Title": "Future Movies: Something's Gotta Give", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/S/Something's_Gotta_Give", "url": "https://www.futuremovies.co.uk/reviews/somethings-gotta-give/ed-colley"} +{"d:Title": "IMDb.com - Something to Sing About (2000) (TV)", "d:Description": "Cast/crew information, with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Something_to_Sing_About", "url": "http://www.imdb.com/title/tt0246937/"} +{"d:Title": "All-Reviews.com: Something to Talk About", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Something_to_Talk_About", "url": "http://www.all-reviews.com/videos-3/something-to-talk-about.htm"} +{"d:Title": "Rotten Tomatoes: Something to Talk About", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Something_to_Talk_About", "url": "http://www.rottentomatoes.com/m/something_to_talk_about/"} +{"d:Title": "IMDb: Something to Talk About (1995)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Something_to_Talk_About", "url": "http://www.imdb.com/title/tt0114496/"} +{"d:Title": "Rotten Tomatoes: Something Wild", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Something_Wild_-_1986", "url": "http://www.rottentomatoes.com/m/something_wild/"} +{"d:Title": "AllReaders.com: Something Wild", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/S/Something_Wild_-_1986", "url": "http://allreaders.com/movie-review-summary/something-wild-4256"} +{"d:Title": "IMDb: Something Wild (1986)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Something_Wild_-_1986", "url": "http://www.imdb.com/title/tt0091983/"} +{"d:Title": "Sometimes a Hero", "d:Description": "\"Sometimes a Hero\" - An action drama written by Glen Doyle, directed by Jalal Merhi starring Christina Cox, Bryan Genesse, and Josh Barker. Site contains plot summary, cast list, movie stills, behind the scenes, interviews.", "topic": "Top/Arts/Movies/Titles/S/Sometimes_a_Hero", "url": "http://www.angelfire.com/ca4/GlenDoyle/sah.html"} +{"d:Title": "Somewhere in Time", "d:Description": "Summary of the film, song lyrics, screenshots, and music from the film.", "topic": "Top/Arts/Movies/Titles/S/Somewhere_in_Time", "url": "http://bottlemagic.tripod.com/indexswit1.html"} +{"d:Title": "SWIT", "d:Description": "Fan site with picture gallery, lyrics, wallpaper, sound clips, and links.", "topic": "Top/Arts/Movies/Titles/S/Somewhere_in_Time", "url": "http://bottlemagic.tripod.com/swit/indexswit.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, and soundtrack information.", "topic": "Top/Arts/Movies/Titles/S/Some_Kind_of_Wonderful", "url": "http://www.fast-rewind.com/skwonderful.htm"} +{"d:Title": "Rotten Tomatoes: Some Kind of Wonderful", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Some_Kind_of_Wonderful", "url": "http://www.rottentomatoes.com/m/some_kind_of_wonderful/"} +{"d:Title": "IMDb: Some Kind of Wonderful (1987)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Some_Kind_of_Wonderful", "url": "http://www.imdb.com/title/tt0094006/"} +{"d:Title": "Allreaders.com: Some Kind of Wonderful", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Some_Kind_of_Wonderful", "url": "http://allreaders.com/movie-review-summary/some-kind-of-wonderful-3878"} +{"d:Title": "Greatest Films - Some Like It Hot", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Some_Like_It_Hot", "url": "http://www.filmsite.org/some.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Some_Like_It_Hot", "url": "http://crazy4cinema.com/Review/FilmsS/f_some_like_hot.html"} +{"d:Title": "All-Reviews.com: Some Like It Hot", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Some_Like_It_Hot", "url": "http://all-reviews.com/videos-4/some-like-it-hot.htm"} +{"d:Title": "H2G2: Some Like it Hot", "d:Description": "Detailed review, trivia, and production information.", "topic": "Top/Arts/Movies/Titles/S/Some_Like_It_Hot", "url": "http://h2g2.com/dna/h2g2/alabaster/A537338"} +{"d:Title": "Some Like It Hot (1959)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/S/Some_Like_It_Hot", "url": "http://www.imdb.com/title/tt0053291/"} +{"d:Title": "AllReaders.com Review - Some Like It Hot", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Tony Curtis, Marilyn Monroe, and Jack Lemmon.", "topic": "Top/Arts/Movies/Titles/S/Some_Like_It_Hot", "url": "http://allreaders.com/movie-review-summary/some-like-it-hot-3651"} +{"d:Title": "Yahoo! Movies: Some Voices", "d:Description": "Synopsis, cast and crew, awards and message board.", "topic": "Top/Arts/Movies/Titles/S/Some_Voices", "url": "http://movies.yahoo.com/movie/some-voices/"} +{"d:Title": "IMDb: Some Voices", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Some_Voices", "url": "http://www.imdb.com/title/tt0218616/"} +{"d:Title": "The Guardian: Some Voices", "d:Description": "Peter Bradshaw applauds a substantial, compassionate movie about mental illness.", "topic": "Top/Arts/Movies/Titles/S/Some_Voices", "url": "http://www.theguardian.com/film/News_Story/Critic_Review/Guardian_Film_of_the_week/0,4267,358518,00.html"} +{"d:Title": "La Plume Noire - The Son's Room", "d:Description": "A review of 'The Son's Room'.", "topic": "Top/Arts/Movies/Titles/S/Son's_Room,_The", "url": "http://www.plume-noire.com/movies/reviews/thesonsroom.html"} +{"d:Title": "IMDb: The Son's Room (La Stanza del Figlio) (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Son's_Room,_The", "url": "http://www.imdb.com/title/tt0208990/"} +{"d:Title": "Metacritic.com: The Son's Room", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Son's_Room,_The", "url": "http://www.metacritic.com/movie/the-sons-room"} +{"d:Title": "Rome File: The Son's Room", "d:Description": "A review of the film along with information about Rome.", "topic": "Top/Arts/Movies/Titles/S/Son's_Room,_The", "url": "http://www.romefile.com/film/the-sons-room.php"} +{"d:Title": "HARO Online - Songcatcher", "d:Description": "Mongoose reviews the film, rates it \"ok\".", "topic": "Top/Arts/Movies/Titles/S/Songcatcher", "url": "http://www.haro-online.com/movies/songcatcher.html"} +{"d:Title": "All-Reviews.com - Songcatcher", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Songcatcher", "url": "http://www.all-reviews.com/videos-3/songcatcher.htm"} +{"d:Title": "Metacritic.com: Songcatcher", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Songcatcher", "url": "http://www.metacritic.com/movie/songcatcher"} +{"d:Title": "IMDb.com - Songcatcher", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Songcatcher", "url": "http://www.imdb.com/title/tt0210299/"} +{"d:Title": "TV Guide- Songcatcher", "d:Description": "4 1/2 star review with production notes, cast/crew details and other related information.", "topic": "Top/Arts/Movies/Titles/S/Songcatcher", "url": "http://www.tvguide.com/movies/songcatcher/134759/"} +{"d:Title": "Song Is Born, A (1948)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/S/Song_Is_Born,_A", "url": "http://www.imdb.com/title/tt0040820/"} +{"d:Title": "TV Guide Online: A Song Is Born", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Song_Is_Born,_A", "url": "http://www.tvguide.com/movies/song-born/118473/"} +{"d:Title": "IMDb: Song of Bernadette, The (1943)", "d:Description": "Cast, credits, plot summary, awards.", "topic": "Top/Arts/Movies/Titles/S/Song_of_Bernadette,_The", "url": "http://www.imdb.com/title/tt0036377/"} +{"d:Title": "AllMovie: The Song of Bernadette", "d:Description": "Overview, plot synopsis, review, credits, awards, and listing of similar films.", "topic": "Top/Arts/Movies/Titles/S/Song_of_Bernadette,_The", "url": "http://www.allmovie.com/movie/the-song-of-bernadette-v45643"} +{"d:Title": "TV Guide Movie Database: Song of Bernadette, The", "d:Description": "Capsule review, cast, credits.", "topic": "Top/Arts/Movies/Titles/S/Song_of_Bernadette,_The", "url": "http://www.tvguide.com/movies/song-bernadette/118476/"} +{"d:Title": "Epinions.com - Reviews of Song of Bernadette", "d:Description": "Contributed reviews of the film.", "topic": "Top/Arts/Movies/Titles/S/Song_of_Bernadette,_The/Reviews", "url": "http://www.epinions.com/reviews/mvie_mu-1019408"} +{"d:Title": "IMDb: Sonny", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, production and distribution information, quotations, awards, and links.", "topic": "Top/Arts/Movies/Titles/S/Sonny_-_2002", "url": "http://www.imdb.com/title/tt0305973/"} +{"d:Title": "Rotten Tomatoes: Sonny", "d:Description": "Review links, synopsis, credits, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Sonny_-_2002", "url": "http://www.rottentomatoes.com/m/sonny/"} +{"d:Title": "Slate: Sonny", "d:Description": "Negative review of the film by Michael Agger.", "topic": "Top/Arts/Movies/Titles/S/Sonny_-_2002", "url": "http://www.slate.com/articles/arts/movies/2002/12/big_queasy.html"} +{"d:Title": "Rotten Tomatoes: Son of Rambow", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Son_of_Rambow", "url": "http://www.rottentomatoes.com/m/son_of_rambow/"} +{"d:Title": "eFilmCritic: Son of Rambow", "d:Description": "Review, by Erik Childress: \"There lies a huge, beating heart that leads to a crescendo of joviality so flawlessly accomplished that your mental applause sign may light up before its all over.\" [Rating: 5 out of 5]", "topic": "Top/Arts/Movies/Titles/S/Son_of_Rambow", "url": "http://efilmcritic.com/review.php?movie=15691&reviewer=198"} +{"d:Title": "IGN Movies: Son of Rambow", "d:Description": "News, interviews, photos, videos, message board, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Son_of_Rambow", "url": "http://www.ign.com/movies/son-of-rambow/theater-963357"} +{"d:Title": "HARO Online: Son of the Bride", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Son_of_the_Bride", "url": "http://www.haro-online.com/movies/son_of_the_bride.html"} +{"d:Title": "Rotten Tomatoes: Son of the Bride", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Son_of_the_Bride", "url": "http://www.rottentomatoes.com/m/son_of_the_bride/"} +{"d:Title": "Metacritic.com: Son of the Bride", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/S/Son_of_the_Bride", "url": "http://www.metacritic.com/movie/son-of-the-bride"} +{"d:Title": "IMDb: Son of the Bride (El Hijo de la Novia) (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Son_of_the_Bride", "url": "http://www.imdb.com/title/tt0292542/"} +{"d:Title": "IMDb - Son of the Morning Star (1991)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Son_of_the_Morning_Star", "url": "http://www.imdb.com/title/tt0102962/"} +{"d:Title": "Crazy for Cinema - Sophie's Choice (1982)", "d:Description": "Review by Lisa Dinsmore (positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Sophie's_Choice", "url": "http://crazy4cinema.com/Review/FilmsS/f_sophies.html"} +{"d:Title": "At-a-Glance Film Reviews - Sophie's Choice (1982)", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Sophie's_Choice", "url": "http://www.rinkworks.com/movies/m/sophies.choice.1982.shtml"} +{"d:Title": "All-Reviews.com - Sophie's Choice", "d:Description": "Review by Dragan Antulov (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Sophie's_Choice", "url": "http://www.all-reviews.com/videos-4/sophies-choice.htm"} +{"d:Title": "IMDb - Sophie's Choice (1982)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Sophie's_Choice", "url": "http://www.imdb.com/title/tt0084707/"} +{"d:Title": "TV Guide: Sophie's Choice", "d:Description": "Unsigned review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Sophie's_Choice", "url": "http://www.tvguide.com/movies/sophies-choice/118541/"} +{"d:Title": "RomanticMovies - Sorority Boys Premiere", "d:Description": "Interviews with many of the main actors. Also includes photos taken at the movie Premiere.", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys", "url": "http://romanticmovies.about.com/library/weekly/aa032002a.htm"} +{"d:Title": "Rotten Tomatoes - Sorority Boys", "d:Description": "Reviews of the movie. Also includes movie information, trailer, poster, photos, news, articles and forum.", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys", "url": "http://www.rottentomatoes.com/m/sorority_boys/"} +{"d:Title": "Yahoo! Movies - Sorority Boys", "d:Description": "Contains movie description, trailers and clips, pictures, reviews and a message board.", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys", "url": "http://movies.yahoo.com/movie/sorority-boys/"} +{"d:Title": "The Internet Movie Database - Sorority Boys", "d:Description": "Technical information, list of the cast and crew, links and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys", "url": "http://www.imdb.com/title/tt0279781/"} +{"d:Title": "All-Reviews.com", "d:Description": "\"Along with sex jokes and drug jokes, the humor pivots on cross-dressing dilemmas: stuffing bras, teetering in high heels and applying cosmetics.\"", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys/Reviews", "url": "http://www.all-reviews.com/videos-4/sorority-boys.htm"} +{"d:Title": "HARO Online", "d:Description": "\"Dave, Adam and Doofer, rechristened as Daisy, Adina, and Roberta, get to experience first hand what it feels like to be treated like crap. Guess what? They don't like it.\"", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys/Reviews", "url": "http://www.haro-online.com/movies/sorority_boys.html"} +{"d:Title": "Chicago Sun-Times", "d:Description": "\"They look as feminine as the sailors wearing coconut brassieres in \"South Pacific.\" By Roger Ebert [Chicago Sun Times].", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys/Reviews", "url": "http://www.rogerebert.com/reviews/sorority-boys-2002"} +{"d:Title": "Metacritic: Sorority Boys", "d:Description": "Quotes from and links to reviews of the film, with an overall score.", "topic": "Top/Arts/Movies/Titles/S/Sorority_Boys/Reviews", "url": "http://www.metacritic.com/movie/sorority-boys"} +{"d:Title": "Rotten Tomatoes: Soul Food", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Soul_Food", "url": "http://www.rottentomatoes.com/m/soul_food/"} +{"d:Title": "IMDb: Soul Food (1997)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Soul_Food", "url": "http://www.imdb.com/title/tt0120169/"} +{"d:Title": "Chicago Sun-Times: Soul Food", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Soul_Food", "url": "http://www.rogerebert.com/reviews/soul-food-1997"} +{"d:Title": "Rotten Tomatoes: Soul in the Hole", "d:Description": "Reviews, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Soul_in_the_Hole", "url": "http://www.rottentomatoes.com/m/soul_in_the_hole/"} +{"d:Title": "IMDb: Soul in the Hole", "d:Description": "Cast, crew and links to external reviews.", "topic": "Top/Arts/Movies/Titles/S/Soul_in_the_Hole", "url": "http://www.imdb.com/title/tt0122728/"} +{"d:Title": "Rotten Tomatoes: Soul Men", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Soul_Men", "url": "http://www.rottentomatoes.com/m/10009635-soul_men/"} +{"d:Title": "TV Guide: Soul Men", "d:Description": "Review, by Jason Buchanan: \"It's a joy to watch soul legend Isaac Hayes in one of his final roles.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Soul_Men", "url": "http://www.tvguide.com/movies/soul-men/review/295119/"} +{"d:Title": "The Boston Globe: Soul Men", "d:Description": "Review, by Wesley Morris: \"There are two reasons to put up with Soul Men, and that's the soul men themselves. Samuel L. Jackson and Bernie Mac appear to be having a good time, and for most of this raunchy, poorly orchestrated buddy comedy, that's enough.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Soul_Men", "url": "http://archive.boston.com/ae/movies/articles/2008/11/07/mac_jackson_provide_the_soul/"} +{"d:Title": "IGN: Soul Men", "d:Description": "News, photos, videos, message board, and a review (rating: 1.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Soul_Men", "url": "http://www.ign.com/movies/soul-men/theater-14221385"} +{"d:Title": "ReelViews: Soul Men", "d:Description": "Review, by James Berardinelli: \"There's nothing quite like watching Samuel L. Jackson and Bernie Mac performing at a hoedown and getting into it with the audience.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Soul_Men", "url": "http://www.reelviews.net/reelviews/soul-men"} +{"d:Title": "New York Post: Soul Men", "d:Description": "Review, by Lou Lumenick: \"If you insist on seeing Soul Men, stick around during the closing credits for the best part of the movie, an interview with Mac.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Soul_Men", "url": "http://nypost.com/2002/10/31/death-be-not-proud-of-dems-ventura-rips-gop-bashing-wellstone-memorial/"} +{"d:Title": "All-Reviews.com: Soul Survivors", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Soul_Survivors", "url": "http://www.all-reviews.com/videos-3/soul-survivors.htm"} +{"d:Title": "HARO Online: Soul Survivors", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Soul_Survivors", "url": "http://www.haro-online.com/movies/soul_survivors.html"} +{"d:Title": "Rotten Tomatoes: Soul Survivors", "d:Description": "Reviews, synopsis, photos, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Soul_Survivors", "url": "http://www.rottentomatoes.com/m/soul_survivors/"} +{"d:Title": "Metacritic.com: Soul Survivors", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Soul_Survivors", "url": "http://www.metacritic.com/movie/soul-survivors"} +{"d:Title": "IMDb.com - Sound and Fury (2000)", "d:Description": "Details about the documentary include crew, background information, and comments.", "topic": "Top/Arts/Movies/Titles/S/Sound_and_Fury", "url": "http://imdb.com/title/tt0240912/"} +{"d:Title": "Rotten Tomatoes - Sound and Fury", "d:Description": "Reviews, general information, news, multimedia, and forums for the documentary \"The Sound and the Fury\".", "topic": "Top/Arts/Movies/Titles/S/Sound_and_Fury", "url": "http://www.rottentomatoes.com/m/sound_and_fury/"} +{"d:Title": "Metacritic.com - Sound and Fury", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sound_and_Fury", "url": "http://www.metacritic.com/movie/sound-and-fury"} +{"d:Title": "WWWF Ground Zero: Mary Poppins vs. Maria von Trapp", "d:Description": "Julie Andrews vs. Julie Andrews in the battle of the Nannies. [humor]", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.grudge-match.com/History/nannies.shtml"} +{"d:Title": "Greatest Films - The Sound of Music (1965)", "d:Description": "Detailed review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.filmsite.org/soun.html"} +{"d:Title": "Teach with Movies - The Sound of Music", "d:Description": "Review/overview of the film as a learning tool.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.teachwithmovies.org/guides/sound-of-music.html"} +{"d:Title": "All-Reviews.com - The Sound of Music", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.all-reviews.com/videos-2/sound-of-music.htm"} +{"d:Title": "The Straight Dope: The Sound of Music", "d:Description": "In The Sound of Music, how can Capt. von Trapp be a veteran of landlocked Austria's navy? The answer, courtesy of Cecil Adams.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.straightdope.com/classics/a950707b.html"} +{"d:Title": "The Sound of More Music", "d:Description": "Fanpage with lyrics, production information, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.angelfire.com/musicals/tsom/"} +{"d:Title": "Reel Classics: The Sound of Music", "d:Description": "Plot description, quotes, and multimedia.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.reelclassics.com/Musicals/SoundMusic/soundmusic.htm"} +{"d:Title": "IMDb - The Sound of Music", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.imdb.com/title/tt0059742/"} +{"d:Title": "AllReaders.com Review - The Sound of Music", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Julie Andrews film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://allreaders.com/movie-review-summary/the-sound-of-music-4098"} +{"d:Title": "The Flick Filosopher | The Sound of Music", "d:Description": "Review and discussion of the film.", "topic": "Top/Arts/Movies/Titles/S/Sound_of_Music,_The", "url": "http://www.flickfilosopher.com/1999/02/the-sound-of-music-review.html"} +{"d:Title": "Metacritic.com - Source, The", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Source,_The", "url": "http://www.metacritic.com/movie/the-source"} +{"d:Title": "IMDb - Southern Comfort (1981)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Southern_Comfort_-_1981", "url": "http://www.imdb.com/title/tt0083111/"} +{"d:Title": "Metacritic.com - Southern Comfort", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Southern_Comfort_-_1981", "url": "http://www.metacritic.com/movie/southern-comfort"} +{"d:Title": "IMDb: Southern Heart -1999", "d:Description": "Provides synopsis, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/S/Southern_Heart", "url": "http://www.imdb.com/title/tt0204644/"} +{"d:Title": "IMDb: Southlander (2001)", "d:Description": "Cast and crew, release dates and technical information.", "topic": "Top/Arts/Movies/Titles/S/Southlander", "url": "http://www.imdb.com/title/tt0278044/"} +{"d:Title": "HARO Online: South of Heaven, West of Hell", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/South_of_Heaven,_West_of_Hell", "url": "http://www.haro-online.com/movies/south_of_heaven.html"} +{"d:Title": "South Pacific (1958)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/South_Pacific_-_1958", "url": "http://www.imdb.com/title/tt0052225/"} +{"d:Title": "AllMovie: South Pacific", "d:Description": "Film overview, detailed plot synopsis, review, credits, awards, and listing of similar films.", "topic": "Top/Arts/Movies/Titles/S/South_Pacific_-_1958", "url": "http://www.allmovie.com/movie/south-pacific-v45781"} +{"d:Title": "The Usher: South West 9", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/S/South_West_9", "url": "http://www.kelwick.karoo.net/TheUsher-Speaks2001/TheUsherSpeaks-SouthWest9.htm"} +{"d:Title": "IMDb: South West 9 (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/South_West_9", "url": "http://www.imdb.com/title/tt0297403/"} +{"d:Title": "All-Reviews.com: Soylent Green", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/S/Soylent_Green", "url": "http://www.all-reviews.com/videos-4/soylent-green.htm"} +{"d:Title": "Soylent Green (1973)", "d:Description": "Cast and credits, plot summaries, viewer comments and rating, trivia, awards and nominations.", "topic": "Top/Arts/Movies/Titles/S/Soylent_Green", "url": "http://imdb.com/title/tt0070723/"} +{"d:Title": "TV Guide Online: Soylent Green", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Soylent_Green", "url": "http://www.tvguide.com/movies/soylent-green/118609/"} +{"d:Title": "Internet Movie Database: Chik yeung tin sai", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, production and distribution details, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/So_Close", "url": "http://imdb.com/title/tt0300620/"} +{"d:Title": "HARO Online: So Close", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/So_Close", "url": "http://www.haro-online.com/movies/so_close.html"} +{"d:Title": "IMDb - Spaceballs (1987)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Spaceballs", "url": "http://www.imdb.com/title/tt0094012/"} +{"d:Title": "Allreaders - Spaceballs", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Mel Brooks film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Spaceballs", "url": "http://allreaders.com/movie-review-summary/space-balls-4776"} +{"d:Title": "Spaceballs: The Website", "d:Description": "Pictures, quotes, and links.", "topic": "Top/Arts/Movies/Titles/S/Spaceballs", "url": "http://sbws.tripod.com/space.htm"} +{"d:Title": "TV Guide: Spaceballs", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Spaceballs", "url": "http://www.tvguide.com/movies/spaceballs/118618/"} +{"d:Title": "PopMatters - Space Cowboys", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://popmatters.com/film/reviews/s/space-cowboys.html"} +{"d:Title": "HARO Online - Space Cowboys", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://www.haro-online.com/movies/space_cowboys.html"} +{"d:Title": "All-Reviews.com - Space Cowboys", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://www.all-reviews.com/videos/space-cowboys.htm"} +{"d:Title": "Box Office Mojo - Space Cowboys", "d:Description": "Daily box office data for Space Cowboys. Includes box office track records for Clint Eastwood and Tommy Lee Jones.", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://www.boxofficemojo.com/movies/?id=spacecowboys.htm"} +{"d:Title": "Rotten Tomatoes - Space Cowboys", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://www.rottentomatoes.com/m/space_cowboys/"} +{"d:Title": "Space Cowboys", "d:Description": "Official site with videos, photographs, and film information.", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://www.warnerbros.com/?page=movies/#/page=movies&pid=f-2ee742ee/SPACE_COWBOYS&asset=026089/Space_Cowboys&type=video/"} +{"d:Title": "IMDb - Space Cowboys (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://www.imdb.com/title/tt0186566/"} +{"d:Title": "Metacritic.com - Space Cowboys", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Space_Cowboys", "url": "http://www.metacritic.com/movie/space-cowboys"} +{"d:Title": "All-Reviews.com- Space Truckers", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/S/Space_Truckers", "url": "http://www.all-reviews.com/videos-5/space-truckers.htm"} +{"d:Title": "IMDb - Space Truckers (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Space_Truckers", "url": "http://www.imdb.com/title/tt0120199/"} +{"d:Title": "HARO Online: L'Auberge Espagnole", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Spanish_Apartment,_The", "url": "http://www.haro-online.com/movies/lauberge_espagnole.html"} +{"d:Title": "Internet Movie Database: Auberge espagnole, L'", "d:Description": "Synopsis, cast and crew, reviews, user ratings and comments, production and distribution information, trivia, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/Spanish_Apartment,_The", "url": "http://imdb.com/title/tt0283900/"} +{"d:Title": "Chicago Sun-Times - L'auberge Espagnole", "d:Description": "Review of the movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/S/Spanish_Apartment,_The", "url": "http://www.rogerebert.com/reviews/lauberge-espagnole-2003"} +{"d:Title": "All-Reviews.com: The Spanish Prisoner", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Spanish_Prisoner,_The", "url": "http://www.all-reviews.com/videos-4/spanish-prisoner.htm"} +{"d:Title": "TV Guide: The Spanish Prisoner", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Spanish_Prisoner,_The", "url": "http://www.tvguide.com/movies/spanish-prisoner/132961/"} +{"d:Title": "All-Reviews.com - Spanking the Monkey", "d:Description": "3 star review of the cult film.", "topic": "Top/Arts/Movies/Titles/S/Spanking_the_Monkey", "url": "http://www.all-reviews.com/videos-2/spanking-the-monkey.htm"} +{"d:Title": "Washington Post: 'Spanking the Monkey'", "d:Description": "Hal Hinson's review: \"The film's subject matter and title (slang for masturbation) may be attention-getting, but Russell never strains for momentousness; he's not a shock artist.\"", "topic": "Top/Arts/Movies/Titles/S/Spanking_the_Monkey", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/spankingthemonkeynrhinson_b009d1.htm"} +{"d:Title": "IMDb.com - Spanking the Monkey", "d:Description": "Cast/crew, user comments, rating and other information.", "topic": "Top/Arts/Movies/Titles/S/Spanking_the_Monkey", "url": "http://www.imdb.com/title/tt0111252/"} +{"d:Title": "IMDb - Sparkler", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sparkler", "url": "http://www.imdb.com/title/tt0124137/"} +{"d:Title": "All-Reviews.com: Spartacus", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Spartacus", "url": "http://www.all-reviews.com/videos-4/spartacus.htm"} +{"d:Title": "IMDb: Spartacus (1960)", "d:Description": "Full cast information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Spartacus", "url": "http://www.imdb.com/title/tt0054331/"} +{"d:Title": "TV Guide Online: Spartacus", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Spartacus", "url": "http://www.tvguide.com/movies/spartacus/review/118636/"} +{"d:Title": "All-Reviews.com - Spawn", "d:Description": "Reviews of the movie, overall rating of 2/4 stars.", "topic": "Top/Arts/Movies/Titles/S/Spawn", "url": "http://www.all-reviews.com/videos-2/spawn.htm"} +{"d:Title": "Species Movie Series Reviews", "d:Description": "Ram Samudrala's brief but pointed analysis of both Species and Species II.", "topic": "Top/Arts/Movies/Titles/S/Species_Series", "url": "http://www.ram.org/ramblings/movies/species.html"} +{"d:Title": "AllMovie: Species [Film Series]", "d:Description": "Series overview, film synopses, reviews, and lists of similar movies.", "topic": "Top/Arts/Movies/Titles/S/Species_Series", "url": "http://www.allmovie.com/movie/species-film-series-v304927"} +{"d:Title": "MovieWeb: Species", "d:Description": "A brief review with movie poster, stills and tailer, cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species", "url": "http://www.movieweb.com/movie/species/"} +{"d:Title": "H.R. Giger: Ghost Train Nightmare", "d:Description": "An article on a \"Species\" dream sequence that didn't make it into the movie. With pictures.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species", "url": "http://www.hrgiger.com/ghost.htm"} +{"d:Title": "Filmtracks: Species", "d:Description": "A review of Christopher Young's Species soundtrack. Includes a track listing and a sound clip.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species", "url": "http://www.filmtracks.com/titles/species.html"} +{"d:Title": "All-Reviews.com: Species", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species", "url": "http://www.all-reviews.com/videos-3/species.htm"} +{"d:Title": "Species", "d:Description": "Metro-Goldwyn-Mayer's official promo site for the movie. With an interactive story, movie stills, and videos.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species", "url": "http://www.mgm.com/title_title.php?title_star=SPECIES-BD"} +{"d:Title": "IMDb: Species (1995)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot summary, user comments, and links.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species", "url": "http://www.imdb.com/title/tt0114508/"} +{"d:Title": "Jurassic Punk: Species", "d:Description": "A collection of 6 video clips in QuickTime format. With a trailer and scenes from the movie.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species", "url": "http://www.jurassicpunk.com/movies/species.shtml"} +{"d:Title": "Species II", "d:Description": "Yvonne Navarro's page about her novelization of the movie. Site includes the complete prologue of the book.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species_II", "url": "http://www.yvonnenavarro.com/specdoc2.htm"} +{"d:Title": "All-Reviews.com: Species II", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species_II", "url": "http://www.all-reviews.com/videos-3/species2.htm"} +{"d:Title": "Species II", "d:Description": "Metro-Goldwyn-Mayer's official site. Includes a synopsis, cast profiles, video and audio clips, and a game.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species_II", "url": "http://www.mgm.com/title_title.php?title_star=SPECIE2"} +{"d:Title": "IMDb: Species II (1998)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot summary, user comments, and links.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species_II", "url": "http://www.imdb.com/title/tt0120841/"} +{"d:Title": "IMDb: Species III", "d:Description": "Offers cast and crew, photo gallery, plot outline, goofs, and quotes.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species_III", "url": "http://www.imdb.com/title/tt0410650/"} +{"d:Title": "Metro-Goldwyn-Mayer: Species III", "d:Description": "Official site with synopsis, cast and crew, photos, multimedia, and DVD information.", "topic": "Top/Arts/Movies/Titles/S/Species_Series/Species_III", "url": "http://www.mgm.com/title_title.php?title_star=SPECIES3"} +{"d:Title": "All-Reviews.com: Speechless", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Speechless", "url": "http://www.all-reviews.com/videos-2/speechless.htm"} +{"d:Title": "IMDb: Speedway Junky (1999)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Speedway_Junky", "url": "http://www.imdb.com/title/tt0155197/"} +{"d:Title": "Metacritic.com: Speedway Junky", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Speedway_Junky", "url": "http://www.metacritic.com/movie/speedway-junky"} +{"d:Title": "Rotten Tomatoes: Speed Racer", "d:Description": "Synopsis, cast members, photos, videos, showtimes, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Speed_Racer", "url": "http://www.rottentomatoes.com/m/speed_racer/"} +{"d:Title": "Cinema Blend: Speed Racer", "d:Description": "Preview, by Josh Tyler: \"Any chance this could be his next Big Lebowski? Ok it\u2019s a slim chance, but I love Goodman. I\u2019m taking it.\"", "topic": "Top/Arts/Movies/Titles/S/Speed_Racer", "url": "http://www.cinemablend.com/previews/Speed-Racer-2189.html"} +{"d:Title": "IGN Movies: Speed Racer", "d:Description": "Photos, videos, news, features, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Speed_Racer", "url": "http://www.ign.com/movies/speed-racer/theater-34341"} +{"d:Title": "ComingSoon.net: Speed Racer", "d:Description": "Preview and interviews.", "topic": "Top/Arts/Movies/Titles/S/Speed_Racer", "url": "http://www.comingsoon.net/movies/features/43051-exclusive-go-speed-racer-go"} +{"d:Title": "USA Today", "d:Description": "Preview, by Scott Bowles: \"...Racer, says producer Joel Silver, has a trump card \u2014 or two, actually \u2014 in Andy and Larry Wachowski, the gadget-happy siblings who were huge fans of the TV series, one of the first Japanese cartoons to make it to the USA.\"", "topic": "Top/Arts/Movies/Titles/S/Speed_Racer", "url": "http://usatoday30.usatoday.com/life/movies/news/2007-12-05-speed-racer_N.htm"} +{"d:Title": "MovieWeb: Speed Racer (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Speed_Racer", "url": "http://movieweb.com/movie/speed-racer/"} +{"d:Title": "All-Reviews.com - Speed", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed", "url": "http://www.all-reviews.com/videos/speed.htm"} +{"d:Title": "WWWF Grudge Match: Buford T. Justice vs. Rosco P. Coltrane", "d:Description": "The two southern Sheriffs chase the bus from 'Speed' through Hazzard county. [Humor]", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed", "url": "http://www.grudge-match.com/History/buford-rosco.shtml"} +{"d:Title": "IMDb - Speed (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed", "url": "http://www.imdb.com/title/tt0111257/"} +{"d:Title": "Roger Ebert - Speed", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed", "url": "http://www.rogerebert.com/reviews/speed-1994"} +{"d:Title": "Allreaders - Speed", "d:Description": "Very detailed analysis of the movie, and listings of similar ones. Sign up to become a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed", "url": "http://allreaders.com/movie-review-summary/speed-3697"} +{"d:Title": "TV Guide Online: Speed", "d:Description": "Review, synopsis, and credits.", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed", "url": "http://www.tvguide.com/movies/speed/129942/"} +{"d:Title": "Rotten Tomatoes - Speed 2: Cruise Control", "d:Description": "Reviews of the movie from the nation's top critics and audiences. Also includes movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed_2_-_Cruise_Control", "url": "http://www.rottentomatoes.com/m/speed_2_cruise_control/"} +{"d:Title": "IMDb.com - Speed 2: Cruise Control", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed_2_-_Cruise_Control", "url": "http://www.imdb.com/title/tt0120179/"} +{"d:Title": "TV Guide Online - Speed 2 - Cruise Control", "d:Description": "2 1/2 star review, production notes, cast/crew and other related information.", "topic": "Top/Arts/Movies/Titles/S/Speed_Series/Speed_2_-_Cruise_Control", "url": "http://www.tvguide.com/movies/speed-2-cruise-control/132307/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Spellbound", "url": "http://crazy4cinema.com/Review/FilmsS/f_spellbound.html"} +{"d:Title": "HARO Online: Spellbound", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Spellbound", "url": "http://www.haro-online.com/movies/spellbound.html"} +{"d:Title": "All-Reviews.com - Spellbound", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Spellbound", "url": "http://all-reviews.com/videos-5/spellbound.htm"} +{"d:Title": "IMDb - Spellbound (1945)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Spellbound", "url": "http://www.imdb.com/title/tt0038109/"} +{"d:Title": "TV Guide Online: Spellbound", "d:Description": "Lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/S/Spellbound", "url": "http://www.tvguide.com/movies/spellbound/118671/"} +{"d:Title": "Rotten Tomatoes: $pent", "d:Description": "Links to movie reviews, synopsis, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Spent", "url": "http://www.rottentomatoes.com/m/pent/"} +{"d:Title": "IMDb: $pent (2000)", "d:Description": "Cast and crew information, synopsis, trailer, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Spent", "url": "http://www.imdb.com/title/tt0155198/"} +{"d:Title": "Metacritic.com - Spent", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Spent", "url": "http://www.metacritic.com/movie/pent"} +{"d:Title": "IMDb.com - Sphere", "d:Description": "Cast/crew information with user comments, ratings, and other related information.", "topic": "Top/Arts/Movies/Titles/S/Sphere", "url": "http://www.imdb.com/title/tt0120184/"} +{"d:Title": "TV Guide- Sphere", "d:Description": "1 1/2 star review with synopsis, cast and crew, and other background information.", "topic": "Top/Arts/Movies/Titles/S/Sphere", "url": "http://www.tvguide.com/movies/sphere/132722/"} +{"d:Title": "Rotten Tomatoes: Spice World", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Spice_World", "url": "http://www.rottentomatoes.com/m/spice_world/"} +{"d:Title": "IMDb: Spice World (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Spice_World", "url": "http://www.imdb.com/title/tt0120185/"} +{"d:Title": "AllReaders.com: Spiceworld", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Spice_World", "url": "http://allreaders.com/movie-review-summary/spiceworld-7249"} +{"d:Title": "HARO Online: Spider", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Spider", "url": "http://www.haro-online.com/movies/spider.html"} +{"d:Title": "All-Reviews.com: Spider", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Spider", "url": "http://all-reviews.com/videos-5/spider.htm"} +{"d:Title": "IMDb.com: Spider (2002)", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Movies/Titles/S/Spider", "url": "http://imdb.com/title/tt0278731/"} +{"d:Title": "Rotten Tomatoes: Spider", "d:Description": "Photos, trailers, links to reviews, a plot synopsis, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/Spider", "url": "http://www.rottentomatoes.com/m/1120292-spider/"} +{"d:Title": "Spider-Man Movie", "d:Description": "Official site for the motion picture.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://spiderman.sonypictures.com/"} +{"d:Title": "UKHotMovies.com: Spider-Man", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.ukhotmovies.com/reviews/spider-man1/"} +{"d:Title": "All-Reviews.com: Spider-Man", "d:Description": "Several reviews of the film.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.all-reviews.com/videos-4/spider-man.htm"} +{"d:Title": "Seeing-Stars.com: Spider-Man", "d:Description": "Information on Los Angeles locations used in the movie, including maps.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.seeing-stars.com/Locations/2002Locations.shtml"} +{"d:Title": "HARO Online: Spider-Man", "d:Description": "Review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.haro-online.com/movies/spider-man.html"} +{"d:Title": "KillerMovies.com: Spider Man", "d:Description": "News articles, plot, cast and crew information, trailers, and photographs.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.killermovies.com/s/spiderman/"} +{"d:Title": "Filmtracks: Spider-Man", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.filmtracks.com/titles/spiderman.html"} +{"d:Title": "Rotten Tomatoes - Spider Man", "d:Description": "Reviews, general information, news, multimedia, and forums for \"Spider-Man\".", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.rottentomatoes.com/m/spiderman/"} +{"d:Title": "Green Insanity", "d:Description": "Features articles that explore Norman Osborn and his alter, the Green Goblin.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.funkman.org/normangoblin/greeninsanity.htm"} +{"d:Title": "Metacritic.com: Spiderman", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.metacritic.com/movie/spider-man"} +{"d:Title": "IMDb - Spider-Man (2001)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://www.imdb.com/title/tt0145487/"} +{"d:Title": "About Romantic Movies: Spider-Man", "d:Description": "A review of the film, along with pictures, an interview and links.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man", "url": "http://movies.about.com/od/spiderman/"} +{"d:Title": "KillerMovies - Spider-Man 2", "d:Description": "Movie news and information on the next Spider-man motion picture. Includes trailers, pictures, and posters.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://www.killermovies.com/s/spiderman2/"} +{"d:Title": "UGO: Spider-Man Hub", "d:Description": "The Spider-Man Hub at UGO is an archive for Spider-Man movie related news, spoilers, gossip, rumors, events, and items.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://spiderman.ugo.com/"} +{"d:Title": "IMDb: Spider-Man 2 (2004)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://imdb.com/title/tt0316654/"} +{"d:Title": "The Sci-Fi Movie Page: Spider-Man 2", "d:Description": "Article and trivia.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://www.scifimoviepage.com/art_spiderman2.html"} +{"d:Title": "HARO Online: Spider-Man 2", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://www.haro-online.com/movies/spider-man2.html"} +{"d:Title": "TEN Movies - Spider-Man 2", "d:Description": "Movie site's Spider-Man page with their review of the film. Information includes: cast, director, poster, photograph, and links to the trailer and homepage.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1083"} +{"d:Title": "Filmtracks: Spider-Man 2", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://www.filmtracks.com/titles/spiderman2.html"} +{"d:Title": "Rotten Tomatoes - Spider-man 2", "d:Description": "The movie guide collects news articles and reviews on the sequel. Also includes a public forum", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://www.rottentomatoes.com/m/spiderman_2/"} +{"d:Title": "Super Hero Hype - Spider-man 2", "d:Description": "An archive of news and information about the Spider-man movies. The site also covers the Marvel comic book series and events related to Spider-man.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://www.superherohype.com/heroes/spider-man"} +{"d:Title": "Future Movies: Spider-Man 2", "d:Description": "Review by Michelle Thomas.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_2", "url": "http://www.futuremovies.co.uk/reviews/spider-man-2-1/michelle-thomas"} +{"d:Title": "IMDb: Spider-Man 3 (2007)", "d:Description": "Cast and crew details, plot summary, user comments, trailer.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_3", "url": "http://www.imdb.com/title/tt0413300/"} +{"d:Title": "Wikipedia: Spider-Man 3", "d:Description": "A broad article that covers the various aspects of the film's production and includes an extensive list of references.", "topic": "Top/Arts/Movies/Titles/S/Spider-Man_Series/Spider-Man_3", "url": "http://en.wikipedia.org/wiki/Spider-Man_3"} +{"d:Title": "IMDb: The Spiderwick Chronicles (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Spiderwick_Chronicles,_The", "url": "http://www.imdb.com/title/tt0416236/"} +{"d:Title": "Rotten Tomatoes: The Spiderwick Chronicles", "d:Description": "Synopsis, cast list, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Spiderwick_Chronicles,_The", "url": "http://www.rottentomatoes.com/m/spiderwick_chronicles/"} +{"d:Title": "The Spiderwick Chronicles", "d:Description": "Official site. Film information, video, gallery, games, downloads, field guide, and map.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Spiderwick_Chronicles,_The", "url": "http://www.spiderwickchronicles.com/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the cast, plot, marketing, and trivia.", "topic": "Top/Arts/Movies/Titles/S/Spiderwick_Chronicles,_The", "url": "http://en.wikipedia.org/wiki/The_Spiderwick_Chronicles_(film)"} +{"d:Title": "MovieWeb: The Spiderwick Chronicles (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Spiderwick_Chronicles,_The", "url": "http://www.movieweb.com/movie/the-spiderwick-chronicles"} +{"d:Title": "IGN Movies: The Spiderwick Chronicles", "d:Description": "Photos, videos, news, interviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Spiderwick_Chronicles,_The", "url": "http://www.ign.com/movies/the-spiderwick-chronicles/theater-858299"} +{"d:Title": "Washington Post - Spike of Bensonhurst (R)", "d:Description": "Review by Hal Hinson (negative).", "topic": "Top/Arts/Movies/Titles/S/Spike_of_Bensonhurst", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/spikeofbensonhurst.htm"} +{"d:Title": "IMDb - Spike of Bensonhurst (1988)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Spike_of_Bensonhurst", "url": "http://www.imdb.com/title/tt0096156/combined"} +{"d:Title": "Chicago Sun-Times - Spike of Bensonhurst", "d:Description": "Review by Roger Ebert (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Spike_of_Bensonhurst", "url": "http://www.rogerebert.com/reviews/spike-of-bensonhurst-1988"} +{"d:Title": "IMDb: Spin Cycle (2000)", "d:Description": "Cast and crew information, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Spin_Cycle", "url": "http://www.imdb.com/title/tt0228889/"} +{"d:Title": "Spirit of St. Louis, The (1957)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/S/Spirit_of_St._Louis,_The", "url": "http://www.imdb.com/title/tt0051003/"} +{"d:Title": "All-Reviews.com - Spitfire Grill", "d:Description": "2 star review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Spitfire_Grill", "url": "http://www.all-reviews.com/videos-2/the-spitfire-grill.htm"} +{"d:Title": "IMDb.com - Spitfire Grill, The", "d:Description": "Cast/crew, user comments, ratings, and other background information about the film.", "topic": "Top/Arts/Movies/Titles/S/Spitfire_Grill", "url": "http://www.imdb.com/title/tt0117718/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/S/Splash", "url": "http://www.fast-rewind.com/splash.htm"} +{"d:Title": "IMDb: Splendor", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Splendor", "url": "http://www.imdb.com/title/tt0127296/"} +{"d:Title": "Metacritic.com - Splendor", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Splendor", "url": "http://www.metacritic.com/movie/splendor"} +{"d:Title": "HARO Online: Spring, Summer, Fall, Winter...and Spring", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Spring,_Summer,_Fall,_Winter...and_Spring", "url": "http://www.haro-online.com/movies/spring_summer_fall.html"} +{"d:Title": "IMDb: Spring, Summer, Fall, Winter...and Spring", "d:Description": "Synopsis, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Spring,_Summer,_Fall,_Winter...and_Spring", "url": "http://www.imdb.com/title/tt0374546/"} +{"d:Title": "IMDB: Springtime in a Small Town", "d:Description": "Cast and crew information, plot summary, and review.", "topic": "Top/Arts/Movies/Titles/S/Springtime_in_a_Small_Town_-_2002", "url": "http://www.imdb.com/title/tt0332831/"} +{"d:Title": "China Now: Springtime in a Small Town", "d:Description": "Informative review from Shelly Kraicer.", "topic": "Top/Arts/Movies/Titles/S/Springtime_in_a_Small_Town_-_2002", "url": "http://www.chinanowmag.com/filmreview/filmreview.htm"} +{"d:Title": "Rottentomatoes: Springtime in a Small Town", "d:Description": "Aggregated reviews from many critics, cast and crew information, plot synopsis.", "topic": "Top/Arts/Movies/Titles/S/Springtime_in_a_Small_Town_-_2002", "url": "http://www.rottentomatoes.com/m/springtime_in_a_small_town/"} +{"d:Title": "HARO Online - Spring Forward", "d:Description": "Mongoose reviews \"Spring Forward.\" Rates it 'Pretty Good'", "topic": "Top/Arts/Movies/Titles/S/Spring_Forward", "url": "http://www.haro-online.com/movies/spring_forward.html"} +{"d:Title": "Metacritic.com - Spring Forward", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Spring_Forward", "url": "http://www.metacritic.com/movie/spring-forward"} +{"d:Title": "La Plume Noire - Spy Game", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Spy_Game", "url": "http://www.plume-noire.com/movies/reviews/spygame.html"} +{"d:Title": "HARO Online: Spy Game", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Spy_Game", "url": "http://www.haro-online.com/movies/spy_game.html"} +{"d:Title": "All-Reviews.com: Spy Game", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Spy_Game", "url": "http://all-reviews.com/videos-4/spy-game.htm"} +{"d:Title": "About.com: Spy Game", "d:Description": "Review by Ivana Redwine and DVD information.", "topic": "Top/Arts/Movies/Titles/S/Spy_Game", "url": "http://homevideo.about.com/library/weekly/aafpr040602.htm"} +{"d:Title": "Rotten Tomatoes: Spy Game", "d:Description": "Links to reviews, synopsis, cast and crew information, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Spy_Game", "url": "http://www.rottentomatoes.com/m/spy_game/"} +{"d:Title": "Metacritic.com: Spy Game", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Spy_Game", "url": "http://www.metacritic.com/movie/spy-game"} +{"d:Title": "Spy Kids", "d:Description": "Fan site containing information, photos, articles and related links.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids", "url": "http://rehteb.tripod.com/spykids/"} +{"d:Title": "HARO Online - Spy Kids", "d:Description": "Haro reviews the film, rates it 'pretty good'.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids", "url": "http://www.haro-online.com/movies/spy_kids.html"} +{"d:Title": "PopMatters - Spy Kids", "d:Description": "Review of the film by Cynthia Fuchs and nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids", "url": "http://popmatters.com/film/reviews/s/spy-kids.html"} +{"d:Title": "All-Reviews.com - Spy Kids", "d:Description": "Multiple reviewers rate this film 2 1/2 stars of 4.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids", "url": "http://www.all-reviews.com/videos-3/spy-kids.htm"} +{"d:Title": "Rotten Tomatoes - Spy Kids", "d:Description": "Reviews, movie information, trailer, interviews, articles, box office figures, cast/crew information, news and a message board forum.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids", "url": "http://www.rottentomatoes.com/m/spy_kids/"} +{"d:Title": "IMDb.com - Spy Kids", "d:Description": "Cast/crew information, trailer, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids", "url": "http://www.imdb.com/title/tt0227538/"} +{"d:Title": "Metacritic.com: Spy Kids", "d:Description": "A cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids", "url": "http://www.metacritic.com/movie/spy-kids"} +{"d:Title": "All-Reviews.com: Spy Kids 2 - The Island of Lost Dreams", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_2_-_The_Island_of_Lost_Dreams", "url": "http://www.all-reviews.com/videos-4/spy-kids-2-island-lost.htm"} +{"d:Title": "HARO Online: Spy Kids 2", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_2_-_The_Island_of_Lost_Dreams", "url": "http://www.haro-online.com/movies/spy_kids2.html"} +{"d:Title": "Rotten Tomatoes: Spy Kids 2", "d:Description": "Links to articles, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_2_-_The_Island_of_Lost_Dreams", "url": "http://www.rottentomatoes.com/m/spy_kids_2_island_of_lost_dreams/"} +{"d:Title": "IMDb: Spy Kids 2 - The Island of Lost Dreams (2002)", "d:Description": "Production information, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_2_-_The_Island_of_Lost_Dreams", "url": "http://www.imdb.com/title/tt0287717/"} +{"d:Title": "Metacritic.com: Spy Kids 2", "d:Description": "Quotes from and links to reviews of the film, with user comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_2_-_The_Island_of_Lost_Dreams", "url": "http://www.metacritic.com/movie/spy-kids-2-island-of-lost-dreams"} +{"d:Title": "All-Reviews.com - Spy Kids 3D: Game Over", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_3-D_-_Game_Over", "url": "http://www.all-reviews.com/videos-5/spy-kids-3d.htm"} +{"d:Title": "HARO Online - Spy Kids 3-D: Game Over", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_3-D_-_Game_Over", "url": "http://www.haro-online.com/movies/spy_kids3d.html"} +{"d:Title": "Internet Movie Database: Spy Kids 3-D: Game Over (2003)", "d:Description": "Synopsis, cast and crew, user comments and ratings, reviews, trivia, production and distribution information, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/Spy_Kids_Series/Spy_Kids_3-D_-_Game_Over", "url": "http://imdb.com/title/tt0338459/"} +{"d:Title": "Bad Movie Night: SSSSSSS", "d:Description": "Review by Ned Daigle looking at the problems with the plot.", "topic": "Top/Arts/Movies/Titles/S/SSSSSSS", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=43988_wyldfyr"} +{"d:Title": "IMDb: SSSSSSS", "d:Description": "Cast, credits, comments and links.", "topic": "Top/Arts/Movies/Titles/S/SSSSSSS", "url": "http://www.imdb.com/title/tt0070622/"} +{"d:Title": "All-Reviews.com: St. Elmo's Fire", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/St._Elmo's_Fire", "url": "http://www.all-reviews.com/videos-3/st-elmos-fire.htm"} +{"d:Title": "Rotten Tomatoes: St. Elmo's Fire", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/St._Elmo's_Fire", "url": "http://www.rottentomatoes.com/m/st_elmos_fire/"} +{"d:Title": "IMDb: St. Elmo's Fire (1985)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/St._Elmo's_Fire", "url": "http://www.imdb.com/title/tt0090060/"} +{"d:Title": "IMDb: St. Ives (1998)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/St._Ives", "url": "http://www.imdb.com/title/tt0144622/"} +{"d:Title": "IMDb - Staccato Purr of the Exhaust (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Staccato_Purr_of_the_Exhaust", "url": "http://www.imdb.com/title/tt0127298/"} +{"d:Title": "Greatest Films - Stagecoach", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Stagecoach_-_1939", "url": "http://www.filmsite.org/stagec.html"} +{"d:Title": "IMDb - Stagecoach", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Stagecoach_-_1939", "url": "http://www.imdb.com/title/tt0031971/"} +{"d:Title": "Allreaders Review - Stagecoach", "d:Description": "Analytical review of the plot, setting, theme, and structure of the John Wayne film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Stagecoach_-_1939", "url": "http://allreaders.com/movie-review-summary/stagecoach-4317"} +{"d:Title": "IMDb: Stagecoach (1966)", "d:Description": "Full cast information, plot outline, and comments.", "topic": "Top/Arts/Movies/Titles/S/Stagecoach_-_1966", "url": "http://www.imdb.com/title/tt0061024/"} +{"d:Title": "TV Guide Online: Stagecoach", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Stagecoach_-_1966", "url": "http://www.tvguide.com/movies/stagecoach/118838/"} +{"d:Title": "Crazy for Cinema - Stalag 17", "d:Description": "Review of the film with cast/crew information.", "topic": "Top/Arts/Movies/Titles/S/Stalag_17", "url": "http://crazy4cinema.com/Review/FilmsS/f_stalag17.html"} +{"d:Title": "IMDb: Stalag 17 (1953)", "d:Description": "Full cast information, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stalag_17", "url": "http://www.imdb.com/title/tt0046359/"} +{"d:Title": "TV Guide Online: Stalag 17", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/S/Stalag_17", "url": "http://www.tvguide.com/movies/stalag-17/109906/"} +{"d:Title": "All-Reviews.com: Stalingrad", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Stalingrad", "url": "http://www.all-reviews.com/videos-3/stalingrad.htm"} +{"d:Title": "Kamera.co.uk - Stalker", "d:Description": "Todd Harbour reviews the movie directed by Andrei Tarkovsky.", "topic": "Top/Arts/Movies/Titles/S/Stalker", "url": "http://www.kamera.co.uk/reviews_extra/stalker.php"} +{"d:Title": "MWSTAFFO's Video Club: Stephen King's The Stand", "d:Description": "Includes photos, links, and a synopsis.", "topic": "Top/Arts/Movies/Titles/S/Stand,_The", "url": "http://mwstaffo.com/tv/stand/index.html"} +{"d:Title": "IMDb.com - Stand, The", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Stand,_The", "url": "http://www.imdb.com/title/tt0108941/"} +{"d:Title": "AllMovie: The Stand", "d:Description": "Cast/crew information, plot synopsis, related movies, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Stand,_The", "url": "http://www.allmovie.com/movie/the-stand-v134603"} +{"d:Title": "Rotten Tomatoes: Stand and Deliver", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Stand_and_Deliver", "url": "http://www.rottentomatoes.com/m/stand_and_deliver/"} +{"d:Title": "IMdb: Stand and Deliver (1987)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stand_and_Deliver", "url": "http://www.imdb.com/title/tt0094027/"} +{"d:Title": "AllMovie: Stand and Deliver", "d:Description": "Review and analysis by Hal Erickson, synopsis, cast and crew information, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Stand_and_Deliver", "url": "http://www.allmovie.com/movie/stand-and-deliver-v46436"} +{"d:Title": "Rotten Tomatoes: Stand by Me", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Stand_By_Me", "url": "http://www.rottentomatoes.com/m/1019794-stand_by_me/"} +{"d:Title": "IMDb: Stand by Me (1986)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stand_By_Me", "url": "http://www.imdb.com/title/tt0092005/"} +{"d:Title": "Allreaders.com: Stand By Me", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Stand_By_Me", "url": "http://allreaders.com/movie-review-summary/stand-me-6036"} +{"d:Title": "Wikipedia: Starcrash", "d:Description": "Film information, plot synopsis, partial cast list, and links.", "topic": "Top/Arts/Movies/Titles/S/Starcrash", "url": "http://en.wikipedia.org/wiki/Starcrash"} +{"d:Title": "IMDb: Starcrash (1978)", "d:Description": "Film information, full cast and crew, synopsis, quotations, trivia, related films, production details, images, and links.", "topic": "Top/Arts/Movies/Titles/S/Starcrash", "url": "http://www.imdb.com/title/tt0079946/"} +{"d:Title": "Badmovies.org: Starcrash", "d:Description": "Plot synopsis, detailed review, sounds, images, video clip, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Starcrash", "url": "http://www.badmovies.org/movies/starcrash/"} +{"d:Title": "AllMovie: Star Crash", "d:Description": "Synopsis and review by Jeremy Wheeler, cast, production credits, and a list of similar films.", "topic": "Top/Arts/Movies/Titles/S/Starcrash", "url": "http://www.allmovie.com/movie/v46473"} +{"d:Title": "HARO Online", "d:Description": "Review by Mongoose.", "topic": "Top/Arts/Movies/Titles/S/Stardom_-_2000", "url": "http://www.haro-online.com/movies/stardom.html"} +{"d:Title": "IMDb: Stardom (2000)", "d:Description": "Synopsis, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stardom_-_2000", "url": "http://www.imdb.com/title/tt0192949/"} +{"d:Title": "Metacritic.com - Stardom", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Stardom_-_2000", "url": "http://www.metacritic.com/movie/stardom"} +{"d:Title": "IMDb: Stardust (2007)", "d:Description": "Photos, plot outline, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Stardust", "url": "http://www.imdb.com/title/tt0486655/"} +{"d:Title": "Rotten Tomatoes: Stardust", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Stardust", "url": "http://www.rottentomatoes.com/m/stardust/"} +{"d:Title": "Stardust", "d:Description": "Features information about the movie, has galleries, games and features, sample soundtrack bits, and description of characters.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Stardust", "url": "http://www.stardustmovie.com/"} +{"d:Title": "MovieWeb: Stardust (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/S/Stardust", "url": "http://www.movieweb.com/movie/stardust"} +{"d:Title": "IGN Movies: Stardust", "d:Description": "Photos, videos, news, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Stardust", "url": "http://www.ign.com/movies/stardust/theater-724920"} +{"d:Title": "IMDb - Stargate", "d:Description": "Includes an overview, credits, awards, reviews, quotes from The Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Stargate", "url": "http://www.imdb.com/title/tt0111282/"} +{"d:Title": "All-Reviews.com: Stargate", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/S/Stargate/Reviews", "url": "http://www.all-reviews.com/videos-4/stargate.htm"} +{"d:Title": "Review - Roger Ebert", "d:Description": "A review from the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/S/Stargate/Reviews", "url": "http://www.rogerebert.com/reviews/stargate-1994"} +{"d:Title": "TV Guide Online: Stargate", "d:Description": "In depth review with full cast list.", "topic": "Top/Arts/Movies/Titles/S/Stargate/Reviews", "url": "http://www.tvguide.com/movies/stargate/130239/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast listing, and links.", "topic": "Top/Arts/Movies/Titles/S/Starman", "url": "http://crazy4cinema.com/Review/FilmsS/f_starman.html"} +{"d:Title": "IMDb: Starman (1984)", "d:Description": "Full cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/Starman", "url": "http://www.imdb.com/title/tt0088172/"} +{"d:Title": "TV Guide Online: Starman", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Starman", "url": "http://www.tvguide.com/movies/starman/109971/"} +{"d:Title": "All-Reviews.com: Starship Troopers", "d:Description": "Reviews by Edward Johnson-Ott and others. Overall Rating: 2.5 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Starship_Troopers", "url": "http://www.all-reviews.com/videos/starship-troopers.htm"} +{"d:Title": "TV Guide Online: Starship Troopers", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Starship_Troopers", "url": "http://www.tvguide.com/movies/starship-troopers/132714/"} +{"d:Title": "IMDb: Starsky&Hutch (2004)", "d:Description": "Provides production, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/S/Starsky_and_Hutch", "url": "http://www.imdb.com/title/tt0335438/"} +{"d:Title": "Movie Mike: Starsky&Hutch Torino", "d:Description": "Focus is on the Ford Gran Torino used during the filming of the movie.", "topic": "Top/Arts/Movies/Titles/S/Starsky_and_Hutch", "url": "http://starskytorino.com/moviemike2/moviemike2.html"} +{"d:Title": "HARO Online: Starsky and Hutch", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Starsky_and_Hutch", "url": "http://www.haro-online.com/movies/starsky_and_hutch.html"} +{"d:Title": "All-Reviews.com: Starsky and Hutch", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Starsky_and_Hutch", "url": "http://www.all-reviews.com/videos-5/starsky-and-hutch.htm"} +{"d:Title": "Rotten Tomatoes: Starsky&Hutch (2004)", "d:Description": "Provides reviews, photographs, trailers, synopsis, cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Starsky_and_Hutch", "url": "http://www.rottentomatoes.com/m/starsky_and_hutch/"} +{"d:Title": "Yahoo! Movies: Starsky&Hutch (2004)", "d:Description": "Brief synopsis, trailer, reviews, and movie rating available.", "topic": "Top/Arts/Movies/Titles/S/Starsky_and_Hutch", "url": "http://movies.yahoo.com/movie/starsky-and-hutch/"} +{"d:Title": "Apple: Starsky&Hutch Trailer", "d:Description": "Offers preview trailer of two streetwise cops who bust criminals in their red-and-white Ford Torino.", "topic": "Top/Arts/Movies/Titles/S/Starsky_and_Hutch", "url": "http://trailers.apple.com/trailers/wb/starsky_and_hutch/"} +{"d:Title": "All-Reviews.com - Startup.com", "d:Description": "3 1/2 star review of the documentary movie.", "topic": "Top/Arts/Movies/Titles/S/Startup.com", "url": "http://www.all-reviews.com/videos-3/startup-com.htm"} +{"d:Title": "HARO Online - Startup.com", "d:Description": "Mongoose reviews the film, rates it 'Pretty Good'.", "topic": "Top/Arts/Movies/Titles/S/Startup.com", "url": "http://www.haro-online.com/movies/startupcom.html"} +{"d:Title": "About.com: Startup.com", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/S/Startup.com", "url": "http://homevideo.about.com/library/weekly/aa092801a.htm"} +{"d:Title": "Metacritic.com: Startup.com", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Startup.com", "url": "http://www.metacritic.com/movie/startupcom"} +{"d:Title": "IMDb.com - Startup.com", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Startup.com", "url": "http://www.imdb.com/title/tt0256408/"} +{"d:Title": "TV Guide Online - Startup.com", "d:Description": "3 star review with production notes, cast/crew details, pictures, and other related information.", "topic": "Top/Arts/Movies/Titles/S/Startup.com", "url": "http://www.tvguide.com/movies/startupcom/135164/"} +{"d:Title": "IMDb: A Star Is Born (1937)", "d:Description": "Full cast links, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Is_Born,_A_-_1937", "url": "http://www.imdb.com/title/tt0029606/"} +{"d:Title": "TV Guide Online: A Star Is Born", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/S/Star_Is_Born,_A_-_1937", "url": "http://www.tvguide.com/movies/star-born/109939/"} +{"d:Title": "A Star is Born (1954)", "d:Description": "An online walkthrough of the classic musical starring Judy Garland and James Mason.", "topic": "Top/Arts/Movies/Titles/S/Star_Is_Born,_A_-_1954", "url": "http://home1.swipnet.se/~w-18501/Bilder/Star/sib.htm"} +{"d:Title": "IMDb: Star Is Born, A (1954)", "d:Description": "Offers a plot summary, cast list, and user review.", "topic": "Top/Arts/Movies/Titles/S/Star_Is_Born,_A_-_1954", "url": "http://www.imdb.com/title/tt0047522/"} +{"d:Title": "AllReaders.com Review - A Star Is Born", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Judy Garland and James Mason film.", "topic": "Top/Arts/Movies/Titles/S/Star_Is_Born,_A_-_1954", "url": "http://allreaders.com/movie-review-summary/star-is-born-1954-4143"} +{"d:Title": "All-Reviews.com: Star Kid", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Star_Kid", "url": "http://all-reviews.com/videos-4/star-kid.htm"} +{"d:Title": "Rotten Tomatoes: Star Kid", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Star_Kid", "url": "http://www.rottentomatoes.com/m/star_kid/"} +{"d:Title": "IMDb: Star Kid (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Kid", "url": "http://www.imdb.com/title/tt0120478/"} +{"d:Title": "The Sci-Fi Movie Page", "d:Description": "A critical look at the highs and lows of the movies.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies", "url": "http://www.scifimoviepage.com/art_start.html"} +{"d:Title": "Star Trek Movies", "d:Description": "Pictures and information about nine of the Star Trek movies.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies", "url": "http://pete1701.tripod.com/STMOV.HTML"} +{"d:Title": "Star Trek Movie Theme", "d:Description": "Includes music and images from all the movies through Insurrection.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Downloads", "url": "http://melaman2.com/startrek/"} +{"d:Title": "Star Trek MIDI Page", "d:Description": "Large archive of about 250 MIDI files, as well as lyrics.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Downloads", "url": "http://www.vic-fontaine.com/"} +{"d:Title": "IMDb: Star Trek - 2009", "d:Description": "Biography, filmography, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_2009", "url": "http://www.imdb.com/title/tt0796366/"} +{"d:Title": "Official Site: Star Trek", "d:Description": "Synopsis, trailers, soundtrack and image gallery.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_2009", "url": "http://www.startrekmovie.com/"} +{"d:Title": "All-Reviews.com- Star Trek: First Contact", "d:Description": "Multiple reviewers rate this film 2/4 stars, includes cast/crew information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_First_Contact", "url": "http://www.all-reviews.com/videos/first-contact.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes a cast list, awards, reviews, quotes, trivia, and other details.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_First_Contact", "url": "http://www.imdb.com/title/tt0117731/"} +{"d:Title": "Review - Roger Ebert", "d:Description": "A film review from the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_First_Contact", "url": "http://www.rogerebert.com/reviews/star-trek-first-contact-1996"} +{"d:Title": "All-Reviews.com: Star Trek Generations", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Generations", "url": "http://www.all-reviews.com/videos-4/star-trek-generations.htm"} +{"d:Title": "IMDb - Star Trek: Generations", "d:Description": "Includes an overview, awards, reviews, a cast list, plot summary, quotes, trivia, and other information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Generations", "url": "http://www.imdb.com/title/tt0111280/"} +{"d:Title": "Review - Roger Ebert", "d:Description": "A film review from the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Generations", "url": "http://www.rogerebert.com/reviews/star-trek-generations-1994"} +{"d:Title": "All-Reviews.com- Star Trek Insurrection", "d:Description": "A collection of reviews and facts about the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Insurrection", "url": "http://www.all-reviews.com/videos/star-trek-insurrection.htm"} +{"d:Title": "IMDb", "d:Description": "Includes awards, reviews, cast list, and quotes.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Insurrection", "url": "http://www.imdb.com/title/tt0120844/"} +{"d:Title": "Review - Roger Ebert", "d:Description": "A film review from the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Insurrection", "url": "http://www.rogerebert.com/reviews/star-trek-insurrection-1998"} +{"d:Title": "Star Trek: Insurrection", "d:Description": "The Official web site which includes plot information and pictures.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Insurrection", "url": "http://www.startrek.com/database_article/star-trek-insurrection"} +{"d:Title": "Killer Movies", "d:Description": "Features news, rumours, and facts on the film including release date and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis", "url": "http://www.killermovies.com/s/startrekx/"} +{"d:Title": "Filmtracks: Star Trek: Nemesis", "d:Description": "Includes soundtrack review, audio, and ratings.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis", "url": "http://www.filmtracks.com/titles/star_trek10.html"} +{"d:Title": "Star Trek Nemesis", "d:Description": "Shooting script of the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis", "url": "http://www.treknews.de/treknews/newspro-treknews/upload/files/Nemesis%20Script.pdf"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Includes photos, the trailer, news articles, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis", "url": "http://www.rottentomatoes.com/m/star_trek_nemesis/"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis", "url": "http://www.imdb.com/title/tt0253754/"} +{"d:Title": "Star Trek: Nemesis", "d:Description": "Official site. Contains movie information and trailer.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis", "url": "http://www.startrek.com/database_article/star-trek-nemesis"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviewed by: Dustin Putman, \"Does nothing to win over non-followers of the cult phenomenon.\" Rated 1.5 out of four stars.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis/Reviews", "url": "http://www.all-reviews.com/videos-5/star-trek-nemesis.htm"} +{"d:Title": "HARO Online", "d:Description": "Review of the movie. \"It is a great film for Trekkers, and one that non-fans can watch but not salivate over.\"", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis/Reviews", "url": "http://www.haro-online.com/movies/star_trek_nemesis.html"} +{"d:Title": "Nitrate Online", "d:Description": "Reviewed by: Dan Lybarger. \"When it comes to making a film that stands with the best of the series, Star Trek: Nemesis can't make it so.\"", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis/Reviews", "url": "http://www.nitrateonline.com/2002/rnemesis.html"} +{"d:Title": "Nemesis - Chicago Sun Times", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_Nemesis/Reviews", "url": "http://www.rogerebert.com/reviews/star-trek-nemesis-2002"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_-_The_Motion_Picture", "url": "http://www.imdb.com/title/tt0079945/"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_III_-_The_Search_for_Spock", "url": "http://www.imdb.com/title/tt0088170/"} +{"d:Title": "IMDb - Star Trek: The Wrath of Khan", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_II_-_The_Wrath_of_Khan", "url": "http://www.imdb.com/title/tt0084726/"} +{"d:Title": "Review - Paul Attanasio", "d:Description": "Paul Attanasio of the Washington Post reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_IV_-_The_Voyage_Home", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/startrekivthevoyagehomepgattanasio_a0ad7d.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes cast information, awards, reviews, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_IV_-_The_Voyage_Home", "url": "http://www.imdb.com/title/tt0092007/"} +{"d:Title": "Roger Ebert Review", "d:Description": "Roger Ebert's review from November of 1986.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_IV_-_The_Voyage_Home", "url": "http://www.rogerebert.com/reviews/star-trek-iv-the-voyage-home-1986"} +{"d:Title": "Star Trek VI: The Undiscovered Country - Soundtrack", "d:Description": "Includes reviews, audio clips, track listings, pictures, and other notes about the Star Trek VI soundtrack", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_VI_-_The_Undiscovered_Country", "url": "http://www.filmtracks.com/titles/star_trek6.html"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_VI_-_The_Undiscovered_Country", "url": "http://www.imdb.com/title/tt0102975/"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_V_-_The_Final_Frontier", "url": "http://www.imdb.com/title/tt0098382/"} +{"d:Title": "Review - Roger Ebert", "d:Description": "A film review from the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/S/Star_Trek_Movies/Star_Trek_V_-_The_Final_Frontier", "url": "http://www.rogerebert.com/reviews/star-trek-v-the-final-frontier-1989"} +{"d:Title": "TheForce.net", "d:Description": "Your daily dose of Star Wars. News and rumors concerning the Star Wars movies, books, comics, toys, and games. Fan art, fan fiction, and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.theforce.net/"} +{"d:Title": "StarWars.com", "d:Description": "Includes official news, information on episodes, and images.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.starwars.com/"} +{"d:Title": "Star Wars FAQ", "d:Description": "Guides to the Star Wars universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.starwarsfaq.com/"} +{"d:Title": "Shaven Wookie Ltd.", "d:Description": "Multifaceted Star Wars site.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.shavenwookie.com/"} +{"d:Title": "Star Wars - Death Star", "d:Description": "News, fan art, and wallpaper from all the Star Wars movies.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://death-star.8m.com/"} +{"d:Title": "Star Wars Fans Site", "d:Description": "About.com site with chat, forums, and sorted links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://starwars.about.com/"} +{"d:Title": "EyeOnStarWars.com", "d:Description": "News, characters, vehicles, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.eyeonstarwars.com/"} +{"d:Title": "Star Wars Insurrection", "d:Description": "Star Wars information, pictures, sounds and news.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.starwars2k.8m.com/"} +{"d:Title": "Galaxyfaraway.com", "d:Description": "News and information prequels, books, games, and collecting.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.galaxyfaraway.com/"} +{"d:Title": "The Case for the Empire", "d:Description": "\"The deep lesson of Star Wars is that the Empire is good.\" By Jonathan Last. [Daily Standard]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.weeklystandard.com/Content/Public/Articles/000/000/001/248ipzbt.asp"} +{"d:Title": "Industrial Light and Magic", "d:Description": "A special effects company and division of Lucas Digital. News, portraits, and job opportunities.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.ilm.com/"} +{"d:Title": "NaboOnline", "d:Description": "A Star Wars community with prequel news, message boards, and images.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.naboonline.com/"} +{"d:Title": "A Star Wars Jedi Council Page", "d:Description": "Scripts, pictures, webrings, awards, planets guide, weapons guide, aliens guide, creatures guide, technology guide, guestbook and fan art.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.angelfire.com/tn/jedicouncil/index.html"} +{"d:Title": "Galactic Voyage", "d:Description": "News, prequel information, pictures, ships, characters, links and merchandise.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://galactic-voyage.com/"} +{"d:Title": "The Padawan's Guide to Star Wars Prequel Costuming", "d:Description": "Fan Maggie draws together pictures and information on the costumes used in the prequel trilogy, with tutorials on making copies.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.padawansguide.com/"} +{"d:Title": "The Development of Star Wars", "d:Description": "As seen through the scripts by George Lucas.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://hem.bredband.net/wookiee/development/"} +{"d:Title": "The Parts of Star Wars", "d:Description": "Information on the parts used to construct the movie props.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.partsofsw.com/"} +{"d:Title": "Star Wars Kids", "d:Description": "Lucasfilm's site for kids. Movie information, games, and behind the scenes features.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://www.starwars.com/kids/"} +{"d:Title": "Yahoo! The World of Star Wars", "d:Description": "Pictures, character details, item information, toys, collectibles, related videos, the latest games, and an online community.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies", "url": "http://starwars.yahoo.com/"} +{"d:Title": "Matt's Star Wars Personality Test", "d:Description": "Find out which Star Wars character you are most like.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters", "url": "http://www.matthewbarr.co.uk/personality/"} +{"d:Title": "GoRogue!", "d:Description": "Character profiles and pictures of the members of the X-wing squadron.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters", "url": "http://pajamahead.tripod.com/rogues.html"} +{"d:Title": "Steve-O's Super Star Wars", "d:Description": "An introduction to the Star Wars characters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters", "url": "http://www.angelfire.com/extreme4/sugarloopy/starwars.html"} +{"d:Title": "Jedi Knight", "d:Description": "Character biographies and pictures of several Jedi.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters", "url": "http://jediknight960.tripod.com/"} +{"d:Title": "The Other Side of the Sky", "d:Description": "Site dedicated to Jaina Organa Solo, with character information and pictures.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters", "url": "http://jaina.venusgospel.net/"} +{"d:Title": "The Royal Handmaiden Society", "d:Description": "Aims to shed light on a group of characters of Star Wars Episodes I-III - the young women who aid the Queen of Naboo. Includes concept art, stills and merchandise.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters", "url": "http://www.royalhandmaidensociety.org/"} +{"d:Title": "Piett.Org", "d:Description": "Extensive character information, multimedia, and fan fiction.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Admiral_Piett", "url": "http://www.piett.org/"} +{"d:Title": "Bib Fortuna's Twi'lek Database", "d:Description": "Twi'lek information, pictures, trivia quiz, and name generator.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Bib_Fortuna", "url": "http://callmeburroughs.tripod.com/twilek/"} +{"d:Title": "The Wrath of Fett", "d:Description": "Fan art with some ship profiles.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Boba_Fett", "url": "http://www.angelfire.com/film/fett/"} +{"d:Title": "The Boba Fett Multimedia Page", "d:Description": "Movies, picture and sound galleries, downloads, chat, FAQ, technical information, and Episode 2 updates.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Boba_Fett", "url": "http://www.bobafettmp.com/"} +{"d:Title": "Boba Fett Fan Club, The", "d:Description": "Monthly questions and answers with the Fetts, bounty collectibles database, games, news, forum, and multimedia with fan films, video, and fan fiction.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Boba_Fett", "url": "http://www.bobafettfanclub.com/"} +{"d:Title": "Darth Maul Approves", "d:Description": "Directory of links to fan sites.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Darth_Maul", "url": "http://darthmaulapproves.tripod.com/"} +{"d:Title": "The Sith Sanctuary", "d:Description": "Short biography of Darth Maul.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Darth_Maul", "url": "http://www.angelfire.com/movies/darthmaul/"} +{"d:Title": "Maul's Corner", "d:Description": "Contains art, fan fiction, news briefs, and a \"Maul the Pimp\" section.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Darth_Maul", "url": "http://www.angelfire.com/mo/maul/"} +{"d:Title": "Villains Unmasked - Darth Vader", "d:Description": "Explores the actors who played Darth Vader. Includes biographies, photographs, and multimedia.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Darth_Vader", "url": "http://www.movie-o.com/movie-villains/darth_vader.php"} +{"d:Title": "Darth Vader: Dark Lord of the Sith", "d:Description": "Pictures, character information, and Vader jigsaw puzzles.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Darth_Vader", "url": "http://lordofsith.tripod.com/"} +{"d:Title": "Decatur Vader", "d:Description": "Costuming information and services.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Darth_Vader/Costuming", "url": "http://www.decaturvader.com/"} +{"d:Title": "Vader Maker", "d:Description": "How to make a Darth Vader costume on a budget.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Darth_Vader/Costuming", "url": "http://members.optusnet.com.au/~dewar_s/Vader/Vader.htm"} +{"d:Title": "Grand Moff Tarkin Conceptual Presentation Photo", "d:Description": "Photo of Peter Cushing from Kenner.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Grand_Moff_Tarkin", "url": "http://theswca.com/images-conce/concept-tarkin.html"} +{"d:Title": "The Greedo Assassination Conspiracy Page", "d:Description": "A skeptical analysis of the mysterious circumstances leading to the death of the clueless bounty hunter.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Greedo", "url": "http://www.arts.mcgill.ca/programs/polisci/faculty/rexb/greedo.html"} +{"d:Title": "The Boba Fett Fan Club: Episode II", "d:Description": "News, spoilers, and media.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jango_Fett", "url": "http://www.bobafettfanclub.com/e2/index.shtml"} +{"d:Title": "The Boba Fett Mulltimeida Page", "d:Description": "Movies, picture and sound galleries, downloads, chat, FAQ, technical information, and Episode 2 updates.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jango_Fett", "url": "http://www.bobafettmp.com/"} +{"d:Title": "I Love Jar Jar", "d:Description": "Picture gallery, sounds, and information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jar_Jar_Binks", "url": "http://ilovejarjarbinks.tripod.com/"} +{"d:Title": "Jar Jar's Swamp", "d:Description": "A site dedicated to \"that adorable Gungan, Jar Jar Binks.\"", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jar_Jar_Binks", "url": "http://sonicl.tripod.com/jarjar/"} +{"d:Title": "National Association for the Extermination of Jar Jar Binks and the Gungan Race", "d:Description": "\"The only good Gungan is a dead Gungan.\"", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jar_Jar_Binks/Anti-Jar_Jar", "url": "http://www.angelfire.com/ca3/jarjarburn/"} +{"d:Title": "Kill Jar Jar Binks Now", "d:Description": "A Jar Jar Binks hate page with over 70 pictures, wallpapers, sounds, movies, and humor.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jar_Jar_Binks/Anti-Jar_Jar", "url": "http://www.angelfire.com/nv/jarjarbinksmustdie/"} +{"d:Title": "The Episode 1 Rewrite: No More Jar Jar", "d:Description": "Rewritten version of George Lucas' script.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jar_Jar_Binks/Anti-Jar_Jar", "url": "http://www.angelfire.com/dc/script/"} +{"d:Title": "Padawan Paradise", "d:Description": "A site dedicated to the Jedi, with image galleries and information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jedi", "url": "http://padawan_paradise.tripod.com/"} +{"d:Title": "Obi-Wan Kenobi's Jedi Order", "d:Description": "Images and character profiles of the Jedi of the prequels. Includes discussion boards.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jedi", "url": "http://obiwankenobi29.tripod.com/"} +{"d:Title": "The Jedi Encyclopedia", "d:Description": "Several character profiles with illustrations.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Jedi", "url": "http://www.thejediencyclopedia.com/"} +{"d:Title": "Jedi Callie's Luke and Callista Page", "d:Description": "Character profiles of Luke Skywalker and Callista Ming, Mark Hamill biography, and fan fiction.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Luke_Skywalker", "url": "http://jedicallie.tripod.com/"} +{"d:Title": "Jade's World", "d:Description": "Fan fiction, FAQs, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Mara_Jade", "url": "http://members.tripod.com/~solo_jade/"} +{"d:Title": "The Young Obi-Wan Kenobi Sanctuary", "d:Description": "A site dedicated to the young Jedi in training, with Phantom Menace sounds and pictures.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Obi-Wan_Kenobi", "url": "http://members.tripod.com/young_obiwan/"} +{"d:Title": "Red Spyder's Oola Page", "d:Description": "Page with a nice photo of Oola.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Oola", "url": "http://redspyder.tripod.com/oola.html"} +{"d:Title": "Padm\u00e9 Naberrie Online", "d:Description": "Large picture gallery and news.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Padm\u00e9_Amidala", "url": "http://padmeonline.yoll.net/"} +{"d:Title": "Courageous", "d:Description": "Presents historical details and fan fiction, as well as photographs and descriptions of costumes.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Princess_Leia", "url": "http://courageous.yoll.net/"} +{"d:Title": "Astromech.net", "d:Description": "Detailed and illustrated R2 droid construction guide, with a discussion board.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/R2-D2", "url": "http://www.astromech.net/"} +{"d:Title": "Darth Plagues", "d:Description": "Information, articles and pictures about the dark side of the Force and Sith Lords.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Sith", "url": "http://darthplagues.com/"} +{"d:Title": "Imperial Insignia: Stormtroopers", "d:Description": "Concise overview of Stormtrooper uniforms and designations, with photographs, from the Star Wars Technical Commentaries.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Stormtroopers", "url": "http://theforce.net/swtc/insignia/stormtrooper.html"} +{"d:Title": "UK Stormtrooper Garrison", "d:Description": "Membership and costuming information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Stormtroopers/Costuming", "url": "http://www.troopersrus2.8m.com/"} +{"d:Title": "Alaskan Division of the 501st", "d:Description": "Outpost history of the Dark Troopers.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Stormtroopers/Costuming", "url": "http://www.angelfire.com/ak5/DarkTrooperXXX/"} +{"d:Title": "The Fighting 501st Legion of Stormtroopers", "d:Description": "News, events, picture gallery, message board, and links to costume resources. With a member database and joining information.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Stormtroopers/Costuming", "url": "http://www.501st.com/"} +{"d:Title": "Studio Creations", "d:Description": "Annotated guide to creating Stormtrooper uniforms.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Stormtroopers/Costuming", "url": "http://www.studiocreations.com/"} +{"d:Title": "Central California Garrison", "d:Description": "A costuming fan club. Event calendar, photo galleries, enlistment information, and FAQ.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Stormtroopers/Costuming", "url": "http://www.centralcaliforniagarrison.com/"} +{"d:Title": "Watto's World", "d:Description": "Pictures of Watto.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Watto", "url": "http://www.angelfire.com/movies/watto/"} +{"d:Title": "YodaJeff's Yoda Page", "d:Description": "Contains over 1,000 Yoda pictures, along with sound and movie clips, a section on Frank Oz, and a complete guide to Yoda collectibles.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Yoda", "url": "http://www.yodajeff.com/"} +{"d:Title": "Yoda Town", "d:Description": "Limited amount of news, facts, humor, links about Yoda.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Yoda", "url": "http://www.yodatown.freeservers.com/"} +{"d:Title": "Master Yoda's Blog", "d:Description": "His own weblog Yoda has.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Yoda", "url": "http://masterjediyoda.blogspot.com/"} +{"d:Title": "Yoda's Hut", "d:Description": "Devoted to the 900 year old Jedi Master. Biography, polls, a quiz game, and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Characters/Yoda", "url": "http://jediapprentice.tripod.com/yjm.html"} +{"d:Title": "Star Wars Memories", "d:Description": "A collection of personal stories about Star Wars. Add your own.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://fray.com/hope/starwars/"} +{"d:Title": "Jedi Council Forums", "d:Description": "Discuss the Star Wars universe with Jedi from around the planet in forums on the prequels, classic SW, collectables, games, and literature.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://boards.theforce.net/"} +{"d:Title": "The Emperor's Star Wars Message Board", "d:Description": "Discussion boards on the movie, characters, and actors.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://www.starwarsboard.com/board/"} +{"d:Title": "Star Wars Empire Forum", "d:Description": "Topical discussion boards.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://theforce.hyperboards.com/"} +{"d:Title": "Los jedis", "d:Description": "Bulletin board featuring original trilogy, prequels, gaming, expanded universe and off-topic discussions.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://s7.invisionfree.com/Los_Jedis/"} +{"d:Title": "Star Wars X", "d:Description": "Role playing message board set 40 years after the fall of the Empire.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://s6.invisionfree.com/starwarsX/index.php"} +{"d:Title": "Sudden Launch: Star Wars Galaxy", "d:Description": "Discussions on the movies, books and games. Includes fan art, fan fiction and trading categories.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://starwarsjedi.suddenlaunch3.com/"} +{"d:Title": "Third Incarnation", "d:Description": "General, spoiler, and literature forums.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://thirdincarnation.yuku.com/"} +{"d:Title": "Star Wars Jedi Archives Forums", "d:Description": "Community about master replicas and collecting, film making, graphics and CG FX.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://forcefx.proboards.com/"} +{"d:Title": "Star Wars Cantina", "d:Description": "Various discussion boards on the Star Wars Universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums", "url": "http://starwarscantina.proboards.com/"} +{"d:Title": "Star Wars: The Cloud City Cantina", "d:Description": "Star Wars-themed chatroom with lots of features.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums/Chat", "url": "http://www.outpost10f.com/ccc/"} +{"d:Title": "Official RASSM Homepage", "d:Description": "Official site for the Usenet group rec.arts.sf.starwars.misc, with information and archives.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Chats_and_Forums/Usenet", "url": "http://www.shavenwookie.com/orhp/"} +{"d:Title": "The Jedi Encyclopedia", "d:Description": "Descriptions of individual Jedi Knights and Sith, sorted by era.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Databases", "url": "http://www.thejediencyclopedia.com/"} +{"d:Title": "Star Wars: Databank", "d:Description": "The official site's repository of information on characters, locations, and technology.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Databases", "url": "http://www.starwars.com/databank/"} +{"d:Title": "Star Wars Technical Commentaries", "d:Description": "Detailed and thoroughly researched explanations how physical laws of work within the Star Wars universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Databases", "url": "http://www.theforce.net/swtc/"} +{"d:Title": "The Completely Unofficial Star Wars Encyclopedia", "d:Description": "A compilation of information from every Star Wars source. Information is gathered from movies, books, comics and games.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Databases", "url": "http://www1.theforce.net/CUSWE/default.asp"} +{"d:Title": "Star Wars Info Central", "d:Description": "Information about Star Wars ships, characters, and storylines.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Databases", "url": "http://rebelcomm.tripod.com/"} +{"d:Title": "Galactic Binder", "d:Description": "A searchable Star Wars directory that also features news, interviews, giveaways and event coverage.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Directories", "url": "http://www.galacticbinder.com/"} +{"d:Title": "Darth Maul Approves", "d:Description": "A Star Wars directory that uses Darth Maul Approves to describe sites it deems worthy to include.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Directories", "url": "http://darthmaulapproves.tripod.com/"} +{"d:Title": "Hit Rocket's Star Wars Top 100", "d:Description": "The top 100 Star Wars sites according to Hit Rocket.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Directories/Top_Sites", "url": "http://www.hitrocket.com/starwars.html"} +{"d:Title": "Jedi WebRing", "d:Description": "A ring of Jedi sites.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=star_wars"} +{"d:Title": "Star Wars Ring", "d:Description": "General ring with several members.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=sw"} +{"d:Title": "The Ultimate Star Wars Ring", "d:Description": "Ring of general Star Wars sites.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=darthvader1"} +{"d:Title": "Dark Jedi Realm", "d:Description": "Images, sounds, music and flash art.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads", "url": "http://darkjedi.50megs.com/"} +{"d:Title": "BlueHarvest.net", "d:Description": "Large selection of multimedia, with a focus on behind the scenes materials.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads", "url": "http://www.blueharvest.net/"} +{"d:Title": "Death Star Browser", "d:Description": "Downloadable browser.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads", "url": "http://www.4comtech.com/starwars/"} +{"d:Title": "Star Wars ScreenSaver", "d:Description": "A three dimensional screensaver demo with an option to purchase full version.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads", "url": "http://www.intelore.com/star-war.php"} +{"d:Title": "Ultimate Star Wars Multimedia Page", "d:Description": "Zipped archives of icons, screen savers, and cursors, along with some WAV sound quotes, MIDI files, and images.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads", "url": "http://frysaturn.tripod.com/"} +{"d:Title": "Witts End Star Wars Wallpapers", "d:Description": "Large galleries of thumbnailed wallpapers that include ships, scenes, and characters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads/Desktop_Customization", "url": "http://starwars112.tripod.com/"} +{"d:Title": "Star Wars Wallpaper", "d:Description": "Wallpapers separated by subject. Fans can submit their own.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads/Desktop_Customization", "url": "http://darthhoney.tripod.com/"} +{"d:Title": "Docking Bay 101", "d:Description": "Sound files organized by character and episode, media themes, and humor.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads/Sound_Files", "url": "http://www.dockingbay101.com/"} +{"d:Title": "Matt's Movie Sounds: Star Wars Trilogy", "d:Description": "Hundreds of quotes from the trilogy sorted by character, in WAV format.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads/Sound_Files", "url": "http://www.mattsmoviesounds.co.uk/sounds/trilogy.html"} +{"d:Title": "Star Wars Trilogy Sound Bites", "d:Description": "WAV sound clips from the first three films, with text transcriptions.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Downloads/Sound_Files", "url": "http://www.rosswalker.co.uk/star_wars_sounds/"} +{"d:Title": "NaboOnLine: Episode III", "d:Description": "Cast and plot information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith", "url": "http://www.naboonline.com/episode3/"} +{"d:Title": "TheForce.Net's Episode III", "d:Description": "Advanced news on the film.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith", "url": "http://www.theforce.net/episode3/"} +{"d:Title": "Episode III Universe", "d:Description": "Prequel site focusing mainly on Episode III news and rumors, with some information on the other episodes.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith", "url": "http://www.angelfire.com/film/prequels/"} +{"d:Title": "KillerMovies: Star Wars: Episode III", "d:Description": "Information and news.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith", "url": "http://www.killermovies.com/s/starwarsepisodeiii/"} +{"d:Title": "IMDb: Star Wars: Episode III (2005)", "d:Description": "Credits and production notes.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith", "url": "http://imdb.com/title/tt0121766/"} +{"d:Title": "Sci-Fi Movie Page: Episode III Preview", "d:Description": "Plot summary, trivia, photo gallery, article, trailers and review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith", "url": "http://www.scifimoviepage.com/art_episode3.html"} +{"d:Title": "Future Movies: Revenge of the Sith", "d:Description": "Review by Michelle Thomas.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith", "url": "http://www.futuremovies.co.uk/reviews/star-wars-episode-3-revenge-of-the-sith/adrian-mackinder"} +{"d:Title": "MRQE", "d:Description": "Links to reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith/Reviews", "url": "http://www.mrqe.com/lookup?%5EStar+Wars:+Episode+III+-+Revenge+of+the+Sith+(2005)"} +{"d:Title": "HARO Online: Revenge of the Sith", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith/Reviews", "url": "http://www.haro-online.com/movies/sw_ep3.html"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_III_-_Revenge_of_the_Sith/Reviews", "url": "http://www.metacritic.com/movie/star-wars-episode-iii---revenge-of-the-sith"} +{"d:Title": "TheForce.Net: Episode II", "d:Description": "News, rumors, production, and film information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://www.theforce.net/episode2/"} +{"d:Title": "Episode2News.8m.com", "d:Description": "News and rumors.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://www.episode2news.8m.com/"} +{"d:Title": "Episode II Watch Page", "d:Description": "News collected from around the net.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://www.angelfire.com/movies/epii/"} +{"d:Title": "NaboOnLine: Episode II", "d:Description": "News, cast, and storyboards.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://www.naboonline.com/episode2/"} +{"d:Title": "LiningUp.Net", "d:Description": "Fan site for lining up at Mann's Chinese Theater in Hollywood for Star Wars movies.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://www.liningup.net/"} +{"d:Title": "Star Wars Prequels", "d:Description": "A complete Star Wars prequel page. Here you will find information on Episodes 2,3 and 7", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://www.angelfire.com/scifi/swarsprequels/"} +{"d:Title": "KillerMovies.com: Episode II - Attack of the Clones", "d:Description": "Cast, news, trailers, and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://www.killermovies.com/s/starwarsepisodeiiattackoftheclones/"} +{"d:Title": "IMDb: Star Wars: Episode II - Attack of the Clones(2002)", "d:Description": "Credits and production notes, courtesy the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://imdb.com/title/tt0121765/"} +{"d:Title": "Upcoming \"Star Wars\" Explores New Territory", "d:Description": "News interview with an Industrial Light and Magic director about their new computer-generated characters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones", "url": "http://news.cnet.com/2100-1023-254278.html"} +{"d:Title": "New York Times", "d:Description": "Mixed review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.nytimes.com/2002/05/10/movies/10STAR.html"} +{"d:Title": "Slashdot", "d:Description": "Review by Rob Malda, with user comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://features.slashdot.org/features/02/05/16/1450200.shtml"} +{"d:Title": "Christian Science Monitor", "d:Description": "Review by David Sterritt.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://csmonitor.com/2002/0516/p17s01-almo.html"} +{"d:Title": "HARO Online", "d:Description": "A \"Not Bad\" review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.haro-online.com/movies/sw_ep2.html"} +{"d:Title": "All-Reviews.com", "d:Description": "Selection of reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.all-reviews.com/videos-4/star-wars-clones.htm"} +{"d:Title": "BBC News", "d:Description": "Positive review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/reviews/1977887.stm"} +{"d:Title": "About.com", "d:Description": "DVD review by Ivana Redwine [4/5].", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://homevideo.about.com/library/weekly/aafpr111402.htm"} +{"d:Title": "Filmtracks", "d:Description": "Soundtrack review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.filmtracks.com/titles/attack_clones.html"} +{"d:Title": "Sci-Fi Movie Page: Attack of the Clones", "d:Description": "Review with 2/4 rating.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.scifimoviepage.com/attack.html"} +{"d:Title": "Guardian Unlimited", "d:Description": "Mostly positive review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.theguardian.com/film/2002/may/10/1"} +{"d:Title": "Chicago Sun-Times", "d:Description": "Roger Ebert's thumbs down review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.rogerebert.com/reviews/star-wars-episode-ii-attack-of-the-clones-2002"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Several reviews and reactions from critics. Includes some general movie information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.rottentomatoes.com/m/star_wars_episode_ii_attack_of_the_clones_3d/"} +{"d:Title": "David Brin's Official Web Site: Attack of the Clones", "d:Description": "Commentary on the good and bad in the film, and how the story could be saved in Episode 3.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.davidbrin.com/starwars2.html"} +{"d:Title": "Slant Magazine", "d:Description": "Review by Ed Gonzalez [2/4].", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_II_-_Attack_of_the_Clones/Reviews", "url": "http://www.slantmagazine.com/film/review/star-wars-episode-ii-attack-of-the-clones"} +{"d:Title": "Star Wars: A New Hope (John Williams)", "d:Description": "Includes reviews, audio clips, track listings, pictures, and other notes about the soundtrack.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope", "url": "http://www.filmtracks.com/titles/star_wars.html"} +{"d:Title": "1hollywood.com: Star Wars", "d:Description": "Events during the original debut, and a \"where are they now\" section on the cast.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope", "url": "http://www.1hollywood.com/Celebrities/star_wars.htm"} +{"d:Title": "IMDb: Star Wars (1977)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope", "url": "http://imdb.com/title/tt0076759/"} +{"d:Title": "Star Asciimation Wars", "d:Description": "A fan's rendition of the original film, in animated text format.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope", "url": "http://www.asciimation.co.nz/"} +{"d:Title": "Movie Review Query Engine", "d:Description": "A directory of links to many online reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope/Reviews", "url": "http://www.mrqe.com/lookup?%5EStar+Wars+(1977)"} +{"d:Title": "New York Times", "d:Description": "A favorable review from 1977. Free registration required.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope/Reviews", "url": "http://www.nytimes.com/library/film/052677wars.html"} +{"d:Title": "Washington Post", "d:Description": "A small selection of critical reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/starwars.htm"} +{"d:Title": "All-Reviews.com", "d:Description": "A collection of reviews, plus facts about the movie. [Overall: 4/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope/Reviews", "url": "http://www.all-reviews.com/videos/star-wars.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Several reviews and reactions from critics. Includes some general movie information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope/Reviews", "url": "http://www.rottentomatoes.com/m/star_wars/"} +{"d:Title": "The Sci-Fi Movie Page: Star Wars", "d:Description": "Review of a special edition bootleg DVD.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope/Reviews", "url": "http://www.scifimoviepage.com/dvd/starwars.html"} +{"d:Title": "Ebert's Great Movies", "d:Description": "An appreciative look at the plot and characters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_IV_-_A_New_Hope/Reviews", "url": "http://www.rogerebert.com/reviews/great-movie-star-wars-episode-iv-a-new-hope-1977"} +{"d:Title": "TheForce.net's Episode 1", "d:Description": "News and archives, including: discussion, cut-scenes, and box office reports.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://www.theforce.net/episode1/"} +{"d:Title": "Eye on Episode I", "d:Description": "News, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://www.eyeonstarwars.com/episode1/frames.html"} +{"d:Title": "The Virtual Edition", "d:Description": "The plotline of the prequels, cut scenes, and many other virtual projects.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://www.theforce.net/virtualedition/"} +{"d:Title": "Sandman's Star Wars Shrine", "d:Description": "Pictures, trailers, polls and information about the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://starwars.dreamrealm.org/index.shtml"} +{"d:Title": "New King on the Block?", "d:Description": "A pre-release report on the record-breaking hopes for The Phantom Menace.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://www.infoplease.com/ipea/A0778046.html"} +{"d:Title": "IMDb: Star Wars: Episode I - The Phantom Menace (1999)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://imdb.com/title/tt0120915/"} +{"d:Title": "IMDb: World All-Time Box Office Chart", "d:Description": "The top 250 grossing movies of all time, from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://imdb.com/boxoffice/alltimegross?region=world-wide"} +{"d:Title": "Boston Line", "d:Description": "Homepage archive of the Episode 1 countdown.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://carlosfoglia.tripod.com/index.html"} +{"d:Title": "Titanic vs. The Phantom Menace", "d:Description": "Charts comparing the two movies' figures.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace", "url": "http://www.the-movie-times.com/thrsdir/top60dir/VS.mv?Titanic+Star_Wars:_The_Phantom_Menace"} +{"d:Title": "Starcheer", "d:Description": "News, box-office, polls and webrings", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://www.angelfire.com/ga/Starcheer/index.html"} +{"d:Title": "Big Hick's Phantom Menace Tribute", "d:Description": "The home of the Great Council, unique Star Wars art, Wes's Rumors, and Pod Racer N64", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://www.angelfire.com/ks/bighick/index.html"} +{"d:Title": "The Otoh Gunga", "d:Description": "Features pictures, links, characters, humor, message board, and a newsletter.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://www.angelfire.com/de/GChorses/"} +{"d:Title": "The Phantom Menace", "d:Description": "Episode One fan site.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://www.phantommenace.8k.com/"} +{"d:Title": "Naboo Palace", "d:Description": "Queen Amidala's Phantom Menace fan site.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://queenamidala.tripod.com/"} +{"d:Title": "Episode 1: The Phantom Menace", "d:Description": "Pictures, message board, desktop wallpapers, news, games, screensavers, sounds, and news.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://www.angelfire.com/sc/francis915/"} +{"d:Title": "Richard's Starwars Site", "d:Description": "Pictures of Episode1 from starwars.com and other sources. Background sound.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://uostarwars.8m.com/"} +{"d:Title": "Jaina Solo's Jedi Academy", "d:Description": "Jaina Solo's Phantom Menace fan site", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://www.angelfire.com/pa/jainasolo/"} +{"d:Title": "Holly and Alison's Star Wars Page", "d:Description": "Holly and Alison's Phantom Menace fan site", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://www.angelfire.com/biz2/woolywormies/starwars.html"} +{"d:Title": "Star Wars Prequels", "d:Description": "UK based Star Wars site.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Fan_Pages", "url": "http://jona82.tripod.com/"} +{"d:Title": "The DVD Journal", "d:Description": "\"Those of you waiting in line are ... setting yourselves up for a grave disappointment.\" [2/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.dvdjournal.com/news/phantommenace1.html"} +{"d:Title": "Kids in Mind", "d:Description": "Parents' review charting questionable content in the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.kids-in-mind.com/S/starwars1.htm"} +{"d:Title": "Haro Online", "d:Description": "Review which rates movie as Not Bad covers special effects, plot, relationship to the original movies and cast.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.haro-online.com/movies/star_wars.html"} +{"d:Title": "The New Special Effects", "d:Description": "Personal rant about the special effects.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.coldbacon.com/specialeffects.html"} +{"d:Title": "About.com", "d:Description": "DVD review by Ivana Redwine [4/5].", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://homevideo.about.com/library/weekly/aafpr102401.htm"} +{"d:Title": "Teen Movie Critic", "d:Description": "Trashes the movie. [1/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.dreamagic.com/vivianrose/05-17-99.html#phantom"} +{"d:Title": "CNN", "d:Description": "\"Visually dazzling 'Phantom Menace' lacks heart, soul.\"", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9905/13/review.phantom.menace/"} +{"d:Title": "All-Reviews.com", "d:Description": "A small collection of reviews. [Overall: 3/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.all-reviews.com/videos/phantom-menace.htm"} +{"d:Title": "Culturekiosque Nouveau", "d:Description": "\"Beyond its mundane awfulness, this film is actually pernicious.\" Lengthy intellectual slamming of the film.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.culturekiosque.com/nouveau/cinema/rhe_stars.html"} +{"d:Title": "Movie Magazine International", "d:Description": "\"The Phantom Menace is a solid action thriller with spectacular visual effects and a promising story line.\"", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.shoestring.org/mmi_revs/sw1pm.html"} +{"d:Title": "Light Views", "d:Description": "\"An emotionally distant star.\" [Worth: $5]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.lightviews.com/starwarsphantommenace.htm"} +{"d:Title": "EastWesterly", "d:Description": "Analysis of the movie's parallels with Christianity.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.postmodernvillage.com/eastwest/issue1/1a-0001.html"} +{"d:Title": "The Phantom Menace: A Response to Critics", "d:Description": "An analysis of the criticisms of the movie, from wooden characters to supposed racial stereotypes, and a defense of the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.friesian.com/starwars.htm"} +{"d:Title": "TheForce.Net Editorials", "d:Description": "A small collection of fan reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.theforce.net/jedicouncil/editorials/tpmreviews.shtml"} +{"d:Title": "BBC News", "d:Description": "A collection of British news and reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://news.bbc.co.uk/1/hi/special_report/1999/05/99/star_wars/341980.stm"} +{"d:Title": "The Sci-Fi Movie Page", "d:Description": "DVD Review. [Movie: 2/4; Disc: 4/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.scifimoviepage.com/dvd/phantom.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Several reviews and reactions from critics. Includes some general movie information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.rottentomatoes.com/m/star_wars_episode_i_the_phantom_menace/"} +{"d:Title": "Chicago Reader", "d:Description": "Just worth seeing. [2/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.chicagoreader.com/movies/archives/1999/0599/05219.html"} +{"d:Title": "Salt Lake City Deseret News", "d:Description": "\"Just judge it on its own merits and leave all your preconceptions at the door.\" [3/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.deseretnews.com/article/700002241/Star-Wars-Episode-I--The-Phantom-Menace.html"} +{"d:Title": "Dark Horizons", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.darkhorizons.com/reviews/740/Star-Wars-Episode-I-The-Phantom-Menace"} +{"d:Title": "The Tech", "d:Description": "\"The force still seems to be with George Lucas.\"", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://tech.mit.edu/V119/N27/Star_Wars_revie.27a.html"} +{"d:Title": "Epinions.com", "d:Description": "Consumer reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.epinions.com/reviews/mvie_mu-1084876"} +{"d:Title": "The Flick Filosopher", "d:Description": "Fairly positive plot evaluation.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.flickfilosopher.com/1999/05/star-wars-the-phantom-menace-review.html"} +{"d:Title": "Roger Ebert", "d:Description": "Positive review of the effects and story. [3.5/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.rogerebert.com/reviews/star-wars-episode-i-the-phantom-menace-1999"} +{"d:Title": "NPR: Morning Edition - The Phantom Menace", "d:Description": "Streaming audio from the morning the movie was reviewed. Requires the Real Player.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Reviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1050037"} +{"d:Title": "Filmtracks: Star Wars: The Phantom Menace", "d:Description": "Includes reviews, track listings, pictures, and other notes about the Star Wars: The Phantom Menace soundtrack by John Williams.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_I_-_The_Phantom_Menace/Soundtrack", "url": "http://www.filmtracks.com/titles/phantom_menace.html"} +{"d:Title": "Star Wars: Return of the Jedi (John Williams)", "d:Description": "Includes reviews, audio clips, track listings, pictures, and other notes about The Return of the Jedi: Special Edition soundtrack.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi", "url": "http://www.filmtracks.com/titles/jedi.html"} +{"d:Title": "IMDb: Star Wars: Episode VI - Return of the Jedi (1983)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi", "url": "http://imdb.com/title/tt0086190/"} +{"d:Title": "All-Reviews.com", "d:Description": "A collection of reviews, plus facts about the movie. [Overall: 3.5/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi/Reviews", "url": "http://www.all-reviews.com/videos/return-of-the-jedi.htm"} +{"d:Title": "New York Times", "d:Description": "A fair review from 1983. Free registration required.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi/Reviews", "url": "http://www.nytimes.com/library/film/052583jedi.html"} +{"d:Title": "Movie Review Query Engine", "d:Description": "A directory of links to many online reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi/Reviews", "url": "http://www.mrqe.com/lookup?%5EStar+Wars:+Episode+VI+-+Return+of+the+Jedi+(1983)"} +{"d:Title": "Washington Post", "d:Description": "A small selection of critical reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/returnofthejedi.htm"} +{"d:Title": "The Sci-Fi Movie Page: Return of the Jedi", "d:Description": "Pictures and review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi/Reviews", "url": "http://www.scifimoviepage.com/pick.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Several reviews and reactions from critics. Includes some general movie information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_VI_-_Return_of_the_Jedi/Reviews", "url": "http://www.rottentomatoes.com/m/return_of_the_jedi/"} +{"d:Title": "Star Wars: The Empire Strikes Back (John Williams)", "d:Description": "Includes reviews, audio clips, track listings, pictures, and other notes about the soundtrack.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back", "url": "http://www.filmtracks.com/titles/empire.html"} +{"d:Title": "Missing Scene from 'The Empire Strikes Back'", "d:Description": "A comedic 'Missing Scene' from the second Star Wars film. Presesnted by FirstTVDrama.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back", "url": "http://www.firsttvdrama.com/funstuff/vader1.php3"} +{"d:Title": "IMDb: Star Wars: Episode V - The Empire Strikes Back (1980)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back", "url": "http://imdb.com/title/tt0080684/"} +{"d:Title": "All-Reviews.com", "d:Description": "A collection of reviews, plus facts about the movie. [Overall: 4/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back/Reviews", "url": "http://www.all-reviews.com/videos/empire-strikes-back.htm"} +{"d:Title": "New York Times", "d:Description": "A mediocre review from 1980. Free registration required.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back/Reviews", "url": "http://www.nytimes.com/library/film/061580empire.html"} +{"d:Title": "Washington Post", "d:Description": "A small selection of critical reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/empirestrikesback.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Several reviews and reactions from critics. Includes some general movie information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back/Reviews", "url": "http://www.rottentomatoes.com/m/empire_strikes_back/"} +{"d:Title": "The Sci-Fi Movie Page", "d:Description": "Extensive movie review. [4/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back/Reviews", "url": "http://www.scifimoviepage.com/empire.html"} +{"d:Title": "Movie Review Query Engine", "d:Description": "A directory of links to many online reviews.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back/Reviews", "url": "http://www.mrqe.com/movie_reviews/star-wars-episode-v-the-empire-strikes-back-m100033252"} +{"d:Title": "Roger Ebert", "d:Description": "\"The best of three Star Wars films, and the most thought-provoking.\" [4/4]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Episode_V_-_The_Empire_Strikes_Back/Reviews", "url": "http://www.rogerebert.com/reviews/the-empire-strikes-back-1997"} +{"d:Title": "Ewoks: The Battle for Endor (1985) (TV)", "d:Description": "Credits for Ewoks: The Battle for Endor (1985) TV movie, courtesy of the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Ewoks_-_The_Battle_for_Endor", "url": "http://imdb.com/title/tt0089110/"} +{"d:Title": "IMDb: Ewok Adventure, The (1984) (TV)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Ewok_Adventure,_The", "url": "http://imdb.com/title/tt0087225/"} +{"d:Title": "The Ewok Adventure: Caravan of Courage - So cute that it's Scary!", "d:Description": "Overview with photos, synopsis, and trivia about the movie.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Ewok_Adventure,_The", "url": "http://www.lucasfan.com/caravanofcourage/"} +{"d:Title": "Emperor's Hammer", "d:Description": "Organization of online gaming fans. Group information, news, staff, newsletters, subgroups, greeting cards, polls, message boards and chat.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.emperorshammer.org/"} +{"d:Title": "Star Wars Unlimited Fan Club", "d:Description": "Club constitution, fan fiction, and a chat trivia game.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.swunlimited.net/"} +{"d:Title": "The Dark Jedi Order", "d:Description": "Subgroup of the Imperial Order. IRC meetings, news, events, gaming links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.darkjediorder.org/"} +{"d:Title": "Uncle Owen and AOL Star Wars Fan Club", "d:Description": "Site no longer updated, but has archived news, photos and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.uncleowen.org/"} +{"d:Title": "Yahoo! Groups: Anakin and Amidala", "d:Description": "Message board, chat, photos, links and calendar.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://groups.yahoo.com/group/anakinandamidala/"} +{"d:Title": "FanForce New South Wales", "d:Description": "News, meetings and photos.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://ffnsw.tripod.com/"} +{"d:Title": "Dallas/Ft. Worth FanForce", "d:Description": "News, events, sign-up and contact information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://dfwfanforce.net/"} +{"d:Title": "Evansville Jedi", "d:Description": "Events calendar, photos, meeting schedule.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.angelfire.com/theforce/evansvillejedi/"} +{"d:Title": "Star Wars Society of San Antonio", "d:Description": "News, club information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://members.tripod.com/~D_Maul/starwars.html"} +{"d:Title": "The Imperial Order", "d:Description": "Online club. News and events, announcements, chat, member e-mail, gaming, archives and registration information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.imperialorder.org/"} +{"d:Title": "The North Ridge Star Wars Fanclub of Buffalo, NY", "d:Description": "Instructions on how to join, the history of the club, and photographs from events.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.thenorthridge.com/"} +{"d:Title": "Star Wars New Zealand Collector and Fan Club", "d:Description": "Club and membership information, news, and classifieds.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://swnz.dr-maul.com/"} +{"d:Title": "Yahoo! Groups: The Star Wars Fan Group", "d:Description": "Message board, polls, photos and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://movies.groups.yahoo.com/group/thestarwarsfangroup/"} +{"d:Title": "Rocky Mountain Fan Force", "d:Description": "News, forums, links, photos, name generator, calendar.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://www.rmff.net/"} +{"d:Title": "Star Walking", "d:Description": "The Star Wars Appreciation Society of Australia. Events, newsletter, links and contact information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://starwalking.weebly.com/"} +{"d:Title": "Dallas Fan Force", "d:Description": "Club roster, news and contact information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://darthrain.tripod.com/dallasfanforce.html"} +{"d:Title": "Waco FanForce", "d:Description": "News, events, members, links, book club, contact information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://wacofanforce.proboards.com/"} +{"d:Title": "The Dark Brotherhood", "d:Description": "Gaming club exploring the Dark Side of the Force. With news and roleplaying information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "https://www.darkjedibrotherhood.com/"} +{"d:Title": "Chicago Force", "d:Description": "News, events, and message board.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs", "url": "http://chicagoforce.net/"} +{"d:Title": "The Collectors Guild", "d:Description": "A worldwide group of Star Wars collectors joined together to fight against high prices. With rumors and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs/Collector", "url": "http://theguild.8k.com/main.html"} +{"d:Title": "ForceCollectors.Com", "d:Description": "Star Wars memorabilia, including cards, toys, comics, and movie memorabilia.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs/Collector", "url": "http://www.forcecollectors.com/"} +{"d:Title": "Ohio Star Wars Collectors Club", "d:Description": "For those who wish to share experiences, make contacts, and generally hobnob with fellow collectors.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs/Collector", "url": "http://www.oswcc.com/"} +{"d:Title": "JediOKC", "d:Description": "Star Wars fan and collector club in Oklahoma City. Calendar, photos, trading forum and convention forum.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs/Collector", "url": "http://www.jediokc.com/"} +{"d:Title": "Seattle Area Lucasfilm Artifact Collectors Club", "d:Description": "Joining information and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Clubs/Collector", "url": "http://theswca.com/seattle.html"} +{"d:Title": "CSWU - Czech Star Wars Universe", "d:Description": "CSWU is czech internet portal about Star Wars - movie created by George Lucas. Includes database of all Star Wars knowledge, fan forum and news of Star Wars universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.cswu.cz/"} +{"d:Title": "Adam's Star Wars Homepage", "d:Description": "Brief information on the episodes.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/mn/squirm35/"} +{"d:Title": "Star Wars Online", "d:Description": "Pictures and news.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/celeb2/starwarsonline/"} +{"d:Title": "Star Wars Fun", "d:Description": "Fansite with various odds and ends.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/movies/starwarsfun/"} +{"d:Title": "X City Star Wars", "d:Description": "Scripts from the Star Wars movies.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/scifi/xcity/"} +{"d:Title": "Nyef's Star Wars Site", "d:Description": "Pictures, episode 2 news, humor, cast and character profiles, and episode 1 video clips.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/wa2/ender/"} +{"d:Title": "The Star Wars DVD Waiting Page", "d:Description": "News on the Star Wars DVD releases.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://sw_dvd.tripod.com/index.html"} +{"d:Title": "Star Wars meets Star Trek", "d:Description": "Crossovers between the Star Wars and Star Trek universes, with multimedia.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/id/adamantius/"} +{"d:Title": "StarWars Fan Sites", "d:Description": "Links to other fan sites, with a picture gallery.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.starwarsfansite.8m.com/"} +{"d:Title": "The PhanDom Menace", "d:Description": "Documentary on Australia's Star Wars fan community.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://thephandommenace.com/"} +{"d:Title": "Downtime", "d:Description": "Articles, polls, news, and literature.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://downtime13.tripod.com/down.htm"} +{"d:Title": "The Road to Endor", "d:Description": "Fan poll tournament of sixty-four Star Wars characters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://roadtoendor.50megs.com/"} +{"d:Title": "Shmej's Star Wars Website", "d:Description": "Pictures, posters, and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://shmej.tripod.com/starwars/"} +{"d:Title": "LMS Star Wars, Luke, Mara and The Prequels", "d:Description": "Pictures of many of the major characters, sounds, and fan works.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://pluto.spaceports.com/~lms/index.html"} +{"d:Title": "Ladies Of S'Jith", "d:Description": "Information on characters and actors, images, and humor.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/theforce/imperialjedi/"} +{"d:Title": "Starwars Trilogy", "d:Description": "A few cast biographies and image downloads.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://starwars-trilogy.tripod.com/"} +{"d:Title": "Yoda's Datapad", "d:Description": "Full service fan site with countdowns, fan works, polls and trivia.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.yodasdatapad.com/"} +{"d:Title": "Kittyana Palpatine-Malice, Princess of the Empire", "d:Description": "A site dedicated to the imperial princess. With photographs and stories.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/empire/kittyana/"} +{"d:Title": "Galactic Basic", "d:Description": "Media, resources, and discussion.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.galacticbasic.net/"} +{"d:Title": "Lightsabre", "d:Description": "Star Wars fiction, articles, role playing games and sounds.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://easyweb.easynet.co.uk/~lightsabre/index.htm"} +{"d:Title": "Decol's Temple", "d:Description": "Decol's delves into himself and his love for Star Wars. Featuring links and how friend enjoy the series.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.decols-temple.iwarp.com/"} +{"d:Title": "Star Wars: Master Sidious' Lair", "d:Description": "Basic fan site with news and rumors. Features episode three plot synopsis and script summary contests, chat, comments and questions.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/theforce/mastersidious/"} +{"d:Title": "StarDestroyer.net", "d:Description": "Articles, commentaries, discussion forums, multimedia, and Michael Wong's Star Wars vs. Star Trek website.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.stardestroyer.net/"} +{"d:Title": "Tashi Station", "d:Description": "A weblog containing thoughts and observations usually about the Star Wars franchise by novelist Herb Mallette", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://tashistation.blogspot.com/"} +{"d:Title": "Red Four: A Star Wars Site", "d:Description": "Information on characters, places, plots of the movies, trivia, and links to other Star Wars sites. Relies heavily on frames", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/theforce2/redfour/index.html"} +{"d:Title": "Star Wars Force", "d:Description": "Fan site devoted to comics, games, films, characters, species, weapons and vehicles.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://starwarsforce.atspace.com/"} +{"d:Title": "Star Wars Library", "d:Description": "Fan site dedicated to Star Wars inspired creations of fans. Site includes items suchas fan fiction, poetry, pictures and avatars.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.angelfire.com/theforce2/starwarslibrary/"} +{"d:Title": "WijnandsGalaxy.com", "d:Description": "A 9 year old Star Wars fan showing his collection of Star Wars items, with lots of pictures and other fun things.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://www.wijnandsgalaxy.com/"} +{"d:Title": "Sisters of the Force", "d:Description": "Message board and sister links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages", "url": "http://sisterforce.tripod.com/"} +{"d:Title": "TK409.com Do-It-Yourself Star Wars Props", "d:Description": "Detailed informative site with directions on creating many Star Wars inspired costumes and outfits, such as storm troopers and Jango and Boba Fett costumes.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages/Costuming", "url": "http://www.tk409.com/"} +{"d:Title": "The Jedi Assembly", "d:Description": "A message board for Jedi costuming enthusiasts.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Pages/Costuming", "url": "http://www.thejediassembly.com/"} +{"d:Title": "Tranquility Amongst The Stars", "d:Description": "Romantic character fan stories.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works", "url": "http://www.angelfire.com/scifi/TranquilityStars/"} +{"d:Title": "Forcewritings", "d:Description": "Fan fiction, fan art, images, poetry, and fun creations.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works", "url": "http://forcewritings.tripod.com/"} +{"d:Title": "Amara's Cantina", "d:Description": "The friendly hive of scum and villainy. Contains original prequel and classic trilogy art by Star Wars Kids artist Amy 'Amara' Pronovost.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Art", "url": "http://www.flyingarmadillo.com/cantina/"} +{"d:Title": "Joe's Artwork", "d:Description": "Star Wars drawings.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Art", "url": "http://estrelunadesigns.tripod.com/"} +{"d:Title": "Star Wars Asciimation", "d:Description": "Star Wars in a way you've never seen before. This is the entire Episode IV as a streaming text animation running on Java.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Art/ASCII", "url": "http://www.asciimation.co.nz/"} +{"d:Title": "Star Wars: ASCII Art-oo", "d:Description": "Artwork of vehicles from all the movies, by Joe Reiss.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Art/ASCII", "url": "http://www.joereiss.net/starwars/ascii/"} +{"d:Title": "Irregular Webcomic: Star Wars", "d:Description": "Lego photo comics of Star Wars characters, by David Morgan-Mar.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Comics", "url": "http://www.irregularwebcomic.net/cast/starwars.html"} +{"d:Title": "TheForce.Net - Fan Comics", "d:Description": "Host for several Star Wars related fan comics, including Bongo and Rusti, Jawa Force, and Fate of the Jedi.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Comics", "url": "http://www.theforce.net/comics/fancomics/"} +{"d:Title": "Star Wars Writer's Guild", "d:Description": "A site to submit and read Star Wars fan fiction, plus reviews of authorized Star Wars novels.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction", "url": "http://blazer_squadron.tripod.com/"} +{"d:Title": "StarWarsChicks Fanfic Archive", "d:Description": "Star Wars Fan fiction listed by authors on various subjects.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction", "url": "http://starwarschicks.com/fanfic/fanfic.html"} +{"d:Title": "Calamari", "d:Description": "Collection of stories.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction", "url": "http://calamari.bravepages.com/"} +{"d:Title": "Anakin and Amidala's Forbidden Love", "d:Description": "Short stories about Anakin and Amidala as a couple.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/theforce/anakinandpadme4ever/"} +{"d:Title": "Star Wars: Rebel's Haven", "d:Description": "A collection of Rogue Squadron fan fiction.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/rebellion/jadesky926/"} +{"d:Title": "Mad4dos.com", "d:Description": "A collection of fan fiction related to the saga.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction", "url": "http://www.mad4dos.com/"} +{"d:Title": "Princess Kittyana Palpatine's Diary and Life", "d:Description": "Features description of life at the imperial court, the princess's diary, and where it all began.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction/The_Empire", "url": "http://www.angelfire.com/scifi/KittyanasDiary/"} +{"d:Title": "Star Wars: Dark Republic", "d:Description": "Information and illustrations on a trilogy of novels taking place prior to the events of Dark Empire.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction/The_Jedi", "url": "http://darkrepublic-uk.tripod.com/"} +{"d:Title": "Princess Leia's Fanfiction", "d:Description": "Callista Ming and Daala have teamed up to write fan fiction about Princess Leia, Han Solo, and the gang.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction/The_Rebels", "url": "http://www.angelfire.com/movies/daala/index.html"} +{"d:Title": "Jedifiction.com", "d:Description": "Primarily a fan fiction site, mainly focused on the Mara/Luke relationship and YJK.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction/The_Rebels", "url": "http://www.jedifiction.com/"} +{"d:Title": "Jedi Callie's Luke and Callista Fan Fiction", "d:Description": "Fan fiction about Star Wars' Luke Skywalker and Callista Ming.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Fiction/The_Rebels", "url": "http://jedicallie.tripod.com/fanfic.html"} +{"d:Title": "The Curse of Darth Maul", "d:Description": "In Real format.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://seanfilm.tripod.com/seanfilm/home.htm"} +{"d:Title": "Episode X: The Jedi Who Loved Me", "d:Description": "A short spoof movie, made to celebrate the marriage of Adam Ahmad and Maria Lopez.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://www.foiled.co.uk/tjwlm/"} +{"d:Title": "Run Leia Run", "d:Description": "Information on a fan film combining The Empire Strikes Back with Lola rennt.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://www.runleiarun.com/"} +{"d:Title": "Galaxy Hype Monitor", "d:Description": "A reporter discovers what the inhabitants of Mos Eisley think about the Empire and the Rebellion.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://www.angelfire.com/theforce/ghm/"} +{"d:Title": "Star Wars Episode II: The Menace Strikes Back", "d:Description": "Spoof Episode 2 teaser trailer.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://www.sequentialpictures.com/moviestarwars.html"} +{"d:Title": "Pulp Phantom", "d:Description": "A Flash cartoon satirizing Pulp Fiction using Star Wars characters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://ulink.net/crossroads/phantom/"} +{"d:Title": "IMPS - The Relentless", "d:Description": "Fan film in progress. Chapter one available for download.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://impstherelentless.com/"} +{"d:Title": "Intermezzo Dualis: Reloaded", "d:Description": "An experimental film by H\u00e5kan \"Zap\" Andersson with influences from Star Wars, Terminator, A.I., and The Matrix.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Fan_Works/Fan_Films", "url": "http://www.lysator.liu.se/~zap/kidwars/intermezzo/"} +{"d:Title": "Galaxy Far Away - Games", "d:Description": "A complete star wars gaming reference with news, reviews, cheats, downloads.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Games", "url": "http://www.galaxyfaraway.com/Games/index.shtml"} +{"d:Title": "Star Wars Combine", "d:Description": "Free online role-playing simulation.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Games", "url": "http://www.swcombine.com/"} +{"d:Title": "Flash-Wars", "d:Description": "Flash game involving clicking on TIE fighters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Games", "url": "http://flash.wars.free.fr/"} +{"d:Title": "Lunar Eclipse", "d:Description": "Interactive fiction roleplaying network based in the Star Wars universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Games", "url": "http://nifrpg.net/"} +{"d:Title": "The Gungan Council", "d:Description": "Star Wars Play-by-Post RPG focusing on story and character development.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Games", "url": "http://thegungancouncil.yuku.com/"} +{"d:Title": "Star Wars Trilogy SE Tazos", "d:Description": "Features images of the entire set of Tazos, the small picture discs distributed in packages of Doritos during the Special Edition release of the Trilogy.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Image_Galleries", "url": "http://www.eclipse.co.uk/~ac4531/tazos/"} +{"d:Title": "Delusions of Grandeur", "d:Description": "A general Star Wars fanzine for both prequel and classic trilogy fans. Now seeking submissions!", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Magazines_and_E-zines", "url": "http://www.angelfire.com/on2/delusions/"} +{"d:Title": "I Don't Care What You Smell", "d:Description": "Contents and ordering information for this Star Wars fiction fanzine.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Magazines_and_E-zines", "url": "http://smellzine.tripod.com/smell.html"} +{"d:Title": "Lord Vader's Australian Collectables", "d:Description": "Showcasing the best (and worst) Star Wars collectibles available exclusively in Australia. Featuring private collections, bootlegs, \"currency converter\", classifieds, and photo gallery.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://lordvader.8k.com/"} +{"d:Title": "SirStevesGuide.com", "d:Description": "Daily news and information on Star Wars action figures and toys.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.sirstevesguide.com/"} +{"d:Title": "The Bothan Spy", "d:Description": "Collecting news, art, toy reviews, and discussion forum.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.thebothanspy.com/"} +{"d:Title": "TheForce.net: Star Wars Collecting", "d:Description": "Star Wars collecting news and information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.theforce.net/collecting/"} +{"d:Title": "GalaxyFarAway.com", "d:Description": "Star Wars toys and collectibles site with news, pictures, message board, mailing list and links.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.galaxyfaraway.com/Collecting/index.shtml"} +{"d:Title": "The Star Wars Collector's Bible", "d:Description": "List of Star Wars collectibles from all eras and genres, available on other sites.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.sandcrawler.com/SWB/"} +{"d:Title": "Star Wars Autograph Collecting", "d:Description": "Information, autographs, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.starwarsautographcollecting.com/"} +{"d:Title": "Galactic Hunter", "d:Description": "News, images, and features about Star Wars collectibles from around the world.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.galactichunter.com/"} +{"d:Title": "Amie's Star Wars Customs", "d:Description": "Custom cards and figures from all six movies and the expanded universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.freewebs.com/jedimasteraimster/"} +{"d:Title": "Yakface.com", "d:Description": "Collectible news, information, guides, and store.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://yakface.com/"} +{"d:Title": "Original Star Wars Props", "d:Description": "Collection of original Star Wars props and production material featuring screen-used, production-used and production-made items from all six films.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://www.bigbaddaddyvader.com/"} +{"d:Title": "The Museum of Shiny Objects", "d:Description": "Image gallery of various Star Wars items, die-cast automobiles, and other shiny collectibles. Also includes political humor with \"Hall of Beans *Separated-at-Birth*\" gallery.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia", "url": "http://apwartrigit.tripod.com/MoSO/moso.html"} +{"d:Title": "KL's Collection", "d:Description": "A gallery of images, with collection philosophy.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia/Personal_Collections", "url": "http://www.oohyeahzone.com/collection/index.html"} +{"d:Title": "Sydling's Star Wars collection", "d:Description": "The main collection's focus is tri-logo packaged figures and vehicles. Also White Bespin Guard items.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia/Personal_Collections", "url": "http://www.sydling.se/"} +{"d:Title": "Hard Merchandise", "d:Description": "Gallery of figures and vehicles.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia/Personal_Collections", "url": "http://homepages.paradise.net.nz/lhbg/"} +{"d:Title": "Criz Bee's Jedi-Business.com", "d:Description": "A collection of figures organized by various criteria.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Memorabilia/Personal_Collections", "url": "http://www.jedi-business.com/"} +{"d:Title": "Star Wars Review.com", "d:Description": "A fan's reviews books, movies, and toys.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Reviews", "url": "http://starwarsreview.tripod.com/"} +{"d:Title": "Bounty Hunter Reviews", "d:Description": "Fan reviews of books and movies.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Reviews", "url": "http://bountyhunterreviews.tripod.com/"} +{"d:Title": "Star Wars Comparison Chart", "d:Description": "Tabular comparison of plot elements and the like.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Reviews", "url": "http://www.wurb.com/~carl/starwars"} +{"d:Title": "DVD Cyber Center: The Star Wars Trilogy", "d:Description": "DVD review by John D. Randall.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Reviews", "url": "http://www.dvdcc.com/review.php?starwarstrilogy"} +{"d:Title": "Max Scheinin: Star Wars Trilogy Special Edition", "d:Description": "Max reviews the Star Wars Trilogy Special Edition as it looks on video. [Grades: A-; A+; B]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Reviews", "url": "http://www.imdb.com/reviews/88/8817.html"} +{"d:Title": "Jerry at the Movies", "d:Description": "Jerry Saravia gives a critical overview to the changes made to the Star Wars Trilogy with the Special Editions.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Reviews", "url": "http://www.imdb.com/reviews/227/22766.html"} +{"d:Title": "Filmtracks", "d:Description": "Includes reviews, track listings, pictures, and other notes about the Shadows of the Empire soundtrack by Joel McNeely. [3/5]", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Shadows_of_the_Empire/Soundtrack", "url": "http://www.filmtracks.com/titles/shadows_empire.html"} +{"d:Title": "Scoresheet", "d:Description": "Track-by-track review of the soundtrack. Very positive review.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Shadows_of_the_Empire/Soundtrack", "url": "http://scoresheet.tripod.com/Reviews/sote.html"} +{"d:Title": "Endor Express", "d:Description": "News, character profiles, and information on toys and collectibles.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Star_Tours", "url": "http://www.endorexpress.net/"} +{"d:Title": "IMDb: Star Tours (1987)", "d:Description": "Cast and crew information, synopsis, and comments, courtesy the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Star_Tours", "url": "http://www.imdb.com/title/tt0094029/"} +{"d:Title": "Star Wars Holiday Special", "d:Description": "Includes cast and crew listings, news, photos, articles, transcript, promotional merchandise, and fan creations.", "priority": "1", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Star_Wars_Holiday_Special", "url": "http://www.starwarsholidayspecial.com/"} +{"d:Title": "IMDb: Star Wars Holiday Special, The (1978)", "d:Description": "Cast and crew information, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Star_Wars_Holiday_Special", "url": "http://imdb.com/title/tt0193524/"} +{"d:Title": "The Ultimate Star Wars Timeline", "d:Description": "A large ordered list of movies and publications, divided by era.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Timelines", "url": "http://www.theforce.net/timeline/"} +{"d:Title": "Steve's Star Wars Timeline", "d:Description": "A list of events in the Star Wars universe, linked to books information.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Timelines", "url": "http://www.jedinet.com/timeline/"} +{"d:Title": "Star Wars Timetales", "d:Description": "An extensive, annotated, and illustrated timeline, including both canonical and apocryphal sources, with character family trees.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Timelines", "url": "http://www.theforce.net/timetales/"} +{"d:Title": "The Novels: What Happened When?", "d:Description": "A chronological list of the Random House and Del Rey Star Wars books.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Timelines", "url": "http://www.randomhouse.com/delrey/starwars/timeline.html"} +{"d:Title": "Star Wars Timeline, Version 6.5 Beta", "d:Description": "A detailed, plain text chronology.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Timelines", "url": "http://www.mts.net/~arphaxad/chronosw.html"} +{"d:Title": "Once Upon a Galaxy", "d:Description": "Events in chronological order of the Star Wars (expanded) universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Timelines", "url": "http://www.starwarstimeline.com/"} +{"d:Title": "Star Wars: Timeline", "d:Description": "A simple timeline, linked to related Dark Horse publications.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Timelines", "url": "http://www.darkhorse.com/Zones/StarWars"} +{"d:Title": "TheForce.Net's Star Wars Trivia", "d:Description": "Several trivia questions about all the films.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia", "url": "http://www.theforce.net/jedicouncil/trivia/"} +{"d:Title": "Star Wars Polls and Trivia", "d:Description": "This site features little-known trivia and new polls approximately every week. Also features a gallery.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia", "url": "http://www.angelfire.com/tn2/polls/index.html"} +{"d:Title": "Matt Radford's Star Wars Extravaganza", "d:Description": "Trivia questions, movie information, and games.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia", "url": "http://www.angelfire.com/sc2/ihatejarjar/"} +{"d:Title": "Star Wars Trivia and Facts", "d:Description": "A collection of fan-submitted entries.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia", "url": "http://www.funtrivia.com/dir/963.html"} +{"d:Title": "Star Wars Name Game", "d:Description": "Determine your Star Wars name.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.microsaft.com/starwars.htm"} +{"d:Title": "Darth Darth Binks", "d:Description": "Various jokes and a funny picture.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.templetons.com/brad/dardar.html"} +{"d:Title": "The RASSM Humor Archive", "d:Description": "Huge collection of Star Wars jokes.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.shavenwookie.com/orhp/humour/"} +{"d:Title": "The Force is a Tool of Satan", "d:Description": "Find out all about the evilness that is the Force.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.ooze.com/toolofsatan/"} +{"d:Title": "Star Wars: Endor Holocaust", "d:Description": "What happens when you detonate a spherical metal honeycomb over five hundred miles wide just above the atmosphere of a habitable world? Regardless of specifics, the world won't remain habitable for long.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.theforce.net/swtc/holocaust.html"} +{"d:Title": "TheForce.net Star Wars Humor", "d:Description": "Relatively large archive of pictures, top ten lists and captions. Always running contests for best new caption or list.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.theforce.net/humor/"} +{"d:Title": "Find Your Star Wars Twin", "d:Description": "Test to determine which Star Wars character is most like you", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.outofservice.com/starwars/"} +{"d:Title": "WWWF Grudge Match: Star Wars Humor", "d:Description": "Several comic sparring scenarios with Star Wars characters: John McClane vs. The Death Star; Darth Maul vs. The Highlander; Boba Fett vs. The Predator; and several others.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.grudge-match.com/History/swmain.shtml"} +{"d:Title": "The Unnamed Planet", "d:Description": "Star Wars humour, as well as general sci-fi humour. Features satirical news, top ten lists, and quizzes.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.angelfire.com/scifi/planet0/main.htm"} +{"d:Title": "The Diary of Grand Moff Tarkin", "d:Description": "Humorous look into the personal Diary of Grand Moff Tarkin.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.firsttvdrama.com/funstuff/tarkin.php3"} +{"d:Title": "Arena Humor", "d:Description": "Home of the Insider Spoof Scoop and Coruscant's Most Wanted.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://www.galaxyfaraway.com/Arena/humor/index.shtml"} +{"d:Title": "The Grumpy Moff: Rants From A Galactic Empire Insider", "d:Description": "The daily weblog of a bitter Imperial worker from the Star Wars universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://grumpymoff.blogspot.com/"} +{"d:Title": "Star Wars WTF", "d:Description": "Humorous, imponderable observations from the Star Wars universe.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor", "url": "http://starwarswtf.com/"} +{"d:Title": "Star Wars: The Musical", "d:Description": "A musical version of Star Wars, complete with lyrics and MP3 files.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor/Parodies", "url": "http://www.infauxmedia.com/"} +{"d:Title": "Start Wars Website", "d:Description": "Parody comics by Bob Vader.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Trivia/Humor/Parodies", "url": "http://www.angelfire.com/scifi/startwars/"} +{"d:Title": "The Wrath of Fett", "d:Description": "Fan art with some ship profiles.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Vehicles", "url": "http://www.angelfire.com/film/fett/"} +{"d:Title": "Star Wars Space Craft", "d:Description": "Fan pictures of some star fighters.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Vehicles", "url": "http://www.angelfire.com/scifi/starstuff/"} +{"d:Title": "Imperial Navy Ship Database", "d:Description": "Visual images and technical comments of Imperial Navy starfighters and combat starships.", "topic": "Top/Arts/Movies/Titles/S/Star_Wars_Movies/Vehicles", "url": "http://insd.swcombine.com/"} +{"d:Title": "HARO Online: The Statement", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Statement,_The", "url": "http://www.haro-online.com/movies/statement.html"} +{"d:Title": "IMDb: The Statement (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and forum.", "topic": "Top/Arts/Movies/Titles/S/Statement,_The", "url": "http://www.imdb.com/title/tt0340376/"} +{"d:Title": "Rotten Tomatoes: The Statement", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Statement,_The", "url": "http://www.rottentomatoes.com/m/statement/"} +{"d:Title": "HARO Online: Stateside", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Stateside", "url": "http://www.haro-online.com/movies/stateside.html"} +{"d:Title": "IMDb: Stateside (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Stateside", "url": "http://www.imdb.com/title/tt0339727/"} +{"d:Title": "Rotten Tomatoes: Stateside", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Stateside", "url": "http://www.rottentomatoes.com/m/stateside/"} +{"d:Title": "Chicago Sun-Times: Stateside", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Stateside", "url": "http://www.rogerebert.com/reviews/stateside-2004"} +{"d:Title": "States of Control", "d:Description": "Contains information, cast and crew, and quotes.", "topic": "Top/Arts/Movies/Titles/S/States_of_Control", "url": "http://www.statesofcontrol.com/"} +{"d:Title": "Metacritic.com - States of Control", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/States_of_Control", "url": "http://www.metacritic.com/movie/states-of-control"} +{"d:Title": "HARO Online - State and Main", "d:Description": "Mongoose reviews the film. Rates it 'Pretty good'.", "topic": "Top/Arts/Movies/Titles/S/State_and_Main", "url": "http://www.haro-online.com/movies/state_and_main.html"} +{"d:Title": "About.com: State and Main", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/S/State_and_Main", "url": "http://homevideo.about.com/library/weekly/aa062701a.htm"} +{"d:Title": "IMDb: State and Main", "d:Description": "Plot outline, cast and crew, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/State_and_Main", "url": "http://www.imdb.com/title/tt0120202/"} +{"d:Title": "Metacritic.com - State and Main", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/State_and_Main", "url": "http://www.metacritic.com/movie/state-and-main"} +{"d:Title": "AllReaders.com Review - State and Main", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film starring Alec Baldwin and Sarah Jessica Parker.", "topic": "Top/Arts/Movies/Titles/S/State_and_Main", "url": "http://allreaders.com/movie-review-summary/state-and-main-4701"} +{"d:Title": "IMDb: State of Denial", "d:Description": "Synopsis, credits, user comments, related film recommendations, release details, and links.", "topic": "Top/Arts/Movies/Titles/S/State_of_Denial", "url": "http://www.imdb.com/title/tt0343024/"} +{"d:Title": "PBS: State of Denial", "d:Description": "Expanded synopsis, primary cast list with photographs, and related articles.", "topic": "Top/Arts/Movies/Titles/S/State_of_Denial", "url": "http://www.pbs.org/pov/stateofdenial/"} +{"d:Title": "allmovie: State of Denial", "d:Description": "Synopsis, film details, production credits, and awards.", "topic": "Top/Arts/Movies/Titles/S/State_of_Denial", "url": "http://www.allmovie.com/movie/v279002"} +{"d:Title": "HARO Online: State Property", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/State_Property", "url": "http://www.haro-online.com/movies/state_property.html"} +{"d:Title": "Rotten Tomatoes: State Property", "d:Description": "Links to movie review, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/State_Property", "url": "http://www.rottentomatoes.com/m/state_property/"} +{"d:Title": "IMDb: State Property (2002)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/S/State_Property", "url": "http://www.imdb.com/title/tt0301893/"} +{"d:Title": "Metacritic.com: State Property", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/State_Property", "url": "http://www.metacritic.com/movie/state-property"} +{"d:Title": "The Station Agent", "d:Description": "Official site with synopsis, cast and character information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/Station_Agent,_The", "url": "http://www.thestationagent.com/"} +{"d:Title": "Internet Movie Database: Station Agent, The", "d:Description": "Synopsis, cast and crew, reviews, user comments and ratings, production and distribution information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/S/Station_Agent,_The", "url": "http://imdb.com/title/tt0340377/"} +{"d:Title": "HARO Online: The Station Agent", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Station_Agent,_The", "url": "http://www.haro-online.com/movies/station_agent.html"} +{"d:Title": "All-Reviews.com - The Station Agent", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Station_Agent,_The", "url": "http://www.all-reviews.com/videos-5/station-agent.htm"} +{"d:Title": "Chicago Sun-Times: The Station Agent", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Station_Agent,_The", "url": "http://www.rogerebert.com/reviews/the-station-agent-2003"} +{"d:Title": "Stealing Altitude", "d:Description": "Official site with film notes, awards, cast and crew list, and trivia.", "topic": "Top/Arts/Movies/Titles/S/Stealing_Altitude", "url": "http://www.stealingaltitude.com/"} +{"d:Title": "All-Reviews.com: Stealing Beauty", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Stealing_Beauty", "url": "http://www.all-reviews.com/videos-3/stealing-beauty.htm"} +{"d:Title": "Rotten Tomatoes: Stealing Beauty", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Stealing_Beauty", "url": "http://www.rottentomatoes.com/m/stealing_beauty/"} +{"d:Title": "IMDb: Stealing Beauty (1996)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stealing_Beauty", "url": "http://www.imdb.com/title/tt0117737/"} +{"d:Title": "Stealing Heaven", "d:Description": "Credits, story, links, pictures, clips and sounds for the film.", "topic": "Top/Arts/Movies/Titles/S/Stealing_Heaven", "url": "http://derekdelintfansite.com/stealingheaven/"} +{"d:Title": "IMDb: Stealing Heaven", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stealing_Heaven", "url": "http://www.imdb.com/title/tt0096170/"} +{"d:Title": "TV Guide: Stealing Heaven", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Stealing_Heaven", "url": "http://www.tvguide.com/movies/stealing-heaven/126361/"} +{"d:Title": "IMDb - Stealth Fighter (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Stealth_Fighter", "url": "http://www.imdb.com/title/tt0181838/"} +{"d:Title": "PopMatters - Steal This Movie!", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Steal_This_Movie", "url": "http://popmatters.com/film/reviews/s/steal-this-movie.html"} +{"d:Title": "All-Reviews.com - Steal This Movie!", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/S/Steal_This_Movie", "url": "http://www.all-reviews.com/videos/steal-this-movie.htm"} +{"d:Title": "Rotten Tomatoes - Steal This Movie!", "d:Description": "Collection of reviews, news, synopsis, and other details.", "topic": "Top/Arts/Movies/Titles/S/Steal_This_Movie", "url": "http://www.rottentomatoes.com/m/steal_this_movie/"} +{"d:Title": "IMDb - Steal This Movie! (2000)", "d:Description": "Cast and crew details, user reviews, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/S/Steal_This_Movie", "url": "http://www.imdb.com/title/tt0161216/"} +{"d:Title": "Metacritic.com - Steal This Movie", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Steal_This_Movie", "url": "http://www.metacritic.com/movie/steal-this-movie"} +{"d:Title": "Rotten Tomatoes: Steel Magnolias (1989)", "d:Description": "Includes reviews movie information, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Steel_Magnolias", "url": "http://www.rottentomatoes.com/m/steel_magnolias/"} +{"d:Title": "IMDb: Steel Magnolias (1989)", "d:Description": "Cast and credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/S/Steel_Magnolias", "url": "http://www.imdb.com/title/tt0098384/"} +{"d:Title": "Arrow in the Head : The Stepford Wives", "d:Description": "Major cast list and film synopsis.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975", "url": "http://www.arrowinthehead.com/stepfordwives.htm"} +{"d:Title": "Rotten Tomatoes : The Stepford Wives", "d:Description": "List of other reviews, cast details and a public forum", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975", "url": "http://www.rottentomatoes.com/m/1074503-stepford_wives/"} +{"d:Title": "IMDb: The Stepford Wives", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975", "url": "http://www.imdb.com/title/tt0073747/"} +{"d:Title": "LowComDom: The Stepford Wives", "d:Description": "Film review and cast list.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975/Reviews", "url": "http://www.lowcomdom.com/film/s/stepford_wives.html"} +{"d:Title": "QNetwork : The Stepford Wives", "d:Description": "Cast list and DVD review.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975/Reviews", "url": "http://qnetwork.com/?page=review&id=770"} +{"d:Title": "Horror DVDs : The Stepford Wives", "d:Description": "Plot and pictures, with a review of the DVD.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975/Reviews", "url": "http://www.horrordvds.com/viewarticle.php?articleid=429"} +{"d:Title": "DigitallyObsessed.com : The Stepford Wives", "d:Description": "Cast list, storyline and DVD review.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975/Reviews", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1447"} +{"d:Title": "AllReaders.com : The Stepford Wives", "d:Description": "User entered reviews and classification of plot and themes of the movie.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_1975/Reviews", "url": "http://allreaders.com/movie-review-summary/the-stepford-wives-1975-9909"} +{"d:Title": "All-Reviews.com: The Stepford Wives", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_2004", "url": "http://www.all-reviews.com/videos-5/stepford-wives.htm"} +{"d:Title": "PopEntertainment.com: The Stepford Wives", "d:Description": "Jay S. Jacobs gives a bad review to the remake of the 1975 horror classic.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_2004", "url": "http://www.popentertainment.com/stepfordwives.htm"} +{"d:Title": "Rotten Tomatoes: The Stepford Wives", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_2004", "url": "http://www.rottentomatoes.com/m/stepford_wives/"} +{"d:Title": "Yahoo! Movies: Stepford Wives", "d:Description": "Includes news, photos, trailers, cast and crew, and a preview.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_2004", "url": "http://movies.yahoo.com/movie/the-stepford-wives-2004/"} +{"d:Title": "IMDb: Stepford Wives, The (2004)", "d:Description": "Includes plot summary, cast and crew, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_2004", "url": "http://www.imdb.com/title/tt0327162/"} +{"d:Title": "Extratv.com: The Stepford Wives", "d:Description": "Includes a video interview with several of the cast.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_2004", "url": "http://telepixtvcgi.warnerbros.com/dailynews/extra/0304/03_25c.html"} +{"d:Title": "Future Movies: The Stepford Wives", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/S/Stepford_Wives,_The_-_2004", "url": "https://www.futuremovies.co.uk/reviews/the-stepford-wives/ed-colley"} +{"d:Title": "All-Reviews.com - Stepmom", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Stepmom", "url": "http://www.all-reviews.com/videos/stepmom.htm"} +{"d:Title": "Rotten Tomatoes: Stepmom", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Stepmom", "url": "http://www.rottentomatoes.com/m/stepmom/"} +{"d:Title": "IMDb - Stepmom (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Stepmom", "url": "http://www.imdb.com/title/tt0120686/"} +{"d:Title": "Chicago Sun-Times: Stepmom", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Stepmom", "url": "http://www.rogerebert.com/reviews/stepmom-1998"} +{"d:Title": "TV Guide Online: Stepmom", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Stepmom", "url": "http://www.tvguide.com/movies/stepmom/133498/"} +{"d:Title": "Rotten Tomatoes: Step Brothers", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Step_Brothers", "url": "http://www.rottentomatoes.com/m/10009233-step_brothers/"} +{"d:Title": "TV Guide: Step Brothers", "d:Description": "Review: \"The inspired pairing of \"Talledega's\" Will Ferrell and John C. Reilly, two actors smart enough to play dumb and make it work.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Step_Brothers", "url": "http://www.tvguide.com/movies/step-brothers/review/293500/"} +{"d:Title": "IGN: Step Brothers", "d:Description": "News, photos, videos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Step_Brothers", "url": "http://www.ign.com/movies/step-brothers/theater-846791"} +{"d:Title": "ReelViews: Step Brothers", "d:Description": "Review, by James Berardinelli: \"Humor is subjective, but this movie made me feel as if I had been subjected to something unpleasant.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Step_Brothers", "url": "http://www.reelviews.net/reelviews/step-brothers"} +{"d:Title": "Rotten Tomatoes: Step Up 2 the Streets", "d:Description": "Synopsis, cast list, showtimes, photos, news, and review links.", "topic": "Top/Arts/Movies/Titles/S/Step_Up_2_the_Streets", "url": "http://www.rottentomatoes.com/m/step_up_2_the_streets/"} +{"d:Title": "Moviefone: Step Up 2: The Streets", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Step_Up_2_the_Streets", "url": "http://www.moviefone.com/movie/step-up-2-the-streets/30381/main"} +{"d:Title": "USA Today: Step Up 2 the Streets", "d:Description": "Review, by Claudia Puig: \"Step Up 2 is one long, clich\u00e9d exercise in predictability with a couple of vibrant dance sequences and some unintentionally hilarious bad acting.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Step_Up_2_the_Streets", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-02-13-step-up_N.htm"} +{"d:Title": "IGN Movies: Step Up 2 the Streets", "d:Description": "Message board, photos, video, news, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Step_Up_2_the_Streets", "url": "http://www.ign.com/movies/step-up-2-the-streets/theater-14225996"} +{"d:Title": "MovieWeb: Step Up 2 the Streets (2008)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/S/Step_Up_2_the_Streets", "url": "http://movieweb.com/movie/step-up-2-the-streets/"} +{"d:Title": "HARO Online - Stiff Upper Lips", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/Stiff_Upper_Lips", "url": "http://www.haro-online.com/movies/stiff_upper_lips.html"} +{"d:Title": "IMDb - Stiff Upper Lips (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Stiff_Upper_Lips", "url": "http://www.imdb.com/title/tt0120210/"} +{"d:Title": "All-Reviews.com: Stigmata (1999)", "d:Description": "Reviews by Greg King and others. Overall Rating: 2 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Stigmata", "url": "http://www.all-reviews.com/videos/stigmata.htm"} +{"d:Title": "HARO Online: Stigmata", "d:Description": "Haro reviews Stigmata.", "topic": "Top/Arts/Movies/Titles/S/Stigmata", "url": "http://haro-online.com/movies/stigmata.html"} +{"d:Title": "Rotten Tomatoes: Stigmata", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/S/Stigmata", "url": "http://www.rottentomatoes.com/m/stigmata/"} +{"d:Title": "Stigmata", "d:Description": "Official site. Offers cast, crew, pictures, and information.", "topic": "Top/Arts/Movies/Titles/S/Stigmata", "url": "http://www.mgm.com/title_title.php?title_star=TOBYSTOR"} +{"d:Title": "IMDb - Stigmata (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Stigmata", "url": "http://www.imdb.com/title/tt0145531/"} +{"d:Title": "Metacritic.com - Stigmata", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Stigmata", "url": "http://www.metacritic.com/movie/stigmata"} +{"d:Title": "ZapPictures: Still Breathing", "d:Description": "Official site.", "topic": "Top/Arts/Movies/Titles/S/Still_Breathing", "url": "http://www.stillbreathing.com/"} +{"d:Title": "Still Breathing Mailing List", "d:Description": "Message boards for the film.", "topic": "Top/Arts/Movies/Titles/S/Still_Breathing", "url": "http://groups.yahoo.com/group/StillBreathing"} +{"d:Title": "TV Guide: Still Breathing", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Still_Breathing", "url": "http://www.tvguide.com/movies/breathing/132995/"} +{"d:Title": "Rotten Tomatoes: Still Crazy", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Still_Crazy", "url": "http://www.rottentomatoes.com/m/still_crazy/"} +{"d:Title": "IMDb - Still Crazy (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Still_Crazy", "url": "http://www.imdb.com/title/tt0149151/"} +{"d:Title": "IMDb: The Sting (1973)", "d:Description": "Full cast information, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/S/Sting,_The", "url": "http://www.imdb.com/title/tt0070735/"} +{"d:Title": "The Flick Filosopher - The Sting", "d:Description": "Review and discussion of the film.", "topic": "Top/Arts/Movies/Titles/S/Sting,_The", "url": "http://www.flickfilosopher.com/1999/02/the-sting-review.html"} +{"d:Title": "TV Guide Online: The Sting", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Sting,_The", "url": "http://www.tvguide.com/movies/sting/118910/"} +{"d:Title": "PopMatters - Stir of Echoes", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Stir_of_Echoes", "url": "http://popmatters.com/film/reviews/s/stir-of-echoes.html"} +{"d:Title": "All-Reviews.com- Stir of Echoes (1999)", "d:Description": "Movie review and related information", "topic": "Top/Arts/Movies/Titles/S/Stir_of_Echoes", "url": "http://www.all-reviews.com/videos/stirofechoes.htm"} +{"d:Title": "HARO Online - Stir of Echoes", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/S/Stir_of_Echoes", "url": "http://www.haro-online.com/movies/stir_of_echoes.html"} +{"d:Title": "Stir of Echoes - Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/S/Stir_of_Echoes", "url": "http://www.rottentomatoes.com/m/stir_of_echoes/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, trivia, reviews, synopsis, and misc. details.", "topic": "Top/Arts/Movies/Titles/S/Stir_of_Echoes", "url": "http://www.imdb.com/title/tt0164181/"} +{"d:Title": "Metacritic.com - Stir of Echoes", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Stir_of_Echoes", "url": "http://www.metacritic.com/movie/stir-of-echoes"} +{"d:Title": "AllReaders.com Stir of Echos Review", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Kevin Bacon film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Stir_of_Echoes", "url": "http://allreaders.com/movie-review-summary/stir-of-echoes-4698"} +{"d:Title": "HARO Online: Stoked: The Rise and Fall of Gator", "d:Description": "Mongoose reviews the documentary.", "topic": "Top/Arts/Movies/Titles/S/Stoked_-_The_Rise_and_Fall_of_Gator", "url": "http://www.haro-online.com/movies/stoked.html"} +{"d:Title": "Internet Movie Database: Stoked: The Rise and Fall of Gator", "d:Description": "Film information, crew, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/Stoked_-_The_Rise_and_Fall_of_Gator", "url": "http://imdb.com/title/tt0271211/"} +{"d:Title": "Popentertainment.com: Stone Reader", "d:Description": "Jay S. Jacobs reviews Mark Moskowitz's documentary 'love letter' to literature.", "topic": "Top/Arts/Movies/Titles/S/Stone_Reader", "url": "http://www.popentertainment.com/stonereader.htm"} +{"d:Title": "Chicago Sun-Times: Stone Reader", "d:Description": "Roger Ebert's take on the documentary about the search for a novelist.", "topic": "Top/Arts/Movies/Titles/S/Stone_Reader", "url": "http://www.rogerebert.com/reviews/stone-reader-2003"} +{"d:Title": "IMDb: Stone Reader (2002)", "d:Description": "Film resource site has information on cast, crew, reviews, plot summary, comments, photos, showtimes and a link to the official site.", "topic": "Top/Arts/Movies/Titles/S/Stone_Reader", "url": "http://www.imdb.com/title/tt0324080/"} +{"d:Title": "New York Times: Recounting Obsession With a 1972 Author", "d:Description": "Elvis Mitchell evaluates the film.", "topic": "Top/Arts/Movies/Titles/S/Stone_Reader", "url": "http://www.nytimes.com/2003/02/12/movies/film-review-recounting-obsession-with-a-1972-author.html"} +{"d:Title": "Rotten Tomatoes: Stop-Loss", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, and review links.", "topic": "Top/Arts/Movies/Titles/S/Stop_Loss", "url": "http://www.rottentomatoes.com/m/stop_loss/"} +{"d:Title": "IGN Movies: Stop-Loss", "d:Description": "Photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Stop_Loss", "url": "http://www.ign.com/movies/stop-loss/theater-14222792"} +{"d:Title": "USA Today: Stop Loss", "d:Description": "Review, by Claudia Puig: \"It's an uneven experience, with some evocative moments and others that don't resonate as much as they should.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Stop_Loss", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-03-27-stop-loss_N.htm"} +{"d:Title": "MovieWeb: Stop Loss (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Stop_Loss", "url": "http://movieweb.com/movie/stop-loss/"} +{"d:Title": "TV Guide: Storefront Hitchcock", "d:Description": "Brief review and credits.", "topic": "Top/Arts/Movies/Titles/S/Storefront_Hitchcock", "url": "http://www.tvguide.com/movies/storefront-hitchcock/132911/"} +{"d:Title": "All-Reviews.com: Storytelling", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Storytelling", "url": "http://www.all-reviews.com/videos-4/storytelling.htm"} +{"d:Title": "HARO Online: Storytelling", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Storytelling", "url": "http://www.haro-online.com/movies/storytelling.html"} +{"d:Title": "IMDb: Storytelling (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Storytelling", "url": "http://www.imdb.com/title/tt0250081/"} +{"d:Title": "Metacritic.com: Storytelling", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Storytelling", "url": "http://www.metacritic.com/movie/storytelling"} +{"d:Title": "Mortado's Page of Filth: The Story of Ricky", "d:Description": "Character and scene images.", "topic": "Top/Arts/Movies/Titles/S/Story_of_Ricky,_The", "url": "http://www.mortado.com/mortado/movies/rikio/rikio.shtml"} +{"d:Title": "IMDb: The Story of Ricky (Lai Wong) (1991)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Story_of_Ricky,_The", "url": "http://www.imdb.com/title/tt0102293/"} +{"d:Title": "HARO Online: The Story of the Weeping Camel", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Story_of_the_Weeping_Camel,_The", "url": "http://www.haro-online.com/movies/story_of_the_weeping_camel.html"} +{"d:Title": "IMDb: The Story of the Weeping Camel (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Story_of_the_Weeping_Camel,_The", "url": "http://www.imdb.com/title/tt0373861/"} +{"d:Title": "Rotten Tomatoes: The Story of the Weeping Camel", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/S/Story_of_the_Weeping_Camel,_The", "url": "http://www.rottentomatoes.com/m/story_of_the_weeping_camel/"} +{"d:Title": "Chicago Sun-Times: The Story of the Weeping Camel", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Story_of_the_Weeping_Camel,_The", "url": "http://www.rogerebert.com/reviews/the-story-of-the-weeping-camel-2004"} +{"d:Title": "All-Reviews.com - Story of Us", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Story_of_Us,_The", "url": "http://www.all-reviews.com/videos/story-of-us.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Synopsis and reviews, with links to reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Story_of_Us,_The", "url": "http://www.rottentomatoes.com/m/story_of_us/"} +{"d:Title": "Metacritic.com - Story of Us, The", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Story_of_Us,_The", "url": "http://www.metacritic.com/movie/the-story-of-us"} +{"d:Title": "IMDb: Straight-Jacket (2004)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Straight-Jacket", "url": "http://www.imdb.com/title/tt0367097/"} +{"d:Title": "Official site", "d:Description": "The critically acclaimed story of a boy and his dog.", "topic": "Top/Arts/Movies/Titles/S/Straight_from_Hell_a_Missile_to_the_Booty", "url": "http://www.math.miami.edu/~maxpen/films/sfhamttb.html"} +{"d:Title": "IMDb - Straight from the Streets", "d:Description": "Credits", "topic": "Top/Arts/Movies/Titles/S/Straight_from_the_Streets", "url": "http://www.imdb.com/title/tt0214161/"} +{"d:Title": "IMDb - Straight Out of Brooklyn (1991)", "d:Description": "Cast, credits, contact information.", "topic": "Top/Arts/Movies/Titles/S/Straight_Out_of_Brooklyn", "url": "http://www.imdb.com/title/tt0102989/"} +{"d:Title": "TV Guide: Straight Out of Brooklyn", "d:Description": "Unsigned review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/S/Straight_Out_of_Brooklyn", "url": "http://www.tvguide.com/movies/straight-brooklyn/128429/"} +{"d:Title": "HARO Online - The Straight Story", "d:Description": "A review of the movie by Mongoose.", "topic": "Top/Arts/Movies/Titles/S/Straight_Story,_The", "url": "http://haro-online.com/movies/straight_story.html"} +{"d:Title": "IMDb: The Straight Story", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Straight_Story,_The", "url": "http://www.imdb.com/title/tt0166896/"} +{"d:Title": "Metacritic.com - Straight Story, The", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Straight_Story,_The", "url": "http://www.metacritic.com/movie/the-straight-story"} +{"d:Title": "AllReaders.com Review - The Straight Story", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Straight_Story,_The", "url": "http://allreaders.com/movie-review-summary/the-straight-story-5782"} +{"d:Title": "IMDb: Straight to Hell", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Straight_to_Hell", "url": "http://www.imdb.com/title/tt0094048/"} +{"d:Title": "Ebert: Straight to Hell", "d:Description": "Cast list, overview, and review.", "topic": "Top/Arts/Movies/Titles/S/Straight_to_Hell", "url": "http://www.rogerebert.com/reviews/straight-to-hell-1987"} +{"d:Title": "Movieweb: Dee Snider's Strangeland", "d:Description": "Includes a plot synopsis and stills from the movie.", "topic": "Top/Arts/Movies/Titles/S/Strangeland", "url": "http://movieweb.com/movie/strangeland/"} +{"d:Title": "ScreenIt: Strangeland", "d:Description": "Review aimed at parents, including detailed information for parents about how the movie might affect children and teenagers.", "topic": "Top/Arts/Movies/Titles/S/Strangeland", "url": "http://www.screenit.com/movies/1998/strangeland.html"} +{"d:Title": "Ink Nineteen: Dee Snider's Strangeland", "d:Description": "Review of the soundtrack to the movie.", "topic": "Top/Arts/Movies/Titles/S/Strangeland", "url": "http://www.ink19.com/issues_F/99_03/wet_ink/music_st/112_strangeland.shtml"} +{"d:Title": "Strangeland (1998)", "d:Description": "Cast and crew for the film, as well as reviews and plot summary.", "topic": "Top/Arts/Movies/Titles/S/Strangeland", "url": "http://www.imdb.com/title/tt0124102/"} +{"d:Title": "Rotten Tomatoes: The Strangers", "d:Description": "Synopsis, cast members, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Strangers,_The", "url": "http://www.rottentomatoes.com/m/the_strangers/"} +{"d:Title": "ReelViews: Strangers, The", "d:Description": "Review, by James Berardinelli: \"This is one of those rare horror movies that concentrates on suspense and terror rather than on gore and a high body count.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Strangers,_The", "url": "http://www.reelviews.net/reelviews/strangers-the"} +{"d:Title": "IGN Movies: The Strangers", "d:Description": "News, photos, videos, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Strangers,_The", "url": "http://www.ign.com/movies/the-strangers/theater-846753"} +{"d:Title": "The Boston Globe: The Strangers", "d:Description": "Review, by Wesley Morris: \"A horror film with a moral. No matter how nasty a gang of murderers is, the moviemaker calling the shots is ultimately worse.\" [Rating: 0.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Strangers,_The", "url": "http://archive.boston.com/ae/movies/articles/2008/05/30/scary_strangers_frightfully_lacking/"} +{"d:Title": "MovieWeb: The Strangers (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/S/Strangers,_The", "url": "http://movieweb.com/movie/the-strangers/"} +{"d:Title": "IMDb - Stranger Than Fiction (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Stranger_Than_Fiction", "url": "http://www.imdb.com/title/tt0178043/"} +{"d:Title": "Rotten Tomatoes: Stranger Than Paradise (1984)", "d:Description": "Reviews, cast list and movie information.", "topic": "Top/Arts/Movies/Titles/S/Stranger_Than_Paradise", "url": "http://www.rottentomatoes.com/m/stranger_than_paradise/"} +{"d:Title": "Stranger Than Paradise (1984)", "d:Description": "Information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Stranger_Than_Paradise", "url": "http://www.imdb.com/title/tt0088184/"} +{"d:Title": "Mr. Goodbeer: Strange Brew", "d:Description": "Directory of links to sites related to the film.", "topic": "Top/Arts/Movies/Titles/S/Strange_Brew", "url": "http://www.mrgoodbeer.com/strangebrew/"} +{"d:Title": "IMDb - Strange Brew (1983)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Strange_Brew", "url": "http://www.imdb.com/title/tt0086373/"} +{"d:Title": "IMDb - Strange Days (1995)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Strange_Days", "url": "http://www.imdb.com/title/tt0114558/"} +{"d:Title": "TV Guide: Strange Days", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Strange_Days", "url": "http://www.tvguide.com/movies/strange-days/130752/"} +{"d:Title": "Strange Impersonation", "d:Description": "DVD review by Jeff Ulmer of \"well paced, well composed, and keeps you guessing\" film.", "topic": "Top/Arts/Movies/Titles/S/Strange_Impersonation", "url": "http://www.digitallyobsessed.com/showreview.php3?ID=315"} +{"d:Title": "Strange Impersonation", "d:Description": "Gary Johnson review of \"wicked little gem that eschews any vestiges of glamour in favor of a blunt and malevolent atmosphere.\"", "topic": "Top/Arts/Movies/Titles/S/Strange_Impersonation", "url": "http://www.imagesjournal.com/issue09/reviews/noir/"} +{"d:Title": "Strange Impersonation (1946)", "d:Description": "Cast, crew, plot summary and review from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Strange_Impersonation", "url": "http://www.imdb.com/title/tt0038986/"} +{"d:Title": "All Movie Guide: Strange Impersonation", "d:Description": "Film overview, synopsis, similar works, cast, production credits, and review.", "topic": "Top/Arts/Movies/Titles/S/Strange_Impersonation", "url": "http://www.allmovie.com/movie/strange-impersonation-v111934"} +{"d:Title": "IMDb: Strange Wilderness (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Strange_Wilderness", "url": "http://www.imdb.com/title/tt0489282/"} +{"d:Title": "Rotten Tomatoes: Strange Wilderness", "d:Description": "Synopsis, cast list, photos, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Strange_Wilderness", "url": "http://www.rottentomatoes.com/m/strange_wilderness/"} +{"d:Title": "IGN Movies: Strange Wilderness", "d:Description": "Photos, videos, news, podcast, interview, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Strange_Wilderness", "url": "http://www.ign.com/movies/strange-wilderness/theater-926144"} +{"d:Title": "TV Guide: Strange Wilderness", "d:Description": "Review, by Ken Fox: \"You'd have to be more than merely intoxicated to find anything about this dismal stoner comedy remotely funny. You'd have to be unconscious.\" [Rating: 0 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Strange_Wilderness", "url": "http://www.tvguide.com/movies/strange-wilderness/review/292202/"} +{"d:Title": "MovieWeb: Strange Wilderness (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Strange_Wilderness", "url": "http://movieweb.com/movie/strange-wilderness/"} +{"d:Title": "HARO Online: Strayed", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Strayed", "url": "http://www.haro-online.com/movies/strayed.html"} +{"d:Title": "IMDb: Strayed (Les \u00c9gar\u00e9s) (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Strayed", "url": "http://www.imdb.com/title/tt0329111/"} +{"d:Title": "Rotten Tomatoes: Strayed", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/S/Strayed", "url": "http://www.rottentomatoes.com/m/strayed/"} +{"d:Title": "Chicago Sun-Times: Strayed", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Strayed", "url": "http://www.rogerebert.com/reviews/strayed-2004"} +{"d:Title": "Strays", "d:Description": "Official movie site, with review, background information, and pictures.", "topic": "Top/Arts/Movies/Titles/S/Strays", "url": "http://www.strays.net/"} +{"d:Title": "Greatest Films - A Streetcar Named Desire (1951)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Streetcar_Named_Desire,_A_-_1951", "url": "http://www.filmsite.org/stre.html"} +{"d:Title": "Eve's Magazine: Riding the Streetcar Named Desire", "d:Description": "Article about the primary people involved in the movie.", "topic": "Top/Arts/Movies/Titles/S/Streetcar_Named_Desire,_A_-_1951", "url": "http://www.evesmag.com/riding.htm"} +{"d:Title": "Roger Ebert - A Streetcar Named Desire (1951)", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Streetcar_Named_Desire,_A_-_1951", "url": "http://www.rogerebert.com/reviews/a-streetcar-named-desire-1993"} +{"d:Title": "IMDb - A Streetcar Named Desire (1951)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Streetcar_Named_Desire,_A_-_1951", "url": "http://www.imdb.com/title/tt0044081/"} +{"d:Title": "TV Guide: A Streetcar Named Desire", "d:Description": "Include a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Streetcar_Named_Desire,_A_-_1951", "url": "http://www.tvguide.com/movies/streetcar-named-desire/119165/"} +{"d:Title": "Rotten Tomatoes: Streets of Fire", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Streets_of_Fire", "url": "http://www.rottentomatoes.com/m/streets_of_fire/"} +{"d:Title": "IMDb: Streets of Fire (1984)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Streets_of_Fire", "url": "http://www.imdb.com/title/tt0088194/"} +{"d:Title": "Allreaders.com: Streets of Fire", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Streets_of_Fire", "url": "http://allreaders.com/movie-review-summary/streets-of-fire-3610"} +{"d:Title": "Rotten Tomatoes: Street Kings", "d:Description": "Synopsis, cast members, photos, videos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Street_Kings", "url": "http://www.rottentomatoes.com/m/street_kings/"} +{"d:Title": "ReelViews: Street Kings", "d:Description": "Review, by James Berardinelli: \"Despite the predictability of the overall story arc, there's suspense and tension to be found between the credit sequences, but the movie is saddled with an ending that is both improbable and borderline insulting.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Street_Kings", "url": "http://www.reelviews.net/reelviews/street-kings"} +{"d:Title": "IGN Movies: Street Kings", "d:Description": "Videos, photos, news, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Street_Kings", "url": "http://www.ign.com/movies/street-kings/theater-41106"} +{"d:Title": "The Boston Globe: Street Kings", "d:Description": "Review, by Wesley Morris: \"Street Kings is nonsense, and yet the crooked, racialized world underneath the soulless mayhem is pretty fascinating.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Street_Kings", "url": "http://archive.boston.com/ae/movies/articles/2008/04/11/kings_cops_out_video_game_style/"} +{"d:Title": "MovieWeb: Street Kings (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Street_Kings", "url": "http://movieweb.com/movie/street-kings/"} +{"d:Title": "Movie Habit", "d:Description": "Contains a small review by Janice Pagel and links.", "topic": "Top/Arts/Movies/Titles/S/Strictly_Ballroom", "url": "http://www.moviehabit.com/reviews/str_id00.shtml"} +{"d:Title": "Crazy For Cinema", "d:Description": "Features movie review, cast list and links.", "topic": "Top/Arts/Movies/Titles/S/Strictly_Ballroom", "url": "http://crazy4cinema.com/Review/FilmsS/f_strictly_ballroom.html"} +{"d:Title": "IMDb: Strictly Ballroom", "d:Description": "Film database including cast and crew details, filming locations, links and a message board.", "topic": "Top/Arts/Movies/Titles/S/Strictly_Ballroom", "url": "http://www.imdb.com/title/tt0105488/"} +{"d:Title": "All-Reviews.com - Stripes", "d:Description": "Reviews of the movie, overall rating 1 star.", "topic": "Top/Arts/Movies/Titles/S/Stripes", "url": "http://www.all-reviews.com/videos-2/stripes.htm"} +{"d:Title": "TV Guide: Stripes", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Stripes", "url": "http://www.tvguide.com/movies/stripes/119191/"} +{"d:Title": "All-Reviews.com: Striptease", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Striptease", "url": "http://www.all-reviews.com/videos-3/striptease.htm"} +{"d:Title": "IMDb: Striptease (1996)", "d:Description": "Plot outline, cast and crew listings, and comments.", "topic": "Top/Arts/Movies/Titles/S/Striptease", "url": "http://www.imdb.com/title/tt0117765/"} +{"d:Title": "PopMatters - Stuart Little", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little", "url": "http://www.popmatters.com/film/reviews/s/stuart-little.html"} +{"d:Title": "HARO Online - Stuart Little", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little", "url": "http://www.haro-online.com/movies/stuart_little.html"} +{"d:Title": "All-Reviews.com - Stuart Little", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little", "url": "http://www.all-reviews.com/videos/stuart-little.htm"} +{"d:Title": "Rotten Tomatoes: Stuart Little", "d:Description": "Reviews, news, articles, previews, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little", "url": "http://www.rottentomatoes.com/m/stuart_little/"} +{"d:Title": "IMDb: Stuart Little", "d:Description": "Cast and credits, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little", "url": "http://www.imdb.com/title/tt0164912/"} +{"d:Title": "Metacritic.com - Stuart Little", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little", "url": "http://www.metacritic.com/movie/stuart-little"} +{"d:Title": "HARO Online: Stuart Little 2", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little_2", "url": "http://www.haro-online.com/movies/stuart_little_2.html"} +{"d:Title": "All-Reviews.com: Stuart Little 2", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little_2", "url": "http://www.all-reviews.com/videos-4/stuart-little-two.htm"} +{"d:Title": "Rotten Tomatoes: Stuart Little 2", "d:Description": "Synopsis, photographs, trailer, links to reviews with an overall rating, box office statistics, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little_2", "url": "http://www.rottentomatoes.com/m/stuart_little_2/"} +{"d:Title": "IMDb: Stuart Little 2 (2002)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little_2", "url": "http://www.imdb.com/title/tt0243585/"} +{"d:Title": "Metacritic.com: Stuart Little 2", "d:Description": "Quotes from and links to reviews of the film, as well as user comments and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Stuart_Little_Series/Stuart_Little_2", "url": "http://www.metacritic.com/movie/stuart-little-2"} +{"d:Title": "Internet Movie Database: Stuck On You", "d:Description": "Plot synopsis, cast and crew, trailer, trivia, production details, and links.", "topic": "Top/Arts/Movies/Titles/S/Stuck_on_You_-_2003", "url": "http://imdb.com/title/tt0338466/"} +{"d:Title": "HARO Online: Stuck on You", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Stuck_on_You_-_2003", "url": "http://www.haro-online.com/movies/stuck_on_you.html"} +{"d:Title": "All-Reviews.com: Stuck on You", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Stuck_on_You_-_2003", "url": "http://www.all-reviews.com/videos-5/stuck-on-you.htm"} +{"d:Title": "Rotten Tomatoes: Stuck on You", "d:Description": "Photographs, video clips, a plot description, collection of reviews, and a public forum.", "topic": "Top/Arts/Movies/Titles/S/Stuck_on_You_-_2003", "url": "http://www.rottentomatoes.com/m/stuck_on_you/"} +{"d:Title": "World of Movies: Stuck on You", "d:Description": "Cast and crew, plot summary, comments, photographs, and links.", "topic": "Top/Arts/Movies/Titles/S/Stuck_on_You_-_2003", "url": "https://theiapolis.com/movie-2RNN/stuck-on-you/"} +{"d:Title": "Rotten Tomatoes: The Stunt Man", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Stunt_Man,_The_-_1980", "url": "http://www.rottentomatoes.com/m/stunt_man/"} +{"d:Title": "IMDb: The Stunt Man (1980)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Stunt_Man,_The_-_1980", "url": "http://www.imdb.com/title/tt0081568/"} +{"d:Title": "Allreaders.com: The Stunt Man", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Stunt_Man,_The_-_1980", "url": "http://allreaders.com/movie-review-summary/the-stunt-man-5731"} +{"d:Title": "Stupids, The (1996)", "d:Description": "Review and cast list from imdb.com.", "topic": "Top/Arts/Movies/Titles/S/Stupids,_The", "url": "http://www.imdb.com/title/tt0117768/"} +{"d:Title": "TV Guide: Stupids, The", "d:Description": "Movie review, plot, and cast list.", "topic": "Top/Arts/Movies/Titles/S/Stupids,_The", "url": "http://www.tvguide.com/movies/stupids/131036/"} +{"d:Title": "IMDb - The Substitute 3: Winner Takes All", "d:Description": "Cast/credits", "topic": "Top/Arts/Movies/Titles/S/Substitute_3,_The", "url": "http://www.imdb.com/title/tt0197924/"} +{"d:Title": "IMDB: Suburbia", "d:Description": "Plot summary and credits.", "topic": "Top/Arts/Movies/Titles/S/Suburbia", "url": "http://www.imdb.com/title/tt0086589/"} +{"d:Title": "IMDb - Such Is Life at Coney Island (1920)", "d:Description": "Synopsis, production information.", "topic": "Top/Arts/Movies/Titles/S/Such_Is_Life_at_Coney_Island", "url": "http://www.imdb.com/title/tt0141860/combined"} +{"d:Title": "All-Reviews.com: Sudden Death", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Sudden_Death_-_1995", "url": "http://www.all-reviews.com/videos-3/sudden-death-1995.htm"} +{"d:Title": "Rotten Tomatoes: Sudden Death", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sudden_Death_-_1995", "url": "http://www.rottentomatoes.com/m/1068470-sudden_death/"} +{"d:Title": "IMDb: Sudden Death (1995)", "d:Description": "Plot outline, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sudden_Death_-_1995", "url": "http://www.imdb.com/title/tt0114576/"} +{"d:Title": "Internet Movie Database: Pl\u00f6tzliche Reichtum der armen Leute von Kombach, Der", "d:Description": "Cast and crew list, user ratings, and awards.", "topic": "Top/Arts/Movies/Titles/S/Sudden_Wealth_of_the_Poor_People_of_Kombach,_The", "url": "http://imdb.com/title/tt0067593/"} +{"d:Title": "IMDb: Sudie and Simpson", "d:Description": "Lists the films' cast and crew.", "topic": "Top/Arts/Movies/Titles/S/Sudie_and_Simpson", "url": "http://www.imdb.com/title/tt0100711/combined"} +{"d:Title": "HARO Online - Sugar and Spice", "d:Description": "Haro reviews \"Sugar and Spice.\" Rates it 'Not bad'.", "topic": "Top/Arts/Movies/Titles/S/Sugar_&_Spice", "url": "http://www.haro-online.com/movies/sugar_and_spice.html"} +{"d:Title": "All-Reviews.com - Sugar and Spice", "d:Description": "Reviews of the movie. Overall rating 1 1/2 stars.", "topic": "Top/Arts/Movies/Titles/S/Sugar_&_Spice", "url": "http://www.all-reviews.com/videos-2/sugar-and-spice.htm"} +{"d:Title": "Rotten Tomatoes - Sugar and Spice", "d:Description": "Reviews, synopsis, photos, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Sugar_&_Spice", "url": "http://www.rottentomatoes.com/m/sugar_and_spice/"} +{"d:Title": "Metacritic.com - Sugar and Spice", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sugar_&_Spice", "url": "http://www.metacritic.com/movie/sugar-spice"} +{"d:Title": "All-Reviews.com: Suicide Kings", "d:Description": "Reviews by Steve Rhodes and others. Overall Rating: 3 Stars out of 4.", "topic": "Top/Arts/Movies/Titles/S/Suicide_Kings", "url": "http://www.all-reviews.com/videos/suicide-kings.htm"} +{"d:Title": "IMDb: Suicide Kings", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Suicide_Kings", "url": "http://www.imdb.com/title/tt0120241/"} +{"d:Title": "TV Guide Online: Suicide Kings", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Suicide_Kings", "url": "http://www.tvguide.com/movies/suicide-kings/132699/"} +{"d:Title": "Greatest Films - Sullivan's Travels (1941)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Sullivan's_Travels", "url": "http://www.filmsite.org/sull.html"} +{"d:Title": "Sullivan's Travels", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Sullivan's_Travels", "url": "http://www.imdb.com/title/tt0034240/"} +{"d:Title": "TV Guide: Sullivan's Travels", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Sullivan's_Travels", "url": "http://www.tvguide.com/movies/sullivans-travels/126862/"} +{"d:Title": "HARO Online: Summer Catch", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Summer_Catch", "url": "http://www.haro-online.com/movies/summer_catch.html"} +{"d:Title": "All-Reviews.com: Summer Catch", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Summer_Catch", "url": "http://www.all-reviews.com/videos-3/summer-catch.htm"} +{"d:Title": "Rotten Tomatoes: Summer Catch", "d:Description": "Links to movie reviews, synopsis, multimedia, and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Summer_Catch", "url": "http://www.rottentomatoes.com/m/summer_catch/"} +{"d:Title": "Metacritic.com: Summer Catch", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Summer_Catch", "url": "http://www.metacritic.com/movie/summer-catch"} +{"d:Title": "PopMatters - Summer of Sam", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Summer_of_Sam", "url": "http://www.popmatters.com/film/reviews/s/summer-of-sam.html"} +{"d:Title": "All-Reviews.com- Summer of Sam (1999)", "d:Description": "Movie review and related information", "topic": "Top/Arts/Movies/Titles/S/Summer_of_Sam", "url": "http://www.all-reviews.com/videos/summerofsam.htm"} +{"d:Title": "HARO Online - Summer of Sam", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/S/Summer_of_Sam", "url": "http://www.haro-online.com/movies/summer_of_sam.html"} +{"d:Title": "Cosmopolis: Summer of Sam", "d:Description": "Movie review, pictures, and cast and crew biographies.", "topic": "Top/Arts/Movies/Titles/S/Summer_of_Sam", "url": "http://www.cosmopolis.ch/english/cosmo19/summer_of_sam.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews, articles and interviews, and trailers.", "topic": "Top/Arts/Movies/Titles/S/Summer_of_Sam", "url": "http://www.rottentomatoes.com/m/summer_of_sam/"} +{"d:Title": "IMDb - Summer of Sam (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Summer_of_Sam", "url": "http://www.imdb.com/title/tt0162677/"} +{"d:Title": "Variety review", "d:Description": "Informative early review from Variety", "topic": "Top/Arts/Movies/Titles/S/Summer_Palace", "url": "http://www.variety.com/index.asp?layout=features2006&content=jump&jump=review&dept=cannes&nav=RCannes&articleid=VE1117930547"} +{"d:Title": "Cannes Film Festival: Summer Palace", "d:Description": "With pictures, plot synopsis, videos, and news from the film's screening at the Cannes Film Festival.", "topic": "Top/Arts/Movies/Titles/S/Summer_Palace", "url": "http://www.festival-cannes.fr/en/archives/ficheFilm/id/4352475/year/2006.html"} +{"d:Title": "HARO Online: The Sum of All Fears", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Sum_of_All_Fears,_The", "url": "http://www.haro-online.com/movies/sum_of_all_fears.html"} +{"d:Title": "All-Reviews.com: The Sum Of All Fears", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sum_of_All_Fears,_The", "url": "http://www.all-reviews.com/videos-4/sum-of-all-fears.htm"} +{"d:Title": "Rotten Tomatoes: The Sum of All Fears", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sum_of_All_Fears,_The", "url": "http://www.rottentomatoes.com/m/sum_of_all_fears/"} +{"d:Title": "Jack Ryan Fansite", "d:Description": "News, production information, background of movie character Jack Ryan, cast and crew information, interviews, articles, and multimedia.", "topic": "Top/Arts/Movies/Titles/S/Sum_of_All_Fears,_The", "url": "http://jackryanfan.itgo.com/"} +{"d:Title": "The Sum of All Fears", "d:Description": "Interviews with director, producer and stars of the film.", "topic": "Top/Arts/Movies/Titles/S/Sum_of_All_Fears,_The", "url": "http://www.contactmusic.com/pages/philx06x08x02"} +{"d:Title": "Metacritic.com: The Sum of All Fears", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Sum_of_All_Fears,_The", "url": "http://www.metacritic.com/movie/the-sum-of-all-fears"} +{"d:Title": "Imdb", "d:Description": "Complete cast and crew listing, plot synopsis, memorable quotes, links to external reviews and miscellaneous sites.", "topic": "Top/Arts/Movies/Titles/S/Sum_of_Us,_The", "url": "http://www.imdb.com/title/tt0111309/"} +{"d:Title": "IMDb - Dimanches de ville d'Avray, Les (1962)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Sundays_and_Cybele", "url": "http://www.imdb.com/title/tt0055910/"} +{"d:Title": "IMDb: Girasoli, I (1970)", "d:Description": "Cast information, synopsis, and user reviews of Sunflower.", "topic": "Top/Arts/Movies/Titles/S/Sunflower", "url": "http://www.imdb.com/title/tt0065782/"} +{"d:Title": "Combustible Celluloid: Sunrise", "d:Description": "Jeff Coffy's review: \"an incredible achievement, and perhaps the greatest silent drama ever made.\"", "topic": "Top/Arts/Movies/Titles/S/Sunrise", "url": "http://www.combustiblecelluloid.com/sunrise.shtml"} +{"d:Title": "Greatest Films: Sunrise (1927)", "d:Description": "Tim Dirks' review: \"a silent-era melodramatic masterpiece - a beautiful, atmospheric, lyrical and poetic work of art\".", "topic": "Top/Arts/Movies/Titles/S/Sunrise", "url": "http://www.filmsite.org/sunr.html"} +{"d:Title": "About.com Review of \"Sunrise\" on DVD", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/S/Sunrise", "url": "http://homevideo.about.com/library/weekly/aafpr031203.htm"} +{"d:Title": "Greatest Films - Sunset Boulevard", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/S/Sunset_Boulevard", "url": "http://www.filmsite.org/suns.html"} +{"d:Title": "About.com: Sunset Boulevard", "d:Description": "DVD review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/S/Sunset_Boulevard", "url": "http://homevideo.about.com/library/weekly/aafpr112702.htm"} +{"d:Title": "Cosmopolis: Sunset Boulevard", "d:Description": "Review and comments.", "topic": "Top/Arts/Movies/Titles/S/Sunset_Boulevard", "url": "http://www.cosmopolis.ch/english/cosmo32/sunset_boulevard.htm"} +{"d:Title": "Sunset Boulevard (1950)", "d:Description": "Photographs, synopsis and review from Christina Lui and Karl Hill.", "topic": "Top/Arts/Movies/Titles/S/Sunset_Boulevard", "url": "http://www.eskimo.com/~noir/ftitles/sunset/"} +{"d:Title": "Sunset Boulevard", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Sunset_Boulevard", "url": "http://www.imdb.com/title/tt0043014/"} +{"d:Title": "Allreaders.com: Sunset Boulevard", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the William Holden film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Sunset_Boulevard", "url": "http://allreaders.com/movie-review-summary/sunset-boulevard-4616"} +{"d:Title": "TV Guide: Sunset Boulevard", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Sunset_Boulevard", "url": "http://www.tvguide.com/movies/sunset-blvd/119435/"} +{"d:Title": "All-Reviews.com - Sunset Park", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sunset_Park", "url": "http://www.all-reviews.com/videos-3/sunset-park.htm"} +{"d:Title": "IMDb - Sunset Park (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sunset_Park", "url": "http://www.imdb.com/title/tt0117784/"} +{"d:Title": "PopMatters - Sunshine", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_1999", "url": "http://popmatters.com/film/reviews/s/sunshine.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_1999", "url": "http://www.haro-online.com/movies/sunshine.html"} +{"d:Title": "About.com: Sunshine", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_1999", "url": "http://homevideo.about.com/library/blpick051701a.htm"} +{"d:Title": "IMDb - Sunshine", "d:Description": "Features cast, credits, and information about the film.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_1999", "url": "http://www.imdb.com/title/tt0145503/"} +{"d:Title": "Metacritic.com - Sunshine", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_1999", "url": "http://www.metacritic.com/movie/sunshine-2000"} +{"d:Title": "IMDb: Sunshine (2007)", "d:Description": "Photo gallery, videos, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_2007", "url": "http://www.imdb.com/title/tt0448134/"} +{"d:Title": "Rotten Tomatoes: Sunshine", "d:Description": "Review links, synopsis, critical consensus, mistakes, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_2007", "url": "http://www.rottentomatoes.com/m/sunshine/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing the plot, cast, production, box office performance, and critical reaction.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_2007", "url": "http://en.wikipedia.org/wiki/Sunshine_(2007_film)"} +{"d:Title": "IGN Movies: Sunshine", "d:Description": "News, photos, videos, message board, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_2007", "url": "http://www.ign.com/movies/sunshine/theater-734911"} +{"d:Title": "MovieWeb: Sunshine (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_-_2007", "url": "http://movieweb.com/movie/sunshine/"} +{"d:Title": "HARO Online: Sunshine State", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_State", "url": "http://www.haro-online.com/movies/sunshine_state.html"} +{"d:Title": "All-Reviews.com: Sunshine State", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_State", "url": "http://www.all-reviews.com/videos-4/sunshine-state.htm"} +{"d:Title": "Rotten Tomatoes: Sunshine State", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_State", "url": "http://www.rottentomatoes.com/m/sunshine_state/"} +{"d:Title": "IMDb: Sunshine State (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_State", "url": "http://www.imdb.com/title/tt0286179/"} +{"d:Title": "Chicago Sun-Times: Sunshine State", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Sunshine_State", "url": "http://www.rogerebert.com/reviews/sunshine-state-2002"} +{"d:Title": "HARO Online: Superbabies - Baby Geniuses 2", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Superbabies_-_Baby_Geniuses_2", "url": "http://www.haro-online.com/movies/superbabies.html"} +{"d:Title": "IMDb: SuperBabies - Baby Geniuses 2 (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Superbabies_-_Baby_Geniuses_2", "url": "http://www.imdb.com/title/tt0270846/"} +{"d:Title": "Rotten Tomatoes: Superbabies - Baby Geniuses 2", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Superbabies_-_Baby_Geniuses_2", "url": "http://www.rottentomatoes.com/m/super_babies_baby_geniuses_2/"} +{"d:Title": "TV Guide Online: Supercop", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/S/Supercop_Series/Supercop", "url": "http://www.tvguide.com/movies/supercop/129154/"} +{"d:Title": "Rotten Tomatoes: Supercop 2", "d:Description": "Review links, synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Supercop_Series/Supercop_2", "url": "http://www.rottentomatoes.com/m/supercop_2/"} +{"d:Title": "IMDb: Chao ji ji hua (1993)", "d:Description": "Cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Supercop_Series/Supercop_2", "url": "http://www.imdb.com/title/tt0106544/"} +{"d:Title": "BBC Films", "d:Description": "Review, by Almar Haflidason: \"The Kung Fu is all good, but little use is made of props or sets to showcase the moves that the talent involved are capable of.\" [Score: 2 out of 5]", "topic": "Top/Arts/Movies/Titles/S/Supercop_Series/Supercop_2", "url": "http://www.bbc.co.uk/films/2001/02/20/supercop_1993_review.shtml"} +{"d:Title": "Rotten Tomatoes: Superhero Movie", "d:Description": "Synopsis, cast members, photos, videos, news, showtimes, and review links.", "topic": "Top/Arts/Movies/Titles/S/Superhero_Movie", "url": "http://www.rottentomatoes.com/m/10004559-superhero/"} +{"d:Title": "Moviefone: Superhero Movie", "d:Description": "Showtimes, synopsis, cast, crew, trailers, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Superhero_Movie", "url": "http://www.moviefone.com/movie/superhero-movie/25202/main"} +{"d:Title": "IGN: Superhero Movie", "d:Description": "Photos, videos, news, message board, and a review (rating: 0.5 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Superhero_Movie", "url": "http://www.ign.com/movies/superhero-movie/theater-40209"} +{"d:Title": "MovieWeb: Superhero Movie (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/S/Superhero_Movie", "url": "http://movieweb.com/movie/superhero-movie/"} +{"d:Title": "Superman - The Movies", "d:Description": "Synopses of the films in the Christopher Reeve series.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies", "url": "http://www.supermantv.net/superman/movie.htm"} +{"d:Title": "Bill's Take on the Superman Movies", "d:Description": "One man's opinion of the film series.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies", "url": "http://www.angelfire.com/sd/Sdwars/Bill.html"} +{"d:Title": "Superman III.com", "d:Description": "Fan site with pictures and links.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies", "url": "http://www.supermaniii.com/"} +{"d:Title": "Caped Wonder", "d:Description": "A tribute to Christopher and Dana Reeve with rare images, trailers and other media from the Reeve movies.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies", "url": "http://www.capedwonder.com/"} +{"d:Title": "Superman in the Movies", "d:Description": "Reviews, synopses and critiques of the Christopher Reeve films as well as the Kirk Alyn serials.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies", "url": "http://www.supermanhomepage.com/movies.php"} +{"d:Title": "AllMovie: Superman", "d:Description": "Reviews, credits, and synopses for films in the Christopher Reeve series.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies", "url": "http://www.allmovie.com/movie/superman-film-series-v281438"} +{"d:Title": "WWWF Grudge Match: Khan vs. Lex Luthor", "d:Description": "The battle of evil geniuses: Lex Luthor vs. Star Trek's Khan in best-of-7 Stratego!", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_-_1978", "url": "http://www.grudge-match.com/History/khan-luthor.shtml"} +{"d:Title": "IMDb: Superman (1978)", "d:Description": "The Internet Movie Database includes plot outline, user comments, ratings, and cast overview.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_-_1978", "url": "http://www.imdb.com/title/tt0078346/"} +{"d:Title": "TV Guide Online: Superman", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_-_1978", "url": "http://www.tvguide.com/movies/superman/119467/"} +{"d:Title": "Superman Returns", "d:Description": "News and cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.killermovies.com/s/superman/"} +{"d:Title": "IMDb: Superman Returns", "d:Description": "Provides full cast and crew list, external reviews, plot summary, memorable quotes, merchandising links, filming locations, photo gallery and discussion board.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.imdb.com/title/tt0348150/"} +{"d:Title": "BoxOfficeMojo: Superman Returns", "d:Description": "Offers box office summary, articles, news, analysis, trailers and images.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.boxofficemojo.com/movies/?id=superman06.htm"} +{"d:Title": "Rotten Tomatoes: Superman Returns", "d:Description": "Reviews, synopsis, critical consensus, mistakes, credits, posters, photos, trailers, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.rottentomatoes.com/m/superman_returns/"} +{"d:Title": "HSX Prediction Market: Superman Returns", "d:Description": "Listing on the Hollywood Stock Exchange, a fantasy trading game.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.hsx.com/security/view/SPRMN"} +{"d:Title": "Superman Returns", "d:Description": "Official Warner Brothers website features film synopsis, video, and photos.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.warnerbros.com/superman-returns"} +{"d:Title": "JoBlo.com: Superman Returns", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.joblo.com/movies/database/2006/superman-returns/"} +{"d:Title": "IGN Movies: Superman Returns", "d:Description": "Photos, videos, reviews, preview, news, and features.", "topic": "Top/Arts/Movies/Titles/S/Superman_Movies/Superman_Returns", "url": "http://www.ign.com/movies/superman-returns/theater-33915"} +{"d:Title": "PopMatters - Supernova", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/S/Supernova_-_2000", "url": "http://www.popmatters.com/film/reviews/s/supernova.html"} +{"d:Title": "HARO Online - Supernova", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/S/Supernova_-_2000", "url": "http://haro-online.com/movies/supernova.html"} +{"d:Title": "All-Reviews.com - Supernova", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/S/Supernova_-_2000", "url": "http://www.all-reviews.com/videos/supernova.htm"} +{"d:Title": "Rotten Tomatoes: Supernova", "d:Description": "Reviews, news, articles, previews, and trailers of the film", "topic": "Top/Arts/Movies/Titles/S/Supernova_-_2000", "url": "http://www.rottentomatoes.com/m/1084878-supernova/"} +{"d:Title": "Metacritic.com - Supernova", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Supernova_-_2000", "url": "http://www.metacritic.com/movie/supernova"} +{"d:Title": "IMDb: Supernova", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/S/Supernova_-_2000", "url": "http://www.imdb.com/title/tt0134983/"} +{"d:Title": "TV Guide Online: Supernova", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Supernova_-_2000", "url": "http://www.tvguide.com/movies/supernova/133491/"} +{"d:Title": "All-Reviews.com - Superstar", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/S/Superstar", "url": "http://www.all-reviews.com/videos-2/superstar.htm"} +{"d:Title": "Rotten Tomatoes - Superstar", "d:Description": "Reviews, news, articles, cast information, biography, and preview of Superstar.", "topic": "Top/Arts/Movies/Titles/S/Superstar", "url": "http://www.rottentomatoes.com/m/1093874-superstar/"} +{"d:Title": "AllReaders.com: Superstar", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Superstar", "url": "http://allreaders.com/movie-review-summary/superstar-5973"} +{"d:Title": "Metacritic.com - Superstar", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Superstar", "url": "http://www.metacritic.com/movie/superstar"} +{"d:Title": "TV Guide Online: Superstar: The Life and Times of Andy Warhol", "d:Description": "Review, synopsis, and credits.", "topic": "Top/Arts/Movies/Titles/S/Superstar_-_The_Life_and_Times_of_Andy_Warhol", "url": "http://www.tvguide.com/movies/superstar-life-times-andy-warhol/128469/"} +{"d:Title": "IMDb: Superstar Female Serial Killer (2000)", "d:Description": "Full cast information, synopsis, and reviews from users.", "topic": "Top/Arts/Movies/Titles/S/Superstar_Female_Serial_Killer", "url": "http://www.imdb.com/title/tt0238639/"} +{"d:Title": "IMDb: Superstition (2001)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Superstition_-_2001", "url": "http://www.imdb.com/title/tt0292707/"} +{"d:Title": "Poliziotto superpi\u00f9 aka Super Fuzz", "d:Description": "Review by James Cochrane.", "topic": "Top/Arts/Movies/Titles/S/Super_Fuzz", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=53389_don_kirshner"} +{"d:Title": "Poliziotto superpi\u00f9 (1980)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Super_Fuzz", "url": "http://www.imdb.com/title/tt0082924/"} +{"d:Title": "Washington Post.com: Super Mario Bros.", "d:Description": "Movie review by Hal Hinson.", "topic": "Top/Arts/Movies/Titles/S/Super_Mario_Bros.", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/supermariobrospghinson_a0a81b.htm"} +{"d:Title": "Rotten Tomatoes: Super Mario Bros.", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Super_Mario_Bros.", "url": "http://www.rottentomatoes.com/m/super_mario_bros/"} +{"d:Title": "The Mushroom Kingdom: Super Mario Bros.", "d:Description": "Overview, downloads, and reader reviews.", "topic": "Top/Arts/Movies/Titles/S/Super_Mario_Bros.", "url": "http://www.themushroomkingdom.net/mania/smbmovie.shtml"} +{"d:Title": "IMDb: Super Mario Bros. (1993)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Super_Mario_Bros.", "url": "http://www.imdb.com/title/tt0108255/"} +{"d:Title": "Super Size Me (2004)", "d:Description": "Provides brief description of documentary, comments, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/S/Super_Size_Me", "url": "http://www.imdb.com/title/tt0390521/"} +{"d:Title": "HARO Online: Super Size Me", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Super_Size_Me", "url": "http://www.haro-online.com/movies/super_size_me.html"} +{"d:Title": "Rotten Tomatoes: Super Size Me (2004)", "d:Description": "Provides reviews from critics and audiences. Also includes movie information, trailer, news, and forum.", "topic": "Top/Arts/Movies/Titles/S/Super_Size_Me", "url": "http://www.rottentomatoes.com/m/super_size_me/"} +{"d:Title": "Rotten Tomatoes: Super Sucker", "d:Description": "Links to reviews, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Super_Sucker", "url": "http://www.rottentomatoes.com/m/super_sucker/"} +{"d:Title": "IMDb: Super Sucker (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Super_Sucker", "url": "http://www.imdb.com/title/tt0293624/"} +{"d:Title": "HARO Online: Super Troopers", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Super_Troopers", "url": "http://www.haro-online.com/movies/super_troopers.html"} +{"d:Title": "All-Reviews.com: Super Troopers", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Super_Troopers", "url": "http://www.all-reviews.com/videos-4/super-troopers.htm"} +{"d:Title": "DVDwolf: Super Troopers", "d:Description": "Movie review and DVD information.", "topic": "Top/Arts/Movies/Titles/S/Super_Troopers", "url": "http://www.dvdwolf.com/Reviews/S/Super_Troopers.htm"} +{"d:Title": "Rotten Tomatoes: Super Troopers", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Super_Troopers", "url": "http://www.rottentomatoes.com/m/super_troopers/"} +{"d:Title": "IMDb: Super Troopers (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Super_Troopers", "url": "http://www.imdb.com/title/tt0247745/"} +{"d:Title": "Metacritic.com: Super Troopers", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Super_Troopers", "url": "http://www.metacritic.com/movie/super-troopers"} +{"d:Title": "PopEntertainment.com: The Sure Thing", "d:Description": "Jay S. Jacobs reviews the classic John Cusack / Daphne Zuniga romantic comedy.", "topic": "Top/Arts/Movies/Titles/S/Sure_Thing,_The", "url": "http://www.popentertainment.com/surething.htm"} +{"d:Title": "The 80's Movies Rewind: The Sure Thing", "d:Description": "Information, pictures, trivia, locations and reviews about the comedy.", "topic": "Top/Arts/Movies/Titles/S/Sure_Thing,_The", "url": "http://www.fast-rewind.com/surething.htm"} +{"d:Title": "Rottentomatoes.com: The Sure Thing (1985)", "d:Description": "John Cusack, Daphne Zuniga, Anthony Edwards, Rob Reiner - reviews from the nation's top critics and audiences. Also includes movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sure_Thing,_The", "url": "http://www.rottentomatoes.com/m/sure_thing/"} +{"d:Title": "IMDB: The Sure Thing (1985)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers, posters, photos and fan sites.", "topic": "Top/Arts/Movies/Titles/S/Sure_Thing,_The", "url": "http://www.imdb.com/title/tt0090103/combined"} +{"d:Title": "DVD Talk Reviews: The Sure Thing - Special Edition", "d:Description": "News and information about DVDs including - reviews, bargains, release schedules, coupons, and discussion areas.", "topic": "Top/Arts/Movies/Titles/S/Sure_Thing,_The", "url": "http://www.dvdtalk.com/reviews/6880/sure-thing-special-edition-the/"} +{"d:Title": "Rotten Tomatoes: Surf's Up", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Surf's_Up", "url": "http://www.rottentomatoes.com/m/surfs_up/"} +{"d:Title": "IMDb: Surf's Up (2007)", "d:Description": "Plot, cast list, quotes, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Surf's_Up", "url": "http://www.imdb.com/title/tt0423294/"} +{"d:Title": "IGN Movies: Surf's Up", "d:Description": "Review, box office data, screenshots, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Surf's_Up", "url": "http://www.ign.com/movies/surfs-up/theater-858084"} +{"d:Title": "IMDb: Surfbroads", "d:Description": "Offers overview, cast and information.", "topic": "Top/Arts/Movies/Titles/S/Surfbroads", "url": "http://www.imdb.com/title/tt0299169/"} +{"d:Title": "IMDb.com - Surveillance (2000)", "d:Description": "Cast/crew information, user comments and ratings, with additional background details.", "topic": "Top/Arts/Movies/Titles/S/Surveillance", "url": "http://www.imdb.com/title/tt0261336/"} +{"d:Title": "IMDb.com: Surviving Christmas (2004)", "d:Description": "Contains cast and crew, plot summary, user comments, and a trailer.", "topic": "Top/Arts/Movies/Titles/S/Surviving_Christmas", "url": "http://imdb.com/title/tt0252028/"} +{"d:Title": "WaffleMovies.com", "d:Description": "[1/4] Review by Willie Waffle. \"It's not dreadful, but it ain't giving me the Christmas cheer either.\"", "topic": "Top/Arts/Movies/Titles/S/Surviving_Christmas", "url": "http://www.wafflemovies.com/survivingchristmas.html"} +{"d:Title": "Three Movie Buffs", "d:Description": "[2/5] Review by Scott. \"Surviving Christmas is a cute, if schizophrenic, little holiday movie that's not helped by being released too early.\" Also contains cast and crew and movie stills.", "topic": "Top/Arts/Movies/Titles/S/Surviving_Christmas", "url": "http://www.threemoviebuffs.com/review.php?movieID=survivingchristmas"} +{"d:Title": "IMDb: Surviving Paradise (2000)", "d:Description": "Plot description, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Surviving_Paradise", "url": "http://www.imdb.com/title/tt0216250/"} +{"d:Title": "All-Reviews.com: Surviving Picasso", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/S/Surviving_Picasso", "url": "http://www.all-reviews.com/videos-3/surviving-picasso.htm"} +{"d:Title": "IMDb: Surviving Picasso", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Surviving_Picasso", "url": "http://www.imdb.com/title/tt0117791/"} +{"d:Title": "IMDb: Susan's Plan (1998)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Susan's_Plan", "url": "http://www.imdb.com/title/tt0145505/"} +{"d:Title": "All-Reviews.com - suspect", "d:Description": "Review of the movie", "topic": "Top/Arts/Movies/Titles/S/Suspect", "url": "http://www.all-reviews.com/videos-2/suspect.htm"} +{"d:Title": "IMDb.com - Suspect (1987)", "d:Description": "Cast/crew information, ratings, comments, summary, and other related information.", "topic": "Top/Arts/Movies/Titles/S/Suspect", "url": "http://www.imdb.com/title/tt0094082/"} +{"d:Title": "HARO Online: Suspect Zero", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Suspect_Zero", "url": "http://www.haro-online.com/movies/suspect_zero.html"} +{"d:Title": "IMDb: Suspect Zero (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/S/Suspect_Zero", "url": "http://www.imdb.com/title/tt0324127/"} +{"d:Title": "Rotten Tomatoes: Suspect Zero", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/S/Suspect_Zero", "url": "http://www.rottentomatoes.com/m/suspect_zero/"} +{"d:Title": "Chicago Sun-Times: Suspect Zero", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Suspect_Zero", "url": "http://www.rogerebert.com/reviews/suspect-zero-2004"} +{"d:Title": "Images: Suspiria", "d:Description": "Detailed review by Robert Firsching.", "topic": "Top/Arts/Movies/Titles/S/Suspiria", "url": "http://www.imagesjournal.com/issue05/infocus/suspiria.htm"} +{"d:Title": "The Zone: Suspiria", "d:Description": "James Starkey reviews the film and awards it four stars.", "topic": "Top/Arts/Movies/Titles/S/Suspiria", "url": "http://www.zone-sf.com/suspiria.html"} +{"d:Title": "Suspiria (1977)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/S/Suspiria", "url": "http://www.imdb.com/title/tt0076786/"} +{"d:Title": "Kinoeye: Suspiria", "d:Description": "Academic analysis by Linda Schulte-Sasse.", "topic": "Top/Arts/Movies/Titles/S/Suspiria", "url": "http://www.kinoeye.org/02/11/schultesasse11.php"} +{"d:Title": "NotComing.com: Suspiria", "d:Description": "Review by Rumsey Taylor.", "topic": "Top/Arts/Movies/Titles/S/Suspiria", "url": "http://www.notcoming.com/reviews/suspiria/"} +{"d:Title": "All Movie Guide: Suspiria", "d:Description": "Plot synopsis, production credits, review, and a list of similar films.", "topic": "Top/Arts/Movies/Titles/S/Suspiria", "url": "http://www.allmovie.com/movie/suspiria-v48031"} +{"d:Title": "Something Jewish: Suzie Gold", "d:Description": "Articles, multimedia, and a guide to the Yiddish used in the movie.", "topic": "Top/Arts/Movies/Titles/S/Suzie_Gold", "url": "http://www.somethingjewish.co.uk/suzie_gold/"} +{"d:Title": "IMDb: Suzie Gold (2004)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/S/Suzie_Gold", "url": "http://www.imdb.com/title/tt0348155/"} +{"d:Title": "Future Movies: Suzie Gold", "d:Description": "Review by Ed Colley.", "topic": "Top/Arts/Movies/Titles/S/Suzie_Gold", "url": "https://www.futuremovies.co.uk/reviews/suzie-gold/ed-colley"} +{"d:Title": "IMDb: Sweeney Todd", "d:Description": "Includes full cast list, trivia, plot summary, film synopsis, soundtrack listing, movie connections, FAQ, news articles, filming locations and discussion board.", "topic": "Top/Arts/Movies/Titles/S/Sweeney_Todd", "url": "http://www.imdb.com/title/tt0408236/"} +{"d:Title": "Wikipedia: Sweeney Todd", "d:Description": "Offers plot summary, production notes, cast, references and external links.", "topic": "Top/Arts/Movies/Titles/S/Sweeney_Todd", "url": "http://en.wikipedia.org/wiki/Sweeney_Todd_(2007_film)"} +{"d:Title": "BoxOfficeMojo: Sweeney Todd", "d:Description": "Offers box office details, articles, news and analysis.", "topic": "Top/Arts/Movies/Titles/S/Sweeney_Todd", "url": "http://www.boxofficemojo.com/movies/?id=sweeneytodd.htm"} +{"d:Title": "The Sweetest Thing (2002): Cameron Diaz, Christina Applegate, Selma Blair.", "d:Description": "Review by Todd R. Ramlow.", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.popmatters.com/film/reviews/s/sweetest-thing.html"} +{"d:Title": "HARO Online: The Sweetest Thing", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.haro-online.com/movies/sweetest_thing.html"} +{"d:Title": "All-Reviews.com: The Sweetest Thing", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://all-reviews.com/videos-4/sweetest-thing.htm"} +{"d:Title": "Film in America.com: The Sweetest Thing", "d:Description": "Features filming locations, cast overview, and links.", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.filminamerica.com/Movies/TheSweetestThing/"} +{"d:Title": "Rotten Tomatoes: The Sweetest Thing", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.rottentomatoes.com/m/sweetest_thing/"} +{"d:Title": "Cinema.com: The Sweetest Thing", "d:Description": "Includes production notes, synopsis, trailers for the movie \"The Sweetest Thing\"", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.cinema.com/film/5679/sweetest-thing/trailers.phtml"} +{"d:Title": "Sony.com: The Sweetest Thing", "d:Description": "Official website. Provides trailer of the movie and a game (flash required).", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.sonypictures.com/movies/thesweetestthing/"} +{"d:Title": "IMDb.com: The Sweetest Thing (2002)", "d:Description": "Includes cast overview, pictures, and trailer.", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.imdb.com/title/tt0253867/"} +{"d:Title": "Metacritic.com: The Sweetest Thing", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Sweetest_Thing,_The", "url": "http://www.metacritic.com/movie/the-sweetest-thing"} +{"d:Title": "PopMatters", "d:Description": "Review of Sweet and Lowdown", "topic": "Top/Arts/Movies/Titles/S/Sweet_and_Lowdown", "url": "http://popmatters.com/film/reviews/s/sweet-and-lowdown.html"} +{"d:Title": "HARO Online - Sweet and Lowdown", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/S/Sweet_and_Lowdown", "url": "http://www.haro-online.com/movies/sweet_and_lowdown.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers of Sweet and Lowdown.", "topic": "Top/Arts/Movies/Titles/S/Sweet_and_Lowdown", "url": "http://www.rottentomatoes.com/m/sweet_and_lowdown/"} +{"d:Title": "IMDb - Sweet and Lowdown", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Sweet_and_Lowdown", "url": "http://www.imdb.com/title/tt0158371/"} +{"d:Title": "Metacritic.com - Sweet and Lowdown", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sweet_and_Lowdown", "url": "http://www.metacritic.com/movie/sweet-and-lowdown"} +{"d:Title": "Sweet Charity (1969)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Charity", "url": "http://imdb.com/title/tt0065054/"} +{"d:Title": "Movierapture - Sweet Charity (1969)", "d:Description": "Review (mixed) by Keith Allen, rating.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Charity", "url": "http://www.movierapture.com/sweetcharity.htm"} +{"d:Title": "All-Reviews.com: The Sweet Hereafter", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Hereafter,_The", "url": "http://all-reviews.com/videos-4/sweet-hereafter.htm"} +{"d:Title": "Rotten Tomatoes: The Sweet Hereafter", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Hereafter,_The", "url": "http://www.rottentomatoes.com/m/sweet_hereafter/"} +{"d:Title": "IMDb: The Sweet Hereafter (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Hereafter,_The", "url": "http://www.imdb.com/title/tt0120255/"} +{"d:Title": "HARO Online: Sweet Home Alabama", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Home_Alabama", "url": "http://www.haro-online.com/movies/sweet_home_alabama.html"} +{"d:Title": "All-Reviews.com - Sweet Home Alabama", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Home_Alabama", "url": "http://all-reviews.com/videos-4/sweet-home-alabama.htm"} +{"d:Title": "Rotten Tomatoes: Sweet Home Alabama", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Home_Alabama", "url": "http://www.rottentomatoes.com/m/sweet_home_alabama/"} +{"d:Title": "IMDb: Sweet Home Alabama (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Home_Alabama", "url": "http://www.imdb.com/title/tt0256415/"} +{"d:Title": "Chicago Sun-Times: Sweet Home Alabama", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Home_Alabama", "url": "http://www.rogerebert.com/reviews/sweet-home-alabama-2002"} +{"d:Title": "PopMatters - Sweet November", "d:Description": "Detailed review of the film and nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/S/Sweet_November", "url": "http://popmatters.com/film/reviews/s/sweet-november.html"} +{"d:Title": "HARO Online - Sweet November", "d:Description": "Haro reviews the movie. Rates it 'pretty bad'.", "topic": "Top/Arts/Movies/Titles/S/Sweet_November", "url": "http://www.haro-online.com/movies/sweet_november.html"} +{"d:Title": "All-Reviews.com - Sweet November", "d:Description": "Multiple reviewers rate this film 2/4 stars.", "topic": "Top/Arts/Movies/Titles/S/Sweet_November", "url": "http://www.all-reviews.com/videos-2/sweet-november.htm"} +{"d:Title": "Rotten Tomatoes: Sweet November", "d:Description": "Contains links to review, a cast list, and synopsis.", "topic": "Top/Arts/Movies/Titles/S/Sweet_November", "url": "http://www.rottentomatoes.com/m/1104841-sweet_november/"} +{"d:Title": "IMDb.com - Sweet November (2001)", "d:Description": "Cast/crew information, synopsis, user comments and ratings.", "topic": "Top/Arts/Movies/Titles/S/Sweet_November", "url": "http://www.imdb.com/title/tt0230838/"} +{"d:Title": "Metacritic.com - Sweet November", "d:Description": "A cross-section of reviews from the country's top critics crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Sweet_November", "url": "http://www.metacritic.com/movie/sweet-november"} +{"d:Title": "IMDb - Sweet Rosie O'Grady (1943)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Rosy_O'Grady_-_1943", "url": "http://www.imdb.com/title/tt0036406/"} +{"d:Title": "TV Guide: Sweet Rosy O'Grady", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Rosy_O'Grady_-_1943", "url": "http://www.tvguide.com/movies/sweet-rosie-ogrady/119571/"} +{"d:Title": "Sweet Sixteen", "d:Description": "Synopsis and cast information.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Sixteen", "url": "http://www.reddirt.com/six.html"} +{"d:Title": "Rogerebert.com: Sweet Smell of Success", "d:Description": "Roger Ebert's review: \"one of those rare films where you remember the names of the characters because you remember them--as people, as types, as benchmarks.\"", "topic": "Top/Arts/Movies/Titles/S/Sweet_Smell_of_Success", "url": "http://www.rogerebert.com/reviews/great-movie-the-sweet-smell-of-success-1957"} +{"d:Title": "IMDb: Sweet Smell of Success (1957)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Smell_of_Success", "url": "http://www.imdb.com/title/tt0051036/"} +{"d:Title": "Metacritic.com: Sweet Smell of Success", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Smell_of_Success", "url": "http://www.metacritic.com/movie/sweet-smell-of-success-re-release"} +{"d:Title": "Sweet Thing", "d:Description": "Official site with synopsis, photographs, cast and crew, reviews, and contact information.", "topic": "Top/Arts/Movies/Titles/S/Sweet_Thing", "url": "http://www.roguearts.com/sweetthing/"} +{"d:Title": "Ian McKellen: Swept from the Sea", "d:Description": "Details about the film from one of the actors perspectives.", "topic": "Top/Arts/Movies/Titles/S/Swept_from_the_Sea", "url": "http://www.mckellen.com/cinema/swept/"} +{"d:Title": "IMDB: Swept from the Sea (1997)", "d:Description": "Cast and crew list. Plot summary and comments about the drama/romance film.", "topic": "Top/Arts/Movies/Titles/S/Swept_from_the_Sea", "url": "http://www.imdb.com/title/tt0120257/"} +{"d:Title": "IMDb: Swimfan", "d:Description": "Plot summary, cast and crew, reviews, user ratings and comments, production and distribution information, multimedia, trivia, and links.", "topic": "Top/Arts/Movies/Titles/S/Swimfan", "url": "http://imdb.com/title/tt0283026/"} +{"d:Title": "Rotten Tomatoes: Swimfan", "d:Description": "Reviews, synopsis, critical consensus, mistakes, credits, photos, trailers, box office numbers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/S/Swimfan", "url": "http://www.rottentomatoes.com/m/swimfan/"} +{"d:Title": "Yahoo! Movies: Swimfan", "d:Description": "Details, cast and credits, trailers, reviews, production photos, links, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Swimfan", "url": "http://movies.yahoo.com/movie/swimfan/"} +{"d:Title": "MovieWeb: Swimfan (2002)", "d:Description": "Summary, reviews, videos, photos, and box office data.", "topic": "Top/Arts/Movies/Titles/S/Swimfan", "url": "http://movieweb.com/movie/swimfan/"} +{"d:Title": "Rotten Tomatoes: The Swimmer", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Swimmer,_The", "url": "http://www.rottentomatoes.com/m/swimmer/"} +{"d:Title": "IMDb: The Swimmer (1968)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Swimmer,_The", "url": "http://www.imdb.com/title/tt0063663/"} +{"d:Title": "Swimming", "d:Description": "Official site. Trailer, pictures, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Swimming_-_2000", "url": "http://www.swimmingthemovie.com/"} +{"d:Title": "Rotten Tomatoes: Swimming", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Swimming_-_2000", "url": "http://www.rottentomatoes.com/m/1117190-swimming/"} +{"d:Title": "IMDb: Swimming (2000)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Swimming_-_2000", "url": "http://www.imdb.com/title/tt0202711/"} +{"d:Title": "Metacritic.com: Swimming", "d:Description": "Quotes from and links to reviews of the film, as well as an overall rating.", "topic": "Top/Arts/Movies/Titles/S/Swimming_-_2000", "url": "http://www.metacritic.com/movie/swimming"} +{"d:Title": "Hollywood Bitchslap: Swimming Pool", "d:Description": "Review calls the film sensual and intriguing.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://hollywoodbitchslap.com/review.php?movie=7810&reviewer=67"} +{"d:Title": "BBC Films: Review - Swimming Pool", "d:Description": "Charlotte Rampling plays an English crime novelist in this atmospheric English-language thriller from '8 Women' director Francois Ozon.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.bbc.co.uk/films/2003/07/25/swimming_pool_2003_review.shtml"} +{"d:Title": "Popentertainment.com: Swimming Pool", "d:Description": "Jay S. Jacobs gives a positive appraisal to the Charlotte Rampling/Ludivine Sagnier film.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.popentertainment.com/swimmingpool.htm"} +{"d:Title": "Coldbacon: Swimming Pool", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.coldbacon.com/movies/swimmingpool.html"} +{"d:Title": "Rottentomatoes.com: Swimming Pool", "d:Description": "Interview with director Francois Ozon, reviews from the top critics and audiences, movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.rottentomatoes.com/m/swimming_pool/"} +{"d:Title": "View London: Swimming Pool", "d:Description": "Critic Matthew Turner calls the film beautifully shot, well-acted, erotic, amusing and sinister.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.viewlondon.co.uk/films/swimming-pool-film-review-4663.html"} +{"d:Title": "Yahoo! Movies: Swimming Pool (2003)", "d:Description": "Synopsis, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://movies.yahoo.com/movie/swimming-pool/"} +{"d:Title": "IMDb: Swimming Pool", "d:Description": "Synopsis, cast and crew, user comments, and message board.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.imdb.com/title/tt0324133/"} +{"d:Title": "Chicago Sun-Times: Swimming Pool", "d:Description": "Roger Ebert's positive take on Francois Ozon's mystery drama.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.rogerebert.com/reviews/swimming-pool-2003"} +{"d:Title": "Slate: The Breast Stroke", "d:Description": "Critic David Edelstein gives the French suspense film a rather lukewarm review.", "topic": "Top/Arts/Movies/Titles/S/Swimming_Pool", "url": "http://www.slate.com/articles/arts/movies/2003/07/the_breast_stroke.html"} +{"d:Title": "IMDb: Swimming with Sharks (1994)", "d:Description": "Cast and crew, reviews, plot summary, trivia and related links.", "topic": "Top/Arts/Movies/Titles/S/Swimming_with_Sharks", "url": "http://imdb.com/title/tt0114594/"} +{"d:Title": "Allreaders.com: Swimming with Sharks", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Swimming_with_Sharks", "url": "http://allreaders.com/movie-review-summary/swimming-with-sharks-4038"} +{"d:Title": "The Swingers Rules", "d:Description": "Rules to follow from the movie Swingers", "topic": "Top/Arts/Movies/Titles/S/Swingers", "url": "http://www.angelfire.com/pa/205/links0.html"} +{"d:Title": "Metacritic.com - Swingers", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Swingers", "url": "http://www.metacritic.com/movie/swingers"} +{"d:Title": "IMDB: Swingers (1996)", "d:Description": "Information from the Internet Movie Database for the 1996 film Swingers", "topic": "Top/Arts/Movies/Titles/S/Swingers", "url": "http://www.imdb.com/title/tt0117802/"} +{"d:Title": "Allreaders Review - Swingers", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Heather Graham film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Swingers", "url": "http://allreaders.com/movie-review-summary/swingers-3867"} +{"d:Title": "TV Guide Online: Swingers", "d:Description": "Includes a brief review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Swingers", "url": "http://www.tvguide.com/movies/swingers/131562/"} +{"d:Title": "IMDb - The Swinging Cheerleaders (1974)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Swinging_Cheerleaders,_The", "url": "http://www.imdb.com/title/tt0072236/"} +{"d:Title": "Hot Club Swing Kids Page", "d:Description": "Fan site, which includes cast information, collected reviews, quotations from the film, and images.", "topic": "Top/Arts/Movies/Titles/S/Swing_Kids", "url": "http://www.angelfire.com/movies/swingkids/"} +{"d:Title": "The Swing Kids WebRing", "d:Description": "A webring of sitesrelated to the film.", "topic": "Top/Arts/Movies/Titles/S/Swing_Kids", "url": "http://www.webring.org/hub?ring=balehead"} +{"d:Title": "IMDb: Swing Kids", "d:Description": "Cast and crew information. Includes comments submitted by site users.", "topic": "Top/Arts/Movies/Titles/S/Swing_Kids", "url": "http://www.imdb.com/title/tt0108265/"} +{"d:Title": "Sun Times: Swing Kids", "d:Description": "Very negative review of the film by critic Roger Ebert. Critical of the film's treatment of Nazism and the war.", "topic": "Top/Arts/Movies/Titles/S/Swing_Kids", "url": "http://www.rogerebert.com/reviews/swing-kids-1993"} +{"d:Title": "TV Guide Online: Swing Kids", "d:Description": "Negative review of the film. Includes cast and crew information.", "topic": "Top/Arts/Movies/Titles/S/Swing_Kids", "url": "http://www.tvguide.com/movies/swing-kids/review/129482/"} +{"d:Title": "Rotten Tomatoes: Swing Vote", "d:Description": "Photos, videos, news, synopsis, cast members, showtimes, review links, and a message board.", "topic": "Top/Arts/Movies/Titles/S/Swing_Vote", "url": "http://www.rottentomatoes.com/m/swing_vote/"} +{"d:Title": "RogerEbert.com: Swing Vote", "d:Description": "Review, by Roger Ebert: \"The movie is a genial comedy, but it has significant undertones. Like some of Frank Capra's pictures.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Swing_Vote", "url": "http://www.rogerebert.com/reviews/swing-vote-2008"} +{"d:Title": "TV Guide: Swing Vote", "d:Description": "Review, by Maitland McDonagh: \"Hopelessly muddled film cries out for the firm hand of a dyed-in-the-wool cynic like Billy Wilder, who would have put some teeth in its jabs at amoral politicians and blindly ambitious journalists.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Swing_Vote", "url": "http://www.tvguide.com/movies/swing-vote/review/293504/"} +{"d:Title": "The Boston Globe: Swing Vote", "d:Description": "Review, by Wesley Morris: \"Swing Vote is a satire that's afraid to satirize.\" [Rating: 1.5 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Swing_Vote", "url": "http://archive.boston.com/ae/movies/articles/2008/08/01/swing_vote_an_election_for_dummies/"} +{"d:Title": "IGN: Swing Vote", "d:Description": "Videos, photos, news, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/S/Swing_Vote", "url": "http://www.ign.com/movies/swing-vote/theater-949148"} +{"d:Title": "ReelViews: Swing Vote", "d:Description": "Review, by James Berardinelli: \"Swing Vote marries mild satire with Capra-esque melodrama in a formula that works surprisingly well.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/S/Swing_Vote", "url": "http://www.reelviews.net/reelviews/swing-vote"} +{"d:Title": "All-Reviews.com: Swiss Family Robinson", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/S/Swiss_Family_Robinson_-_1960", "url": "http://www.all-reviews.com/videos-3/swiss-family-robinson.htm"} +{"d:Title": "Rotten Tomatoes: Swiss Family Robinson", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/S/Swiss_Family_Robinson_-_1960", "url": "http://www.rottentomatoes.com/m/1020736-swiss_family_robinson/"} +{"d:Title": "IMDb: Swiss Family Robinson (1960)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Swiss_Family_Robinson_-_1960", "url": "http://www.imdb.com/title/tt0054357/"} +{"d:Title": "PopMatters - Swordfish", "d:Description": "Review and analysis of the film.", "topic": "Top/Arts/Movies/Titles/S/Swordfish", "url": "http://popmatters.com/film/reviews/s/swordfish1.html"} +{"d:Title": "Rotten Tomatoes - Swordfish", "d:Description": "Synopsis, cast/crew information, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/S/Swordfish", "url": "http://www.rottentomatoes.com/m/swordfish/"} +{"d:Title": "IMDb.com - Swordfish (2001)", "d:Description": "Cast/crew information, synopsis, user comments, ratings and other background details.", "topic": "Top/Arts/Movies/Titles/S/Swordfish", "url": "http://www.imdb.com/title/tt0244244/"} +{"d:Title": "Swordfish", "d:Description": "Analytical review of the plot, setting, theme, and structure of the John Travolta film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/S/Swordfish", "url": "http://allreaders.com/movie-review-summary/swordfish-6472"} +{"d:Title": "Metacritic.com - Swordfish", "d:Description": "A cross-section of reviews from the country's top critics, crystallized in a metascore.", "topic": "Top/Arts/Movies/Titles/S/Swordfish", "url": "http://www.metacritic.com/movie/swordfish"} +{"d:Title": "Swordfish", "d:Description": "Official site providing information on the plot, cast and crew, image gallery, trailer and soundtrack.", "topic": "Top/Arts/Movies/Titles/S/Swordfish", "url": "http://www.warnerbros.com/swordfish"} +{"d:Title": "IMDb - Swordsman II (Xiao ao jiang hu zhi dong fang bu bai) (1991)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/S/Swordsman_Series/Swordsman_II", "url": "http://www.imdb.com/title/tt0103295/"} +{"d:Title": "Rotten Tomatoes: Sybil (1977)", "d:Description": "Features reviews from critics, story synopsis, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/S/Sybil", "url": "http://www.rottentomatoes.com/m/sybil/"} +{"d:Title": "IMDB: Sybil", "d:Description": "Internet Movie Database entry for the made for television film Sybil.", "topic": "Top/Arts/Movies/Titles/S/Sybil", "url": "http://www.imdb.com/title/tt0075296/"} +{"d:Title": "HARO Online: Sylvia", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/S/Sylvia_-_2003", "url": "http://www.haro-online.com/movies/sylvia.html"} +{"d:Title": "MovieMartyr.com - Sylvia", "d:Description": "Review of the movie by Jeremy Heilman.", "topic": "Top/Arts/Movies/Titles/S/Sylvia_-_2003", "url": "http://www.moviemartyr.com/2003/sylvia.htm"} +{"d:Title": "All-Reviews.com - Sylvia", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/S/Sylvia_-_2003", "url": "http://www.all-reviews.com/videos-5/sylvia.htm"} +{"d:Title": "Internet Movie Database: Sylvia (2003)", "d:Description": "Plot synopsis, cast and crew, reviews, user ratings and comments, production and distribution information, trivia, photographs, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/S/Sylvia_-_2003", "url": "http://www.imdb.com/title/tt0325055/"} +{"d:Title": "Future Movies: Sylvia", "d:Description": "A negative review by Ed Colley, with pictures and trailer link.", "topic": "Top/Arts/Movies/Titles/S/Sylvia_-_2003", "url": "https://www.futuremovies.co.uk/reviews/sylvia/ed-colley"} +{"d:Title": "All-Reviews.com: Synthetic Pleasures", "d:Description": "Review of the documentary.", "topic": "Top/Arts/Movies/Titles/S/Synthetic_Pleasures", "url": "http://www.all-reviews.com/videos-3/synthetic-pleasures.htm"} +{"d:Title": "IMDb: Synthetic Pleasures (1995)", "d:Description": "Plot description, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/S/Synthetic_Pleasures", "url": "http://www.imdb.com/title/tt0114597/"} +{"d:Title": "Wikipedia - Syriana", "d:Description": "Hyperlinked encyclopedia article about the film by Stephen Gaghan, based on Bob Baer's book \"See No Evil\".", "topic": "Top/Arts/Movies/Titles/S/Syriana", "url": "http://en.wikipedia.org/wiki/Syriana"} +{"d:Title": "Rotten Tomatoes - Syriana (2005)", "d:Description": "Collection of reviews along with information about the movie and cast.", "topic": "Top/Arts/Movies/Titles/S/Syriana", "url": "http://www.rottentomatoes.com/m/syriana/"} +{"d:Title": "IMDb - Syriana (2005)", "d:Description": "Provides information including synopsis, reviews, cast and crew. Includes links to official and fan sites.", "topic": "Top/Arts/Movies/Titles/S/Syriana", "url": "http://www.imdb.com/title/tt0365737/"} +{"d:Title": "Yahoo! Movies - Syriana", "d:Description": "Provides news, photos, trailers and reviews.", "topic": "Top/Arts/Movies/Titles/S/Syriana", "url": "http://movies.yahoo.com/movie/syriana/"} +{"d:Title": "Guardian Unlimited Film - How a Heart-Throb Became the Voice of Liberal America", "d:Description": "\"George Clooney was adamant about one thing last week: he was not attacking the President in his gripping new film about the Middle East - he was slamming the entire geopolitical system.\"", "topic": "Top/Arts/Movies/Titles/S/Syriana", "url": "http://www.theguardian.com/film/2005/nov/27/awardsandprizes.usa"} +{"d:Title": "Metacritic - Syriana (2005)", "d:Description": "Collection of reviews plus metascore and user rating.", "topic": "Top/Arts/Movies/Titles/S/Syriana", "url": "http://www.metacritic.com/movie/syriana"} +{"d:Title": "T-Men (1947)", "d:Description": "Cast, crew and plot summary from the Internet Movie database.", "topic": "Top/Arts/Movies/Titles/T/T-Men", "url": "http://www.imdb.com/title/tt0039881/"} +{"d:Title": "AllMovie: T-Men", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/T/T-Men", "url": "http://www.allmovie.com/movie/t-men-v48286"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Table_One", "url": "http://imdb.com/title/tt0215232/"} +{"d:Title": "HARO Online: Tadpole", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Tadpole", "url": "http://www.haro-online.com/movies/tadpole.html"} +{"d:Title": "Rotten Tomatoes: Tadpole", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Tadpole", "url": "http://www.rottentomatoes.com/m/tadpole/"} +{"d:Title": "IMDb: Tadpole (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tadpole", "url": "http://www.imdb.com/title/tt0271219/"} +{"d:Title": "Chicago Sun-Times: Tadpole", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Tadpole", "url": "http://www.rogerebert.com/reviews/tadpole-2002"} +{"d:Title": "HARO Online: Tae Guk Gi - The Brotherhood of War", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Tae_Guk_Gi_-_The_Brotherhood_of_War", "url": "http://www.haro-online.com/movies/taegukgi.html"} +{"d:Title": "IMDb: Tae Guk Gi (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Tae_Guk_Gi_-_The_Brotherhood_of_War", "url": "http://www.imdb.com/title/tt0386064/"} +{"d:Title": "Rotten Tomatoes: Tae Guk Gi - The Brotherhood of War", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/T/Tae_Guk_Gi_-_The_Brotherhood_of_War", "url": "http://www.rottentomatoes.com/m/tae_guk_gi_the_brotherhood_of_war/"} +{"d:Title": "IMDb: Taffin (1988)", "d:Description": "Includes cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/T/Taffin", "url": "http://imdb.com/title/tt0096211/"} +{"d:Title": "TV Guide: Taffin", "d:Description": "Includes synopsis, review and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Taffin", "url": "http://www.tvguide.com/movies/taffin/127391/"} +{"d:Title": "HARO Online: Tailor of Panama", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/T/Tailor_of_Panama,_The", "url": "http://www.haro-online.com/movies/tailor_of_panama.html"} +{"d:Title": "All-Reviews.com: Tailor of Panama", "d:Description": "Review by Edward Johnson-Ott, plus links to three other reviews of the film.", "topic": "Top/Arts/Movies/Titles/T/Tailor_of_Panama,_The", "url": "http://www.all-reviews.com/videos-3/tailor-of-panama.htm"} +{"d:Title": "About.com: Tailor of Panama", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/T/Tailor_of_Panama,_The", "url": "http://homevideo.about.com/library/weekly/aa091901a.htm"} +{"d:Title": "Rotten Tomatoes: Tailor of Panama", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/T/Tailor_of_Panama,_The", "url": "http://www.rottentomatoes.com/m/tailor_of_panama/"} +{"d:Title": "Metacritic.com: Tailor of Panama", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/T/Tailor_of_Panama,_The", "url": "http://www.metacritic.com/movie/the-tailor-of-panama"} +{"d:Title": "AllReaders.com Review: Tailor of Panama", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/T/Tailor_of_Panama,_The", "url": "http://allreaders.com/movie-review-summary/the-tailor-of-panama-6095"} +{"d:Title": "IMDb - Tail Lights Fade (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Tail_Lights_Fade", "url": "http://www.imdb.com/title/tt0122743/"} +{"d:Title": "IMDb: Takedown (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Takedown", "url": "http://imdb.com/title/tt0159784/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Taken_-_1999", "url": "http://imdb.com/title/tt0208495/"} +{"d:Title": "IMDb: Take the Lead", "d:Description": "Offers full cast and crew list, external reviews, plot summary, memorable quotes, filming locations, news articles and discussion board.", "topic": "Top/Arts/Movies/Titles/T/Take_The_Lead", "url": "http://www.imdb.com/title/tt0446046/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information about the production.", "topic": "Top/Arts/Movies/Titles/T/Taking_Lives", "url": "http://imdb.com/title/tt0364045/"} +{"d:Title": "Dailyscript.com", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Taking_Lives", "url": "http://www.dailyscript.com/scripts/taking_lives.pdf"} +{"d:Title": "IMDb: The Taking of Pelham 1 2 3", "d:Description": "Synopsis, trailers, news articles, image gallery, cast and credits.", "topic": "Top/Arts/Movies/Titles/T/Taking_of_Pelham_1_2_3,_The", "url": "http://www.imdb.com/title/tt1111422/"} +{"d:Title": "IMDb: The Taking of Pelham One Two Three", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Taking_of_Pelham_One_Two_Three,_The", "url": "http://www.imdb.com/title/tt0072251/"} +{"d:Title": "Guerilla Films: Taking Sides", "d:Description": "Synopsis, cast and crew, photographs, reviews, and screening details.", "topic": "Top/Arts/Movies/Titles/T/Taking_Sides", "url": "http://www.guerilla-films.com/takingsides/index.htm"} +{"d:Title": "HARO Online: Taking Sides", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Taking_Sides", "url": "http://www.haro-online.com/movies/taking_sides.html"} +{"d:Title": "The Daily Script", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Taking_Sides", "url": "http://www.dailyscript.com/scripts/takingsides.html"} +{"d:Title": "IMDb: Taking Sides (2001)", "d:Description": "Cast information and user comments.", "topic": "Top/Arts/Movies/Titles/T/Taking_Sides", "url": "http://www.imdb.com/title/tt0260414/"} +{"d:Title": "Sam Vaknin: Talented Mr. Ripley", "d:Description": "Review of the film by Dr. Sam Vaknin.", "topic": "Top/Arts/Movies/Titles/T/Talented_Mr._Ripley,_The", "url": "http://samvak.tripod.com/talent.html"} +{"d:Title": "PopMatters: Talented Mr. Ripley", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/T/Talented_Mr._Ripley,_The", "url": "http://popmatters.com/film/reviews/t/talented-mr-ripley.html"} +{"d:Title": "HARO Online - The Talented Mr. Ripley", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/T/Talented_Mr._Ripley,_The", "url": "http://www.haro-online.com/movies/talented_mr_ripley.html"} +{"d:Title": "All-Reviews.com: Talented Mr. Ripley", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Talented_Mr._Ripley,_The", "url": "http://www.all-reviews.com/videos/talented-mr-ripley.htm"} +{"d:Title": "IMDb: The Talented Mr. Ripley", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Talented_Mr._Ripley,_The", "url": "http://www.imdb.com/title/tt0134119/"} +{"d:Title": "Metacritic.com: Talented Mr. Ripley, The", "d:Description": "A cross-section of reviews.", "topic": "Top/Arts/Movies/Titles/T/Talented_Mr._Ripley,_The", "url": "http://www.metacritic.com/movie/the-talented-mr-ripley"} +{"d:Title": "AllReaders.com Review: Talented Mr. Ripley", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/T/Talented_Mr._Ripley,_The", "url": "http://allreaders.com/movie-review-summary/the-talented-mr-ripley-4436"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Talent_for_the_Game", "url": "http://imdb.com/title/tt0103036/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tales_From_the_Darkside_The_Movie", "url": "http://imdb.com/title/tt0100740/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tales_of_Terror", "url": "http://imdb.com/title/tt0056552/"} +{"d:Title": "IMDb: Tale of the Mummy", "d:Description": "Provides full cast list, external reviews, memorable quotes, trivia, filming locations and discussion board.", "topic": "Top/Arts/Movies/Titles/T/Tale_of_the_Mummy", "url": "http://www.imdb.com/title/tt0127919/"} +{"d:Title": "Flash Film Works: Tale of the Mummy", "d:Description": "Company responsible for all the visual effects work produced for this film. Includes gallery.", "topic": "Top/Arts/Movies/Titles/T/Tale_of_the_Mummy", "url": "http://www.flashfilmworks.com/MovieGuide/Talos/Talos.htm"} +{"d:Title": "IMDb: A Tale of Two Cities (1958)", "d:Description": "Plot summary and cast/crew details.", "topic": "Top/Arts/Movies/Titles/T/Tale_of_Two_Cities,_A_-_1958", "url": "http://www.imdb.com/title/tt0052270/"} +{"d:Title": "Allreaders.com: A Tale of Two Cities", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/T/Tale_of_Two_Cities,_A_-_1958", "url": "http://allreaders.com/movie-review-summary/tale-of-two-cities-4060"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Talk_of_Angels", "url": "http://imdb.com/title/tt0120271/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information about the film.", "topic": "Top/Arts/Movies/Titles/T/Talk_to_Me", "url": "http://imdb.com/title/tt0796368/"} +{"d:Title": "IMDb: Talladega Nights", "d:Description": "Cast, crew, plot, production notes, and trivia.", "topic": "Top/Arts/Movies/Titles/T/Talladega_Nights_-_The_Ballad_of_Ricky_Bobby", "url": "http://www.imdb.com/title/tt0415306/"} +{"d:Title": "Box Office Prophets: Talladega Nights", "d:Description": "Plot synopsis, cast, and crew.", "topic": "Top/Arts/Movies/Titles/T/Talladega_Nights_-_The_Ballad_of_Ricky_Bobby", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?TMID=2534"} +{"d:Title": "Wikipedia: Talladega Nights", "d:Description": "Synopsis, plot details, cast, crew, and trivia.", "topic": "Top/Arts/Movies/Titles/T/Talladega_Nights_-_The_Ballad_of_Ricky_Bobby", "url": "http://en.wikipedia.org/wiki/Talladega_Nights"} +{"d:Title": "Talladega Nights: The Ballad of Ricky Bobby", "d:Description": "The official motion picture website. Movie trailers, and downloads.", "priority": "1", "topic": "Top/Arts/Movies/Titles/T/Talladega_Nights_-_The_Ballad_of_Ricky_Bobby", "url": "http://www.sonypictures.com/movies/talladeganightstheballadofrickybobby/"} +{"d:Title": "Coming Soon! Film Database: Talladega Nights", "d:Description": "Cast, crew, plot summary, and production details.", "topic": "Top/Arts/Movies/Titles/T/Talladega_Nights_-_The_Ballad_of_Ricky_Bobby", "url": "http://www.comingsoon.net/movie/talladega-nights-the-ballad-of-ricky-bobby-2006"} +{"d:Title": "IMDb - Tall Story (1960)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Tall_Story", "url": "http://www.imdb.com/title/tt0054367/"} +{"d:Title": "TV Guide Online: Tall Story", "d:Description": "Movie review, cast and crew information and plot synopsis.", "topic": "Top/Arts/Movies/Titles/T/Tall_Story", "url": "http://www.tvguide.com/movies/tall-story/119758/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tall_Tale", "url": "http://imdb.com/title/tt0111359/"} +{"d:Title": "IMDb: Tamango (1957)", "d:Description": "Cast and crew information along with comments and other details.", "topic": "Top/Arts/Movies/Titles/T/Tamango", "url": "http://www.imdb.com/title/tt0051049/"} +{"d:Title": "Chicago Reader: Tamango", "d:Description": "Capsule review by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/T/Tamango", "url": "http://www.chicagoreader.com/chicago/tamango/Film?oid=1071830"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Taming_of_the_Shrew_-_1967", "url": "http://imdb.com/title/tt0061407/"} +{"d:Title": "IMDb.com: Tangled (2001)", "d:Description": "Cast and crew list, production information, links and other details.", "topic": "Top/Arts/Movies/Titles/T/Tangled_-_2001", "url": "http://www.imdb.com/title/tt0238137/"} +{"d:Title": "Tango (1998)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tango_-_1999", "url": "http://www.imdb.com/title/tt0120274/"} +{"d:Title": "IMDb: Tango Lesson, The (1997)", "d:Description": "Includes cast/crew information, synopsis and movie rating.", "topic": "Top/Arts/Movies/Titles/T/Tango_Lesson,_The", "url": "http://imdb.com/title/tt0120275/combined"} +{"d:Title": "TV Guide: The Tango Lesson", "d:Description": "Review by Ken Fox, plus cast and crew list.", "topic": "Top/Arts/Movies/Titles/T/Tango_Lesson,_The", "url": "http://www.tvguide.com/movies/tango-lesson/132455/"} +{"d:Title": "IMDb: Tangy Guacamole (2003)", "d:Description": "Cast and crew, user comments and plot summary.", "topic": "Top/Arts/Movies/Titles/T/Tangy_Guacamole", "url": "http://www.imdb.com/title/tt0288244/"} +{"d:Title": "Tank Girl", "d:Description": "Official site with cast and crew, information, photo gallery and downloads.", "topic": "Top/Arts/Movies/Titles/T/Tank_Girl", "url": "http://www.mgm.com/title_title.php?title_star=TANKGIRL"} +{"d:Title": "IMDb: Tank Girl", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Tank_Girl", "url": "http://www.imdb.com/title/tt0114614/"} +{"d:Title": "TV Guide: Tank Girl", "d:Description": "Includes a review as well as cast and crew information.", "topic": "Top/Arts/Movies/Titles/T/Tank_Girl", "url": "http://www.tvguide.com/movies/tank-girl/130588/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tao_of_Steve,_The", "url": "http://imdb.com/title/tt0234853/"} +{"d:Title": "HARO Online: Tape", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Tape", "url": "http://www.haro-online.com/movies/tape.html"} +{"d:Title": "IMDb: Tape", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Tape", "url": "http://www.imdb.com/title/tt0275719/"} +{"d:Title": "Metacritic.com: Tape", "d:Description": "Collection of quotes and links to film reviews, along with an average score.", "topic": "Top/Arts/Movies/Titles/T/Tape", "url": "http://www.metacritic.com/movie/tape"} +{"d:Title": "IMDb - Taps (1981)", "d:Description": "Contains details of the film including plot outline, user comments, cast overview, awards and reviews, trivia, and promotional features.", "topic": "Top/Arts/Movies/Titles/T/Taps", "url": "http://www.imdb.com/title/tt0083169/"} +{"d:Title": "Christian Spotlight: \"Taps\"", "d:Description": "Review by Ryan Kelly. \"Taps is a very powerful movie, but leaves you feeling somewhat depressed at the end.\" [4/5]", "topic": "Top/Arts/Movies/Titles/T/Taps", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/taps.html"} +{"d:Title": "RogerEbert.com: Taps", "d:Description": "Review by Roger Ebert. \"TAPS works as an uncommonly engrossing story, primarily because the performances are so well done.\" [3/5]", "topic": "Top/Arts/Movies/Titles/T/Taps", "url": "http://www.rogerebert.com/reviews/taps-1981"} +{"d:Title": "DVDTalk: Taps", "d:Description": "Review of the DVD by Jason Bovberg. \"An interesting artifact, a bit heavy-handed at times, but interesting primarily as a glimpse at the early careers of some major stars.\" [2.5/5]", "topic": "Top/Arts/Movies/Titles/T/Taps", "url": "http://www.dvdtalk.com/reviews/3469/taps/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tarantella", "url": "http://imdb.com/title/tt0114616/"} +{"d:Title": "IMDb: Tartuffe (Herr Tart\u00fcff) (1926)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Tartuffe", "url": "http://www.imdb.com/title/tt0017448/"} +{"d:Title": "Combustible Celluloid: Tartuffe", "d:Description": "Review by Jeffrey M. Anderson.", "topic": "Top/Arts/Movies/Titles/T/Tartuffe", "url": "http://www.combustiblecelluloid.com/digitalwatch/tartuffe.shtml"} +{"d:Title": "Film Tarzans", "d:Description": "Photos and biographies of a dozen men who have played Tarzan.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies", "url": "http://www.briansdriveintheater.com/tarzan.html"} +{"d:Title": "Tarzan Movie Guide", "d:Description": "Synopses of all the film and television appearances, from the Elmo Lincoln silent to the present.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies", "url": "http://www.tarzanmovieguide.com/"} +{"d:Title": "Tarzan.cc", "d:Description": "Fan page with news, articles, and information on movies, books, and television series.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies", "url": "http://www.tarzan.cc/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan's_New_York_Adventure", "url": "http://www.imdb.com/title/tt0035419/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan_and_His_Mate", "url": "http://imdb.com/title/tt0025862/"} +{"d:Title": "Filmsite.org", "d:Description": "Extensive look at what many consider to be the finest, and most sexual, of the Tarzan films.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan_and_His_Mate", "url": "http://www.filmsite.org/tarz2.html"} +{"d:Title": "Tarzan Before Disney", "d:Description": "Portrait gallery of men who have portrayed Tarzan in the movies.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan_and_the_Lost_City", "url": "http://artmorgan.tripod.com/"} +{"d:Title": "IMDb: Tarzan and the Lost City", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan_and_the_Lost_City", "url": "http://www.imdb.com/title/tt0120856/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan_Escapes", "url": "http://imdb.com/title/tt0028345/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan_the_Ape_Man_-_1932", "url": "http://imdb.com/title/tt0023551/"} +{"d:Title": "Filmsite.org", "d:Description": "Extensive look at the first film in the Johnny Weismuller series.", "topic": "Top/Arts/Movies/Titles/T/Tarzan_Movies/Tarzan_the_Ape_Man_-_1932", "url": "http://www.filmsite.org/tarz.html"} +{"d:Title": "IMDb - \"Taste of Cherry, The\" (1997)", "d:Description": "Includes Plot Summary, reviews, cast/crew information and movie rating.", "topic": "Top/Arts/Movies/Titles/T/Taste_of_Cherry,_The", "url": "http://www.imdb.com/title/tt0120265/combined"} +{"d:Title": "HARO Online: The Taste of Others", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Taste_of_Others,_The", "url": "http://www.haro-online.com/movies/taste_of_others.html"} +{"d:Title": "Metacritic.com: Taste of Others", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/T/Taste_of_Others,_The", "url": "http://www.metacritic.com/movie/the-taste-of-others"} +{"d:Title": "IMDb - Tavern, The (1999)", "d:Description": "Cast/Crew information, plot summary and reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Tavern,_The", "url": "http://www.imdb.com/title/tt0202614/"} +{"d:Title": "Metacritic.com: The Tavern", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Tavern,_The", "url": "http://www.metacritic.com/movie/the-tavern"} +{"d:Title": "The Internet Movie Database (IMDb): Taxi", "d:Description": "Cast and crew listing, technical details, trivia, pictures and user comments.", "topic": "Top/Arts/Movies/Titles/T/Taxi_-_2004", "url": "http://imdb.com/title/tt0316732/"} +{"d:Title": "HARO Online: Taxi", "d:Description": "Haro's unfavorable review: \"'Taxi' is the latest, lame attempt at a mismatched partner crime comedy.\" Rated \"pretty bad.\"", "topic": "Top/Arts/Movies/Titles/T/Taxi_-_2004", "url": "http://www.haro-online.com/movies/taxi.html"} +{"d:Title": "Chicago Sun-Times: Taxi", "d:Description": "Roger Ebert's unfavorable review: \"In a film that is wall-to-wall idiocy, the most tiresome delusion is that car chases are funny.\" 1 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/T/Taxi_-_2004", "url": "http://www.rogerebert.com/reviews/taxi-2004"} +{"d:Title": "Today: Let this \u2018Taxi\u2019 pass you by", "d:Description": "Unfavorable review by David Germain of The Associated Press: \"...substandard issue in the mismatched buddy comedy genre.\"", "topic": "Top/Arts/Movies/Titles/T/Taxi_-_2004", "url": "http://www.today.com/id/6185489"} +{"d:Title": "Greatest Films: Taxi Driver", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://www.filmsite.org/taxi.html"} +{"d:Title": "Taxi Driver - List of Scenes", "d:Description": "Structured chronological listing of scenes from the film \"Taxi Driver\"", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://www.personal.psu.edu/faculty/t/3/t3b/courses/SpCom515Fall1999/taxidriverlistofscenes.htm"} +{"d:Title": "All-Reviews.com - Taxi Driver", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://www.all-reviews.com/videos-2/taxi-driver.htm"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://www.awesomefilm.com/script/taxidriver.html"} +{"d:Title": "Embedded: The Anti-Imperialism in Taxi Driver", "d:Description": "An analysis of the film's anti-imperialistic subtexts by John Thurman.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://cineprism.wordpress.com/2007/12/11/embedded-the-anti-imperialism-in-taxi-driver/"} +{"d:Title": "IMDb: Taxi Driver", "d:Description": "Cast/credits plus additional information for the film.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://www.imdb.com/title/tt0075314/"} +{"d:Title": "Taxi Driver script by Paul Schrader", "d:Description": "Complete script reprinted from \"Sight and Sound\" promotional book.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://chabrieres.pagesperso-orange.fr/texts/taxidriver.html"} +{"d:Title": "Allreaders Review: Taxi Driver", "d:Description": "Summary and review.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://allreaders.com/movie-review-summary/taxi-driver-3979"} +{"d:Title": "TV Guide Online: Taxi Driver", "d:Description": "Includes movie review, plot, cast and picture.", "topic": "Top/Arts/Movies/Titles/T/Taxi_Driver", "url": "http://www.tvguide.com/movies/taxi-driver/119871/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Teacher's_Pet_-_2000", "url": "http://imdb.com/title/tt0217086/"} +{"d:Title": "PopMatters - Teaching Mrs. Tingle", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/T/Teaching_Mrs._Tingle", "url": "http://popmatters.com/film/reviews/t/teaching-mrs-tingle.html"} +{"d:Title": "All-Reviews.com - Teaching Mrs. Tingle", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/T/Teaching_Mrs._Tingle", "url": "http://www.all-reviews.com/videos/teaching-mrs-tingle.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews, news, articles, previews, and trailers", "topic": "Top/Arts/Movies/Titles/T/Teaching_Mrs._Tingle", "url": "http://www.rottentomatoes.com/m/teaching_mrs_tingle/"} +{"d:Title": "IMDb - Teaching Mrs. Tingle (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Teaching_Mrs._Tingle", "url": "http://www.imdb.com/title/tt0133046/"} +{"d:Title": "Metacritic.com: Teaching Mrs. Tingle", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Teaching_Mrs._Tingle", "url": "http://www.metacritic.com/movie/teaching-mrs-tingle"} +{"d:Title": "The Internet Movie Database (IMDb): Team America: World Police", "d:Description": "Cast and crew listing, technical details, trivia, user comments, pictures and press links.", "topic": "Top/Arts/Movies/Titles/T/Team_America_-_World_Police", "url": "http://imdb.com/title/tt0372588/"} +{"d:Title": "All-Reviews.com: Team America - World Police", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Team_America_-_World_Police", "url": "http://www.all-reviews.com/videos-5/team-america.htm"} +{"d:Title": "Future Movies: Team America: World Police", "d:Description": "Review of the film by Jay Richardson.", "topic": "Top/Arts/Movies/Titles/T/Team_America_-_World_Police", "url": "http://www.futuremovies.co.uk/reviews/team-america-world-police/jay-richardson"} +{"d:Title": "HARO Online: Tears of the Sun", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Tears_of_the_Sun", "url": "http://www.haro-online.com/movies/tears_of_the_sun.html"} +{"d:Title": "Rotten Tomatoes: Tears of the Sun", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Tears_of_the_Sun", "url": "http://www.rottentomatoes.com/m/tears_of_the_sun/"} +{"d:Title": "IMDb: Tears of the Sun (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tears_of_the_Sun", "url": "http://www.imdb.com/title/tt0314353/"} +{"d:Title": "Chicago Sun-Times: Tears of the Sun", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Tears_of_the_Sun", "url": "http://www.rogerebert.com/reviews/tears-of-the-sun-2003"} +{"d:Title": "MovieWeb: Tears of the Sun", "d:Description": "Plot description, production information, credits, and pictures.", "topic": "Top/Arts/Movies/Titles/T/Tears_of_the_Sun", "url": "http://www.movieweb.com/movie/tears-of-the-sun"} +{"d:Title": "The Tea With Mussolini Gallery", "d:Description": "Image gallery with over 600 images.", "topic": "Top/Arts/Movies/Titles/T/Tea_with_Mussolini", "url": "http://www.ycdtotv.de/twm"} +{"d:Title": "Tea with Mussolini", "d:Description": "Official site with synopsis, cast, crew, and downloads.", "topic": "Top/Arts/Movies/Titles/T/Tea_with_Mussolini", "url": "http://www.mgm.com/title_title.php?title_star=TEAWITHM"} +{"d:Title": "IMDb: Tea with Mussolini", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Tea_with_Mussolini", "url": "http://www.imdb.com/title/tt0120857/"} +{"d:Title": "AllReaders.com: Tea with Mussolini", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Tea_with_Mussolini", "url": "http://allreaders.com/movie-review-summary/tea-with-mussolini-4043"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Teen_Knight", "url": "http://imdb.com/title/tt0152946/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Teen_Sorcery", "url": "http://imdb.com/title/tt0207783/"} +{"d:Title": "Teen Witch", "d:Description": "Fan page with multimedia, song lyrics, and trivia.", "topic": "Top/Arts/Movies/Titles/T/Teen_Witch", "url": "http://teenwitch8.tripod.com/"} +{"d:Title": "Rotten Tomatoes: Teen Witch", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Teen_Witch", "url": "http://www.rottentomatoes.com/m/teen_witch/"} +{"d:Title": "IMDb: Teen Witch (1989)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Teen_Witch", "url": "http://www.imdb.com/title/tt0098453/"} +{"d:Title": "Rotten Tomatoes: Tell No One", "d:Description": "Synopsis, cast members, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Tell_No_One", "url": "http://www.rottentomatoes.com/m/ne_le_dis_a_personne/"} +{"d:Title": "The New York Times: Tell No One", "d:Description": "Review, by Stephen Holden: \"Beautifully written and acted, Tell No One is a labyrinth in which to get deliriously lost.\"", "topic": "Top/Arts/Movies/Titles/T/Tell_No_One", "url": "http://www.nytimes.com/2008/07/02/movies/02tell.html?ref=movies"} +{"d:Title": "MovieWeb: Tell No One (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/T/Tell_No_One", "url": "http://www.movieweb.com/movie/tell-no-one"} +{"d:Title": "TV Guide: Tell No One", "d:Description": "Review, by Maitland McDonagh: \"Canet and Lefevre pruned subplots and fixed the novel's ending -- it's now merely preposterous rather than patently absurd \u2013 but it's the cast that makes the genre clich\u00e9s feel vivid and even fresh.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Tell_No_One", "url": "http://www.tvguide.com/movies/tell/review/294342/"} +{"d:Title": "The Films of Derek Jarman", "d:Description": "Reviews of the two films 'The Tempest' and 'Jubilee'.", "topic": "Top/Arts/Movies/Titles/T/Tempest,_The_-_1979", "url": "http://www.mondo-digital.com/tempest.html"} +{"d:Title": "Yahoo! Movies: The Tempest (1979)", "d:Description": "Synopsis, cast and crew, and forum.", "topic": "Top/Arts/Movies/Titles/T/Tempest,_The_-_1979", "url": "http://movies.yahoo.com/movie/the-tempest-1979/"} +{"d:Title": "IMDb: The Tempest (1979)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tempest,_The_-_1979", "url": "http://www.imdb.com/title/tt0081613/"} +{"d:Title": "IMDb - Tempest (1928)", "d:Description": "Includes cast/crew information, plot summary and movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Tempest_-_1928", "url": "http://www.imdb.com/title/tt0019451/"} +{"d:Title": "TV Guide Online: Tempest", "d:Description": "Includes movie review and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Tempest_-_1928", "url": "http://www.tvguide.com/movies/tempest/review/133194/"} +{"d:Title": "IMDb: Temporary Girl (1998)", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Movies/Titles/T/Temporary_Girl", "url": "http://www.imdb.com/title/tt0316740/"} +{"d:Title": "Blackflix.com: The Temptations", "d:Description": "Review by Mike Pearson.", "topic": "Top/Arts/Movies/Titles/T/Temptations,_The", "url": "http://www.blackflix.com/reviews/temptations.html"} +{"d:Title": "IMDb - Temptations, The (1998)", "d:Description": "Includes cast/crew information, plot summary, reviews and movie rating.", "topic": "Top/Arts/Movies/Titles/T/Temptations,_The", "url": "http://www.imdb.com/title/tt0164292/"} +{"d:Title": "IMDb: Tender Mercies (1983)", "d:Description": "Cast and crew, plot summary, viewer comments and rating, awards and nominations, capsule review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/T/Tender_Mercies", "url": "http://www.imdb.com/title/tt0086423/"} +{"d:Title": "Greatest Films: The Ten Commandments", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/T/Ten_Commandments,_The", "url": "http://www.filmsite.org/tenc.html"} +{"d:Title": "Ten Commandments, The - Charlton Heston's Classic", "d:Description": "Includes pictures, synopsis, review and information on the film.", "topic": "Top/Arts/Movies/Titles/T/Ten_Commandments,_The", "url": "http://charltonhestonworld.homestead.com/TenCommandments1.html"} +{"d:Title": "WWWF Grudge Match: Imhotep vs. Moses", "d:Description": "Humorous hypothetical match-up between Imhotep (from The Mummy films) and Charlton Heston's Moses.", "topic": "Top/Arts/Movies/Titles/T/Ten_Commandments,_The", "url": "http://www.grudge-match.com/History/imhotep-moses.shtml"} +{"d:Title": "The Ten Commandments (1956)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Ten_Commandments,_The", "url": "http://www.imdb.com/title/tt0049833/"} +{"d:Title": "TV Guide Online: The Ten Commandments", "d:Description": "Movie review, plot, cast and picture.", "topic": "Top/Arts/Movies/Titles/T/Ten_Commandments,_The", "url": "http://www.tvguide.com/movies/commandments/119939/"} +{"d:Title": "IMDb: Terminal, The (2004)", "d:Description": "Provides plot synopsis, and information about cast and crew.", "topic": "Top/Arts/Movies/Titles/T/Terminal,_The", "url": "http://www.imdb.com/title/tt0362227/"} +{"d:Title": "All-Reviews.com - The Terminal", "d:Description": "Reader-submitted reviews (mixed), credits.", "topic": "Top/Arts/Movies/Titles/T/Terminal,_The", "url": "http://www.all-reviews.com/videos-5/terminal.htm"} +{"d:Title": "Filmtracks: The Terminal", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Terminal,_The", "url": "http://www.filmtracks.com/titles/terminal.html"} +{"d:Title": "Rotten Tomatoes: The Terminal", "d:Description": "Reviews, multimedia and forum.", "topic": "Top/Arts/Movies/Titles/T/Terminal,_The", "url": "http://www.rottentomatoes.com/m/1133499-1133499-terminal/"} +{"d:Title": "Coming Soon.net: The Terminal", "d:Description": "DVD review.", "topic": "Top/Arts/Movies/Titles/T/Terminal,_The", "url": "http://www.comingsoon.net/dvd/reviews/7370-the-terminal-2"} +{"d:Title": "Terminator 2029", "d:Description": "Contains pictures and technical data for various models of Terminators and weapons.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series", "url": "http://www.goingfaster.com/term2029/index.html"} +{"d:Title": "Movieprop.com's Terminator Movie Pages", "d:Description": "Merchandise information, essays, prop and costume details, movie reviews, and links", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series", "url": "http://www.movieprop.com/tvandmovie/terminator/"} +{"d:Title": "Fan site: Terminator Fan", "d:Description": "Information on each of the films, plus multimedia.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series", "url": "http://theterminatorfan.tripod.com/"} +{"d:Title": "The Terminator Files", "d:Description": "Fan portal with news, collectibles database, detailed information on all the movies, forum, and links.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series", "url": "http://www.terminatorfiles.com/"} +{"d:Title": "Terminator - Hope Of The Future", "d:Description": "Fansite, with information on deleted scenes, machines, references, and music.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series", "url": "http://www.hopeofthefuture.net/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator,_The", "url": "http://www.fast-rewind.com/terminator.htm"} +{"d:Title": "All-Reviews.com: Terminator", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator,_The", "url": "http://www.all-reviews.com/videos-4/terminator.htm"} +{"d:Title": "The Sci-Fi Movie Page: The Terminator", "d:Description": "Review by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator,_The", "url": "http://www.scifimoviepage.com/may2000pik.html"} +{"d:Title": "Movie-List", "d:Description": "Trailer for the film.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator,_The", "url": "http://www.movie-list.com/trailers.php?id=terminator"} +{"d:Title": "IMDb: The Terminator", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator,_The", "url": "http://www.imdb.com/title/tt0088247/"} +{"d:Title": "TV Guide Online: Terminator", "d:Description": "Includes movie review, plot, cast and picture.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator,_The", "url": "http://www.tvguide.com/movies/terminator/119990/"} +{"d:Title": "Grudge-Match.com: Headless Horseman vs. Terminator", "d:Description": "Humorous description of a 'grudge-match' between the two legends.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_2_-_Judgment_Day", "url": "http://www.grudge-match.com/History/horseman-terminator.shtml"} +{"d:Title": "All-Reviews.com: Terminator 2: Judgement Day", "d:Description": "Review of the movie by John Beachem.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_2_-_Judgment_Day", "url": "http://www.all-reviews.com/videos-4/terminator-2-judgement.htm"} +{"d:Title": "Filmtracks: Terminator 2 - Judgment Day", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_2_-_Judgment_Day", "url": "http://www.filmtracks.com/titles/terminator2.html"} +{"d:Title": "IMDb: Terminator 2: Judgment Day (1991)", "d:Description": "Cast, crew and other credits, plus links.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_2_-_Judgment_Day", "url": "http://imdb.com/title/tt0103064/"} +{"d:Title": "Movie-List", "d:Description": "Trailer for the film.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_2_-_Judgment_Day", "url": "http://www.movie-list.com/trailers.php?id=terminator2"} +{"d:Title": "TV Guide Online: Terminator 2 - Judgment Day", "d:Description": "Includes movie review, plot, cast and picture.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_2_-_Judgment_Day", "url": "http://www.tvguide.com/movies/terminator-2-judgment-day/128676/"} +{"d:Title": "KillerMovies.com: Terminator 3", "d:Description": "Film information, synopsis, and related news articles.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_3_-_Rise_of_the_Machines", "url": "http://www.killermovies.com/t/terminator3/"} +{"d:Title": "UKHotMovies.com: Terminator 3: Rise of the Machines", "d:Description": "Review of the film, pictures and trailers.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_3_-_Rise_of_the_Machines", "url": "http://www.ukhotmovies.com/reviews/terminator3"} +{"d:Title": "Currentfilm.com: Terminator 3", "d:Description": "Review of the film's DVD.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_3_-_Rise_of_the_Machines", "url": "http://www.currentfilm.com/dvdreviews5/t3dvd.html"} +{"d:Title": "Terminator 3 Filming Locations", "d:Description": "Three pages of actual filming locations for \"Terminator 3\", including photos, addresses and map links.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_3_-_Rise_of_the_Machines", "url": "http://www.seeing-stars.com/Locations/Terminator3-1.shtml"} +{"d:Title": "Rotten Tomatoes: Terminator 3", "d:Description": "Links to reviews and articles, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_3_-_Rise_of_the_Machines", "url": "http://www.rottentomatoes.com/m/terminator_3_rise_of_the_machines/"} +{"d:Title": "The New York Times: Terminator 3 - Rise of the Machines", "d:Description": "Review by A.O. Scott.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_3_-_Rise_of_the_Machines", "url": "http://www.nytimes.com/2003/07/01/movies/film-review-a-monotonic-cyborg-learns-to-say-pantsuit.html"} +{"d:Title": "IMDb: Terminator Salvation", "d:Description": "Synopsis, trailers, news articles, image gallery, cast and trivia.", "topic": "Top/Arts/Movies/Titles/T/Terminator_Series/Terminator_Salvation", "url": "http://www.imdb.com/title/tt0438488/"} +{"d:Title": "Terms Of Endearment (1983)", "d:Description": "Review of the movie by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/T/Terms_of_Endearment", "url": "http://www.filmsite.org/terms.html"} +{"d:Title": "About.com Home Video: Terms of Endearment", "d:Description": "Ivana Redwine's favorable review.", "topic": "Top/Arts/Movies/Titles/T/Terms_of_Endearment", "url": "http://homevideo.about.com/library/blpick051001.htm"} +{"d:Title": "Terms of Endearment (1983)", "d:Description": "Plot summary, cast list, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Terms_of_Endearment", "url": "http://www.imdb.com/title/tt0086425/"} +{"d:Title": "The Flick Filosopher: Terms of Endearment", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Terms_of_Endearment", "url": "http://www.flickfilosopher.com/1999/03/terms-of-endearment-review.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose rates the movie: Pretty Good.", "topic": "Top/Arts/Movies/Titles/T/Terrorist,_The", "url": "http://www.haro-online.com/movies/terrorist.html"} +{"d:Title": "Metacritic.com: The Terrorist", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Terrorist,_The", "url": "http://www.metacritic.com/movie/theeviravaathi-the-terrorist"} +{"d:Title": "Rotten Tomatoes: Tess", "d:Description": "Reviews and movie info.", "topic": "Top/Arts/Movies/Titles/T/Tess", "url": "http://www.rottentomatoes.com/m/tess/"} +{"d:Title": "IMDb: Tess", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tess", "url": "http://www.imdb.com/title/tt0080009/"} +{"d:Title": "IMDb: The Testaments: Of One Fold and One Shepherd", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/T/Testaments_of_One_Fold_and_One_Shepherd,_The", "url": "http://www.imdb.com/title/tt0258247/"} +{"d:Title": "HARO Online: Testosterone", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Testosterone", "url": "http://www.haro-online.com/movies/testosterone.html"} +{"d:Title": "IMDb: Testosterone (2003)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Testosterone", "url": "http://www.imdb.com/title/tt0378867/"} +{"d:Title": "Rotten Tomatoes: Testosterone", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/Testosterone", "url": "http://www.rottentomatoes.com/m/1138687-testosterone/"} +{"d:Title": "IMDb: Tetsuo (1988)", "d:Description": "Cast and crew information, synopses and reviews.", "topic": "Top/Arts/Movies/Titles/T/Tetsuo_Series/Tetsuo", "url": "http://www.imdb.com/title/tt0096251/"} +{"d:Title": "Rotten Tomatoes: Tetsuo", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Tetsuo_Series/Tetsuo", "url": "http://www.rottentomatoes.com/m/tetsuo-the-ironman/"} +{"d:Title": "Rotten Tomatoes: Tetsuo II - Bodyhammer", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Tetsuo_Series/Tetsuo_II_-_Body_Hammer", "url": "http://www.rottentomatoes.com/m/tetsuo_ii_bodyhammer/"} +{"d:Title": "Tetsuo II: Body Hammer", "d:Description": "Screenshots from the film.", "topic": "Top/Arts/Movies/Titles/T/Tetsuo_Series/Tetsuo_II_-_Body_Hammer", "url": "http://barkokhba.chez.com/tetsuo2.htm"} +{"d:Title": "IMDb: Tetsuo II - Body Hammer (1992)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tetsuo_Series/Tetsuo_II_-_Body_Hammer", "url": "http://www.imdb.com/title/tt0105569/"} +{"d:Title": "IMDb - Tex (1982)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Tex", "url": "http://www.imdb.com/title/tt0084783/"} +{"d:Title": "IMDb - Texas, Brooklyn and Heaven (1948)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/T/Texas,_Brooklyn_and_Heaven", "url": "http://www.imdb.com/title/tt0040868/"} +{"d:Title": "IMDb - User Comments - Index", "d:Description": "Links to multiple reviews (predominantly negative).", "topic": "Top/Arts/Movies/Titles/T/Texas,_Brooklyn_and_Heaven", "url": "http://www.imdb.com/title/tt0040868/reviews"} +{"d:Title": "TV Guide Online: Texas, Brooklyn and Heaven", "d:Description": "Unsigned review (negative) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/T/Texas,_Brooklyn_and_Heaven", "url": "http://www.tvguide.com/movies/texas-brooklyn-heaven/120050/"} +{"d:Title": "House of Horrors: Texas Chainsaw Massacre", "d:Description": "Contains story outlines, facts about the movies, links, pictures, and video clips.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series", "url": "http://www.houseofhorrors.com/texas.htm"} +{"d:Title": "Unofficial home page", "d:Description": "Contains video clips, movie information, TCM5 information, and picture galleries.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series", "url": "http://homepage.tinet.ie/~gatekeeper/index.html"} +{"d:Title": "KillerMovies - The Texas Chainsaw Massacre", "d:Description": "Film information, synopsis, photographs, archived news, and links.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series", "url": "http://www.killermovies.com/t/thetexaschainsawmassacre/"} +{"d:Title": "Leatherface: The Texas Chainsaw Massacre III", "d:Description": "Includes a review and plot summary.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Leather_Face_-_The_Texas_Chainsaw_Massacre_Part_3", "url": "http://www.angelfire.com/ok3/horrorhouse/TEXASCHAIN3.html"} +{"d:Title": "Leatherface: Texas Chainsaw Massacre III", "d:Description": "Includes a review, rating, cast and crew, and user reviews.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Leather_Face_-_The_Texas_Chainsaw_Massacre_Part_3", "url": "http://www.imdb.com/title/tt0099994/"} +{"d:Title": "Lightviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_1974", "url": "http://www.lightviews.com/texaschainsaw.htm"} +{"d:Title": "The Texas Chainsaw Massacre: A Visit to the Film Locations", "d:Description": "Contains behind the scenes pictures, pictures of cast and crew, fan stories, and news.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_1974", "url": "http://www.texaschainsawmassacre.net/"} +{"d:Title": "Texas Chainsaw Massacre", "d:Description": "Screenshots from the movie.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_1974", "url": "http://steven75077.tripod.com/gallery/chainsaw/main.html"} +{"d:Title": "Reel Faces - The Texas Chainsaw Massacre", "d:Description": "Explores the real people behind the film's story. Includes photographs and trailer.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_1974", "url": "http://www.chasingthefrog.com/reelfaces/texaschainsaw.php"} +{"d:Title": "Filmtracks: The Texas Chainsaw Massacre", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_1974", "url": "http://www.filmtracks.com/titles/texas_chainsaw.html"} +{"d:Title": "Movie-List", "d:Description": "Trailer for the film.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_1974", "url": "http://www.movie-list.com/trailers.php?id=texaschainsawmassacre74"} +{"d:Title": "Texas Chain Saw Massacre, The", "d:Description": "Provides user reviews, cast and crew, and rating.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_1974", "url": "http://www.imdb.com/title/tt0072271/"} +{"d:Title": "DreamLogic: The Texas Chainsaw Massacre", "d:Description": "Review by Chris Nelson", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_2003", "url": "http://dreamlogic.net/REVIEWS/tcmremake.html"} +{"d:Title": "IMDb: The Texas Chainsaw Massacre (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_2003", "url": "http://www.imdb.com/title/tt0324216/"} +{"d:Title": "Rotten Tomatoes: The Texas Chainsaw Massacre (2003)", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_2003", "url": "http://www.rottentomatoes.com/m/texas_chainsaw_massacre/"} +{"d:Title": "HARO Online: The Texas Chainsaw Massacre", "d:Description": "Haro reviews the 2003 remake of the film.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_2003", "url": "http://www.haro-online.com/movies/texas_chainsaw_massacre.html"} +{"d:Title": "Chicago Sun-Times: The Texas Chainsaw Massacre", "d:Description": "Roger Ebert's review of the 2003 remake.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre,_The_-_2003", "url": "http://www.rogerebert.com/reviews/the-texas-chainsaw-massacre-2003"} +{"d:Title": "MetroActive Movies : Texas Chainsaw Massacre: The Next Generation", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_-_The_Next_Generation", "url": "http://www.metroactive.com/papers/metro/08.28.97/chainsaw-9735.html"} +{"d:Title": "Texas Chainsaw Massacre: The Next Generation", "d:Description": "Includes multimedia, reviews, an image gallery, plot review, links, cut scenes, and thoeries.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_-_The_Next_Generation", "url": "http://www.angelfire.com/ca/TCM4/"} +{"d:Title": "Texas Chainsaw Massacre: The Next Generation", "d:Description": "A fansite with pictures, information, reviews, FAQ, interviews, forum, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_-_The_Next_Generation", "url": "http://www.angelfire.com/ga4/tcm4/index.html"} +{"d:Title": "Return of the Texas Chainsaw Massacre, The", "d:Description": "Provides user reviews, cast and crew, and rating.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_-_The_Next_Generation", "url": "http://www.imdb.com/title/tt0110978/"} +{"d:Title": "Texas Chainsaw Massacre 2", "d:Description": "Images from the film.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_Part_2,_The", "url": "http://steven75077.tripod.com/gallery/chainsaw2/main.html"} +{"d:Title": "Texas Chainsaw Massacre 2", "d:Description": "Includes a review and plot summary of the movie.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_Part_2,_The", "url": "http://stvivona.tripod.com/stevedvd/id18.html"} +{"d:Title": "Texas Chainsaw Massacre 2, The", "d:Description": "Provides user reviews, cast and crew, and rating.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_Part_2,_The", "url": "http://www.imdb.com/title/tt0092076/"} +{"d:Title": "The Texas Chainsaw Massacre Part 2", "d:Description": "Offers a review of the movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/T/Texas_Chainsaw_Massacre_Series/Texas_Chainsaw_Massacre_Part_2,_The", "url": "http://www.rogerebert.com/reviews/the-texas-chainsaw-massacre-part-2-1986"} +{"d:Title": "All-Reviews.com: Texas Rangers", "d:Description": "Review by Dustin Putman plus links to two other reviews.", "topic": "Top/Arts/Movies/Titles/T/Texas_Rangers", "url": "http://all-reviews.com/videos-4/texas-rangers.htm"} +{"d:Title": "HARO Online: Texas Rangers", "d:Description": "Unattributed review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Texas_Rangers", "url": "http://www.haro-online.com/movies/texas_rangers.html"} +{"d:Title": "Rotten Tomatoes: Texas Rangers", "d:Description": "Links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/T/Texas_Rangers", "url": "http://www.rottentomatoes.com/m/1111103-texas_rangers/"} +{"d:Title": "IMDb - Texas Rangers (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Texas_Rangers", "url": "http://www.imdb.com/title/tt0193560/"} +{"d:Title": "Metacritic.com: Texas Rangers", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/T/Texas_Rangers", "url": "http://www.metacritic.com/movie/texas-rangers"} +{"d:Title": "IMDb - Thank God It's Friday (1978)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Thank_God_It's_Friday", "url": "http://www.imdb.com/title/tt0078382/"} +{"d:Title": "TV Guide: Thank God It's Friday", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Thank_God_It's_Friday", "url": "http://www.tvguide.com/movies/thank-god-friday/120081/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the film.", "topic": "Top/Arts/Movies/Titles/T/Thank_You_For_Smoking", "url": "http://imdb.com/title/tt0427944/"} +{"d:Title": "IMDb - Forever Fever (1998)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/T/That's_the_Way_I_Like_It", "url": "http://www.imdb.com/title/tt0155713/"} +{"d:Title": "Metacritic.com: That's The Way I Like It", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/That's_the_Way_I_Like_It", "url": "http://www.metacritic.com/movie/thats-the-way-i-like-it"} +{"d:Title": "Chicago Sun-Times - That's the Way I Like It", "d:Description": "Review by Roger Ebert (positive), rating.", "topic": "Top/Arts/Movies/Titles/T/That's_the_Way_I_Like_It", "url": "http://www.rogerebert.com/reviews/thats-the-way-i-like-it-1999"} +{"d:Title": "TV Guide: That's the Way I Like It", "d:Description": "Review by Steve Simels (positive), rating.", "topic": "Top/Arts/Movies/Titles/T/That's_the_Way_I_Like_It", "url": "http://www.tvguide.com/movies/thats-way-like/134195/"} +{"d:Title": "IMDb - That Championship Season (1982)", "d:Description": "Includes cast/crew information, reviews, plot synopsis and movie rating.", "topic": "Top/Arts/Movies/Titles/T/That_Championship_Season", "url": "http://www.imdb.com/title/tt0084784/combined"} +{"d:Title": "TV Guide Online: That Championship Season", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/That_Championship_Season", "url": "http://www.tvguide.com/movies/championship-season/120202/"} +{"d:Title": "IMDb: That Thing You Do!", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/That_Thing_You_Do", "url": "http://www.imdb.com/title/tt0117887/"} +{"d:Title": "Allreaders.com: That Thing You Do", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/That_Thing_You_Do", "url": "http://allreaders.com/movie-review-summary/that-thing-you-do-5159"} +{"d:Title": "ChucksConnection: That Was Then... This Is Now", "d:Description": "Complete plot outline, plus details of scenes where Chucks products appear.", "topic": "Top/Arts/Movies/Titles/T/That_Was_Then..._This_Is_Now", "url": "http://www.chucksconnection.com/that.html"} +{"d:Title": "IMDb: That Was Then... This Is Now", "d:Description": "Cast, crew, comments and links", "topic": "Top/Arts/Movies/Titles/T/That_Was_Then..._This_Is_Now", "url": "http://www.imdb.com/title/tt0090151/"} +{"d:Title": "WWWF Ground Zero: Road Rally", "d:Description": "Fantasy competition in which Thelma and Louise battle the Blues Brothers, Speed Racer and others in an all-star cross-country road rally.", "topic": "Top/Arts/Movies/Titles/T/Thelma_and_Louise", "url": "http://www.grudge-match.com/History/roadrally.shtml"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Thelma_and_Louise", "url": "http://crazy4cinema.com/Review/FilmsT/f_thelma.html"} +{"d:Title": "IMDb: Thelma&Louise", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Thelma_and_Louise", "url": "http://www.imdb.com/title/tt0103074/"} +{"d:Title": "The New York Times: The Theory of Flight", "d:Description": "Review by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/T/Theory_of_Flight,_The", "url": "http://www.nytimes.com/1998/12/23/movies/film-review-meeting-mr-right-before-the-reaper.html"} +{"d:Title": "IMDb - The Theory of Flight (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Theory_of_Flight,_The", "url": "http://www.imdb.com/title/tt0120861/"} +{"d:Title": "Roger Ebert - The Theory of Flight", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/T/Theory_of_Flight,_The", "url": "http://www.rogerebert.com/reviews/the-theory-of-flight-1999"} +{"d:Title": "IMDb: There's Nothing Out There", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/There's_Nothing_Out_There", "url": "http://www.imdb.com/title/tt0103077/"} +{"d:Title": "Films on Disc: There's No Business Like Show Business", "d:Description": "Review by Stuart J. Kobak. Donald O'Connor, Mitzi Gaynor, and Marilyn Monroe have some delightful work here. Rated B for the content, and A- for the technical quality of the DVD.", "topic": "Top/Arts/Movies/Titles/T/There's_No_Business_Like_Show_Business", "url": "http://www.filmsondisc.com/dvdpages/theres_no_business_like_show_business.htm"} +{"d:Title": "The Cinema Laser: There's No Business Like Show Business", "d:Description": "Review of the movie and its release on DVD, by Derek M. Germano. Includes several stills.", "topic": "Top/Arts/Movies/Titles/T/There's_No_Business_Like_Show_Business", "url": "http://www.thecinemalaser.com/dvd2/reviews/theres-no-business-like-show-business-dvd.htm"} +{"d:Title": "DVD Movie Guide: There's No Business Like Show Business (1954)", "d:Description": "Review by Colin Jacobson. Warning: he is not a fan of musicals.", "topic": "Top/Arts/Movies/Titles/T/There's_No_Business_Like_Show_Business", "url": "http://www.dvdmg.com/showbusiness.shtml"} +{"d:Title": "IMDb: There's No Business Like Show Business (1954)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, award nominations.", "topic": "Top/Arts/Movies/Titles/T/There's_No_Business_Like_Show_Business", "url": "http://www.imdb.com/title/tt0047574/"} +{"d:Title": "digitallyObsessed: There's No Business Like Show Business (1954)", "d:Description": "Jesse Shanks reviews the DVD release, which is rated for style, substance, audio, video, and extras.", "topic": "Top/Arts/Movies/Titles/T/There's_No_Business_Like_Show_Business", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1208"} +{"d:Title": "All-Reviews.com- There's Something about Mary", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/T/There's_Something_About_Mary", "url": "http://www.all-reviews.com/videos/something-about-mary.htm"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/There's_Something_About_Mary", "url": "http://www.awesomefilm.com/script/aboutmary.htm"} +{"d:Title": "Movie-List", "d:Description": "Trailer for the film.", "topic": "Top/Arts/Movies/Titles/T/There's_Something_About_Mary", "url": "http://www.movie-list.com/trailers.php?id=theressomethingaboutmary"} +{"d:Title": "IMDb: There's Something About Mary", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/There's_Something_About_Mary", "url": "http://www.imdb.com/title/tt0129387/"} +{"d:Title": "TV Guide Online: There's Something About Mary", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/There's_Something_About_Mary", "url": "http://www.tvguide.com/movies/theres-mary/133389/"} +{"d:Title": "IMDb: Th\u00e9r\u00e8se - The Story of Saint Th\u00e9r\u00e8se of Lisieux (2004)", "d:Description": "Plot summary, cast and crew information, and message board.", "topic": "Top/Arts/Movies/Titles/T/Th\u00e9r\u00e8se_-_The_Story_of_Saint_Th\u00e9r\u00e8se_of_Lisieux", "url": "http://www.imdb.com/title/tt0286218/"} +{"d:Title": "Interview with \"Th\u00e9r\u00e8se\" Star Lindsay Younce", "d:Description": "Interview with the actress about the making of the movie.", "topic": "Top/Arts/Movies/Titles/T/Th\u00e9r\u00e8se_-_The_Story_of_Saint_Th\u00e9r\u00e8se_of_Lisieux", "url": "http://faithmag.com/faithmag/issues/sep04.html#culture"} +{"d:Title": "Project Gutenberg Edition of Therese Raquin", "d:Description": "Offers an e-text version of the sinister tale of adultery and murder among the lower classes in nineteenth-century Parisian society. Written by Emile Zola.", "topic": "Top/Arts/Movies/Titles/T/Therese_Raquin", "url": "http://onlinebooks.library.upenn.edu/webbin/gutbook/lookup?num=6626"} +{"d:Title": "IMDb: There Will Be Blood (2007)", "d:Description": "Videos, photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/T/There_Will_Be_Blood", "url": "http://www.imdb.com/title/tt0469494/"} +{"d:Title": "Rotten Tomatoes: There Will Be Blood", "d:Description": "Synopsis, cast list, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/T/There_Will_Be_Blood", "url": "http://www.rottentomatoes.com/m/there_will_be_blood/"} +{"d:Title": "IGN Movies: There Will Be Blood", "d:Description": "Photos, videos, news, message board, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/T/There_Will_Be_Blood", "url": "http://www.ign.com/movies/there-will-be-blood/theater-799891"} +{"d:Title": "MovieWeb: There Will Be Blood (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/T/There_Will_Be_Blood", "url": "http://www.movieweb.com/movie/there-will-be-blood"} +{"d:Title": "IMDb - These Three (1936)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/These_Three", "url": "http://www.imdb.com/title/tt0028356/"} +{"d:Title": "TV Guide: These Three", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/These_Three", "url": "http://www.tvguide.com/movies/three/120302/"} +{"d:Title": "They (2002)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/They", "url": "http://www.imdb.com/title/tt0283632/"} +{"d:Title": "All Movie Guide: Sie Haben Knut", "d:Description": "Synopsis by Mark Deming, cast, and production credits.", "topic": "Top/Arts/Movies/Titles/T/They've_Got_Knut", "url": "http://www.allmovie.com/movie/sie-haben-knut-v285148"} +{"d:Title": "IMDB : They Call Me Mister Tibbs", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/They_Call_Me_Mister_Tibbs", "url": "http://www.imdb.com/title/tt0066450/"} +{"d:Title": "TV Guide: They Call Me Mister Tibbs", "d:Description": "Movie review, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/They_Call_Me_Mister_Tibbs", "url": "http://www.tvguide.com/movies/mister-tibbs/120312/"} +{"d:Title": "The Spinning Image: They Call Me Trinity", "d:Description": "Review and rating of this film.", "topic": "Top/Arts/Movies/Titles/T/They_Call_Me_Trinity", "url": "http://www.thespinningimage.co.uk/cultfilms/displaycultfilm.asp?reviewid=478"} +{"d:Title": "Movieprop.com - They Live", "d:Description": "Contains character profiles, pictures, links, and a review.", "topic": "Top/Arts/Movies/Titles/T/They_Live", "url": "http://www.movieprop.com/tvandmovie/reviews/theylive.htm"} +{"d:Title": "IMDb - They Live", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/They_Live", "url": "http://www.imdb.com/title/tt0096256/"} +{"d:Title": "TV Guide: They Live", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/They_Live", "url": "http://www.tvguide.com/movies/live/127137/"} +{"d:Title": "IMDb - They Shoot Horses, Don't They? (1969)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/They_Shoot_Horses,_Don't_They", "url": "http://www.imdb.com/title/tt0065088/"} +{"d:Title": "TV Guide Online: They Shoot Horses, Don't They?", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/They_Shoot_Horses,_Don't_They", "url": "http://www.tvguide.com/movies/shoot-horses-dont/120354/"} +{"d:Title": "All-Reviews: The Thief of Bagdad", "d:Description": "Review by Brian Koller.", "topic": "Top/Arts/Movies/Titles/T/Thief_of_Bagdad_-_1940", "url": "http://www.all-reviews.com/videos-4/thief-of-bagdad.htm"} +{"d:Title": "IMDb: Thief of Bagdad, The (1940)", "d:Description": "Synopsis, cast and crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/T/Thief_of_Bagdad_-_1940", "url": "http://www.imdb.com/title/tt0033152/"} +{"d:Title": "The Sci-Fi Movie Page: The Thing", "d:Description": "Comparison of the remake with the original 1950s movie, by James O'Ehley.", "topic": "Top/Arts/Movies/Titles/T/Thing,_The", "url": "http://www.scifimoviepage.com/sept99pik.html"} +{"d:Title": "IMDb: The Thing (1982)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Thing,_The", "url": "http://www.imdb.com/title/tt0084787/"} +{"d:Title": "Rotten Tomatoes: The Thing", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/Thing,_The", "url": "http://www.rottentomatoes.com/m/1021244-thing/"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Thing,_The", "url": "http://www.awesomefilm.com/script/thing.pdf"} +{"d:Title": "IMDb - Things to Come (1936)", "d:Description": "Includes full cast/crew information, reviews, plot synopsis and movie rating.", "topic": "Top/Arts/Movies/Titles/T/Things_to_Come", "url": "http://www.imdb.com/title/tt0028358/combined"} +{"d:Title": "All-Reviews.com - Things to do in Denver When You're Dead", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/T/Things_to_Do_in_Denver_When_You're_Dead", "url": "http://all-reviews.com/videos-5/things-to-do-in-denver.htm"} +{"d:Title": "IMDb: Things to Do in Denver When You're Dead", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Things_to_Do_in_Denver_When_You're_Dead", "url": "http://www.imdb.com/title/tt0114660/"} +{"d:Title": "Allreaders.com: Things To Do In Denver When You're Dead", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Things_to_Do_in_Denver_When_You're_Dead", "url": "http://allreaders.com/movie-review-summary/things-do-in-denver-when-you-3865"} +{"d:Title": "Rotten Tomatoes - Things You Can Tell Just By Looking At Her", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/T/Things_You_Can_Tell_Just_by_Looking_at_Her", "url": "http://www.rottentomatoes.com/m/things_you_can_tell_just_by_looking_at_her/"} +{"d:Title": "IMDb - Things You Can Tell Just by Looking at Her", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Things_You_Can_Tell_Just_by_Looking_at_Her", "url": "http://www.imdb.com/title/tt0210358/"} +{"d:Title": "Documentary Films: The Thin Blue Line", "d:Description": "Review with a list of the crew.", "topic": "Top/Arts/Movies/Titles/T/Thin_Blue_Line,_The", "url": "http://www.documentaryfilms.net/Reviews/ThinBlueLine/"} +{"d:Title": "IMDb: Thin Blue Line, The", "d:Description": "Cast and crew, summary, and user reviews.", "topic": "Top/Arts/Movies/Titles/T/Thin_Blue_Line,_The", "url": "http://www.imdb.com/title/tt0096257/"} +{"d:Title": "Nick and Nora Charles", "d:Description": "An illustrated page on the fictional detectives, covering all the films as well as television and radio versions.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series", "url": "http://www.thrillingdetective.com/charles.html"} +{"d:Title": "The Thin Man Movies", "d:Description": "Illustrated reviews of all six films.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series", "url": "http://www.bricaran.com/thinman/tmfilms.htm"} +{"d:Title": "I Love Asta", "d:Description": "Illustrated fan site for the movie dog, who starred in the Thin Man movies.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series", "url": "http://www.iloveasta.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/After_the_Thin_Man", "url": "http://imdb.com/title/tt0027260/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Another_Thin_Man", "url": "http://imdb.com/title/tt0031047/"} +{"d:Title": "Shadow Of The Thin Man", "d:Description": "Commentary by Roger Zotti on the fourth film with remarks on the entire series.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Shadow_of_the_Thin_Man", "url": "http://www.3blackchicks.com/vv-rzshadow.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Shadow_of_the_Thin_Man", "url": "http://imdb.com/title/tt0034172/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Song_of_the_Thin_Man", "url": "http://imdb.com/title/tt0039853/"} +{"d:Title": "Filmsite.org", "d:Description": "Review of the first film in the series with notes on the sequels and the radio series.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Thin_Man,_The", "url": "http://www.filmsite.org/thin.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Thin_Man,_The", "url": "http://imdb.com/title/tt0025878/"} +{"d:Title": "Chicago Sun Times", "d:Description": "Roger Ebert's review of this classic film, together with short biographical information on the star William Powell.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Thin_Man,_The", "url": "http://www.rogerebert.com/reviews/great-movie-the-thin-man-1934"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/T/Thin_Man_Series/Thin_Man_Goes_Home,_The", "url": "http://imdb.com/title/tt0037365/"} +{"d:Title": "All-Reviews.com - Thin Red Line", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.all-reviews.com/videos/thin-red-line.htm"} +{"d:Title": "The Thin Red Line Shrine", "d:Description": "Fan site with image galleries, script excerpts, quotes, and interviews.", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.eskimo.com/~toates/malick/trl/"} +{"d:Title": "Washington Post - Thin Long Movie", "d:Description": "Stephen Hunter reviews the portrait of an infantry company in combat on Guadalcanal 'The Thin Red Line'.", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.washingtonpost.com/wp-srv/style/movies/reviews/thinredlinehunter.htm"} +{"d:Title": "New York Times - The Thin Red Line Film Review", "d:Description": "Janet Maslin analyzes the film depicting beauty and destruction in Pacific Battle.", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.nytimes.com/library/film/122398redline-film-review.html"} +{"d:Title": "Metacritic.com: The Thin Red Line", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.metacritic.com/movie/the-thin-red-line"} +{"d:Title": "IMDB: The Thin Red Line", "d:Description": "Information about The Thin Red Line film from the Internet Movie Database", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.imdb.com/title/tt0120863/"} +{"d:Title": "Chicago Sun-Times - The Thin Red Line", "d:Description": "Roger Ebert reviews the war movie written and directed by Terrence Malick.", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.rogerebert.com/reviews/the-thin-red-line-1999"} +{"d:Title": "Allreaders.com: The Thin Red Line", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://allreaders.com/movie-review-summary/the-thin-red-line-4259"} +{"d:Title": "TV Guide: Thin Red Line, The", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Thin_Red_Line,_The_-_1998", "url": "http://www.tvguide.com/movies/red-line/132800/"} +{"d:Title": "Greatest Films: The Third Man", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/T/Third_Man,_The", "url": "http://www.filmsite.org/thir.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Third_Man,_The", "url": "http://crazy4cinema.com/Review/FilmsT/f_3rdman.html"} +{"d:Title": "Classic Movies: The Third Man", "d:Description": "Review, pictures, credits, and award list.", "topic": "Top/Arts/Movies/Titles/T/Third_Man,_The", "url": "http://auschwitz.dk/Orson.htm"} +{"d:Title": "About.com: The Third Man", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/T/Third_Man,_The", "url": "http://homevideo.about.com/library/weekly/aa091201a.htm"} +{"d:Title": "IMDb: The Third Man", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Third_Man,_The", "url": "http://www.imdb.com/title/tt0041959/"} +{"d:Title": "Guardian Unlimited Film: Carol Reed: The Third Man", "d:Description": "Feature on the film described as 'probably the best film noir ever made out of Britain'.", "topic": "Top/Arts/Movies/Titles/T/Third_Man,_The", "url": "http://www.theguardian.com/film/2000/mar/16/1"} +{"d:Title": "TV Guide Online: Third Man, The", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Third_Man,_The", "url": "http://www.tvguide.com/movies/man/111220/"} +{"d:Title": "HARO Online - The Third Miracle", "d:Description": "Mongoose rates the movie: not that good.", "topic": "Top/Arts/Movies/Titles/T/Third_Miracle,_The", "url": "http://www.haro-online.com/movies/third_miracle.html"} +{"d:Title": "All-Reviews.com: The Third Miracle", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Third_Miracle,_The", "url": "http://www.all-reviews.com/videos-3/third-miracle.htm"} +{"d:Title": "Metacritic.com: The Third Miracle", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Third_Miracle,_The", "url": "http://www.metacritic.com/movie/the-third-miracle"} +{"d:Title": "The Third Society - Official Site", "d:Description": "Warrior Entertainment presents a JA Steel film.", "topic": "Top/Arts/Movies/Titles/T/Third_Society,_The", "url": "http://www.warriorentertainment.com/"} +{"d:Title": "IMDb - The Third Society (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Third_Society,_The", "url": "http://www.imdb.com/title/tt0221637/"} +{"d:Title": "Popentertainment.com: Thirteen", "d:Description": "Jay S. Jacobs' assessment of the drama.", "topic": "Top/Arts/Movies/Titles/T/Thirteen", "url": "http://www.popentertainment.com/thirteen.htm"} +{"d:Title": "HARO Online: Thirteen", "d:Description": "Review by 'Mongoose.'", "topic": "Top/Arts/Movies/Titles/T/Thirteen", "url": "http://www.haro-online.com/movies/thirteen.html"} +{"d:Title": "IMDb: Thirteen", "d:Description": "Includes plot outline, cast and crew information, user comments and ratings, photo gallery and links.", "topic": "Top/Arts/Movies/Titles/T/Thirteen", "url": "http://www.imdb.com/title/tt0328538/"} +{"d:Title": "All-Reviews.com - Thirteen", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Thirteen", "url": "http://all-reviews.com/videos-5/thirteen.htm"} +{"d:Title": "Moviefone: Thirteen", "d:Description": "Includes review, cast and crew information, signature moment and production notes.", "topic": "Top/Arts/Movies/Titles/T/Thirteen", "url": "http://www.moviefone.com/movie/thirteen/14894/main"} +{"d:Title": "NYTimes.com: Trading Barbie for Drugs, Sex and Halter Tops", "d:Description": "Elvis Mitchell reviews the film 'Thirteen.'", "topic": "Top/Arts/Movies/Titles/T/Thirteen", "url": "http://www.nytimes.com/2003/08/20/movies/film-review-trading-barbie-for-drugs-sex-and-halter-tops.html"} +{"d:Title": "Chicago Sun-Times: Thirteen", "d:Description": "Roger Ebert's take on the film.", "topic": "Top/Arts/Movies/Titles/T/Thirteen", "url": "http://www.rogerebert.com/reviews/thirteen-2000"} +{"d:Title": "HARO Online - The Thirteenth Floor", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/T/Thirteenth_Floor", "url": "http://www.haro-online.com/movies/thirteenth_floor.html"} +{"d:Title": "All-Reviews.com - Thirteenth Floor", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/T/Thirteenth_Floor", "url": "http://www.all-reviews.com/videos/thirteenth-floor.htm"} +{"d:Title": "Rotten Tomatoes Reviews", "d:Description": "from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/T/Thirteenth_Floor", "url": "http://www.rottentomatoes.com/m/1089671-13th_floor/"} +{"d:Title": "IMDb: The Thirteenth Floor", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Thirteenth_Floor", "url": "http://www.imdb.com/title/tt0139809/"} +{"d:Title": "PopMatters - Thirteen Days", "d:Description": "Review of the film and national showtimes.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://popmatters.com/film/reviews/t/thirteen-days.html"} +{"d:Title": "HARO Online - Thirteen Days", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://www.haro-online.com/movies/thirteen_days.html"} +{"d:Title": "Brian W. Fairbanks: Thirteen Days", "d:Description": "Review from the archives of Paris Woman Journal.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://www.angelfire.com/oh2/writer/thirteendays.html"} +{"d:Title": "About.com: Thirteen Days", "d:Description": "Review by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://homevideo.about.com/library/weekly/aa071801a.htm"} +{"d:Title": "Filmtracks: Thirteen Days", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://www.filmtracks.com/titles/thirteen_days.html"} +{"d:Title": "RottenTomatoes: Thirteen Days", "d:Description": "Reviews from the nation's top critics and audiences. Includes movie information, trailer, interviews, articles, and box office figures.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://www.rottentomatoes.com/m/thirteen_days/"} +{"d:Title": "Moviemalls.com", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://www.moviemalls.com/papers/13days.txt"} +{"d:Title": "IMDb: Thirteen Days", "d:Description": "Plot summary, cast and crew information, and links.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://www.imdb.com/title/tt0146309/"} +{"d:Title": "Allreaders - 13 Days Spotlight", "d:Description": "Analysis of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Days", "url": "http://allreaders.com/movie-review-summary/thirteen-days-4893"} +{"d:Title": "All-Reviews.com: Thirteen Ghosts", "d:Description": "Reviews of the movie with film details and ratings.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Ghosts", "url": "http://all-reviews.com/videos-4/thirteen-ghosts.htm"} +{"d:Title": "HARO Online: 13 Ghosts", "d:Description": "Negative review which looks at the horror genre, plot and scenery in the film. A rating of 'Not That Good' was given to this film.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Ghosts", "url": "http://www.haro-online.com/movies/13_ghosts.html"} +{"d:Title": "Shade.ca: Thirteen Ghosts", "d:Description": "Synopsis, review, and film recommendations.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Ghosts", "url": "http://www.shade.ca/getarticle.asp?i=159"} +{"d:Title": "IMDb: Thir13en Ghosts", "d:Description": "The Internet Movie Database includes plot outline, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Ghosts", "url": "http://www.imdb.com/title/tt0245674/"} +{"d:Title": "Metacritic.com: 13 Ghosts", "d:Description": "Includes user reviews and ratings, cast listing, plot concept, quotes from and links to reviews.", "topic": "Top/Arts/Movies/Titles/T/Thirteen_Ghosts", "url": "http://www.metacritic.com/movie/thirteen-ghosts"} +{"d:Title": "Scotland the Movie Location Guide: The Thirty Nine Steps (1959)", "d:Description": "Maps and pictures of filming locations.", "topic": "Top/Arts/Movies/Titles/T/Thirty-Nine_Steps,_The_-_1959", "url": "http://www.scotlandthemovie.com/movies/fsteps.html"} +{"d:Title": "IMDb: The Thirty-Nine Steps (1959)", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/T/Thirty-Nine_Steps,_The_-_1959", "url": "http://www.imdb.com/title/tt0053354/"} +{"d:Title": "IMDb: This Boy's Life (1993)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/This_Boy's_Life", "url": "http://www.imdb.com/title/tt0108330/"} +{"d:Title": "Rotten Tomatoes: This Boy's Life", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/This_Boy's_Life", "url": "http://www.rottentomatoes.com/m/this_boys_life/"} +{"d:Title": "The New York Times: This Boy's Life", "d:Description": "Review by Vincent Canby.", "topic": "Top/Arts/Movies/Titles/T/This_Boy's_Life", "url": "http://www.nytimes.com/1993/04/09/movies/review-film-an-american-boyhood-memoir-of-the-1950-s.html"} +{"d:Title": "Chicago Sun-Times: This Boy's Life", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/This_Boy's_Life", "url": "http://www.rogerebert.com/reviews/this-boys-life-1993"} +{"d:Title": "IMDb - This Island Earth (1954)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/This_Island_Earth", "url": "http://www.imdb.com/title/tt0047577/"} +{"d:Title": "TV Guide Online: This Island Earth", "d:Description": "Includes short movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/This_Island_Earth", "url": "http://www.tvguide.com/movies/island-earth/127030/"} +{"d:Title": "IMDb: This Is England (2006)", "d:Description": "Photo gallery, plot outlines, cast list, trivia, quotes, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/T/This_Is_England", "url": "http://www.imdb.com/title/tt0480025/"} +{"d:Title": "Rotten Tomatoes: This Is England", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/T/This_Is_England", "url": "http://www.rottentomatoes.com/m/this_is_england/"} +{"d:Title": "IGN Movies: This Is England", "d:Description": "Message board, videos, and a review (rating: 4 out of 5).", "topic": "Top/Arts/Movies/Titles/T/This_Is_England", "url": "http://www.ign.com/movies/this-is-england/theater-903999"} +{"d:Title": "MovieWeb: This Is England (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/T/This_Is_England", "url": "http://www.movieweb.com/movie/this-is-england"} +{"d:Title": "American Digs Up His Roots in an Irish Flashback", "d:Description": "Review by Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/T/This_Is_My_Father", "url": "http://www.nytimes.com/1999/05/07/movies/film-review-american-digs-up-his-roots-in-an-irish-flashback.html"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the re-release.", "topic": "Top/Arts/Movies/Titles/T/This_Is_Spinal_Tap", "url": "http://www.haro-online.com/movies/this_is_spinal_tap2000.html"} +{"d:Title": "PopMatters: This Is Spinal Tap", "d:Description": "Includes a review of the film.", "topic": "Top/Arts/Movies/Titles/T/This_Is_Spinal_Tap", "url": "http://popmatters.com/film/reviews/t/this-is-spinal-tap.html"} +{"d:Title": "SpinalTapFan.com", "d:Description": "Includes information and facts about the band, a trivia quiz, and links.", "topic": "Top/Arts/Movies/Titles/T/This_Is_Spinal_Tap", "url": "http://www.spinaltapfan.com/"} +{"d:Title": "IMDb: This Is Spinal Tap", "d:Description": "Cast/credits plus additional information for the film This Is Spinal Tap", "topic": "Top/Arts/Movies/Titles/T/This_Is_Spinal_Tap", "url": "http://www.imdb.com/title/tt0088258/"} +{"d:Title": "Metacritic.com: This Is Spinal Tap", "d:Description": "A cross-section of reviews of the film from a variety of different critics.", "topic": "Top/Arts/Movies/Titles/T/This_Is_Spinal_Tap", "url": "http://www.metacritic.com/movie/this-is-spinal-tap"} +{"d:Title": "TV Guide Online: This Is Spinal Tap", "d:Description": "Includes movie review, plot synopsis, cast/crew information and a picture.", "topic": "Top/Arts/Movies/Titles/T/This_Is_Spinal_Tap", "url": "http://www.tvguide.com/movies/spinal-tap/127024/"} +{"d:Title": "HARO Online: This Old Cub", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/This_Old_Cub", "url": "http://www.haro-online.com/movies/this_old_cub.html"} +{"d:Title": "IMDb: This Old Cub (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/This_Old_Cub", "url": "http://www.imdb.com/title/tt0408261/"} +{"d:Title": "Rotten Tomatoes: This Old Cub", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/This_Old_Cub", "url": "http://www.rottentomatoes.com/m/this_old_cub/"} +{"d:Title": "Efilmcritic: This Old Cub", "d:Description": "Review by Erik Childress.", "topic": "Top/Arts/Movies/Titles/T/This_Old_Cub", "url": "http://www.efilmcritic.com/review.php?movie=9160&reviewer=198"} +{"d:Title": "IMDb: This Property is Condemned", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/This_Property_Is_Condemned", "url": "http://www.imdb.com/title/tt0061089/"} +{"d:Title": "Allreaders.com: This Property is Condemned", "d:Description": "Analytical review of the plot, character, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/This_Property_Is_Condemned", "url": "http://allreaders.com/movie-review-summary/this-property-is-condemned-4552"} +{"d:Title": "Film Court: This Sporting Life", "d:Description": "Detailed synopsis.", "topic": "Top/Arts/Movies/Titles/T/This_Sporting_Life", "url": "http://www.culturecourt.com/F/Angry/SportLife.htm"} +{"d:Title": "IMDb: This Sporting Life", "d:Description": "Synopsis, cast and crew, awards and links.", "topic": "Top/Arts/Movies/Titles/T/This_Sporting_Life", "url": "http://www.imdb.com/title/tt0057578/"} +{"d:Title": "This Thing of Ours", "d:Description": "By David Kehr [New York Times].", "topic": "Top/Arts/Movies/Titles/T/This_Thing_of_Ours", "url": "http://www.nytimes.com/2003/07/18/movies/film-in-review-this-thing-of-ours.html"} +{"d:Title": "IMDb - This Thing of Ours", "d:Description": "Features cast and crew details, photos, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/T/This_Thing_of_Ours", "url": "http://www.imdb.com/title/tt0338497/"} +{"d:Title": "IMDb: This Year's Love", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/T/This_Year's_Love", "url": "http://www.imdb.com/title/tt0153032/"} +{"d:Title": "All-Reviews.com - Thomas and the Magic Railroad", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Thomas_and_the_Magic_Railroad", "url": "http://www.all-reviews.com/videos/thomas-and-magic.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/T/Thomas_and_the_Magic_Railroad", "url": "http://www.haro-online.com/movies/thomas_and_the_magic_railroad.html"} +{"d:Title": "Rotten Tomatoes: Thomas and the Magic Railroad", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/T/Thomas_and_the_Magic_Railroad", "url": "http://www.rottentomatoes.com/m/thomas_and_the_magic_railroad/"} +{"d:Title": "Metacritic.com: Thomas and the Magic Railroad", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Thomas_and_the_Magic_Railroad", "url": "http://www.metacritic.com/movie/thomas-and-the-magic-railroad"} +{"d:Title": "IMDb - Thomas and the Magic Railroad (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Thomas_and_the_Magic_Railroad", "url": "http://www.imdb.com/title/tt0205461/"} +{"d:Title": "IMDb: The Thomas Crown Affair (1968)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Thomas_Crown_Affair,_The_-_1968", "url": "http://www.imdb.com/title/tt0063688/"} +{"d:Title": "TV Guide Online: The Thomas Crown Affair", "d:Description": "Includes movie review, plot synopsis, cast/crew information, and a picture.", "topic": "Top/Arts/Movies/Titles/T/Thomas_Crown_Affair,_The_-_1968", "url": "http://www.tvguide.com/movies/thomas-crown-affair/120382/"} +{"d:Title": "All-Reviews.com", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Thomas_Crown_Affair,_The_-_1999", "url": "http://www.all-reviews.com/videos/thomascrown.htm"} +{"d:Title": "HARO Online: The Thomas Crown Affair", "d:Description": "Summary and review of the film.", "topic": "Top/Arts/Movies/Titles/T/Thomas_Crown_Affair,_The_-_1999", "url": "http://www.haro-online.com/movies/thomas_crown.html"} +{"d:Title": "Rotten Tomatoes: The Thomas Crown Affair", "d:Description": "Includes reviews and synopsis.", "topic": "Top/Arts/Movies/Titles/T/Thomas_Crown_Affair,_The_-_1999", "url": "http://www.rottentomatoes.com/m/thomas_crown_affair_the/"} +{"d:Title": "IMDb: The Thomas Crown Affair (1999)", "d:Description": "Cast, credits, plot summary, viewer comments, plus other information about the film.", "topic": "Top/Arts/Movies/Titles/T/Thomas_Crown_Affair,_The_-_1999", "url": "http://www.imdb.com/title/tt0155267/"} +{"d:Title": "Crazy for Cinema: A Thousand Acres", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/T/Thousand_Acres,_A", "url": "http://crazy4cinema.com/Review/FilmsT/f_acres.html"} +{"d:Title": "IMDb: A Thousand Acres", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Thousand_Acres,_A", "url": "http://www.imdb.com/title/tt0120323/"} +{"d:Title": "Chicago Sun-Times: A Thousand Acres", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Thousand_Acres,_A", "url": "http://www.rogerebert.com/reviews/a-thousand-acres-1997"} +{"d:Title": "IMDb - Three-Cornered Moon (1933)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/T/Three-Cornered_Moon", "url": "http://www.imdb.com/title/tt0024664/"} +{"d:Title": "TV Guide: Three-Cornered Moon", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/T/Three-Cornered_Moon", "url": "http://www.tvguide.com/movies/cornered-moon/120437/"} +{"d:Title": "All-Reviews.com - Threesome", "d:Description": "Review by Steve Rhodes of the romantic comedy starring Stephen Baldwin and Lara Flynn Boyle.", "topic": "Top/Arts/Movies/Titles/T/Threesome", "url": "http://www.all-reviews.com/videos-3/threesome.htm"} +{"d:Title": "IMDb: Three Amigos!", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Three_Amigos", "url": "http://www.imdb.com/title/tt0092086/"} +{"d:Title": "TV Guide: Three Amigos", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Three_Amigos", "url": "http://www.tvguide.com/movies/amigos/120419/"} +{"d:Title": "IMDb - The Three Burials of Melquiades Estrada (2005)", "d:Description": "Synopsis, cast and crew information, user comments.", "topic": "Top/Arts/Movies/Titles/T/Three_Burials_of_Melquiades_Estrada,_The", "url": "http://www.imdb.com/title/tt0419294/"} +{"d:Title": "The Three Burials of Melquiades Estrada", "d:Description": "Official site for the film provides a synopsis, cast and crew information, photograph gallery and reviews.", "topic": "Top/Arts/Movies/Titles/T/Three_Burials_of_Melquiades_Estrada,_The", "url": "http://www.sonyclassics.com/threeburials/"} +{"d:Title": "About.com: Three Colors Trilogy", "d:Description": "Ivana Redwine reviews the films on DVD.", "topic": "Top/Arts/Movies/Titles/T/Three_Colors_Trilogy", "url": "http://homevideo.about.com/library/weekly/aafpr041603.htm"} +{"d:Title": "IMDb: Red (Trois Couleurs - Rouge) (1994)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Three_Colors_Trilogy/Red", "url": "http://www.imdb.com/title/tt0111495/"} +{"d:Title": "All-Reviews: Three Days of the Condor", "d:Description": "Various various of the film.", "topic": "Top/Arts/Movies/Titles/T/Three_Days_of_the_Condor", "url": "http://www.all-reviews.com/videos-4/three-days-of-condor.htm"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Three_Days_of_the_Condor", "url": "http://www.awesomefilm.com/script/ThreeDaysoftheCondor.pdf"} +{"d:Title": "IMDb: Three Days of the Condor", "d:Description": "Cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/T/Three_Days_of_the_Condor", "url": "http://www.imdb.com/title/tt0073802/"} +{"d:Title": "Deseret News: Three Fugitives", "d:Description": "Review of the film by Chris Hicks. \"Next time, let's hope he makes a farce that is really a farce all the way.\"", "topic": "Top/Arts/Movies/Titles/T/Three_Fugitives", "url": "http://www.deseretnews.com/article/700001840/Three-Fugitives.html"} +{"d:Title": "TV Guide Online: Three Fugitives", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Three_Fugitives", "url": "http://www.tvguide.com/movies/fugitives/127680/"} +{"d:Title": "HARO Online - Three Kings", "d:Description": "Haro rates the movie: not bad.", "topic": "Top/Arts/Movies/Titles/T/Three_Kings", "url": "http://haro-online.com/movies/three_kings.html"} +{"d:Title": "All-Reviews.com- Three Kings (1999)", "d:Description": "Large collection of reviews and movie facts.", "topic": "Top/Arts/Movies/Titles/T/Three_Kings", "url": "http://www.all-reviews.com/videos/threekings.htm"} +{"d:Title": "War and Peace, Smalltime - Three Kings.", "d:Description": "Review of Three Kings, by Jill Stauffer (from h2so4 magazine).", "topic": "Top/Arts/Movies/Titles/T/Three_Kings", "url": "http://www.h2so4.net/politics/warpeace.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/T/Three_Kings", "url": "http://www.rottentomatoes.com/m/three_kings/"} +{"d:Title": "Dailyscript.com", "d:Description": "Shooting script for the movie.", "topic": "Top/Arts/Movies/Titles/T/Three_Kings", "url": "http://www.dailyscript.com/scripts/three-kings_shooting.html"} +{"d:Title": "IMDb: Three Kings", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Three_Kings", "url": "http://www.imdb.com/title/tt0120188/"} +{"d:Title": "IMDb: The Three Musketeers (1948)", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Three_Musketeers,_The_-_1948", "url": "http://www.imdb.com/title/tt0040876/"} +{"d:Title": "Allreaders.com: The Three Musketeers", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Three_Musketeers,_The_-_1948", "url": "http://allreaders.com/movie-review-summary/the-three-musketeers-1948-6797"} +{"d:Title": "Movieprop.com - Three O'Clock High", "d:Description": "Features character profiles, pictures, links, and a review.", "topic": "Top/Arts/Movies/Titles/T/Three_O'Clock_High", "url": "http://movieprop.com/tvandmovie/reviews/threeoclockhigh.htm"} +{"d:Title": "IMDb - Three O'Clock High", "d:Description": "Contains quotes, goofs, trivia, and cast and crew details.", "topic": "Top/Arts/Movies/Titles/T/Three_O'Clock_High", "url": "http://www.imdb.com/title/tt0094138/"} +{"d:Title": "IMDb: Three Seasons (1999)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Three_Seasons", "url": "http://www.imdb.com/title/tt0138874/"} +{"d:Title": "PopMatters:Three to Tango", "d:Description": "Review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/T/Three_to_Tango", "url": "http://popmatters.com/film/reviews/t/three-to-tango.html"} +{"d:Title": "Crazy for Cinema: Three to Tango", "d:Description": "Review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Three_to_Tango", "url": "http://crazy4cinema.com/Review/FilmsT/f_three_tango.html"} +{"d:Title": "IMDb: Three to Tango (1999)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/T/Three_to_Tango", "url": "http://www.imdb.com/title/tt0144640/"} +{"d:Title": "Metacritic.com: Three To Tango", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/T/Three_to_Tango", "url": "http://www.metacritic.com/movie/three-to-tango"} +{"d:Title": "Three To Tango", "d:Description": "Official site. Synopsis, cast and crew biographies, production information, and multimedia.", "topic": "Top/Arts/Movies/Titles/T/Three_to_Tango", "url": "http://www.warnerbros.com/three-tango"} +{"d:Title": "All-Reviews.com - Three Wishes", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/T/Three_Wishes_-_1995", "url": "http://www.all-reviews.com/videos-3/three-wishes.htm"} +{"d:Title": "IMDb: Thunderbirds (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Thunderbirds", "url": "http://www.imdb.com/title/tt0167456/"} +{"d:Title": "Rotten Tomatoes: Thunderbirds", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/T/Thunderbirds", "url": "http://www.rottentomatoes.com/m/thunderbirds/"} +{"d:Title": "Filmtracks: Thunderbirds", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Thunderbirds", "url": "http://www.filmtracks.com/titles/thunderbirds.html"} +{"d:Title": "Chicago Sun-Times: Thunderbirds", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Thunderbirds", "url": "http://www.rogerebert.com/reviews/thunderbirds-2004"} +{"d:Title": "YCDTOTV.de: Thunderpants", "d:Description": "A gallery of screen captures from the movie.", "topic": "Top/Arts/Movies/Titles/T/Thunderpants", "url": "http://www.ycdtotv.de/hp/index_t.htm"} +{"d:Title": "IMDb: Thunderpants (2002)", "d:Description": "Synopsis, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Thunderpants", "url": "http://www.imdb.com/title/tt0283054/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the film.", "topic": "Top/Arts/Movies/Titles/T/Thunder_Bay", "url": "http://imdb.com/title/tt0046425/"} +{"d:Title": "IMDb - Thunder Road (1958)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Thunder_Road", "url": "http://www.imdb.com/title/tt0052293/"} +{"d:Title": "TV Guide: Thunder Road", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Thunder_Road", "url": "http://www.tvguide.com/movies/thunder-road/120557/"} +{"d:Title": "Filmtracks: THX 1138", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/THX_1138", "url": "http://www.filmtracks.com/titles/thx_1138.html"} +{"d:Title": "IMDb: THX 1138", "d:Description": "Cast/credits plus additional information for the film", "topic": "Top/Arts/Movies/Titles/T/THX_1138", "url": "http://www.imdb.com/title/tt0066434/"} +{"d:Title": "HARO Online: Tibet - Cry of the Snow Lion", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Tibet_-_Cry_of_the_Snow_Lion", "url": "http://www.haro-online.com/movies/tibet_cry_of_the_snow_lion.html"} +{"d:Title": "IMDb: Tibet - Cry of the Snow Lion (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Tibet_-_Cry_of_the_Snow_Lion", "url": "http://www.imdb.com/title/tt0373389/"} +{"d:Title": "Rotten Tomatoes: Tibet - Cry of the Snow Lion", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/Tibet_-_Cry_of_the_Snow_Lion", "url": "http://www.rottentomatoes.com/m/tibet_cry_of_the_snow_lion/"} +{"d:Title": "Metacritic.com: Tic Code", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/T/Tic_Code,_The", "url": "http://www.metacritic.com/movie/the-tic-code"} +{"d:Title": "Rotten Tomatoes: Tic Code (2000)", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/T/Tic_Code,_The", "url": "http://www.rottentomatoes.com/m/the-tic-code/"} +{"d:Title": "Metacritic.com: Tigerland", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/T/Tigerland", "url": "http://www.metacritic.com/movie/tigerland"} +{"d:Title": "Tiger Street (1998)", "d:Description": "Cast and crew details from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Tiger_Street", "url": "http://www.imdb.com/title/tt0262830/"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Offers a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Til_There_Was_You", "url": "http://crazy4cinema.com/Review/FilmsT/f_til_there.html"} +{"d:Title": "IMDb - 'Til There Was You (1997)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Til_There_Was_You", "url": "http://www.imdb.com/title/tt0118523/"} +{"d:Title": "Movieweb - Til There Was You", "d:Description": "Synopsis, cast list, photos.", "topic": "Top/Arts/Movies/Titles/T/Til_There_Was_You", "url": "http://www.movieweb.com/movie/til-there-was-you"} +{"d:Title": "TV Guide Online: 'Til There Was You", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Til_There_Was_You", "url": "http://www.tvguide.com/movies/til/131285/"} +{"d:Title": "PopMatters: Time Code", "d:Description": "Review of the film by P. Nelson Reinsch.", "topic": "Top/Arts/Movies/Titles/T/Timecode", "url": "http://popmatters.com/film/reviews/t/time-code.html"} +{"d:Title": "HARO Online: Time Code", "d:Description": "Review of the film by 'Mongoose.'", "topic": "Top/Arts/Movies/Titles/T/Timecode", "url": "http://www.haro-online.com/movies/time_code.html"} +{"d:Title": "IMDb: Time Code 2000", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/T/Timecode", "url": "http://imdb.com/title/tt0220100/"} +{"d:Title": "Rotten Tomatoes: Time Code (2000)", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/T/Timecode", "url": "http://www.rottentomatoes.com/m/timecode/"} +{"d:Title": "Metacritic.com: Time Code", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/T/Timecode", "url": "http://www.metacritic.com/movie/timecode"} +{"d:Title": "Timecop (1994)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/T/Timecop", "url": "http://www.imdb.com/title/tt0111438/"} +{"d:Title": "TV Guide: Timecop", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Timecop", "url": "http://www.tvguide.com/movies/timecop/130171/"} +{"d:Title": "Internet Movie Database: Timeline", "d:Description": "Plot summary, cast and crew, trivia, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/T/Timeline", "url": "http://imdb.com/title/tt0300556/"} +{"d:Title": "HARO Online: Timeline", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/T/Timeline", "url": "http://www.haro-online.com/movies/timeline.html"} +{"d:Title": "All-Reviews.com - Timeline", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Timeline", "url": "http://www.all-reviews.com/videos-5/timeline.htm"} +{"d:Title": "Filmtracks: Timeline", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Timeline", "url": "http://www.filmtracks.com/titles/timeline.html"} +{"d:Title": "All-Reviews.com: Time After Time", "d:Description": "Dragan Antulov's review: \"A more than good piece of science fiction cinema that could outrank not only some of the time travel atrocities of its own time, but also some of the future films with much more fame.\" 3 out of 4 stars.", "topic": "Top/Arts/Movies/Titles/T/Time_After_Time", "url": "http://www.all-reviews.com/videos-4/time-after-time.htm"} +{"d:Title": "IMDb: Time After Time (1979)", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Time_After_Time", "url": "http://www.imdb.com/title/tt0080025/"} +{"d:Title": "HARO Online: Time and Tide", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Time_and_Tide", "url": "http://www.haro-online.com/movies/time_and_tide.html"} +{"d:Title": "Plume-Noire: Time and Tide", "d:Description": "Review of the film by Laurent Ziliani.", "topic": "Top/Arts/Movies/Titles/T/Time_and_Tide", "url": "http://www.plume-noire.com/movies/reviews/timeandtide.html"} +{"d:Title": "Metacritic.com: Time and Tide", "d:Description": "Links to mainstream critics' reviews.", "topic": "Top/Arts/Movies/Titles/T/Time_and_Tide", "url": "http://www.metacritic.com/movie/time-and-tide"} +{"d:Title": "IMDb: Time Bandits", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Time_Bandits", "url": "http://www.imdb.com/title/tt0081633/"} +{"d:Title": "IMDb: Time for Dancing, A", "d:Description": "Cast/credits plus other information about the film.", "topic": "Top/Arts/Movies/Titles/T/Time_for_Dancing,_A", "url": "http://www.imdb.com/title/tt0242953/"} +{"d:Title": "IMDb: Time Machine (1960)", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_1960", "url": "http://imdb.com/title/tt0054387/"} +{"d:Title": "TV Guide Online: Time Machine", "d:Description": "Brief unattributed review, plus cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_1960", "url": "http://www.tvguide.com/movies/time-machine/120662/"} +{"d:Title": "All-Reviews.com: The Time Machine", "d:Description": "Review of the film by Harvey Karten, plus links to additional reviews.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_2002", "url": "http://all-reviews.com/videos-4/time-machine.htm"} +{"d:Title": "HARO Online: Time Machine", "d:Description": "Unattributed review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_2002", "url": "http://www.haro-online.com/movies/time_machine.html"} +{"d:Title": "Filmtracks: The Time Machine", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_2002", "url": "http://www.filmtracks.com/titles/time_machine.html"} +{"d:Title": "IMDb: Time Machine, The (2002)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_2002", "url": "http://imdb.com/title/tt0268695/"} +{"d:Title": "Rotten Tomatoes: The Time Machine", "d:Description": "Links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_2002", "url": "http://www.rottentomatoes.com/m/1112951-time_machine/"} +{"d:Title": "Metacritic.com: Time Machine", "d:Description": "Links to various critics' reviews of the film.", "topic": "Top/Arts/Movies/Titles/T/Time_Machine,_The_-_2002", "url": "http://www.metacritic.com/movie/the-time-machine"} +{"d:Title": "HARO Online: Time Out", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Time_Out", "url": "http://www.haro-online.com/movies/time_out.html"} +{"d:Title": "IMDb: Emploi du temps, L' (2001)", "d:Description": "Cast, crew and other information about the film, plus links.", "topic": "Top/Arts/Movies/Titles/T/Time_Out", "url": "http://imdb.com/title/tt0279065/"} +{"d:Title": "Metacritic.com: Time Out", "d:Description": "Links to mainstream critics' reviews.", "topic": "Top/Arts/Movies/Titles/T/Time_Out", "url": "http://www.metacritic.com/movie/time-out"} +{"d:Title": "All-Reviews: A Time to Kill", "d:Description": "Positive review by Steven Rhodes.", "topic": "Top/Arts/Movies/Titles/T/Time_to_Kill,_A", "url": "http://www.all-reviews.com/videos-3/time-to-kill.htm"} +{"d:Title": "The New York Times: A Time to Kill", "d:Description": "Review by Janet Maslin.", "topic": "Top/Arts/Movies/Titles/T/Time_to_Kill,_A", "url": "http://www.nytimes.com/1996/07/24/movies/film-review-a-father-s-revenge-for-his-child-s-rape.html"} +{"d:Title": "IMDb: Time to Kill, A (1996)", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/T/Time_to_Kill,_A", "url": "http://www.imdb.com/title/tt0117913/"} +{"d:Title": "Chicago Sun-Times: A Time to Kill", "d:Description": "Review by Robert Ebert, which gives away plot details and has difficulty with its portrayal of the black characters.", "topic": "Top/Arts/Movies/Titles/T/Time_to_Kill,_A", "url": "http://www.rogerebert.com/reviews/a-time-to-kill-1996"} +{"d:Title": "All-Reviews.com: Tin Cup", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/T/Tin_Cup", "url": "http://www.all-reviews.com/videos-3/tin-cup.htm"} +{"d:Title": "Movie script", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Tin_Cup", "url": "http://www.dailyscript.com/scripts/Tin_Cup.pdf"} +{"d:Title": "IMDb: Tin Cup", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Tin_Cup", "url": "http://www.imdb.com/title/tt0117918/"} +{"d:Title": "TV Guide Online: Tin Cup", "d:Description": "Includes movie review, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Tin_Cup", "url": "http://www.tvguide.com/movies/tin-cup/131366/"} +{"d:Title": "Will the last person to leave the country please turn out all the lights", "d:Description": "Andrew James Horton examines how the film beat its global rivals at the Thessaloniki Film Festival [Kinoeye].", "topic": "Top/Arts/Movies/Titles/T/Tirana_Year_Zero", "url": "http://www.kinoeye.org/01/07/horton07.php"} +{"d:Title": "Titanic", "d:Description": "The official Titanic site by Paramount Pictures and Twentieth Century Fox.", "priority": "1", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://www.titanicmovie.com/"} +{"d:Title": "All-Reviews.com - Titanic", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://www.all-reviews.com/videos/titanic.htm"} +{"d:Title": "Philosophical Musings: Titanic", "d:Description": "An examination of the moral issues presented in the movie.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://samvak.tripod.com/titanic.html"} +{"d:Title": "Filmtracks: Titanic", "d:Description": "Reviews of all of the soundtrack albums, with audio clips, sales figures, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://www.filmtracks.com/titles/titanic.html"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the movie.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://www.awesomefilm.com/script/titanic.html"} +{"d:Title": "Movie-List", "d:Description": "Trailer for the film.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://www.movie-list.com/trailers.php?id=titanic"} +{"d:Title": "Metacritic.com: Titanic", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://www.metacritic.com/movie/titanic"} +{"d:Title": "IMDb: Titanic", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://www.imdb.com/title/tt0120338/"} +{"d:Title": "AllReaders.com: Titanic", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Titanic", "url": "http://allreaders.com/movie-review-summary/titanic-6527"} +{"d:Title": "Hope...", "d:Description": "Stories following the life of Titanic's Rose in New York.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/pa2/narf/hope.html"} +{"d:Title": "Dreams Of Paradise", "d:Description": "Titanic fanfiction stories about Jack and Rose after Titanic, starting a new life together.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://dawson20000.tripod.com/"} +{"d:Title": "After the Iceberg: A Titanic Fan Fiction Site", "d:Description": "Stories, possibility to submit your story, writers' forum, and fiction contests.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/ny2/titanicfanfiction/"} +{"d:Title": "Eluding Destiny", "d:Description": "An alternate ending to James Cameron's movie 'Titanic'.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/oh2/story1/"} +{"d:Title": "Anne's Story Page", "d:Description": "A large archive of stories by many authors and more stories are accepted every day.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/yt/anneblair/"} +{"d:Title": "Our Times: A Present Time Site", "d:Description": "A large archive of Titanic Present Time stories by many authors and more stories are accepted every day.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/movies/ourtimes/"} +{"d:Title": "I Need You", "d:Description": "A site with exclusively Present Time Jack and Rose stories.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/celeb2/ineedyou/"} +{"d:Title": "Abby Normal's Titanic Tales", "d:Description": "A site with stories like The Unsinkable Rose Dawson, about Rose's life after Titanic.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/nj4/abbynormal/"} +{"d:Title": "MTS", "d:Description": "A site with Jack and Rose stories mostly.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/freak/thurschick/frames.html"} +{"d:Title": "Out of the Cold", "d:Description": "A story about Jack and Rose after the sinking, living in New York.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://members.tripod.com/~luna_raist/storyindex.html"} +{"d:Title": "Liza's Titanic Stories", "d:Description": "A Titanic fan fiction website!", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/celeb2/lizastitanicstories/"} +{"d:Title": "Janet's Titanic Fan Fiction", "d:Description": "A story about Rose after Titanic.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://www.angelfire.com/movies/TitanicFanFiction/JanetsFanFiction.htm"} +{"d:Title": "Absolution", "d:Description": "Novel-length fan fiction sequel to \"Titanic\" follows Rose in New York.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Fiction", "url": "http://bbb101.tripod.com/"} +{"d:Title": "A Building Panic", "d:Description": "Includes screen captures and pictures from the movie.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://abuildingpanic.tripod.com/"} +{"d:Title": "Janet's Titanic", "d:Description": "Site includes pictures, fan fiction and a tribute to Celine Dion.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://www.angelfire.com/movies/TitanicJanet12/JanetsTitanic.htm"} +{"d:Title": "Remember The R.M.S Titanic", "d:Description": "Information on Titanic, the movie, and the real ship. Contains music from the soundtracks, movie clips, pictures, factual information, and banners.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://www.angelfire.com/ct/titanickateleo/"} +{"d:Title": "Titanic: From A Dream To A Nightmare", "d:Description": "Includes quotes, pictures, music and links.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://www.angelfire.com/ca/chantaltitanic/titanic.html"} +{"d:Title": "Titanic Crazy", "d:Description": "Features include pictures, music, mailing list, the Titanic Crazy Club, chatroom, lyrics, cast list/credits, obsession signs, links and information on the real Titanic.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://www.angelfire.com/ca/TitanicCrazy/"} +{"d:Title": "Never Letting Go", "d:Description": "Includes detailed photographs of my extensive Titanic Collection which includes the J Peterman Movie Legends dresses, shoes, and jewelry as well as White Star line related items.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://www.titanicmoviecollection.homestead.com/index.html"} +{"d:Title": "Make it Count", "d:Description": "Images, film trivia and quizzes.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://www.make-it-count.org/"} +{"d:Title": "Back to Titanic", "d:Description": "Image gallery, games, movie clips, audio clips, cut scenes, countdowns, wallpapers, fan fiction, audio, and historical information.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://www.back-to-titanic.com/"} +{"d:Title": "Arron's Titanic Page", "d:Description": "A large Titanic Archive.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://titanic3.tripod.com/main.html"} +{"d:Title": "Titanic Pictures", "d:Description": "A large Titanic picture archive.", "topic": "Top/Arts/Movies/Titles/T/Titanic/Fan_Pages", "url": "http://reception.tripod.com/titanic.html"} +{"d:Title": "HARO Online - Titus", "d:Description": "Review of the film by Mongoose", "topic": "Top/Arts/Movies/Titles/T/Titus", "url": "http://www.haro-online.com/movies/titus.html"} +{"d:Title": "All-Reviews.com - Titus", "d:Description": "Collection of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Titus", "url": "http://www.all-reviews.com/videos/titus.htm"} +{"d:Title": "PopMatters: Titus", "d:Description": "Review of the film by Cynthia Fuchs", "topic": "Top/Arts/Movies/Titles/T/Titus", "url": "http://popmatters.com/film/reviews/t/titus.html"} +{"d:Title": "IMDb: Titus", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Titus", "url": "http://www.imdb.com/title/tt0120866/"} +{"d:Title": "Metacritic.com: Titus", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Titus", "url": "http://www.metacritic.com/movie/titus"} +{"d:Title": "Titus Andronicus, William Shakespeare's Savage Epic of Brutal Revenge", "d:Description": "An informative site about a cult/horror genre adaptation of William Shakespeare's classic tragedy.", "topic": "Top/Arts/Movies/Titles/T/Titus_Andronicus", "url": "http://titusandronicus.com/"} +{"d:Title": "IMDb - Titus Andronicus (1999)", "d:Description": "Credits", "topic": "Top/Arts/Movies/Titles/T/Titus_Andronicus", "url": "http://www.imdb.com/title/tt0185722/"} +{"d:Title": "HARO Online: Together", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Together", "url": "http://www.haro-online.com/movies/together.html"} +{"d:Title": "About.com World Film: Together", "d:Description": "Review by Jurgen Fauth.", "topic": "Top/Arts/Movies/Titles/T/Together", "url": "http://worldfilm.about.com/library/weekly/aa010917a.htm"} +{"d:Title": "IMDb: Tillsammans", "d:Description": "Cast and credit information, and links.", "topic": "Top/Arts/Movies/Titles/T/Together", "url": "http://imdb.com/title/tt0203166/"} +{"d:Title": "Offoffoff Film Review: Together", "d:Description": "Review by David Butterworth.", "topic": "Top/Arts/Movies/Titles/T/Together", "url": "http://www.offoffoff.com/film/2001/together.php"} +{"d:Title": "Rotten Tomatoes: Together", "d:Description": "Synopsis, reviews, and photos.", "topic": "Top/Arts/Movies/Titles/T/Together", "url": "http://www.rottentomatoes.com/m/together_2001/"} +{"d:Title": "IMDb: Tokyo Raiders (2000)", "d:Description": "Cast, crew, other production information and links.", "topic": "Top/Arts/Movies/Titles/T/Tokyo_Raiders", "url": "http://imdb.com/title/tt0233600/combined"} +{"d:Title": "All-Reviews.com: Tombstone", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Tombstone", "url": "http://www.all-reviews.com/videos-3/tombstone.htm"} +{"d:Title": "Tombstone Sound Clip Page", "d:Description": "Offers sound clips from the movie.", "topic": "Top/Arts/Movies/Titles/T/Tombstone", "url": "http://www.sccs.swarthmore.edu/users/98/dylan/tomb/sound.html"} +{"d:Title": "Tombstone (1993)", "d:Description": "Cast/Crew information at IMDb.", "topic": "Top/Arts/Movies/Titles/T/Tombstone", "url": "http://www.imdb.com/title/tt0108358/combined"} +{"d:Title": "AllReaders.com: Tombstone", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Tombstone", "url": "http://allreaders.com/movie-review-summary/tombstone-3568"} +{"d:Title": "Codename Croft", "d:Description": "Fan page with synopsis, cast information, locations, gadgets, and soundtrack information.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series", "url": "http://www.codename-croft.com/"} +{"d:Title": "KillerMovies.com: Tomb Raider", "d:Description": "Features news, rumors, and facts. Also includes trailers, plot, cast/crew information and photos.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider", "url": "http://www.killermovies.com/l/laracrofttombraider/"} +{"d:Title": "IMDb.com - Tomb Raider (2001)", "d:Description": "Cast/crew information with user comments and ratings.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider", "url": "http://www.imdb.com/title/tt0146316/"} +{"d:Title": "Neelofer's Tomb Raider Movie Website", "d:Description": "Fan site with cast/crew information, reviews, photos, and other related information about the film.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Fan_Pages", "url": "http://www.angelfire.com/movies/neelofertomb/"} +{"d:Title": "Tomb Raider", "d:Description": "Fan site with news, pictures, music, and related links.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Fan_Pages", "url": "http://www.angelfire.com/movies/tombraider/main.html"} +{"d:Title": "Unofficial Tomb Raider Site", "d:Description": "Fan site with pictures, cast/crew, production, game, and links.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Fan_Pages", "url": "http://www.angelfire.com/movies/TombRaider/welcome_to_the_unofficial_tomb_r.htm"} +{"d:Title": "Lara Vertigo: TR Movie", "d:Description": "Pictures of Angelina Jolie and news by Tomb Raider Movie. Site is in Italian and English.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Fan_Pages", "url": "http://digilander.libero.it/laracroftvertigo/movie.htm"} +{"d:Title": "All-Reviews.com - Tomb Raider", "d:Description": "1 1/2 star review of the film.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://www.all-reviews.com/videos-3/tomb-raider.htm"} +{"d:Title": "HARO Online - Tomb Raider", "d:Description": "Haro reviews the movie. Rates it 'Not that Good'.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://www.haro-online.com/movies/tomb_raider.html"} +{"d:Title": "Idle Hands - Tomb Raider", "d:Description": "A movie review of 'Lara Croft: Tomb Raider' by PSK", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://www.idlebrain.com/angreji/mr-tombraider.html"} +{"d:Title": "Filmtracks: Tomb Raider", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://www.filmtracks.com/titles/tomb_raider.html"} +{"d:Title": "Rotten Tomatoes - Tomb Raider", "d:Description": "Reviews, general information, news, multimedia, and forums.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://www.rottentomatoes.com/m/lara_croft_tomb_raider/"} +{"d:Title": "The Flick Filosopher: Tomb Raider", "d:Description": "A review of Lara Croft: Tomb Raider as a transcript from interactive fiction.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://www.flickfilosopher.com/2001/06/tomb-raider-review.html"} +{"d:Title": "Metacritic.com: Lara Croft: Tomb Raider", "d:Description": "Information and a cross-section of reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://www.metacritic.com/movie/lara-croft-tomb-raider"} +{"d:Title": "AllReaders.com Review - Tomb Raider", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Angelina Jolie film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_-_Tomb_Raider/Reviews", "url": "http://allreaders.com/movie-review-summary/lara-crofttomb-raider-6529"} +{"d:Title": "All-Reviews.com - Lara Croft Tomb Raider: The Cradle of Life", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_Tomb_Raider_-_The_Cradle_of_Life", "url": "http://all-reviews.com/videos-5/tomb-raider-cradle-of-life.htm"} +{"d:Title": "Filmtracks: Lara Croft Tomb Raider - The Cradle of Life", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_Tomb_Raider_-_The_Cradle_of_Life", "url": "http://www.filmtracks.com/titles/tomb_raider2.html"} +{"d:Title": "Lara Croft Tomb Raider - The Cradle of Life (2003)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_Tomb_Raider_-_The_Cradle_of_Life", "url": "http://www.imdb.com/title/tt0325703/"} +{"d:Title": "Heroine's New Kicks - 'Lara Croft' Character Better Second Time Around", "d:Description": "Review by Mick LaSalle for the San Francisco Chronicle.", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_Tomb_Raider_-_The_Cradle_of_Life", "url": "http://www.sfgate.com/movies/article/Heroine-s-new-kicks-Lara-Croft-character-2600425.php"} +{"d:Title": "Lara Croft Tomb Raider - The Cradle of Life", "d:Description": "Review by Roger Ebert saying this \"is a better movie than the first one\".", "topic": "Top/Arts/Movies/Titles/T/Tomb_Raider_Series/Lara_Croft_Tomb_Raider_-_The_Cradle_of_Life", "url": "http://www.rogerebert.com/reviews/lara-croft-tomb-raider-the-cradle-of-life-2003"} +{"d:Title": "All-Reviews.com: Tomcats", "d:Description": "Offers reviews of the film.", "topic": "Top/Arts/Movies/Titles/T/Tomcats", "url": "http://www.all-reviews.com/videos-3/tomcats.htm"} +{"d:Title": "HARO Online", "d:Description": "Includes a review and links.", "topic": "Top/Arts/Movies/Titles/T/Tomcats", "url": "http://www.haro-online.com/movies/tomcats.html"} +{"d:Title": "Rotten Tomatoes: Tomcats", "d:Description": "Includes links to reviews, a cast list, and synopsis.", "topic": "Top/Arts/Movies/Titles/T/Tomcats", "url": "http://www.rottentomatoes.com/m/tomcats/?critic=columns"} +{"d:Title": "Metacritic.com: Tomcats", "d:Description": "Collection of links to reviews by critics on various US publications.", "topic": "Top/Arts/Movies/Titles/T/Tomcats", "url": "http://www.metacritic.com/movie/tomcats"} +{"d:Title": "IMDb: Tommy", "d:Description": "Cast and crew list, synopsis, trivia, goofs, and misc. details.", "topic": "Top/Arts/Movies/Titles/T/Tommy", "url": "http://www.imdb.com/title/tt0073812/"} +{"d:Title": "TV Guide Online", "d:Description": "Review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Tommy", "url": "http://www.tvguide.com/movies/tommy/120805/"} +{"d:Title": "IMDb: Tommy Boy", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Tommy_Boy", "url": "http://www.imdb.com/title/tt0114694/"} +{"d:Title": "AllReaders.com: Review", "d:Description": "Offers a review of the film.", "topic": "Top/Arts/Movies/Titles/T/Tommy_Boy", "url": "http://allreaders.com/movie-review-summary/tommy-boy-5485"} +{"d:Title": "IMDb: Tom Jones", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Tom_Jones", "url": "http://www.imdb.com/title/tt0057590/"} +{"d:Title": "The Flick Filosopher | Tom Jones", "d:Description": "Review and discussion of the film", "topic": "Top/Arts/Movies/Titles/T/Tom_Jones", "url": "http://www.flickfilosopher.com/1999/02/tom-jones-review.html"} +{"d:Title": "Allreaders.com: Tom Jones", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/T/Tom_Jones", "url": "http://allreaders.com/movie-review-summary/tom-jones-4044"} +{"d:Title": "TV Guide Online: Tom Jones", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Tom_Jones", "url": "http://www.tvguide.com/movies/tom-jones/120787/"} +{"d:Title": "All-Reviews.com: Tootsie", "d:Description": "Brian Koller's mostly favorable review.", "topic": "Top/Arts/Movies/Titles/T/Tootsie", "url": "http://www.all-reviews.com/videos-4/tootsie.htm"} +{"d:Title": "IMDb: Tootsie", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Tootsie", "url": "http://www.imdb.com/title/tt0084805/"} +{"d:Title": "TV Guide Online: Tootsie", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Tootsie", "url": "http://www.tvguide.com/movies/tootsie/120974/"} +{"d:Title": "Rotten Tomatoes: Too Much Sleep", "d:Description": "Links to movie reviews, synopsis, cast information, and pictures.", "topic": "Top/Arts/Movies/Titles/T/Too_Much_Sleep", "url": "http://www.rottentomatoes.com/m/too_much_sleep/"} +{"d:Title": "PopMatters", "d:Description": "Review of Topsy Turvy", "topic": "Top/Arts/Movies/Titles/T/Topsy-Turvy", "url": "http://popmatters.com/film/reviews/t/topsy-turvy.html"} +{"d:Title": "HARO Online - Topsy-Turvy", "d:Description": "A review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/T/Topsy-Turvy", "url": "http://www.haro-online.com/movies/topsy-turvy.html"} +{"d:Title": "Rotten Tomatoes: Topsy Turvy", "d:Description": "Reviews, news, articles, previews, and trailers of the film", "topic": "Top/Arts/Movies/Titles/T/Topsy-Turvy", "url": "http://www.rottentomatoes.com/m/topsyturvy/"} +{"d:Title": "IMDb: Topsy-Turvy", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Topsy-Turvy", "url": "http://www.imdb.com/title/tt0151568/"} +{"d:Title": "Metacritic.com: Topsy-Turvy", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Topsy-Turvy", "url": "http://www.metacritic.com/movie/topsy-turvy"} +{"d:Title": "Allreaders.com: Topsy Turvy", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/T/Topsy-Turvy", "url": "http://allreaders.com/movie-review-summary/topsy-turvy-4353"} +{"d:Title": "IMDb: Top Gun (1986)", "d:Description": "Cast and Crew information at IMDb.", "topic": "Top/Arts/Movies/Titles/T/Top_Gun", "url": "http://imdb.com/title/tt0092099/"} +{"d:Title": "Allreaders Top Gun Spotlight", "d:Description": "A detailed analysis of the film, and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/T/Top_Gun", "url": "http://allreaders.com/movie-review-summary/top-gun-3541"} +{"d:Title": "Greatest Films - Top Hat (1935)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/T/Top_Hat", "url": "http://www.filmsite.org/toph.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Top_Hat", "url": "http://crazy4cinema.com/Review/FilmsT/f_top_hat.html"} +{"d:Title": "IMDb: Top Hat (1935)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, award nominations.", "topic": "Top/Arts/Movies/Titles/T/Top_Hat", "url": "http://www.imdb.com/title/tt0027125/"} +{"d:Title": "TV Guide Online: Top Hat", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Top_Hat", "url": "http://www.tvguide.com/movies/hat/120979/"} +{"d:Title": "IMDb: Tora! Tora! Tora!", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Tora_Tora_Tora", "url": "http://www.imdb.com/title/tt0066473/"} +{"d:Title": "Allreaders.com: Tora! Tora! Tora!", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Tora_Tora_Tora", "url": "http://allreaders.com/movie-review-summary/tora-tora-tora-4328"} +{"d:Title": "Smart Blonde", "d:Description": "Covers the first installment in the series.", "topic": "Top/Arts/Movies/Titles/T/Torchy_Blane_Series", "url": "http://www.san.beck.org/MM/1937/SmartBlonde.html"} +{"d:Title": "Torchy Blane", "d:Description": "The films of \"the most independent and intelligent role model for young women the movies had to offer\" pre-World War II.", "topic": "Top/Arts/Movies/Titles/T/Torchy_Blane_Series", "url": "http://www.thrillingdetective.com/torchy.html"} +{"d:Title": "Smart Blonde", "d:Description": "Cast, crew and plot summary from the first Glenda Farrell film in the series.", "topic": "Top/Arts/Movies/Titles/T/Torchy_Blane_Series", "url": "http://www.imdb.com/title/tt0029581/"} +{"d:Title": "Torchy Blane Film Series", "d:Description": "Links to synopses and reviews of the various films in the series.", "topic": "Top/Arts/Movies/Titles/T/Torchy_Blane_Series", "url": "http://www.allmovie.com/movie/torchy-blane-film-series-v257657"} +{"d:Title": "All-Reviews: Torch Song Trilogy", "d:Description": "A review of the film.", "topic": "Top/Arts/Movies/Titles/T/Torch_Song_Trilogy", "url": "http://www.all-reviews.com/videos-3/torch-song-trilogy.htm"} +{"d:Title": "IMDb: Torch Song Trilogy", "d:Description": "Cast, crew, users' comments and links", "topic": "Top/Arts/Movies/Titles/T/Torch_Song_Trilogy", "url": "http://www.imdb.com/title/tt0096289/"} +{"d:Title": "Chicago Sun-Times: Torch Song Trilogy", "d:Description": "Critic Robert Ebert's review looks at Harvey Fierstein's role and the world is depicts before the threat of AIDS.", "topic": "Top/Arts/Movies/Titles/T/Torch_Song_Trilogy", "url": "http://www.rogerebert.com/reviews/torch-song-trilogy-1988"} +{"d:Title": "HARO Online: Torque", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Torque", "url": "http://www.haro-online.com/movies/torque.html"} +{"d:Title": "IMDb: Torque (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Torque", "url": "http://www.imdb.com/title/tt0329691/"} +{"d:Title": "Rotten Tomatoes: Torque", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/T/Torque", "url": "http://www.rottentomatoes.com/m/torque/"} +{"d:Title": "All-Reviews: Tortilla Soup", "d:Description": "Various reviews.", "topic": "Top/Arts/Movies/Titles/T/Tortilla_Soup", "url": "http://www.all-reviews.com/videos-3/tortilla-soup.htm"} +{"d:Title": "HARO Online: Tortilla Soup", "d:Description": "Mongoose's review: \"The only standouts are the food ... and the performances of Hector Elizondo, and to a lesser degree, Paul Lopez.\"", "topic": "Top/Arts/Movies/Titles/T/Tortilla_Soup", "url": "http://www.haro-online.com/movies/tortilla_soup.html"} +{"d:Title": "IMDb: Tortilla Soup", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Tortilla_Soup", "url": "http://www.imdb.com/title/tt0255653/"} +{"d:Title": "IMDb: Total Eclipse", "d:Description": "Synopsis, cast and crew, awards and user comments.", "topic": "Top/Arts/Movies/Titles/T/Total_Eclipse", "url": "http://www.imdb.com/title/tt0114702/"} +{"d:Title": "Total Recall", "d:Description": "Pictures and sounds from the movie starring Arnold Schwarzenegger, Sharon Stone and Rachel Ticotin.", "topic": "Top/Arts/Movies/Titles/T/Total_Recall", "url": "http://www.angelfire.com/ns/dougquaid/recall.html"} +{"d:Title": "All-Reviews.com: Total Recall", "d:Description": "Reviews of the movie by Dragan Antulov and David Wilcock.", "topic": "Top/Arts/Movies/Titles/T/Total_Recall", "url": "http://www.all-reviews.com/videos-4/total-recall.htm"} +{"d:Title": "Filmtracks: Total Recall", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Total_Recall", "url": "http://www.filmtracks.com/titles/total_recall.html"} +{"d:Title": "IMDb: Total Recall", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Total_Recall", "url": "http://www.imdb.com/title/tt0100802/"} +{"d:Title": "TV Guide Online: Total Recall", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Total_Recall", "url": "http://www.tvguide.com/movies/total-recall/128019/"} +{"d:Title": "IMDb - Touch, The", "d:Description": "Contains cast and crew details, user comments, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/T/Touch,_The", "url": "http://www.imdb.com/title/tt0293660/"} +{"d:Title": "HARO Online: Touching the Void", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Touching_the_Void", "url": "http://www.haro-online.com/movies/touching_the_void.html"} +{"d:Title": "IMDb: Touching the Void (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Touching_the_Void", "url": "http://www.imdb.com/title/tt0379557/"} +{"d:Title": "Rotten Tomatoes: Touching the Void", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/T/Touching_the_Void", "url": "http://www.rottentomatoes.com/m/touching_the_void/"} +{"d:Title": "Chicago Sun-Times: Touching the Void", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Touching_the_Void", "url": "http://www.rogerebert.com/reviews/touching-the-void-2004"} +{"d:Title": "Greatest Films: Touch Of Evil", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/T/Touch_of_Evil", "url": "http://www.filmsite.org/touc.html"} +{"d:Title": "Touch of Evil: Charlton Heston's Classic", "d:Description": "Includes synopsis, review and pictures from the film.", "topic": "Top/Arts/Movies/Titles/T/Touch_of_Evil", "url": "http://charltonhestonworld.homestead.com/TouchOfEvil.html"} +{"d:Title": "IMDb: Touch of Evil (1958)", "d:Description": "Credits and information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Touch_of_Evil", "url": "http://www.imdb.com/title/tt0052311/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the film by director Eisha Marjara.", "topic": "Top/Arts/Movies/Titles/T/Tourist,_The_-_2006", "url": "http://imdb.com/title/tt0381658/"} +{"d:Title": "Official web site", "d:Description": "Plot synopsis, images and cast and crew information.", "topic": "Top/Arts/Movies/Titles/T/Tourist,_The_-_2006", "url": "http://www.meet-the-tourist.com/"} +{"d:Title": "Tous les matins du monde", "d:Description": "Cast, crew, reviews, summary, comments, discussion, and related material from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Tous_les_Matins_du_Monde", "url": "http://www.imdb.com/title/tt0103110/"} +{"d:Title": "Tous les matins du monde", "d:Description": "Background information on the movie about Marais (from the Early Music FAQ).", "topic": "Top/Arts/Movies/Titles/T/Tous_les_Matins_du_Monde", "url": "http://www.medieval.org/emfaq/misc/tlmdm.htm"} +{"d:Title": "All-Reviews.com - Town and Country", "d:Description": "Three reviews.", "topic": "Top/Arts/Movies/Titles/T/Town_and_Country", "url": "http://www.all-reviews.com/videos-3/town-and-country.htm"} +{"d:Title": "HARO Online", "d:Description": "Images and review.", "topic": "Top/Arts/Movies/Titles/T/Town_and_Country", "url": "http://www.haro-online.com/movies/town_and_country.html"} +{"d:Title": "Rotten Tomatoes - Town and Country", "d:Description": "Reviews and reactions from critics. Plus synopsis and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Town_and_Country", "url": "http://www.rottentomatoes.com/m/town_and_country/"} +{"d:Title": "IMDb - Town and Country (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Town_and_Country", "url": "http://www.imdb.com/title/tt0141907/"} +{"d:Title": "Metacritic.com: Town and Country", "d:Description": "Collection of links to reviews by critics from various US publications.", "topic": "Top/Arts/Movies/Titles/T/Town_and_Country", "url": "http://www.metacritic.com/movie/town-country"} +{"d:Title": "Jay's Toxic Avenger", "d:Description": "Fan site with synopses, lists of antagonist defeats, and photographs for all the films in the series.", "topic": "Top/Arts/Movies/Titles/T/Toxic_Avenger_Series", "url": "http://www.angelfire.com/hero/toxicavenger/"} +{"d:Title": "Rotten Tomatoes: Citizen Toxie - The Toxic Avenger Part 4", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Toxic_Avenger_Series/Citizen_Toxie", "url": "http://www.rottentomatoes.com/m/toxic_avenger_iv_citizen_toxie/"} +{"d:Title": "IMDb: Citizen Toxie - The Toxic Avenger Part 4 (1999)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Toxic_Avenger_Series/Citizen_Toxie", "url": "http://www.imdb.com/title/tt0212879/"} +{"d:Title": "Official Toxic Avenger Web Site", "d:Description": "Toxic Avenger photo, audio, and video galleries, games, contests and downloads.", "topic": "Top/Arts/Movies/Titles/T/Toxic_Avenger_Series/Toxic_Avenger,_The", "url": "http://www.toxicavenger.com/"} +{"d:Title": "HARO Online: To Be and To Have", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://www.haro-online.com/movies/to_be_and_to_have.html"} +{"d:Title": "Internet Movie Database: \u00catre et avoir (2002)", "d:Description": "Synopsis, credits, user comments and ratings, reviews, production information, release details, and links.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://imdb.com/title/tt0318202/"} +{"d:Title": "BBC: Etre et Avoir (To Be and to Have)", "d:Description": "Tom Dawson reviews the documentary.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://www.bbc.co.uk/films/2003/06/03/etre_et_avoir_2003_review.shtml"} +{"d:Title": "Reeling Reviews: To Be and to Have", "d:Description": "Laura and Robin Clifford review the film.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://www.reelingreviews.com/tobeandtohave.htm"} +{"d:Title": "Talking Pictures: To Be and to Have", "d:Description": "Howard Schumann and Jaap Mees review the documentary.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://www.talkingpix.co.uk/ReviewsToBeAndHave.html"} +{"d:Title": "Rotten Tomatoes: To Be and to Have", "d:Description": "Quotes from and links to reviews of the documentary, film overview and a discussion forum.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://www.rottentomatoes.com/m/to_be_and_to_have/"} +{"d:Title": "Choking on Popcorn: To Be and to Have", "d:Description": "Review and reader comments.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://www.chokingonpopcorn.com/popcorn/2003/07/tre-et-avoir-2002/"} +{"d:Title": "Guardian/Observer: To Be and to Have", "d:Description": "Film information, reviews, and ratings.", "topic": "Top/Arts/Movies/Titles/T/To_Be_and_to_Have", "url": "http://www.theguardian.com/film/movie/94090/etre.et.avoir"} +{"d:Title": "To Be Or Not to Be", "d:Description": "Review of the film and a list of cast and crew.", "topic": "Top/Arts/Movies/Titles/T/To_Be_or_Not_to_Be_-_1942", "url": "http://www.lubitsch.com/toberev.html"} +{"d:Title": "To Be or Not to Be (1942)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/To_Be_or_Not_to_Be_-_1942", "url": "http://www.imdb.com/title/tt0035446/"} +{"d:Title": "AllMovie: To Be or Not to Be", "d:Description": "Synopsis, review, credits, awards, and list of similar works.", "topic": "Top/Arts/Movies/Titles/T/To_Be_or_Not_to_Be_-_1942", "url": "http://www.allmovie.com/movie/to-be-or-not-to-be-v50141"} +{"d:Title": "TV Guide: To Be or Not to Be", "d:Description": "Cast, crew and review from TV Guide Online.", "topic": "Top/Arts/Movies/Titles/T/To_Be_or_Not_to_Be_-_1942", "url": "http://www.tvguide.com/movies/to/120721/"} +{"d:Title": "To Be or Not to Be (1983)", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/To_Be_or_Not_to_Be_-_1983", "url": "http://www.imdb.com/title/tt0086450/"} +{"d:Title": "Allreaders.com: To Be or Not to Be", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the Mel Brooks film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/T/To_Be_or_Not_to_Be_-_1983", "url": "http://allreaders.com/movie-review-summary/to-be-not-be-1983-4290"} +{"d:Title": "Movie-List", "d:Description": "Trailer for the film.", "topic": "Top/Arts/Movies/Titles/T/To_Catch_a_Thief", "url": "http://www.movie-list.com/trailers.php?id=tocatchathief"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information about the production.", "topic": "Top/Arts/Movies/Titles/T/To_Catch_a_Thief", "url": "http://www.imdb.com/title/tt0048728/"} +{"d:Title": "All-Reviews: To Die For", "d:Description": "Various reviews and ratings.", "topic": "Top/Arts/Movies/Titles/T/To_Die_For", "url": "http://www.all-reviews.com/videos-3/to-die-for.htm"} +{"d:Title": "To Die for", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/T/To_Die_For", "url": "http://www.imdb.com/title/tt0114681/"} +{"d:Title": "Metacritic.com: To End All Wars", "d:Description": "Film information, quotes from and links to reviews, an overall score, and reader comments with ratings.", "topic": "Top/Arts/Movies/Titles/T/To_End_All_Wars", "url": "http://www.metacritic.com/movie/to-end-all-wars"} +{"d:Title": "IMDb: To Gillian on Her 37th Birthday", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/To_Gillian_on_Her_37th_Birthday", "url": "http://www.imdb.com/title/tt0117924/"} +{"d:Title": "Greatest Films: To Have And Have Not", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/T/To_Have_and_Have_Not", "url": "http://www.filmsite.org/toha.html"} +{"d:Title": "IMDb: To Have and Have Not", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/To_Have_and_Have_Not", "url": "http://www.imdb.com/title/tt0037382/"} +{"d:Title": "To Kill a Clown (1972)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/To_Kill_a_Clown", "url": "http://www.imdb.com/title/tt0069386/"} +{"d:Title": "To Kill A Mockingbird (1962)", "d:Description": "Detailed review, synopsis and discussion of thematic elements by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/T/To_Kill_a_Mockingbird", "url": "http://www.filmsite.org/toki.html"} +{"d:Title": "All-Reviews.com - To Kill a Mockingbird", "d:Description": "Reviews by Brian Koller and Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/T/To_Kill_a_Mockingbird", "url": "http://www.all-reviews.com/videos-3/to-kill-a-mockingbird.htm"} +{"d:Title": "To Kill a Mockingbird (1962)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/To_Kill_a_Mockingbird", "url": "http://www.imdb.com/title/tt0056592/"} +{"d:Title": "AllReaders.com - To Kill a Mockingbird", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/To_Kill_a_Mockingbird", "url": "http://allreaders.com/movie-review-summary/to-kill-mockingbird-4288"} +{"d:Title": "Huozhe (1994)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/To_Live", "url": "http://www.imdb.com/title/tt0110081/"} +{"d:Title": "To Live", "d:Description": "Review by Roger Ebert for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/T/To_Live", "url": "http://www.rogerebert.com/reviews/to-live-1994"} +{"d:Title": "IMDb - To Sir with Love (1967)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/To_Sir_with_Love", "url": "http://www.imdb.com/title/tt0062376/"} +{"d:Title": "TV Guide: To Wong Foo, Thanks For Everything! Julie Newmar", "d:Description": "Includes movie review, plot synopsis and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/To_Wong_Foo", "url": "http://www.tvguide.com/movies/to-wong-foo-thanks-for-everything-julie-newmar/130621/"} +{"d:Title": "IMDb: Trade (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/T/Trade", "url": "http://www.imdb.com/title/tt0399095/"} +{"d:Title": "Wikipedia: Trade", "d:Description": "Article describing the synopsis, cast, and controversy.", "topic": "Top/Arts/Movies/Titles/T/Trade", "url": "http://en.wikipedia.org/wiki/Trade_(film)"} +{"d:Title": "Rotten Tomatoes: Trade", "d:Description": "Review links, synopsis, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Trade", "url": "http://www.rottentomatoes.com/m/trade/"} +{"d:Title": "Trade", "d:Description": "Official site. Includes a cast list, synopsis, photos, and a trailer.", "priority": "1", "topic": "Top/Arts/Movies/Titles/T/Trade", "url": "http://www.tradethemovie.com/"} +{"d:Title": "MovieWeb: Trade (2007)", "d:Description": "Summary, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/T/Trade", "url": "http://www.movieweb.com/movie/trade"} +{"d:Title": "Rotten Tomatoes: Trading Places", "d:Description": "Links to reviews, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Trading_Places", "url": "http://www.rottentomatoes.com/m/trading_places/"} +{"d:Title": "IMDb: Trading Places (1983)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Trading_Places", "url": "http://www.imdb.com/title/tt0086465/"} +{"d:Title": "PopMatters - Traffic", "d:Description": "Detailed review and analysis of the film along with nationwide showtimes.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://popmatters.com/film/reviews/t/traffic.html"} +{"d:Title": "Coldbacon: Traffic", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.coldbacon.com/movies/traffic.html"} +{"d:Title": "Rotten Tomatoes - Traffic (2000)", "d:Description": "Reviews, plot synopsis and reactions from critics.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.rottentomatoes.com/m/1103281-traffic/"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the film.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.awesomefilm.com/script/traffic.txt"} +{"d:Title": "Guardian - Traffic", "d:Description": "Peter Bradshaw reviews Soderberghs ambitious exploration of America's drug trade.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.theguardian.com/film/2001/jan/26/1"} +{"d:Title": "Traffic - IMDb", "d:Description": "Cast, crew, plot synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.imdb.com/title/tt0181865/"} +{"d:Title": "Metacritic.com: Traffic", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.metacritic.com/movie/traffic"} +{"d:Title": "Chicago Sun-Times - Traffic", "d:Description": "Roger Ebert presents a film directed by Steven Soderbergh.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.rogerebert.com/reviews/traffic-2001"} +{"d:Title": "Guardian Unlimited - Traffic Film Review", "d:Description": "Philip French reviews Soderbergh's movie about the international drugs trade.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.theguardian.com/film/News_Story/Critic_Review/Observer_review/0,4267,430492,00.html"} +{"d:Title": "Allreaders Traffic Spotlight", "d:Description": "A detailed analysis of the film, and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://allreaders.com/movie-review-summary/traffic-4279"} +{"d:Title": "CBS News - Traffic Jams", "d:Description": "Nick Sambides writes about Soderbergh's deep venture into the Altman-esque where he treats the drug trade like a layer cake.", "topic": "Top/Arts/Movies/Titles/T/Traffic", "url": "http://www.cbsnews.com/news/itraffic-i-jams/"} +{"d:Title": "All-Reviews.com - Traffic", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/T/Traffic/Reviews", "url": "http://www.all-reviews.com/videos-2/traffic.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/T/Traffic/Reviews", "url": "http://www.haro-online.com/movies/traffic.html"} +{"d:Title": "La Plume Noire - Traffic", "d:Description": "La Plume Noire film review.", "topic": "Top/Arts/Movies/Titles/T/Traffic/Reviews", "url": "http://www.plume-noire.com/movies/reviews/traffic.html"} +{"d:Title": "HARO Online: Training Day", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Training_Day", "url": "http://www.haro-online.com/movies/training_day.html"} +{"d:Title": "All-Reviews: Training Day", "d:Description": "Various reviews of the film.", "topic": "Top/Arts/Movies/Titles/T/Training_Day", "url": "http://www.all-reviews.com/videos-3/training-day.htm"} +{"d:Title": "La Plume Noire: Training Day", "d:Description": "Movie review by Fred Thom.", "topic": "Top/Arts/Movies/Titles/T/Training_Day", "url": "http://www.plume-noire.com/movies/reviews/trainingday.html"} +{"d:Title": "About.com: Training Day", "d:Description": "Ivana Redwine's review of the DVD.", "topic": "Top/Arts/Movies/Titles/T/Training_Day", "url": "http://homevideo.about.com/library/weekly/aafpr032702.htm"} +{"d:Title": "Rotten Tomatoes: Training Day", "d:Description": "Links to movie reviews, synopsis, cast information, and multimedia.", "topic": "Top/Arts/Movies/Titles/T/Training_Day", "url": "http://www.rottentomatoes.com/m/training_day/"} +{"d:Title": "Scotland the Movie Location Guide- Trainspotting", "d:Description": "Filming locations of Trainspotting", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://www.scotlandthemovie.com/movies/ftrain.html"} +{"d:Title": "All-Reviews.com - Trainspotting", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://www.all-reviews.com/videos-2/trainspotting.htm"} +{"d:Title": "Trainspotting", "d:Description": "Mailing list for discussion of the movie, the characters, Irvine Welsh (the author) or his other books, from Yahoo Groups.", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://groups.yahoo.com/group/Trainspotting"} +{"d:Title": "PG Rules: Trainspotting", "d:Description": "Fan page with picture galleries, video clips, and script.", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://www.pg.ru/train/now.html"} +{"d:Title": "Trainspotting Quotes", "d:Description": "Quotations from the movie.", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://www.generationterrorists.com/quotes/trainspotting.html"} +{"d:Title": "Trainspotting (1996)", "d:Description": "Cast/credits plus other information about the film.", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://www.imdb.com/title/tt0117951/"} +{"d:Title": "Metacritic.com", "d:Description": "Provides a plot overview and links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://www.metacritic.com/movie/trainspotting"} +{"d:Title": "TV Guide Online: Trainspotting", "d:Description": "Includes movie review, plot synopsis and cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Trainspotting", "url": "http://www.tvguide.com/movies/trainspotting/131436/"} +{"d:Title": "Metacritic.com: Train of Life", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Train_of_Life", "url": "http://www.metacritic.com/movie/train-of-life"} +{"d:Title": "IMDb - Poyezd do Bruklina (1996)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/T/Train_to_Brooklyn", "url": "http://www.imdb.com/title/tt0189944/combined"} +{"d:Title": "USA Today", "d:Description": "Review by Claudia Puig: \"Can't seem to decide whether it wants to be an edge-of-the-seat action thriller or a more contemplative and intellectual drama about religion and terrorism. Somehow, in trying to have it both ways, it doesn't completely succeed at either.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Traitor", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-08-26-traitor_N.htm"} +{"d:Title": "ReelViews: Traitor", "d:Description": "Review, by James Berardinelli: \"An uncommonly intelligent espionage thriller that explores the moral and ethical dilemmas faced by agents who go deep undercover in the service of their country.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Traitor", "url": "http://www.reelviews.net/reelviews/traitor"} +{"d:Title": "TV Guide: Traitor", "d:Description": "Review, by Maitland McDonagh: \"The film's greatest asset is its performances.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Traitor", "url": "http://www.tvguide.com/movies/traitor/review/294803/"} +{"d:Title": "Rotten Tomatoes: Transformers", "d:Description": "Review links, synopsis, critical consensus, production notes, credits, posters, photos, trailers, news, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://www.rottentomatoes.com/m/transformers_the_movie/"} +{"d:Title": "Planet Transformers", "d:Description": "Community site with news, screenshots, videos, links, and forums.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://planettransformers.gamespy.com/"} +{"d:Title": "IMDb: Transformers (2007)", "d:Description": "Plot outline, cast list, trivia, quotes, mistakes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://www.imdb.com/title/tt0418279/"} +{"d:Title": "MRQE: Transformers (2007)", "d:Description": "Reviews from around the world.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://www.mrqe.com/movie_reviews/transformers-m100030014"} +{"d:Title": "HARO Online: Transformers (2007)", "d:Description": "Review and images.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://www.haro-online.com/movies/transformers.html"} +{"d:Title": "Movies.com: Transformers (2007)", "d:Description": "Synopsis, cast and crew, reviews and photo gallery.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://www.movies.com/transformers/m60603"} +{"d:Title": "IGN Movies: Transformers", "d:Description": "Reviews, previews, news, photos, and videos.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://www.ign.com/movies/transformers/theater-568421"} +{"d:Title": "USA Today", "d:Description": "Review, by Claudia Puig: \"Yes, it's loud, explosive and silly, but it also perfectly embodies the concept of a summer blockbuster with its simple good-guys-vs.-bad-guys plot, cheeky humor and flawless special effects.\" [Score: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2007-07-01-transformers_N.htm"} +{"d:Title": "MovieWeb: Transformers (2007)", "d:Description": "Reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "http://www.movieweb.com/movie/transformers"} +{"d:Title": "Transformers Movie", "d:Description": "Official website with synopsis, photo gallery, videos, and competitions.", "priority": "1", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_2007", "url": "https://www.facebook.com/transformersmovie"} +{"d:Title": "IMDb: Transformers - Revenge of the Fallen (2009)", "d:Description": "Synopsis, trailers, awards and nomination, image gallery and trivia.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_Revenge_of_the_Fallen_-_2009", "url": "http://www.imdb.com/title/tt1055369/"} +{"d:Title": "MRQE: Transformers - Revenge of the Fallen (2009)", "d:Description": "Reviews from around the world.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_Revenge_of_the_Fallen_-_2009", "url": "http://www.mrqe.com/movie_reviews/transformers-revenge-of-the-fallen-m100060284"} +{"d:Title": "MovieWeb: Transformers - Revenge of the Fallen (2009)", "d:Description": "Includes release date, cast and crew, reviews, synopsis and multimedia.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_Revenge_of_the_Fallen_-_2009", "url": "http://www.movieweb.com/movie/transformers-revenge-of-the-fallen"} +{"d:Title": "Movies.com: Transformers - Revenge of the Fallen (2009)", "d:Description": "Synopsis, news, reviews, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_Revenge_of_the_Fallen_-_2009", "url": "http://www.movies.com/transformers-revenge-of-the-fallen/m60725"} +{"d:Title": "IGN Movies: Transformers - Revenge of the Fallen (2009)", "d:Description": "Reviews, previews, news and features.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_Revenge_of_the_Fallen_-_2009", "url": "http://www.ign.com/movies/transformers-revenge-of-the-fallen/theater-948398"} +{"d:Title": "Yahoo! Movies: Transformers - Revenge of the Fallen (2009)", "d:Description": "Synopsis, local showtimes, trailers, cast reviews and ratings.", "topic": "Top/Arts/Movies/Titles/T/Transformers_Series/Transformers_-_Revenge_of_the_Fallen_-_2009", "url": "https://www.yahoo.com/movies/video/transformers-revenge-fallen-105100852.html"} +{"d:Title": "HARO Online: The Transporter", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Transporter,_The", "url": "http://www.haro-online.com/movies/transporter.html"} +{"d:Title": "All-Reviews.com: The Transporter", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Transporter,_The", "url": "http://all-reviews.com/videos-5/transporter.htm"} +{"d:Title": "Rotten Tomatoes: The Transporter", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Transporter,_The", "url": "http://www.rottentomatoes.com/m/transporter/"} +{"d:Title": "IMDb: The Transporter (2002)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Transporter,_The", "url": "http://www.imdb.com/title/tt0293662/"} +{"d:Title": "Chicago Sun-Times: The Transporter", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Transporter,_The", "url": "http://www.rogerebert.com/reviews/the-transporter-2002"} +{"d:Title": "Bad Movies: Transylvania 6-5000", "d:Description": "Review including a list of characters, plot summary, humorous lists of things to look out for and things learnt from the film, plus images, sound files and clips.", "topic": "Top/Arts/Movies/Titles/T/Transylvania_6-5000_-_1985", "url": "http://www.badmovies.org/movies/transylvania/"} +{"d:Title": "Bad Movie Night: Transylvania 6-5000 (1985)", "d:Description": "Negative review by Dave Sagehorn, who is particularly disappointed with the ending.", "topic": "Top/Arts/Movies/Titles/T/Transylvania_6-5000_-_1985", "url": "http://www.hit-n-run.com/cgi/read_review.cgi?review=48147_daverules"} +{"d:Title": "IMDb: Transylvania 6-5000", "d:Description": "Cast, credits, comments and links.", "topic": "Top/Arts/Movies/Titles/T/Transylvania_6-5000_-_1985", "url": "http://www.imdb.com/title/tt0090196/"} +{"d:Title": "Trapped", "d:Description": "Review claiming \"trapped is what audiences feel like when watching this movie.\"", "topic": "Top/Arts/Movies/Titles/T/Trapped_-_2002", "url": "http://www.haro-online.com/movies/trapped.html"} +{"d:Title": "All-Reviews.com - Trapped", "d:Description": "Reviews of the movie by Steve Rhodes and Dustin Putman.", "topic": "Top/Arts/Movies/Titles/T/Trapped_-_2002", "url": "http://all-reviews.com/videos-4/trapped.htm"} +{"d:Title": "Trapped (2002)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Trapped_-_2002", "url": "http://www.imdb.com/title/tt0280380/"} +{"d:Title": "Rotten Tomatoes - Travels With My Aunt (1972)", "d:Description": "Unsigned review (positive), cast and production information.", "topic": "Top/Arts/Movies/Titles/T/Travels_with_My_Aunt", "url": "http://www.rottentomatoes.com/m/travels_with_my_aunt/"} +{"d:Title": "IMDb - Travels with My Aunt (1972)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/T/Travels_with_My_Aunt", "url": "http://www.imdb.com/title/tt0069404/"} +{"d:Title": "TV Guide: Travels with My Aunt", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/T/Travels_with_My_Aunt", "url": "http://www.tvguide.com/movies/travels-aunt/121186/"} +{"d:Title": "Greatest Films: The Treasure Of The Sierra Madre", "d:Description": "Detailed review, synopsis and discussion of thematic elements in the film", "topic": "Top/Arts/Movies/Titles/T/Treasure_of_the_Sierra_Madre,_The", "url": "http://www.filmsite.org/trea.html"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the film.", "topic": "Top/Arts/Movies/Titles/T/Treasure_of_the_Sierra_Madre,_The", "url": "http://www.awesomefilm.com/script/treasureofthesierramadre.pdf"} +{"d:Title": "IMDb: The Treasure of the Sierra Madre", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/Treasure_of_the_Sierra_Madre,_The", "url": "http://www.imdb.com/title/tt0040897/"} +{"d:Title": "Allreaders.com: Treasure of the Sierra Madre", "d:Description": "Analytical review of the plot, character, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Treasure_of_the_Sierra_Madre,_The", "url": "http://allreaders.com/movie-review-summary/treasure-of-the-sierra-madre-5717"} +{"d:Title": "IMDb: Trees Lounge (1996)", "d:Description": "Plot summary, cast and crew listings, and comments.", "topic": "Top/Arts/Movies/Titles/T/Trees_Lounge", "url": "http://www.imdb.com/title/tt0117958/"} +{"d:Title": "Diversity and New York - A Tree Grows in Brooklyn", "d:Description": "Teach with Movies learning guide emphasizing issues of cultural heritage, alcohol abuse, families in crisis, female role models, and grieving.", "topic": "Top/Arts/Movies/Titles/T/Tree_Grows_in_Brooklyn,_A", "url": "http://www.teachwithmovies.org/guides/tree-grows-in-brooklyn.html"} +{"d:Title": "Amazon.com - Customer Reviews - A Tree Grows in Brooklyn", "d:Description": "Multiple reviews (predominantly positive), some signed.", "topic": "Top/Arts/Movies/Titles/T/Tree_Grows_in_Brooklyn,_A", "url": "http://www.amazon.com/exec/obidos/tg/stores/detail/-/video/6301773586/customer-reviews/"} +{"d:Title": "The Irish in Film: A Tree Grows in Brooklyn", "d:Description": "Synopsis and related links.", "topic": "Top/Arts/Movies/Titles/T/Tree_Grows_in_Brooklyn,_A", "url": "http://www.irishfilm.net/blurbs/TGB.html"} +{"d:Title": "IMDb - Tree Grows in Brooklyn, A (1945)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/T/Tree_Grows_in_Brooklyn,_A", "url": "http://www.imdb.com/title/tt0038190/"} +{"d:Title": "Cinebooks Database - A Tree Grows in Brooklyn", "d:Description": "Unsigned review (predominantly positive) from TV Guide Online. Rating, awards and nominations, cast and crew.", "topic": "Top/Arts/Movies/Titles/T/Tree_Grows_in_Brooklyn,_A", "url": "http://www.tvguide.com/movies/tree-grows-brooklyn/121212/"} +{"d:Title": "All-Reviews.com - Trekkies (1998)", "d:Description": "Collection of reviews", "topic": "Top/Arts/Movies/Titles/T/Trekkies", "url": "http://www.all-reviews.com/videos/trekkies.htm"} +{"d:Title": "PopMatters - Trekkies", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/T/Trekkies", "url": "http://popmatters.com/video/reviews/t/trekkies.html"} +{"d:Title": "TV Guide Online: Trekkies", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Trekkies", "url": "http://www.tvguide.com/movies/trekkies/133264/"} +{"d:Title": "UK Tremors", "d:Description": "A fan site with introduction, news, bloopers, a quiz, and quotes from the first two movies.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series", "url": "http://www.angelfire.com/movies/krccc/tremors.html"} +{"d:Title": "Official site: Tremors", "d:Description": "Detailed information on all three movies, including trailers and downloads.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series", "url": "http://www.tremors3movie.com/"} +{"d:Title": "Unofficial But First Tremors Web Site", "d:Description": "Fan site with images, quotes, mistakes, theories, and cast information.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series", "url": "http://www.angelfire.com/ny/giantworms/tremorswebsite.html"} +{"d:Title": "Movie Quotes Site: Tremors", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors", "url": "http://www.moviequotes.com/archive/titles/580.html"} +{"d:Title": "IMDb: Tremors", "d:Description": "The Internet Movie Database includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors", "url": "http://www.imdb.com/title/tt0100814/"} +{"d:Title": "Tremors", "d:Description": "A brief fan page with plot summary, cast list, and a collection of sound clips from the movie.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors", "url": "http://hxixcxkxs.tripod.com/tremors1.html"} +{"d:Title": "Movie Quotes Site: Tremors 2 Aftershocks", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_2_-_Aftershocks", "url": "http://www.moviequotes.com/archive/titles/2756.html"} +{"d:Title": "Tremors 2: Aftershocks Official Fan Page", "d:Description": "Cast and crew details, plot summary, behind the scenes, and director's feedback.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_2_-_Aftershocks", "url": "http://www.stampede-entertainment.com/wrmkllr/"} +{"d:Title": "IMDb: Tremors 2: Aftershocks", "d:Description": "The Internet Movie Database includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_2_-_Aftershocks", "url": "http://www.imdb.com/title/tt0114720/"} +{"d:Title": "Tremors 3: Back to Perfection", "d:Description": "Official site with background information, news, plot outline, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_3_-_Back_to_Perfection", "url": "http://www.tremors3.com/"} +{"d:Title": "Tremors 3: Back to Perfection - Official Fan Page", "d:Description": "Includes cast information, photos from the set, and FAQ.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_3_-_Back_to_Perfection", "url": "http://www.stampede-entertainment.com/tremors3/"} +{"d:Title": "IMDb: Tremors 3: Back to Perfection", "d:Description": "The Internet Movie Database includes plot outline and cast overview.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_3_-_Back_to_Perfection", "url": "http://www.imdb.com/title/tt0259685/"} +{"d:Title": "Tremors 4: The Legend Begins", "d:Description": "\"Go back in time to 1889 for the story of the first known Graboid outbreak in the US.\" Includes news, photos, synopsis, and related information. Official site.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_4_-_The_Legend_Begins", "url": "http://thelegendbegins.com/"} +{"d:Title": "Tremors 4: The Legend Begins (2004) (V)", "d:Description": "Cast and crew details, plot outline, trivia, user comments, and production details.", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_4_-_The_Legend_Begins", "url": "http://www.imdb.com/title/tt0334541/"} +{"d:Title": "Tremors 4: The Legend Begins (2004)", "d:Description": "\"...the same Nevada town we're used to, only at this point (the year is 1889) the town's name is not Perfection, but rather Rejection. (Warning: only fans of the franchise will \"get\" most of this prequel's humor...\" Review and rating. [BeyondHollywood.com]", "topic": "Top/Arts/Movies/Titles/T/Tremors_Series/Tremors_4_-_The_Legend_Begins", "url": "http://www.beyondhollywood.com/tremors-4-the-legend-begins-2004-movie-review/"} +{"d:Title": "All-Reviews.com - The Trial", "d:Description": "Review looking at the acting, Kafka's story and its adaptation by Harold Pinter.", "topic": "Top/Arts/Movies/Titles/T/Trial,_The_-_1993", "url": "http://www.all-reviews.com/videos-3/trial-1993.htm"} +{"d:Title": "IMDb: Trial, The", "d:Description": "Cast, crew, users' comments and links.", "topic": "Top/Arts/Movies/Titles/T/Trial,_The_-_1993", "url": "http://www.imdb.com/title/tt0108388/"} +{"d:Title": "Chicago Sun-Times: The Trial", "d:Description": "Robert Ebert's review, making unfavourable comparisons with the Kafka book and the Orson Welle's film version.", "topic": "Top/Arts/Movies/Titles/T/Trial,_The_-_1993", "url": "http://www.rogerebert.com/reviews/the-trial-1994"} +{"d:Title": "Internet Movie Database: The Trials of Henry Kissinger", "d:Description": "Credits, synopsis, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/T/Trials_of_Henry_Kissinger,_The", "url": "http://imdb.com/title/tt0326306/"} +{"d:Title": "Metacritic.com: Trials of Henry Kissinger", "d:Description": "Film information, quotes from and links to reviews, user comments with ratings, and an overall score.", "topic": "Top/Arts/Movies/Titles/T/Trials_of_Henry_Kissinger,_The", "url": "http://www.metacritic.com/movie/the-trials-of-henry-kissinger"} +{"d:Title": "All-Reviews.com: Trial By Jury", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/T/Trial_by_Jury", "url": "http://www.all-reviews.com/videos-2/trial-by-jury.htm"} +{"d:Title": "IMDb: Trial by Jury", "d:Description": "Synopsis, cast and crew, and use comments.", "topic": "Top/Arts/Movies/Titles/T/Trial_by_Jury", "url": "http://www.imdb.com/title/tt0111488/"} +{"d:Title": "IMDb: Trick (1999)", "d:Description": "Cast and crew details, trailer, plot outline, and related links.", "topic": "Top/Arts/Movies/Titles/T/Trick", "url": "http://www.imdb.com/title/tt0162710/"} +{"d:Title": "The Trigger Effect", "d:Description": "MetroActive Movies - Review", "topic": "Top/Arts/Movies/Titles/T/Trigger_Effect,_The", "url": "http://www.metroactive.com/papers/metro/09.05.96/trigger-effect-9636.html"} +{"d:Title": "All-Reviews.com: The Trigger Effect", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Trigger_Effect,_The", "url": "http://www.all-reviews.com/videos-3/trigger-effect.htm"} +{"d:Title": "TV Guide Online: Trigger Effect, The", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Trigger_Effect,_The", "url": "http://www.tvguide.com/movies/the-trigger-effect/131557/"} +{"d:Title": "Trilogy of Terror Review", "d:Description": "A not so kind review and rating of the movie.", "topic": "Top/Arts/Movies/Titles/T/Trilogy_of_Terror_Series/Trilogy_of_Terror", "url": "http://user.xmission.com/~tyranist/horror/reviews/t/TrilogyofTerror.html"} +{"d:Title": "IMDb - Trilogy of Terror (1975)", "d:Description": "Includes cast/crew information, review and synopsis.", "topic": "Top/Arts/Movies/Titles/T/Trilogy_of_Terror_Series/Trilogy_of_Terror", "url": "http://www.imdb.com/title/tt0073820/combined"} +{"d:Title": "TV Guide Online: Trilogy of Terror", "d:Description": "Plot synopsis, review, picture, rating and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Trilogy_of_Terror_Series/Trilogy_of_Terror", "url": "http://www.tvguide.com/movies/trilogy-of-terror/review/133034/"} +{"d:Title": "Trilogy of Terror II Review", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Trilogy_of_Terror_Series/Trilogy_of_Terror_2", "url": "http://user.xmission.com/~tyranist/horror/reviews/t/TrilogyofTerror2.html"} +{"d:Title": "IMDb - Trilogy of Terror II (1996)", "d:Description": "Includes full cast/crew information, reviews and synopsis.", "topic": "Top/Arts/Movies/Titles/T/Trilogy_of_Terror_Series/Trilogy_of_Terror_2", "url": "http://www.imdb.com/title/tt0117966/combined"} +{"d:Title": "TV Guide Online: Trilogy of Terror II", "d:Description": "Includes synopsis, review, picture, rating and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Trilogy_of_Terror_Series/Trilogy_of_Terror_2", "url": "http://www.tvguide.com/movies/trilogy-of-terror-ii/132155/"} +{"d:Title": "IMDb - The Trio", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Trio,_The", "url": "http://www.imdb.com/title/tt0120374/"} +{"d:Title": "TV Guide Online: Trio", "d:Description": "Movie review, plot synopsis and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Trio,_The", "url": "http://www.tvguide.com/movies/the-trio/133918/"} +{"d:Title": "Sony Pictures Classics: The Triplets of Belleville", "d:Description": "U.S. distributor's site with reviews, images, trailers, credits, synopsis and information on the filmmakers and director. [Requires Flash.]", "priority": "1", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.sonyclassics.com/triplets/"} +{"d:Title": "Screen It! Parental Review: The Triplets of Belleville", "d:Description": "Detailed analysis of aspects of the film some parents may find inappropriate for their children, most notably violence. Also includes brief discussion of role models and a general review.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://screenit.com/movies/2003/the_triplets_of_belleville.html"} +{"d:Title": "Pedal Pushers Online: The Triplets of Bellville", "d:Description": "Two reviews, one positive, one negative. Includes several screen shots.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.pedalpushersonline.com/?CID=790"} +{"d:Title": "The Internet Movie Database: Les Triplettes de Belleville (2003)", "d:Description": "Cast and crew credits, reviews, technical information, box office results, trivia, trailer, pictures and message board.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.imdb.com/title/tt0286244/"} +{"d:Title": "The Age: The Triplets of Belleville", "d:Description": "Interview with writer-director Sylvain Chomet.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.theage.com.au/articles/2004/05/06/1083635270804.html"} +{"d:Title": "NPR : The Oscar-Nominated Music of 'Belleville'", "d:Description": "\"All Things Considered\" radio feature on the film's music by Benoit Charest, including link to the \"Belleville Rendez-vous\" music video.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.npr.org/templates/story/story.php?storyId=1688131"} +{"d:Title": "Film Pie: The Triplets Of Bellville", "d:Description": "Review focussing on the film's \"crazy visuals and unique approach.\" Rated A-.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.thefilmpie.com/index.php?option=com_content&view=article&id=856&Itemid=56"} +{"d:Title": "Seattle Post-Intelligencer: France's 'Triplets': Three times the charm of Disney", "d:Description": "William Arnold's review: \"... most of the magic of this unusual movie comes from the freshness, imagination and sweet spirit of its animation, which is blissfully its own thing and does not show the influence of any of the reigning forces in the art form.\" Grade of B+.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.seattlepi.com/ae/movies/article/France-s-Triplets-Three-times-the-charm-of-1132955.php"} +{"d:Title": "Rotten Tomatoes: The Triplets of Belleville", "d:Description": "Mainstream media reviews, plot synopsis, credits, box office history, DVD features, trailer, and discussion forum.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.rottentomatoes.com/m/the_triplets_of_belleville/"} +{"d:Title": "FPS Magazine: If Animators Were In Charge, Triplets of Belleville Would Win Every Oscar There Is", "d:Description": "Discusses the ways that this surreal, European-style film differs from what American audiences have come to expect from animation.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.fpsmagazine.com/comment/triplets.php"} +{"d:Title": "Chicago Sun-Times: The Triplets of Belleville", "d:Description": "Roger Ebert's review: \"...will have you walking out of the theater with a goofy damn grin on your face, wondering what just happened to you.\" 3 1/2 stars.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.rogerebert.com/reviews/the-triplets-of-belleville-2003"} +{"d:Title": "Future Movies: Belleville Rendez-Vous", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.futuremovies.co.uk/reviews/belleville-rendez-vous/nik-huggins"} +{"d:Title": "A.V. Club: The Triplets of Belleville", "d:Description": "Noel Murray's review: \"The film is best treated as a one-of-a-kind wonder: an ingenious contraption that dazzles, teases, attracts, and repels with all the mystery and sublimity of a miniature world.\"", "topic": "Top/Arts/Movies/Titles/T/Triplets_of_Belleville,_The", "url": "http://www.avclub.com/review/the-triplets-of-belleville-5311"} +{"d:Title": "HARO Online: The Triumph of Love", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Triumph_of_Love,_The_-_2001", "url": "http://www.haro-online.com/movies/triumph_of_love.html"} +{"d:Title": "Rotten Tomatoes: The Triumph of Love", "d:Description": "Links to reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Triumph_of_Love,_The_-_2001", "url": "http://www.rottentomatoes.com/m/triumph_of_love/"} +{"d:Title": "Metacritic: The Triumph of Love", "d:Description": "Provides quotes from and links to a variety of film reviews, plus cast information.", "topic": "Top/Arts/Movies/Titles/T/Triumph_of_Love,_The_-_2001", "url": "http://www.metacritic.com/movie/the-triumph-of-love"} +{"d:Title": "IMDb: The Triumph of Love (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Triumph_of_Love,_The_-_2001", "url": "http://www.imdb.com/title/tt0253840/"} +{"d:Title": "HARO Online - Trixie", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/T/Trixie", "url": "http://www.haro-online.com/movies/trixie.html"} +{"d:Title": "PopMatters - Trixie", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/T/Trixie", "url": "http://popmatters.com/film/reviews/t/trixie.html"} +{"d:Title": "All-Reviews.com: Trixie", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Trixie", "url": "http://www.all-reviews.com/videos-3/trixie.htm"} +{"d:Title": "Rotten Tomatoes - Trixie", "d:Description": "Reviews from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/T/Trixie", "url": "http://www.rottentomatoes.com/m/trixie/"} +{"d:Title": "IMDb - Trixie", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Trixie", "url": "http://www.imdb.com/title/tt0162711/"} +{"d:Title": "Metacritic.com: Trixie", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Trixie", "url": "http://www.metacritic.com/movie/trixie"} +{"d:Title": "User_Windy's Domain: Tron", "d:Description": "Analysis of the Tron Killer App sequence featured on the Tron 20th Anniversary Collector's Edition DVD.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series", "url": "http://www.angelfire.com/falcon/windy/"} +{"d:Title": "Webring: Tron", "d:Description": "Collection of sites with information about the cult classic Tron, the sequel Tron 2.0, and their stars.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series", "url": "http://www.webring.org/hub?ring=tron"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview of the film includes trivia, filming locations, memorabilia information, and related links.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron", "url": "http://www.fast-rewind.com/tron.htm"} +{"d:Title": "Filmtracks: Tron", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron", "url": "http://www.filmtracks.com/titles/tron.html"} +{"d:Title": "Hidden Mickeys: Tron", "d:Description": "Locations of hidden images of Mickey in the film.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron", "url": "http://www.hiddenmickeys.org/Movies/Tron.html"} +{"d:Title": "Tron Sector", "d:Description": "Dedicated to the movie, game, and film sequel. Features forum, news, gallery, music, and sounds.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron", "url": "http://www.tron-sector.com/"} +{"d:Title": "Internet Movie Database: Tron", "d:Description": "Data on the film includes cast and crew credits, trivia, and other information on the movie.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron", "url": "http://www.imdb.com/title/tt0084827/"} +{"d:Title": "The Cinema Laser", "d:Description": "Derek M. Germano reviews the \"Tron\" DVD.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron/Reviews", "url": "http://www.thecinemalaser.com/dvd_reviews/tron-dvd.htm"} +{"d:Title": "The LowComDom Online Film Reviews: Tron", "d:Description": "Includes cast and crew and short review of the film.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron/Reviews", "url": "http://www.lowcomdom.com/film/t/tron.html"} +{"d:Title": "Ebert, Roger - Tron", "d:Description": "One of several films reviewed for his Overlooked Film Festival feature in the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron/Reviews", "url": "http://www.ebertfest.com/one/tron_rev.htm"} +{"d:Title": "TV Guide Online: Tron", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron/Reviews", "url": "http://www.tvguide.com/movies/tron/121272/"} +{"d:Title": "Chicago Reader", "d:Description": "Capsule review by Dave Kehr.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron/Reviews", "url": "http://onfilm.chicagoreader.com/movies/capsules/08614_TRON.html"} +{"d:Title": "rec.arts.movies.reviews", "d:Description": "A retrospective movie review by Walter Frith", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron/Reviews", "url": "http://www.imdb.com/reviews/86/8679.html"} +{"d:Title": "MetaFilter: Tron 2.0 - Killer App", "d:Description": "Brief article offers several site suggestions and user comments based on the upcoming Tron sequel. Links include production that links to production illustrations.", "topic": "Top/Arts/Movies/Titles/T/Tron_Series/Tron_Killer_App", "url": "http://www.metafilter.com/comments.mefi/17601"} +{"d:Title": "Rotten Tomatoes: Tropic Thunder", "d:Description": "Synopsis, cast members, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Tropic_Thunder", "url": "http://www.rottentomatoes.com/m/tropic_thunder/"} +{"d:Title": "Tropic Thunder", "d:Description": "Official site. Video, gallery, downloads, and characters.", "priority": "1", "topic": "Top/Arts/Movies/Titles/T/Tropic_Thunder", "url": "http://www.paramount.com/movies/tropic-thunder"} +{"d:Title": "IGN Movies: Tropic Thunder", "d:Description": "News, interview, trailer, photos, and a message board.", "topic": "Top/Arts/Movies/Titles/T/Tropic_Thunder", "url": "http://www.ign.com/movies/tropic-thunder/theater-41391"} +{"d:Title": "MovieWeb: Tropic Thunder (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/T/Tropic_Thunder", "url": "http://www.movieweb.com/movie/tropic-thunder"} +{"d:Title": "IMDb: Trouble Every Day", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Trouble_Every_Day", "url": "http://www.imdb.com/title/tt0204700/"} +{"d:Title": "Metacritic: Trouble Every Day", "d:Description": "Quotes from and links to reviews of the film, viewer comments, and overall rating.", "topic": "Top/Arts/Movies/Titles/T/Trouble_Every_Day", "url": "http://www.metacritic.com/movie/trouble-every-day"} +{"d:Title": "Trout Grass", "d:Description": "Official site, includes video clips, reviews, and ordering.", "topic": "Top/Arts/Movies/Titles/T/Trout_Grass", "url": "http://www.troutgrass.com/default.html"} +{"d:Title": "Global Fly Fisher: Trout Grass", "d:Description": "Review of the DVD by Martin Joergensen.", "topic": "Top/Arts/Movies/Titles/T/Trout_Grass", "url": "http://globalflyfisher.com/reviews/books/bookbase/show_single.php?id=90"} +{"d:Title": "Washington Fly Fishing: Trout Grass", "d:Description": "Review by Bob Triggs.", "topic": "Top/Arts/Movies/Titles/T/Trout_Grass", "url": "http://www.washingtonflyfishing.com/faq/idx/0/099/article/Trout_Grass__A_film_by_Ed_George_and_Andy_Royer.html"} +{"d:Title": "IMDb: Troy", "d:Description": "Cast and crew, synopsis, forum, trailers, posters, photos, showtimes, and links.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.imdb.com/title/tt0332452/"} +{"d:Title": "KillerMovies: Troy", "d:Description": "News, cast and crew, synopsis, trailers, posters, box office statistics and image gallery.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.killermovies.com/t/troy/"} +{"d:Title": "Filmtracks: Troy", "d:Description": "Soundtrack review, song list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.filmtracks.com/titles/troy.html"} +{"d:Title": "All-reviews.com: Troy", "d:Description": "Reviews of the movie by member-critics.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.all-reviews.com/videos-5/troy.htm"} +{"d:Title": "Box Office Mojo: Troy", "d:Description": "Box office statistics and history.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.boxofficemojo.com/movies/?id=troy.htm"} +{"d:Title": "HARO Online: Troy", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.haro-online.com/movies/troy.html"} +{"d:Title": "TEN Movies: Troy", "d:Description": "Review of the film by Faizan Rashid including cast, crew, rating, and links.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1073"} +{"d:Title": "Rotten Tomatoes: Troy", "d:Description": "Aggregated reviews from critics and website users, movie information, trailers, photo gallery, news, and forum.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.rottentomatoes.com/m/troy/"} +{"d:Title": "Chicago Sun-Times: Troy", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.rogerebert.com/reviews/troy-2004"} +{"d:Title": "Future Movies: Troy", "d:Description": "Review by Adrian Mackinder, with pictures.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.futuremovies.co.uk/reviews/troy/adrian-mackinder"} +{"d:Title": "Hollywood Stock Exchange", "d:Description": "Synopsis and Hollywood Stock Exchange statistics.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.hsx.com/security/view/TROY"} +{"d:Title": "Coming Soon: Troy", "d:Description": "Cast and crew, synopsis, production stills, review, teaser trailer, trailer, international trailer, commercials, and film clips.", "topic": "Top/Arts/Movies/Titles/T/Troy", "url": "http://www.comingsoon.net/movie/troy-2004"} +{"d:Title": "TV Guide Online: Truce, The", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Truce,_The_-_1996", "url": "http://www.tvguide.com/movies/the-truce/133071/"} +{"d:Title": "The Truce", "d:Description": "A site devoted to the short film about the Christmas Truce of 1914. The story dramatizes the true story of a soccer game that occurred between enemy soldiers during the ceasefire.", "topic": "Top/Arts/Movies/Titles/T/Truce,_The_-_2001", "url": "http://www.thetruce.com/"} +{"d:Title": "TV Guide: Truck Turner", "d:Description": "Includes movie review, plot synopsis and cast/crew information.", "topic": "Top/Arts/Movies/Titles/T/Truck_Turner", "url": "http://www.tvguide.com/movies/truck-turner/121314/"} +{"d:Title": "IMDb - Truck Turner (1974)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Truck_Turner", "url": "http://www.imdb.com/title/tt0072325/"} +{"d:Title": "IMDb: True Colors", "d:Description": "Synopsis, cast and crew list, and links.", "topic": "Top/Arts/Movies/Titles/T/True_Colors", "url": "http://www.imdb.com/title/tt0103125/"} +{"d:Title": "True Crime", "d:Description": "Official Site. Clint Eastwood stars in Warner Bros.' new suspense drama, 'True Crime,' directed by Eastwood and starring Isaiah Washington, Denis Leary, Lisa Gay Hamilton and James Woods.", "topic": "Top/Arts/Movies/Titles/T/True_Crime", "url": "http://www.truecrimethemovie.com/"} +{"d:Title": "PopMatters - True Crime", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/T/True_Crime", "url": "http://popmatters.com/film/reviews/t/true-crime.html"} +{"d:Title": "All-Reviews.com: True Crime", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/T/True_Crime", "url": "http://www.all-reviews.com/videos-4/true-crime.htm"} +{"d:Title": "TV Guide Online", "d:Description": "Includes review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/True_Crime", "url": "http://www.tvguide.com/movies/true-crime/133908/"} +{"d:Title": "IMDb: True Crime", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/True_Crime", "url": "http://www.imdb.com/title/tt0139668/"} +{"d:Title": "Roger Ebert: True Crime", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/T/True_Crime", "url": "http://www.rogerebert.com/reviews/true-crime-1999"} +{"d:Title": "All-Reviews.com: True Lies", "d:Description": "Offers a review of the film with a second opinion.", "topic": "Top/Arts/Movies/Titles/T/True_Lies", "url": "http://www.all-reviews.com/videos-2/true-lies.htm"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the film.", "topic": "Top/Arts/Movies/Titles/T/True_Lies", "url": "http://www.awesomefilm.com/script/truelies.txt"} +{"d:Title": "TV Guide Online: True Lies", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/True_Lies", "url": "http://www.tvguide.com/movies/true-lies/129947/"} +{"d:Title": "IMDb: True Lies", "d:Description": "Cast/credits plus other information about the film", "topic": "Top/Arts/Movies/Titles/T/True_Lies", "url": "http://www.imdb.com/title/tt0111503/"} +{"d:Title": "IMDb: True Love (1989/I)", "d:Description": "Cast, crew, taglines, other production info and links.", "topic": "Top/Arts/Movies/Titles/T/True_Love", "url": "http://imdb.com/title/tt0098528/"} +{"d:Title": "IMDb: True Romance", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/True_Romance", "url": "http://www.imdb.com/title/tt0108399/"} +{"d:Title": "AllReaders.com: True Romance", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/True_Romance", "url": "http://allreaders.com/movie-review-summary/true-romance-6692"} +{"d:Title": "IMDb: True Women", "d:Description": "Cast/credits, plot summary, production info and links for this 1997 made for television movie.", "topic": "Top/Arts/Movies/Titles/T/True_Women", "url": "http://imdb.com/title/tt0118499/"} +{"d:Title": "All-Reviews.com - The Truman Show", "d:Description": "Compiled reviews", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.all-reviews.com/videos/truman-show.htm"} +{"d:Title": "Philosophical Musings: The Truman Show", "d:Description": "An examination of the moral issues presented in the movie.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://samvak.tripod.com/seahaven.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://crazy4cinema.com/Review/FilmsT/f_truman.html"} +{"d:Title": "Transparency Now: Truman as Archetype", "d:Description": "The Truman Show, with Jim Carrey, tells the story of our time, about a character who escapes from an environment of media illusions.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.transparencynow.com/truman.htm"} +{"d:Title": "Awesomefilm.com", "d:Description": "Screenplay for the film.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.awesomefilm.com/script/truman.html"} +{"d:Title": "Media Circus: The Truman Show", "d:Description": "Review of the film with images and links.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.mediacircus.net/truman.html"} +{"d:Title": "So, What's Wrong With This Picture?", "d:Description": "Critique with ratings advice and a list of the movie's leading cast and crew. By Janet Maslin [New York Times].", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.nytimes.com/1998/06/05/movies/film-review-so-what-s-wrong-with-this-picture.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information about the production.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.imdb.com/title/tt0120382/"} +{"d:Title": "Metacritic.com: The Truman Show", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.metacritic.com/movie/the-truman-show"} +{"d:Title": "AllReaders.com: The Truman Show", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://allreaders.com/movie-review-summary/the-truman-show-3829"} +{"d:Title": "TV Guide Online: Truman Show, The", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Truman_Show,_The", "url": "http://www.tvguide.com/movies/the-truman-show/132594/"} +{"d:Title": "All-Reviews: The Truth About Cats&Dogs", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Truth_About_Cats_and_Dogs,_The", "url": "http://www.all-reviews.com/videos-3/truth-about-cats-dogs.htm"} +{"d:Title": "Kids in Mind: The Truth About Cats and Dogs", "d:Description": "Review of film, rating its suitability for children according to sex/nudity, violence and profanity.", "topic": "Top/Arts/Movies/Titles/T/Truth_About_Cats_and_Dogs,_The", "url": "http://www.kids-in-mind.com/T/truth_about_cats_and_dogs_1996_.htm"} +{"d:Title": "IMDb: Truth About Cats&Dogs", "d:Description": "Cast, crew, comments and links.", "topic": "Top/Arts/Movies/Titles/T/Truth_About_Cats_and_Dogs,_The", "url": "http://www.imdb.com/title/tt0117979/"} +{"d:Title": "Chicago Sun-Times: The Truth About Cats&Dogs", "d:Description": "Robert Ebert explains the plot, and how it is another retelling of the Cyrano de Bergerac story.", "topic": "Top/Arts/Movies/Titles/T/Truth_About_Cats_and_Dogs,_The", "url": "http://www.rogerebert.com/reviews/the-truth-about-cats-and-dogs-1996"} +{"d:Title": "All-Reviews.com: The Truth about Charlie", "d:Description": "Review of the remake by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/T/Truth_About_Charlie,_The", "url": "http://www.all-reviews.com/videos-5/truth-about-charlie.htm"} +{"d:Title": "HARO Online: The Truth About Charlie", "d:Description": "Haro's review: \"As the story begins to upend itself, it loses track of its logic, and the teamups begin to become increasingly bizarre.\" Rated \"not that good.\"", "topic": "Top/Arts/Movies/Titles/T/Truth_About_Charlie,_The", "url": "http://www.haro-online.com/movies/truth_about_charlie.html"} +{"d:Title": "Rotten Tomatoes: The Truth About Charlie", "d:Description": "Quotes from and links to reviews, the trailer, photos, and film information.", "topic": "Top/Arts/Movies/Titles/T/Truth_About_Charlie,_The", "url": "http://www.rottentomatoes.com/m/truth_about_charlie/"} +{"d:Title": "All-Reviews.com: Tuck Everlasting", "d:Description": "Four film critics offer mixed reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Tuck_Everlasting_-_2002", "url": "http://www.all-reviews.com/videos-4/tuck-everlasting.htm"} +{"d:Title": "IMDb: Tuck Everlasting (2002)", "d:Description": "Features complete cast and crew listings, reviews, and a trailer for the movie.", "topic": "Top/Arts/Movies/Titles/T/Tuck_Everlasting_-_2002", "url": "http://www.imdb.com/title/tt0283084/combined"} +{"d:Title": "Rottentomatoes.com - Tuck Everlasting (2002)", "d:Description": "Movie reviews from critics and audiences. Also includes movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/T/Tuck_Everlasting_-_2002", "url": "http://www.rottentomatoes.com/m/tuck_everlasting/"} +{"d:Title": "Tuck Everlasting - Official Site", "d:Description": "Walt Disney Pictures' film is based on the book of the same title by Natalie Babbitt. Site offers trailers, photo galleries, actor biographies, and a study guide for the book.", "topic": "Top/Arts/Movies/Titles/T/Tuck_Everlasting_-_2002", "url": "http://movies.disney.com/tuck-everlasting"} +{"d:Title": "Falling for a Children's Tale of an Age-Old Wish", "d:Description": "Review from The New York Times says older viewers will want to watch this film in addition to the expected younger audience. [Free registration required.]", "topic": "Top/Arts/Movies/Titles/T/Tuck_Everlasting_-_2002", "url": "http://www.nytimes.com/2002/10/06/movies/film-falling-for-a-children-s-tale-of-an-age-old-wish.html"} +{"d:Title": "IMDb - Tully", "d:Description": "Features cast and crew details, plot summary, photo gallery, trivia, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/T/Tully", "url": "http://www.imdb.com/title/tt0212604/"} +{"d:Title": "Tulse Luper Suitcases, Part 2: Vaux to the Sea, The (2004)", "d:Description": "Cast and crew links and related material concerning the second of a conceived three film series from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Tulse_Luper_Suitcases_Series", "url": "http://www.imdb.com/title/tt0408281/"} +{"d:Title": "Tulse Luper Suitcases: The Moab Story, The (2003)", "d:Description": "Cast and crew links and related material concerning the first of a conceived three film series from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Tulse_Luper_Suitcases_Series", "url": "http://www.imdb.com/title/tt0307596/"} +{"d:Title": "IMDb: Tumbledown", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tumbledown", "url": "http://imdb.com/title/tt0098533/"} +{"d:Title": "IMDb: Tumbleweeds", "d:Description": "Cast, credits, and plot summary.", "topic": "Top/Arts/Movies/Titles/T/Tumbleweeds", "url": "http://www.imdb.com/title/tt0161023/"} +{"d:Title": "Tumbleweeds: HARO Online", "d:Description": "Includes a review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Tumbleweeds/Reviews", "url": "http://www.haro-online.com/movies/tumbleweeds.html"} +{"d:Title": "All-Reviews.com: Tumbleweeds", "d:Description": "Includes a movie review by eight critics.", "topic": "Top/Arts/Movies/Titles/T/Tumbleweeds/Reviews", "url": "http://www.all-reviews.com/videos-3/tumbleweeds.htm"} +{"d:Title": "Tumbleweeds Review", "d:Description": "Roger Ebert offers his opinions.", "topic": "Top/Arts/Movies/Titles/T/Tumbleweeds/Reviews", "url": "http://www.rogerebert.com/reviews/tumbleweeds-1999"} +{"d:Title": "Scotland the Movie Location Guide: Tunes of Glory", "d:Description": "The movie's Scottish filming locations.", "topic": "Top/Arts/Movies/Titles/T/Tunes_of_Glory", "url": "http://www.scotlandthemovie.com/movies/ftunes.html"} +{"d:Title": "Tunes of Glory (1960)", "d:Description": "Details, cast and crew, plot summary, awards and nominations, ratings, critical comments, quotes, and trivia from the Internet Movie Database [IMDb].", "topic": "Top/Arts/Movies/Titles/T/Tunes_of_Glory", "url": "http://www.imdb.com/title/tt0054412/"} +{"d:Title": "Internet Movie Database - Tupac: Resurrection (2003)", "d:Description": "Synopsis, credits, user comments and ratings, photographs, multimedia, release details, and links.", "topic": "Top/Arts/Movies/Titles/T/Tupac_-_Resurrection", "url": "http://imdb.com/title/tt0343121/"} +{"d:Title": "HARO Online - Tupac: Resurrection", "d:Description": "Haro reviews the documentary.", "topic": "Top/Arts/Movies/Titles/T/Tupac_-_Resurrection", "url": "http://www.haro-online.com/movies/tupac_resurrection.html"} +{"d:Title": "All-Reviews.com:Tupac Resurrection", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/T/Tupac_-_Resurrection", "url": "http://all-reviews.com/videos-5/tupac-resurrection.htm"} +{"d:Title": "Chicago Sun-Times: Tupac - Resurrection", "d:Description": "Review of the movie by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/T/Tupac_-_Resurrection", "url": "http://www.rogerebert.com/reviews/tupac-resurrection-2003"} +{"d:Title": "Movieweb: Turbulence", "d:Description": "Includes a plot summary, cast information, numerous movie stills, and links.", "topic": "Top/Arts/Movies/Titles/T/Turbulence", "url": "http://movieweb.com/movie/turbulence/"} +{"d:Title": "MMI Review: Turbulence", "d:Description": "By Andrea Chase: \"it's just too hokey and implausible to take seriously.\"", "topic": "Top/Arts/Movies/Titles/T/Turbulence", "url": "http://www.shoestring.org/mmi_revs/turbulence.html"} +{"d:Title": "IMDb: Turbulence", "d:Description": "The Internet Movie Database includes plot outline, user ratings, and cast overview.", "topic": "Top/Arts/Movies/Titles/T/Turbulence", "url": "http://www.imdb.com/title/tt0120390/"} +{"d:Title": "All-Reviews.com - Turn It Up", "d:Description": "A couple of reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Turn_It_Up", "url": "http://www.all-reviews.com/videos/turn-it-up.htm"} +{"d:Title": "PopMatters - Turn it Up", "d:Description": "Analysis of the film.", "topic": "Top/Arts/Movies/Titles/T/Turn_It_Up", "url": "http://popmatters.com/film/reviews/t/turn-it-up.html"} +{"d:Title": "Rotten Tomatoes - Turn It Up (2000)", "d:Description": "Reviews and reactions from critics. Plus news, trailers, photos and synopsis.", "topic": "Top/Arts/Movies/Titles/T/Turn_It_Up", "url": "http://www.rottentomatoes.com/m/turn_it_up/"} +{"d:Title": "IMDb: Turn it Up", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/T/Turn_It_Up", "url": "http://www.imdb.com/title/tt0216772/"} +{"d:Title": "Metacritic.com: Turn It Up", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Turn_It_Up", "url": "http://www.metacritic.com/movie/turn-it-up"} +{"d:Title": "IMDb: The Turn of the Screw", "d:Description": "Plot summary, cast and crew information, and user comments on this classic horror thriller remade for television in 1999.", "topic": "Top/Arts/Movies/Titles/T/Turn_of_the_Screw_-_1999", "url": "http://imdb.com/title/tt0209440/"} +{"d:Title": "Rotten Tomatoes: The Turn of the Screw", "d:Description": "Synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/T/Turn_of_the_Screw_-_1999", "url": "http://www.rottentomatoes.com/m/the_turn_of_the_screw_2000/"} +{"d:Title": "HARO Online: The Tuxedo", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/T/Tuxedo,_The", "url": "http://www.haro-online.com/movies/tuxedo.html"} +{"d:Title": "All-Reviews.com: The Tuxedo", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Tuxedo,_The", "url": "http://www.all-reviews.com/videos-4/tuxedo.htm"} +{"d:Title": "Rotten Tomatoes: The Tuxedo", "d:Description": "Quotes from and links to reviews, photos, the trailer, and a public forum.", "topic": "Top/Arts/Movies/Titles/T/Tuxedo,_The", "url": "http://www.rottentomatoes.com/m/tuxedo/"} +{"d:Title": "IMDb: The Tuxedo", "d:Description": "Cast and crew of the film.", "topic": "Top/Arts/Movies/Titles/T/Tuxedo,_The", "url": "http://www.imdb.com/title/tt0290095/"} +{"d:Title": "Metacritic.com: The Tuxedo", "d:Description": "Provides quotes from and links to reviews of the film, with an overall rating and user comments.", "topic": "Top/Arts/Movies/Titles/T/Tuxedo,_The", "url": "http://www.metacritic.com/movie/the-tuxedo"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Includes a review, cast list, and links.", "topic": "Top/Arts/Movies/Titles/T/Twelfth_Night_-_1996", "url": "http://crazy4cinema.com/Review/FilmsT/f_twelfth.html"} +{"d:Title": "TV Guide Online: Twelfth Night", "d:Description": "Includes a movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Twelfth_Night_-_1996", "url": "http://www.tvguide.com/movies/twelfth-night/131416/"} +{"d:Title": "IMDb - Twelfth Night (1996)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Twelfth_Night_-_1996", "url": "http://www.imdb.com/title/tt0117991/"} +{"d:Title": "AllReaders.com: Twelfth Night", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/T/Twelfth_Night_-_1996", "url": "http://allreaders.com/movie-review-summary/twelfth-night-3613"} +{"d:Title": "IMDb: Twilight (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Twilight_-_1998", "url": "http://imdb.com/title/tt0119594/"} +{"d:Title": "TV Guide Online: Twilight", "d:Description": "Movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Twilight_-_1998", "url": "http://www.tvguide.com/movies/twilight/132593/"} +{"d:Title": "HARO Online: Twilight Samurai", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Samurai", "url": "http://www.haro-online.com/movies/twilight_samurai.html"} +{"d:Title": "IMDb: Twilight Samurai (Tasogare Seibei) (2002)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Samurai", "url": "http://www.imdb.com/title/tt0351817/"} +{"d:Title": "Rotten Tomatoes: Twilight Samurai", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Samurai", "url": "http://www.rottentomatoes.com/m/twilight_samurai/"} +{"d:Title": "Chicago Sun-Times: Twilight Samurai", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Samurai", "url": "http://www.rogerebert.com/reviews/twilight-samurai-2004"} +{"d:Title": "ReelViews: Twilight", "d:Description": "Review, by James Berardinelli: \"Twilight isn't an especially good movie, but neither is it an abomination. At times, the dialogue is laugh-aloud bad - almost to the point of being hilarious.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://www.reelviews.net/php_review_template.php?identifier=1394"} +{"d:Title": "Rotten Tomatoes: Twilight", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://www.rottentomatoes.com/m/twilight/"} +{"d:Title": "Twilight The Movie", "d:Description": "Official site offers DVD information, film synopsis, video files, photo gallery, downloads, soundtrack details, worldwide release dates and links.", "priority": "1", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://www.twilightthemovie.com/"} +{"d:Title": "IMDb: Twilight", "d:Description": "Includes cast and crew list, filming locations, message board, trivia, soundtrack listing, photo gallery, box office information, links and plot summary.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://www.imdb.com/title/tt1099212/"} +{"d:Title": "Twilight Lexicon", "d:Description": "Features character bios, mythology, timeline, locations, chapter summaries, movie details and community forum.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://www.twilightlexicon.com/"} +{"d:Title": "YouTube: TwilightFilm's Channel", "d:Description": "Official Summit Entertainment YouTube channel provides video files.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "https://www.youtube.com/user/OfficialTwilightFilm"} +{"d:Title": "USA Today: Twilight", "d:Description": "Review, by Claudia Puig: \"Despite questionable casting, wooden acting, laughable dialogue and truly awful makeup, nothing is likely to stop young girls from swarming to this kitschy adaptation of Stephenie Meyer's popular novel.\"", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-11-19-twilight_N.htm"} +{"d:Title": "IGN: Twilight", "d:Description": "Photos, videos, news, message board and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://www.ign.com/movies/twilight-2008/theater-14208481"} +{"d:Title": "TV Guide: Twilight", "d:Description": "Review, by Tracie Cooper: \"In terms of bringing the book to life, Twilight is a complete success, so much so that most of the film's flaws work within the context of the story.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_-_2008", "url": "http://www.tvguide.com/movies/twilight/review/295116/"} +{"d:Title": "IMDB: Eclipse (2010)", "d:Description": "Includes cast and crew list, filming locations, message board, trivia, soundtrack listing, photo gallery, box office information, links and plot summary.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_Saga,_The_-_Eclipse", "url": "http://www.imdb.com/title/tt1325004/"} +{"d:Title": "Rotten Tomatoes: Eclipse", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_Saga,_The_-_Eclipse", "url": "http://www.rottentomatoes.com/m/1210749-eclipse/"} +{"d:Title": "IMDb: New Moon (2009)", "d:Description": "Includes cast and crew list, filming locations, message board, trivia, soundtrack listing, photo gallery, box office information, links and plot summary.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_Saga,_The_-_New_Moon", "url": "http://www.imdb.com/title/tt1259571/"} +{"d:Title": "Rotten Tomatoes: New Moon", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Twilight_Series/Twilight_Saga,_The_-_New_Moon", "url": "http://www.rottentomatoes.com/m/twilight_saga_new_moon/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/T/Twins", "url": "http://www.fast-rewind.com/twins.htm"} +{"d:Title": "IMDb: Twins", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Twins", "url": "http://www.imdb.com/title/tt0096320/"} +{"d:Title": "Allreaders.com: Twin Dragons", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/T/Twin_Dragons", "url": "http://allreaders.com/movie-review-summary/twin-dragons-4825"} +{"d:Title": "All-Reviews.com: Twin Falls Idaho", "d:Description": "Collection of movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Twin_Falls,_Idaho", "url": "http://www.all-reviews.com/videos-3/twin-falls-idaho.htm"} +{"d:Title": "IMDb - Twin Falls Idaho", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Twin_Falls,_Idaho", "url": "http://www.imdb.com/title/tt0162830/"} +{"d:Title": "Metacritic.com: Twin Falls, Idaho", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Twin_Falls,_Idaho", "url": "http://www.metacritic.com/movie/twin-falls-idaho"} +{"d:Title": "In Twin Peaks", "d:Description": "Twin Peaks filming locations: then and now.", "topic": "Top/Arts/Movies/Titles/T/Twin_Peaks_-_Fire_Walk_With_Me", "url": "http://www.intwinpeaks.com/"} +{"d:Title": "Twin Peaks: Fire Walk with Me (1992)", "d:Description": "Twin Peaks: Fire Walk with Me on IMDb: movies, TV, and celebs.", "topic": "Top/Arts/Movies/Titles/T/Twin_Peaks_-_Fire_Walk_With_Me", "url": "http://imdb.com/title/tt0105665/"} +{"d:Title": "Fan site: Twin Town", "d:Description": "Includes pictures, audio clips and the movie trailer.", "topic": "Top/Arts/Movies/Titles/T/Twin_Town", "url": "http://twintown1.tripod.com/"} +{"d:Title": "IMDb: Twin Town", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Twin_Town", "url": "http://www.imdb.com/title/tt0120394/"} +{"d:Title": "IMDb - Twin Warriors [Tai ji Zhang San Feng] (1993)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Twin_Warriors", "url": "http://www.imdb.com/title/tt0108281/"} +{"d:Title": "HARO Online: Twist", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Twist", "url": "http://www.haro-online.com/movies/twist.html"} +{"d:Title": "IMDb: Twist (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Twist", "url": "http://www.imdb.com/title/tt0339827/"} +{"d:Title": "Offoffoff Film: Twist", "d:Description": "Review by David N. Butterworth.", "topic": "Top/Arts/Movies/Titles/T/Twist", "url": "http://www.offoffoff.com/film/2004/twist.php"} +{"d:Title": "Rotten Tomatoes: Twist", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/Twist", "url": "http://www.rottentomatoes.com/m/twist_2004/"} +{"d:Title": "IMDb: Twisted (2004/I)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Twisted_-_2004", "url": "http://www.imdb.com/title/tt0315297/"} +{"d:Title": "HARO Online: Twisted", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Twisted_-_2004", "url": "http://www.haro-online.com/movies/twisted.html"} +{"d:Title": "Rotten Tomatoes: Twisted", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/T/Twisted_-_2004", "url": "http://www.rottentomatoes.com/m/twisted/"} +{"d:Title": "Chicago Sun-Times: Twisted", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/T/Twisted_-_2004", "url": "http://www.rogerebert.com/reviews/twisted-2004"} +{"d:Title": "All-Reviews.com - Twister", "d:Description": "A few reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Twister", "url": "http://www.all-reviews.com/videos-2/twister.htm"} +{"d:Title": "TV Guide Online", "d:Description": "Includes movie review, plot synopsis, cast/crew information and picture.", "topic": "Top/Arts/Movies/Titles/T/Twister", "url": "http://www.tvguide.com/movies/twister/131228/"} +{"d:Title": "IMDB : Twister (1996)", "d:Description": "Full cast and crew for the film, company credits, external reviews, plot summary, memorable quotes, merchandising links and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Twister", "url": "http://www.imdb.com/title/tt0117998/"} +{"d:Title": "Allreaders Twister Spotlight", "d:Description": "A detailed analysis of the film, and a list of films similar to this one.", "topic": "Top/Arts/Movies/Titles/T/Twister", "url": "http://allreaders.com/movie-review-summary/twister-3601"} +{"d:Title": "Twitch Official Site", "d:Description": "Australian award-winning short film featuring John Condon, Jessica Napier, Paul Tassone and Barry Crocker. Film is viewable online, as well as plot and characters information and photos.", "topic": "Top/Arts/Movies/Titles/T/Twitch", "url": "http://www.newdarkages.com/"} +{"d:Title": "Two-Lane Blacktop", "d:Description": "Includes a review, photos, script, and articles about the film and Dennis Wilson.", "topic": "Top/Arts/Movies/Titles/T/Two-Lane_Blacktop", "url": "http://www.cinetropic.com/blacktop/"} +{"d:Title": "IMDb: Two-Lane Blacktop", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/T/Two-Lane_Blacktop", "url": "http://www.imdb.com/title/tt0067893/"} +{"d:Title": "HARO Online: Two Can Play That Game", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Two_Can_Play_That_Game", "url": "http://www.haro-online.com/movies/two_can_play_that_game.html"} +{"d:Title": "IMDb: Two Can Play That Game", "d:Description": "Plot summary, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Two_Can_Play_That_Game", "url": "http://www.imdb.com/title/tt0269341/"} +{"d:Title": "Metacritic.com: Two Can Play That Game", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/T/Two_Can_Play_That_Game", "url": "http://www.metacritic.com/movie/two-can-play-that-game"} +{"d:Title": "IMDb: Two Deaths", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/T/Two_Deaths", "url": "http://www.imdb.com/title/tt0117999/"} +{"d:Title": "TV Guide Online", "d:Description": "Includes movie review, cast/crew information, plot synopsis and picture.", "topic": "Top/Arts/Movies/Titles/T/Two_Deaths", "url": "http://www.tvguide.com/movies/deaths/131587/"} +{"d:Title": "IMDb: Two for the Road (1967)", "d:Description": "Plot summary, cast and credits, and user reviews.", "topic": "Top/Arts/Movies/Titles/T/Two_for_the_Road", "url": "http://www.imdb.com/title/tt0062407/"} +{"d:Title": "Allreaders.com: Two for the Road", "d:Description": "Checklist-style 'review' of the film, plus thumbnail review by Bill Hobbs.", "topic": "Top/Arts/Movies/Titles/T/Two_for_the_Road", "url": "http://allreaders.com/movie-review-summary/two-the-road-4263"} +{"d:Title": "All-Reviews.com: Two Girls and a Guy", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Two_Girls_and_a_Guy", "url": "http://www.all-reviews.com/videos-3/two-girls-and-guy.htm"} +{"d:Title": "IMDb - Two Hands (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/T/Two_Hands", "url": "http://www.imdb.com/title/tt0145547/"} +{"d:Title": "Two Idiots in Hollywood (1988)", "d:Description": "Cast and crew details from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/T/Two_Idiots_in_Hollywood", "url": "http://www.imdb.com/title/tt0131079/"} +{"d:Title": "All-Reviews.com: Two If By Sea", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/T/Two_If_By_Sea", "url": "http://www.all-reviews.com/videos-3/two-if-by-sea.htm"} +{"d:Title": "HARO Online: Two Men Went to War", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/T/Two_Men_Went_to_War", "url": "http://www.haro-online.com/movies/two_men_went_to_war.html"} +{"d:Title": "IMDb: Two Men Went to War (2002)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/T/Two_Men_Went_to_War", "url": "http://www.imdb.com/title/tt0304857/"} +{"d:Title": "Rotten Tomatoes: Two Men Went to War", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/T/Two_Men_Went_to_War", "url": "http://www.rottentomatoes.com/m/two_men_went_to_war/"} +{"d:Title": "IMDb - McGuerins from Brooklyn, The (1942)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/T/Two_Mugs_from_Brooklyn", "url": "http://www.imdb.com/title/tt0035049/"} +{"d:Title": "All-Reviews.com: Two Weeks Notice", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://all-reviews.com/videos-5/two-weeks-notice.htm"} +{"d:Title": "HARO Online: Two Weeks Notice", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://www.haro-online.com/movies/two_weeks_notice.html"} +{"d:Title": "Internet Movie Database: Two Weeks Notice", "d:Description": "Features quotes, goofs, photo gallery, plot summary, trailers, and cast and crew details.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://imdb.com/title/tt0313737/"} +{"d:Title": "Rotten Tomatoes: Two Weeks Notice", "d:Description": "Reviews, cast and crew, synopsis, trailer and photographs.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://www.rottentomatoes.com/m/two_weeks_notice/"} +{"d:Title": "Yahoo! Movies: Two Weeks Notice", "d:Description": "Synopsis, cast and credits, reviews, trailers and photographs.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://movies.yahoo.com/movie/two-weeks-notice/"} +{"d:Title": "Two Weeks Notice", "d:Description": "Official site for the film.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://www.warnerbros.com/two-weeks-notice"} +{"d:Title": "Metacritic.com: Two Weeks Notice", "d:Description": "Quotes from and links to a variety of film reviews, plus cast information and an overall score.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://www.metacritic.com/movie/two-weeks-notice"} +{"d:Title": "Contactmusic.com: Two Weeks Notice", "d:Description": "Synopsis, pictures and trailer.", "topic": "Top/Arts/Movies/Titles/T/Two_Weeks_Notice", "url": "http://www.contactmusic.com/pages/twoweeksnoticex15x01x03"} +{"d:Title": "Moviefone: Tyler Perry's Meet the Browns", "d:Description": "Overview, showtimes, synopsis, cast, credits, trailers, photos, and reviews.", "topic": "Top/Arts/Movies/Titles/T/Tyler_Perry's_Meet_the_Browns", "url": "http://www.moviefone.com/movie/tyler-perrys-meet-the-browns/30371/main"} +{"d:Title": "Rotten Tomatoes: Tyler Perry's Meet the Browns", "d:Description": "Synopsis, cast members, showtimes, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/T/Tyler_Perry's_Meet_the_Browns", "url": "http://www.rottentomatoes.com/m/meet-the-browns2008/"} +{"d:Title": "MovieWeb: Tyler Perry's Meet the Browns (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/T/Tyler_Perry's_Meet_the_Browns", "url": "http://www.movieweb.com/movie/tyler-perrys-meet-the-browns"} +{"d:Title": "The New York Times: Tyler Perry's Meet the Browns", "d:Description": "Review, by A.O. Scott: \"What he serves up -- a mixture of moralism and forgiveness, semibawdy humor and cautionary drama, mockery and affection -- may sometimes lack coherence, but never integrity.\"", "topic": "Top/Arts/Movies/Titles/T/Tyler_Perry's_Meet_the_Browns", "url": "http://www.nytimes.com/2008/03/22/movies/22tyle.html?ref=movies&_r=0"} +{"d:Title": "Philadelphia Inquirer: Tyler Perry's Meet the Browns", "d:Description": "Review, by Steven Rea: \"The playwright, actor, director and drag queen (yes, his bewigged and be wild Madea makes a brief and totally gratuitous appearance in his new film) knows how to give human dimension, and a dimension of humor, to the cliches and stereotypes.\"", "topic": "Top/Arts/Movies/Titles/T/Tyler_Perry's_Meet_the_Browns", "url": "http://articles.philly.com/2008-03-21/news/24989529_1_browns-sofia-vergara-feel-good-flicks"} +{"d:Title": "Boston Globe: Meet the Browns", "d:Description": "Review, by Ty Burr: \"The women of Perry's army will come out feeling they've been well-served, and for the rest of us there's Bassett, getting her groove back after a spate of less than worthy roles. Perry's getting his groove, too - I give him two more films and an A-list cameraman.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/T/Tyler_Perry's_Meet_the_Browns", "url": "http://archive.boston.com/ae/movies/articles/2008/03/22/split_personality_meets_the_browns/?camp=pm"} +{"d:Title": "U-571", "d:Description": "Official Site", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.u-571.com/"} +{"d:Title": "HARO Online - U-571", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.haro-online.com/movies/U-571.html"} +{"d:Title": "PopMatters - U-571", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://popmatters.com/film/reviews/u/u571.html"} +{"d:Title": "All-Reviews.com - U-571 (2000)", "d:Description": "Collection of movie reviews and movie facts", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.all-reviews.com/videos/u571.htm"} +{"d:Title": "AskMen.com: U-571", "d:Description": "Movie review from the male perspective.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.askmen.com/toys/movies/19_movies.html"} +{"d:Title": "CNN.com Transcripts - Larry King Live: U-571", "d:Description": "The movie's cast and crew discuss the naval thriller.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.cnn.com/TRANSCRIPTS/0004/25/lkl.00.html"} +{"d:Title": "Filmtracks: U-571", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.filmtracks.com/titles/u-571.html"} +{"d:Title": "Rotten Tomatoes: U-571 (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.rottentomatoes.com/m/u571/"} +{"d:Title": "IMDb : U-571 (2000)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.imdb.com/title/tt0141926/"} +{"d:Title": "Metacritic.com: U-571", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.metacritic.com/movie/u-571"} +{"d:Title": "Rogerebert.com: U-571", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "http://www.rogerebert.com/reviews/u-571-2000"} +{"d:Title": "Awesome Stories: U-571", "d:Description": "Provides the historical background behind the movie, with links to resources throughout the text.", "topic": "Top/Arts/Movies/Titles/U/U-571", "url": "https://www.awesomestories.com/asset/view/U-571"} +{"d:Title": "IMDb : U-Boat Prisoner (1944)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/U-Boat_Prisoner", "url": "http://www.imdb.com/title/tt0037411/"} +{"d:Title": "IMDb : U.F.O. (1994)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/U.F.O.", "url": "http://www.imdb.com/title/tt0111534/"} +{"d:Title": "IMDb : U.S. Coast Guard (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/U.S._Coast_Guard", "url": "http://www.imdb.com/title/tt0184972/"} +{"d:Title": "All-Reviews.com - U.S. Marshals", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/U/U.S._Marshals", "url": "http://www.all-reviews.com/videos/us-marshals.htm"} +{"d:Title": "The Software Artist Limited: U.S. Marshals", "d:Description": "Pictures from the movie set in New York.", "topic": "Top/Arts/Movies/Titles/U/U.S._Marshals", "url": "http://www.softwareartist.com/usmarshals.html"} +{"d:Title": "Filmtracks: U.S. Marshals", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/U/U.S._Marshals", "url": "http://www.filmtracks.com/titles/us_marshals.html"} +{"d:Title": "IMDb : U.S. Marshals (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/U.S._Marshals", "url": "http://www.imdb.com/title/tt0120873/"} +{"d:Title": "TV Guide Online: U.S. Marshals", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/U/U.S._Marshals", "url": "http://www.tvguide.com/movies/marshals/132968/"} +{"d:Title": "IMDb : Uchu daisenso (1959)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/Uchu_daisenso", "url": "http://www.imdb.com/title/tt0053388/"} +{"d:Title": "IMDb : UFO's Are for Real (1979)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/UFO's_Are_for_Real", "url": "http://www.imdb.com/title/tt0211707/"} +{"d:Title": "IMDb : UFOria (1980)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/UFOria", "url": "http://www.imdb.com/title/tt0081674/"} +{"d:Title": "IMDb : UFOs Conspiracy Sex or Religion (1998)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/UFOs_Conspiracy_Sex_or_Religion", "url": "http://www.imdb.com/title/tt0183930/"} +{"d:Title": "IMDb : UFO: Target Earth (1974)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/UFO_Target_Earth", "url": "http://www.imdb.com/title/tt0131624/"} +{"d:Title": "IMDb: Ugetsu Monogatari (1953)", "d:Description": "Plot description, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Ugetsu_Monogatari", "url": "http://www.imdb.com/title/tt0046478/"} +{"d:Title": "Ugly American - Wikipedia", "d:Description": "This article also refers to the 1958 book by authors William Lederer and Eugene Burdick.", "topic": "Top/Arts/Movies/Titles/U/Ugly_American,_The", "url": "http://en.wikipedia.org/wiki/Ugly_American"} +{"d:Title": "TV Guide Online: The Ugly American", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/U/Ugly_American,_The", "url": "http://www.tvguide.com/movies/ugly-american/121575"} +{"d:Title": "IMDb : Ugly American, The (1963)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/Ugly_American,_The", "url": "http://www.imdb.com/title/tt0056632/"} +{"d:Title": "DVD Talk Review: The Ugly American", "d:Description": "A synopsis and review of the film focusing on the DVD version.", "topic": "Top/Arts/Movies/Titles/U/Ugly_American,_The", "url": "http://www.dvdtalk.com/reviews/7570/ugly-american-the/"} +{"d:Title": "IMDb : Ugly Dachshund, The (1966)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/Ugly_Dachshund,_The", "url": "http://www.imdb.com/title/tt0061135/"} +{"d:Title": "IMDb : Ugly Dino, The (1940)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/Ugly_Dino,_The", "url": "http://www.imdb.com/title/tt0033199/"} +{"d:Title": "IMDb: The Ugly Truth", "d:Description": "Offers cast and crew lists, plot summary and discussion board.", "topic": "Top/Arts/Movies/Titles/U/Ugly_Truth,_The", "url": "http://www.imdb.com/title/tt1142988/"} +{"d:Title": "Film School Rejects: Butler, Heigl Take on \u2018The Ugly Truth\u2019", "d:Description": "The film will reunite Legally Blonde director Robert Luketic and the writing team of Karen McCullah Lutz and Kirsten Smith. By Neil Miller.", "topic": "Top/Arts/Movies/Titles/U/Ugly_Truth,_The", "url": "https://filmschoolrejects.com/butler-heigl-take-on-the-ugly-truth-fa564309577d"} +{"d:Title": "UHF (PG-13)", "d:Description": "Review by Rita Kempley [Washington Post].", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/uhfpg13kempley_a0c9a4.htm"} +{"d:Title": "Christian Spotlight on the Movies", "d:Description": "Review and ratings by Brian McClimans.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/rvu-uhf.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Synopsis, review, trivia, filming locations, and soundtrack details.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://www.fast-rewind.com/uhf.htm"} +{"d:Title": "Weird Al Yankovic's UHF", "d:Description": "Information about making the film with pictures and viewer comments.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://tulsatvmemories.com/uhf.html"} +{"d:Title": "Rotten Tomatoes: UHF", "d:Description": "Cast and crew, links to reviews, forum, and DVD/VHS highlights.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://www.rottentomatoes.com/m/uhf/"} +{"d:Title": "Cinemablend: UHF", "d:Description": "Review of the film by Nate Yapp.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://www.cinemablend.com/reviews/UHF-297.html"} +{"d:Title": "Mutant Reviewers From Hell", "d:Description": "Includes quotes, trivia, review, and ratings on various criteria.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://mutantreviewers.wordpress.com/2010/08/09/uhf-retro-review/"} +{"d:Title": "IMDb: UHF (1989)", "d:Description": "Cast and crew details, related links, synopsis, user comments, and trailer.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://www.imdb.com/title/tt0098546/"} +{"d:Title": "UHF", "d:Description": "Review by Roger Ebert, Chicago Sun-Times. Rated one star.", "topic": "Top/Arts/Movies/Titles/U/UHF", "url": "http://www.rogerebert.com/reviews/uhf-1989"} +{"d:Title": "All-Reviews.com: Ulee's Gold", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Ulee's_Gold", "url": "http://www.all-reviews.com/videos-2/ulees-gold.htm"} +{"d:Title": "Rotten Tomatoes: Ulee's Gold", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/U/Ulee's_Gold", "url": "http://www.rottentomatoes.com/m/ulees_gold/"} +{"d:Title": "IMDb: Ulee's Gold (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Ulee's_Gold", "url": "http://www.imdb.com/title/tt0120402/"} +{"d:Title": "Metacritic.com: Ulee's Gold", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Ulee's_Gold", "url": "http://www.metacritic.com/movie/ulees-gold"} +{"d:Title": "3BlackChicks: Ultimate Fights", "d:Description": "Review by Rose Cooper.", "topic": "Top/Arts/Movies/Titles/U/Ultimate_Fights_from_the_Movies", "url": "http://www.3blackchicks.com/2002reviews/bamsultimate.html"} +{"d:Title": "IMDb: Ultimate Fights from the Movies", "d:Description": "Summary, related films, trailer, and links.", "topic": "Top/Arts/Movies/Titles/U/Ultimate_Fights_from_the_Movies", "url": "http://www.imdb.com/title/tt0324355/"} +{"d:Title": "Official UltraViolet Website", "d:Description": "Sony Picture's official site for the film.", "priority": "1", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet", "url": "http://www.sonypictures.com/movies/ultraviolet/index.html"} +{"d:Title": "UV at Milla Jovovich", "d:Description": "Inside information and pictures for the film at the official website of Milla Jovovich.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet", "url": "http://www.millaj.com/film/ultraviolet.shtml"} +{"d:Title": "Wikipedia", "d:Description": "User submitted information and articles about the film.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet", "url": "http://en.wikipedia.org/wiki/Ultraviolet_(film)"} +{"d:Title": "Mooviees!", "d:Description": "Features photos, wallpapers, trailers, review links, cast overview, synopsis and production notes.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet", "url": "http://www.mooviees.com/8093-ultraviolet/movie"} +{"d:Title": "UV at Equilibrium Fansite", "d:Description": "Fan page with artwork, images, information and articles.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet", "url": "http://www.equilibriumfans.com/ultraviolet.htm"} +{"d:Title": "IMDb", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet", "url": "http://www.imdb.com/title/tt0370032/"} +{"d:Title": "Rough Cut vs. Studio Cut", "d:Description": "A detailed comparison of the rough cut version and the studio cut.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet/Articles_and_Interviews", "url": "http://www.equilibriumfans.com/Ultraviolet_Rough_Cut.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet/Reviews", "url": "http://www.haro-online.com/movies/ultraviolet.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "List of reviews from print, online, and broadcast critics.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet/Reviews", "url": "http://www.rottentomatoes.com/m/10004504-ultraviolet/"} +{"d:Title": "FilmJerk: Screenplay Review", "d:Description": "Chris Faile takes a look and voices his thoughts about the script.", "topic": "Top/Arts/Movies/Titles/U/Ultraviolet/Reviews", "url": "http://www.filmjerk.com/reviews/article.php?id_rev=230"} +{"d:Title": "About.com: The Umbrellas of Cherbourg", "d:Description": "Review, forum, and related links.", "topic": "Top/Arts/Movies/Titles/U/Umbrellas_of_Cherbourg,_The", "url": "http://worldfilm.about.com/library/films/blcherbourg.htm"} +{"d:Title": "Chicago Sun-Times: The Umbrellas of Cherbourg", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/U/Umbrellas_of_Cherbourg,_The", "url": "http://www.rogerebert.com/reviews/the-umbrellas-of-cherbourg-2004"} +{"d:Title": "All-Reviews.com: The Unbearable Lightness of Being", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/U/Unbearable_Lightness_of_Being,_The", "url": "http://www.all-reviews.com/videos-4/unbearable-lightness.htm"} +{"d:Title": "IMDb: The Unbearable Lightness of Being", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Unbearable_Lightness_of_Being,_The", "url": "http://www.imdb.com/title/tt0096332/"} +{"d:Title": "AllReaders.com Review: The Unbearable Lightness of Being", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/U/Unbearable_Lightness_of_Being,_The", "url": "http://allreaders.com/movie-review-summary/the-unbearable-lightness-of-being-3691"} +{"d:Title": "Unbreakable Review", "d:Description": "A review of the film containing cast list, synopsis, trivia, discussion, and links.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://www.kaedrin.com/fun/movies/unbreakable.html"} +{"d:Title": "HARO Online", "d:Description": "A review of the movie.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://www.haro-online.com/movies/unbreakable.html"} +{"d:Title": "All-Reviews.com- Unbreakable", "d:Description": "An unfavorable review of the movie.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://www.all-reviews.com/videos-2/unbreakable.htm"} +{"d:Title": "PopMatters - Unbreakable", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://popmatters.com/film/reviews/u/unbreakable.html"} +{"d:Title": "Crazy for Cinema: Review", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://crazy4cinema.com/Review/FilmsU/f_unbreakable.html"} +{"d:Title": "Filmtracks: Unbreakable", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://www.filmtracks.com/titles/unbreakable.html"} +{"d:Title": "Rotten Tomatoes: Unbreakable (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://www.rottentomatoes.com/m/unbreakable/"} +{"d:Title": "Unbreakable: The Abridged Script", "d:Description": "A short parody script for the film Unbreakable.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://the-editing-room.com/unbreakable.html"} +{"d:Title": "IMDb - Unbreakable (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://www.imdb.com/title/tt0217869/"} +{"d:Title": "AllReaders.com Review - Unbreakable", "d:Description": "Review of the plot, setting, characters and structure of the film starring Bruce Willis, Samuel L. Jackson, and Robin Wright-Penn.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://allreaders.com/movie-review-summary/unbreakable-3958"} +{"d:Title": "Metacritic.com: Unbreakable", "d:Description": "A cross-section of summarized reviews from the country's top critics.", "topic": "Top/Arts/Movies/Titles/U/Unbreakable", "url": "http://www.metacritic.com/movie/unbreakable"} +{"d:Title": "Washingtonpost.com", "d:Description": "Review of Uncle Buck, by Rita Kempley.", "topic": "Top/Arts/Movies/Titles/U/Uncle_Buck", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/unclebuckpgkempley_a0c9a1.htm"} +{"d:Title": "TV Guide Online: Uncle Buck", "d:Description": "Includes review, plot summary, and cast information.", "topic": "Top/Arts/Movies/Titles/U/Uncle_Buck", "url": "http://www.tvguide.com/movies/uncle-buck/119284"} +{"d:Title": "Chicago Sun Times", "d:Description": "Review of Uncle Buck, by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/U/Uncle_Buck", "url": "http://www.rogerebert.com/reviews/uncle-buck-1989"} +{"d:Title": "HARO Online: Uncovered - The War on Iraq", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/U/Uncovered_-_The_War_on_Iraq", "url": "http://www.haro-online.com/movies/uncovered.html"} +{"d:Title": "IMDb: Uncovered - The War on Iraq (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/U/Uncovered_-_The_War_on_Iraq", "url": "http://www.imdb.com/title/tt0420286/"} +{"d:Title": "Rotten Tomatoes: Uncovered - The War on Iraq", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/U/Uncovered_-_The_War_on_Iraq", "url": "http://www.rottentomatoes.com/m/1135882-uncovered_the_war_on_iraq/"} +{"d:Title": "Undercover Brother", "d:Description": "Official site. Features photographs, interactive features, music [MP3], trailer, games, and general information. [Flash required, opens in new window.]", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://undercover-brother.com/"} +{"d:Title": "HARO Online", "d:Description": "Review with photos and links to related movies.", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://www.haro-online.com/movies/undercover_brother.html"} +{"d:Title": "All-Reviews.com: Undercover Brother", "d:Description": "Reviews of the movie by various contributors.", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://all-reviews.com/videos-4/undercover-brother.htm"} +{"d:Title": "Cinemablend: Undercover Brother", "d:Description": "Review by Joshua Tyler.", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://www.cinemablend.com/reviews/Undercover-Brother-298.html"} +{"d:Title": "Needcoffee.com: Undercover Brother", "d:Description": "Brief review and rating.", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://www.needcoffee.com/2003/12/07/undercover-brother-movie-review/"} +{"d:Title": "IMDb: Undercover Brother (2002)", "d:Description": "Cast and crew details, plot synopsis, user comments, and trailer.", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://www.imdb.com/title/tt0279493/"} +{"d:Title": "'Undercover Brother' is a Kick", "d:Description": "\"Sure, it's fun to watch the Los Angeles Lakers face off against the Sacramento Kings, but for a real clash of titans...\" [USA Today] By Claudia Puig.", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://usatoday30.usatoday.com/life/enter/movies/2002/2002-05-31-undercover-brother-review.htm"} +{"d:Title": "Metacritic.com: Undercover Brother", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/U/Undercover_Brother", "url": "http://www.metacritic.com/movie/undercover-brother"} +{"d:Title": "Rotten Tomatoes: Underdog", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, news, box office numbers, and a forum.", "topic": "Top/Arts/Movies/Titles/U/Underdog", "url": "http://www.rottentomatoes.com/m/underdog/"} +{"d:Title": "IMDb: Underdog (2007)", "d:Description": "Photo gallery, plot outline, cast list, trivia, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/U/Underdog", "url": "http://www.imdb.com/title/tt0467110/"} +{"d:Title": "Wikipedia", "d:Description": "Article describing plot, cast, and merchandise.", "topic": "Top/Arts/Movies/Titles/U/Underdog", "url": "http://en.wikipedia.org/wiki/Underdog_(film)"} +{"d:Title": "New York Daily News", "d:Description": "Review, by Jack Mathews: \"Made for viewers old enough to appreciate a talking pooch but too young to read or write about it.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/U/Underdog", "url": "http://www.nydailynews.com/entertainment/movies/2007/08/04/2007-08-04_have_no_fear_caped_k9_movie_isnt_a_dog.html"} +{"d:Title": "MovieWeb: Underdog (2007)", "d:Description": "Facts and figures, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/U/Underdog", "url": "http://www.movieweb.com/movie/underdog"} +{"d:Title": "IGN Movies: Underdog", "d:Description": "Provides information, news, videos, and a photo gallery.", "topic": "Top/Arts/Movies/Titles/U/Underdog", "url": "http://www.ign.com/movies/underdog/theater-483091"} +{"d:Title": "IMDb - The Underground Comedy Movie (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/U/Underground_Comedy_Movie,_The", "url": "http://www.imdb.com/title/tt0201290/"} +{"d:Title": "About.com - Underworld", "d:Description": "Contains photographs and an interview with Kate Beckinsale about her role in the film.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "http://romanticmovies.about.com/cs/underworld/"} +{"d:Title": "All-Reviews.com - Underworld", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "http://all-reviews.com/videos-5/underworld.htm"} +{"d:Title": "HARO Online: Underworld", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "http://www.haro-online.com/movies/underworld.html"} +{"d:Title": "DreamLogic: Underworld", "d:Description": "Review by Chris Nelson.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "http://dreamlogic.net/REVIEWS/underworld.html"} +{"d:Title": "Sony Pictures - Underworld", "d:Description": "Official site featuring movie trailer, wallpapers, screen savers, character details, and plot summary.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "http://www.sonypictures.com/homevideo/underworld/index.html"} +{"d:Title": "Wikipedia: Underworld", "d:Description": "Features plot outline, cast list, trivia, sequel details, soundtrack and related external links.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "http://en.wikipedia.org/wiki/Underworld_(2003_film)"} +{"d:Title": "IMDb - Underworld", "d:Description": "Features cast and crew details, photographs, plot summary, and links.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "http://www.imdb.com/title/tt0320691/"} +{"d:Title": "Future Movies: Underworld: Evolution", "d:Description": "Review by Paul Greenwood including photographs.", "topic": "Top/Arts/Movies/Titles/U/Underworld", "url": "https://www.futuremovies.co.uk/reviews/underworld-evolution/paul-greenwood"} +{"d:Title": "Rotten Tomatoes: Underworld: Rise of the Lycans", "d:Description": "Synopsis, cast members, showtimes, trailers, photos, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/U/Underworld_-_Rise_of_the_Lycans", "url": "http://www.rottentomatoes.com/m/underworld_rise_of_the_lycans/"} +{"d:Title": "ReelViews: Underworld - Rise of the Lycans", "d:Description": "Review, by James Berardinelli: \"The first film was significantly better and, therefore, is the place to start for anyone with a modicum of interest. Underworld: Rise of the Lycans is an also-ran that is likely to be appreciated only by completists.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/U/Underworld_-_Rise_of_the_Lycans", "url": "http://www.reelviews.net/reelviews/underworld-rise-of-the-lycans"} +{"d:Title": "TV Guide: Underworld: Rise Of The Lycans", "d:Description": "Review, by Jeremy Wheeler: \"While it may not be \"Citizen Canine,\" Rise of the Lycans tells its tale competently and without the derivative nature of its predecessors.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/U/Underworld_-_Rise_of_the_Lycans", "url": "http://www.tvguide.com/movies/underworld-rise-lycans/review/296458/"} +{"d:Title": "A.V. Club", "d:Description": "Review, by Tasha Robinson: \"Clumsy, ephemeral, and wholly unnecessary.\"", "topic": "Top/Arts/Movies/Titles/U/Underworld_-_Rise_of_the_Lycans", "url": "http://www.avclub.com/review/underworld-rise-of-the-lycans-23019"} +{"d:Title": "IGN: Underworld: Rise of the Lycans", "d:Description": "News, photos, videos, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/U/Underworld_-_Rise_of_the_Lycans", "url": "http://www.ign.com/movies/underworld-rise-of-the-lycans/theater-14214371"} +{"d:Title": "IMDb - Under Capricorn", "d:Description": "Contains cast and crew details, trivia, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/U/Under_Capricorn", "url": "http://www.imdb.com/title/tt0042004/"} +{"d:Title": "IMDb: Under Heaven", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Under_Heaven", "url": "http://www.imdb.com/title/tt0120875/"} +{"d:Title": "TV Guide Online: Under Heaven", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/U/Under_Heaven", "url": "http://www.tvguide.com/movies/heaven/133423/"} +{"d:Title": "Allreaders Review: Under Siege", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/U/Under_Siege", "url": "http://allreaders.com/movie-review-summary/under-siege-4525"} +{"d:Title": "PopMatters: Under Suspicion", "d:Description": "Movie review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/U/Under_Suspicion_-_2000", "url": "http://popmatters.com/film/reviews/u/under-suspicion.html"} +{"d:Title": "Rotten Tomatoes: Under Suspicion (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/U/Under_Suspicion_-_2000", "url": "http://www.rottentomatoes.com/m/1100197-under_suspicion/"} +{"d:Title": "IMDb: Under Suspicion (2000)", "d:Description": "Cast and crew information, plot outline, and reviews from viewers.", "topic": "Top/Arts/Movies/Titles/U/Under_Suspicion_-_2000", "url": "http://www.imdb.com/title/tt0164212/"} +{"d:Title": "Metacritic.com: Under Suspicion", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Under_Suspicion_-_2000", "url": "http://www.metacritic.com/movie/under-suspicion"} +{"d:Title": "HARO Online: Under the Sand", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Sand", "url": "http://www.haro-online.com/movies/under_the_sand.html"} +{"d:Title": "IMDb: Under the Sand (Sous le Sable) (2000)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Sand", "url": "http://www.imdb.com/title/tt0240913/"} +{"d:Title": "Metacritic.com: Under the Sand", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Sand", "url": "http://www.metacritic.com/movie/under-the-sand"} +{"d:Title": "All-Reviews.com: Under the Sun", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Sun", "url": "http://www.all-reviews.com/videos-4/under-the-sun.htm"} +{"d:Title": "IMDb: Under the Sun (Under Solen) (1998)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Sun", "url": "http://www.imdb.com/title/tt0158302/"} +{"d:Title": "Metacritic.com: Under the Sun", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Sun", "url": "http://www.metacritic.com/movie/under-solen"} +{"d:Title": "Killer Movies: Under the Tuscan Sun", "d:Description": "Synopsis and news articles.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Tuscan_Sun", "url": "http://www.killermovies.com/u/underthetuscansun/"} +{"d:Title": "All-Reviews.com - Under the Tuscan Sun", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Tuscan_Sun", "url": "http://all-reviews.com/videos-5/under-tuscan-sun.htm"} +{"d:Title": "HARO Online: Under the Tuscan Sun", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Tuscan_Sun", "url": "http://www.haro-online.com/movies/under_the_tuscan_sun.html"} +{"d:Title": "Rotten Tomatoes: Under the Tuscan Sun", "d:Description": "Synopsis, cast and crew, and a discussion forum.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Tuscan_Sun", "url": "http://www.rottentomatoes.com/m/under_the_tuscan_sun/"} +{"d:Title": "IMDb: Under the Tuscan Sun", "d:Description": "Production information, cast and crew, synopsis, trivia, and links.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Tuscan_Sun", "url": "http://www.imdb.com/title/tt0328589/"} +{"d:Title": "Awesome Stories: Under the Tuscan Sun", "d:Description": "Provides the story behind the movie, with links to photographs and sites related to the film's characters.", "topic": "Top/Arts/Movies/Titles/U/Under_the_Tuscan_Sun", "url": "https://www.awesomestories.com/asset/view/Under-the-Tuscan-Sun"} +{"d:Title": "HARO Online: Undisputed", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/U/Undisputed", "url": "http://www.haro-online.com/movies/undisputed.html"} +{"d:Title": "All-Reviews.com: Undisputed", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/U/Undisputed", "url": "http://www.all-reviews.com/videos-4/undisputed.htm"} +{"d:Title": "IMDb: Undisputed", "d:Description": "Synopsis, cast and crew, reviews, user comments, trivia, photographs, trailer, and links.", "topic": "Top/Arts/Movies/Titles/U/Undisputed", "url": "http://www.imdb.com/title/tt0281322/"} +{"d:Title": "HARO Online", "d:Description": "Review with related links and a couple photographs. [Rated: Not That Good]", "topic": "Top/Arts/Movies/Titles/U/Unfaithful", "url": "http://www.haro-online.com/movies/unfaithful.html"} +{"d:Title": "All-Reviews.com: Unfaithful", "d:Description": "Several reviews and ratings of the movie.", "topic": "Top/Arts/Movies/Titles/U/Unfaithful", "url": "http://www.all-reviews.com/videos-4/unfaithful.htm"} +{"d:Title": "Rotten Tomatoes - Unfaithful", "d:Description": "Links to reviews, news articles, photos, and trailer.", "topic": "Top/Arts/Movies/Titles/U/Unfaithful", "url": "http://www.rottentomatoes.com/m/unfaithful/"} +{"d:Title": "IMDb: Unfaithful (2002)", "d:Description": "Cast and crew information, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Unfaithful", "url": "http://www.imdb.com/title/tt0250797/"} +{"d:Title": "Contactmusic.com: Unfaithful", "d:Description": "Includes plot summary and pictures.", "topic": "Top/Arts/Movies/Titles/U/Unfaithful", "url": "http://www.contactmusic.com/pages/unfax15x05x02"} +{"d:Title": "Metacritic.com: Unfaithful", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/U/Unfaithful", "url": "http://www.metacritic.com/movie/unfaithful"} +{"d:Title": "Unfaithfully Yours (1948)", "d:Description": "Synopsis, credits, and production details at IMDb.", "topic": "Top/Arts/Movies/Titles/U/Unfaithfully_Yours", "url": "http://www.imdb.com/title/tt0040919/"} +{"d:Title": "Allreaders Review: Unfaithfully Yours", "d:Description": "Analytical review of the plot, characters, setting, structure, and theme of the film.", "topic": "Top/Arts/Movies/Titles/U/Unfaithfully_Yours", "url": "http://allreaders.com/movie-review-summary/unfaithfully-yours-1948-5545"} +{"d:Title": "IMDb: Unforgiven (1992)", "d:Description": "Cast, credits, plot summary, viewer comments, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven", "url": "http://www.imdb.com/title/tt0105695/"} +{"d:Title": "Unforgiven", "d:Description": "Official site with synopsis, multimedia, video clips from the DVD, awards list, and discussion forum.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven", "url": "http://www.warnerbros.com/unforgiven/"} +{"d:Title": "Unforgiven (The Washington Post)", "d:Description": "Review written by Desson Howe.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/unforgivenrhowe_a0aeea.htm"} +{"d:Title": "Brian W. Fairbanks: Unforgiven", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven/Reviews", "url": "http://www.angelfire.com/oh2/writer/unforgiven.html"} +{"d:Title": "Greatest Films - Unforgiven (1992)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/U/Unforgiven/Reviews", "url": "http://www.filmsite.org/unfo.html"} +{"d:Title": "HomeVideos.com: Unforgiven", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven/Reviews", "url": "http://www.homevideos.com/revnclas/12.htm"} +{"d:Title": "TV Guide Online: Unforgiven", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven/Reviews", "url": "http://www.tvguide.com/movies/unforgiven/128798"} +{"d:Title": "Metacritic.com: Unforgiven", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven/Reviews", "url": "http://www.metacritic.com/movie/unforgiven"} +{"d:Title": "Allreaders Review: Unforgiven", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/U/Unforgiven/Reviews", "url": "http://allreaders.com/movie-review-summary/unforgiven-3934"} +{"d:Title": "IMDb: United 93", "d:Description": "Offers full cast and crew, external reviews, trivia, filming locations, news articles, trailers, photo gallery and discussion board.", "topic": "Top/Arts/Movies/Titles/U/United_93", "url": "http://www.imdb.com/title/tt0475276/"} +{"d:Title": "Reel Faces: United 93", "d:Description": "Explores the real people behind the film's story with photographs, multimedia, and related links.", "topic": "Top/Arts/Movies/Titles/U/United_93", "url": "http://www.chasingthefrog.com/reelfaces/united93.php"} +{"d:Title": "United 93", "d:Description": "Official site provides cast, director's statement, filmmakers, photo gallery, downloads, video clips, memoriam featuring biographies of each passenger, message board, national memorial and fund donation option.", "topic": "Top/Arts/Movies/Titles/U/United_93", "url": "https://www.uphe.com/movies/united-93"} +{"d:Title": "Chicago Sun-Times: Universal Soldier", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/U/Universal_Soldier_Series/Universal_Soldier_-_1992", "url": "http://www.rogerebert.com/reviews/universal-soldier-1992"} +{"d:Title": "IMDb: Universal Soldier (1992)", "d:Description": "Full cast and crew links, synopsis, and user reviews.", "topic": "Top/Arts/Movies/Titles/U/Universal_Soldier_Series/Universal_Soldier_-_1992", "url": "http://www.imdb.com/title/tt0105698/"} +{"d:Title": "PopMatters", "d:Description": "Review: \"It's hard not to expect the worst of Universal Soldier: The Return.\"", "topic": "Top/Arts/Movies/Titles/U/Universal_Soldier_Series/Universal_Soldier_-_The_Return", "url": "http://popmatters.com/film/reviews/u/universal-soldier-the-return.html"} +{"d:Title": "All-Reviews.com- Universal Soldier: The Return", "d:Description": "Collection of reviews of the movie", "topic": "Top/Arts/Movies/Titles/U/Universal_Soldier_Series/Universal_Soldier_-_The_Return", "url": "http://www.all-reviews.com/videos/universal-soldier-return.htm"} +{"d:Title": "Rotten Tomatoes: Universal Soldier - The Return", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/U/Universal_Soldier_Series/Universal_Soldier_-_The_Return", "url": "http://www.rottentomatoes.com/m/universal_soldier_the_return/"} +{"d:Title": "Metacritic.com: Universal Soldier - The Return", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Universal_Soldier_Series/Universal_Soldier_-_The_Return", "url": "http://www.metacritic.com/movie/universal-soldier-the-return"} +{"d:Title": "IMDb - Universal Soldier: The Return (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/U/Universal_Soldier_Series/Universal_Soldier_-_The_Return", "url": "http://www.imdb.com/title/tt0176269/"} +{"d:Title": "TV Guide Online: Unmade Beds", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/U/Unmade_Beds_-_1997", "url": "http://www.tvguide.com/movies/unmade-beds/133628"} +{"d:Title": "HARO Online: Unspeakable", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/U/Unspeakable", "url": "http://www.haro-online.com/movies/unspeakable.html"} +{"d:Title": "Michael D's Region 4 DVD Info Page: Unspeakable", "d:Description": "Review of the Australian DVD.", "topic": "Top/Arts/Movies/Titles/U/Unspeakable", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ID=3960"} +{"d:Title": "IMDb: Unstoppable", "d:Description": "Synopsis, pictures, video clips, cast and credits.", "topic": "Top/Arts/Movies/Titles/U/Unstoppable", "url": "http://www.imdb.com/title/tt0477080/"} +{"d:Title": "Official Site: Unstoppable", "d:Description": "Synopsis, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/U/Unstoppable", "url": "http://www.unstoppablemovie.com/"} +{"d:Title": "All-Reviews.com: Unstrung Heroes", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/U/Unstrung_Heroes", "url": "http://www.all-reviews.com/videos-3/unstrung-heroes.htm"} +{"d:Title": "All-Reviews.com: Untamed Heart", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/U/Untamed_Heart", "url": "http://www.all-reviews.com/videos-3/untamed-heart.htm"} +{"d:Title": "Filmtracks: Untamed Heart", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/U/Untamed_Heart", "url": "http://www.filmtracks.com/titles/untamed_heart.html"} +{"d:Title": "UTEOTW / Welcome to the End of the World", "d:Description": "Includes character and story analysis, information on different versions of the film, pictures, and a guestbook.", "topic": "Top/Arts/Movies/Titles/U/Until_the_End_of_the_World", "url": "http://www.panix.com/~archii/uteotw/"} +{"d:Title": "SunTimes.com - Until the End of the World", "d:Description": "A review by Roger Ebert in which he states \"The movie itself, unfortunately, is not as compelling as the tempest that went into its making.\"", "topic": "Top/Arts/Movies/Titles/U/Until_the_End_of_the_World", "url": "http://www.rogerebert.com/reviews/until-the-end-of-the-world-1992"} +{"d:Title": "IMDb - Until the End of the World", "d:Description": "Contains cast and crew details, quotes, goofs, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/U/Until_the_End_of_the_World", "url": "http://www.imdb.com/title/tt0101458/"} +{"d:Title": "All-Reviews.com: The Untouchables", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/U/Untouchables,_The", "url": "http://www.all-reviews.com/videos-4/untouchables.htm"} +{"d:Title": "Rotten Tomatoes: The Untouchables", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/U/Untouchables,_The", "url": "http://www.rottentomatoes.com/m/untouchables/"} +{"d:Title": "TV Guide Online: The Untouchables", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/U/Untouchables,_The", "url": "http://www.tvguide.com/movies/untouchables/121875"} +{"d:Title": "IMDb: The Untouchables (1987)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Untouchables,_The", "url": "http://www.imdb.com/title/tt0094226/"} +{"d:Title": "Allreaders Review: The Untouchables", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/U/Untouchables,_The", "url": "http://allreaders.com/movie-review-summary/the-untouchables-4434"} +{"d:Title": "IMDb: The Untouchables: Capone Rising", "d:Description": "Offers production credits, release date and message board.", "topic": "Top/Arts/Movies/Titles/U/Untouchables,_The_-_Capone_Rising", "url": "http://www.imdb.com/title/tt0425602/"} +{"d:Title": "Gerard Butler Joins Untouchables Prequel", "d:Description": "The Scottish actor will star in Brian De Palma's upcoming 2008 action drama.", "topic": "Top/Arts/Movies/Titles/U/Untouchables,_The_-_Capone_Rising", "url": "http://www.comingsoon.net/movies/news/20477-gerard-butler-joins-untouchables-prequel"} +{"d:Title": "IMDb: Untraceable (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/U/Untraceable", "url": "http://www.imdb.com/title/tt0880578/"} +{"d:Title": "Rotten Tomatoes: Untraceable", "d:Description": "Synopsis, cast list, photos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/U/Untraceable", "url": "http://www.rottentomatoes.com/m/10008954-untraceable/"} +{"d:Title": "RogerEbert.com: Untraceable", "d:Description": "Review, by Roger Ebert: \"A horrifying thriller, smart and tightly told, and merciless.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/U/Untraceable", "url": "http://www.rogerebert.com/reviews/untraceable"} +{"d:Title": "IGN Movies: Untraceable", "d:Description": "Photos, videos, news, message board, and a review (rating: 1.5 out of 5).", "topic": "Top/Arts/Movies/Titles/U/Untraceable", "url": "http://www.ign.com/movies/untraceable/theater-382368"} +{"d:Title": "MovieWeb: Untraceable (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/U/Untraceable", "url": "http://movieweb.com/movie/untraceable/"} +{"d:Title": "All-Reviews.com: Unzipped", "d:Description": "Review of the documentary on fashion designer Isaac Mizrahi.", "topic": "Top/Arts/Movies/Titles/U/Unzipped", "url": "http://www.all-reviews.com/videos-3/unzipped.htm"} +{"d:Title": "IMDb: Unzipped", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Unzipped", "url": "http://www.imdb.com/title/tt0114805/"} +{"d:Title": "Frame Enlargements - Un Chien Andalou", "d:Description": "Presents four pages of black and white images from the film.", "topic": "Top/Arts/Movies/Titles/U/Un_Chien_Andalou", "url": "http://www.tcf.ua.edu/Classes/Jbutler/T340/SurrealismUnChienAndalou1.htm"} +{"d:Title": "Reality and Paradox in Un Chien Andalou", "d:Description": "An essay which analyzes the surrealism and psychological symbolism presented in the film.", "topic": "Top/Arts/Movies/Titles/U/Un_Chien_Andalou", "url": "http://www.lib.berkeley.edu/MRC/bunuel6.html"} +{"d:Title": "IMDb - Un Chien Andalou", "d:Description": "Contains cast and crew details, plot summary, trivia, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/U/Un_Chien_Andalou", "url": "http://www.imdb.com/title/tt0020530/"} +{"d:Title": "The Upside of Anger", "d:Description": "Official site of the comedy starring Joan Allen and Kevin Costner. Movie trailer, desktop wallpaper, free screensavers, cast and crew profiles.", "topic": "Top/Arts/Movies/Titles/U/Upside_of_Anger,_The", "url": "http://www.upsideofanger.com/"} +{"d:Title": "The Upside of Anger - Apple.com", "d:Description": "Quicktime trailers.", "topic": "Top/Arts/Movies/Titles/U/Upside_of_Anger,_The", "url": "http://trailers.apple.com/trailers/newline/the_upside_of_anger/"} +{"d:Title": "The Upside of Anger", "d:Description": "Featuring a photo gallery, cast and crew listings, movie reviews, and film ratings. IMDb.", "topic": "Top/Arts/Movies/Titles/U/Upside_of_Anger,_The", "url": "http://www.imdb.com/title/tt0365885/"} +{"d:Title": "HARO Online: Uptown Girls", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/U/Uptown_Girls", "url": "http://www.haro-online.com/movies/uptown_girls.html"} +{"d:Title": "All-Reviews.com - Uptown Girls", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/U/Uptown_Girls", "url": "http://all-reviews.com/videos-5/uptown-girls.htm"} +{"d:Title": "Uptown Girls Official Site", "d:Description": "Features movie trailer, character info, pictures, and plot summary.", "priority": "1", "topic": "Top/Arts/Movies/Titles/U/Uptown_Girls", "url": "http://www.mgm.com/title_title.php?title_star=MOLLYGUN"} +{"d:Title": "Yahoo! Movies: Uptown Girls", "d:Description": "User reviews, cast biographies, discussion forum, images, plot synopsis and video clips.", "topic": "Top/Arts/Movies/Titles/U/Uptown_Girls", "url": "http://movies.yahoo.com/movie/uptown-girls/"} +{"d:Title": "IMDb: Uptown Girls", "d:Description": "Contains cast and crew details, plot summary, photographs, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/U/Uptown_Girls", "url": "http://www.imdb.com/title/tt0263757/"} +{"d:Title": "Chicago Sun-Times: Uptown Girls", "d:Description": "Review written by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/U/Uptown_Girls", "url": "http://www.rogerebert.com/reviews/uptown-girls-2003"} +{"d:Title": "Up Against Amanda", "d:Description": "Official site. Synopsis, multimedia, and cast information.", "topic": "Top/Arts/Movies/Titles/U/Up_Against_Amanda", "url": "http://www.upagainstamanda.com/"} +{"d:Title": "PopMatters - Up at the Villa", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/U/Up_at_the_Villa", "url": "http://popmatters.com/film/reviews/u/up-the-villa.html"} +{"d:Title": "HARO Online - Up at the Villa", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/U/Up_at_the_Villa", "url": "http://www.haro-online.com/movies/up_at_the_villa.html"} +{"d:Title": "Rotten Tomatoes - Up at the Villa", "d:Description": "Reviews, synopsis, media predictions, trailers, interviews, photos, and news.", "topic": "Top/Arts/Movies/Titles/U/Up_at_the_Villa", "url": "http://www.rottentomatoes.com/m/up_at_the_villa/"} +{"d:Title": "IMDb - Up at the Villa (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/U/Up_at_the_Villa", "url": "http://www.imdb.com/title/tt0153464/"} +{"d:Title": "Metacritic.com: Up At The Villa", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Up_at_the_Villa", "url": "http://www.metacritic.com/movie/up-at-the-villa"} +{"d:Title": "All-Reviews.com: Up Close and Personal", "d:Description": "Movie review and rating.", "topic": "Top/Arts/Movies/Titles/U/Up_Close_and_Personal", "url": "http://www.all-reviews.com/videos-3/up-close-and-personal.htm"} +{"d:Title": "IMDb: Urban Cowboy (1980)", "d:Description": "Cast and crew links, synopsis, and reviews.", "topic": "Top/Arts/Movies/Titles/U/Urban_Cowboy", "url": "http://www.imdb.com/title/tt0081696/"} +{"d:Title": "IMDb: Urban Ground Squirrels (2002)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/U/Urban_Ground_Squirrels", "url": "http://www.imdb.com/title/tt0275761/"} +{"d:Title": "All-Reviews.com - Urban Legend (1998)", "d:Description": "Collection of reviews and other information.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legend", "url": "http://www.all-reviews.com/videos/urbanlegend.htm"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Urban Legend - Reviews, previews, synopsis, media predictions, box office, trailers, interviews, photos, and news", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legend", "url": "http://www.rottentomatoes.com/m/urban_legend/"} +{"d:Title": "TV Guide Online: Urban Legend", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legend", "url": "http://www.tvguide.com/movies/urban-legend/133496"} +{"d:Title": "Roger Ebert - Urban Legend", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legend", "url": "http://www.rogerebert.com/reviews/urban-legend-1998"} +{"d:Title": "IMDb - Urban Legend (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legend", "url": "http://www.imdb.com/title/tt0146336/"} +{"d:Title": "Filmbug: Urban Legends - The Final Cut", "d:Description": "Overview, links, and cast information.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://www.filmbug.com/movies/u/urban_legends_the_final_cut.html"} +{"d:Title": "All-Reviews.com: Urban Legends - Final Cut", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://www.all-reviews.com/videos-2/urban-legends-final.htm"} +{"d:Title": "HARO Online", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://www.haro-online.com/movies/urban_legends_final_cut.html"} +{"d:Title": "PopMatters: Urban Legends - Final Cut", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://popmatters.com/film/reviews/u/urban-legends.html"} +{"d:Title": "Urban Legends: Final Cut - Movie Preview", "d:Description": "Information on the urban legends depicted in the film.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://urbanlegends.about.com/library/blfinalcut.htm"} +{"d:Title": "Rotten Tomatoes: Urban Legends: The Final Cut (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://www.rottentomatoes.com/m/urban_legends_final_cut/"} +{"d:Title": "Metacritic.com: Urban Legends - Final Cut", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://www.metacritic.com/movie/urban-legends-final-cut"} +{"d:Title": "IMDb - Urban Legends: The Final Cut (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://www.imdb.com/title/tt0192731/"} +{"d:Title": "Chicago Sun-Times: Urban Legends - Final Cut", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/U/Urban_Legend_Series/Urban_Legends_-_Final_Cut", "url": "http://www.rogerebert.com/reviews/urban-legends-final-cut-2000"} +{"d:Title": "All-Reviews.com: The Usual Suspects", "d:Description": "Movie reviews and ratings.", "topic": "Top/Arts/Movies/Titles/U/Usual_Suspects,_The", "url": "http://www.all-reviews.com/videos-2/usual-suspects.htm"} +{"d:Title": "The Usual Suspects", "d:Description": "Fanpage with sounds clips, animated gifs, and poetry.", "topic": "Top/Arts/Movies/Titles/U/Usual_Suspects,_The", "url": "http://verbalkent10.tripod.com/usualsuspects.html"} +{"d:Title": "IMDb - The Usual Suspects (1995)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/U/Usual_Suspects,_The", "url": "http://www.imdb.com/title/tt0114814/"} +{"d:Title": "Metacritic.com: The Usual Suspects", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/U/Usual_Suspects,_The", "url": "http://www.metacritic.com/movie/the-usual-suspects"} +{"d:Title": "AllReaders.com Review: The Usual Suspects", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/U/Usual_Suspects,_The", "url": "http://allreaders.com/movie-review-summary/the-usual-suspects-3588"} +{"d:Title": "IMDb : UTU (1983)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/UTU", "url": "http://www.imdb.com/title/tt0086497/"} +{"d:Title": "TV Guide Online: Utz", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/U/Utz", "url": "http://www.tvguide.com/movies/utz/129456"} +{"d:Title": "IMDb : Utz (1992)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/Utz", "url": "http://www.imdb.com/title/tt0105712/"} +{"d:Title": "TV Guide Online: U Turn", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/U/U_Turn", "url": "http://www.tvguide.com/movies/u-turn/132445"} +{"d:Title": "IMDb : U Turn (1997)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/U/U_Turn", "url": "http://www.imdb.com/title/tt0120399/"} +{"d:Title": "Chicago Sun-Times: U Turn", "d:Description": "Review of the movie including a cast list. By Roger Ebert.", "topic": "Top/Arts/Movies/Titles/U/U_Turn", "url": "http://www.rogerebert.com/reviews/u-turn-1997"} +{"d:Title": "HARO Online: Valentin", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/V/Valentin", "url": "http://www.haro-online.com/movies/valentin.html"} +{"d:Title": "IMDb: Valent\u00edn (2002)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/V/Valentin", "url": "http://www.imdb.com/title/tt0296915/"} +{"d:Title": "Yahoo Movies: Valentin", "d:Description": "Synopsis, reviews, pictures, and Greg's preview.", "topic": "Top/Arts/Movies/Titles/V/Valentin", "url": "http://movies.yahoo.com/movie/valentin/"} +{"d:Title": "Chicago Sun-Times: Valentin", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/Valentin", "url": "http://www.rogerebert.com/reviews/valentin-2004"} +{"d:Title": "Valentine: US official site", "d:Description": "Message boards, cast biographies, photos and clips from the movie.", "topic": "Top/Arts/Movies/Titles/V/Valentine", "url": "http://valentinemovie.warnerbros.com/"} +{"d:Title": "MRQE: Valentine (2001)", "d:Description": "Links to more than 100 reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Valentine", "url": "http://www.mrqe.com/lookup?%5EValentine+(2001)"} +{"d:Title": "IMDb: Valentine (2001)", "d:Description": "Includes a plot outline, user comments, and cast list.", "topic": "Top/Arts/Movies/Titles/V/Valentine", "url": "http://www.imdb.com/title/tt0242998/"} +{"d:Title": "Metacritic.com: Valentine", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/V/Valentine", "url": "http://www.metacritic.com/movie/valentine"} +{"d:Title": "Future Movies: Valentine", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/V/Valentine", "url": "https://www.futuremovies.co.uk/reviews/valentin/nik-huggins"} +{"d:Title": "IMDb: Valkyrie", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Movies/Titles/V/Valkyrie", "url": "http://www.imdb.com/title/tt0985699/"} +{"d:Title": "SmartCine: Valkyrie Movie Review", "d:Description": "Review by Cine Marcos. \"...tense, nerve raking, loud, startling, and powerful even though you already know the outcome.\"", "topic": "Top/Arts/Movies/Titles/V/Valkyrie", "url": "http://www.smartcine.com/valkyrie_review.html"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/V/Valley_Girl", "url": "http://www.fast-rewind.com/valleygirl.htm"} +{"d:Title": "MRQE: Valley Girl (1983)", "d:Description": "Links to reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Valley_Girl", "url": "http://www.mrqe.com/lookup?%5EValley+Girl+(1983)"} +{"d:Title": "IMDb: Valley Girl", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Valley_Girl", "url": "http://imdb.com/title/tt0086525/"} +{"d:Title": "IMDb - Valley of the Dolls", "d:Description": "Features cast and crew details, plot summary, quotes, goofs, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/V/Valley_of_the_Dolls", "url": "http://www.imdb.com/title/tt0062430/"} +{"d:Title": "IMDb: Valmont", "d:Description": "Cast information and other details about the movie.", "topic": "Top/Arts/Movies/Titles/V/Valmont", "url": "http://imdb.com/title/tt0098575/"} +{"d:Title": "IMDb: Vampire Seduction", "d:Description": "Cast information and other details about the film.", "topic": "Top/Arts/Movies/Titles/V/Vampire's_Seduction", "url": "http://imdb.com/title/tt0147653/"} +{"d:Title": "All-Reviews: Vampires", "d:Description": "Review by Susan Granger, plus links to other reviews.", "topic": "Top/Arts/Movies/Titles/V/Vampires", "url": "http://www.all-reviews.com/videos/vampires.htm"} +{"d:Title": "Movieprop.com: Vampires", "d:Description": "Plot summary, character profiles, and links.", "topic": "Top/Arts/Movies/Titles/V/Vampires", "url": "http://www.movieprop.com/tvandmovie/reviews/vampires.htm"} +{"d:Title": "IMDb: Vampires (1998)", "d:Description": "Cast and other information about the film, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vampires", "url": "http://imdb.com/title/tt0120877/"} +{"d:Title": "MRQE: Vampires (1998)", "d:Description": "Links to more than 125 reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Vampires", "url": "http://www.mrqe.com/movie_reviews/vampires-m100014790"} +{"d:Title": "Washington Post - Vampire in Brooklyn (R)", "d:Description": "Review by Hal Hinson (negative).", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/vampireinbrooklynrhinson_c0341f.htm"} +{"d:Title": "Washington Post - Vampire in Brooklyn (R)", "d:Description": "Review by Desson Howe (predominantly negative).", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/vampireinbrooklynrhowe_c03423.htm"} +{"d:Title": "Cinebooks Database - Vampire in Brooklyn", "d:Description": "Review by Maitland McDonagh (negative) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://www.tvguide.com/movies/vampire-brooklyn/130383"} +{"d:Title": "The Tech - Murphy in Vampire Scares Nobody with Humor", "d:Description": "Review by Daniel Ramirez (predominantly negative).", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://tech.mit.edu/V115/N52/vamp.52a.html"} +{"d:Title": "IMDb - Vampire in Brooklyn (1995)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://www.imdb.com/title/tt0114825/combined"} +{"d:Title": "Chicago Sun-Times - Vampire in Brooklyn", "d:Description": "Review by Roger Ebert (negative), rating.", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://www.rogerebert.com/reviews/vampire-in-brooklyn-1995"} +{"d:Title": "SF Gate - Murphy Sinks His Teeth into \"Vampire\" Comedy", "d:Description": "Review by Scott Rosenberg (mixed).", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://www.sfgate.com/news/article/Murphy-sinks-his-teeth-into-Vampire-comedy-3124874.php"} +{"d:Title": "San Francisco Chronicle - Murphy's \"Vampire\" Is All Grin, No Bite", "d:Description": "Review by Peter Stack (negative).", "topic": "Top/Arts/Movies/Titles/V/Vampire_in_Brooklyn", "url": "http://www.sfgate.com/movies/article/Murphy-s-Vampire-Is-All-Grin-No-Bite-2985924.php"} +{"d:Title": "IMDb: Vamps", "d:Description": "Cast information and other details on the film.", "topic": "Top/Arts/Movies/Titles/V/Vamps", "url": "http://imdb.com/title/tt0114826/"} +{"d:Title": "The Van (1977)", "d:Description": "Cast and crew details, plot summary, and user comments from IMDb.com.", "topic": "Top/Arts/Movies/Titles/V/Van,_The", "url": "http://imdb.com/title/tt0075378/"} +{"d:Title": "HARO Online: Vanilla Sky", "d:Description": "Reviewer Haro looks at the original film, the plot and acting.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://www.haro-online.com/movies/vanilla_sky.html"} +{"d:Title": "All-Reviews.com: Vanilla Sky", "d:Description": "Review by Steve Rhodes, with links to additional reviews.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://all-reviews.com/videos-4/vanilla-sky.htm"} +{"d:Title": "Nitrate Online: Vanilla Sky", "d:Description": "Review by Gregory Avery.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://www.nitrateonline.com/2001/rvanillasky.html"} +{"d:Title": "About.com: Vanilla Sky", "d:Description": "Review of the film on DVD by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://homevideo.about.com/library/weekly/aafpr053002.htm"} +{"d:Title": "IMDb: Vanilla Sky", "d:Description": "Synopsis, cast and crew details, awards information, trivia, mistakes, image gallery, and related links.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://imdb.com/title/tt0259711/"} +{"d:Title": "Rotten Tomatoes: Vanilla Sky", "d:Description": "Quotes from and links to reviews. Also includes photos, synopsis, trailers, and a discussion forum.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://www.rottentomatoes.com/m/vanilla_sky/"} +{"d:Title": "Guardian Unlimited: Vanilla Sky", "d:Description": "Article by writer/director Cameron Crowe about his film and its inspiration.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://www.theguardian.com/film/2002/jan/11/artsfeatures2"} +{"d:Title": "Chicago Sun-Times: Vanilla Sky", "d:Description": "Roger Ebert reviews the film.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://www.rogerebert.com/reviews/vanilla-sky-2001"} +{"d:Title": "Metacritic.com: Vanilla Sky", "d:Description": "Film critic scorecard with quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://www.metacritic.com/movie/vanilla-sky"} +{"d:Title": "USA Today: Vanilla Sky", "d:Description": "Review of the movie by Mike Clark.", "topic": "Top/Arts/Movies/Titles/V/Vanilla_Sky", "url": "http://usatoday30.usatoday.com/life/enter/movies/2001-12-14-sky.htm"} +{"d:Title": "All-Reviews.com: The Vanishing", "d:Description": "Review of the movie by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/V/Vanishing,_The", "url": "http://www.all-reviews.com/videos-4/vanishing.htm"} +{"d:Title": "Filmtracks: The Vanishing", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/V/Vanishing,_The", "url": "http://www.filmtracks.com/titles/vanishing.html"} +{"d:Title": "TV Guide Online: The Vanishing", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/V/Vanishing,_The", "url": "http://www.tvguide.com/movies/vanishing/128709"} +{"d:Title": "IMDb: Vanishing, The (1993)", "d:Description": "Includes a plot summary, trailer, cast list, and message board.", "topic": "Top/Arts/Movies/Titles/V/Vanishing,_The", "url": "http://www.imdb.com/title/tt0108473/"} +{"d:Title": "HARO Online: Vanity Fair", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/V/Vanity_Fair_-_2004", "url": "http://www.haro-online.com/movies/vanity_fair.html"} +{"d:Title": "IMDb: Vanity Fair (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/V/Vanity_Fair_-_2004", "url": "http://www.imdb.com/title/tt0241025/"} +{"d:Title": "Rotten Tomatoes: Vanity Fair", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/V/Vanity_Fair_-_2004", "url": "http://www.rottentomatoes.com/m/vanity_fair/"} +{"d:Title": "Filmtracks: Vanity Fair", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/V/Vanity_Fair_-_2004", "url": "http://www.filmtracks.com/titles/vanity_fair.html"} +{"d:Title": "Chicago Sun-Times: Vanity Fair", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/Vanity_Fair_-_2004", "url": "http://www.rogerebert.com/reviews/vanity-fair-2004"} +{"d:Title": "Future Movies: Vanity Fair", "d:Description": "Review written by Michelle Thomas. Includes video clips.", "topic": "Top/Arts/Movies/Titles/V/Vanity_Fair_-_2004", "url": "https://www.futuremovies.co.uk/reviews/vanity-fair/michelle-thomas"} +{"d:Title": "Awesome Stories: Vanity Fair", "d:Description": "Historical background of the film with pictures of the real places featured in the story and links to online resources.", "topic": "Top/Arts/Movies/Titles/V/Vanity_Fair_-_2004", "url": "https://www.awesomestories.com/asset/view/Vanity-Fair"} +{"d:Title": "Rotten Tomatoes: Vantage Point", "d:Description": "Synopsis, cast list, photos, videos, news, showtimes, and review links.", "topic": "Top/Arts/Movies/Titles/V/Vantage_Point", "url": "http://www.rottentomatoes.com/m/vantage_point/"} +{"d:Title": "Moviefone: Vantage Point", "d:Description": "Showtimes, synopsis, cast, crew, and trailers.", "topic": "Top/Arts/Movies/Titles/V/Vantage_Point", "url": "http://www.moviefone.com/movie/vantage-point/26378/main"} +{"d:Title": "IGN Movies: Vantage Point", "d:Description": "Photo gallery, video, news, and a message board.", "topic": "Top/Arts/Movies/Titles/V/Vantage_Point", "url": "http://www.ign.com/movies/vantage-point/theater-820353"} +{"d:Title": "MovieWeb: Vantage Point (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/V/Vantage_Point", "url": "http://movieweb.com/movie/vantage-point/"} +{"d:Title": "Van Helsing", "d:Description": "Official movie site featuring a plot summary and preview information.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.vanhelsing.net/"} +{"d:Title": "Killer Movies: Van Helsing", "d:Description": "Film information, synopsis, photographs, archived news, and links.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.killermovies.com/v/vanhelsing/"} +{"d:Title": "HARO Online: Van Helsing", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.haro-online.com/movies/van_helsing.html"} +{"d:Title": "DreamLogic: Van Helsing", "d:Description": "Reviews of the film by Kris Kobayashi and Chris Nelson", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.dreamlogic.net/REVIEWS/vanhelsing.html"} +{"d:Title": "All-Reviews.com: Van Helsing", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.all-reviews.com/videos-5/van-helsing.htm"} +{"d:Title": "Filmtracks: Van Helsing", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.filmtracks.com/titles/van_helsing.html"} +{"d:Title": "TEN Movies: Van Helsing", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1077"} +{"d:Title": "Moovies: Van Helsing (2004)", "d:Description": "Features photos, wallpapers, trailers, reviews, cast overview, and synopsis.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.mooviees.com/6731-van-helsing/movie"} +{"d:Title": "IMDb - Van Helsing", "d:Description": "Features cast and crew details, plot summary, trivia, and photographs.", "topic": "Top/Arts/Movies/Titles/V/Van_Helsing", "url": "http://www.imdb.com/title/tt0338526/"} +{"d:Title": "Haro Online", "d:Description": "A negative review of the movie.", "topic": "Top/Arts/Movies/Titles/V/Van_Wilder", "url": "http://www.haro-online.com/movies/nl_van_wilder.html"} +{"d:Title": "All-Reviews.com - National Lampoon's Van Wilder", "d:Description": "Multiple reviews of the film averaging a rating of 1.5/4 stars.", "topic": "Top/Arts/Movies/Titles/V/Van_Wilder", "url": "http://www.all-reviews.com/videos-4/van-wilder.htm"} +{"d:Title": "IMDb: Van Wilder (2002)", "d:Description": "Cast, crew and other information on the film, plus links.", "topic": "Top/Arts/Movies/Titles/V/Van_Wilder", "url": "http://imdb.com/title/tt0283111/"} +{"d:Title": "Rotten Tomatoes: Van Wilder", "d:Description": "Reviews of the movie, trailer, poster, photos, news, articles, and a forum.", "topic": "Top/Arts/Movies/Titles/V/Van_Wilder", "url": "http://www.rottentomatoes.com/m/national_lampoons_van_wilder/"} +{"d:Title": "IMDB: Varian's War", "d:Description": "Cast information, links and other details about the movie.", "topic": "Top/Arts/Movies/Titles/V/Varian's_War", "url": "http://imdb.com/title/tt0245540/"} +{"d:Title": "Varian Fry Project", "d:Description": "Site dedicated to Varian Fry and other real-world heroes who tried to save targetted minorities in Europe during the Second World War.", "topic": "Top/Arts/Movies/Titles/V/Varian's_War", "url": "http://www.chambon.org/fry_en.htm"} +{"d:Title": "IMDb: Luci del variet\u00e0", "d:Description": "Cast information, links and other details about the movie.", "topic": "Top/Arts/Movies/Titles/V/Variety_Lights", "url": "http://imdb.com/title/tt0042692/"} +{"d:Title": "All-Reviews: Varsity Blues", "d:Description": "Review by Susan Granger, plus links to other reviews.", "topic": "Top/Arts/Movies/Titles/V/Varsity_Blues", "url": "http://www.all-reviews.com/videos/varsity-blues.htm"} +{"d:Title": "Yahoo! Movies: Varsity Blues", "d:Description": "Film overview, synopsis, DVD details, credits, reviews, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/V/Varsity_Blues", "url": "http://movies.yahoo.com/movie/varsity-blues/"} +{"d:Title": "IMDb: Varsity Blues (1999)", "d:Description": "Includes a plot summary, cast list, and user rating.", "topic": "Top/Arts/Movies/Titles/V/Varsity_Blues", "url": "http://www.imdb.com/title/tt0139699/"} +{"d:Title": "Chicago Sun-Times: Varsity Blues", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/Varsity_Blues", "url": "http://www.rogerebert.com/reviews/varsity-blues-1999"} +{"d:Title": "IMDb: Vasectomy: A Delicate Matter (1986)", "d:Description": "Full cast and crew links, synopsis, and comments from viewers.", "topic": "Top/Arts/Movies/Titles/V/Vasectomy_-_A_Delicate_Matter", "url": "http://www.imdb.com/title/tt0092150/"} +{"d:Title": "Rotten Tomatoes: Vatel (2000)", "d:Description": "Reviews and reactions from top critics. News, trailer, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/V/Vatel", "url": "http://www.rottentomatoes.com/m/vatel/"} +{"d:Title": "IMDb: Vatel (2000)", "d:Description": "Full cast and crew information, synopsis, trailer, and user reviews.", "topic": "Top/Arts/Movies/Titles/V/Vatel", "url": "http://www.imdb.com/title/tt0190861/"} +{"d:Title": "Metacritic.com: Vatel", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/V/Vatel", "url": "http://www.metacritic.com/movie/vatel"} +{"d:Title": "HARO Online: Va Savoir", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/V/Va_Savoir", "url": "http://www.haro-online.com/movies/va_savoir.html"} +{"d:Title": "IMDb: Va savoir", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Va_Savoir", "url": "http://imdb.com/title/tt0242994/"} +{"d:Title": "Metacritic.com: Va Savoir", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/V/Va_Savoir", "url": "http://www.metacritic.com/movie/va-savoir-who-knows"} +{"d:Title": "IMDb: Vegas in Space", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vegas_in_Space", "url": "http://imdb.com/title/tt0103192/"} +{"d:Title": "Kids in Mind: Vegas Vacation", "d:Description": "Parents' review of the movie with kids in mind.", "topic": "Top/Arts/Movies/Titles/V/Vegas_Vacation", "url": "http://www.kids-in-mind.com/N/national_lampoons_vegas.htm"} +{"d:Title": "IMDb: Vegas Vacation (1997)", "d:Description": "Plot summary, user reviews, and cast list.", "topic": "Top/Arts/Movies/Titles/V/Vegas_Vacation", "url": "http://www.imdb.com/title/tt0120434/"} +{"d:Title": "Filmtracks: Velocity of Gary", "d:Description": "Soundtrack review by Christian Clemmensen, including audio clips and track listings.", "topic": "Top/Arts/Movies/Titles/V/Velocity_of_Gary,_The", "url": "http://filmtracks.com/titles/velocity_gary.html"} +{"d:Title": "IMDb: Velocity of Gary, The (1998)", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Velocity_of_Gary,_The", "url": "http://imdb.com/title/tt0120878/"} +{"d:Title": "IMDB: Velocity Trap", "d:Description": "Cast information, links and other details about the movie.", "topic": "Top/Arts/Movies/Titles/V/Velocity_Trap", "url": "http://imdb.com/title/tt0120435/"} +{"d:Title": "Glittery Galaxy of Velvet Goldmine", "d:Description": "Fan site with picture galleries and essays.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine", "url": "http://velvetgoldminegalaxy.8m.com/"} +{"d:Title": "Silver Maxwell", "d:Description": "Character information, obsession tests, pictures, links, quotes, and cast information.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine", "url": "http://velvetlilly.freeservers.com/enter.html"} +{"d:Title": "Yahoo! Groups: Velvet Goldmine Club", "d:Description": "Club for fans of the movie.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine", "url": "http://groups.yahoo.com/group/velvetgoldmine2/"} +{"d:Title": "Yahoo! Groups: Maxwell Demon", "d:Description": "Devoted to celebrating the personality of Maxwell Demon.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine", "url": "http://groups.yahoo.com/group/maxwelldemon/"} +{"d:Title": "Glitter and Sparks", "d:Description": "Fan site with lyrics, MP3s, photographs, cast and characters, wallpapers, and related links.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine", "url": "http://www.angelfire.com/film/cosmic_glitter/"} +{"d:Title": "IMDb: Velvet Goldmine (1998)", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine", "url": "http://imdb.com/title/tt0120879/"} +{"d:Title": "Velvet Goldmine Tribute Page", "d:Description": "Images from and comments on the movie.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine", "url": "http://montette.tripod.com/"} +{"d:Title": "Velvet Goldmine: All That Glitters Isn't Ziggy Stardust (NY Rock Movie Review)", "d:Description": "Velvet Goldmine is a glittering spectacle that draws you in with carefully calculated measures of sensory overload and excess upon excess upon excess -- just like the real Ziggy Stardust did two decades ago.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine/Reviews", "url": "http://www.nyrock.com/movies/velvet_g.htm"} +{"d:Title": "NY Rock: All That Glitters Isn't Ziggy Stardust", "d:Description": "Mason Hawk reviews the movie and discusses its the mix of truth and illusion.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine/Reviews", "url": "http://www.nyrock.com/movies/1998/velvet_g.asp"} +{"d:Title": "TV Guide Online: Velvet Goldmine", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine/Reviews", "url": "http://www.tvguide.com/movies/velvet-goldmine/133519"} +{"d:Title": "Chicago Sun-Times: Velvet Goldmine", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine/Reviews", "url": "http://www.rogerebert.com/reviews/velvet-goldmine-1998"} +{"d:Title": "AllReaders.com: Velvet Goldmine", "d:Description": "Analytical review of the film's plot, characters, setting, theme, and structure.", "topic": "Top/Arts/Movies/Titles/V/Velvet_Goldmine/Reviews", "url": "http://allreaders.com/movie-review-summary/velvet-goldmine-6756"} +{"d:Title": "IMDb: Vengo", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vengo", "url": "http://imdb.com/title/tt0211718/"} +{"d:Title": "Metacritic.com: Vengo", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/V/Vengo", "url": "http://www.metacritic.com/movie/vengo"} +{"d:Title": "IMDb - Venus and Mars (2001)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/V/Venus_and_Mars", "url": "http://www.imdb.com/title/tt0205498/"} +{"d:Title": "Venus Boyz", "d:Description": "Official site in English and German featuring background information, photographs, plot summary, and links.", "topic": "Top/Arts/Movies/Titles/V/Venus_Boyz", "url": "http://www.venusboyz.com/"} +{"d:Title": "IMDb - Venus Boyz", "d:Description": "Contains cast and crew details, plot summary, release dates, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/V/Venus_Boyz", "url": "http://www.imdb.com/title/tt0293685/"} +{"d:Title": "MRQE: Vera Cruz (1954)", "d:Description": "Links to reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Vera_Cruz", "url": "http://www.mrqe.com/lookup?%5EVera+Cruz+(1954)"} +{"d:Title": "IMDb: Vera Cruz", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vera_Cruz", "url": "http://imdb.com/title/tt0047647/"} +{"d:Title": "AllReaders.com: Vera Cruz", "d:Description": "Review of the film using a detailed checklist to describe and assess it. Also a capsule narrative review by Daniel Staebler.", "topic": "Top/Arts/Movies/Titles/V/Vera_Cruz", "url": "http://allreaders.com/movie-review-summary/vera-cruz-8377"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Vera Drake.\"", "topic": "Top/Arts/Movies/Titles/V/Vera_Drake", "url": "http://www.haro-online.com/movies/vera_drake.html"} +{"d:Title": "IMDb.com: Vera Drake (2004)", "d:Description": "Cast and crew, plot summeray, user comments, and trailer.", "topic": "Top/Arts/Movies/Titles/V/Vera_Drake", "url": "http://imdb.com/title/tt0383694/"} +{"d:Title": "Vera Drake", "d:Description": "Roger Ebert reviews the film.", "topic": "Top/Arts/Movies/Titles/V/Vera_Drake", "url": "http://www.rogerebert.com/reviews/vera-drake-2004"} +{"d:Title": "IMDb - Verdict, The", "d:Description": "Contains cast and crew details, quotes, trivia, photos, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/V/Verdict,_The", "url": "http://www.imdb.com/title/tt0084855/"} +{"d:Title": "HARO Online: Veronica Guerin", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/V/Veronica_Guerin", "url": "http://www.haro-online.com/movies/veronica_guerin.html"} +{"d:Title": "TEN Movies: Veronica Guerin", "d:Description": "Review by Faizan Rashid.", "topic": "Top/Arts/Movies/Titles/V/Veronica_Guerin", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1070"} +{"d:Title": "IMDb: Veronica Guerin (2003)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/V/Veronica_Guerin", "url": "http://www.imdb.com/title/tt0312549/"} +{"d:Title": "All-Reviews.com: Vertical Limit", "d:Description": "Two movie reviews.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://www.all-reviews.com/videos-2/vertical-limit.htm"} +{"d:Title": "HARO Online: Vertical Limit", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://www.haro-online.com/movies/vertical_limit.html"} +{"d:Title": "PopMatters: Vertical Limit", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://popmatters.com/film/reviews/v/vertical-limit.html"} +{"d:Title": "Rotten Tomatoes: Vertical Limit (2000)", "d:Description": "Links to reviews, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://www.rottentomatoes.com/m/vertical_limit/"} +{"d:Title": "IMDb: Vertical Limit", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://www.imdb.com/title/tt0190865/"} +{"d:Title": "Metacritic.com: Vertical Limit", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://www.metacritic.com/movie/vertical-limit"} +{"d:Title": "Chicago Sun-Times: Vertical Limit", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://www.rogerebert.com/reviews/vertical-limit-2000"} +{"d:Title": "Allreaders Review: Vertical Limit", "d:Description": "Analytical review of the film's plot, characters, setting, theme, and structure.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "http://allreaders.com/movie-review-summary/vertical-limit-3603"} +{"d:Title": "AwesomeStories.com: Vertical Limit", "d:Description": "The story behind the movie.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Limit", "url": "https://www.awesomestories.com/asset/view/Vertical-Limit-K2-The-Savage-Mountain"} +{"d:Title": "HARO Online: The Vertical Ray of the Sun", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Ray_of_the_Sun,_The", "url": "http://www.haro-online.com/movies/vertical_ray_of_the_sun.html"} +{"d:Title": "Vertical Ray of the Sun: Official site", "d:Description": "Information on the story, cast and crew, plus still photos and an interview with the director.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Ray_of_the_Sun,_The", "url": "http://www.sonyclassics.com/verticalrayofthesun/"} +{"d:Title": "IMDb: Vertical Ray of the Sun", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Ray_of_the_Sun,_The", "url": "http://imdb.com/title/tt0224578/"} +{"d:Title": "Metacritic.com: At the Height of Summer", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/V/Vertical_Ray_of_the_Sun,_The", "url": "http://www.metacritic.com/movie/at-the-height-of-summer"} +{"d:Title": "Greatest Films: Vertigo (1958)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.filmsite.org/vert.html"} +{"d:Title": "MRQE: Vertigo (1958)", "d:Description": "Links to more than 75 reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.mrqe.com/lookup?%5EVertigo+(1958)"} +{"d:Title": "Crazy for Cinema: Vertigo", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://crazy4cinema.com/Review/FilmsV/f_vertigo.html"} +{"d:Title": "All-Reviews.com: Vertigo", "d:Description": "Review by Dragan Antulov plus links to other reviews.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.all-reviews.com/videos-4/vertigo.htm"} +{"d:Title": "Fan site: Vertigo", "d:Description": "Synopsis, cast and crew, multimedia downloads, photos, quotes, and links.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://obsessedwithvertigo.tripod.com/"} +{"d:Title": "Filmtracks: Vertigo", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.filmtracks.com/titles/vertigo.html"} +{"d:Title": "Images: Hitchcock's Use of Profiles in Vertigo", "d:Description": "Article by Robert Baird about certain aspects of the movie's visual imagery.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.imagesjournal.com/issue02/features/vertprof.htm"} +{"d:Title": "Film Reference: Vertigo", "d:Description": "Discussion forum and a list of publications about the film.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.filmreference.com/Films-Tw-Vi/Vertigo.html"} +{"d:Title": "TV Guide Online: Vertigo", "d:Description": "A lengthy review and credits.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.tvguide.com/movies/vertigo/122059"} +{"d:Title": "Vertigo (1958)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.imdb.com/title/tt0052357/"} +{"d:Title": "Roger Ebert: Vertigo", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://www.rogerebert.com/reviews/great-movie-vertigo-1958"} +{"d:Title": "Allreaders: Vertigo", "d:Description": "Analytical review of the film's plot, characters, setting, theme, and structure, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/V/Vertigo", "url": "http://allreaders.com/movie-review-summary/vertigo-3762"} +{"d:Title": "HARO Online: Very Annie Mary", "d:Description": "Review of the film by Mongoose.", "topic": "Top/Arts/Movies/Titles/V/Very_Annie_Mary", "url": "http://www.haro-online.com/movies/very_annie_mary.html"} +{"d:Title": "IMDb: Very Annie Mary (2001)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Very_Annie_Mary", "url": "http://imdb.com/title/tt0188128/"} +{"d:Title": "Metacritic.com: Very Annie Mary", "d:Description": "Links to reviews by mainstream critics.", "topic": "Top/Arts/Movies/Titles/V/Very_Annie_Mary", "url": "http://www.metacritic.com/movie/very-annie-mary"} +{"d:Title": "All-Reviews.com: Very Bad Things", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/V/Very_Bad_Things", "url": "http://www.all-reviews.com/videos-3/very-bad-things.htm"} +{"d:Title": "Crazy for Cinema: Very Bad Things", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/V/Very_Bad_Things", "url": "http://crazy4cinema.com/Review/FilmsV/f_verybad.html"} +{"d:Title": "IMDb: Very Bad Things (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/V/Very_Bad_Things", "url": "http://www.imdb.com/title/tt0124198/"} +{"d:Title": "Chicago Sun-Times: Very Bad Things", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/Very_Bad_Things", "url": "http://www.rogerebert.com/reviews/very-bad-things-1998"} +{"d:Title": "Movie-List: Very Bad Things", "d:Description": "Link to the movie trailer.", "topic": "Top/Arts/Movies/Titles/V/Very_Bad_Things", "url": "http://www.movie-list.com/trailers/verybadthings"} +{"d:Title": "TV Guide Online", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/V/Very_Bad_Things", "url": "http://www.tvguide.com/movies/bad-things/133463/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"A Very Long Engagement.\"", "topic": "Top/Arts/Movies/Titles/V/Very_Long_Engagement,_A", "url": "http://www.haro-online.com/movies/very_long_engagement.html"} +{"d:Title": "Un long dimanche de fian\u00e7ailles (2004)(TV)", "d:Description": "Cast and crew details, message board, trailer, and user comments. [IMDb.com]", "topic": "Top/Arts/Movies/Titles/V/Very_Long_Engagement,_A", "url": "http://www.imdb.com/title/tt0344510/combined"} +{"d:Title": "A Very Long Engagement", "d:Description": "\"The movie is seen largely through the eyes of Mathilde (Audrey Tautou), an orphan with a polio limp,...\" Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/V/Very_Long_Engagement,_A", "url": "http://www.rogerebert.com/reviews/a-very-long-engagement-2004"} +{"d:Title": "IMDB: Vibration", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vibration", "url": "http://imdb.com/title/tt0063223/"} +{"d:Title": "IMDb - Victor/Victoria", "d:Description": "Contains cast and crew details, trivia, quotes, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/V/Victor_Victoria", "url": "http://www.imdb.com/title/tt0084865/"} +{"d:Title": "IMDb - Videodrome", "d:Description": "Features cast and crew details, quotes, trivia, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/V/Videodrome", "url": "http://www.imdb.com/title/tt0086541/"} +{"d:Title": "Plume-Noire.com: Vidocq", "d:Description": "Review by Laurent Ziliani.", "topic": "Top/Arts/Movies/Titles/V/Vidocq", "url": "http://www.plume-noire.com/movies/reviews/vidocq.html"} +{"d:Title": "Vidocq (2001)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vidocq", "url": "http://www.imdb.com/title/tt0164961/"} +{"d:Title": "Cinebooks Database - View from the Bridge, A", "d:Description": "Unsigned review (predominantly negative) from TV Guide Online. Rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/V/View_from_the_Bridge,_A", "url": "http://www.tvguide.com/movies/view-bridge/122100"} +{"d:Title": "IMDb - Vu du pont (1961)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/V/View_from_the_Bridge,_A", "url": "http://www.imdb.com/title/tt0056668/combined"} +{"d:Title": "HARO Online - View from the Top", "d:Description": "Haro reviews the movie. Includes a couple photos and links to related films.", "topic": "Top/Arts/Movies/Titles/V/View_From_the_Top", "url": "http://www.haro-online.com/movies/view_from_the_top.html"} +{"d:Title": "Rotten Tomatoes: View from the Top", "d:Description": "Links to reviews, multimedia, synopsis, cast information, and forum.", "topic": "Top/Arts/Movies/Titles/V/View_From_the_Top", "url": "http://www.rottentomatoes.com/m/view_from_the_top/"} +{"d:Title": "IMDb: View from the Top (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/V/View_From_the_Top", "url": "http://www.imdb.com/title/tt0264150/"} +{"d:Title": "Chicago Sun-Times: View From the Top", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/View_From_the_Top", "url": "http://www.rogerebert.com/reviews/view-from-the-top-2003"} +{"d:Title": "IMDb: Vigil", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vigil_For_Kurt_Cobain,_The", "url": "http://imdb.com/title/tt0202657/"} +{"d:Title": "IMDb: The Village (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/V/Village,_The_-_2004", "url": "http://www.imdb.com/title/tt0368447/"} +{"d:Title": "HARO Online: The Village", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/V/Village,_The_-_2004", "url": "http://www.haro-online.com/movies/village.html"} +{"d:Title": "All-Reviews.com: The Village", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Village,_The_-_2004", "url": "http://www.all-reviews.com/videos-5/village.htm"} +{"d:Title": "Filmtracks: The Village", "d:Description": "Soundtrack review, track list, audio clips, reader comments, and ratings.", "topic": "Top/Arts/Movies/Titles/V/Village,_The_-_2004", "url": "http://www.filmtracks.com/titles/village.html"} +{"d:Title": "TEN Movies: The Village", "d:Description": "Review by Faizan Rashid, also includes trailer and synopsis.", "topic": "Top/Arts/Movies/Titles/V/Village,_The_-_2004", "url": "http://movies.theemiratesnetwork.com/reviews.php?id=1103"} +{"d:Title": "UltimateDisney.com: The Village", "d:Description": "DVD review with pictures.", "topic": "Top/Arts/Movies/Titles/V/Village,_The_-_2004", "url": "http://ultimatedisney.com/thevillage.html"} +{"d:Title": "Future Movies: The Village", "d:Description": "Review by Nik Huggins.", "topic": "Top/Arts/Movies/Titles/V/Village,_The_-_2004", "url": "https://www.futuremovies.co.uk/reviews/the-village/nik-huggins"} +{"d:Title": "MRQE: Violet (2000/II)", "d:Description": "Links to reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Violet", "url": "http://www.mrqe.com/lookup?%5EViolet+(2000/II)"} +{"d:Title": "IMDb: Violet", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Violet", "url": "http://imdb.com/title/tt0259723/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews and rates \"Virgin.\"", "topic": "Top/Arts/Movies/Titles/V/Virgin", "url": "http://www.haro-online.com/movies/virgin.html"} +{"d:Title": "Virgin (2003)", "d:Description": "Cast and crew details, plot summary, user comments, and trailer from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/V/Virgin", "url": "http://www.imdb.com/title/tt0368411/"} +{"d:Title": "Virgin", "d:Description": "Roger Ebert reviews the film. [Chicago Sun Times]", "topic": "Top/Arts/Movies/Titles/V/Virgin", "url": "http://www.rogerebert.com/reviews/virgin-2005"} +{"d:Title": "Boston.com / A&E / Movies / Search / Movie details / Virgin", "d:Description": "\"'Virgin' is more insulting than provocative.\" Review by Wesley Morris. [Boston Globe]", "topic": "Top/Arts/Movies/Titles/V/Virgin", "url": "http://archive.boston.com/ae/movies/articles/2004/10/15/virgin_is_more_insulting_than_provocative/"} +{"d:Title": "IMDb - The Virginian (2000)", "d:Description": "Cast/credits plus additional information about the made-for-TV film", "topic": "Top/Arts/Movies/Titles/V/Virginian,_The_-_2000", "url": "http://www.imdb.com/title/tt0164962/"} +{"d:Title": "PopMatters: The Virgin Suicides", "d:Description": "Review of the film by Todd R. Ramlow.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://popmatters.com/film/reviews/v/virgin-suicides.html"} +{"d:Title": "HARO Online - The Virgin Suicides", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://www.haro-online.com/movies/virgin_suicides.html"} +{"d:Title": "Romantic Movies: Virgin Suicides", "d:Description": "Links to sites relating to the film.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://romanticmovies.about.com/cs/virginsuicides/"} +{"d:Title": "The Virgin Suicides - A Tribute to the Movie", "d:Description": "Fan site with photographs, reviews, cast and crew, soundtrack details, downloads, and links. Also includes information about the novel and invites visitors to contribute content.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://virgin.trivialbeing.net/"} +{"d:Title": "Rotten Tomatoes: The Virgin Suicides (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://www.rottentomatoes.com/m/virgin_suicides/"} +{"d:Title": "IMDb - The Virgin Suicides (2000)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://www.imdb.com/title/tt0159097/"} +{"d:Title": "Metacritic.com: The Virgin Suicides", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://www.metacritic.com/movie/the-virgin-suicides"} +{"d:Title": "Chicago Sun-Times - The Virgin Suicides", "d:Description": "Roger Ebert presents a film written and directed by Sofia Coppola, based on the novel by Jeffrey Eugenides.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Suicides,_The", "url": "http://www.rogerebert.com/reviews/the-virgin-suicides-2000"} +{"d:Title": "IMDb: Virgin Territory (2007)", "d:Description": "Plot outline, cast list, and a message board.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Territory", "url": "http://www.imdb.com/title/tt0437954/"} +{"d:Title": "Rotten Tomatoes: Virgin Territory", "d:Description": "Synopsis, credits, links, and a forum.", "topic": "Top/Arts/Movies/Titles/V/Virgin_Territory", "url": "http://www.rottentomatoes.com/m/virgin_territory/"} +{"d:Title": "Film Reference: Viridiana", "d:Description": "Discussion forum and a list of publications about the film.", "topic": "Top/Arts/Movies/Titles/V/Viridiana", "url": "http://www.filmreference.com/Films-Vi-Wi/Viridiana.html"} +{"d:Title": "TV Guide Online", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/V/Viridiana", "url": "http://www.tvguide.com/movies/viridiana/122168"} +{"d:Title": "IMDb - Viridiana (1961)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/V/Viridiana", "url": "http://www.imdb.com/title/tt0055601/"} +{"d:Title": "HARO Online - Virtual Sexuality", "d:Description": "Mongoose rates the movie: Not that good.", "topic": "Top/Arts/Movies/Titles/V/Virtual_Sexuality", "url": "http://www.haro-online.com/movies/virtual_sexuality.html"} +{"d:Title": "IMDb: Virtual Sexuality (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/V/Virtual_Sexuality", "url": "http://www.imdb.com/title/tt0164221/"} +{"d:Title": "Movie Quotes Site: Virtuosity", "d:Description": "An index of quotes from the movie. Collected and submitted by users of the site.", "topic": "Top/Arts/Movies/Titles/V/Virtuosity", "url": "http://www.moviequotes.com/archive/titles/2851.html"} +{"d:Title": "IMDb: Virtuosity (1995)", "d:Description": "The Internet Movie Database offers cast and crew credits, plot summary, and user comments.", "topic": "Top/Arts/Movies/Titles/V/Virtuosity", "url": "http://www.imdb.com/title/tt0114857/"} +{"d:Title": "RollingStone.com: Virtuosity", "d:Description": "Includes review, synopsis, image gallery, cast and crew information.", "topic": "Top/Arts/Movies/Titles/V/Virtuosity", "url": "http://www.rollingstone.com/movies/reviews/virtuosity-19950804"} +{"d:Title": "MRQE: Virus (1999)", "d:Description": "Links to more than 90 reviews of the film.", "topic": "Top/Arts/Movies/Titles/V/Virus", "url": "http://www.mrqe.com/lookup?%5EVirus+(1999)"} +{"d:Title": "Filmtracks: Virus", "d:Description": "Soundtrack review by Christian Clemmensen, including audio clips and track listings.", "topic": "Top/Arts/Movies/Titles/V/Virus", "url": "http://www.filmtracks.com/titles/virus.html"} +{"d:Title": "IMDb: Virus (1999)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/V/Virus", "url": "http://www.imdb.com/title/tt0120458/"} +{"d:Title": "Roger Ebert: Virus", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/V/Virus", "url": "http://www.rogerebert.com/reviews/virus-1999"} +{"d:Title": "Official Site: Virus", "d:Description": "Includes plot, cast and crew information, video clips, photos and a game.", "topic": "Top/Arts/Movies/Titles/V/Virus", "url": "https://www.uphe.com/movies/virus"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Review, trivia, filming locations, pictures, links, DVD and soundtrack information.", "topic": "Top/Arts/Movies/Titles/V/Vision_Quest", "url": "http://www.fast-rewind.com/visionquest.htm"} +{"d:Title": "IMDb: Vision Quest (1985)", "d:Description": "Cast and other information about the movie, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vision_Quest", "url": "http://imdb.com/title/tt0090270/"} +{"d:Title": "MRQE: Visit, The (2000/I)", "d:Description": "Links to more than 25 reviews of the film.", "topic": "Top/Arts/Movies/Titles/V/Visit,_The", "url": "http://www.mrqe.com/lookup?%5EVisit,+The+(2000/I)"} +{"d:Title": "IMDb: Visit, The (2000/I)", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Visit,_The", "url": "http://imdb.com/title/tt0199129/"} +{"d:Title": "Rotten Tomatoes: The Visitor", "d:Description": "Synopsis, cast members, videos, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/V/Visitor,_The", "url": "http://www.rottentomatoes.com/m/10008820-visitor/"} +{"d:Title": "ReelViews: The Visitor", "d:Description": "Review, by James Berardinelli: \"This is a simple story of human drama that provides an incentive to spend a couple of hours in a movie theater during a spring that has not provided many such reasons.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/V/Visitor,_The", "url": "http://www.reelviews.net/reelviews/visitor-the"} +{"d:Title": "IGN Movies: The Visitor", "d:Description": "Photos, videos, message board, and a review (rating: 4.5 out of 5).", "topic": "Top/Arts/Movies/Titles/V/Visitor,_The", "url": "http://www.ign.com/movies/the-visitor/theater-14241963"} +{"d:Title": "The New York Times: The Visitor", "d:Description": "Review, by A.O. Scott: \"The curious thing about The Visitor is that even as it goes more or less where you think it will, it still manages to surprise you along the way.\"", "topic": "Top/Arts/Movies/Titles/V/Visitor,_The", "url": "http://www.nytimes.com/2008/04/11/movies/11visi.html?ref=movies"} +{"d:Title": "MovieWeb: The Visitor (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/V/Visitor,_The", "url": "http://movieweb.com/movie/the-visitor/"} +{"d:Title": "IMDb: Visiteurs, Les (1993)", "d:Description": "Cast and crew, user comments and reviews, plot summary, and related links.", "topic": "Top/Arts/Movies/Titles/V/Visitors,_The", "url": "http://imdb.com/title/tt0108500/"} +{"d:Title": "The Visitors", "d:Description": "Review by Roger Ebert. [Chicago Sun Times]", "topic": "Top/Arts/Movies/Titles/V/Visitors,_The", "url": "http://www.rogerebert.com/reviews/the-visitors-1996"} +{"d:Title": "IMDb: Vitus (2006)", "d:Description": "Photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/V/Vitus", "url": "http://www.imdb.com/title/tt0478829/"} +{"d:Title": "Rotten Tomatoes: Vitus", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, box office data, and a forum.", "topic": "Top/Arts/Movies/Titles/V/Vitus", "url": "http://www.rottentomatoes.com/m/10007790-vitus/"} +{"d:Title": "MovieWeb: Vitus (2007)", "d:Description": "Summary, reviews, synopsis, videos, and photos.", "topic": "Top/Arts/Movies/Titles/V/Vitus", "url": "http://www.movieweb.com/movie/vitus"} +{"d:Title": "IMDb: Vivid (1997)", "d:Description": "Cast and other information on the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Vivid", "url": "http://imdb.com/title/tt0144669/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Vlad.\"", "topic": "Top/Arts/Movies/Titles/V/Vlad", "url": "http://www.haro-online.com/movies/vlad.html"} +{"d:Title": "IMDb.com: Vlad (2003)", "d:Description": "Cast and crew, plot outline, and user comments.", "topic": "Top/Arts/Movies/Titles/V/Vlad", "url": "http://imdb.com/title/tt0337744/"} +{"d:Title": "Vlad (2003)", "d:Description": "\"Vlad is... very bad.\" Review by Gary Schultz. [Film Monthly]", "topic": "Top/Arts/Movies/Titles/V/Vlad", "url": "http://www.filmmonthly.com/video_and_dvd/vlad.html"} +{"d:Title": "IMDb: Voices (1995)", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Voices", "url": "http://imdb.com/title/tt0114866/"} +{"d:Title": "HARO Online: Voices in Wartime", "d:Description": "Gerf reviews the film.", "topic": "Top/Arts/Movies/Titles/V/Voices_in_Wartime", "url": "http://www.haro-online.com/movies/voices_wartime.html"} +{"d:Title": "IMDb.com: Voices in Wartime (2005)", "d:Description": "Plot summary, user comments, production details.", "topic": "Top/Arts/Movies/Titles/V/Voices_in_Wartime", "url": "http://imdb.com/title/tt0443691/"} +{"d:Title": "HARO Online", "d:Description": "Gerf reviews \"Voices of Iraq.\"", "topic": "Top/Arts/Movies/Titles/V/Voices_of_Iraq", "url": "http://www.haro-online.com/movies/voices_of_iraq.html"} +{"d:Title": "Voices of Iraq (2004)", "d:Description": "Cast and crew, plot outline, user comments.", "topic": "Top/Arts/Movies/Titles/V/Voices_of_Iraq", "url": "http://imdb.com/title/tt0430745/"} +{"d:Title": "All-Reviews.com: Volcano", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/V/Volcano", "url": "http://www.all-reviews.com/videos-2/volcano.htm"} +{"d:Title": "Crazy for Cinema: Volcano", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/V/Volcano", "url": "http://crazy4cinema.com/Review/FilmsV/f_volcano.html"} +{"d:Title": "MRQE: Volcano (1997)", "d:Description": "Links to more than 90 reviews of the film.", "topic": "Top/Arts/Movies/Titles/V/Volcano", "url": "http://www.mrqe.com/lookup?%5EVolcano+(1997)"} +{"d:Title": "Filmtracks: Volcano", "d:Description": "Soundtrack review by Christian Clemmensen, including audio clips and track listings.", "topic": "Top/Arts/Movies/Titles/V/Volcano", "url": "http://www.filmtracks.com/titles/volcano.html"} +{"d:Title": "IMDb: Volcano (1997)", "d:Description": "Cast and other production information, plus links.", "topic": "Top/Arts/Movies/Titles/V/Volcano", "url": "http://imdb.com/title/tt0120461/"} +{"d:Title": "TV Guide Online", "d:Description": "Short review comparing it to the film Dante's Peak.", "topic": "Top/Arts/Movies/Titles/V/Volcano", "url": "http://www.tvguide.com/movies/volcano/132087"} +{"d:Title": "Chicago Sun-Times: Volcano", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/V/Volcano", "url": "http://www.rogerebert.com/reviews/volcano-1997"} +{"d:Title": "IMDb: Von Ryan's Express (1965)", "d:Description": "Cast and other information on the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Von_Ryan's_Express", "url": "http://imdb.com/title/tt0059885/"} +{"d:Title": "IMDb: Voyage to the Beginning of the World", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Voyage_to_the_Beginning_of_the_World", "url": "http://imdb.com/title/tt0120443/"} +{"d:Title": "IMDb: Voyage to the Bottom of the Sea (1961)", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/V/Voyage_to_the_Bottom_of_the_Sea", "url": "http://imdb.com/title/tt0055608/"} +{"d:Title": "IMDb: V for Vendetta", "d:Description": "Provides full cast and crew, plot summary, memorable quotes, trivia, filming locations, literature listings, news articles, photos and discussion board.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.imdb.com/title/tt0434409/"} +{"d:Title": "BoxOfficeMojo: V for Vendetta", "d:Description": "Offers box office data, related news articles, link to review, image gallery, viewer grades, trailers in various formats including high-definition and movies of similar style.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.boxofficemojo.com/movies/?id=vforvendetta.htm"} +{"d:Title": "CanMag.Com: V for Vendetta", "d:Description": "Offers hype meter rating, cast and crew, synopsis, video links, news articles and image gallery.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.canmag.com/movies.php?moviekey=vforvendetta"} +{"d:Title": "V for Vendetta Script", "d:Description": "Written by Larry and Andy Wachowski. Based on the graphic novel by Alan Moore.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.imsdb.com/scripts/V-for-Vendetta.html"} +{"d:Title": "V For Vendetta Wallpapers", "d:Description": "Offers full screen wallpapers in two sizes.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.coolbuddy.com/wallpapers/movies/V_For_Vendetta_home.htm"} +{"d:Title": "DC Comics: V for Vendetta", "d:Description": "Offers desktop wallpapers in four sizes and icons.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.dccomics.com/sites/v_for_vendetta/"} +{"d:Title": "V for Vendetta: Mistakes, Goofs and Bloopers", "d:Description": "Continuity errors found in the James McTeigue film.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.moviemistakes.com/film5414"} +{"d:Title": "Vigilante: V for Vendetta Fanfiction Archive", "d:Description": "Collection of fictional stories written by sixty authors.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.piratestripes.net/vendetta/v/"} +{"d:Title": "Finch and Evey", "d:Description": "Offers fan fiction, screenshots, multimedia and fan art.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.gabe-e.com/finch/"} +{"d:Title": "CBS3.com Video Library Preview: V for Vendetta", "d:Description": "Video clip features commentary by Hugo Weaving and Natalie Portman. Narrative by Ukee Washington.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://cbs3.com/video/?id=23892@kyw.dayport.com"} +{"d:Title": "Jaded Letters", "d:Description": "Offers V for Vendetta fan fiction with rating, summary and disclaimer guidelines.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://jadedletters.livejournal.com/"} +{"d:Title": "V For Vendetta - Final Battle Video", "d:Description": "V versus Creedy in this short clip. Requires Flash.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.metacafe.com/watch/514160/v_for_vendetta_final_battle/"} +{"d:Title": "Box Office Prophets: V for Vendetta", "d:Description": "Offers film synopsis.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?TMID=2057"} +{"d:Title": "Moviefone: V for Vendetta", "d:Description": "Movie trailers and interviews in which stars Natalie Portman and Hugo Weaving interview each other by replying to user questions.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.moviefone.com/movie/v-for-vendetta/21798/main"} +{"d:Title": "Yahoo Movies: V for Vendetta", "d:Description": "Includes synopsis, critic ratings, viewer commentary, cast and credits, production photos, filming location and domestic box office receipts.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://movies.yahoo.com/movie/v-for-vendetta/"} +{"d:Title": "British Film Locations: V For Vendetta", "d:Description": "Features movie images and their corresponding location. Provides multimap and aerial data links.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.british-film-locations.com/V-For-Vendetta-2006"} +{"d:Title": "JoBlo.com: V for Vendetta", "d:Description": "Provides plot synopsis, cast and crew details, movie stills, related news articles and viewer popcorn meter rating system.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://www.joblo.com/movies/database/2006/v-for-vendetta/"} +{"d:Title": "Apple Trailers: V for Vendetta", "d:Description": "Quicktime clips offered in three sizes.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://trailers.apple.com/trailers/wb/v_for_vendetta/"} +{"d:Title": "Producer Joel Silver and Director James McTeigue Talk V for Vendetta", "d:Description": "The two men discuss their new film starring Hugo Weaving&Natalie Portman.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta", "url": "http://movieweb.com/producer-joel-silver-and-director-james-mcteigue-talk-v-for-vendetta/"} +{"d:Title": "Filmtracks: V for Vendetta", "d:Description": "The soundtrack will entertain you with Marianelli's continuously intelligent ideas.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.filmtracks.com/titles/v_vendetta.html"} +{"d:Title": "2SecondFuse: V For Vendetta", "d:Description": "V For Vendetta is about rebellion and responsibility. It's about taking action to create change, about standing for what is right even if it makes you uncomfortable, scared or places you in danger.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.2secondfuse.com/archives/vforvendetta.html"} +{"d:Title": "Scifimoviepage.com: V for Vendetta", "d:Description": "In the late 1980s a Leftie writer named Alan Moore, depressed at the state of Thatcherite Britain, wrote a comic book about a superhero of sorts named \u201cV\u201d who almost single-handedly brings down a future fascist British government.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.scifimoviepage.com/vforvendetta.html"} +{"d:Title": "BBC News: V for Vendetta Tops US Box Office", "d:Description": "V for Vendetta, a political thriller starring Natalie Portman, tops the US box office in its opening week.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/4824112.stm"} +{"d:Title": "ICv2 News: Vendetta Delayed", "d:Description": "The opening date for the Warner Brothers film of Alan Moore and Dave Lloyd's V for Vendetta has been delayed from November 4th to March 17th, 2006.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.icv2.com/articles/home/7389.html"} +{"d:Title": "V at ComicCon", "d:Description": "A panel discussion transcription including video clips.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://pdl.warnerbros.com/wbmovies/vforvendetta/comiccon_text.html"} +{"d:Title": "Box Office Mojo: V for Vendetta Bombards the Top Spot", "d:Description": "V for Vendetta governed the weekend with a forceful $25.6 million at 3,365 locations, including about $1.4 million from 56 IMAX venues. By Brandon Gray.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.boxofficemojo.com/news/?id=2031&p=.htm"} +{"d:Title": "New York Times: The Vendetta Behind 'V for Vendetta'", "d:Description": "To Alan Moore, the god of comic writers, the movie adaptation of \"V for Vendetta\" is further proof that Hollywood should be avoided at all costs. May require free registration.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.nytimes.com/2006/03/12/movies/12itzk.html?ex=1159761600&en=4908018bf94b1d54&ei=5070"} +{"d:Title": "V Meets The Secret Service", "d:Description": "GiveMeLiberty.org provided some entertainment at the capital recently as one of their members dressed as \u201cV\u201d from the movie Vendetta to deliver protest documents to various government agencies including the IRS.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.givemeliberty.org/RTP2/UPDATES/Update2006-11-11.htm"} +{"d:Title": "Time Magazine Archive Article: The Mad Man In The Mask", "d:Description": "The directors of The Matrix make a movie where the hero is a faceless terrorist trying to blow up London. Yes, you read that right. Article by Lev Grossman.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.time.com/time/magazine/article/0,9171,1169899,00.html"} +{"d:Title": "Comic Book Resources: V for Vendetta Set Visit Report", "d:Description": "On the evening of June 1st, 2005, a number of online and \"real world\" press gathered in Central London to witness a live shoot for the upcoming film \"V For Vendetta.\" By Jonah Weiland.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=6680"} +{"d:Title": "Bluegrass Film Society: Travis Swinford - Response to V for Vendetta", "d:Description": "Ever since the attacks of 9/11 here in the United States, we really shy away from terrorist movies, but in this case you almost feel empathy toward V when he acts against his own country.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://bluegrassfilmsociety.blogspot.com/2007/04/travis-swinford-response-to-v-for.html"} +{"d:Title": "Cinerati: Watchmen vs. V for Vendetta", "d:Description": "A comparative sales analysis between 'Watchmen' trade sales and those of 'V for Vendetta'.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://cinerati.blogspot.com/2007/12/watchmen-vs-v-for-vendetta.html"} +{"d:Title": "Writing 501 Portfolio: Class Project Contribution, Part II", "d:Description": "Analysis by Jeff Cozzens.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://writ501portfolio.blogspot.com/2007/12/class-project-contribution-part-ii.html"} +{"d:Title": "Movieman's Guide to the Movies: V for Vendetta", "d:Description": "In my short lifetime there are a few select movie-going experiences that have stuck out in my head as being incredibly memorable, opinion changing, and eventful. By Chris Gonzalez.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.moviemansguide.com/reviews/movie/read.php?id=vforvendetta_gonzalez"} +{"d:Title": "Skewed&Reviewed: V For Vendetta", "d:Description": "V for Vendetta is a film that mixes \u201cThe Phantom of the Opera\u201d \u201cBeauty and the Beast\u201d and ?\u201d to create a gothic love story and biting social commentary about the dangers of governmental control and censorship in a society gone awry.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://sknr.net/2008/06/21/v-for-vendetta/"} +{"d:Title": "Las Vegas Weekly: London Calling", "d:Description": "V for Vendetta is just the least embarrassing movie to date adapted from the work of legendary comics writer Alan Moore. By Mike D'Angelo.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.lasvegasweekly.com/news/archive/2006/mar/16/london-calling/"} +{"d:Title": "Media Monitor: V for Vendetta Against Bush", "d:Description": "Consider this film another example of Hollywood being AWOL, or even on the other side, in the war on terror. Commentary by Roger Aronoff.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.aim.org/media-monitor/v-for-vendetta-against-bush/"} +{"d:Title": "CBS News: So You Want A Revolution?", "d:Description": "The film \"V for Vendetta\" centers on a revolution against a repressive government, a concept David Edelstein says that is meant to jar American moviegoers from their seats.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.cbsnews.com/news/so-you-want-a-revolution/"} +{"d:Title": "V for Vendetta Anti-American?", "d:Description": "\"V For Vendetta\" is the number one movie in America, but is it anti-American? NBC News' Joe Scarborough wants answers and gets them from movie critic Megan Basham.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.nbcnews.com/id/11945100/"} +{"d:Title": "Confused, Not Thought Through: V for Vendetta", "d:Description": "Taken at face value, the film neatly, if inadvertently, captures the bankruptcy of anarcho-terrorist ideology: the mass of the population is reduced to the role of a passive spectator while the heroic individual carries out exemplary, supposedly \u2018electrifying\u2019 operations. By David Walsh.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.wsws.org/en/articles/2006/03/vend-m27.html"} +{"d:Title": "Tucson Weekly : V for Visionary", "d:Description": "Someone alert the media. This blockbuster is actually fantastic.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.tucsonweekly.com/tucson/v-for-visionary/Content?oid=1083620"} +{"d:Title": "FOXNews.com - 'Matrix' Moviemakers Have Hit With 'V'", "d:Description": "\u201cV\u201d is for very good, a little \u201cviolent\u201d and very much like \u201cBatman.\u201d By Roger Friedman.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.foxnews.com/story/2006/02/27/matrix-moviemakers-have-hit-with-v.html"} +{"d:Title": "V for Vendetta", "d:Description": "As a fix of pop iconography, V for Vendetta is eyeball grabbing, even if it lacks the relentless videogame bravura that sold the Matrix films. By Owen Gleiberman.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Articles_and_Interviews", "url": "http://www.ew.com/article/2006/03/15/v-vendetta"} +{"d:Title": "OutNow: V for Vendetta", "d:Description": "DVD stills and Berlin premiere photos. [German/English]", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Image_Galleries", "url": "http://outnow.ch/Media/Img/2006/VForVendetta/"} +{"d:Title": "V for Vendetta", "d:Description": "DVD stills image gallery.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Image_Galleries", "url": "http://www.mooviees.com/53758-v-for-vendetta/photos"} +{"d:Title": "Fuguestate at DeviantART", "d:Description": "Offers small fanart gallery.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Image_Galleries", "url": "http://fuguestate.deviantart.com/"} +{"d:Title": "Rottentomatoes.com: V For Vendetta", "d:Description": "Movie reviews from critics and audiences.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.rottentomatoes.com/m/v_for_vendetta/"} +{"d:Title": "Endervidualism Agora - V for Vendetta", "d:Description": "I believe this film may be the best movie released in 2006. I cannot think of a movie in recent memory more thorough-going in its presentation of anti-state ideas. By Tom Ender.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://endervidualism.com/agora/v4vendetta_2006.htm"} +{"d:Title": "The Ravings of a Demented Irishman: V for Vendetta Is the Best Movie Ever", "d:Description": "The parallels between this story and what is currently manifesting in this country will chill your blood and serve, through new the opiate of the masses known as entertainment, (or edutainment, if you prefer), to open the viewer's eyes to the creeping hand of facism all around them.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://fightingirishman.blogspot.com/2007/04/v-for-vendetta-is-best-movie-ever.html"} +{"d:Title": "Filmiliar Cineaste: V For Vendetta", "d:Description": "Exploitation can be fun.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://variagate.com/vendetta.htm?MRQE"} +{"d:Title": "Lobo's Movie Reviews: V for Vendetta", "d:Description": "I was enthralled within minutes by the look of the film and fell into its seductive trap with the first large explosion of Old Bailey to the music of Tchaikovsky's 1812 Overture.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://judithwolfe.com/lobosmoviereviews/reviews/vforvendetta.html"} +{"d:Title": "Sffworld Movie Review: V for Vendetta", "d:Description": "Having seen the movie twice to be sure my initial disappointment was justified and not reactionary, I\u2019m confident in saying I won\u2019t see it again. Includes photo gallery. By Owen Jones.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.sffworld.com/mrevoff/37.html"} +{"d:Title": "UMC.org: V for Vendetta", "d:Description": "V for Vendetta is a challenging film, with violence and wrongdoing on both sides. But it\u2019s rich in ideas, human emotion and a thrilling ride. Reviewed by the official online ministry of The United Methodist Church.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.umc.org/site/c.gjJTJbMUIuE/b.1499939/k.84D9/V_for_Vendetta.htm"} +{"d:Title": "NewsBusters.org: Leftists Deriving Political Inspiration From Simplistic Fantasy Flick", "d:Description": "Don't be too surprised if you start seeing Guy Fawkes masks popping up at leftist demonstrations and political events in the near future. By P.J. Gladnick.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://newsbusters.org/node/7419"} +{"d:Title": "Controversy Aside, 'V for Vendetta' Worth The Trip", "d:Description": "V isn't a terrorist any more than Batman is a vigilante. These guys are super heroes, fighting the good fight to pull the yoke of fear off the neck of the common man. By Nate Hensley.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.gainesville.com/apps/pbcs.dll/article?AID=/20060810/COLUMNS05/60810003/1089/entertainment"} +{"d:Title": "Tank Riot: V for Vendetta Podcast", "d:Description": "Audio file features a review of the film and a brief history of Guy Fawkes.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.tankriot.com/2006/013/"} +{"d:Title": "Box Office Mojo Movie Review: V for Vendetta", "d:Description": "Bordering on absurd, and with an obvious nod to Phantom of the Opera, this is one wild ride not likely to be forgotten. By Scott Holleran.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.boxofficemojo.com/reviews/?id=2030&p=l.htm"} +{"d:Title": "V for Vendetta: Film Review", "d:Description": "Fans of The Matrix expecting state-of-the-art fight sequences will undoubtedly walk away disappointed from this relatively cerebral adventure, for this is a flick where preachy dialogue, social statements and character development have been exalted at the expense of balls-out action and technical wizardry. By Kam Williams.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.finalcall.com/artman/publish/article_2591.shtml"} +{"d:Title": "Movie Review: V for Vendetta - We Want the Terrorists to Win", "d:Description": "V for Vendetta is a movie that made me wonder, \"How the heck did this get released in our country?\" Review by Logtar.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://blogcritics.org/archives/2006/04/10/182055.php#comment-526534"} +{"d:Title": "V for Vendetta", "d:Description": "How am I supposed to see this movie without any preconceived ideas? Review by Scott Manning.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.scottmanning.com/archives/vforvendetta.php"} +{"d:Title": "V for Vendetta Masks Haunting Message", "d:Description": "Chilling and arresting, the projected events in \u201cV for Vendetta\u201d are thought-provoking as well. Review by Bob O'Reilly.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.hometown-pages.com/main.asp?SectionID=12&SubSectionID=54&ArticleID=11776&TM=67090.11"} +{"d:Title": "Bookslut: V for Vendetta", "d:Description": "When I finally saw the movie, I came away disappointed. Review by Liz Miller.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.bookslut.com/hollywood_madam/2006_04_008729.php"} +{"d:Title": "TheAge.com: V for Vendetta", "d:Description": "Like the Matrix movies, it's a story of repression and rebellion. But it's duller. Review by Philippa Hawker.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.theage.com.au/articles/2006/03/29/1143441209335.html"} +{"d:Title": "ABC News: Review: 'V for Vendetta' ... and Vacuous", "d:Description": "Review by Joel Siegel.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://abcnews.go.com/GMA/JoelSiegel/story?id=1734395"} +{"d:Title": "Movie Review: Big Brother's Back In 'Vendetta'", "d:Description": "Where the masterful \"V for Vendetta\" breaks with Orwell is in its insistence that even under tyrants, heroism is possible. By Colin Covert.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.startribune.com/1553/story/311851.html"} +{"d:Title": "Review: V for Vendetta", "d:Description": "No doubt, this film is going to ruffle feathers, particularly if you are on the conservative right. Author: Bill Ramey.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.batman-on-film.com/review_jett_vforvendetta.html"} +{"d:Title": "Movie Review for V for Vendetta", "d:Description": "Offers a review and production stills.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.cinemablend.com/review.php?id=1472"} +{"d:Title": "The Comic Reel Review: \"V for Vendetta\" at the New York Comic Con", "d:Description": "Stories tend to be the product of their times and where the original book reflected the Thatcher years, the movie clearly reflects the Blair-Bush era with overt allusions to the War on Terror, the hunt for Weapons of Mass Destruction and the use of such campaigns to manipulate the population into voting in a corrupt regime. By Adi Tantimedh.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=6795"} +{"d:Title": "A Rat Rant on V for Vendetta", "d:Description": "Intrigue and drama set in a fantastical vision not so far removed from the real world that we can\u2019t see the story.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://catnapsandlazydays.wordpress.com/2008/10/26/rat-rant-v-for-vendetta-2005/"} +{"d:Title": "Nourishing Obscurity: V for Vendetta - Two Years Too Late", "d:Description": "The basic premise is sound though and not so far away from Brown's Britain today. By James Higham.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://nourishingobscurity.blogspot.com/2008/10/v-for-vendetta-two-years-too-late.html"} +{"d:Title": "Teen Ink Movie Review: V for Vendetta", "d:Description": "\u201cV for Vendetta\u201d is a smart thriller with a real message, conveyed in an entertaining way.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.teenink.com/reviews/movie_reviews/article/5730/V-for-Vendetta/"} +{"d:Title": "The Film Chair: V for Vendetta", "d:Description": "In spite of the Wachowskis, in spite of McTeigue, and in spite of Warner Brothers\u2019 trepidation after the fact, V for Vendetta works. By Daniel J. Stasiewski.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.thefilmchair.com/wordpress/index.php/2006/03/17/v-for-vendetta-2006/"} +{"d:Title": "RogerEbert.com: Review - V for Vendetta", "d:Description": "The movie critic offers a review of the James McTeigue directed film.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.rogerebert.com/reviews/v-for-vendetta-2006"} +{"d:Title": "Future Movies: V For Vendetta", "d:Description": "'V For Vendetta remains an immensely intriguing film claims film reviewer Richard Strachan. Includes photographs.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "https://www.futuremovies.co.uk/reviews/v-for-vendetta/richard-strachan"} +{"d:Title": "Sify.com: V for Vendetta", "d:Description": "Looking from completely uncoloured glasses, you would realise that V for Vendetta's basic storyline has already been done to death. Review by Jimmy Jacob.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.sify.com/movies/v-for-vendetta-review-hollywood-pclvVIabgijac.html"} +{"d:Title": "Movie Review: V for Vendetta", "d:Description": "Political theorizing aside, \"V for Vendetta\" is an action/adventure film at heart, and on that front it delivers with the best of them.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews", "url": "http://www.beyondhollywood.com/v-for-vendetta-2006-movie-review/"} +{"d:Title": "DVD Review: V For Vendetta Special Edition", "d:Description": "For a movie about rebelling against an oppressive government, there are times here and there when the picture feels curiously too low key, despite fine performances. The DVD presentation offers very good audio/video quality and a few decent supplements.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.currentfilm.com/dvdreviews7/vforvendettadvd.html"} +{"d:Title": "V for Vendetta", "d:Description": "Warner Brother's hits a grand slam with this DVD release.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.hometheaterinfo.com/v_for_vendetta.htm"} +{"d:Title": "V For Vendetta: Special Edition", "d:Description": "\"Ambitious and unusual, I admire V For Vendetta more for what it attempts than what it does\". Includes an examination of DVD audio and video qualities. By Colin Jacobson.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.dvdmg.com/vforvendetta.shtml"} +{"d:Title": "The Cinema Laser DVD Review: V for Vendetta", "d:Description": "Warner\u2019s DVD edition looks and sounds quite marvelous, which comes as no surprise. By Derek M. Germano.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.thecinemalaser.com/dvd_2006/vfv-06-se-dvd.htm"} +{"d:Title": "Movie DVD for V for Vendetta: Special Edition", "d:Description": "V for Vendetta is a film that comes close to transcending the comic book genre\u2019s thematic limitations by focusing on the ideals behind the anti-hero \u201cV,\u201d rather than the character himself and is victorious in it\u2019s aspirations of being something more than a superhero-in-tights movie. If you opt for the single-disc edition, rest assured that you aren\u2019t missing anything. By Jason Morgan.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.cinemablend.com/dvds/V-for-Vendetta-Special-Edition-1709.html"} +{"d:Title": "DVD Savant Review: V for Vendetta", "d:Description": "Warner's DVD of V for Vendetta presents the smartly produced film in a beautiful enhanced transfer. Movie, video and sound are all excellent. By Glenn Erickson.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.dvdtalk.com/dvdsavant/s2060vend.html"} +{"d:Title": "James McTeigue's V for Vendetta", "d:Description": "The Warner DVD offers a wonderful tight, anamorphic, progressive transfer in the 2.35 ratio. Includes photos. By Gary W. Tooze.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.dvdbeaver.com/film/DVDReviews23/v_is_for_vendetta.htm"} +{"d:Title": "Blackfilm.com: V for Vendetta", "d:Description": "Since V for Vendetta transparently expects the audience to root for a protagonist\u2019s with an anti-establishment bent, be prepared to check your politics at the door, at least if you tend to lean to the right of center. Rated: Excellent (4 stars). By Kam Williams.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.blackfilm.com/20060728/reviews/vforvendettadvd.shtml"} +{"d:Title": "IndieLondon: V For Vendetta - Review", "d:Description": "V For Vendetta may not provide any answers but it does inspire serious thought while providing plenty to entertain. The V could just as easily stand for valiant in terms of film-making. By Jack Foley.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.indielondon.co.uk/DVD-Review/v-for-vendetta-review"} +{"d:Title": "DVD Review: V for Vendetta", "d:Description": "To director James McTeigue's credit is the fact that the production values and special effects are outstanding given the generally low budget V for Vendetta was given. By Kim Hollis.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.boxofficeprophets.com/column/index.cfm?columnID=9671"} +{"d:Title": "AVPlay.com: V For Vendetta HD DVD Review", "d:Description": "It is a decent, fairly adult, graphic novel interpretation that is, in theme, not worlds apart from the Wachowskis\u2019 acclaimed Matrix trilogy. By Cas Harlow.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://avplay.avforums.com/index.php?showreview=8959"} +{"d:Title": "DVD Talk Review: V for Vendetta - Two-Disc Special Edition", "d:Description": "V for Vendetta is an enjoyable action film that goes beyond the usual muscles and guns and gets into more cerebral real-world territory. By Francis Rizzo III.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.dvdtalk.com/reviews/22837/v-for-vendetta-two-disc-special-edition/"} +{"d:Title": "DVD Times: V for Vendetta", "d:Description": "Offers a storyline review, extras, HD presentation, images and reader commentary.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.dvdtimes.co.uk/content.php?contentid=63435"} +{"d:Title": "DVD Review: V For Vendetta: SE", "d:Description": "While perhaps not the most faithful graphic novel adaptation ever made, V For Vendetta does manage to pull most of the key dramatic elements out and sally forth with the message of revolution against oppression through explosive violence, all for the good of the people. Includes ratings for style and substance. By Rich Rosell.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=8810"} +{"d:Title": "DVD Talk Review: V for Vendetta (HD DVD)", "d:Description": "V for Vendetta is an engaging, visually enthralling blend of political drama and a masterfully choreographed ballet of violence. Review by Adam Tyner.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.dvdtalk.com/reviews/25347/v-for-vendetta/"} +{"d:Title": "The DVD Clinic Movie Review of V For Vendetta", "d:Description": "Even if you don\u2019t agree with what it\u2019s implying, you will still enjoy this film. This is a must have for all fans of cinema. The video is a beautiful transfer that did the dark visuals justice.", "topic": "Top/Arts/Movies/Titles/V/V_for_Vendetta/Reviews/DVD", "url": "http://www.joblo.com/blu-rays-dvds/reviews/v-for-vendetta-se"} +{"d:Title": "Rotten Tomatoes: W.", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, review links, news, and a forum.", "topic": "Top/Arts/Movies/Titles/W/W.", "url": "http://www.rottentomatoes.com/m/w_2008/"} +{"d:Title": "RogerEbert.com: W.", "d:Description": "Review, by Roger Ebert: \"W., a biography of President Bush, is fascinating. No other word for it.\" [Rating: 4 out of 4]", "topic": "Top/Arts/Movies/Titles/W/W.", "url": "http://www.rogerebert.com/reviews/w-2008"} +{"d:Title": "IGN: W.", "d:Description": "News, photos, videos, message board, and a review (rating: 3.5 out of 5).", "topic": "Top/Arts/Movies/Titles/W/W.", "url": "http://www.ign.com/movies/w-oliver-stones-bush-biopic/theater-14229927"} +{"d:Title": "ReelViews: W.", "d:Description": "Review, by James Berardinelli: \"Superficial, uninformative, and inert, this two hour snoozefest isn't even inflammatory enough to stoke a righteous anti-Bush brushfire. W. does for recent history what Oliver Stone's epic \"Alexander\" did for ancient times.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/W/W.", "url": "http://www.reelviews.net/reelviews/w"} +{"d:Title": "Time: W.", "d:Description": "Review, by Richard Corliss: \"The movie is an X-ray of an invisible man -- by the film's end, the W. still stands for Who?\"", "topic": "Top/Arts/Movies/Titles/W/W.", "url": "http://content.time.com/time/magazine/article/0,9171,1851110,00.html"} +{"d:Title": "IMDb: W.R. - Mysteries of the Organism (1971)", "d:Description": "Cast and crew information plus links.", "topic": "Top/Arts/Movies/Titles/W/W.R._-_Mysteries_of_the_Organism", "url": "http://imdb.com/title/tt0067958/"} +{"d:Title": "IMDb: Waco: A New Revelation", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Waco_Movies/Waco_-_A_New_Revelation", "url": "http://imdb.com/title/tt0259731/"} +{"d:Title": "Waco: The Rules of Engagement", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/W/Waco_Movies/Waco_-_The_Rules_of_Engagement", "url": "http://www.waco93.com/"} +{"d:Title": "MRQE: Waco: The Rules of Engagement (1997)", "d:Description": "Links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Waco_Movies/Waco_-_The_Rules_of_Engagement", "url": "http://www.mrqe.com/movie_reviews/waco-the-rules-of-engagement-m100019861"} +{"d:Title": "Waco: The Rules of Engagement", "d:Description": "Complete cast and crew information, with user comments and links to external reviews at IMDb.", "topic": "Top/Arts/Movies/Titles/W/Waco_Movies/Waco_-_The_Rules_of_Engagement", "url": "http://www.imdb.com/title/tt0120472/"} +{"d:Title": "Crazy for Cinema: Wag the Dog", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Wag_the_Dog", "url": "http://crazy4cinema.com/Review/FilmsW/f_wagdog.html"} +{"d:Title": "All-Reviews.com: Wag the Dog", "d:Description": "Review by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/W/Wag_the_Dog", "url": "http://www.all-reviews.com/videos-2/wag-the-dog.htm"} +{"d:Title": "IMDb: Wag the Dog (1997)", "d:Description": "Cast, credits, and information.", "topic": "Top/Arts/Movies/Titles/W/Wag_the_Dog", "url": "http://www.imdb.com/title/tt0120885/"} +{"d:Title": "Roger Ebert: Wag the Dog", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Wag_the_Dog", "url": "http://www.rogerebert.com/reviews/wag-the-dog-1998"} +{"d:Title": "Allreaders: Wag The Dog", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Wag_the_Dog", "url": "http://allreaders.com/movie-review-summary/wag-the-dog-5821"} +{"d:Title": "IMDb: Waiting (2000/I)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Waiting_-_2000", "url": "http://imdb.com/title/tt0270059/"} +{"d:Title": "All-Reviews.com: Waiting for Guffman", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Waiting_for_Guffman", "url": "http://all-reviews.com/videos-4/waiting-for-guffman.htm"} +{"d:Title": "IMDb: Waiting for Guffman (1996)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Waiting_for_Guffman", "url": "http://imdb.com/title/tt0118111/"} +{"d:Title": "IMDb: Waiting Game, The (2000)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Waiting_Game_-_2000", "url": "http://imdb.com/title/tt0235872/"} +{"d:Title": "MRQE: Waiting Game, The (2000)", "d:Description": "Links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Waiting_Game_-_2000", "url": "http://www.mrqe.com/movie_reviews/the-waiting-game-m100025408"} +{"d:Title": "Rotten Tomatoes: Waiting to Exhale", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/W/Waiting_to_Exhale", "url": "http://www.rottentomatoes.com/m/waiting_to_exhale/"} +{"d:Title": "IMDb: Waiting to Exhale (1995)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Waiting_to_Exhale", "url": "http://www.imdb.com/title/tt0114885/"} +{"d:Title": "Chicago Sun-Times: Waiting to Exhale", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Waiting_to_Exhale", "url": "http://www.rogerebert.com/reviews/waiting-to-exhale-1995"} +{"d:Title": "IMDb: Wait Until Dark (1967)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wait_Until_Dark", "url": "http://imdb.com/title/tt0062467/"} +{"d:Title": "Allreaders: Wait Until Dark", "d:Description": "Checklist style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Wait_Until_Dark", "url": "http://allreaders.com/movie-review-summary/wait-until-dark-4219"} +{"d:Title": "Cranky Critic: Waking Ned Devine", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Waking_Ned_Devine", "url": "http://www.crankycritic.com/archive98/wakingneddevine.html"} +{"d:Title": "All-Reviews.com: Waking Ned Devine", "d:Description": "Several reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Waking_Ned_Devine", "url": "http://www.all-reviews.com/videos/waking-ned-devine.htm"} +{"d:Title": "IMDb: Waking Ned Devine (1998)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Waking_Ned_Devine", "url": "http://imdb.com/title/tt0166396/"} +{"d:Title": "Roger Ebert: Waking Ned Devine", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Waking_Ned_Devine", "url": "http://www.rogerebert.com/reviews/waking-ned-devine-1998"} +{"d:Title": "Allreaders: Waking Ned Devine", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Waking_Ned_Devine", "url": "http://allreaders.com/movie-review-summary/waking-ned-devine-6860"} +{"d:Title": "PopMatters: Waking the Dead", "d:Description": "Review of the film by Cynthia Fuchs, plus interview with the film's director, Keith Gordon.", "topic": "Top/Arts/Movies/Titles/W/Waking_the_Dead", "url": "http://popmatters.com/film/reviews/w/waking-the-dead2.html"} +{"d:Title": "IMDb: Waking the Dead", "d:Description": "Cast, credits and links.", "topic": "Top/Arts/Movies/Titles/W/Waking_the_Dead", "url": "http://imdb.com/title/tt0127349/"} +{"d:Title": "NYTimes.com: Waking the Dead", "d:Description": "Review by Stephen Holden.", "topic": "Top/Arts/Movies/Titles/W/Waking_the_Dead", "url": "http://www.nytimes.com/2000/03/24/movies/film-review-the-ghosts-of-idealism-and-an-obsessive-love.html"} +{"d:Title": "Metacritic.com: Waking the Dead", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Waking_the_Dead", "url": "http://www.metacritic.com/movie/waking-the-dead"} +{"d:Title": "HARO Online: Waking up in Reno", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/W/Waking_Up_in_Reno", "url": "http://www.haro-online.com/movies/waking_up_in_reno.html"} +{"d:Title": "Rotten Tomatoes: Waking Up in Reno", "d:Description": "Links to reviews, photos, trailer, and a forum.", "topic": "Top/Arts/Movies/Titles/W/Waking_Up_in_Reno", "url": "http://www.rottentomatoes.com/m/waking_up_in_reno/"} +{"d:Title": "IMDb: Waking Up in Reno", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Waking_Up_in_Reno", "url": "http://www.imdb.com/title/tt0219400/"} +{"d:Title": "Images Journal: Walkabout", "d:Description": "Review, and stills from the film.", "topic": "Top/Arts/Movies/Titles/W/Walkabout", "url": "http://www.imagesjournal.com/issue06/reviews/walkabout.htm"} +{"d:Title": "Rotten Tomatoes: Walkabout", "d:Description": "Reviews, movie info, cast and crew links, articles, and forum.", "topic": "Top/Arts/Movies/Titles/W/Walkabout", "url": "http://www.rottentomatoes.com/m/walkabout/"} +{"d:Title": "Digitally Obsessed: Walkabout (1971)", "d:Description": "Review, and technical details.", "topic": "Top/Arts/Movies/Titles/W/Walkabout", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1860"} +{"d:Title": "IMDb: Walkabout", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Walkabout", "url": "http://www.imdb.com/title/tt0067959/"} +{"d:Title": "Chicago Sun-Times: Walkabout", "d:Description": "Review by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/W/Walkabout", "url": "http://www.rogerebert.com/reviews/great-movie-walkabout-1971"} +{"d:Title": "All-Reviews.com: Walking and Talking", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Walking_and_Talking", "url": "http://www.all-reviews.com/videos-3/walking-and-talking.htm"} +{"d:Title": "IMDb: Walking and Talking (1996)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Walking_and_Talking", "url": "http://imdb.com/title/tt0118113/"} +{"d:Title": "HARO Online: Walking Tall", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Walking_Tall_-_2004", "url": "http://www.haro-online.com/movies/walking_tall.html"} +{"d:Title": "IMDb: Walking Tall (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Walking_Tall_-_2004", "url": "http://www.imdb.com/title/tt0351977/"} +{"d:Title": "Rotten Tomatoes: Walking Tall", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/W/Walking_Tall_-_2004", "url": "http://www.rottentomatoes.com/m/walking_tall/"} +{"d:Title": "Chicago Sun-Times: Walking Tall", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Walking_Tall_-_2004", "url": "http://www.rogerebert.com/reviews/walking-tall-2004"} +{"d:Title": "All-Reviews.com: A Walk in the Clouds", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Walk_in_the_Clouds,_A", "url": "http://www.all-reviews.com/videos-3/walk-in-clouds.htm"} +{"d:Title": "IMDb: Walk in the Clouds, A (1995)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Walk_in_the_Clouds,_A", "url": "http://imdb.com/title/tt0114887/"} +{"d:Title": "IMDb: Walk on the Moon, A (1999)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Walk_on_the_Moon", "url": "http://imdb.com/title/tt0120613/"} +{"d:Title": "TV Guide Online", "d:Description": "Review and cast information.", "topic": "Top/Arts/Movies/Titles/W/Walk_on_the_Moon", "url": "http://www.tvguide.com/movies/a-walk-on-the-moon/134065/"} +{"d:Title": "Internet Movie Database: Walk the Line (2005)", "d:Description": "Cast and crew listings, reviews, synopsis, quotes, trailers, pictures and user comments.", "topic": "Top/Arts/Movies/Titles/W/Walk_the_Line", "url": "http://imdb.com/title/tt0358273/"} +{"d:Title": "HARO Online: Walk the Line", "d:Description": "Haro's review: \"...a standard biopic, set apart only by a mesmerizing performance by Phoenix.\" Rated \"not bad.\"", "topic": "Top/Arts/Movies/Titles/W/Walk_the_Line", "url": "http://www.haro-online.com/movies/walk_line.html"} +{"d:Title": "Chicago Sun-Times: Walk the Line", "d:Description": "Roger Ebert's review: \"...essentially this is the story of John and June and a lot of great music. And essentially that's the story we want.\" 3.5 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/W/Walk_the_Line", "url": "http://www.rogerebert.com/reviews/walk-the-line-2005"} +{"d:Title": "Future Movies: Walk The Line", "d:Description": "Paul Greenwood review includes trailers, photographs and related links.", "topic": "Top/Arts/Movies/Titles/W/Walk_the_Line", "url": "http://www.futuremovies.co.uk/reviews/walk-the-line/paul-greenwood"} +{"d:Title": "IMDb: Walk the Talk (2000)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Walk_the_Talk", "url": "http://imdb.com/title/tt0221691/"} +{"d:Title": "HARO Online: A Walk to Remember", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Walk_to_Remember,_A", "url": "http://www.haro-online.com/movies/walk_to_remember.html"} +{"d:Title": "All-Reviews.com: Walk to Remember", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Walk_to_Remember,_A", "url": "http://www.all-reviews.com/videos-4/a-walk-to-remember.htm"} +{"d:Title": "A Walk To Remember", "d:Description": "Fan page with pictures, synopsis, cast information, sound clips, and song lyrics.", "topic": "Top/Arts/Movies/Titles/W/Walk_to_Remember,_A", "url": "http://www.angelfire.com/ak5/walktoremember/index.html"} +{"d:Title": "LyricsOnDemand: A Walk To Remember", "d:Description": "Soundtrack lyrics.", "topic": "Top/Arts/Movies/Titles/W/Walk_to_Remember,_A", "url": "http://www.lyricsondemand.com/a/awalktorememberlyrics/index.html"} +{"d:Title": "IMDb: A Walk to Remember", "d:Description": "Plot outline, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Walk_to_Remember,_A", "url": "http://www.imdb.com/title/tt0281358/"} +{"d:Title": "Metacritic.com: Walk to Remember", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/W/Walk_to_Remember,_A", "url": "http://www.metacritic.com/movie/a-walk-to-remember"} +{"d:Title": "Contactmusic.com - A Walk To Remember", "d:Description": "Contains pictures, plot summary, and movie trailer.", "topic": "Top/Arts/Movies/Titles/W/Walk_to_Remember,_A", "url": "http://www.contactmusic.com/pages/awalktorememberx06x08x02"} +{"d:Title": "IMDb: Wall", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wall,_The", "url": "http://imdb.com/title/tt0090982/"} +{"d:Title": "TV Guide Online: Wall, The", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/W/Wall,_The", "url": "http://www.tvguide.com/movies/the-wall/122313/"} +{"d:Title": "Fast-Rewind.com: Wall Street", "d:Description": "Review by Simon Barber and photos from the production.", "topic": "Top/Arts/Movies/Titles/W/Wall_Street", "url": "http://www.fast-rewind.com/wallstreet.htm"} +{"d:Title": "MRQE: Wall Street (1987)", "d:Description": "Links to moe than 20 reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Wall_Street", "url": "http://www.mrqe.com/lookup?%5EWall+Street+(1987)"} +{"d:Title": "IMDb: Wall Street (1987)", "d:Description": "Cast, credits, and information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wall_Street", "url": "http://imdb.com/title/tt0094291/"} +{"d:Title": "Roger Ebert: Wall Street", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Wall_Street", "url": "http://www.rogerebert.com/reviews/wall-street-1987"} +{"d:Title": "TV Guide Online: Wall Street", "d:Description": "Review, plot, cast, and credits.", "topic": "Top/Arts/Movies/Titles/W/Wall_Street", "url": "http://movies.tvguide.com/wall-street/122316"} +{"d:Title": "IMDb: Wanderers, The (1979)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wanderers,_The", "url": "http://imdb.com/title/tt0080117/"} +{"d:Title": "IMDb: Wanted (1999)", "d:Description": "Cast and crew credits, user comments, and ratings.", "topic": "Top/Arts/Movies/Titles/W/Wanted_-_1999", "url": "http://www.imdb.com/title/tt0153644/"} +{"d:Title": "Smartcine: Wanted", "d:Description": "Review of the film by Milton Brayson.", "topic": "Top/Arts/Movies/Titles/W/Wanted_-_2008", "url": "http://www.smartcine.com/wanted_review.html"} +{"d:Title": "IGN Movies: Wanted", "d:Description": "News, preview, photos, videos, and a message board.", "topic": "Top/Arts/Movies/Titles/W/Wanted_-_2008", "url": "http://www.ign.com/movies/wanted/theater-40260"} +{"d:Title": "MovieWeb: Wanted (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/W/Wanted_-_2008", "url": "http://www.movieweb.com/movie/wanted"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive background information on the film.", "topic": "Top/Arts/Movies/Titles/W/War", "url": "http://imdb.com/title/tt0499556/"} +{"d:Title": "Official web site", "d:Description": "Includes information about the film, images and downloads.", "topic": "Top/Arts/Movies/Titles/W/War", "url": "http://www.warthefilm.com/"} +{"d:Title": "Movie trailer", "d:Description": "Selection of trailers for the movie.", "topic": "Top/Arts/Movies/Titles/W/War", "url": "http://trailers.apple.com/trailers/lions_gate/war/"} +{"d:Title": "IMDb: Warden of Red Rock (2001)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Warden_of_Red_Rock", "url": "http://imdb.com/title/tt0220847/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, trivia, filming locations, pictures, soundtrack and DVD information, and links.", "topic": "Top/Arts/Movies/Titles/W/WarGames", "url": "http://www.fast-rewind.com/wargames.htm"} +{"d:Title": "TV Guide Online: Wargames", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/W/WarGames", "url": "http://www.tvguide.com/movies/wargames/122383/"} +{"d:Title": "IMDb: WarGames (1983)", "d:Description": "Plot outline, cast and crew details, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/WarGames", "url": "http://www.imdb.com/title/tt0086567/"} +{"d:Title": "HARO Online: Warm Water Under a Red Bridge", "d:Description": "Mongoose's mostly favorable review.", "topic": "Top/Arts/Movies/Titles/W/Warm_Water_Under_a_Red_Bridge", "url": "http://www.haro-online.com/movies/warm_water_under.html"} +{"d:Title": "IMDb: Akai hashi no shita no nurui mizu", "d:Description": "Cast, reviews, film recommendations, and technical information.", "topic": "Top/Arts/Movies/Titles/W/Warm_Water_Under_a_Red_Bridge", "url": "http://www.imdb.com/title/tt0289054/"} +{"d:Title": "IMDb: Warning: Parental Advisory (2002) (TV)", "d:Description": "Cast, crew and other credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Warning_-_Parental_Advisory", "url": "http://imdb.com/title/tt0308692/"} +{"d:Title": "IMDb: The Warrior's Way", "d:Description": "Synopsis, trailers, reviews, image gallery and trailers.", "topic": "Top/Arts/Movies/Titles/W/Warrior's_Way,_The", "url": "http://www.imdb.com/title/tt1032751/"} +{"d:Title": "MRQE: The Warrior's Way", "d:Description": "Reviews and ratings.", "topic": "Top/Arts/Movies/Titles/W/Warrior's_Way,_The", "url": "http://www.mrqe.com/movie_reviews/the-warriors-way-m100069887"} +{"d:Title": "MovieWeb: The Warriors Way", "d:Description": "Synopsis, reviews, trailers, image gallery, cast and crew.", "topic": "Top/Arts/Movies/Titles/W/Warrior's_Way,_The", "url": "http://www.movieweb.com/movie/the-warriors-way"} +{"d:Title": "Movies.com: The Warrior's Way", "d:Description": "Synopsis, news, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/W/Warrior's_Way,_The", "url": "http://www.movies.com/the-warriors-way/m67629"} +{"d:Title": "IMDb: The Warrior (2001)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Warrior,_The", "url": "http://www.imdb.com/title/tt0295682/"} +{"d:Title": "Contactmusic.com - The Warrior", "d:Description": "Contains plot summary and pictures.", "topic": "Top/Arts/Movies/Titles/W/Warrior,_The", "url": "http://www.contactmusic.com/pages/warx23x04x02"} +{"d:Title": "IMDb: Warriors, The (1979)", "d:Description": "Cast and other credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Warriors,_The", "url": "http://www.imdb.com/title/tt0080120/"} +{"d:Title": "Allreaders: Warriors", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Warriors,_The", "url": "http://allreaders.com/movie-review-summary/the-warriors-3738"} +{"d:Title": "TV Guide Online", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/W/Warriors,_The", "url": "http://www.tvguide.com/movies/the-warriors/122405/"} +{"d:Title": "HARO Online: Warriors of Heaven and Earth", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Warriors_of_Heaven_and_Earth", "url": "http://www.haro-online.com/movies/warriors_of_heaven.html"} +{"d:Title": "IMDb: Warriors of Heaven and Earth (2003)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Warriors_of_Heaven_and_Earth", "url": "http://www.imdb.com/title/tt0374330/"} +{"d:Title": "Rotten Tomatoes: Warriors of Heaven and Earth", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/Warriors_of_Heaven_and_Earth", "url": "http://www.rottentomatoes.com/m/warriors_of_heaven_and_earth/"} +{"d:Title": "AllReaders.com Review - Warrior and the Sorceress", "d:Description": "Detailed analysis of the David Carradine film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/W/Warrior_and_the_Sorceress,_The", "url": "http://allreaders.com/movie-review-summary/the-warrior-and-the-sorceress-3640"} +{"d:Title": "IMDb: War at Home, The (1979)", "d:Description": "Information on the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/War_at_Home_-_1979", "url": "http://imdb.com/title/tt0080118/"} +{"d:Title": "War Lord: Charlton Heston Classic", "d:Description": "Information and several of pictures.", "topic": "Top/Arts/Movies/Titles/W/War_Lord", "url": "http://charltonhestonworld2.homestead.com/WarLord1.html"} +{"d:Title": "Allreaders Review - War Lord", "d:Description": "Detailed analysis of the Charlton Heston film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/W/War_Lord", "url": "http://allreaders.com/movie-review-summary/the-war-lord-4312"} +{"d:Title": "IMDb: War of the Roses, The (1989)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Roses", "url": "http://imdb.com/title/tt0098621/"} +{"d:Title": "Metacritic.com: War of the Roses", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Roses", "url": "http://www.metacritic.com/movie/the-war-of-the-roses"} +{"d:Title": "Eve of the War", "d:Description": "Discussion forum for fans of the films.", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Worlds_Movies", "url": "http://www.focusgaming.co.uk/eveofthewar/"} +{"d:Title": "All-Reviews.com - War Of the Worlds", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Worlds_Movies/War_of_the_Worlds,_The_-_1953", "url": "http://www.all-reviews.com/videos-4/war-of-worlds.htm"} +{"d:Title": "The War of the Worlds (1953)", "d:Description": "Cast and credits from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Worlds_Movies/War_of_the_Worlds,_The_-_1953", "url": "http://www.imdb.com/title/tt0046534/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the new version of \"War of the Worlds.\"", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Worlds_Movies/War_of_the_Worlds_-_2005", "url": "http://www.haro-online.com/movies/war_worlds.html"} +{"d:Title": "War of the Worlds (2005)", "d:Description": "Cast and crew details, trailer, user comments, forum, plot summary, and trivia. From the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Worlds_Movies/War_of_the_Worlds_-_2005", "url": "http://www.imdb.com/title/tt0407304/"} +{"d:Title": "Future Movies - War of the Worlds", "d:Description": "Review including photographs by Paul Greenwood.", "topic": "Top/Arts/Movies/Titles/W/War_of_the_Worlds_Movies/War_of_the_Worlds_-_2005", "url": "http://www.futuremovies.co.uk/reviews/war-of-the-worlds/paul-greenwood"} +{"d:Title": "Official site: War Photographer", "d:Description": "Official site.", "topic": "Top/Arts/Movies/Titles/W/War_Photographer", "url": "http://war-photographer.com/"} +{"d:Title": "IMDb: War Photographer (2001)", "d:Description": "Information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/War_Photographer", "url": "http://imdb.com/title/tt0309061/"} +{"d:Title": "Metacritic.com: War Photographer", "d:Description": "Synopsis, quotes from and links to reviews, as well as an overall rating.", "topic": "Top/Arts/Movies/Titles/W/War_Photographer", "url": "http://www.metacritic.com/movie/war-photographer"} +{"d:Title": "HARO Online: War Zone", "d:Description": "Mongoose rates the movie: Pretty good.", "topic": "Top/Arts/Movies/Titles/W/War_Zone,_The", "url": "http://www.haro-online.com/movies/war_zone.html"} +{"d:Title": "All-Reviews.com: War Zone", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/War_Zone,_The", "url": "http://www.all-reviews.com/videos-3/war-zone.htm"} +{"d:Title": "IMDb: War Zone, The", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/War_Zone,_The", "url": "http://imdb.com/title/tt0141974/"} +{"d:Title": "Metacritic.com: War Zone, The", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/War_Zone,_The", "url": "http://www.metacritic.com/movie/the-war-zone"} +{"d:Title": "TV Guide Online: War Zone", "d:Description": "Review and cast.", "topic": "Top/Arts/Movies/Titles/W/War_Zone,_The", "url": "http://www.tvguide.com/movies/the-war-zone/134306/"} +{"d:Title": "Plume-Noir.com: Wasabi", "d:Description": "Review of the film by Laurent Ziliani.", "topic": "Top/Arts/Movies/Titles/W/Wasabi", "url": "http://www.plume-noire.com/movies/reviews/wasabi.html"} +{"d:Title": "IMDb: Wasabi (2001)", "d:Description": "Cast, crew and other credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wasabi", "url": "http://imdb.com/title/tt0281364/"} +{"d:Title": "Metacritic.com: Wasabi", "d:Description": "Quotes from and links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Wasabi", "url": "http://www.metacritic.com/movie/wasabi"} +{"d:Title": "IMDb: Wasabi Tuna (2003)", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Movies/Titles/W/Wasabi_Tuna", "url": "http://www.imdb.com/title/tt0348354/"} +{"d:Title": "DVD Talk: Wasabi Tuna", "d:Description": "Review of the movie and DVD features.", "topic": "Top/Arts/Movies/Titles/W/Wasabi_Tuna", "url": "http://www.dvdtalk.com/reviews/15618/wasabi-tuna/"} +{"d:Title": "HARO Online: The Wash", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Wash,_The", "url": "http://www.haro-online.com/movies/wash.html"} +{"d:Title": "IMDb: The Wash", "d:Description": "Plot outline, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wash,_The", "url": "http://www.imdb.com/title/tt0290332/"} +{"d:Title": "Metacritic.com: Wash", "d:Description": "Links to mainstream critic reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Wash,_The", "url": "http://www.metacritic.com/movie/the-wash"} +{"d:Title": "All-Reviews.com: Washington Square", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Washington_Square", "url": "http://www.all-reviews.com/videos-4/washington-square.htm"} +{"d:Title": "IMDb: Washington Square (1997)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Washington_Square", "url": "http://imdb.com/title/tt0120481/"} +{"d:Title": "HARO Online: Watcher", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Watcher,_The", "url": "http://www.haro-online.com/movies/watcher.html"} +{"d:Title": "All-Reviews.com: Watcher", "d:Description": "Review by Steve Rhodes plus a link to another review.", "topic": "Top/Arts/Movies/Titles/W/Watcher,_The", "url": "http://www.all-reviews.com/videos/watcher.htm"} +{"d:Title": "PopMatters: Watcher", "d:Description": "Review by Mike Ward.", "topic": "Top/Arts/Movies/Titles/W/Watcher,_The", "url": "http://popmatters.com/film/reviews/w/watcher.html"} +{"d:Title": "RottenTomatoes: Watcher (2000)", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/W/Watcher,_The", "url": "http://www.rottentomatoes.com/m/watcher/"} +{"d:Title": "IMDb: The Watcher", "d:Description": "Cast and crew information and links.", "topic": "Top/Arts/Movies/Titles/W/Watcher,_The", "url": "http://www.imdb.com/title/tt0204626/"} +{"d:Title": "Metacritic.com: Watcher", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Watcher,_The", "url": "http://www.metacritic.com/movie/the-watcher"} +{"d:Title": "IMDb: Watchmen", "d:Description": "Includes cast and crew list, filming locations, message board, links and plot summary.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.imdb.com/title/tt0409459/"} +{"d:Title": "Gerard Butler In Watchmen", "d:Description": "The first person cast in Watchmen may be Gerard Butler. Who is he? By Josh Tyler.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.cinemablend.com/new/Gerard-Butler-In-Watchmen-4614.html"} +{"d:Title": "Zack Snyder, Gerard Butler Address Watchmen Rumors", "d:Description": "Catching up with both men at the Hollywood premiere of Warner Bros action epic 300, I took the opportunity to see if we could unmuddy the waters a little surrounding the casting of Snyder\u2019s upcoming film, Watchmen. By Rebecca Murray.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://movies.about.com/od/butlergerard/a/watchmen030507.htm"} +{"d:Title": "Aintitcool.com: From 300 Trailer Comes One Helluva Rorshach", "d:Description": "An image of Rorshach appears in a trailer for the movie \"300\".", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.aintitcool.com/node/31814"} +{"d:Title": "Aintitcool.com: Watchmen Has A New Director... Again", "d:Description": "Zack Snyder mentioned that he was about to meet with the producers of 'Watchmen' to discuss whether or not he would come aboard to direct the long-in-development film.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.aintitcool.com/node/22841"} +{"d:Title": "AICN: So What\u2019s Going On With Watchmen Casting?!", "d:Description": "A longtime source reared his head today and passed on a few tips about faces we\u2019re likely to see in Watchmen when Zack Snyder\u2019s film version of the long-in-development graphic novel adaptation finally makes its way to the screen in 2008.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.aintitcool.com/node/32743"} +{"d:Title": "Wikipedia: Watchmen", "d:Description": "Includes production background, cast, project history, development, reference list and external links.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://en.wikipedia.org/wiki/Watchmen_(film)"} +{"d:Title": "Rotten Tomatoes: Watchmen", "d:Description": "Offers reviews, photos, news, articles and forum.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.rottentomatoes.com/m/watchmen/"} +{"d:Title": "Watchmen Comic Movie", "d:Description": "Features include movie news, fan films, cast photos, movie trailers and destktop wallpapers.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://watchmencomicmovie.com/"} +{"d:Title": "David Hayter Talks Watchmen", "d:Description": "The screenwriter discusses the colossal task of adapting the story from comic to movie script.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.watchmencomicmovie.com/052808-watchmen-script-david-hayter.php"} +{"d:Title": "About.com: Studios Slug It Out over Watchmen", "d:Description": "20th Century Fox, which says it owns the rights to Watchmen, is seeking an injunction to stop Warner Brothers from distributing the film. By Mark Wilson.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://scifi.about.com/b/2008/08/30/studios-slug-it-out-over-watchmen.htm"} +{"d:Title": "Zack Snyder Reveals Watchmen Details", "d:Description": "The director says he has the appetite to make a movie that feel's more like Taxi Driver than like Fantastic Four.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.firstshowing.net/2007/zack-snyder-watchmen/"} +{"d:Title": "PopMatters: Who Will Watch the Watchmen?", "d:Description": "Warner Brothers has tapped 300 director Zack Snyder to helm Watchmen\u2019s long-delayed and ill-advised Hollywood adaptation, and every fan of the 20-year-old comic book is asking himself whether a character like Rorschach can possibly find his way to the big screen intact. By Monte Williams.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.popmatters.com/columns/article/41478/who-will-watch-the-watchmen/"} +{"d:Title": "Zack Snyder Talks Watchmen", "d:Description": "The director announced the cast of the highly anticipated epic before 6,500 fans during a presentation at this year's Comic-Con International convention in San Diego, California. Includes photos. By Edward Douglas.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.superherohype.com/features/94489-zack-snyder-talks-watchmen-3"} +{"d:Title": "MTV: New 'Watchmen' Footage Revealed At Comic-Con", "d:Description": "The cast, director and illustrator of \"Watchmen\" revealed new footage of the upcoming movie at San Diego Comic-Con. By Larry Carroll.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.mtv.com/news/1591621/watchmen-scenes-of-war-murder-and-transformation-draws-thunderous-response-at-comic-con/"} +{"d:Title": "Wired Blogs: The Underwire - Watching Watchmen", "d:Description": "While Snyder is a sweet dude, he's still a new jack in Hollywood. Should anyone be handing him the keys to graphic novel canon so soon? By Scott Thill.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.wired.com/2007/03/watching_watchm/"} +{"d:Title": "The Underwire: Time's A-Tickin' for Zack Snyder and Watchmen", "d:Description": "Will the \"unfilmable\" Watchmen legacy continue? Warner Bros. is balking. By Nancy Miller.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.wired.com/2007/05/times_atickin_f/"} +{"d:Title": "Exclusive Zack and Debbie Snyder Watchmen Video Interview at the Saturn Awards", "d:Description": "Includes video clips, photos and interview synopsis.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://collider.com/movie/article.asp/aid/8320/tcid/1"} +{"d:Title": "Time.com: Watching Watchmen at Comic-Con", "d:Description": "Die-hard fans get sneak peeks at the upcoming film of Alan Moore's classic graphic novel. So far, they appear to approve. By Rebecca Winters-Keegan.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://content.time.com/time/arts/article/0,8599,1826868,00.html"} +{"d:Title": "ComingSoon.net: Wilson One Step Closer to Nite Owl?", "d:Description": "Max Evry recently talked with actor Patrick Wilson about his upcoming drama Evening and decided to try to put to rest the rampant rumors that he's in talks to play Nite Owl in Zack Snyder's adaptation of Alan Moore's Watchmen.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.comingsoon.net/movies/news/21104-excl-wilson-one-step-closer-to-nite-owl"} +{"d:Title": "Bates to Score Watchmen and Earth Stood Still", "d:Description": "Tyler Bates, score composer for movies and television including director Zack Snyder's blockbuster hits 300 and Dawn of the Dead, has signed on with Snyder again for Watchmen.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.comingsoon.net/movies/news/41149-bates-to-score-watchmen-and-earth-stood-still"} +{"d:Title": "FilmoFilia: \u201cWatchmen\u201d Behind The Scenes Clip", "d:Description": "The official \"Watchmen\" trailer of the Alan Moore and Dave Gibbons' comic books adaptation movie hasn't been released yet. But a great new behind-the-scene clip is online.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.filmofilia.com/watchmen-behind-the-scenes-clip-3844/"} +{"d:Title": "Splash Page: Gerard Butler Sets Sail For Small Role In \u2018Watchmen\u2019", "d:Description": "Butler will star as the castaway obsessed with an image of a ghost pirate ship, who deteriorates mentally and physically while trying to make it back home. Includes video clip. By Shawn Adler.", "topic": "Top/Arts/Movies/Titles/W/Watchmen", "url": "http://www.mtv.com/news/2592596/gerard-butler-sets-sail-for-small-role-in-watchmen/"} +{"d:Title": "IMDb: Watchtower (2001)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, and taglines.", "topic": "Top/Arts/Movies/Titles/W/Watchtower,_The", "url": "http://imdb.com/title/tt0268755/combined"} +{"d:Title": "TV Guide Online: Water", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Water", "url": "http://www.tvguide.com/movies/water/122422/"} +{"d:Title": "IMDb: Water", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Water", "url": "http://www.imdb.com/title/tt0240200/"} +{"d:Title": "Demention's Waterboy page", "d:Description": "Fan site with quotes and photos from the movie.", "topic": "Top/Arts/Movies/Titles/W/Waterboy,_The", "url": "http://www.angelfire.com/oh3/demention/water.html"} +{"d:Title": "All-Reviews.com: Waterboy", "d:Description": "Review by Susan Granger plus links to additional reviews.", "topic": "Top/Arts/Movies/Titles/W/Waterboy,_The", "url": "http://www.all-reviews.com/videos/waterboy.htm"} +{"d:Title": "IMDb: Waterboy, The (1998)", "d:Description": "Cast and other credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Waterboy,_The", "url": "http://www.imdb.com/title/tt0120484/"} +{"d:Title": "IMDb: Waterloo (1970)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Waterloo", "url": "http://www.imdb.com/title/tt0066549/"} +{"d:Title": "Allreaders Waterloo Spotlight", "d:Description": "Detailed analysis of the film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/W/Waterloo", "url": "http://allreaders.com/movie-review-summary/waterloo-4743"} +{"d:Title": "Chicago Reader: Waterloo Bridge", "d:Description": "Capsule review by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/W/Waterloo_Bridge_-_1931", "url": "http://onfilm.chicagoreader.com/movies/capsules/20831_WATERLOO_BRIDGE.html"} +{"d:Title": "IMDb: Waterloo Bridge (1931)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, brief review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/W/Waterloo_Bridge_-_1931", "url": "http://www.imdb.com/title/tt0022550/"} +{"d:Title": "AllReaders.com: Waterloo Bridge", "d:Description": "Very brief review of the Vivien Leigh film, by Erik Stahlberg.", "topic": "Top/Arts/Movies/Titles/W/Waterloo_Bridge_-_1940", "url": "http://allreaders.com/movie-review-summary/waterloo-bridge-4005"} +{"d:Title": "IMDb: Waterloo Bridge (1940)", "d:Description": "Cast and credits, plot summary, viewer comments and rating, Oscar nominations, brief review by Leonard Maltin.", "topic": "Top/Arts/Movies/Titles/W/Waterloo_Bridge_-_1940", "url": "http://www.imdb.com/title/tt0033238/"} +{"d:Title": "All Movie Guide: Waterloo Bridge", "d:Description": "Plot synopsis of this remake, cast and credits. Rated 4/5.", "topic": "Top/Arts/Movies/Titles/W/Waterloo_Bridge_-_1940", "url": "http://www.allmovie.com/movie/waterloo-bridge-v53583"} +{"d:Title": "IMDb: Watermelon Woman", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Watermelon_Woman,_The", "url": "http://www.imdb.com/title/tt0118125/"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/W/Watermelon_Woman,_The", "url": "http://www.tvguide.com/movies/the-watermelon-woman/132192/"} +{"d:Title": "IMDb -- Watership Down", "d:Description": "Complete credits, user reviews, links, and other details about the film.", "topic": "Top/Arts/Movies/Titles/W/Watership_Down", "url": "http://www.imdb.com/title/tt0078480/"} +{"d:Title": "MovieWeb: Waterworld", "d:Description": "Synopsis, credits and still photos.", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://movieweb.com/movie/waterworld/"} +{"d:Title": "Real-Life Waterworld", "d:Description": "Hollywood needn't have spent hundreds of millions creating Waterworld; it exists in the Sulu Sea, where people live their whole lives without ever setting foot on land", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://www.gluckman.com/Waterworld.html"} +{"d:Title": "Film Tracks: Waterworld", "d:Description": "Review of the James Newton Howard score, plus audio clips, track listings and pictures.", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://www.filmtracks.com/titles/waterworld.html"} +{"d:Title": "Films on Disc: Waterworld", "d:Description": "Review of the laser disc version of the film, by Stuart Kobak.", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://www.filmsondisc.com/LaserReview/Waterworld.htm"} +{"d:Title": "All-Reviews.com: Waterworld", "d:Description": "Review by Steve Rhodes, plus a link to another review.", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://www.all-reviews.com/videos-2/waterworld.htm"} +{"d:Title": "The Way to Waterworld", "d:Description": "Fan site featuring image gallery, message board, and game information.", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://wwmovie.tripod.com/"} +{"d:Title": "TV Guide Online: Waterworld", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://www.tvguide.com/movies/waterworld/130738/"} +{"d:Title": "IMDb: Waterworld (1995)", "d:Description": "Credits and production information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Waterworld", "url": "http://www.imdb.com/title/tt0114898/"} +{"d:Title": "Reeling: waydowntown", "d:Description": "Review by Laura Clifford.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.reelingreviews.com/waydowntown.htm"} +{"d:Title": "Pittsburgh Post-Gazette: waydowntown", "d:Description": "Positive review by Barry Paris.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.post-gazette.com/movies/20020510waydown0510p7.asp"} +{"d:Title": "Boston Phoenix: waydowntown", "d:Description": "Short, negative review by Peter Keough.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.bostonphoenix.com/boston/movies/trailers/documents/02216522.htm"} +{"d:Title": "Box Office Prophets: waydowntown", "d:Description": "Synopsis of the movie, and a review of its trailer.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?TMID=277"} +{"d:Title": "The air up there", "d:Description": "Review by Steve Simels of the quirky,"nicely hallucinatory" film"waydowntown." Cast and credits. Rated 3/5.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.tvguide.com/movies/waydowntown/135197/"} +{"d:Title": "IMDb: Waydowntown (2000)", "d:Description": "Plot outline, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.imdb.com/title/tt0219405/"} +{"d:Title": "A very clever Waydowntown", "d:Description": "Positive review of the Canadian film \"waydowntown,\" by Bruce Kirkland, in the Toronto Sun.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://jam.canoe.com/Movies/Reviews/W/Waydowntown/"} +{"d:Title": "Slant Magazine: waydowntown", "d:Description": "Review by Ed Gonzalez. Rates it 4/4.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.slantmagazine.com/film/review/waydowntown"} +{"d:Title": "Legends of the Mall", "d:Description": "Actor Don McKellar interviews director Gary Burns about the movie and its inspiration.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.villagevoice.com/news/legends-of-the-mall-6414470"} +{"d:Title": "Struggling to Find Fresh Air Inside the Hive Incorporated", "d:Description": "Full review of the satirical film \"waydowntown.\" By Stephen Holden, in the New York Times. Requires free registration.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.nytimes.com/2002/01/25/movies/film-review-struggling-to-find-fresh-air-inside-the-hive-incorporated.html"} +{"d:Title": "Mall is beautiful", "d:Description": "Positive review of the dark satire \"waydowntown.\" By Joshua Tanzer.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.offoffoff.com/film/2002/waydowntown.php"} +{"d:Title": "Spirituality&Practice: Waydowntown", "d:Description": "Positive review of the urban psychodrama.", "topic": "Top/Arts/Movies/Titles/W/Waydowntown", "url": "http://www.spiritualityandpractice.com/films/reviews/view/3808"} +{"d:Title": "Crazy for Cinema: Wayne's World", "d:Description": "Cast list and review of film.", "topic": "Top/Arts/Movies/Titles/W/Wayne's_World_Series/Wayne's_World", "url": "http://www.crazy4cinema.com/Review/FilmsW/f_waynes.html"} +{"d:Title": "TV Guide Online: Wayne's World", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Wayne's_World_Series/Wayne's_World", "url": "http://www.tvguide.com/movies/waynes-world/129250/"} +{"d:Title": "IMDb: Wayne's World", "d:Description": "Cast, credits and links.", "topic": "Top/Arts/Movies/Titles/W/Wayne's_World_Series/Wayne's_World", "url": "http://www.imdb.com/title/tt0105793/"} +{"d:Title": "Roger Ebert: Wayne's World", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Wayne's_World_Series/Wayne's_World", "url": "http://www.rogerebert.com/reviews/waynes-world-1992"} +{"d:Title": "AllReaders.com: Wayne's World", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Wayne's_World_Series/Wayne's_World", "url": "http://allreaders.com/movie-review-summary/waynes-world-3895"} +{"d:Title": "IMDb: Wayne's World 2", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wayne's_World_Series/Wayne's_World_2", "url": "http://imdb.com/title/tt0108525/"} +{"d:Title": "HARO Online: The Way Home", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/W/Way_Home,_The_-_2002", "url": "http://www.haro-online.com/movies/way_home.html"} +{"d:Title": "A City Boy's Rural Education", "d:Description": "A.O. Scott reviews the film [New York Times].", "topic": "Top/Arts/Movies/Titles/W/Way_Home,_The_-_2002", "url": "http://www.nytimes.com/2002/11/15/movies/15HOME.html"} +{"d:Title": "Internet Movie Database: Jibeuro (2002)", "d:Description": "Cast and crew list, promotional information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/W/Way_Home,_The_-_2002", "url": "http://imdb.com/title/tt0312841/"} +{"d:Title": "Rotten Tomatoes: The Way Home", "d:Description": "Film information, quotes from and links to reviews, pictures, and forum.", "topic": "Top/Arts/Movies/Titles/W/Way_Home,_The_-_2002", "url": "http://www.rottentomatoes.com/m/way_home/"} +{"d:Title": "AskMen.com: Way of the Gun", "d:Description": "Review by JoBlo.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://www.askmen.com/toys/movies/39_movie_reviews.html"} +{"d:Title": "HARO Online: Way of the Gun", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://www.haro-online.com/movies/way_of_the_gun.html"} +{"d:Title": "All-Reviews.com: The Way of the Gun", "d:Description": "Review by Steve Rhodes, plus links to additional reviews.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://www.all-reviews.com/videos/way-of-gun.htm"} +{"d:Title": "PopMatters: Way of the Gun", "d:Description": "Review of the film by Cynthia Fuchs, plus interviews with actors Ryan Phillippe and Christopher McQuarrie.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://popmatters.com/film/reviews/w/way-of-the-gun.html"} +{"d:Title": "h2so4.net: The Frontier, Now", "d:Description": "Lengthy essay by Jill Stauffer on the film as social commentary.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://h2so4.net/politics/frontiernow.html"} +{"d:Title": "IMDb: Way of the Gun", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://imdb.com/title/tt0202677/"} +{"d:Title": "Rotten Tomatoes: Way of the Gun", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://www.rottentomatoes.com/m/way_of_the_gun/"} +{"d:Title": "A Noir Story of Guns Always at the Ready", "d:Description": "Critique by Elvis Mitchell [New York Times].", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://www.nytimes.com/2000/09/08/movies/film-review-a-noir-story-of-guns-always-at-the-ready.html"} +{"d:Title": "Metacritic.com: Way of the Gun", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://www.metacritic.com/movie/the-way-of-the-gun"} +{"d:Title": "Way of the Gun Soundtrack", "d:Description": "A site dedicated to the movie Way of the Gun with lots of pics, wavs, and graphics of Ryan Phillippe.", "topic": "Top/Arts/Movies/Titles/W/Way_of_the_Gun,_The", "url": "http://wayofthegun10.tripod.com/wayofthegun.html"} +{"d:Title": "IMDb: Way We Laughed, The (1998)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Way_We_Laughed,_The", "url": "http://imdb.com/title/tt0139951/"} +{"d:Title": "Metacritic.com: Way We Laughed", "d:Description": "Links to mainstream critic reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Way_We_Laughed,_The", "url": "http://www.metacritic.com/movie/cosi-ridevano"} +{"d:Title": "IMDb: Way We Were, The (1973)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Way_We_Were,_The", "url": "http://imdb.com/title/tt0070903/"} +{"d:Title": "We're No Angels (1989)", "d:Description": "IMDb - Credits.", "topic": "Top/Arts/Movies/Titles/W/We're_No_Angels_-_1989", "url": "http://www.imdb.com/title/tt0098625/"} +{"d:Title": "Internet Movie Database: The Weather Man (2005)", "d:Description": "Cast and crew listings, user comments, synopses, reviews and pictures.", "topic": "Top/Arts/Movies/Titles/W/Weather_Man,_The", "url": "http://imdb.com/title/tt0384680/"} +{"d:Title": "IMDb: Weather Underground, The (2002)", "d:Description": "Cast, crew, review links, plot summary, comments, discussion, and taglines.", "topic": "Top/Arts/Movies/Titles/W/Weather_Underground,_The", "url": "http://imdb.com/title/tt0343168/combined"} +{"d:Title": "HARO Online: The Weather Underground", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/W/Weather_Underground,_The", "url": "http://www.haro-online.com/movies/weather_underground.html"} +{"d:Title": "IMDb: Wedding Crashers", "d:Description": "Contains synopsis, cast and crew, user comments, photo gallery, trivia, goofs, and quotes.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Crashers", "url": "http://www.imdb.com/title/tt0396269/"} +{"d:Title": "Wikipedia: Wedding Crashers", "d:Description": "Production, plot, cast, characters, release information, and links.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Crashers", "url": "http://en.wikipedia.org/wiki/Wedding_Crashers"} +{"d:Title": "Box Office Mojo: Wedding Crashers", "d:Description": "Features box office summary, articles, analysis and trailers.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Crashers", "url": "http://www.boxofficemojo.com/movies/?id=weddingcrashers.htm"} +{"d:Title": "Washington Post: \"'Wedding Crashers' Catches the Bouquet\"", "d:Description": "Stephen Hunter's mostly favorable.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Crashers", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2005/07/14/AR2005071402102.html"} +{"d:Title": "IMDb: The Pleasure of Your Company", "d:Description": "Plot summary, cast list, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Daze", "url": "http://www.imdb.com/title/tt0484877/"} +{"d:Title": "Rotten Tomatoes: Wedding Daze", "d:Description": "Synopsis, credits, trailers, links, and a forum.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Daze", "url": "http://www.rottentomatoes.com/m/pleasure_of_your_company/"} +{"d:Title": "MovieWeb: Wedding Daze (2007)", "d:Description": "Summary, reviews, videos, and photos.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Daze", "url": "http://www.movieweb.com/movie/wedding-daze"} +{"d:Title": "HARO Online: Wedding Planner", "d:Description": "Short review.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Planner,_The", "url": "http://www.haro-online.com/movies/wedding_planner.html"} +{"d:Title": "Metacritic.com: Wedding Planner", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Planner,_The", "url": "http://www.metacritic.com/movie/the-wedding-planner"} +{"d:Title": "Allreaders: Wedding Planner", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Planner,_The", "url": "http://allreaders.com/movie-review-summary/the-wedding-planner-5061"} +{"d:Title": "All-Reviews.com: Wedding Singer", "d:Description": "Review by Edward Johnson-Ott, plus links to additional reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Singer,_The", "url": "http://www.all-reviews.com/videos/wedding-singer.htm"} +{"d:Title": "Crazy for Cinema: Wedding Singer", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Singer,_The", "url": "http://crazy4cinema.com/Review/FilmsW/f_wedding_singer.html"} +{"d:Title": "IMDb: Wedding Singer, The (1998)", "d:Description": "Cast and other credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Singer,_The", "url": "http://www.imdb.com/title/tt0120888/"} +{"d:Title": "Allreaders: Wedding Singer", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Singer,_The", "url": "http://allreaders.com/movie-review-summary/the-wedding-singer-3673"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Wedding_Singer,_The", "url": "http://www.tvguide.com/movies/the-wedding-singer/132914/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/W/Weekend_at_Bernie's", "url": "http://www.fast-rewind.com/atbernies.htm"} +{"d:Title": "IMDb: Weekend at Bernie's", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Weekend_at_Bernie's", "url": "http://www.imdb.com/title/tt0098627/"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Weekend_Pass", "url": "http://www.tvguide.com/movies/weekend-pass/122537/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/W/Weekend_Pass", "url": "http://www.imdb.com/title/tt0088385/"} +{"d:Title": "HARO Online: The Weight of Water", "d:Description": "Mongoose reviews the film.", "topic": "Top/Arts/Movies/Titles/W/Weight_of_Water,_The", "url": "http://www.haro-online.com/movies/weight_of_water.html"} +{"d:Title": "All-Reviews.com: The Weight of Water", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Weight_of_Water,_The", "url": "http://www.all-reviews.com/videos-5/weight-of-water.htm"} +{"d:Title": "Internet Movie Database: Weight of Water, The (2000)", "d:Description": "Synopsis, cast and crew list, review, trivia, promotional information, and links.", "topic": "Top/Arts/Movies/Titles/W/Weight_of_Water,_The", "url": "http://www.imdb.com/title/tt0210382/"} +{"d:Title": "Fast-Rewind.com: Weird Science", "d:Description": "Synopsis, brief capsule review and trivia about the movie.", "topic": "Top/Arts/Movies/Titles/W/Weird_Science", "url": "http://www.fast-rewind.com/weirdscience.htm"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/W/Weird_Science", "url": "http://www.tvguide.com/movies/weird-science/122524/"} +{"d:Title": "IMDb: Weird Science", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Weird_Science", "url": "http://www.imdb.com/title/tt0090305/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose rates Welcome Back Mr. McDonald: Pretty Good.", "topic": "Top/Arts/Movies/Titles/W/Welcome_Back_Mr._McDonald", "url": "http://www.haro-online.com/movies/welcome_back.html"} +{"d:Title": "IMDb: Welcome Home Roscoe Jenkins (2008)", "d:Description": "Videos, photo gallery, plot outline, cast list, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/W/Welcome_Home_Roscoe_Jenkins", "url": "http://www.imdb.com/title/tt0494652/"} +{"d:Title": "Rotten Tomatoes: Welcome Home Roscoe Jenkins", "d:Description": "Synopsis, cast list, photos, review links, showtimes, and a forum.", "topic": "Top/Arts/Movies/Titles/W/Welcome_Home_Roscoe_Jenkins", "url": "http://www.rottentomatoes.com/m/welcome_home_roscoe_jenkins/"} +{"d:Title": "Moviefone: Welcome Home Roscoe Jenkins", "d:Description": "Showtimes, synopsis, cast, crew, trailers, and photos.", "topic": "Top/Arts/Movies/Titles/W/Welcome_Home_Roscoe_Jenkins", "url": "http://www.moviefone.com/movie/welcome-home-roscoe-jenkins/28511/main"} +{"d:Title": "IGN Movies: Welcome Home Roscoe Jenkins", "d:Description": "Photos, trailer, preview, and a message board.", "topic": "Top/Arts/Movies/Titles/W/Welcome_Home_Roscoe_Jenkins", "url": "http://www.ign.com/movies/welcome-home-roscoe-jenkins/theater-14212054"} +{"d:Title": "MovieWeb: Welcome Home Roscoe Jenkins (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/W/Welcome_Home_Roscoe_Jenkins", "url": "http://www.movieweb.com/movie/welcome-home-roscoe-jenkins"} +{"d:Title": "IMDb: Welcome to Alaska (1999)", "d:Description": "Cast list, production information and links.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Alaska", "url": "http://imdb.com/title/tt0187593/"} +{"d:Title": "All-Reviews.com: Welcome to Collinwood", "d:Description": "Reviews of the movie by Harvey Karten and Susan Granger.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Collinwood", "url": "http://all-reviews.com/videos-5/welcome-to-collinwood.htm"} +{"d:Title": "Internet Movie Database: Welcome to Collinwood", "d:Description": "Film information, cast and crew listing, reviews, related films, merchandising information, promotional materials, photos, and links.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Collinwood", "url": "http://imdb.com/title/tt0271259/"} +{"d:Title": "Chicago Sun-Times: Welcome to Collinwood", "d:Description": "Roger Ebert reviews the film.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Collinwood", "url": "http://www.rogerebert.com/reviews/welcome-to-collinwood-2002"} +{"d:Title": "Contactmusic.com: Welcome to Collinwood", "d:Description": "Production notes for the film.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Collinwood", "url": "http://www.contactmusic.com/pages/collinwoodx16x04x03"} +{"d:Title": "HARO Online: Welcome to Mooseport", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Mooseport", "url": "http://www.haro-online.com/movies/welcome_to_mooseport.html"} +{"d:Title": "IMDb: Welcome to Mooseport (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Mooseport", "url": "http://www.imdb.com/title/tt0361925/"} +{"d:Title": "Rotten Tomatoes: Welcome to Mooseport", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Mooseport", "url": "http://www.rottentomatoes.com/m/welcome_to_mooseport/"} +{"d:Title": "Chicago Sun-Times: Welcome to Mooseport", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Mooseport", "url": "http://www.rogerebert.com/reviews/welcome-to-mooseport-2004"} +{"d:Title": "All-Reviews.com - Welcome to Sarajevo", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Sarajevo", "url": "http://all-reviews.com/videos-4/welcome-to-sarajevo.htm"} +{"d:Title": "IMDb: Welcome to Sarajevo (1997)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Sarajevo", "url": "http://imdb.com/title/tt0120490/"} +{"d:Title": "Yahoo Groups: Dollhouse", "d:Description": "Mailing list for fans.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_the_Dollhouse", "url": "http://groups.yahoo.com/group/dollhouse/"} +{"d:Title": "All-Reviews.com - Welcome to the Dollhouse", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_the_Dollhouse", "url": "http://www.all-reviews.com/videos-3/welcome-to-dollhouse.htm"} +{"d:Title": "IMDb: Welcome to the Dollhouse (1995)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_the_Dollhouse", "url": "http://www.imdb.com/title/tt0114906/"} +{"d:Title": "IMDb: Welcome to Woop Woop (1997)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Welcome_to_Woop_Woop", "url": "http://imdb.com/title/tt0120491/"} +{"d:Title": "Official site: Wendigo", "d:Description": "Synopsis, multimedia, historical background, comic book, and links.", "topic": "Top/Arts/Movies/Titles/W/Wendigo", "url": "http://www.thewendigo.com/"} +{"d:Title": "IMDb: Wendigo", "d:Description": "Cast and crew information and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wendigo", "url": "http://www.imdb.com/title/tt0275067/"} +{"d:Title": "Metacritic.com: Wendigo", "d:Description": "Links to reviews.", "topic": "Top/Arts/Movies/Titles/W/Wendigo", "url": "http://www.metacritic.com/movie/wendigo"} +{"d:Title": "HARO Online - Went to Coney Island on a Mission from God . . . Be Back by Five", "d:Description": "Pseudonymously signed review (predominantly positive).", "topic": "Top/Arts/Movies/Titles/W/Went_to_Coney_Island_on_a_Mission_from_God..._Be_Back_by_Five", "url": "http://www.haro-online.com/movies/went_to_coney_island.html"} +{"d:Title": "New York Times - Lost Youth, Lost Friend, Lost Chance", "d:Description": "Review by A. O. Scott (predominantly negative). [Site requires free registration.]", "topic": "Top/Arts/Movies/Titles/W/Went_to_Coney_Island_on_a_Mission_from_God..._Be_Back_by_Five", "url": "http://www.nytimes.com/2000/09/08/arts/08CONE.html"} +{"d:Title": "Criminy Pete - Went to Coney Island on a Mission from God... Be Back by Five", "d:Description": "Pseudonymously signed review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/W/Went_to_Coney_Island_on_a_Mission_from_God..._Be_Back_by_Five", "url": "http://www.criminypete.com/coneyisland.html"} +{"d:Title": "IMDb - Went to Coney Island on a Mission From God... Be Back by Five (1998)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/W/Went_to_Coney_Island_on_a_Mission_from_God..._Be_Back_by_Five", "url": "http://www.imdb.com/title/tt0157182/"} +{"d:Title": "Ozus' World Movie Reviews - Went to Coney Island on a Mission from God... Be Back by Five (1998)", "d:Description": "Review by Dennis Schwartz (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/W/Went_to_Coney_Island_on_a_Mission_from_God..._Be_Back_by_Five", "url": "http://homepages.sover.net/~ozus/wenttoconeyisland.htm"} +{"d:Title": "Jam - Coney Island Delight", "d:Description": "Review by Liz Braun of the Toronto Sun (positive).", "topic": "Top/Arts/Movies/Titles/W/Went_to_Coney_Island_on_a_Mission_from_God..._Be_Back_by_Five", "url": "http://jam.canoe.com/Movies/Reviews/W/Went_To_Coney_Island_On_A_Mission/"} +{"d:Title": "TV Guide Online", "d:Description": "Review by Maitland McDonagh (mixed), rating.", "topic": "Top/Arts/Movies/Titles/W/Went_to_Coney_Island_on_a_Mission_from_God..._Be_Back_by_Five", "url": "http://www.tvguide.com/movies/went-to-coney-island-on-a-mission-from-god-be-back-by-five/134650/"} +{"d:Title": "IMDb: WereWolf of London (1935)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Werewolf_of_London", "url": "http://imdb.com/title/tt0027194/"} +{"d:Title": "All-Reviews.com - Westworld", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Westworld", "url": "http://www.all-reviews.com/videos-3/westworld.htm"} +{"d:Title": "IMDb: West New York (1996)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/West_New_York", "url": "http://imdb.com/title/tt0117289/"} +{"d:Title": "TV Guide Online: West New York", "d:Description": "Review, cast, and credits.", "topic": "Top/Arts/Movies/Titles/W/West_New_York", "url": "http://www.tvguide.com/movies/west-new-york/133474/"} +{"d:Title": "Greatest Films: West Side Story (1961)", "d:Description": "Detailed review, synopsis and discussion of the film.", "topic": "Top/Arts/Movies/Titles/W/West_Side_Story", "url": "http://www.filmsite.org/wests.html"} +{"d:Title": "All-Reviews.com: West Side Story", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/W/West_Side_Story", "url": "http://www.all-reviews.com/videos-4/west-side-story.htm"} +{"d:Title": "IMDb: West Side Story", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/West_Side_Story", "url": "http://imdb.com/title/tt0055614/"} +{"d:Title": "Allreaders: West Side Story", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/West_Side_Story", "url": "http://allreaders.com/movie-review-summary/west-side-story-4824"} +{"d:Title": "TV Guide Online: West Side Story", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/West_Side_Story", "url": "http://www.tvguide.com/movies/west-side-story/122611/"} +{"d:Title": "IMDb: Wetherby", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wetherby", "url": "http://www.imdb.com/title/tt0090310/"} +{"d:Title": "Chicago Sun-Times - Wetherby", "d:Description": "Synopsis and review.", "topic": "Top/Arts/Movies/Titles/W/Wetherby", "url": "http://www.rogerebert.com/reviews/wetherby-1985"} +{"d:Title": "IMDb: Wet Hot American Summer", "d:Description": "Cast and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wet_Hot_American_Summer", "url": "http://imdb.com/title/tt0243655/"} +{"d:Title": "Metacritic.com: Wet Hot American Summer", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Wet_Hot_American_Summer", "url": "http://www.metacritic.com/movie/wet-hot-american-summer"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/W/We_Are_Marshall", "url": "http://imdb.com/title/tt0758794/"} +{"d:Title": "Official web site.", "d:Description": "Images, clips, cast and crew information.", "topic": "Top/Arts/Movies/Titles/W/We_Are_Marshall", "url": "http://wearemarshalldvd.warnerbros.com/"} +{"d:Title": "Apple.com", "d:Description": "Trailers for the film.", "topic": "Top/Arts/Movies/Titles/W/We_Are_Marshall", "url": "http://trailers.apple.com/trailers/wb/wearemarshall/"} +{"d:Title": "IMDb: We Don't Live Here Anymore (2004)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers, posters and photographs.", "topic": "Top/Arts/Movies/Titles/W/We_Don't_Live_Here_Anymore", "url": "http://www.imdb.com/title/tt0361309/"} +{"d:Title": "Rotten Tomatoes: We Don't Live Here Anymore", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/We_Don't_Live_Here_Anymore", "url": "http://www.rottentomatoes.com/m/we_dont_live_here_anymore/"} +{"d:Title": "HARO Online: We Don't Live Here Anymore", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/We_Don't_Live_Here_Anymore", "url": "http://www.haro-online.com/movies/we_dont_live_here.html"} +{"d:Title": "PopEntertainment.com: Adultery Education", "d:Description": "Jay S. Jacobs discusses the film with director John Curran and actors Mark Ruffalo, Peter Krause and Laura Dern.", "topic": "Top/Arts/Movies/Titles/W/We_Don't_Live_Here_Anymore", "url": "http://www.popentertainment.com/ruffalokrausedern.htm"} +{"d:Title": "Black Film: We Don't Live Here Anymore", "d:Description": "Reviewer Julian Roman calls the film \"an intense, personal drama.\"", "topic": "Top/Arts/Movies/Titles/W/We_Don't_Live_Here_Anymore", "url": "http://www.blackfilm.com/20040903/reviews/wedontlivehereanymore.shtml"} +{"d:Title": "Chicago Sun-Times: We Don't Live Here Anymore", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/We_Don't_Live_Here_Anymore", "url": "http://www.rogerebert.com/reviews/we-dont-live-here-anymore-2004"} +{"d:Title": "IMDb: We Know Where You Live", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/We_Know_Where_You_Live", "url": "http://imdb.com/title/tt0289615/"} +{"d:Title": "IMDb: We Served with Pride (1999)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/W/We_Served_with_Pride", "url": "http://www.imdb.com/title/tt0243019/"} +{"d:Title": "IMDb: We the Living (Noi Vivi) (1942)", "d:Description": "Plot summary, cast and crew information, and user ratings.", "topic": "Top/Arts/Movies/Titles/W/We_the_Living_-_1942", "url": "http://www.imdb.com/title/tt0035130/"} +{"d:Title": "All-Reviews.com: We Were Soldiers", "d:Description": "Review by Steve Rhodes, plus links to other reviews.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "http://www.all-reviews.com/videos-4/we-were-soldiers.htm"} +{"d:Title": "Plume-Noire.com: We Were Soldiers", "d:Description": "Review of the film, written by Fred Thorn.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "http://www.plume-noire.com/movies/reviews/weweresoldiers.html"} +{"d:Title": "HARO Online: We Were Soldiers", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "http://www.haro-online.com/movies/we_were_soldiers.html"} +{"d:Title": "About..com: We Were Soldiers", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "http://homevideo.about.com/library/weekly/aafpr082802.htm"} +{"d:Title": "Rotten Tomatoes: We Were Soldiers", "d:Description": "Links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "http://www.rottentomatoes.com/m/we_were_soldiers/"} +{"d:Title": "IMDb: We Were Soldiers", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "http://www.imdb.com/title/tt0277434/"} +{"d:Title": "Metacritic.com: We Were Soldiers", "d:Description": "Links to various critics' reviews.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "http://www.metacritic.com/movie/we-were-soldiers"} +{"d:Title": "Awesome Stories: We Were Soldiers", "d:Description": "Provides the story behind the movie, including links to pictures of the real people and battle scenes.", "topic": "Top/Arts/Movies/Titles/W/We_Were_Soldiers", "url": "https://www.awesomestories.com/asset/view/We-Were-Soldiers"} +{"d:Title": "Big Movie Zone: Whales", "d:Description": "Film information, video clips, articles, reviews and worldwide theater listings.", "topic": "Top/Arts/Movies/Titles/W/Whales", "url": "http://www.bigmoviezone.com/filmsearch/movies/index.html?uniq=39"} +{"d:Title": "IMDb: Whale Rider (2002)", "d:Description": "Provides pictures, reviews, cast, and crew information.", "topic": "Top/Arts/Movies/Titles/W/Whale_Rider", "url": "http://www.imdb.com/title/tt0298228/"} +{"d:Title": "National Geographic: Whale Rider and the Fight for Indigenous Films", "d:Description": "Article explores the challenges native filmmakers face to have their voices heard.", "topic": "Top/Arts/Movies/Titles/W/Whale_Rider", "url": "http://news.nationalgeographic.com/news/2003/11/1118_031118_allroads.html#main"} +{"d:Title": "World Film: Digital Whales and Female Empowerment", "d:Description": "A negative review of Niki Caro's \"Whale Rider\" starring Keisha Castle-Hughes.", "topic": "Top/Arts/Movies/Titles/W/Whale_Rider", "url": "http://worldfilm.about.com/cs/australianfilms/fr/whalerider.htm"} +{"d:Title": "HARO Online: Whale Rider", "d:Description": "Pictures and a review of the New Zealand film about the myths of the Maori and how it affects a young girl.", "topic": "Top/Arts/Movies/Titles/W/Whale_Rider", "url": "http://www.haro-online.com/movies/whale_rider.html"} +{"d:Title": "Rotten Tomatoes: Whale Rider (2003)", "d:Description": "Provides reviews, trailer, photographs, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/W/Whale_Rider", "url": "http://www.rottentomatoes.com/m/whale_rider/"} +{"d:Title": "HARO Online: What's Cooking", "d:Description": "Review with photographs.", "topic": "Top/Arts/Movies/Titles/W/What's_Cooking", "url": "http://www.haro-online.com/movies/whats_cooking.html"} +{"d:Title": "All-Reviews.com: What's Cooking", "d:Description": "Review of the film by Susan Granger, with links to additional reviews.", "topic": "Top/Arts/Movies/Titles/W/What's_Cooking", "url": "http://www.all-reviews.com/videos-2/whats-cooking.htm"} +{"d:Title": "PopMatters: What's Cooking?", "d:Description": "Review of the film by Stephen Tropiano.", "topic": "Top/Arts/Movies/Titles/W/What's_Cooking", "url": "http://popmatters.com/film/reviews/w/whats-cooking.html"} +{"d:Title": "Rotten Tomatoes: What's Cooking? (2000)", "d:Description": "Links to critics' reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/What's_Cooking", "url": "http://www.rottentomatoes.com/m/whats_cooking/"} +{"d:Title": "Metacritic.com: What's Cooking", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/What's_Cooking", "url": "http://www.metacritic.com/movie/whats-cooking"} +{"d:Title": "IMDb: What's Eating Gilbert Grape (1993)", "d:Description": "Cast, crew, reviews, links, plot summary, comments, discussion, and taglines.", "topic": "Top/Arts/Movies/Titles/W/What's_Eating_Gilbert_Grape", "url": "http://imdb.com/title/tt0108550/combined"} +{"d:Title": "IMDb: What's the Matter with Helen? (1971)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, and taglines.", "topic": "Top/Arts/Movies/Titles/W/What's_the_Matter_With_Helen", "url": "http://imdb.com/title/tt0067975/combined"} +{"d:Title": "All-Reviews.com: What's the Worst That Could Happen", "d:Description": "Review by Steve Rhodes plus a link to another review.", "topic": "Top/Arts/Movies/Titles/W/What's_the_Worst_That_Could_Happen", "url": "http://www.all-reviews.com/videos-3/what-worst-happen.htm"} +{"d:Title": "Official web site", "d:Description": "Video clips, DVD information, synopsis, photographs, interviews, production notes, and downloads.", "topic": "Top/Arts/Movies/Titles/W/What's_the_Worst_That_Could_Happen", "url": "http://www.mgm.com/title_title.php?title_star=WHATSWOR"} +{"d:Title": "Metacritic.com: What's the Worst That Could Happen", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/What's_the_Worst_That_Could_Happen", "url": "http://www.metacritic.com/movie/whats-the-worst-that-could-happen"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Whatever", "url": "http://www.tvguide.com/movies/whatever/133272/"} +{"d:Title": "IMDb: Whatever It Takes (1999)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Whatever_It_Takes_-_1999", "url": "http://imdb.com/title/tt0161084/"} +{"d:Title": "IMDb: Whatever it Takes", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Whatever_It_Takes_-_2000", "url": "http://imdb.com/title/tt0202402/"} +{"d:Title": "Rotten Tomatoes: Whatever It Takes", "d:Description": "Links to reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Whatever_It_Takes_-_2000", "url": "http://www.rottentomatoes.com/m/1095414-whatever_it_takes/"} +{"d:Title": "Metacritic.com: Whatever it Takes", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Whatever_It_Takes_-_2000", "url": "http://www.metacritic.com/movie/whatever-it-takes"} +{"d:Title": "TV Guide Online: Whatever It Takes", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Whatever_It_Takes_-_2000", "url": "http://www.tvguide.com/movies/takes/134416/"} +{"d:Title": "HARO Online: What Alice Found", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/What_Alice_Found", "url": "http://www.haro-online.com/movies/what_alice_found.html"} +{"d:Title": "VariaGallery's Movie Reviews: What Alice Found", "d:Description": "Review by the Filmiliar Cineaste.", "topic": "Top/Arts/Movies/Titles/W/What_Alice_Found", "url": "http://www.variagate.com/alicefnd.htm"} +{"d:Title": "IMDb: What Alice Found (2003)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/What_Alice_Found", "url": "http://www.imdb.com/title/tt0343172/"} +{"d:Title": "Rotten Tomatoes: What Alice Found", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/What_Alice_Found", "url": "http://www.rottentomatoes.com/m/what_alice_found/"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"What a Girl Wants.\" Includes two photos and links to related movies.", "topic": "Top/Arts/Movies/Titles/W/What_a_Girl_Wants", "url": "http://www.haro-online.com/movies/what_a_girl_wants.html"} +{"d:Title": "Decent Films: What a Girl Wants", "d:Description": "Rated in several key areas with an overal C+ (A to F scale). Review by Steven D. Greydanus.", "topic": "Top/Arts/Movies/Titles/W/What_a_Girl_Wants", "url": "http://decentfilms.com/reviews/whatagirlwants"} +{"d:Title": "IMDb: What a Girl Wants (2003)", "d:Description": "Cast and crew, plot summary, trailer, discussion, and related links.", "topic": "Top/Arts/Movies/Titles/W/What_a_Girl_Wants", "url": "http://www.imdb.com/title/tt0286788/"} +{"d:Title": "British Film Locations: What A Girl Wants", "d:Description": "Details about the movie's filming locations with maps, photographs, and addresses.", "topic": "Top/Arts/Movies/Titles/W/What_a_Girl_Wants", "url": "http://www.british-film-locations.com/What-a-Girl-Wants-2003"} +{"d:Title": "What a Girl Wants", "d:Description": "Roger Ebert gives two stars. [Chicago Sun-Times Online]", "topic": "Top/Arts/Movies/Titles/W/What_a_Girl_Wants", "url": "http://www.rogerebert.com/reviews/what-a-girl-wants-2003"} +{"d:Title": "Rotten Tomatoes: What Becomes of the Broken Hearted?", "d:Description": "Several reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/What_Becomes_of_the_Broken_Hearted", "url": "http://www.rottentomatoes.com/m/what_becomes_of_the_broken_hearted/"} +{"d:Title": "IMDb: What Becomes of the Broken Hearted?", "d:Description": "Synopsis, full cast and crew, quotations, reviews, ratings, awards, marketing details, photographs, and message board.", "topic": "Top/Arts/Movies/Titles/W/What_Becomes_of_the_Broken_Hearted", "url": "http://www.imdb.com/title/tt0197094/"} +{"d:Title": "Flixster: What Becomes of the Broken Hearted?", "d:Description": "Partial credits, similar films, and user reviews.", "topic": "Top/Arts/Movies/Titles/W/What_Becomes_of_the_Broken_Hearted", "url": "http://www.flixster.com/movie/what-becomes-of-the-broken-hearted"} +{"d:Title": "Wikipedia: What Becomes of the Broken Hearted?", "d:Description": "Film overview, plot synopsis, cast and characters, and awards.", "topic": "Top/Arts/Movies/Titles/W/What_Becomes_of_the_Broken_Hearted", "url": "http://en.wikipedia.org/wiki/What_Becomes_of_the_Broken_Hearted%3F"} +{"d:Title": "What Becomes of the Broken Hearted (1999)", "d:Description": "Detailed synopsis and a review of the Region 4 DVD by Tony Rogers.", "topic": "Top/Arts/Movies/Titles/W/What_Becomes_of_the_Broken_Hearted", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ReviewID=518"} +{"d:Title": "AllMovie: What Becomes of the Broken Hearted?", "d:Description": "Synopsis, credits, and list of similar works.", "topic": "Top/Arts/Movies/Titles/W/What_Becomes_of_the_Broken_Hearted", "url": "http://www.allmovie.com/movie/what-becomes-of-the-broken-hearted-v180149"} +{"d:Title": "HARO Online: What Boys Like", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/What_Boys_Like", "url": "http://www.haro-online.com/movies/what_boys_like.html"} +{"d:Title": "IMDb: What Boys Like (The Groomsmen) (2001)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/What_Boys_Like", "url": "http://www.imdb.com/title/tt0285156/"} +{"d:Title": "Rotten Tomatoes: What Boys Like", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/What_Boys_Like", "url": "http://www.rottentomatoes.com/m/what_boys_like/"} +{"d:Title": "IMDb: What Dreams May Come (1998)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/What_Dreams_May_Come", "url": "http://imdb.com/title/tt0120889/"} +{"d:Title": "Rotten Tomatoes: What Happens in Vegas", "d:Description": "Synopsis, showtimes, photos, videos, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/W/What_Happens_in_Vegas", "url": "http://www.rottentomatoes.com/m/10008760-what_happens_in_vegas/"} +{"d:Title": "IGN Movies: What Happens in Vegas", "d:Description": "News, photos, videos, message board, and a review (rating: 3 out of 5).", "topic": "Top/Arts/Movies/Titles/W/What_Happens_in_Vegas", "url": "http://www.ign.com/movies/what-happens-in-vegas/theater-14216718"} +{"d:Title": "USA Today: What Happens in Vegas", "d:Description": "Review, by Claudia Puig: \"It's a story that feels familiar at best, hackneyed at worst, which is surprising and disappointing, as director Tom Vaughan also made last year's 'Starter for 10,' a charming British coming-of-age comedy.\" [Rating: 2 out of 4]", "topic": "Top/Arts/Movies/Titles/W/What_Happens_in_Vegas", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-05-08-what-happens-in-vegas_N.htm"} +{"d:Title": "MovieWeb: What Happens in Vegas (2008)", "d:Description": "Summary, reviews, synopsis, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/W/What_Happens_in_Vegas", "url": "http://www.movieweb.com/movie/what-happens-in-vegas"} +{"d:Title": "ReelViews: What Happens in Vegas", "d:Description": "Review, by James Berardinelli: \"In the romantic comedy genre, What Happens in Vegas is forgettable. Years from now, no one will remember it and mentions of the title will draw blank stares.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/W/What_Happens_in_Vegas", "url": "http://www.reelviews.net/reelviews/what-happens-in-vegas"} +{"d:Title": "All-Reviews.com: What Lies Beneath", "d:Description": "Review by Edward Johnson-Ott, plus links to additional reviews.", "topic": "Top/Arts/Movies/Titles/W/What_Lies_Beneath", "url": "http://www.all-reviews.com/videos/what-lies-beneath.htm"} +{"d:Title": "PopMatters: What Lies Beneath", "d:Description": "Review of the film, by Renee Scolaro Rathke.", "topic": "Top/Arts/Movies/Titles/W/What_Lies_Beneath", "url": "http://popmatters.com/film/reviews/w/what-lies-beneath.html"} +{"d:Title": "HARO Online: What Lies Beneath", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/What_Lies_Beneath", "url": "http://www.haro-online.com/movies/what_lies_beneath.html"} +{"d:Title": "Rotten Tomatoes: What Lies Beneath (2000)", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/W/What_Lies_Beneath", "url": "http://www.rottentomatoes.com/m/what_lies_beneath/"} +{"d:Title": "IMDb: What Lies Beneath", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/What_Lies_Beneath", "url": "http://www.imdb.com/title/tt0161081/"} +{"d:Title": "Metacritic.com: What Lies Beneath", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/What_Lies_Beneath", "url": "http://www.metacritic.com/movie/what-lies-beneath"} +{"d:Title": "Allreaders.com: What Lies Beneath", "d:Description": "Check-list-style 'review' of the movie.", "topic": "Top/Arts/Movies/Titles/W/What_Lies_Beneath", "url": "http://allreaders.com/movie-review-summary/what-lies-beneath-4383"} +{"d:Title": "PopMatters - What Planet Are You From", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/What_Planet_Are_You_From", "url": "http://popmatters.com/film/reviews/w/what-planet-are-you-from.html"} +{"d:Title": "All-Reviews.com- What Planet Are You From", "d:Description": "Review by Susan Granger.", "topic": "Top/Arts/Movies/Titles/W/What_Planet_Are_You_From", "url": "http://www.all-reviews.com/videos/what-planet.htm"} +{"d:Title": "IMDb: What Planet Are You From", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/What_Planet_Are_You_From", "url": "http://imdb.com/title/tt0181151/"} +{"d:Title": "Rotten Tomatoes: What Planet Are You From?", "d:Description": "Reviews, production notes, media predictions, box office, trailers, interviews, photographs, and news.", "topic": "Top/Arts/Movies/Titles/W/What_Planet_Are_You_From", "url": "http://www.rottentomatoes.com/m/1095351-1095351-what_planet_are_you_from/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/What_Planet_Are_You_From", "url": "http://www.metacritic.com/movie/what-planet-are-you-from"} +{"d:Title": "Wikipedia: What the Bleep Do We Know!?", "d:Description": "Encyclopedia with synopsis and information about production, promotion, reception, book adaptation, and featured individuals.", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://en.wikipedia.org/wiki/What_the_Bleep_Do_We_Know!?"} +{"d:Title": "What the (Bleep) Were They Thinking?", "d:Description": "\"The film is a propaganda piece for a cult.\" [Skeptico]", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://skeptico.blogs.com/skeptico/2005/04/what_the_bleep_.html"} +{"d:Title": "IMDb - What the #$*! Do We (K)now!? (2004)", "d:Description": "Cast/crew list and general film information.", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.imdb.com/title/tt0399877/"} +{"d:Title": "What the Bleep Do We Know!?&What the Bleep!? - Down the Rabbit Hole", "d:Description": "Official site for the film and its extended cuts.", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.whatthebleep.com/"} +{"d:Title": "Quantum Quackery", "d:Description": "\"A surprise-hit film has renewed interest in applying quantum mechanics to consciousness, spirituality and human potential.\" By Michael Shermer. [Scientific American]", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.michaelshermer.com/2005/01/quantum-quackery/"} +{"d:Title": "Dance, Ramtha, Dance!", "d:Description": "\"'What the Bleep' is longer and loonier than ever before.\" By Annie Wagner. [The Stranger]", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.thestranger.com/seattle/Content?oid=29460"} +{"d:Title": "What the Bleep Does Ramtha Know?", "d:Description": "\"I'm just saying see the movie for what it really is: An expensively produced mishmosh of feel-good self-help babble, science, antiscience and outright untruth.\" By Jennifer Saylor.", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://jennifersaylor.wordpress.com/2006/08/21/debunking-ramtha-and-what-the-bleep-do-we-know/"} +{"d:Title": "Drew's Script-O-Rama Dialogue Transcript", "d:Description": "Dialogue transcript transcribed from screenplay and movie viewings.", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.script-o-rama.com/movie_scripts/w/what-the-bleep-do-we-know-script.html"} +{"d:Title": "What the Bleep Are They On About?", "d:Description": "\"Bernie Hobbs checks out the science behind the film's claims.\" [Australian Broadcasting Corporation]", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.abc.net.au/science/articles/2005/06/30/2839498.htm"} +{"d:Title": "Roger Ebert Review", "d:Description": "Rated 2.5/4. \"It's more like a collision in the editing room between talking heads, an impenetrable human parable and a hallucinogenic animated cartoon.\"", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.rogerebert.com/reviews/what-the--do-we-know-2004"} +{"d:Title": "\"Bleep\" of Faith", "d:Description": "\"An indie film gets buzz and a big rollout. But 'What the Bleep Do We Know!?' uses questionable on-screen experts -- and appears to be an infomercial for a controversial New Age sect.\" By John Gorenfeld. [Salon]", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.salon.com/2004/09/16/bleep_2/"} +{"d:Title": "Far Out, Man. But Is It Quantum Physics?", "d:Description": "\"These films and the quantum mysticism industry behind them raise a disturbing question about the muddled intersection between science and culture. Do we have to indulge in bad physics to feel good?\" By Dennis Overbye. [New York Times] [Registration required]", "topic": "Top/Arts/Movies/Titles/W/What_the_Bleep_Do_We_Know", "url": "http://www.nytimes.com/2006/03/14/science/far-out-man-but-is-it-quantum-physics.html"} +{"d:Title": "HARO Online: What Time is it There?", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/W/What_Time_Is_It_There", "url": "http://www.haro-online.com/movies/what_time_is_it_there.html"} +{"d:Title": "IMDb: Ni neibian jidian (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/What_Time_Is_It_There", "url": "http://www.imdb.com/title/tt0269746/"} +{"d:Title": "Metacritic.com: What time is it there?", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/What_Time_Is_It_There", "url": "http://www.metacritic.com/movie/what-time-is-it-over-there"} +{"d:Title": "All-Reviews.com: What Women Want", "d:Description": "Review by Susan Granger plus links to additional reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/What_Women_Want", "url": "http://www.all-reviews.com/videos-2/what-women-want.htm"} +{"d:Title": "PopMatters: What Women Want", "d:Description": "Review of the film by America Billy.", "topic": "Top/Arts/Movies/Titles/W/What_Women_Want", "url": "http://popmatters.com/film/reviews/w/what-women-want.html"} +{"d:Title": "IMDb: What Women Want", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/What_Women_Want", "url": "http://imdb.com/title/tt0207201/"} +{"d:Title": "Rotten Tomatoes: What Women Want (2000)", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/W/What_Women_Want", "url": "http://www.rottentomatoes.com/m/what_women_want/"} +{"d:Title": "Metacritic.com: What Women Want", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/What_Women_Want", "url": "http://www.metacritic.com/movie/what-women-want"} +{"d:Title": "Allreaders Review: What Women Want", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/What_Women_Want", "url": "http://allreaders.com/movie-review-summary/what-women-want-3929"} +{"d:Title": "All-Reviews.com", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/When_a_Man_Loves_a_Woman", "url": "http://www.all-reviews.com/videos-2/when-a-man-loves-a-woman.htm"} +{"d:Title": "IMDb: When Brendan Met Trudy", "d:Description": "Plot outline, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/When_Brendan_Met_Trudy", "url": "http://www.imdb.com/title/tt0220157/"} +{"d:Title": "Metacritic.com: When Brendan Met Trudy", "d:Description": "Links to reviews of the film by mainstream critics.", "topic": "Top/Arts/Movies/Titles/W/When_Brendan_Met_Trudy", "url": "http://www.metacritic.com/movie/when-brendan-met-trudy"} +{"d:Title": "IMDb: When Good Ghouls Go Bad (2001)", "d:Description": "Cast, crew and other information, plus links.", "topic": "Top/Arts/Movies/Titles/W/When_Good_Ghouls_Go_Bad", "url": "http://imdb.com/title/tt0279513/"} +{"d:Title": "Crazy for Cinema: When Harry Met Sally", "d:Description": "Favourable review of the film.", "topic": "Top/Arts/Movies/Titles/W/When_Harry_Met_Sally", "url": "http://crazy4cinema.com/Review/FilmsW/f_harry_sally.html"} +{"d:Title": "IMDb: When Harry Met Sally", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/When_Harry_Met_Sally", "url": "http://www.imdb.com/title/tt0098635/"} +{"d:Title": "TV Guide Online: When Harry Met Sally...", "d:Description": "Review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/W/When_Harry_Met_Sally", "url": "http://www.tvguide.com/movies/harry-met-sally/127585/"} +{"d:Title": "IMDb: When in Rome (2010)", "d:Description": "Synopsis, image gallery, cast and crew, quotes, trailers and news.", "topic": "Top/Arts/Movies/Titles/W/When_in_Rome_-_2010", "url": "http://www.imdb.com/title/tt1185416/"} +{"d:Title": "Movies.com: When in Rome (2010)", "d:Description": "Synopsis, news, reviews, cast and crew, trailers and image gallery.", "topic": "Top/Arts/Movies/Titles/W/When_in_Rome_-_2010", "url": "http://www.movies.com/when-in-rome/m60170"} +{"d:Title": "MovieWeb: When in Rome (2010)", "d:Description": "Featurettes, image gallery, synopsis and reviews.", "topic": "Top/Arts/Movies/Titles/W/When_in_Rome_-_2010", "url": "http://www.movieweb.com/movie/when-in-rome"} +{"d:Title": "MRQE: When in Rome (2010)", "d:Description": "Reviews from around the world.", "topic": "Top/Arts/Movies/Titles/W/When_in_Rome_-_2010", "url": "http://www.mrqe.com/movie_reviews/when-in-rome-m100087232"} +{"d:Title": "IGN Movies: When in Rome (2010)", "d:Description": "Contains news, summary, trivia and features.", "topic": "Top/Arts/Movies/Titles/W/When_in_Rome_-_2010", "url": "http://www.ign.com/movies/when-in-rome/theater-14234438"} +{"d:Title": "When It Rains", "d:Description": "Official site with plot and character information.", "topic": "Top/Arts/Movies/Titles/W/When_It_Rains", "url": "http://www.whenitrains.com/"} +{"d:Title": "IMDb: When Strangers Appear (2001)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/When_Strangers_Appear", "url": "http://imdb.com/title/tt0243554/"} +{"d:Title": "IMDb: Perfect Prey (1998)", "d:Description": "Cast, crew and other information, plus links.", "topic": "Top/Arts/Movies/Titles/W/When_the_Bough_Breaks_II_-_Perfect_Prey", "url": "http://imdb.com/title/tt0147163/"} +{"d:Title": "IMDb: When the Sky Falls (2000)", "d:Description": "Cast and crew information, plus links.", "topic": "Top/Arts/Movies/Titles/W/When_the_Sky_Falls", "url": "http://imdb.com/title/tt0166013/"} +{"d:Title": "When the Wall Came Tumbling Down (1999)", "d:Description": "Cast, crew, review links, plot summary, comments, discussion, and taglines.", "topic": "Top/Arts/Movies/Titles/W/When_the_Wall_Came_Tumbling_Down", "url": "http://imdb.com/title/tt0326728/combined"} +{"d:Title": "RottenTomatoes.com - When Trumpets Fade", "d:Description": "Reviews, synopsis, credits.", "topic": "Top/Arts/Movies/Titles/W/When_Trumpets_Fade", "url": "http://www.rottentomatoes.com/m/when_trumpets_fade/"} +{"d:Title": "IMDb - When Trumpets Fade (1998)", "d:Description": "Synopsis, cast and crew information, user comments.", "topic": "Top/Arts/Movies/Titles/W/When_Trumpets_Fade", "url": "http://www.imdb.com/title/tt0135706/"} +{"d:Title": "About.com - When Trumpets Fade DVD", "d:Description": "Review of the movie provided written by Robert Wilde.", "topic": "Top/Arts/Movies/Titles/W/When_Trumpets_Fade", "url": "http://europeanhistory.about.com/od/worldwar2/fr/fprwhentfade.htm"} +{"d:Title": "Epinions - When Trumpets Fade", "d:Description": "Provides a positive review of the film.", "topic": "Top/Arts/Movies/Titles/W/When_Trumpets_Fade", "url": "http://www.epinions.com/review/mvie_mu-1083123/2005758880/81979412100"} +{"d:Title": "When Worlds Collide (1951)", "d:Description": "Cast and crew information, plus links relating to the film from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/W/When_Worlds_Collide", "url": "http://www.imdb.com/title/tt0044207/"} +{"d:Title": "Official site: Where's Marlowe?", "d:Description": "Includes information on the story, photos, and the trailer.", "topic": "Top/Arts/Movies/Titles/W/Where's_Marlowe", "url": "http://www.sandblast.com/"} +{"d:Title": "IMDb: Where's Marlowe? (1999)", "d:Description": "Cast and crew information, plus links related to the film.", "topic": "Top/Arts/Movies/Titles/W/Where's_Marlowe", "url": "http://imdb.com/title/tt0118150/"} +{"d:Title": "Metacritic.com: Where's Marlowe?", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Where's_Marlowe", "url": "http://www.metacritic.com/movie/wheres-marlowe"} +{"d:Title": "IMDb: Where Eagles Dare", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Where_Eagles_Dare", "url": "http://imdb.com/title/tt0065207/"} +{"d:Title": "Where Eagles Dare", "d:Description": "Fan site offers cast, crew and plot information.", "topic": "Top/Arts/Movies/Titles/W/Where_Eagles_Dare", "url": "http://www.whereeaglesdare.com/"} +{"d:Title": "TV Guide Online", "d:Description": "Review, plot, and cast.", "topic": "Top/Arts/Movies/Titles/W/Where_Eagles_Dare", "url": "http://movies.tvguide.com/eagles-dare/126739"} +{"d:Title": "Where Is My Child? (1937)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/W/Where_Is_My_Child", "url": "http://www.imdb.com/title/tt0029765/"} +{"d:Title": "IMDb: Where the Buffalo Roam (1980)", "d:Description": "Cast and crew information, plus links related to the film.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Buffalo_Roam", "url": "http://imdb.com/title/tt0081748/"} +{"d:Title": "HARO Online: Where the Heart Is", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Heart_Is_-_2000", "url": "http://www.haro-online.com/movies/where_the_heart_is.html"} +{"d:Title": "PopMatters: Where the Heart Is", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Heart_Is_-_2000", "url": "http://popmatters.com/film/reviews/w/where-the-heart-is.html"} +{"d:Title": "All-Reviews.com: Where the Heart Is", "d:Description": "Review by Susan Granger, plus links to additional reviews.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Heart_Is_-_2000", "url": "http://www.all-reviews.com/videos/where-heart-is.htm"} +{"d:Title": "IMDb: Where the Heart Is", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Heart_Is_-_2000", "url": "http://imdb.com/title/tt0198021/"} +{"d:Title": "Rotten Tomatoes: Where The Heart Is", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Heart_Is_-_2000", "url": "http://www.rottentomatoes.com/m/1096832-where_the_heart_is/"} +{"d:Title": "Metacritic.com: Where the Heart Is", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Heart_Is_-_2000", "url": "http://www.metacritic.com/movie/where-the-heart-is"} +{"d:Title": "PopMatters: Where the Money Is", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Money_Is", "url": "http://popmatters.com/film/reviews/w/where-the-money-is.html"} +{"d:Title": "IMDb: Where the Money Is", "d:Description": "Cast and credits, plus links related to the film.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Money_Is", "url": "http://imdb.com/title/tt0149367/"} +{"d:Title": "Rotten Tomatoes: Where The Money Is", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Money_Is", "url": "http://www.rottentomatoes.com/m/where_the_money_is/"} +{"d:Title": "Metacritic.com: Where the Money Is", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Money_Is", "url": "http://www.metacritic.com/movie/where-the-money-is"} +{"d:Title": "IMDb: Where the Wild Things Are", "d:Description": "Film overview, synopsis, trivia, full production credits, related films, release dates, filming locations, technical specifications, photographs, and links.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://www.imdb.com/title/tt0386117/"} +{"d:Title": "The Big Picture: Can Spike Jonze save 'Where the Wild Things Are'?", "d:Description": "Patrick Goldstein discusses the film's production troubles. Includes user comments.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://latimesblogs.latimes.com/the_big_picture/2008/07/is-spike-jonze.html"} +{"d:Title": "AICN: Moriarty Sits Down with Spike Jonze", "d:Description": "Lengthy interview with Spike Jonze about making the film. Also includes debut photographs.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://www.aintitcool.com/node/39145"} +{"d:Title": "The New York Times: Some of His Best Friends Are Beasts", "d:Description": "Movie review written by Manohla Dargis. Includes an interactive Multimedia tour.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://www.nytimes.com/2009/10/16/movies/16where.html?_r=1&bl"} +{"d:Title": "Wild Things: The Art of Maurice Sendak", "d:Description": "Essay and images from the April 15 - August 14, 2005 The Jewish Museum exhibit.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://www.tfaoi.com/aa/5aa/5aa307.htm"} +{"d:Title": "The Guardian: Catch of the day: Where the Wild Things Are", "d:Description": "Mark Hooper recalls past attempts at making the book into a movie and discusses pre-production efforts.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://www.theguardian.com/film/filmblog/2008/feb/25/catchofthedaywherethewil"} +{"d:Title": "Vulture: Where the Wild Things Are", "d:Description": "Positive review of the film's script.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://www.vulture.com/2007/10/weve_got_dave_eggerss_and_spik.html"} +{"d:Title": "RogerEbert.com: Where the Wild Things Are", "d:Description": "Review of the film by Roger Ebert; cast and crew.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://www.rogerebert.com/reviews/where-the-wild-things-are-2009"} +{"d:Title": "Time.com: Where the Wild Things Are: Sendak with Sensitivity", "d:Description": "Movie review of the Spike Jonze adaptation of the classic children's book, written by Mary Pols.", "topic": "Top/Arts/Movies/Titles/W/Where_the_Wild_Things_Are", "url": "http://content.time.com/time/magazine/article/0,9171,1930517,00.html"} +{"d:Title": "IMDb: Which Way Is Up? (1977)", "d:Description": "Cast, crew, review links, plot summary, comments, discussion, and taglines.", "topic": "Top/Arts/Movies/Titles/W/Which_Way_Is_Up", "url": "http://www.imdb.com/title/tt0076913/"} +{"d:Title": "All-Reviews.com: While You Were Sleeping", "d:Description": "Review of the movie by Steve Rhodes, with a link to another review.", "topic": "Top/Arts/Movies/Titles/W/While_You_Were_Sleeping", "url": "http://www.all-reviews.com/videos-2/while-you-were-sleeping.htm"} +{"d:Title": "IMDb: While You Were Sleeping", "d:Description": "Information on cast, credits and reviews.", "topic": "Top/Arts/Movies/Titles/W/While_You_Were_Sleeping", "url": "http://www.imdb.com/title/tt0114924/"} +{"d:Title": "Allreaders.com: While You Were Sleeping", "d:Description": "Thumbnail reviews plus checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/While_You_Were_Sleeping", "url": "http://allreaders.com/movie-review-summary/while-you-were-sleeping-4017"} +{"d:Title": "All-Reviews.com: Whipped", "d:Description": "Review by Steve Rhodes, plus links to other reviews.", "topic": "Top/Arts/Movies/Titles/W/Whipped", "url": "http://www.all-reviews.com/videos/whipped.htm"} +{"d:Title": "PopMatters: Whipped", "d:Description": "Review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/W/Whipped", "url": "http://popmatters.com/film/reviews/w/whipped.html"} +{"d:Title": "AskMen.com: Whipped", "d:Description": "\"Male\" perspective movie review by JoBlo.", "topic": "Top/Arts/Movies/Titles/W/Whipped", "url": "http://www.askmen.com/toys/movies/38_movie_reviews.html"} +{"d:Title": "IMDb: Whipped", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Whipped", "url": "http://imdb.com/title/tt0174336/"} +{"d:Title": "Rotten Tomatoes: Whipped", "d:Description": "Links to critics' reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Whipped", "url": "http://www.rottentomatoes.com/m/whipped/"} +{"d:Title": "Metacritic.com: Whipped", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Whipped", "url": "http://www.metacritic.com/movie/whipped"} +{"d:Title": "IMDb: Whip and the Body (1963)", "d:Description": "Cast and crew information, plus links relating to the film.", "topic": "Top/Arts/Movies/Titles/W/Whip_and_the_Body,_The", "url": "http://imdb.com/title/tt0057078/"} +{"d:Title": "IMDb - Whistling in Brooklyn (1943)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/W/Whistling_in_Brooklyn", "url": "http://www.imdb.com/title/tt0036533/"} +{"d:Title": "TV Guide Online", "d:Description": "Unsigned review (neutral), rating, cast and crew.", "topic": "Top/Arts/Movies/Titles/W/Whistling_in_Brooklyn", "url": "http://www.tvguide.com/movies/whistling-in-brooklyn/122758/"} +{"d:Title": "IMDb: Whiteboys (1999)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Whiteboyz", "url": "http://imdb.com/title/tt0178988/"} +{"d:Title": "IMDb: White Badge (1994)", "d:Description": "Cast, crew and other information, plus links.", "topic": "Top/Arts/Movies/Titles/W/White_Badge", "url": "http://imdb.com/title/tt0111700/"} +{"d:Title": "Film Scouts: White Balloon", "d:Description": "Capsule film review by Henri B\u00e9har.", "topic": "Top/Arts/Movies/Titles/W/White_Balloon,_The", "url": "http://www.filmscouts.com/scripts/review.cfm?File=whi-bal"} +{"d:Title": "Chicago Reader: Toddler Time", "d:Description": "Review of the film by Jonathan Rosenbaum.", "topic": "Top/Arts/Movies/Titles/W/White_Balloon,_The", "url": "http://www.chicagoreader.com/movies/archives/0396/03086.html"} +{"d:Title": "Sony Pictures: White Chicks", "d:Description": "Official site provides plot synopsis, behind the scene news, preview, production notes, downloads, promotions, and 'socialite' games.", "topic": "Top/Arts/Movies/Titles/W/White_Chicks", "url": "http://sonypictures.com/movies/whitechicks/"} +{"d:Title": "IMDb: White Chicks (2004)", "d:Description": "Plot outline, viewer commentaries, cast, and crew information provided.", "topic": "Top/Arts/Movies/Titles/W/White_Chicks", "url": "http://www.imdb.com/title/tt0381707/"} +{"d:Title": "HARO Online: White Chicks", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/White_Chicks", "url": "http://www.haro-online.com/movies/white_chicks.html"} +{"d:Title": "Rotten Tomatoes: White Chicks", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/W/White_Chicks", "url": "http://www.rottentomatoes.com/m/white_chicks/"} +{"d:Title": "Chicago Sun-Times: White Chicks", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/White_Chicks", "url": "http://www.rogerebert.com/reviews/white-chicks-2004"} +{"d:Title": "The Cinema Laser: White Christmas", "d:Description": "Plot summary, and review of the DVD, by Derek M. Germano. With images from the movie.", "topic": "Top/Arts/Movies/Titles/W/White_Christmas", "url": "http://www.thecinemalaser.com/dvd_reviews/white-christmas-dvd.htm"} +{"d:Title": "Destination Hollywood: White Christmas", "d:Description": "Synopsis, cast list, photographs, clips, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/W/White_Christmas", "url": "http://www.destinationhollywood.com/movies/whitechristmas/index.shtml"} +{"d:Title": "DVD Movie Guide: White Christmas (1954)", "d:Description": "Review by Colin Jacobson. \"Consistently uninspired and bland.\"", "topic": "Top/Arts/Movies/Titles/W/White_Christmas", "url": "http://www.dvdmg.com/whitechristmas.shtml"} +{"d:Title": "IMDb: White Christmas (1954)", "d:Description": "Cast and credits, plot summary, viewer comments and rating.", "topic": "Top/Arts/Movies/Titles/W/White_Christmas", "url": "http://www.imdb.com/title/tt0047673/"} +{"d:Title": "It Happened One Christmas", "d:Description": "The Flick Filosopher (MaryAnn Johanson) reviews the film \"White Christmas.\"", "topic": "Top/Arts/Movies/Titles/W/White_Christmas", "url": "http://www.flickfilosopher.com/1999/12/white-christmas-review.html"} +{"d:Title": "digitallyObsessed: White Christmas (1954)", "d:Description": "Detailed review by Mark Zimmer of the DVD release, which is graded for substance, style, audio, video, and extras.", "topic": "Top/Arts/Movies/Titles/W/White_Christmas", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=741"} +{"d:Title": "Rotten Tomatoes: The White Countess", "d:Description": "Reviews, credits, cast and crew, fan sites and forum.", "topic": "Top/Arts/Movies/Titles/W/White_Countess,_The", "url": "http://www.rottentomatoes.com/m/white_countess/"} +{"d:Title": "IMDb: The White Countess", "d:Description": "Synopsis, full cast and crew, production details, reviews and user comments.", "topic": "Top/Arts/Movies/Titles/W/White_Countess,_The", "url": "http://www.imdb.com/title/tt0384686/"} +{"d:Title": "IMDb - White Dawn, The (1974)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/W/White_Dawn,_The", "url": "http://www.imdb.com/title/tt0072403/"} +{"d:Title": "TV Guide Online", "d:Description": "Unsigned review (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/W/White_Dawn,_The", "url": "http://www.tvguide.com/movies/the-white-dawn/122772/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/W/White_Fang_and_the_Hunter", "url": "http://www.imdb.com/title/tt0090318/"} +{"d:Title": "White Heat (1949)", "d:Description": "White Heat (1949) - film review and detailed description by Tom Dirks.", "topic": "Top/Arts/Movies/Titles/W/White_Heat", "url": "http://www.filmsite.org/whit.html"} +{"d:Title": "White Heat (1949)", "d:Description": "IMDb entry with cast and crew, plot synopsis, and related links.", "topic": "Top/Arts/Movies/Titles/W/White_Heat", "url": "http://www.imdb.com/title/tt0042041/"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/White_Lies_-_1935", "url": "http://www.tvguide.com/movies/white-lies/126931/"} +{"d:Title": "IMDb: White Lies", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/White_Lies_-_1998", "url": "http://imdb.com/title/tt0149371/"} +{"d:Title": "Chicago Sun Times: White Men Can't Jump", "d:Description": "Review by Roger Ebert which looks at plot, director and characters.", "topic": "Top/Arts/Movies/Titles/W/White_Men_Can't_Jump", "url": "http://www.rogerebert.com/reviews/white-men-cant-jump-1992"} +{"d:Title": "TV Guide Online: White Men Can't Jump", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/White_Men_Can't_Jump", "url": "http://www.tvguide.com/movies/white-men-cant-jump/128780/"} +{"d:Title": "Cosmopolis - White Mischief", "d:Description": "Review/discussion of the film directed by Michael Radford; based on the novel by James Fox. With Greta Scacchi, Charles Dance and Joss Ackland.", "topic": "Top/Arts/Movies/Titles/W/White_Mischief", "url": "http://www.cosmopolis.ch/english/cosmo6/mischief.htm"} +{"d:Title": "Washington Post - White Mischief", "d:Description": "Review of the film by Rita Kempley", "topic": "Top/Arts/Movies/Titles/W/White_Mischief", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/whitemischiefrkempley_a0ca0b.htm"} +{"d:Title": "TV Guide Online: White Mischief", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/White_Mischief", "url": "http://www.tvguide.com/movies/white-mischief/127415/"} +{"d:Title": "IMDb: White Mischief", "d:Description": "Synopsis, cast and crew, awards, and viewer comments.", "topic": "Top/Arts/Movies/Titles/W/White_Mischief", "url": "http://www.imdb.com/title/tt0094317/"} +{"d:Title": "Roger Ebert - White Mischief", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/W/White_Mischief", "url": "http://www.rogerebert.com/reviews/white-mischief-1988"} +{"d:Title": "HARO Online: White Oleander", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.haro-online.com/movies/white_oleander.html"} +{"d:Title": "BluntReview.com: White Oleander", "d:Description": "Review of the film and comments about actress performances, by Emily Blunt.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.bluntreview.com/reviews/white.htm"} +{"d:Title": "Reeling Reviews: White Oleander", "d:Description": "Laura and Robin Clifford independently review the film.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.reelingreviews.com/whiteoleander.htm"} +{"d:Title": "TheMovieBoy.com: White Oleander", "d:Description": "Dustin Putman reviews the film.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.themovieboy.com/directlinks/02whiteoleander.htm"} +{"d:Title": "All-Reviews.com - White Oleander", "d:Description": "Reviews of the movie [average 3.5 of 4 stars].", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://all-reviews.com/videos-5/white-oleander.htm"} +{"d:Title": "Rotten Tomatoes: White Oleander", "d:Description": "Quotes from and links to reviews of the film, as well as synopsis, multimedia, box office statistics, and discussion forum.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.rottentomatoes.com/m/white_oleander/"} +{"d:Title": "Oleander Allows Stars to Blossom", "d:Description": "Review by Jon Niccum for the Lawrence Journal-World.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www2.ljworld.com/news/2002/oct/11/oleander_allows_stars/"} +{"d:Title": "'White Oleander' a Touching Story of Abandonment and Adaption", "d:Description": "Review by Sean Axmaker for the Seattle Post-Intelligencer.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.seattlepi.com/ae/movies/article/White-Oleander-a-touching-story-of-abandonment-1098122.php"} +{"d:Title": "Hollywood Bitchslap: White Oleander", "d:Description": "Film information, user ratings, and review.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.hollywoodbitchslap.com/review.php?movie=6196"} +{"d:Title": "IMDb: White Oleander", "d:Description": "Synopsis, cast and crew, reviews, viewer comments, quotations, trivia, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.imdb.com/title/tt0283139/"} +{"d:Title": "About.com: White Oleander", "d:Description": "Photographs, cast list, interviews, and links.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://movies.about.com/od/whiteoleander/"} +{"d:Title": "Mommie Weariest", "d:Description": "Carla Meyer reviews the film [San Francisco Chronicle].", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.sfgate.com/movies/article/Mommie-weariest-White-Oleander-has-strong-2786116.php"} +{"d:Title": "Chicago Sun-Times: White Oleander", "d:Description": "Roger Ebert reviews the film.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.rogerebert.com/reviews/white-oleander-2002"} +{"d:Title": "The White Stuff", "d:Description": "Review of the film by Liz Braun [The Toronto Sun]. A second review, \"Smell of Success\", by Louis B. Hobson.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://jam.canoe.com/Movies/Reviews/W/White_Oleander/"} +{"d:Title": "The Movie Mom: White Oleander", "d:Description": "Nell Minow's review of the film with parents in mind.", "topic": "Top/Arts/Movies/Titles/W/White_Oleander", "url": "http://www.beliefnet.com/columnists/moviemom/2002/12/white-oleander.html"} +{"d:Title": "IMDb: White Raven, The (1998)", "d:Description": "Cast, crew and other information, plus links.", "topic": "Top/Arts/Movies/Titles/W/White_Raven,_The", "url": "http://imdb.com/title/tt0149372/"} +{"d:Title": "All-Reviews.com - White Squall", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/White_Squall", "url": "http://www.all-reviews.com/videos-2/white-squall.htm"} +{"d:Title": "IMDb: White Water Summer (1987)", "d:Description": "Cast, crew and other information about the film, plus links.", "topic": "Top/Arts/Movies/Titles/W/White_Water_Summer", "url": "http://imdb.com/title/tt0094318/"} +{"d:Title": "IMDb: Who'll Stop the Rain (1978)", "d:Description": "Cast, crew and production information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Who'll_Stop_the_Rain", "url": "http://imdb.com/title/tt0078490/"} +{"d:Title": "Filmsite.org: Who's Afraid of Virginia Woolf? (1966)", "d:Description": "Detailed synopsis, with several quotes from the script.", "topic": "Top/Arts/Movies/Titles/W/Who's_Afraid_of_Virginia_Woolf", "url": "http://www.filmsite.org/whos.html"} +{"d:Title": "Rotten Tomatoes: Who's Afraid of Virginia Woolf?", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/Who's_Afraid_of_Virginia_Woolf", "url": "http://www.rottentomatoes.com/m/whos_afraid_of_virginia_woolf/"} +{"d:Title": "IMDb: Who's Afraid of Virginia Woolf?", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Who's_Afraid_of_Virginia_Woolf", "url": "http://www.imdb.com/title/tt0061184/"} +{"d:Title": "PopMatters: Whole Nine Yards", "d:Description": "Review of the film by Lesley Smith.", "topic": "Top/Arts/Movies/Titles/W/Whole_Nine_Yards,_The", "url": "http://popmatters.com/film/reviews/w/whole-nine-yards.html"} +{"d:Title": "HARO Online: Whole Nine Yards", "d:Description": "Haro rates the movie: not bad.", "topic": "Top/Arts/Movies/Titles/W/Whole_Nine_Yards,_The", "url": "http://haro-online.com/movies/whole_nine_yards.html"} +{"d:Title": "Rotten Tomatoes: The Whole Nine Yards", "d:Description": "Links to critics' reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Whole_Nine_Yards,_The", "url": "http://www.rottentomatoes.com/m/whole_nine_yards/"} +{"d:Title": "IMDb: Whole Nine Yards", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Whole_Nine_Yards,_The", "url": "http://www.imdb.com/title/tt0190138/"} +{"d:Title": "Metacritic.com: Whole Nine Yards", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Whole_Nine_Yards,_The", "url": "http://www.metacritic.com/movie/the-whole-nine-yards"} +{"d:Title": "Allreaders: Whole Nine Yards", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Whole_Nine_Yards,_The", "url": "http://allreaders.com/movie-review-summary/the-whole-nine-yards-3569"} +{"d:Title": "HARO Online: The Whole Ten Yards", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Whole_Ten_Yards,_The", "url": "http://www.haro-online.com/movies/whole_ten_yards.html"} +{"d:Title": "IMDb: The Whole Ten Yards (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Whole_Ten_Yards,_The", "url": "http://www.imdb.com/title/tt0327247/"} +{"d:Title": "Rotten Tomatoes: The Whole Ten Yards", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/W/Whole_Ten_Yards,_The", "url": "http://www.rottentomatoes.com/m/whole_ten_yards/"} +{"d:Title": "Chicago Sun-Times: The Whole Ten Yards", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Whole_Ten_Yards,_The", "url": "http://www.rogerebert.com/reviews/the-whole-ten-yards-2004"} +{"d:Title": "Washington Post: The Whole Wide World", "d:Description": "Brief positive review, by Desson Howe, praising the performances of D'Onofrio and Zellweger.", "topic": "Top/Arts/Movies/Titles/W/Whole_Wide_World,_The", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/review97/wholewideworldhowe.htm"} +{"d:Title": "San Francisco Chronicle: The Whole Wide World", "d:Description": "Negative review, by Mick LaSalle, criticizing the film's pace.", "topic": "Top/Arts/Movies/Titles/W/Whole_Wide_World,_The", "url": "http://www.sfgate.com/movies/article/World-Goes-in-Circles-D-Onofrio-can-t-save-2954910.php"} +{"d:Title": "IMDb.com: The Whole Wide World", "d:Description": "Features a list of cast and crew members, reviews, a plot summary, and quotes.", "topic": "Top/Arts/Movies/Titles/W/Whole_Wide_World,_The", "url": "http://www.imdb.com/title/tt0118163/"} +{"d:Title": "TV Guide Online: Whoopee", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Whoopee", "url": "http://www.tvguide.com/movies/whoopee/126993/"} +{"d:Title": "Whoopee! (1930)", "d:Description": "IMDb listing with summary, comments and ratings, cast and crew credits, and links.", "topic": "Top/Arts/Movies/Titles/W/Whoopee", "url": "http://www.imdb.com/title/tt0021549/"} +{"d:Title": "IMDb: Who Am I?", "d:Description": "Cast, crew and other information on the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Who_Am_I", "url": "http://imdb.com/title/tt0127357/"} +{"d:Title": "The Movie Quotes Site: MOVIE - Who Framed Roger Rabbit?", "d:Description": "Index of quotes from the movie Who Framed Roger Rabbit?.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://www.moviequotes.com/archive/titles/565.html"} +{"d:Title": "Roger Rabbit's Toontown", "d:Description": "Multimedia page about the ride.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://members.tripod.com/~Jessica_Rabbit/rabbit.html"} +{"d:Title": "Who Framed Roger Rabbit (1988)", "d:Description": "Plot Summary.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://www.filmsite.org/whof.html"} +{"d:Title": "Toontown: Roger Rabbit's Car Toon Spin", "d:Description": "A review of the ride.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://lostworld.pair.com/disneyland/toontown/cartoon-spin.html"} +{"d:Title": "J Rab", "d:Description": "Jessica Rabbit message group.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://groups.yahoo.com/group/JRab/"} +{"d:Title": "IMDb - Who Framed Roger Rabbit?", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://www.imdb.com/title/tt0096438/"} +{"d:Title": "Who Framed Roger Rabbit - Movie Commentary by Scott Ventura (FeedMyEgo.com)", "d:Description": "A review of 'Who Framed Roger Rabbit'.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://feedmyego.com/movies/W/WhoFramedRogerRabbit1988.html"} +{"d:Title": "Urban Legends: Does Donald Duck call Daffy Duck a 'nigger'?", "d:Description": "Probably not; see the interesting details on this site.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://www.snopes.com/disney/films/donald.asp"} +{"d:Title": "Who Framed Roger Rabbit", "d:Description": "A review of the movie by a Mr. Roger Ebert. (Ever heard of him?) Includes some stuff about how it was made.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://www.rogerebert.com/reviews/who-framed-roger-rabbit-1988"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Who_Framed_Roger_Rabbit", "url": "http://www.tvguide.com/movies/framed-roger-rabbit/127283/"} +{"d:Title": "Rotten Tomatoes: Who Shot Victor Fox", "d:Description": "Synopsis, cast and crew information, pictures, and forum.", "topic": "Top/Arts/Movies/Titles/W/Who_Shot_Victor_Fox", "url": "http://www.rottentomatoes.com/m/1124362-unconditional_love/"} +{"d:Title": "All-Reviews.com: Why Do Fools Fall In Love", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Why_Do_Fools_Fall_in_Love", "url": "http://www.all-reviews.com/videos-4/why-do-fools-fall.htm"} +{"d:Title": "IMDb: Why Do Fools Fall In Love (1998)", "d:Description": "Cast and production information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Why_Do_Fools_Fall_in_Love", "url": "http://imdb.com/title/tt0123324/"} +{"d:Title": "IMDb: Wicked (1998)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wicked", "url": "http://imdb.com/title/tt0120088/"} +{"d:Title": "Scotland the Movie: Wicker Man", "d:Description": "Scottish filming locations used in making the movie.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Man,_The_-_1973", "url": "http://www.scotlandthemovie.com/movies/fwickerman.html"} +{"d:Title": "IMDb: Wicker Man", "d:Description": "Cast, credits, production information and links.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Man,_The_-_1973", "url": "http://imdb.com/title/tt0070917/"} +{"d:Title": "Interview with director Robin Hardy", "d:Description": "The Wicker Man director Robin Hardy is interviewed in MungBeing magazine.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Man,_The_-_1973", "url": "http://www.mungbeing.com/issue_13.html?page=67"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Man,_The_-_1973", "url": "http://www.tvguide.com/movies/the-wicker-man/122806/"} +{"d:Title": "HARO Online: Wicker Park", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Park", "url": "http://www.haro-online.com/movies/wicker_park.html"} +{"d:Title": "IMDb: Wicker Park (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Park", "url": "http://www.imdb.com/title/tt0324554/"} +{"d:Title": "Rotten Tomatoes: Wicker Park", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Park", "url": "http://www.rottentomatoes.com/m/wicker_park/"} +{"d:Title": "Chicago Sun-Times: Wicker Park", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Wicker_Park", "url": "http://www.rogerebert.com/reviews/wicker-park-2004"} +{"d:Title": "IMDb: Widower, The (1999)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Widower,_The", "url": "http://imdb.com/title/tt0224394/"} +{"d:Title": "IMDb: Widowing of Mrs. Holroyd, The (1995)", "d:Description": "Cast and production information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Widowing_of_Mrs._Holroyd_-_1995", "url": "http://imdb.com/title/tt0163908/"} +{"d:Title": "About.com: Widow of Saint Pierre", "d:Description": "Review, mainly in the form of plot synopsis, by Ivana Redwine.", "topic": "Top/Arts/Movies/Titles/W/Widow_of_Saint-Pierre,_The", "url": "http://homevideo.about.com/library/weekly/aa092601a.htm"} +{"d:Title": "Metacritic.com: Widow of Saint Pierre", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Widow_of_Saint-Pierre,_The", "url": "http://www.metacritic.com/movie/the-widow-of-saint-pierre"} +{"d:Title": "eFilmCritic - Wilbur Wants to Kill Himself", "d:Description": "Review by Stephen Groenewegen, with user ratings and comments.", "topic": "Top/Arts/Movies/Titles/W/Wilbur_Wants_to_Kill_Himself", "url": "http://efilmcritic.com/review.php?movie=7770"} +{"d:Title": "Internet Movie Database: Wilbur Wants to Kill Himself", "d:Description": "Film information, synopsis, cast and crew, reviews, user comments, box office information, photographs, and links.", "topic": "Top/Arts/Movies/Titles/W/Wilbur_Wants_to_Kill_Himself", "url": "http://imdb.com/title/tt0329767/"} +{"d:Title": "Rotten Tomatoes: Wilbur Wants to Kill Himself", "d:Description": "Links to reviews and multimedia.", "topic": "Top/Arts/Movies/Titles/W/Wilbur_Wants_to_Kill_Himself", "url": "http://www.rottentomatoes.com/m/wilbur_wants_to_kill_himself/"} +{"d:Title": "IMDb: Wilde (1997)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wilde", "url": "http://imdb.com/title/tt0120514/"} +{"d:Title": "TV Guide Online: Wilde", "d:Description": "Review by Sandra Contreras, plus lists of cast and other credits.", "topic": "Top/Arts/Movies/Titles/W/Wilde", "url": "http://movies.tvguide.com/wild-season/122900"} +{"d:Title": "IMDb: Wilderness (1996) (TV)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wilderness", "url": "http://imdb.com/title/tt0118169/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/W/Wild_at_Heart", "url": "http://www.imdb.com/title/tt0100935/"} +{"d:Title": "Roger Ebert - Wild at Heart", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/W/Wild_at_Heart", "url": "http://www.rogerebert.com/reviews/wild-at-heart-1990"} +{"d:Title": "All-Reviews.com - Wild Bill", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Wild_Bill", "url": "http://www.all-reviews.com/videos-3/wild-bill.htm"} +{"d:Title": "Official web site", "d:Description": "Plot summary, photographs, and sounds.", "topic": "Top/Arts/Movies/Titles/W/Wild_Bill", "url": "http://www.mgm.com/title_title.do?title_star=WILDBILL"} +{"d:Title": "IMDb - Wild Bill", "d:Description": "Contains cast and crew details, plot summary, trivia, quotes, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/W/Wild_Bill", "url": "http://www.imdb.com/title/tt0114938/"} +{"d:Title": "Greatest Films - The Wild Bunch", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/W/Wild_Bunch,_The", "url": "http://www.filmsite.org/wildb.html"} +{"d:Title": "The Wild Bunch", "d:Description": "Background information and a list of articles and books.", "topic": "Top/Arts/Movies/Titles/W/Wild_Bunch,_The", "url": "http://www.filmreference.com/Films-Vi-Wi/The-Wild-Bunch.html"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/W/Wild_Bunch,_The", "url": "http://www.imdb.com/title/tt0065214/"} +{"d:Title": "TV Guide Online: Wild Bunch, The", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Wild_Bunch,_The", "url": "http://www.tvguide.com/movies/the-wild-bunch/122838/"} +{"d:Title": "IMDb: Wild Child", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wild_Child,_The_-_1969", "url": "http://imdb.com/title/tt0064285/"} +{"d:Title": "IMDb: The Wild Geese", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wild_Geese,_The", "url": "http://www.imdb.com/title/tt0078492/"} +{"d:Title": "AllReaders.com Review - The Wild Geese", "d:Description": "Detailed analysis of the film starring Richard Burton and Roger Moore, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/W/Wild_Geese,_The", "url": "http://allreaders.com/movie-review-summary/the-wild-geese-3590"} +{"d:Title": "IMDb: Wild Hogs", "d:Description": "Shows the cast and crew, a photo gallery, trivia, and information.", "topic": "Top/Arts/Movies/Titles/W/Wild_Hogs", "url": "http://www.imdb.com/title/tt0486946/"} +{"d:Title": "Cinema Blend: Wild Hogs", "d:Description": "Review of the film by Josh Tyler. [3/5 stars] Also includes pictures and comments.", "topic": "Top/Arts/Movies/Titles/W/Wild_Hogs", "url": "http://www.cinemablend.com/reviews/Wild-Hogs-2136.html"} +{"d:Title": "IMDb: Wild in the Streets", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wild_in_the_Streets", "url": "http://www.imdb.com/title/tt0063808/"} +{"d:Title": "IMDb: Wild Man Blues (1997)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wild_Man_Blues", "url": "http://imdb.com/title/tt0141986/"} +{"d:Title": "IMDb: The Wild Parrots of Telegraph Hill (2003)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The", "url": "http://www.imdb.com/title/tt0424565/"} +{"d:Title": "Surfbirds.com: The Wild Parrots of Telegraph Hill", "d:Description": "An interview with director Judy Irving.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The", "url": "http://www.surfbirds.com/Features/wildparrots.html"} +{"d:Title": "MisterSF.com: The Wild Parrots of Telegraph Hill", "d:Description": "Pictures of the wild conure flock featured in the movie.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The", "url": "http://www.mistersf.com/new/index.html?newparrots02.htm"} +{"d:Title": "The Wild Parrots of Telegraph Hill", "d:Description": "Mark Bittner's website about the flock, with bird biographies, pictures, FAQ, observation journals, and historical information.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The", "url": "http://www.markbittner.net/"} +{"d:Title": "SFGate.com: Parrots Have Flown the Coop", "d:Description": "Report on the temporary disappearance of the parrots after a favorite tree was cut down.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The", "url": "http://www.sfgate.com/bayarea/article/SAN-FRANCISCO-Parrots-have-flown-the-coop-2598370.php"} +{"d:Title": "SFGate.com: Woodman, Spare Those Parrot Perches", "d:Description": "News report on how the parrots' habitat is threatened by the potential loss of their favorite trees.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The", "url": "http://www.sfgate.com/news/article/Woodman-spare-those-parrot-perches-Writer-puts-2598123.php"} +{"d:Title": "SFGate.com: Parrots Return to Old Haunts", "d:Description": "Article on the parrots' return following an environmental disturbance.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The", "url": "http://www.sfgate.com/bayarea/article/SAN-FRANCISCO-Parrots-return-to-old-haunts-2562096.php"} +{"d:Title": "Frank's Reel Reviews: The Wild Parrots of Telegraph Hill", "d:Description": "Frank Wilkins reviews the movie and rates it according to several unorthodox criteria.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.franksreelreviews.com/reviews/2005/wildparrots.htm"} +{"d:Title": "Hybridmagazine.com: The Wild Parrots of Telegraph Hill", "d:Description": "Brian Villalobos gives the movie 4 out of 5 stars.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.hybridmagazine.com/films/0505/wild-parrots.shtml"} +{"d:Title": "ReelTalk: The Wild Parrots of Telegraph Hill", "d:Description": "Donald Levit reviews the movie.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.reeltalkreviews.com/browse/viewitem.asp?type=review&id=1138"} +{"d:Title": "Chlotrudis.org: The Wild Parrots of Telegraph Hill", "d:Description": "Bruce and Janet review the movie.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.chlotrudis.org/movies/reviews/2005/wild.html"} +{"d:Title": "Rotten Tomatoes: The Wild Parrots of Telegraph Hill", "d:Description": "Reviews and Tomatometer rating, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.rottentomatoes.com/m/wild_parrots_of_telegraph_hill/"} +{"d:Title": "FilmJerk.com: The Wild Parrots of Telegraph Hill", "d:Description": "Review by Edward Havens.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.filmjerk.com/reviews/article.php?id_rev=510"} +{"d:Title": "Ozus World: The Wild Parrots of Telegraph Hill", "d:Description": "Dennis Schwartz gives the movie an A+.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://homepages.sover.net/~ozus/wildparrotsoftelegraphhill.htm"} +{"d:Title": "Rogerebert.com: The Wild Parrots of Telegraph Hill", "d:Description": "Roger Ebert's 3-star review.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.rogerebert.com/reviews/the-wild-parrots-of-telegraph-hill-2005"} +{"d:Title": "Sacramento News&Review: The Wild Parrots of Telegraph Hill", "d:Description": "Mark Halverson's review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.newsreview.com/sacramento/polly-want-an-agent/content?oid=34577"} +{"d:Title": "Austin Chronicle: The Wild Parrots of Telegraph Hill", "d:Description": "Marrit Ingman gives the movie four stars.", "topic": "Top/Arts/Movies/Titles/W/Wild_Parrots_of_Telegraph_Hill,_The/Reviews", "url": "http://www.austinchronicle.com/calendar/film/2005-05-13/270420/"} +{"d:Title": "All-Reviews.com: Wild Reeds", "d:Description": "Review by Dustin Putman.", "topic": "Top/Arts/Movies/Titles/W/Wild_Reeds", "url": "http://all-reviews.com/videos-4/wild-reeds.htm"} +{"d:Title": "Rotten Tomatoes: Wild Reeds", "d:Description": "Links to reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/W/Wild_Reeds", "url": "http://www.rottentomatoes.com/m/wild_reeds/"} +{"d:Title": "IMDB: Wild Reeds (Les Roseaux sauvages) (1994)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wild_Reeds", "url": "http://www.imdb.com/title/tt0111019/"} +{"d:Title": "IMDb: Wild River (1960)", "d:Description": "Cast, crew and other production information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wild_River", "url": "http://imdb.com/title/tt0054476/"} +{"d:Title": "All-Reviews.com: Wild Things", "d:Description": "Review by Steve Rhodes plus links to other reviews.", "topic": "Top/Arts/Movies/Titles/W/Wild_Things", "url": "http://www.all-reviews.com/videos/wild-things.htm"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Wild_Things", "url": "http://www.tvguide.com/movies/wild-things/133057/"} +{"d:Title": "All-Reviews.com: Wild Wild West (1999)", "d:Description": "Review by Walter Frith, plus links to other reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Wild_Wild_West", "url": "http://www.all-reviews.com/videos/wildwildwest.htm"} +{"d:Title": "HARO Online: Wild Wild West", "d:Description": "Review of the movie. Rates it \"okay.\"", "topic": "Top/Arts/Movies/Titles/W/Wild_Wild_West", "url": "http://www.haro-online.com/movies/wild_wild_west.html"} +{"d:Title": "Cosmopolis: Wild Wild West", "d:Description": "Review of the film, plus images.", "topic": "Top/Arts/Movies/Titles/W/Wild_Wild_West", "url": "http://www.cosmopolis.ch/english/cosmo5/west.htm"} +{"d:Title": "Official site: Wild Wild West", "d:Description": "Plot and character information, images and content on special effects, costumes and other aspects of the production.", "priority": "1", "topic": "Top/Arts/Movies/Titles/W/Wild_Wild_West", "url": "http://wildwildwest.warnerbros.com/"} +{"d:Title": "IMDb: Wild Wild West", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wild_Wild_West", "url": "http://imdb.com/title/tt0120891/"} +{"d:Title": "Rotten Tomatoes: Wild Wild West", "d:Description": "Links to critics' reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Wild_Wild_West", "url": "http://www.rottentomatoes.com/m/wild_wild_west/"} +{"d:Title": "Chicago Sun Times: Wild Wild West", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Wild_Wild_West", "url": "http://www.rogerebert.com/reviews/wild-wild-west-1999"} +{"d:Title": "IMDb: Wild Zero", "d:Description": "Introduction, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/W/Wild_Zero", "url": "http://www.imdb.com/title/tt0267116/"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews \"Willard\". Includes a couple photographs and links to related films.", "topic": "Top/Arts/Movies/Titles/W/Willard", "url": "http://www.haro-online.com/movies/willard.html"} +{"d:Title": "IMDb: Willard (2003)", "d:Description": "Cast and crew, plot summary, trailer, discussion, and related links.", "topic": "Top/Arts/Movies/Titles/W/Willard", "url": "http://www.imdb.com/title/tt0310357/"} +{"d:Title": "Willard", "d:Description": "Roger Ebert gives it 2.5 stars. [Chicago Sun-Times]", "topic": "Top/Arts/Movies/Titles/W/Willard", "url": "http://www.rogerebert.com/reviews/willard-2003"} +{"d:Title": "Educational Media Reviews Online: Willful Infringement", "d:Description": "Review and discussion by Susan DeMasi.", "topic": "Top/Arts/Movies/Titles/W/Willful_Infringement", "url": "http://libweb.lib.buffalo.edu/emro/emroDetail.asp?Number=1316"} +{"d:Title": "IMDb: Willful Infringement", "d:Description": "Synopsis, full credits, user comments, and links.", "topic": "Top/Arts/Movies/Titles/W/Willful_Infringement", "url": "http://www.imdb.com/title/tt0372612/"} +{"d:Title": "Mindjack: The Killing Fields", "d:Description": "Reflection on the film with respect to copyright law, by J.D. Lasica.", "topic": "Top/Arts/Movies/Titles/W/Willful_Infringement", "url": "http://www.mindjack.com/feature/killingfields.html"} +{"d:Title": "The Willow Homepage", "d:Description": "Pictures, background information, and discussion of the film's merits.", "topic": "Top/Arts/Movies/Titles/W/Willow", "url": "http://www.generalcomputing.com/willow/windex.html"} +{"d:Title": "Yahoo Movies: Willow", "d:Description": "Synopsis, reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Willow", "url": "http://movies.yahoo.com/movie/willow/"} +{"d:Title": "IMDb: Willow", "d:Description": "Plot summary, teaser trailer, cast and crewinformation, user comments, and forum.", "topic": "Top/Arts/Movies/Titles/W/Willow", "url": "http://www.imdb.com/title/tt0096446/"} +{"d:Title": "Rambles Cultural Arts Magazine: Willow", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://rambles.net/willow.html"} +{"d:Title": "Filmtracks: Willow", "d:Description": "Soundtrack review, audio clips, track listings, pictures, and notes.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.filmtracks.com/titles/willow.html"} +{"d:Title": "Washington Post: Willow", "d:Description": "Rita Kempley's movie review.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/willowpgkempley_a0ca09.htm"} +{"d:Title": "Washington Post: Willow", "d:Description": "Desson Howe's movie review.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/movies/videos/willowpghowe_a0c895.htm"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Movie review, filming locations, pictures, trivia, soundtrack information and links.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.fast-rewind.com/willow.htm"} +{"d:Title": "DVD Savant: Willow", "d:Description": "Movie review and DVD product review.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.dvdtalk.com/dvdsavant/s355willow.html"} +{"d:Title": "Digital Monkey Box: Willow", "d:Description": "A mixed review of the movie.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.digitalmonkeybox.com/willow.htm"} +{"d:Title": "The DVD Journal: Willow", "d:Description": "A review of the movie and the DVD features.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.dvdjournal.com/reviews/w/willow.shtml"} +{"d:Title": "DVD Times: Willow", "d:Description": "Review of the movie and DVD by Eamonn McCusker, with pictures.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.dvdtimes.co.uk/content.php?contentid=5196"} +{"d:Title": "DVD Movie Guide: Willow", "d:Description": "Review by Colin Jacobson, pictures, and viewer ratings.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.dvdmg.com/willow.shtml"} +{"d:Title": "Cinemaphile: Willow", "d:Description": "David Keyes gives the movie 3 1/2 out of 4 stars.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://cinemaphile.org/reviews/1998/willow.html"} +{"d:Title": "Rotten Tomatoes: Willow", "d:Description": "Links to movie reviews, synopsis, and cast information.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.rottentomatoes.com/m/willow/"} +{"d:Title": "Ain't It Cool News: Willow", "d:Description": "Movie review, DVD product review, and message board.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.aintitcool.com/node/10853"} +{"d:Title": "Mutant Reviewers from Hell: Willow", "d:Description": "Movie review, quotes, and trivia.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://mutantreviewers.wordpress.com/2012/03/02/willow-retro-review/"} +{"d:Title": "Rogerebert.com: Willow", "d:Description": "Roger Ebert's movie review.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.rogerebert.com/reviews/willow-1988"} +{"d:Title": "The International Arcade Museum: Willow", "d:Description": "Video arcade game review.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.arcade-museum.com/W/Willow.html"} +{"d:Title": "Digitally Obsessed: Willow", "d:Description": "Movie review and DVD product review with letter grades.", "topic": "Top/Arts/Movies/Titles/W/Willow/Reviews", "url": "http://www.digitallyobsessed.com/displaylegacy.php?ID=1858"} +{"d:Title": "Wonka Mania", "d:Description": "Fan site with character information, unformatted script, and quotations.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://wonkamania.tripod.com/"} +{"d:Title": "All-Reviews.com: Willy Wonka and the Chocolate Factory", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://www.all-reviews.com/videos-3/willy-wonka.htm"} +{"d:Title": "Wild World of Wonka", "d:Description": "Includes games, trivia, WONKAgram personalized greetings, online store, and screensavers, wallpaper, and icons for your computer.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://www.wonka.com/"} +{"d:Title": "RoaldDahlFans.com: Willy Wonka and the Chocolate Factory", "d:Description": "Includes information about the 1971 movie and the planned remake, links to reviews, song lyrics, and photos and sounds from the movie.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://www.roalddahlfans.com/movies/will.php"} +{"d:Title": "Willy Wonka Porter", "d:Description": "Recipe for a homebrew beer with chocolate.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://brewery.org/cm3/recs/05_157.html"} +{"d:Title": "WonkaFest", "d:Description": "Includes information about mistakes in the movie, a list of the cast, photos, facts about the movie, and a chat room for fans.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://www.angelfire.com/or/kevinhart/index.html"} +{"d:Title": "Wonka Trivia", "d:Description": "25 questions about the movie and a separate page of answers.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://www.angelfire.com/fl/boozit/wtrivia.html"} +{"d:Title": "IMDb: Willy Wonka and the Chocolate Factory", "d:Description": "Cast and credits, user reviews, and memorable quotations.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://imdb.com/title/tt0067992/"} +{"d:Title": "Allreaders.com: Willy Wonka and the Chocolate Factory", "d:Description": "Thumbnail commentaries on the film plus a checklist-style review. Also includes a forum devoted to comments about the movie.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://allreaders.com/movie-review-summary/willy-wonka-and-the-chocolate-factory-4676"} +{"d:Title": "Warner Brothers: Willy Wonka and the Chocolate Factory", "d:Description": "Includes video and image galleries, personalized web greetings, and games.", "topic": "Top/Arts/Movies/Titles/W/Willy_Wonka_and_the_Chocolate_Factory", "url": "http://www.warnerbros.com/willy-wonka-chocolate-factory/intro"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews \"Wimbledon.\" Also includes a couple photos and related links.", "topic": "Top/Arts/Movies/Titles/W/Wimbledon", "url": "http://www.haro-online.com/movies/wimbledon.html"} +{"d:Title": "IMDb.com: Wimbledon (2004)", "d:Description": "Cast and crew details, user reviews, plot summary, trailer, trivia, and forum.", "topic": "Top/Arts/Movies/Titles/W/Wimbledon", "url": "http://www.imdb.com/title/tt0360201/"} +{"d:Title": "Move Review Query Engine", "d:Description": "Over 100 links to related articles.", "topic": "Top/Arts/Movies/Titles/W/Wimbledon", "url": "http://www.mrqe.com/lookup?%5EWimbledon+(2004)"} +{"d:Title": "Wimbledon", "d:Description": "\"'Wimbledon' is a well-behaved movie about nice people who have good things happen to them.\" Roger Ebert reviews the film. [Chicago Sun Times]", "topic": "Top/Arts/Movies/Titles/W/Wimbledon", "url": "http://www.rogerebert.com/reviews/wimbledon-2004"} +{"d:Title": "DVD Savant Review - Winchester '73", "d:Description": "Review by Glenn Erickson of the film that \"represents a major turn\" in the western genre's development.", "topic": "Top/Arts/Movies/Titles/W/Winchester_'73", "url": "http://www.dvdtalk.com/dvdsavant/s806winch.html"} +{"d:Title": "Winchester '73 (1950)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/W/Winchester_'73", "url": "http://www.imdb.com/title/tt0043137/"} +{"d:Title": "Winchester '73", "d:Description": "Cast, crew, plot synopis and review from the All Movie Guide.", "topic": "Top/Arts/Movies/Titles/W/Winchester_'73", "url": "http://www.allmovie.com/movie/v54716"} +{"d:Title": "IMDb: Windsor Protocol (1996) (TV)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Windsor_Protocol,_The", "url": "http://imdb.com/title/tt0118173/"} +{"d:Title": "All-Reviews.com: Windtalkers", "d:Description": "Reviews of the movie by various contributors.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://all-reviews.com/videos-4/windtalkers.htm"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews the movie.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.haro-online.com/movies/windtalkers.html"} +{"d:Title": "Filmtracks: Windtalkers", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.filmtracks.com/titles/windtalkers.html"} +{"d:Title": "Christian Science Monitor - 'Wind' Is Another War-Film Clich\u00e9", "d:Description": "David Sterritt reviews 'Windtalkers,' claiming that what might have been a stirring history lesson becomes, a string of war-film cliches.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.csmonitor.com/2002/0614/p15s03-almo.html"} +{"d:Title": "Rotten Tomatoes - Windtalkers", "d:Description": "Links to reviews and related articles, photos, trailer, and forum.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.rottentomatoes.com/m/windtalkers/"} +{"d:Title": "Official web site", "d:Description": "Background on the movie.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.mgm.com/title_title.php?title_star=WINDTALK"} +{"d:Title": "New York Times - Windtalkers", "d:Description": "Elvis Mitchell writes about the movie, duty, friendship and a Navajo dilemma.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.nytimes.com/2002/06/14/movies/film-review-of-duty-friendship-and-a-navajo-dilemma.html"} +{"d:Title": "IMDb.com - Windtalkers", "d:Description": "Plot outline, cast credits and reader comments.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.imdb.com/title/tt0245562/"} +{"d:Title": "Chicago Sun-Times - Windtalkers", "d:Description": "Roger Ebert presents a film directed by John Woo.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.rogerebert.com/reviews/windtalkers-2002"} +{"d:Title": "Awesome Stories: Windtalkers", "d:Description": "Information on the \"code talkers\" depicted in the movie, with links to photographs, maps and the official Marine Corps history of the Saipan battle.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "https://www.awesomestories.com/asset/view/Wind-Talkers-Navajo-Code-Talkers-in-WWII"} +{"d:Title": "San Francisco Chronicle - Windtalkers", "d:Description": "Mick LaSalle reviews the war drama directed by John Woo.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://www.sfgate.com/movies/article/Empty-Windtalkers-Cage-can-t-overcome-2829271.php"} +{"d:Title": "USAToday - 'Windtalkers' Intersperses Action With Contemplation", "d:Description": "Mike Clark reviews how the main character battles mental demons again in John Woo's long-delayed movie.", "topic": "Top/Arts/Movies/Titles/W/Windtalkers", "url": "http://usatoday30.usatoday.com/life/movies/2002/2002-06-14-windtalkers.htm"} +{"d:Title": "IMDb: The Wind and the Lion", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wind_and_the_Lion,_The", "url": "http://www.imdb.com/title/tt0073906/"} +{"d:Title": "AllReaders.com Review: The Wind and the Lion", "d:Description": "Analysis of the Sean Connery film, and links to similar movies. Sign up to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/W/Wind_and_the_Lion,_The", "url": "http://allreaders.com/movie-review-summary/the-wind-and-the-lion-5879"} +{"d:Title": "Official web site", "d:Description": "Includes the movie trailer.", "topic": "Top/Arts/Movies/Titles/W/Wind_Chill", "url": "http://www.sonypictures.com/movies/windchill/"} +{"d:Title": "Internet Movie Database", "d:Description": "Extensive information on the production.", "topic": "Top/Arts/Movies/Titles/W/Wind_Chill", "url": "http://imdb.com/title/tt0486051/"} +{"d:Title": "Christian Spotlight : Wind in The Willows", "d:Description": "Review, with its suitability for children described.", "topic": "Top/Arts/Movies/Titles/W/Wind_in_the_Willows_-_1996", "url": "http://www.christiananswers.net/spotlight/movies/pre2000/i-willows.html"} +{"d:Title": "Cranky Critic:: The Wind in the Willows", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/W/Wind_in_the_Willows_-_1996", "url": "http://www.crankycritic.com/archive/windinthewillows.html"} +{"d:Title": "IMDb: The Wind in the Willows (1996)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wind_in_the_Willows_-_1996", "url": "http://www.imdb.com/title/tt0118172/"} +{"d:Title": "IMDb: Wind River (1998)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wind_River", "url": "http://imdb.com/title/tt0135707/"} +{"d:Title": "IMDb: Wings", "d:Description": "Plot outline, cast and crew, and reviews.", "topic": "Top/Arts/Movies/Titles/W/Wings", "url": "http://www.imdb.com/title/tt0018578/"} +{"d:Title": "The Flick Filosopher: Wings", "d:Description": "MaryAnn Johanson's review: \"remains just as powerful today as it must have been in 1927.\"", "topic": "Top/Arts/Movies/Titles/W/Wings", "url": "http://www.flickfilosopher.com/1999/01/wings-review.html"} +{"d:Title": "IMDb: Wings of Fame", "d:Description": "Cast and production information, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wings_of_Fame", "url": "http://imdb.com/title/tt0098658/"} +{"d:Title": "All-Reviews.com: Wings of the Dove", "d:Description": "Review by Walter Frith plus links to additional reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Wings_of_the_Dove,_The", "url": "http://www.all-reviews.com/videos-4/wings-of-dove.htm"} +{"d:Title": "IMDb: Wings of the Dove", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wings_of_the_Dove,_The", "url": "http://imdb.com/title/tt0120520/"} +{"d:Title": "IMDb: Wing and a Prayer (1944)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wing_and_a_Prayer", "url": "http://imdb.com/title/tt0037466/"} +{"d:Title": "All-Reviews.com: Wing Commander", "d:Description": "Review by Steve Rhodes, plus links to additional reviews.", "topic": "Top/Arts/Movies/Titles/W/Wing_Commander", "url": "http://www.all-reviews.com/videos/wing-commander.htm"} +{"d:Title": "IMDb: Winslow Boy, The (1999)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Winslow_Boy,_The_-_1999", "url": "http://imdb.com/title/tt0155388/"} +{"d:Title": "Scotland the Movie location guide: Winter Guest", "d:Description": "Scottish filming locations for the film.", "topic": "Top/Arts/Movies/Titles/W/Winter_Guest,_The", "url": "http://www.scotlandthemovie.com/movies/fguest.html"} +{"d:Title": "IMDb: Winter Guest, The (1997)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Winter_Guest,_The", "url": "http://imdb.com/title/tt0120521/"} +{"d:Title": "Allreaders: Winter Guest", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Winter_Guest,_The", "url": "http://allreaders.com/movie-review-summary/the-winter-guest-4764"} +{"d:Title": "HARO Online: Winter Sleepers", "d:Description": "A review of the film by 'Mongoose.'", "topic": "Top/Arts/Movies/Titles/W/Winter_Sleepers", "url": "http://www.haro-online.com/movies/winter_sleepers.html"} +{"d:Title": "IMDb: Winter Sleepers", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Winter_Sleepers", "url": "http://imdb.com/title/tt0120522/"} +{"d:Title": "Metacritic.com: Winter Sleepers", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Winter_Sleepers", "url": "http://www.metacritic.com/movie/winter-sleepers"} +{"d:Title": "HARO Online: Win a Date with Tad Hamilton", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Win_a_Date_With_Tad_Hamilton", "url": "http://www.haro-online.com/movies/win_a_date_with_tad_hamilton.html"} +{"d:Title": "IMDb: Win a Date with Tad Hamilton! (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Win_a_Date_With_Tad_Hamilton", "url": "http://www.imdb.com/title/tt0335559/"} +{"d:Title": "Rotten Tomatoes: Win a Date with Tad Hamilton", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/W/Win_a_Date_With_Tad_Hamilton", "url": "http://www.rottentomatoes.com/m/win_a_date_with_tad_hamilton/"} +{"d:Title": "Chicago Sun-Times: Win a Date with Tad Hamilton", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Win_a_Date_With_Tad_Hamilton", "url": "http://www.rogerebert.com/reviews/win-a-date-with-tad-hamilton-2004"} +{"d:Title": "HARO Online: Wirey Spindell", "d:Description": "'Mongoose' rates the movie.", "topic": "Top/Arts/Movies/Titles/W/Wirey_Spindell", "url": "http://www.haro-online.com/movies/wirey_spindell.html"} +{"d:Title": "IMDb: Wirey Spindell", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wirey_Spindell", "url": "http://imdb.com/title/tt0153866/"} +{"d:Title": "Metacritic.com: Wirey Spindell", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Wirey_Spindell", "url": "http://www.metacritic.com/movie/wirey-spindell"} +{"d:Title": "PopMatters - The Wisdom of Crocodiles", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Wisdom_of_Crocodiles,_The", "url": "http://popmatters.com/film/reviews/w/wisdom-of-crocodiles.html"} +{"d:Title": "IMDb: The Wisdom of Crocodiles", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wisdom_of_Crocodiles,_The", "url": "http://www.imdb.com/title/tt0120894/"} +{"d:Title": "Metacritic.com", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Wisdom_of_Crocodiles,_The", "url": "http://www.metacritic.com/movie/the-wisdom-of-crocodiles"} +{"d:Title": "Rotten Tomatoes - The Wisdom of Crocodiles", "d:Description": "Collected reviews from the nation's top critics.", "topic": "Top/Arts/Movies/Titles/W/Wisdom_of_Crocodiles,_The", "url": "http://www.rottentomatoes.com/m/immortality/"} +{"d:Title": "IMDb: Wishful Thinking (1997)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wishful_Thinking_-_1997", "url": "http://imdb.com/title/tt0120523/"} +{"d:Title": "IMDb: Wishmaster (1997)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wishmaster_Series/Wishmaster", "url": "http://imdb.com/title/tt0120524/"} +{"d:Title": "TV Guide Online: Wishmaster", "d:Description": "Review, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Wishmaster_Series/Wishmaster", "url": "http://www.tvguide.com/movies/wishmaster/132706/"} +{"d:Title": "Fan site: Wishmaster Fan Site", "d:Description": "Description of the story.", "topic": "Top/Arts/Movies/Titles/W/Wishmaster_Series/Wishmaster", "url": "http://djinn1928.tripod.com/index-2.html"} +{"d:Title": "IMDb: Wishmaster 2: Evil Never Dies (1999) (V)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wishmaster_Series/Wishmaster_2_-_Evil_Never_Dies", "url": "http://imdb.com/title/tt0156182/"} +{"d:Title": "IMDb: Wishmaster 3: Beyond the Gates of Hell (2001) (V)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wishmaster_Series/Wishmaster_3_-_Beyond_the_Gates_of_Hell", "url": "http://imdb.com/title/tt0254871/"} +{"d:Title": "IMDb: Wish Upon a Star (1996)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wish_Upon_a_Star", "url": "http://imdb.com/title/tt0118178/"} +{"d:Title": "IMDb: Witches, The (1966)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Witches,_The_-_1966", "url": "http://imdb.com/title/tt0060307/"} +{"d:Title": "eFilmCritic - The Witches", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/W/Witches,_The_-_1990", "url": "http://efilmcritic.com/review.php?movie=2501&reviewer=283"} +{"d:Title": "IMDb: The Witches (1990)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Witches,_The_-_1990", "url": "http://www.imdb.com/title/tt0100944/"} +{"d:Title": "Chicago Sun-Times: The Witches 1990", "d:Description": "Review.", "topic": "Top/Arts/Movies/Titles/W/Witches,_The_-_1990", "url": "http://www.rogerebert.com/reviews/the-witches-1990"} +{"d:Title": "British Horror Films: Witchfinder General", "d:Description": "Review, screen captures, and an image of the poster.", "topic": "Top/Arts/Movies/Titles/W/Witchfinder_General,_The", "url": "http://www.britishhorrorfilms.co.uk/witchfinder.shtml"} +{"d:Title": "IMDb: Witchfinder General", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Witchfinder_General,_The", "url": "http://www.imdb.com/title/tt0063285/"} +{"d:Title": "Withnail and I", "d:Description": "Filming locations.", "topic": "Top/Arts/Movies/Titles/W/Withnail_and_I", "url": "http://www.movie-locations.com/movies/w/withnail.html"} +{"d:Title": "Withnail and I Links", "d:Description": "A categorized selection of links relating to the film.", "topic": "Top/Arts/Movies/Titles/W/Withnail_and_I", "url": "http://www.withnail-links.com/"} +{"d:Title": "BBC Cumbria: Withnail and I", "d:Description": "Two struggling actors, running short on money and alcohol, decide to swap dreary London for a holiday cottage in the Lake District. Brief article about the film being made in Cumbria. Messageboard.", "topic": "Top/Arts/Movies/Titles/W/Withnail_and_I", "url": "http://www.bbc.co.uk/cumbria/features/cumbria_on_film/withnail.shtml"} +{"d:Title": "Withnail", "d:Description": "Fan site with messageboard and film location shots.", "topic": "Top/Arts/Movies/Titles/W/Withnail_and_I", "url": "http://www.flickr.com/groups/withnail/"} +{"d:Title": "IMDb: Withnail and I", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Withnail_and_I", "url": "http://www.imdb.com/title/tt0094336/"} +{"d:Title": "HARO Online: Without a Paddle", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Without_a_Paddle", "url": "http://www.haro-online.com/movies/without_a_paddle.html"} +{"d:Title": "IMDb: Without a Paddle (2004)", "d:Description": "Plot summary, trailer, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Without_a_Paddle", "url": "http://www.imdb.com/title/tt0364751/"} +{"d:Title": "Rotten Tomatoes: Without A Paddle", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/W/Without_a_Paddle", "url": "http://www.rottentomatoes.com/m/without_a_paddle/"} +{"d:Title": "Future Movies: Without a Paddle", "d:Description": "Review, by Matt McAllister, and pictures from the DVD.", "topic": "Top/Arts/Movies/Titles/W/Without_a_Paddle", "url": "http://www.futuremovies.co.uk/reviews/without-a-paddle/matt-mcallister"} +{"d:Title": "Fan site: Without Limits", "d:Description": "Extensive information on the movie and its real-life hero.", "topic": "Top/Arts/Movies/Titles/W/Without_Limits", "url": "http://home.europa.com/~bence/pre/index.htm"} +{"d:Title": "IMDb: Without Limits", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Without_Limits", "url": "http://imdb.com/title/tt0119934/"} +{"d:Title": "Official site: Without Limits", "d:Description": "Plot and character information, plus archived webcast of the filmmakers at the Toronto Film Festival.", "topic": "Top/Arts/Movies/Titles/W/Without_Limits", "url": "http://without-limits.warnerbros.com/"} +{"d:Title": "Allreaders: Without Limits", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Without_Limits", "url": "http://allreaders.com/movie-review-summary/without-limits-4196"} +{"d:Title": "TV Guide Online", "d:Description": "Review by Sandra Contreras, plus cast and crew information.", "topic": "Top/Arts/Movies/Titles/W/Without_Limits", "url": "http://www.tvguide.com/movies/limits/132969/"} +{"d:Title": "Coldbacon: With A Friend Like Harry", "d:Description": "Review by Dominik Mol.", "topic": "Top/Arts/Movies/Titles/W/With_a_Friend_Like_Harry", "url": "http://www.coldbacon.com/movies/withafriendlikeharry.html"} +{"d:Title": "IMDb: With a Friend Like Harry", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/With_a_Friend_Like_Harry", "url": "http://imdb.com/title/tt0216800/"} +{"d:Title": "Metacritic.com: With a Friend Like Harry", "d:Description": "Links to reviews of the film by mainstream critics.", "topic": "Top/Arts/Movies/Titles/W/With_a_Friend_Like_Harry", "url": "http://www.metacritic.com/movie/harry-is-here-to-help"} +{"d:Title": "IMDb: With Friends Like These... (1998)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/With_Friends_Like_These", "url": "http://imdb.com/title/tt0119692/"} +{"d:Title": "Fast-Rewind.com: Witness", "d:Description": "Review and trivia.", "topic": "Top/Arts/Movies/Titles/W/Witness", "url": "http://www.fast-rewind.com/witness.htm"} +{"d:Title": "AllReaders.com Review - Witness", "d:Description": "Detailed analysis of the film starring Harrison Ford and Kelly McGillis, and links to similar movies. Raise a virtual barn in Amish country.", "topic": "Top/Arts/Movies/Titles/W/Witness", "url": "http://allreaders.com/movie-review-summary/witness-3542"} +{"d:Title": "IMDb: Witness for the Prosecution (1957)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Witness_for_the_Prosecution", "url": "http://imdb.com/title/tt0051201/"} +{"d:Title": "IMDb: Witness to the Mob (1998) (TV)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Witness_to_the_Mob", "url": "http://imdb.com/title/tt0153882/"} +{"d:Title": "The Wizard of Oz", "d:Description": "Movie trailer, photograph gallery, games, and information about the movie.", "priority": "1", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The", "url": "http://thewizardofoz.warnerbros.com/"} +{"d:Title": "WWWF Ground Zero: The Wizard of Oz vs. Monty Python and the Holy Grail", "d:Description": "Humorous account of a grudge match between the characters of these two movies. Commentary and voters opinions.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The", "url": "http://www.grudge-match.com/History/ozarthur.shtml"} +{"d:Title": "IMDb", "d:Description": "Complete cast, crew, and credit listings.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0032138/"} +{"d:Title": "Oz Web Ring", "d:Description": "Large webring open to all family oriented sites which honor the movie, book, graphics, or collectibles of The Wizard of Oz.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Directories", "url": "http://www.webring.org/hub?ring=ozsites"} +{"d:Title": "The Wonderful Ring Of Oz", "d:Description": "Listing site that have an interest in the movies or the books.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Directories", "url": "http://nav.webring.org/navcgi?ring=ringofoz"} +{"d:Title": "Cyndi's Wizard of Oz Page", "d:Description": "Pictures, trivia, buy/sell board, and links.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://www.angelfire.com/movies/WizOz/"} +{"d:Title": "EQW's Wizard of Oz Website", "d:Description": "Cast list, pictures, some trivia, user polls, and links.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://eqw109.tripod.com/index.html"} +{"d:Title": "The Wizard of Oz Fan Site by Zach Allen", "d:Description": "Information, image galleries, awards, and links.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://www.wizardofozfan39.20fr.com/"} +{"d:Title": "We're Off to See the Wizard", "d:Description": "Pictures, sounds, links, lyrics, polls, trivia, and movie facts.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://www.angelfire.com/movies/wizofOz/home.html"} +{"d:Title": "Wizard of Oz Music", "d:Description": "Music and sound clips from the movie.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://www.angelfire.com/il2/ladytaco54/wizardofozmusic.html"} +{"d:Title": "AngelWinks Wizard of Oz Post Card Shoppe", "d:Description": "Create postcards from several movie stills.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://angelwinks.net/WizardOfOzMainPC.html"} +{"d:Title": "Wendy's Wonderful Wizard of Oz", "d:Description": "Links, collectibles, facts and trivia, movie quotes, lyrics, and pictures.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://www.wendyswizardofoz.com/"} +{"d:Title": "Ragtopper's Wizard of Oz Page", "d:Description": "Cast listing, pictures, MIDIs, and wav files.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Fan_Pages", "url": "http://ragtopper.tripod.com/ozmain.html"} +{"d:Title": "All-Reviews.com: The Wizard of Oz", "d:Description": "Collection of reviews from viewers of the movie.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Reviews", "url": "http://www.all-reviews.com/videos/wizard-of-oz.htm"} +{"d:Title": "The Wizard of Oz", "d:Description": "Detailed review, synopsis, and discussion of the film.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Reviews", "url": "http://www.filmsite.org/wiza.html"} +{"d:Title": "AllReaders.com: The Wizard of Oz", "d:Description": "Personal analysis and summary of the film. Links to a message board and similar movies. Invitation to be a movie scholar on the site.", "topic": "Top/Arts/Movies/Titles/W/Wizard_of_Oz,_The/Reviews", "url": "http://allreaders.com/movie-review-summary/the-wizard-of-oz-1939-6203"} +{"d:Title": "Triple J Film Review - 9/03/00: The Wog Boy", "d:Description": "Review of the movie, plus user comments and ratings of it.", "topic": "Top/Arts/Movies/Titles/W/Wog_Boy,_The", "url": "http://www.abc.net.au/triplej/review/film/s108935.htm"} +{"d:Title": "Imdb - Wog Boy, The", "d:Description": "Complete cast and crew list, links to external reviews and miscellaneous sites.", "topic": "Top/Arts/Movies/Titles/W/Wog_Boy,_The", "url": "http://www.imdb.com/title/tt0216417/"} +{"d:Title": "All-Reviews.com: Wolf", "d:Description": "Review of the movie by Steve Rhodes.", "topic": "Top/Arts/Movies/Titles/W/Wolf", "url": "http://www.all-reviews.com/videos-2/wolf.htm"} +{"d:Title": "IMDb: Wolf (1994)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wolf", "url": "http://imdb.com/title/tt0111742/"} +{"d:Title": "IMDb: Wolverine (2000)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wolverine", "url": "http://www.imdb.com/title/tt0115138/"} +{"d:Title": "All-Reviews.com: A Woman's Tale", "d:Description": "Multiple movie reviews.", "topic": "Top/Arts/Movies/Titles/W/Woman's_Tale,_A", "url": "http://www.all-reviews.com/videos-4/womans-tale.htm"} +{"d:Title": "IMDb: A Woman's Tale", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Woman's_Tale,_A", "url": "http://www.imdb.com/title/tt0103282/"} +{"d:Title": "IMDb", "d:Description": "Cast and credits.", "topic": "Top/Arts/Movies/Titles/W/Woman_in_the_Dunes", "url": "http://www.imdb.com/title/tt0058625/"} +{"d:Title": "Woman of the Year (1942)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/W/Woman_of_the_Year", "url": "http://www.imdb.com/title/tt0035567/"} +{"d:Title": "AllMovie: Woman of the Year", "d:Description": "Synopsis, review, credits, awards, and list of similar films.", "topic": "Top/Arts/Movies/Titles/W/Woman_of_the_Year", "url": "http://www.allmovie.com/movie/woman-of-the-year-v55086"} +{"d:Title": "Woman of the Year (1942)", "d:Description": "Analysis of the Spencer Tracy and Katherine Hepburn film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/W/Woman_of_the_Year", "url": "http://allreaders.com/movie-review-summary/woman-of-the-year-1942-6022"} +{"d:Title": "PopMatters: Woman on Top", "d:Description": "Review by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/W/Woman_on_Top", "url": "http://popmatters.com/film/reviews/w/woman-on-top.html"} +{"d:Title": "HARO Online: Woman on Top", "d:Description": "Brief review.", "topic": "Top/Arts/Movies/Titles/W/Woman_on_Top", "url": "http://www.haro-online.com/movies/woman_on_top.html"} +{"d:Title": "All-Reviews.com: Woman on Top", "d:Description": "Review by Susan Granger, plus links to additional reviews.", "topic": "Top/Arts/Movies/Titles/W/Woman_on_Top", "url": "http://www.all-reviews.com/videos-2/woman-on-top.htm"} +{"d:Title": "Rotten Tomatoes: Woman on Top (2000)", "d:Description": "Links to critics' reviews of the film.", "topic": "Top/Arts/Movies/Titles/W/Woman_on_Top", "url": "http://www.rottentomatoes.com/m/woman_on_top/"} +{"d:Title": "Yahoo! Movies: Woman on Top", "d:Description": "Film information, synopsis, DVD details, trailer, credits, reviews, photographs, message board, and links.", "topic": "Top/Arts/Movies/Titles/W/Woman_on_Top", "url": "http://movies.yahoo.com/movie/woman-on-top/"} +{"d:Title": "Metacritic.com: Woman on Top", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Woman_on_Top", "url": "http://www.metacritic.com/movie/woman-on-top"} +{"d:Title": "IMDb: Woman Thou Art Loosed (2004)", "d:Description": "Plot summary, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Woman_Thou_Art_Loosed", "url": "http://www.imdb.com/title/tt0399901/"} +{"d:Title": "Rotten Tomatoes: Woman, Thou Art Loosed", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/Woman_Thou_Art_Loosed", "url": "http://www.rottentomatoes.com/m/woman_thou_art_loosed/"} +{"d:Title": "HARO Online: Woman Thou Art Loosed", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Woman_Thou_Art_Loosed", "url": "http://www.haro-online.com/movies/woman_thou_art_loosed.html"} +{"d:Title": "Magnolia Pictures: Woman Thou Art Loosed", "d:Description": "Synopsis of the film.", "topic": "Top/Arts/Movies/Titles/W/Woman_Thou_Art_Loosed", "url": "http://www.magpictures.com/profile.aspx?id=508d4a88-2d96-41a8-adfd-fdcc6bc71643"} +{"d:Title": "IMDb: Woman Under the Influence", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Woman_Under_the_Influence,_A_-_1974", "url": "http://imdb.com/title/tt0072417/"} +{"d:Title": "IMDb: Woman Wanted (1935)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Woman_Wanted_-_1935", "url": "http://imdb.com/title/tt0027227/"} +{"d:Title": "TV Guide Online: Woman Wanted", "d:Description": "Capsule review of the film, plus cast and crew information.", "topic": "Top/Arts/Movies/Titles/W/Woman_Wanted_-_1935", "url": "http://www.tvguide.com/movies/woman-wanted/115466/"} +{"d:Title": "IMDb: Woman Wanted (1999)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Woman_Wanted_-_1999", "url": "http://imdb.com/title/tt0158369/"} +{"d:Title": "IMDb: Woman X (2001)", "d:Description": "Cast, crew, review links, plot summary, comments, discussion, and taglines.", "topic": "Top/Arts/Movies/Titles/W/Woman_X", "url": "http://imdb.com/title/tt0302042/combined"} +{"d:Title": "IMDb: Elles (1997)", "d:Description": "Cast, crew and production information related to the film, plus links.", "topic": "Top/Arts/Movies/Titles/W/Women", "url": "http://imdb.com/title/tt0119057/"} +{"d:Title": "IMDb: Women's Prison Massacre", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Women's_Prison_Massacre", "url": "http://imdb.com/title/tt0085250/"} +{"d:Title": "ReelViews: The Women", "d:Description": "Review, by James Berardinelli: \"This is Diane English's directing debut, and it shows. Also in evidence is her familiarity with television. The movie is shot like a TV show, with frequent intercut close-ups.\" [Rating: 2.5 out of 4]", "topic": "Top/Arts/Movies/Titles/W/Women,_The", "url": "http://www.reelviews.net/php_review_template.php?identifier=1330"} +{"d:Title": "Rotten Tomatoes: The Women", "d:Description": "Synopsis, cast members, showtimes, photos, trailers, news, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/W/Women,_The", "url": "http://www.rottentomatoes.com/m/10009516-women/"} +{"d:Title": "IGN: The Women", "d:Description": "Photos, videos, message board, and a review (rating: 0.5 out of 5).", "topic": "Top/Arts/Movies/Titles/W/Women,_The", "url": "http://www.ign.com/movies/the-women/theater-14260438"} +{"d:Title": "TV Guide: The Women", "d:Description": "Review, by Maitland McDonagh: \"So consistently, outrageously wrongheaded in every way it's hard to know where to start.\" [Rating: 1 out of 4]", "topic": "Top/Arts/Movies/Titles/W/Women,_The", "url": "http://www.tvguide.com/movies/women/review/294291/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Links to reviews, movie info, trailer, poster, photos, news, articles, and forum.", "topic": "Top/Arts/Movies/Titles/W/Women_in_Love", "url": "http://www.rottentomatoes.com/m/1023913-women_in_love/"} +{"d:Title": "IMDb: Women in Love", "d:Description": "Synopsis, cast and crew, awards, trivia and viewer comments.", "topic": "Top/Arts/Movies/Titles/W/Women_in_Love", "url": "http://www.imdb.com/title/tt0066579/"} +{"d:Title": "IMDb - Women of All Nations (1931)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/W/Women_of_All_Nations", "url": "http://www.imdb.com/title/tt0022576/"} +{"d:Title": "Cinebooks Database - Women of All Nations", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/W/Women_of_All_Nations", "url": "http://www.tvguide.com/movies/women-of-all-nations/123122/"} +{"d:Title": "IMDb: Women of the Night (2000)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Women_of_the_Night_-_2000", "url": "http://imdb.com/title/tt0177997/"} +{"d:Title": "IMDb: Mujeres al borde de un ataque de nervios (1988)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Women_on_the_Verge_of_a_Nervous_Breakdown", "url": "http://imdb.com/title/tt0095675/"} +{"d:Title": "IMDb: Wonderful Ice Cream Suit, The (1998)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wonderful_Ice_Cream_Suit,_The", "url": "http://imdb.com/title/tt0129634/"} +{"d:Title": "IMDb: Wonderguy (1993)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Movies/Titles/W/Wonderguy", "url": "http://www.imdb.com/title/tt0134221/"} +{"d:Title": "All-Reviews.com - Wonderland", "d:Description": "Reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Wonderland_-_1999", "url": "http://all-reviews.com/videos-5/wonderland.htm"} +{"d:Title": "TV Guide Online", "d:Description": "Short review by Maitland McDonagh, plus cast and crew lists.", "topic": "Top/Arts/Movies/Titles/W/Wonderland_-_1999", "url": "http://www.tvguide.com/movies/wonderland/134476/"} +{"d:Title": "IMDb: Wonderland", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wonderland_-_1999", "url": "http://www.imdb.com/title/tt0171865/"} +{"d:Title": "Metacritic.com: Wonderland", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Wonderland_-_1999", "url": "http://www.metacritic.com/movie/wonderland-2000"} +{"d:Title": "Rotten Tomatoes: Wonderland (2000)", "d:Description": "Links to critics' reviews.", "topic": "Top/Arts/Movies/Titles/W/Wonderland_-_1999", "url": "http://www.rottentomatoes.com/m/wonderland_2000/"} +{"d:Title": "HARO Online: Wonderland", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/W/Wonderland_-_2003", "url": "http://www.haro-online.com/movies/wonderland03.html"} +{"d:Title": "Internet Movie Database: Wonderland (2003)", "d:Description": "Synopsis, cast and crew, reviews, trivia, soundtrack listing, production and distribution information, multimedia, and links.", "topic": "Top/Arts/Movies/Titles/W/Wonderland_-_2003", "url": "http://imdb.com/title/tt0335563/"} +{"d:Title": "All-Reviews.com: Wonder Boys", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://www.all-reviews.com/videos/wonder-boys.htm"} +{"d:Title": "PopMatters: Wonder Boys", "d:Description": "Review of the film.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://popmatters.com/film/reviews/w/wonder-boys.html"} +{"d:Title": "HARO Online: Wonder Boys", "d:Description": "A review of the film by Haro.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://www.haro-online.com/movies/wonder_boys.html"} +{"d:Title": "About.com: Wonder Boys", "d:Description": "Ivana Redwine reviews the film.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://homevideo.about.com/library/blpick031501.htm"} +{"d:Title": "RottenTomatoes: Wonder Boys", "d:Description": "Links to reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://www.rottentomatoes.com/m/wonder_boys/"} +{"d:Title": "TV Guide Online", "d:Description": "Review, cast and crew.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://www.tvguide.com/movies/wonder-boys/134410/"} +{"d:Title": "IMDB : Wonder Boys (1999)", "d:Description": "Full cast and crew and company credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://www.imdb.com/title/tt0185014/"} +{"d:Title": "Metacritic.com: Wonder Boys", "d:Description": "Links to reviews on other sites.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://www.metacritic.com/movie/wonder-boys"} +{"d:Title": "Allreaders: Wonder Boys", "d:Description": "Checklist-style 'review' of the movie.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Boys", "url": "http://allreaders.com/movie-review-summary/wonder-boys-4365"} +{"d:Title": "At-a-Glance Film Reviews - Wonder Man (1945)", "d:Description": "Review by Samuel Stoddard (positive), rating.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Man", "url": "http://www.rinkworks.com/movies/m/wonder.man.1945.shtml"} +{"d:Title": "Science Fiction, Horror and Fantasy Film Review: Wonder Man", "d:Description": "Review and rating by Richard Scheib.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Man", "url": "http://www.moria.co.nz/fantasy/wonderman.htm"} +{"d:Title": "Cinebooks Database - Wonder Man", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Man", "url": "http://www.tvguide.com/movies/wonder-man/123136/"} +{"d:Title": "IMDb - Wonder Man (1945)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Man", "url": "http://www.imdb.com/title/tt0038260/combined"} +{"d:Title": "Alex's Asian Movie Reviews - Wonder Seven", "d:Description": "Review by Alex Smits (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Seven", "url": "http://www.alex-in-wonderland.com/MovieReviews/Asian-W/WonderSeven.html"} +{"d:Title": "Love and Bullets - Wonder Seven", "d:Description": "Unsigned review (mixed) with emphasis on DVD production values.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Seven", "url": "http://www.loveandbullets.com/wonder7.htm"} +{"d:Title": "Qwipster's Movie Reviews - Wonder Seven", "d:Description": "Review by Vince Leo (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Seven", "url": "http://www.qwipster.net/wonderseven.htm"} +{"d:Title": "IMDb - 7 jin gong (1994)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Seven", "url": "http://www.imdb.com/title/tt0110923/"} +{"d:Title": "Austin Chronicle - Wonder Seven", "d:Description": "Review by Marc Savlov (predominantly positive), rating.", "topic": "Top/Arts/Movies/Titles/W/Wonder_Seven", "url": "http://www.austinchronicle.com/calendar/film/1997-05-09/wonder-seven/"} +{"d:Title": "IMDb: Woo (1998)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Woo", "url": "http://imdb.com/title/tt0120531/"} +{"d:Title": "IMDb: Wood, The (1999)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wood,_The", "url": "http://imdb.com/title/tt0161100/"} +{"d:Title": "The Woodlanders", "d:Description": "A viewer's comments.", "topic": "Top/Arts/Movies/Titles/W/Woodlanders,_The", "url": "http://www.angelfire.com/co2/rufussewell/reviewwood.html"} +{"d:Title": "IMDb: The Woodlanders", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Woodlanders,_The", "url": "http://www.imdb.com/title/tt0120532/"} +{"d:Title": "HARO Online Review of The Woodsman", "d:Description": "Offers a synopsis and review.", "topic": "Top/Arts/Movies/Titles/W/Woodsman,_The", "url": "http://www.haro-online.com/movies/woodsman.html"} +{"d:Title": "IMDB - The Woodsman", "d:Description": "Includes synopsis, discussions, pictures, cast, and crew.", "topic": "Top/Arts/Movies/Titles/W/Woodsman,_The", "url": "http://www.imdb.com/title/tt0361127/"} +{"d:Title": "IMDb: Words and Music (1948)", "d:Description": "Includes a plot outline, trailer, and cast list.", "topic": "Top/Arts/Movies/Titles/W/Words_and_Music", "url": "http://www.imdb.com/title/tt0040976/"} +{"d:Title": "Official web site", "d:Description": "Photos, information about the movie, weblog and community scrapbook.", "topic": "Top/Arts/Movies/Titles/W/Word_is_Out", "url": "http://www.wordisoutmovie.com/"} +{"d:Title": "HARO Online: Word Wars", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/W/Word_Wars", "url": "http://www.haro-online.com/movies/word_wars.html"} +{"d:Title": "IMDb: Word Wars (2004)", "d:Description": "Plot summary, user reviews, and message board.", "topic": "Top/Arts/Movies/Titles/W/Word_Wars", "url": "http://www.imdb.com/title/tt0390632/"} +{"d:Title": "Rotten Tomatoes: Word Wars", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/W/Word_Wars", "url": "http://www.rottentomatoes.com/m/word_wars/"} +{"d:Title": "Chicago Sun-Times: Word Wars", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/W/Word_Wars", "url": "http://www.rogerebert.com/reviews/word-wars-2004"} +{"d:Title": "Fast-Rewin.com: Working Girl", "d:Description": "Plot synopsis, thumbnail review by Kelly Fitzgerald, and trivia.", "topic": "Top/Arts/Movies/Titles/W/Working_Girl", "url": "http://www.fast-rewind.com/workinggirl.htm"} +{"d:Title": "Allreaders: Working Girl", "d:Description": "Checklist-style 'review' of the film.", "topic": "Top/Arts/Movies/Titles/W/Working_Girl", "url": "http://allreaders.com/movie-review-summary/working-girl-3548"} +{"d:Title": "IMDb: Working Stiff", "d:Description": "Cast and crew information and user ratings.", "topic": "Top/Arts/Movies/Titles/W/Working_Stiff", "url": "http://www.imdb.com/title/tt0161102/"} +{"d:Title": "IMDb: Working with Orson Welles (1993) (V)", "d:Description": "Information on the production.", "topic": "Top/Arts/Movies/Titles/W/Working_with_Orson_Welles", "url": "http://imdb.com/title/tt0304952/"} +{"d:Title": "IMDb: World According to Garp, The (1982)", "d:Description": "Cast, crew, plot summary, viewer comments, and other movie data.", "topic": "Top/Arts/Movies/Titles/W/World_According_to_Garp,_The", "url": "http://www.imdb.com/title/tt0084917/"} +{"d:Title": "The DVD Journal Quick Reviews: The World of Suzie Wong", "d:Description": "Brief review of the DVD release of the film", "topic": "Top/Arts/Movies/Titles/W/World_of_Suzie_Wong,_The", "url": "http://www.dvdjournal.com/quickreviews/w/worldofsuziewong.q.shtml"} +{"d:Title": "IMDb - World of Suzie Wong, The", "d:Description": "Features cast and crew details, plot summary, and links.", "topic": "Top/Arts/Movies/Titles/W/World_of_Suzie_Wong,_The", "url": "http://www.imdb.com/title/tt0054483/"} +{"d:Title": "DVD Talk Reviews World of Suzie Wong", "d:Description": "Extensive review of the film", "topic": "Top/Arts/Movies/Titles/W/World_of_Suzie_Wong,_The", "url": "http://www.dvdtalk.com/reviews/11339/world-of-suzie-wong/"} +{"d:Title": "HARO Online: World Traveler", "d:Description": "Review by 'Mongoose.'", "topic": "Top/Arts/Movies/Titles/W/World_Traveler", "url": "http://www.haro-online.com/movies/world_traveler.html"} +{"d:Title": "All-Reviews.com: World Traveler", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/W/World_Traveler", "url": "http://www.all-reviews.com/videos-4/world-traveler.htm"} +{"d:Title": "IMDb: World Traveler (2001)", "d:Description": "Cast, crew and other credits plus links.", "topic": "Top/Arts/Movies/Titles/W/World_Traveler", "url": "http://imdb.com/title/tt0262911/"} +{"d:Title": "Metacritic.com: World Traveler", "d:Description": "Quotes from and links to reviews of the film, and an overall rating.", "topic": "Top/Arts/Movies/Titles/W/World_Traveler", "url": "http://www.metacritic.com/movie/world-traveler"} +{"d:Title": "IMDb: Tian xia wu zei", "d:Description": "Cast and crew, reviews, plot summary, technical details, and forum.", "topic": "Top/Arts/Movies/Titles/W/World_Without_Thieves,_A", "url": "http://www.imdb.com/title/tt0439884/"} +{"d:Title": "LoveHKFilm: A World Without Thieves", "d:Description": "Informative review, awards, and DVD information.", "topic": "Top/Arts/Movies/Titles/W/World_Without_Thieves,_A", "url": "http://www.lovehkfilm.com/panasia/world_without_thieves.htm"} +{"d:Title": "China Daily: \"Top director's new endeavour\"", "d:Description": "Article discusses the success of the film, its making, and talks to the cast.", "topic": "Top/Arts/Movies/Titles/W/World_Without_Thieves,_A", "url": "http://www.chinadaily.com.cn/english/doc/2004-12/17/content_400992.htm"} +{"d:Title": "IMDb: Wounded (1997)", "d:Description": "Cast, crew and other information on the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wounded", "url": "http://imdb.com/title/tt0120534/"} +{"d:Title": "IMDb: Wounds", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wounds,_The", "url": "http://imdb.com/title/tt0165546/"} +{"d:Title": "IMDb: Woyzeck (1979)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Woyzeck", "url": "http://imdb.com/title/tt0080149/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Overview, filming locations, pictures, trivia, and soundtrack information.", "topic": "Top/Arts/Movies/Titles/W/Wraith,_The", "url": "http://www.fast-rewind.com/wraith.htm"} +{"d:Title": "IMDb: The Wraith", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/W/Wraith,_The", "url": "http://www.imdb.com/title/tt0092240/"} +{"d:Title": "IMDb: Wrath of Gods", "d:Description": "Offers full cast and crew, memorable quotes, photo gallery, filming locations and discussion board.", "topic": "Top/Arts/Movies/Titles/W/Wrath_of_Gods", "url": "http://www.imdb.com/title/tt0830699/"} +{"d:Title": "Wrath of Gods", "d:Description": "Official site offers latest news, director's weblog, photos, film festival information, trailer and contact details.", "topic": "Top/Arts/Movies/Titles/W/Wrath_of_Gods", "url": "http://www.wrathofgods.com/"} +{"d:Title": "IMDb: Wrecking Crew, The (1999)", "d:Description": "Cast, crew and other information on the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wrecking_Crew,_The_-_1999", "url": "http://imdb.com/title/tt0196219/"} +{"d:Title": "IMDb: Wristcutters: A Love Story", "d:Description": "Cast info along with photo gallery, awards listing, and message board.", "topic": "Top/Arts/Movies/Titles/W/Wristcutters_A_Love_Story", "url": "http://www.imdb.com/title/tt0477139/"} +{"d:Title": "Myspace: Wristcutters: A Love Story", "d:Description": "Features weblog by the filmmakers and public comments.", "topic": "Top/Arts/Movies/Titles/W/Wristcutters_A_Love_Story", "url": "http://myspace.com/wristcutters"} +{"d:Title": "Wikipedia - Wristcutters: A Love Story", "d:Description": "Features cast, trivia and links.", "topic": "Top/Arts/Movies/Titles/W/Wristcutters_A_Love_Story", "url": "http://en.wikipedia.org/wiki/Wristcutters:_A_Love_Story"} +{"d:Title": "AfterDark Loves Wristcutters", "d:Description": "Article about the film available by subscription.", "topic": "Top/Arts/Movies/Titles/W/Wristcutters_A_Love_Story", "url": "http://www.hollywoodreporter.com/news/afterdark-loves-wristcutters-131490"} +{"d:Title": "Variety's Festivals&Markets - Wristcutters: A Love Story", "d:Description": "Archive subscription only access. Review by Justin Chang about the story.", "topic": "Top/Arts/Movies/Titles/W/Wristcutters_A_Love_Story", "url": "http://www.varietyultimate.com/archive/issue/WV-01-30-2006-58"} +{"d:Title": "Written on the Wind (1956)", "d:Description": "A review by Tim Dirks.", "topic": "Top/Arts/Movies/Titles/W/Written_on_the_Wind", "url": "http://www.filmsite.org/writt.html"} +{"d:Title": "Written on the Wind (1956)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/W/Written_on_the_Wind", "url": "http://www.imdb.com/title/tt0049966/"} +{"d:Title": "Written on the Wind", "d:Description": "Review by Roger Ebert for the Chicago Sun-Times.", "topic": "Top/Arts/Movies/Titles/W/Written_on_the_Wind", "url": "http://www.rogerebert.com/reviews/great-movie-written-on-the-wind-1956"} +{"d:Title": "Allreaders Review - Written On The Wind", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/W/Written_on_the_Wind", "url": "http://allreaders.com/movie-review-summary/written-on-the-wind-6740"} +{"d:Title": "IMDb: Wrongfully Accused (1998)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wrongfully_Accused", "url": "http://imdb.com/title/tt0120901/"} +{"d:Title": "IMDb: Wrong Numbers (2001)", "d:Description": "Cast, crew and other credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wrong_Numbers", "url": "http://imdb.com/title/tt0297470/"} +{"d:Title": "All-Reviews.com: Wrong Turn", "d:Description": "Multiple reviews of the movie.", "topic": "Top/Arts/Movies/Titles/W/Wrong_Turn", "url": "http://www.all-reviews.com/videos-5/wrong-turn.htm"} +{"d:Title": "IMDb - Wrong Turn", "d:Description": "Contains cast and crew details, quotes, goofs, photos, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/W/Wrong_Turn", "url": "http://www.imdb.com/title/tt0295700/"} +{"d:Title": "Contactmusic.com - Wrong Turn", "d:Description": "Contains plot summary with pictures.", "topic": "Top/Arts/Movies/Titles/W/Wrong_Turn", "url": "http://www.contactmusic.com/pages/wrongturnx16x06x03"} +{"d:Title": "Greatest Films: Wuthering Heights (1939)", "d:Description": "Review by Tim Dirks, including extensive synopsis and quotes of dialogue.", "topic": "Top/Arts/Movies/Titles/W/Wuthering_Heights_-_1939", "url": "http://www.filmsite.org/wuth.html"} +{"d:Title": "All-Reviews.com: Wuthering Heights", "d:Description": "Review of the movie by Brian Koller.", "topic": "Top/Arts/Movies/Titles/W/Wuthering_Heights_-_1939", "url": "http://www.all-reviews.com/videos-4/wuthering-heights.htm"} +{"d:Title": "IMDb: Wuthering Heights (1939)", "d:Description": "Cast and credits, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wuthering_Heights_-_1939", "url": "http://imdb.com/title/tt0032145/"} +{"d:Title": "IMDb: Wuthering Heights (1970)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wuthering_Heights_-_1970", "url": "http://imdb.com/title/tt0066585/"} +{"d:Title": "IMDb: Wuthering Heights (1992)", "d:Description": "Cast, crew and other information about the production, plus links.", "topic": "Top/Arts/Movies/Titles/W/Wuthering_Heights_-_1992", "url": "http://imdb.com/title/tt0104181/"} +{"d:Title": "Filmtracks.com: The X-Files", "d:Description": "Features review of the movie's soundtrack.", "topic": "Top/Arts/Movies/Titles/X/X-Files,_The", "url": "http://www.filmtracks.com/titles/xfiles.html"} +{"d:Title": "The X-Files Movie", "d:Description": "Plot synopsis, credits, 4 movie posters, 3 Quicktime movie trailers.", "topic": "Top/Arts/Movies/Titles/X/X-Files,_The", "url": "http://xfiles.wearehere.net/movie.htm"} +{"d:Title": "IMDb: The X-Files", "d:Description": "The Internet Movie Database includes plot outline, user comments, ratings, cast and crew credits.", "topic": "Top/Arts/Movies/Titles/X/X-Files,_The", "url": "http://www.imdb.com/title/tt0120902/"} +{"d:Title": "X-Men Movie WebFiles", "d:Description": "Audio clips and still images from the movie. Plus links to related sites.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men", "url": "http://www.angelfire.com/film/xmenmovie/"} +{"d:Title": "Filmtracks: X-Men", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men", "url": "http://www.filmtracks.com/titles/xmen.html"} +{"d:Title": "X-Men Music Videos", "d:Description": "Fan site featuring music videos made using the X-Men movies and popular songs.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men", "url": "http://www.angelfire.com/film/loganrogueshipper/"} +{"d:Title": "Rotten Tomatoes: X-Men (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men", "url": "http://www.rottentomatoes.com/m/xmen/"} +{"d:Title": "IMDb: X-Men", "d:Description": "The Internet Movie Database includes plot outline, user comments, and cast overview.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men", "url": "http://www.imdb.com/title/tt0120903/"} +{"d:Title": "Animal Magnetism", "d:Description": "Features X-Men and Wolverine focused fan fiction and wallpapers.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men/Fan_Works", "url": "http://www.jordanna.net/librarie/xmen"} +{"d:Title": "The Hugh Jackman Fiction Zone", "d:Description": "Clean fiction forum for fans of Hugh Jackman's Wolverine and the X-men movies. Features writer's challenges and links.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men/Fan_Works", "url": "http://hjfictionzone.yolasite.com/"} +{"d:Title": "All-Reviews.com: X-Men", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men/Reviews", "url": "http://www.all-reviews.com/videos/x-men.htm"} +{"d:Title": "AskMen.com - X-MEN", "d:Description": "Male perspective movie review.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men/Reviews", "url": "http://www.askmen.com/toys/movies/31_movie_reviews.html"} +{"d:Title": "Metacritic.com: X-Men", "d:Description": "Provides links to reviews of the movie.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men/Reviews", "url": "http://www.metacritic.com/movie/x-men"} +{"d:Title": "KillerMovies.com - X-Men 2", "d:Description": "Synopsis along with news and rumors.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_2", "url": "http://www.killermovies.com/x/xmen2/"} +{"d:Title": "Filmtracks: X2: X-Men United", "d:Description": "A review of the film's soundtrack in which the author states the soundtrack is good \"if you prefer your super-hero scores to be dynamically orchestral with strong choral and thematic integrity.\"", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_2", "url": "http://www.filmtracks.com/titles/x2.html"} +{"d:Title": "Rotten Tomatoes: X-Men 2", "d:Description": "The movie guide collects news articles and reviews on the superhero sequel. Also includes a plot synopsis and a public forum.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_2", "url": "http://www.rottentomatoes.com/m/x2_xmen_united/"} +{"d:Title": "The Sci-Fi Movie Page: X-Men 2", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_2", "url": "http://www.scifimoviepage.com/x2.html"} +{"d:Title": "IMDb - X-Men 2", "d:Description": "Features cast and crew details from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_2", "url": "http://www.imdb.com/title/tt0290334/"} +{"d:Title": "Yahoo Movies: X-Men 2", "d:Description": "Reviews, cast and crew credits, photographs, and rating.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_2", "url": "https://www.yahoo.com/movies/film/x2-xmen-united"} +{"d:Title": "X-Men: The Last Stand (2006)", "d:Description": "Cast and crew details, message board, trailer, and plot summary.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_3_-_The_Last_Stand", "url": "http://www.imdb.com/title/tt0376994/"} +{"d:Title": "KillerMovies: X-Men 3", "d:Description": "News articles relating to the third film's production.", "topic": "Top/Arts/Movies/Titles/X/X-Men_Series/X-Men_3_-_The_Last_Stand", "url": "http://www.killermovies.com/x/xmen3/"} +{"d:Title": "The 80's Movies Rewind", "d:Description": "Features a review, trivia, and information about filming locations.", "topic": "Top/Arts/Movies/Titles/X/Xanadu", "url": "http://www.fast-rewind.com/xanadu.htm"} +{"d:Title": "IMDb - Xanadu", "d:Description": "Credits for Xanadu (1980) in the IMDB.", "topic": "Top/Arts/Movies/Titles/X/Xanadu", "url": "http://www.imdb.com/title/tt0081777/"} +{"d:Title": "LowComDom - Xanadu", "d:Description": "The LowComDom Online Review on Xanadu.", "topic": "Top/Arts/Movies/Titles/X/Xanadu/Reviews", "url": "http://www.lowcomdom.com/film/x/xanadu.html"} +{"d:Title": "PopMatters - Xiu Xiu", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/X/Xiu_Xiu_-_The_Sent_Down_Girl", "url": "http://popmatters.com/film/reviews/x/xiu-xiu.html"} +{"d:Title": "HARO Online - Xiu Xiu", "d:Description": "Review of the film", "topic": "Top/Arts/Movies/Titles/X/Xiu_Xiu_-_The_Sent_Down_Girl", "url": "http://www.haro-online.com/movies/xiu_xiu.html"} +{"d:Title": "All-Reviews.com: XXX", "d:Description": "Reviews of the movie by several contributors.", "topic": "Top/Arts/Movies/Titles/X/xXx", "url": "http://www.all-reviews.com/videos-4/xxx.htm"} +{"d:Title": "HARO Online: XXX", "d:Description": "Haro reviews the film.", "topic": "Top/Arts/Movies/Titles/X/xXx", "url": "http://www.haro-online.com/movies/xxx.html"} +{"d:Title": "Rotten Tomatoes - TripleX", "d:Description": "Movie guide including the teaser trailer, a plot synopsis, and a growing collection of news articles for the Vin Diesel action movie.", "topic": "Top/Arts/Movies/Titles/X/xXx", "url": "http://www.rottentomatoes.com/m/xxx/"} +{"d:Title": "IMDb: XXX (2002)", "d:Description": "Cast and crew listings, synopsis, trailer, user reviews and press links.", "topic": "Top/Arts/Movies/Titles/X/xXx", "url": "http://www.imdb.com/title/tt0295701/"} +{"d:Title": "Metacritic.com: XXX", "d:Description": "Quotes from and links to reviews of the film, as well as user comments.", "topic": "Top/Arts/Movies/Titles/X/xXx", "url": "http://www.metacritic.com/movie/xxx"} +{"d:Title": "HARO Online", "d:Description": "Haro reviews and rates \"xXx: State of the Union.\"", "topic": "Top/Arts/Movies/Titles/X/xXx_-_State_of_the_Union", "url": "http://www.haro-online.com/movies/xxx_state_union.html"} +{"d:Title": "xXx: State of the Union (2005)", "d:Description": "Cast details, trailer, user comments, plot summary, and related links. From the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/X/xXx_-_State_of_the_Union", "url": "http://www.imdb.com/title/tt0329774/"} +{"d:Title": "XXX: State of the Union", "d:Description": "\"'XXX: State of the Union' is theater of the absurd, masquerading as an action thriller.\" Review by Roger Ebert. [Chicago Sun Times]", "topic": "Top/Arts/Movies/Titles/X/xXx_-_State_of_the_Union", "url": "http://www.rogerebert.com/reviews/xxx-state-of-the-union-2005"} +{"d:Title": "BBCi: The Man With The X-Ray Eyes", "d:Description": "Review (3 out of 5 stars). \"An engrossing and disturbing science fiction drama.\"", "topic": "Top/Arts/Movies/Titles/X/X_-_The_Man_with_the_X-Ray_Eyes", "url": "http://www.bbc.co.uk/films/2001/01/18/x_the_man_with_x_ray_eyes_1963_review.shtml"} +{"d:Title": "IMDb - X (1963)", "d:Description": "Cast, credits, reviews and user comments.", "topic": "Top/Arts/Movies/Titles/X/X_-_The_Man_with_the_X-Ray_Eyes", "url": "http://www.imdb.com/title/tt0057693/"} +{"d:Title": "IMDb - Y2K (1999I)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Movies/Titles/Y/Y2K", "url": "http://www.imdb.com/title/tt0196221/"} +{"d:Title": "Yakshagana (1979)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yakshagana", "url": "http://www.imdb.com/title/tt0215371/"} +{"d:Title": "TV Guide Online: The Yakuza", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/Y/Yakuza,_The", "url": "http://www.tvguide.com/movies/yakuza/123226"} +{"d:Title": "IMDb: The Yakuza (1975)", "d:Description": "Full cast and crew links, synopsis, and comments.", "topic": "Top/Arts/Movies/Titles/Y/Yakuza,_The", "url": "http://www.imdb.com/title/tt0073918/"} +{"d:Title": "IMDb : Yangtse Incident (1957)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yangtse_Incident", "url": "http://www.imdb.com/title/tt0051209/"} +{"d:Title": "IMDB : Yankee Buccaneer (1952)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Buccaneer", "url": "http://www.imdb.com/title/tt0045343/"} +{"d:Title": "Greatest Films - Yankee Doodle Dandy (1942)", "d:Description": "Detailed review, synopsis and discussion of the film", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Doodle_Dandy", "url": "http://www.filmsite.org/yank.html"} +{"d:Title": "At-a-Glance Film Reviews - Yankee Doodle Dandy (1942)", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Doodle_Dandy", "url": "http://www.rinkworks.com/movies/m/yankee.doodle.dandy.1942.shtml"} +{"d:Title": "TV Guide Online: Yankee Doodle Dandy", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Doodle_Dandy", "url": "http://www.tvguide.com/movies/yankee-doodle-dandy/123240"} +{"d:Title": "Yankee Doodle Dandy (1942)", "d:Description": "Cast, crew and reviews from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Doodle_Dandy", "url": "http://www.imdb.com/title/tt0035575/"} +{"d:Title": "Chicago Sun Times - Yankee Doodle Dandy", "d:Description": "Review essay by Roger Ebert.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Doodle_Dandy", "url": "http://www.rogerebert.com/reviews/great-movie-yankee-doodle-dandy-1942"} +{"d:Title": "IMDB : Yankee Fakir, The (1947)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Fakir,_The", "url": "http://www.imdb.com/title/tt0040008/"} +{"d:Title": "IMDB : Yankee Pasha (1954)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Pasha", "url": "http://www.imdb.com/title/tt0047684/"} +{"d:Title": "IMDB : Yankee Seduction (1984)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Seduction", "url": "http://www.imdb.com/title/tt0153975/"} +{"d:Title": "TV Guide Online: Yankee Zulu", "d:Description": "Review and credits.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Zulu", "url": "http://www.tvguide.com/movies/yankee-zulu/131540"} +{"d:Title": "IMDB : Yankee Zulu (1994)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yankee_Zulu", "url": "http://www.imdb.com/title/tt0111787/"} +{"d:Title": "IMDB : Yanks (1979)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yanks", "url": "http://www.imdb.com/title/tt0080157/"} +{"d:Title": "IMDB : Yanks Ahoy! (1943)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yanks_Ahoy", "url": "http://www.imdb.com/title/tt0036548/"} +{"d:Title": "IMDB : Yanks Are Coming, The (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yanks_Are_Coming,_The", "url": "http://www.imdb.com/title/tt0035576/"} +{"d:Title": "IMDB : Yank at Eton, A (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_at_Eton,_A", "url": "http://www.imdb.com/title/tt0035572/"} +{"d:Title": "IMDB : Yank at Oxford, A (1938)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_at_Oxford,_A", "url": "http://www.imdb.com/title/tt0030989/"} +{"d:Title": "IMDB : Yank in Ermine, A (1955)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_in_Ermine,_A", "url": "http://www.imdb.com/title/tt0048815/"} +{"d:Title": "IMDB : Yank in Indo-China, A (1952)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_in_Indo-China,_A", "url": "http://www.imdb.com/title/tt0045342/"} +{"d:Title": "IMDB : Yank in Korea, A (1951)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_in_Korea,_A", "url": "http://www.imdb.com/title/tt0044220/"} +{"d:Title": "IMDB : Yank in Libya, A (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_in_Libya,_A", "url": "http://www.imdb.com/title/tt0035573/"} +{"d:Title": "IMDB : Yank in the RAF, A (1941)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_in_the_RAF,_A", "url": "http://www.imdb.com/title/tt0034405/"} +{"d:Title": "IMDB : Yank in Viet-Nam, A (1964)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_in_Viet-Nam,_A", "url": "http://www.imdb.com/title/tt0058761/"} +{"d:Title": "IMDB : Yank My Doodle, It's a Dandy! (1985)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_My_Doodle,_It's_a_Dandy", "url": "http://www.imdb.com/title/tt0192836/"} +{"d:Title": "IMDB : Yank on the Burma Road (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yank_on_the_Burma_Road", "url": "http://www.imdb.com/title/tt0035574/"} +{"d:Title": "IMDB : Yaqui Drums (1956)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yaqui_Drums", "url": "http://www.imdb.com/title/tt0049970/"} +{"d:Title": "All-Reviews.com: The Yards", "d:Description": "Collection of reviews.", "topic": "Top/Arts/Movies/Titles/Y/Yards,_The", "url": "http://www.all-reviews.com/videos-2/yards.htm"} +{"d:Title": "PopMatters: The Yards", "d:Description": "Review of the film by Cynthia Fuchs.", "topic": "Top/Arts/Movies/Titles/Y/Yards,_The", "url": "http://popmatters.com/film/reviews/y/yards.html"} +{"d:Title": "Rotten Tomatoes - The Yards (2000)", "d:Description": "Reviews and reactions from top critics. News, trailers, photos, and synopsis.", "topic": "Top/Arts/Movies/Titles/Y/Yards,_The", "url": "http://www.rottentomatoes.com/m/yards/"} +{"d:Title": "The Ex-Con Is Determined to Go Straight, but . . .", "d:Description": "Review by Stephen Holden [New York Times].", "topic": "Top/Arts/Movies/Titles/Y/Yards,_The", "url": "http://www.nytimes.com/2000/10/20/movies/film-review-the-ex-con-is-determined-to-go-straight-but.html"} +{"d:Title": "Metacritic.com: The Yards", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/Y/Yards,_The", "url": "http://www.metacritic.com/movie/the-yards"} +{"d:Title": "IMDB : The Yards (2000)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yards,_The", "url": "http://www.imdb.com/title/tt0138946/"} +{"d:Title": "Allreaders Review: The Yards", "d:Description": "Analytical review of the plot, setting, theme, and structure of the film, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/Y/Yards,_The", "url": "http://allreaders.com/movie-review-summary/the-yards-5864"} +{"d:Title": "IMDB : Yardstick for Rumors, A (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yardstick_for_Rumors,_A", "url": "http://www.imdb.com/title/tt0215373/"} +{"d:Title": "IMDB : Yard Limit (1970)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yard_Limit", "url": "http://www.imdb.com/title/tt0149390/"} +{"d:Title": "IMDB : Yarn About Yarn, A (1941)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yarn_About_Yarn,_A", "url": "http://www.imdb.com/title/tt0153977/"} +{"d:Title": "IMDB : Yarn of Wool (1932)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yarn_of_Wool", "url": "http://www.imdb.com/title/tt0147734/"} +{"d:Title": "IMDb - Yarn Princess, The (1994)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/Y/Yarn_Princess,_The", "url": "http://www.imdb.com/title/tt0111790/"} +{"d:Title": "IMDb - The Year of Living Dangerously (1982)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/Y/Year_of_Living_Dangerously,_The", "url": "http://www.imdb.com/title/tt0086617/"} +{"d:Title": "All-Reviews: Year of the Dragon", "d:Description": "Review of the film by Dragan Antulov.", "topic": "Top/Arts/Movies/Titles/Y/Year_of_the_Dragon", "url": "http://www.all-reviews.com/videos-4/year-of-the-dragon.htm"} +{"d:Title": "IMDb - Yellow", "d:Description": "Features cast and crew details, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/Y/Yellow", "url": "http://www.imdb.com/title/tt0122804/"} +{"d:Title": "IMDb: Yes Man", "d:Description": "Features plot summaries, reviews, cast lists and message boards.", "topic": "Top/Arts/Movies/Titles/Y/Yes_Man", "url": "http://www.imdb.com/title/tt1068680/"} +{"d:Title": "Wikipedia: Yes Man", "d:Description": "Article contains a plot summary, cast and production information.", "topic": "Top/Arts/Movies/Titles/Y/Yes_Man", "url": "http://en.wikipedia.org/wiki/Yes_Man_(film)"} +{"d:Title": "Yes Man", "d:Description": "Official site. Contains the trailer, pictures and information about the dvd.", "topic": "Top/Arts/Movies/Titles/Y/Yes_Man", "url": "http://www.warnerbros.com/yes-man"} +{"d:Title": "IMDb - The Yes Men (2003)", "d:Description": "Cast/crew list and film information.", "topic": "Top/Arts/Movies/Titles/Y/Yes_Men,_The", "url": "http://www.imdb.com/title/tt0379593/"} +{"d:Title": "Identity Correction - Yes Men Style", "d:Description": "Three Monkeys Online interviews Andy Bichlbaum about the movie and his pranks.", "topic": "Top/Arts/Movies/Titles/Y/Yes_Men,_The", "url": "http://www.threemonkeysonline.com/als/_the_yes_men_andy_bichlbaum_interview.html"} +{"d:Title": "IMDb - The Yes Men Fix the World (2009)", "d:Description": "Cast/crew credits and film information.", "topic": "Top/Arts/Movies/Titles/Y/Yes_Men_Fix_the_World,_The", "url": "http://www.imdb.com/title/tt1352852/"} +{"d:Title": "In New Film, Anti-Corporate Pranksters the Yes Men Continue to Jolt Polluters and Profiteers", "d:Description": "Video interview of The Yes Men on the release of the film, with audio and transcript. [Democracy Now]", "topic": "Top/Arts/Movies/Titles/Y/Yes_Men_Fix_the_World,_The", "url": "http://www.democracynow.org/2009/7/23/yes_men"} +{"d:Title": "New York Post Review", "d:Description": "Rated 3/4. \"While you might disagree with their politics, it's hard not to appreciate the intricate lengths to which the Yes Men go to deliver their message.\"", "topic": "Top/Arts/Movies/Titles/Y/Yes_Men_Fix_the_World,_The", "url": "http://www.nypost.com/p/entertainment/tv/item_zys8o15mOSwGEyq6mSRRvM"} +{"d:Title": "IMDB : Ye Olde Minstrels (1941)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Ye_Olde_Minstrels", "url": "http://www.imdb.com/title/tt0034406/"} +{"d:Title": "IMDB : Ye Olde Songs (1932)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Ye_Olde_Songs", "url": "http://www.imdb.com/title/tt0153978/"} +{"d:Title": "IMDB : Ye Olde Toy Shop (1935)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Ye_Olde_Toy_Shop", "url": "http://www.imdb.com/title/tt0153979/"} +{"d:Title": "All-Reviews.com: Yojimbo", "d:Description": "Review by David Macdonald.", "topic": "Top/Arts/Movies/Titles/Y/Yojimbo", "url": "http://www.all-reviews.com/videos-4/yojimbo.htm"} +{"d:Title": "IMDb: Yojimbo", "d:Description": "Cast, production credits, and information.", "topic": "Top/Arts/Movies/Titles/Y/Yojimbo", "url": "http://www.imdb.com/title/tt0055630/"} +{"d:Title": "Yolngu Boy", "d:Description": "Official site featuring a synopsis, cast information, trailer, educational resources and study guide, a history of the Yolngu people, glossary, and behind the scenes video clips.", "topic": "Top/Arts/Movies/Titles/Y/Yolngu_Boy", "url": "http://www.yolnguboy.com/"} +{"d:Title": "IMDB.com - Yolngu Boy", "d:Description": "Information about the movie, cast list, plot summary and message board.", "topic": "Top/Arts/Movies/Titles/Y/Yolngu_Boy", "url": "http://www.imdb.com/title/tt0266078/"} +{"d:Title": "New indigenous film to open", "d:Description": "Transcript of an interview with director Stephen Johnson.", "topic": "Top/Arts/Movies/Titles/Y/Yolngu_Boy", "url": "http://www.abc.net.au/7.30/stories/s263287.htm"} +{"d:Title": "A Failure to Confront Reality", "d:Description": "A review by Mile Klindo. Includes a full plot summary and a discussion about the socio-economic problems faced by Aboriginal people in Australia.", "topic": "Top/Arts/Movies/Titles/Y/Yolngu_Boy", "url": "http://www.wsws.org/en/articles/2001/05/yoln-m17.html"} +{"d:Title": "HARO Online: Yossi and Jagger", "d:Description": "Mongoose reviews the movie.", "topic": "Top/Arts/Movies/Titles/Y/Yossi_and_Jagger", "url": "http://www.haro-online.com/movies/yossi_and_jagger.html"} +{"d:Title": "IMDb - Yossi and Jagger", "d:Description": "Contains cast and crew details, plot summary, and links to external review sites.", "topic": "Top/Arts/Movies/Titles/Y/Yossi_and_Jagger", "url": "http://www.imdb.com/title/tt0334754/"} +{"d:Title": "IMDb: You'll Get Over It (\u00c0 cause d'un gar\u00e7on)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/You'll_Get_Over_It", "url": "http://www.imdb.com/title/tt0311943/"} +{"d:Title": "HARO Online: You'll Get Over It", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/Y/You'll_Get_Over_It", "url": "http://www.haro-online.com/movies/youll_get_over_it.html"} +{"d:Title": "Rotten Tomatoes: You'll Get Over It", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/Y/You'll_Get_Over_It", "url": "http://www.rottentomatoes.com/m/1134381-youll_get_over_it/"} +{"d:Title": "Cosmopolis", "d:Description": "You've got mail: Tom Hanks and Meg Ryan in a romantic comedy.", "topic": "Top/Arts/Movies/Titles/Y/You've_Got_Mail", "url": "http://www.cosmopolis.ch/english/cosmo1/mail.htm"} +{"d:Title": "All-Reviews.com: You've Got Mail", "d:Description": "Collection of movie reviews.", "topic": "Top/Arts/Movies/Titles/Y/You've_Got_Mail", "url": "http://www.all-reviews.com/videos-3/youve-got-mail.htm"} +{"d:Title": "Crazy for Cinema: You've Got Mail", "d:Description": "Movie review, cast information, and picture.", "topic": "Top/Arts/Movies/Titles/Y/You've_Got_Mail", "url": "http://www.crazy4cinema.com/Review/FilmsY/f_got_mail.html"} +{"d:Title": "You've Got Mail", "d:Description": "Official site with information about the cast, characters, film makers with downloads, screensavers and icons.", "priority": "1", "topic": "Top/Arts/Movies/Titles/Y/You've_Got_Mail", "url": "http://youvegotmail.warnerbros.com/"} +{"d:Title": "Filmtracks: You've Got Mail", "d:Description": "Soundtrack review, audio clips, and ratings.", "topic": "Top/Arts/Movies/Titles/Y/You've_Got_Mail", "url": "http://www.filmtracks.com/titles/youve_got.html"} +{"d:Title": "Rotten Tomatoes: You've Got Mail", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Y/You've_Got_Mail", "url": "http://www.rottentomatoes.com/m/youve_got_mail/"} +{"d:Title": "TV Guide Online: You've Got Mail", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/Y/You've_Got_Mail", "url": "http://www.tvguide.com/movies/youve-got-mail/133666"} +{"d:Title": "Romanticmovies: Young Adam", "d:Description": "Photos, cast/crew list, and trailer.", "topic": "Top/Arts/Movies/Titles/Y/Young_Adam", "url": "http://romanticmovies.about.com/cs/youngadam/"} +{"d:Title": "HARO Online: Young Adam", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/Y/Young_Adam", "url": "http://www.haro-online.com/movies/young_adam.html"} +{"d:Title": "IMDb: Young Adam", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/Young_Adam", "url": "http://www.imdb.com/title/tt0289635/"} +{"d:Title": "Rotten Tomatoes: Young@Heart", "d:Description": "Synopsis, news, showtimes, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/Y/Young_at_Heart", "url": "http://www.rottentomatoes.com/m/youngheart/"} +{"d:Title": "USA Today: Young@Heart", "d:Description": "Review, by Claudia Puig: \"A heartening and poignant affirmation of the transformative power of music.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/Y/Young_at_Heart", "url": "http://usatoday30.usatoday.com/life/movies/reviews/2008-04-08-young-heart_N.htm"} +{"d:Title": "Philadelphia Inquirer: Young@Heart", "d:Description": "Review, by Carrie Rickey: \"Vibrant and vivacious documentary.\" [Rating: 3.5 out of 4]", "topic": "Top/Arts/Movies/Titles/Y/Young_at_Heart", "url": "http://articles.philly.com/2008-04-02/news/24989567_1_young-heart-opening-night-music-videos"} +{"d:Title": "Rotten Tomatoes: Young Doctors in Love", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Y/Young_Doctors_in_Love", "url": "http://www.rottentomatoes.com/m/young_doctors_in_love/"} +{"d:Title": "Movie Mistakes.com: Young Doctors in Love", "d:Description": "Errors in the film.", "topic": "Top/Arts/Movies/Titles/Y/Young_Doctors_in_Love", "url": "http://www.moviemistakes.com/film1433"} +{"d:Title": "IMDb: Young Doctors in Love", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/Young_Doctors_in_Love", "url": "http://www.imdb.com/title/tt0084938/"} +{"d:Title": "IMDb: The Young Girl and the Monsoon", "d:Description": "Cast information and user comments.", "topic": "Top/Arts/Movies/Titles/Y/Young_Girl_and_the_Monsoon,_The", "url": "http://www.imdb.com/title/tt0161109/"} +{"d:Title": "Metacritic.com: The Young Girl and the Monsoon", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/Y/Young_Girl_and_the_Monsoon,_The", "url": "http://www.metacritic.com/movie/the-young-girl-and-the-monsoon"} +{"d:Title": "Rotten Tomatoes: Young Guns", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Y/Young_Guns", "url": "http://www.rottentomatoes.com/m/young_guns/"} +{"d:Title": "IMDb: Young Guns (1988)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/Young_Guns", "url": "http://www.imdb.com/title/tt0096487/"} +{"d:Title": "Allreaders Review: Young Guns", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film.", "topic": "Top/Arts/Movies/Titles/Y/Young_Guns", "url": "http://allreaders.com/movie-review-summary/young-guns-4214"} +{"d:Title": "All-Reviews.com: The Young Poisoner's Handbook", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/Y/Young_Poisoner's_Handbook,_The", "url": "http://www.all-reviews.com/videos-3/young-poisoners-handbook.htm"} +{"d:Title": "IMDb: The Young Poisoner's Handbook", "d:Description": "Contains synopsis, cast and crew details, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/Young_Poisoner's_Handbook,_The", "url": "http://www.imdb.com/title/tt0115033/"} +{"d:Title": "Find the Fun: Young Torless", "d:Description": "Capsule review and film information.", "topic": "Top/Arts/Movies/Titles/Y/Young_T\u00f6rless", "url": "http://www.findthefun.com/events/e0007844.htm"} +{"d:Title": "Kinoeye.org: Young Torless", "d:Description": "Features a review of the film by Christopher Dietrich and pictures.", "topic": "Top/Arts/Movies/Titles/Y/Young_T\u00f6rless", "url": "http://www.kinoeye.org/02/10/dietrich10.php"} +{"d:Title": "Internet Movie Database: Junge T\u00f6rless, Der (1966)", "d:Description": "Synopsis, cast and crew, release information, reviews, and links.", "topic": "Top/Arts/Movies/Titles/Y/Young_T\u00f6rless", "url": "http://www.imdb.com/title/tt0060574/"} +{"d:Title": "HARO Online - The Young Unknowns", "d:Description": "Pseudonymously signed review (negative), rating.", "topic": "Top/Arts/Movies/Titles/Y/Young_Unknowns,_The", "url": "http://www.haro-online.com/movies/young_unknowns.html"} +{"d:Title": "Young Unknowns, The (2000)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/Y/Young_Unknowns,_The", "url": "http://www.imdb.com/title/tt0186719/"} +{"d:Title": "IMDB : Yours, Mine and Ours (1968)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yours,_Mine_and_Ours", "url": "http://www.imdb.com/title/tt0063829/"} +{"d:Title": "IMDB : Yours for the Asking (1936)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yours_for_the_Asking", "url": "http://www.imdb.com/title/tt0028527/"} +{"d:Title": "IMDB : Yours Sincerely (1932)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yours_Sincerely", "url": "http://www.imdb.com/title/tt0023723/"} +{"d:Title": "IMDB : Your Three Minutes Are Up (1973)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Your_Three_Minutes_Are_Up", "url": "http://www.imdb.com/title/tt0070945/"} +{"d:Title": "IMDB : Your Ticket Is No Longer Valid (1981)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Your_Ticket_Is_No_Longer_Valid", "url": "http://www.imdb.com/title/tt0080164/"} +{"d:Title": "IMDB : Your Uncle Dudley (1935)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Your_Uncle_Dudley", "url": "http://www.imdb.com/title/tt0027236/"} +{"d:Title": "IMDB : Your Witness (1950)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Your_Witness", "url": "http://www.imdb.com/title/tt0043154/"} +{"d:Title": "IMDB : Youthful Folly (1934)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youthful_Folly", "url": "http://www.imdb.com/title/tt0026021/"} +{"d:Title": "IMDB : Youth Aflame (1945)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_Aflame", "url": "http://www.imdb.com/title/tt0053463/"} +{"d:Title": "IMDB : Youth in Poland (1957)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_in_Poland", "url": "http://www.imdb.com/title/tt0209501/"} +{"d:Title": "IMB : Youth Love, Life Love (1985)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_Love,_Life_Love", "url": "http://www.imdb.com/title/tt0196225/"} +{"d:Title": "IMDB : Youth on Parade (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_on_Parade", "url": "http://www.imdb.com/title/tt0035587/"} +{"d:Title": "IMDB : Youth on Parole (1937)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_on_Parole", "url": "http://www.imdb.com/title/tt0029812/"} +{"d:Title": "IMDB : Youth on Trial (1945)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_on_Trial", "url": "http://www.imdb.com/title/tt0038266/"} +{"d:Title": "TV Guide Online: Youth Runs Wild", "d:Description": "Short review and credits.", "topic": "Top/Arts/Movies/Titles/Y/Youth_Runs_Wild", "url": "http://www.tvguide.com/movies/youth-runs-wild/110232"} +{"d:Title": "Youth Runs Wild (1944)", "d:Description": "Cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_Runs_Wild", "url": "http://www.imdb.com/title/tt0037476/"} +{"d:Title": "IMDB : Youth Takes a Fling (1938)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_Takes_a_Fling", "url": "http://www.imdb.com/title/tt0031003/"} +{"d:Title": "IMDb: Youth Will Be Served (1940)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Youth_Will_Be_Served", "url": "http://www.imdb.com/title/tt0033291/"} +{"d:Title": "Nick's Flick Picks: You Can't Take It with You", "d:Description": "Review of the movie.", "topic": "Top/Arts/Movies/Titles/Y/You_Can't_Take_It_with_You", "url": "http://www.nicksflickpicks.com/youcant.html"} +{"d:Title": "IMDb: You Can't Take It with You", "d:Description": "Plot outline, cast and crew, reviews, and quotes.", "topic": "Top/Arts/Movies/Titles/Y/You_Can't_Take_It_with_You", "url": "http://www.imdb.com/title/tt0030993/"} +{"d:Title": "The Flick Filosopher: You Can't Take It with You", "d:Description": "MaryAnn Johanson's review: \"there's rarely been a more joyful celebration of the unconventional\".", "topic": "Top/Arts/Movies/Titles/Y/You_Can't_Take_It_with_You", "url": "http://www.flickfilosopher.com/1999/01/you-cant-take-it-with-you-review.html"} +{"d:Title": "HARO Online", "d:Description": "Mongoose reviews the co-Grand Prize Winner at the 2000 Sundance Film Festival.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://www.haro-online.com/movies/you_can_count_on_me.html"} +{"d:Title": "PopMatters: You Can Count on Me", "d:Description": "Movie review and picture.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://popmatters.com/film/reviews/y/you-can-count-on-me.html"} +{"d:Title": "About.com: You Can Count on Me", "d:Description": "Movie review.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://homevideo.about.com/library/weekly/aa070401a.htm"} +{"d:Title": "All-Reviews.com: You Can Count on Me", "d:Description": "Two reviews of the movie.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://www.all-reviews.com/videos-2/you-count-on-me.htm"} +{"d:Title": "Crazy for Cinema: You Can Count on Me", "d:Description": "Movie review, cast information, and picture.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://crazy4cinema.com/Review/FilmsY/f_can_count.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Reviews and reactions from numerous critics. News, trailers, photos, and related information.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://www.rottentomatoes.com/m/you_can_count_on_me/"} +{"d:Title": "IMDb: You Can Count on Me (2000)", "d:Description": "Cast overview, synopsis, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://www.imdb.com/title/tt0203230/"} +{"d:Title": "Chicago Sun-Times: You Can Count on Me", "d:Description": "Roger Ebert's review.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://www.rogerebert.com/reviews/you-can-count-on-me-2000"} +{"d:Title": "AllReaders.com Review: You Can Count On Me", "d:Description": "Analytical review of the plot, characters, setting, theme, and structure of the film, with links to similar movies.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://allreaders.com/movie-review-summary/you-can-count-on-me-3956"} +{"d:Title": "Metacritic.com: You Can Count On Me", "d:Description": "Links to movie reviews.", "topic": "Top/Arts/Movies/Titles/Y/You_Can_Count_on_Me", "url": "http://www.metacritic.com/movie/you-can-count-on-me"} +{"d:Title": "Rotten Tomatoes: You Don't Mess with the Zohan", "d:Description": "Synopsis, cast members, showtimes, photos, videos, review links, and a forum.", "topic": "Top/Arts/Movies/Titles/Y/You_Don't_Mess_with_the_Zohan", "url": "http://www.rottentomatoes.com/m/10008759-you_don't_mess_with_the_zohan/"} +{"d:Title": "Sony Pictures", "d:Description": "Official site. Character profiles, synopsis, cast list, games, downloads, videos, and an image gallery.", "priority": "1", "topic": "Top/Arts/Movies/Titles/Y/You_Don't_Mess_with_the_Zohan", "url": "http://www.sonypictures.com/movies/youdontmesswiththezohan/"} +{"d:Title": "Moviefone: You Don't Mess with the Zohan", "d:Description": "Showtimes, synopsis, cast, crew, trailers, and photos.", "topic": "Top/Arts/Movies/Titles/Y/You_Don't_Mess_with_the_Zohan", "url": "http://www.moviefone.com/movie/you-dont-mess-with-the-zohan/30940/main"} +{"d:Title": "IGN: You Don't Mess With the Zohan", "d:Description": "News, previews, photos, videos, message board, and a review (rating: 2.5 out of 5).", "topic": "Top/Arts/Movies/Titles/Y/You_Don't_Mess_with_the_Zohan", "url": "http://www.ign.com/movies/you-dont-mess-with-the-zohan/theater-883463"} +{"d:Title": "MovieWeb: You Don't Mess with the Zohan (2008)", "d:Description": "Summary, reviews, videos, photos, and news.", "topic": "Top/Arts/Movies/Titles/Y/You_Don't_Mess_with_the_Zohan", "url": "http://movieweb.com/movie/you-dont-mess-with-the-zohan/"} +{"d:Title": "HARO Online: You Got Served", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/Y/You_Got_Served", "url": "http://www.haro-online.com/movies/you_got_served.html"} +{"d:Title": "IMDb: You Got Served (2004)", "d:Description": "Plot summary, trailer, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/You_Got_Served", "url": "http://www.imdb.com/title/tt0365957/"} +{"d:Title": "Rotten Tomatoes: You Got Served", "d:Description": "Reviews, multimedia, and forum.", "topic": "Top/Arts/Movies/Titles/Y/You_Got_Served", "url": "http://www.rottentomatoes.com/m/you_got_served/"} +{"d:Title": "Yahoo Movies: You Got Served", "d:Description": "Synopsis, reviews, multimedia, message board, and Greg's preview.", "topic": "Top/Arts/Movies/Titles/Y/You_Got_Served", "url": "http://movies.yahoo.com/movie/you-got-served/"} +{"d:Title": "IMDb: You Kill Me (2007)", "d:Description": "Photo gallery, videos, plot outlines, cast list, trivia, mistakes, quotes, user reviews, and a message board.", "topic": "Top/Arts/Movies/Titles/Y/You_Kill_Me", "url": "http://www.imdb.com/title/tt0796375/"} +{"d:Title": "Rotten Tomatoes: You Kill Me", "d:Description": "Review links, synopsis, critical consensus, credits, photos, trailers, and a forum.", "topic": "Top/Arts/Movies/Titles/Y/You_Kill_Me", "url": "http://www.rottentomatoes.com/m/1178913-1178913-you_kill_me/"} +{"d:Title": "IGN Movie: You Kill Me", "d:Description": "Photos, videos, message board, and a review (rating: 2 out of 5).", "topic": "Top/Arts/Movies/Titles/Y/You_Kill_Me", "url": "http://www.ign.com/movies/you-kill-me/theater-901735"} +{"d:Title": "The Boston Globe: You Kill Me", "d:Description": "Review, by Ty Burr: \"It's a predictable but acridly pleasant 12-step bonbon: self-help noir.\" [Rating: 3 out of 4]", "topic": "Top/Arts/Movies/Titles/Y/You_Kill_Me", "url": "http://archive.boston.com/ae/movies/articles/2007/07/06/you_kill_me_hits_its_target_as_deadpan_mob_farce/"} +{"d:Title": "MovieWeb: You Kill Me (2007)", "d:Description": "Summary, reviews, synopsis, videos, photos, box office data, and news.", "topic": "Top/Arts/Movies/Titles/Y/You_Kill_Me", "url": "http://movieweb.com/movie/you-kill-me/"} +{"d:Title": "IMDB : Y\u00f6 vai p\u00e4iv\u00e4 (1962)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Y\u00f6_vai_p\u00e4iv\u00e4", "url": "http://www.imdb.com/title/tt0133288/"} +{"d:Title": "IMDB : Ypotron (1965)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Ypotron_-_Final_Countdown", "url": "http://www.imdb.com/title/tt0059935/"} +{"d:Title": "IMDB: Yukon Flight (1940)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yukon_Flight", "url": "http://www.imdb.com/title/tt0033292/"} +{"d:Title": "IMDB : Yukon Gold (1952)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yukon_Gold", "url": "http://www.imdb.com/title/tt0122324/"} +{"d:Title": "IMDB : Yukon Have It (1950)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yukon_Have_It", "url": "http://www.imdb.com/title/tt0149395/"} +{"d:Title": "IMDB : Yukon Manhunt (1951)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yukon_Manhunt", "url": "http://www.imdb.com/title/tt0186722/"} +{"d:Title": "IMDB : Yukon Patrol, The (1942)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yukon_Patrol,_The", "url": "http://www.imdb.com/title/tt0035588/"} +{"d:Title": "IMDB : Yukon Vengeance (1954)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yukon_Vengeance", "url": "http://www.imdb.com/title/tt0047690/"} +{"d:Title": "IMDB : Yule Laff (1962)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yule_Laff", "url": "http://www.imdb.com/title/tt0154016/"} +{"d:Title": "IMDB : Yum, Yum, Yum! (1990)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yum,_Yum,_Yum", "url": "http://www.imdb.com/title/tt0100997/"} +{"d:Title": "IMDB : Yum-Yum Girls, The (1976)", "d:Description": "Full cast and crew for the film, and other information from the Internet Movie Database.", "topic": "Top/Arts/Movies/Titles/Y/Yum-Yum_Girls,_The", "url": "http://www.imdb.com/title/tt0075451/"} +{"d:Title": "HARO Online: Y Tu Mam\u00e1 Tambi\u00e9n", "d:Description": "Movie review and pictures.", "topic": "Top/Arts/Movies/Titles/Y/Y_Tu_Mam\u00e1_Tambi\u00e9n", "url": "http://www.haro-online.com/movies/y_tu_mama_tambien.html"} +{"d:Title": "About.com: Y Tu Mam\u00e1 Tambi\u00e9n", "d:Description": "Ivana Redwine reviews the film on DVD.", "topic": "Top/Arts/Movies/Titles/Y/Y_Tu_Mam\u00e1_Tambi\u00e9n", "url": "http://homevideo.about.com/library/weekly/aafpr110702.htm"} +{"d:Title": "IFILM - Y Tu Mam\u00e1 Tambi\u00e9n", "d:Description": "Streaming video clip of the first 8 minutes of the film.", "topic": "Top/Arts/Movies/Titles/Y/Y_Tu_Mam\u00e1_Tambi\u00e9n", "url": "http://www.ifilm.com/ifilmdetail/2407959"} +{"d:Title": "Box Office Prophets: Y Tu Mam\u00e1 Tambi\u00e9n", "d:Description": "Synopsis, a photo, and box office details.", "topic": "Top/Arts/Movies/Titles/Y/Y_Tu_Mam\u00e1_Tambi\u00e9n", "url": "http://www.boxofficeprophets.com/tickermaster/listing.cfm?tmID=483"} +{"d:Title": "Rotten Tomatoes: Y Tu Mam\u00e1 Tambi\u00e9n", "d:Description": "Links to movie reviews, multimedia, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Y/Y_Tu_Mam\u00e1_Tambi\u00e9n", "url": "http://www.rottentomatoes.com/m/y_tu_mama_tambien/"} +{"d:Title": "IMDb: Y Tu Mam\u00e1 Tambi\u00e9n (2001)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/Y/Y_Tu_Mam\u00e1_Tambi\u00e9n", "url": "http://www.imdb.com/title/tt0245574/"} +{"d:Title": "Metacritic.com: Y Tu Mam\u00e1 Tambi\u00e9n", "d:Description": "Quotes from and links to reviews of the film from various sources.", "topic": "Top/Arts/Movies/Titles/Y/Y_Tu_Mam\u00e1_Tambi\u00e9n", "url": "http://www.metacritic.com/movie/y-tu-mama-tambien"} +{"d:Title": "IMDb.com: Zapped", "d:Description": "Includes cast, crew and production information.", "topic": "Top/Arts/Movies/Titles/Z/Zapped", "url": "http://www.imdb.com/title/tt0084945/"} +{"d:Title": "Badmovies.org: Zardoz", "d:Description": "Critical review.", "topic": "Top/Arts/Movies/Titles/Z/Zardoz", "url": "http://www.badmovies.org/movies/zardoz/"} +{"d:Title": "Zardoz - Out of the Vortex", "d:Description": "Yahoo group devoted to the cult-movie 'Zardoz' (1974).", "topic": "Top/Arts/Movies/Titles/Z/Zardoz", "url": "http://movies.groups.yahoo.com/group/zardozthemovie/"} +{"d:Title": "IMDb.com: Zardoz", "d:Description": "Features cast details and trivia.", "topic": "Top/Arts/Movies/Titles/Z/Zardoz", "url": "http://www.imdb.com/title/tt0070948/"} +{"d:Title": "Internet Movie Database: Zat\u00f4ichi (2003)", "d:Description": "Synopsis, cast and crew, user comments and ratings, quotations, related films, production and distribution information, articles, photographs, and links.", "topic": "Top/Arts/Movies/Titles/Z/Zatoichi", "url": "http://imdb.com/title/tt0363226/"} +{"d:Title": "The Spinning Image: Zat\u00f4ichi", "d:Description": "Review of the film by Daniel Auty.", "topic": "Top/Arts/Movies/Titles/Z/Zatoichi", "url": "http://www.thespinningimage.co.uk/cultfilms/displaycultfilm.asp?reviewid=626"} +{"d:Title": "DreamLogic: Zatoichi (2003) Review", "d:Description": "Review of the Takeshi Kitano film by Chris Nelson", "topic": "Top/Arts/Movies/Titles/Z/Zatoichi", "url": "http://www.dreamlogic.net/REVIEWS/zatoichi.html"} +{"d:Title": "HARO Online: Zatoichi", "d:Description": "Review and pictures.", "topic": "Top/Arts/Movies/Titles/Z/Zatoichi", "url": "http://www.haro-online.com/movies/blind_swordsman_zatoichi.html"} +{"d:Title": "Midnight Eye: Takeshi Kitano", "d:Description": "Interview with the director about making the film.", "topic": "Top/Arts/Movies/Titles/Z/Zatoichi", "url": "http://www.midnighteye.com/interviews/takeshi-kitano/"} +{"d:Title": "IMDb: A Zed&Two Noughts (1985)", "d:Description": "Plot summary, cast and crew information, and user comments.", "topic": "Top/Arts/Movies/Titles/Z/Zed_&_Two_Noughts,_A", "url": "http://www.imdb.com/title/tt0090366/"} +{"d:Title": "Rotten Tomatoes: A Zed and Two Noughts", "d:Description": "Reviews and forum.", "topic": "Top/Arts/Movies/Titles/Z/Zed_&_Two_Noughts,_A", "url": "http://www.rottentomatoes.com/m/zed_and_two_noughts/"} +{"d:Title": "TV Guide Online: Zelig", "d:Description": "Review, cast and credits.", "topic": "Top/Arts/Movies/Titles/Z/Zelig", "url": "http://www.tvguide.com/movies/zelig/110262"} +{"d:Title": "IMDb - Zelig (1983)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/Z/Zelig", "url": "http://www.imdb.com/title/tt0086637/"} +{"d:Title": "Zenon Escape", "d:Description": "A fanpage about the Disney movies: Zenon, Girl of the 21st Century and Zenon, the Zequel.", "topic": "Top/Arts/Movies/Titles/Z/Zenon_Series", "url": "http://www.angelfire.com/movies/ZenonMovies/"} +{"d:Title": "IMDb: Zenon: Girl of the 21st Century (1999)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/Z/Zenon_Series/Zenon_-_Girl_of_the_21st_Century", "url": "http://imdb.com/title/tt0186726/"} +{"d:Title": "IMDb: Zenon: The Zequel (2001)", "d:Description": "Cast and crew, plus additional information about the film.", "topic": "Top/Arts/Movies/Titles/Z/Zenon_Series/Zenon_-_The_Zequel", "url": "http://imdb.com/title/tt0271271/"} +{"d:Title": "IMDb.com: Zentropa", "d:Description": "Includes cast, crew, and production information for the film also known as Europa in Sweden.", "topic": "Top/Arts/Movies/Titles/Z/Zentropa", "url": "http://www.imdb.com/title/tt0101829/"} +{"d:Title": "Roger Ebert: Zentropa", "d:Description": "Includes original 1992 review from the Sun Times website.", "topic": "Top/Arts/Movies/Titles/Z/Zentropa", "url": "http://www.rogerebert.com/reviews/zentropa-1992"} +{"d:Title": "All-Reviews.com - Zero Effect", "d:Description": "Features a small collection of Reviews for the movie.", "topic": "Top/Arts/Movies/Titles/Z/Zero_Effect", "url": "http://www.all-reviews.com/videos-4/zero-effect.htm"} +{"d:Title": "IMDb - Zero Effect (1998)", "d:Description": "Cast/credits plus additional information about the film", "topic": "Top/Arts/Movies/Titles/Z/Zero_Effect", "url": "http://www.imdb.com/title/tt0120906/"} +{"d:Title": "Combustible Celluloid - School's Out", "d:Description": "Review by Jeffrey M. Anderson (positive), rating.", "topic": "Top/Arts/Movies/Titles/Z/Zero_for_Conduct", "url": "http://www.combustiblecelluloid.com/zero.shtml"} +{"d:Title": "Cinebooks Database - Zero for Conduct", "d:Description": "Unsigned review (positive), rating.", "topic": "Top/Arts/Movies/Titles/Z/Zero_for_Conduct", "url": "http://www.tvguide.com/movies/zero-conduct/128744"} +{"d:Title": "Film Commentary by CGK - Zero for Conduct", "d:Description": "Pseudonymously signed review (positive), rating.", "topic": "Top/Arts/Movies/Titles/Z/Zero_for_Conduct", "url": "http://filmphest.com/Films/zfc.htm"} +{"d:Title": "IMDb - Z\u00e9ro de conduite (1933)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/Z/Zero_for_Conduct", "url": "http://www.imdb.com/title/tt0024803/"} +{"d:Title": "Rotten Tomatoes: Zeus and Roxanne", "d:Description": "Links to movie reviews, synopsis, cast and crew information, and forum.", "topic": "Top/Arts/Movies/Titles/Z/Zeus_and_Roxanne", "url": "http://www.rottentomatoes.com/m/zeus_and_roxanne/"} +{"d:Title": "IMDb: Zeus and Roxanne (1997)", "d:Description": "Plot summary, cast and crew information, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/Z/Zeus_and_Roxanne", "url": "http://www.imdb.com/title/tt0120550/"} +{"d:Title": "IMDb - Zhou Yu de huo che", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/Z/Zhou_Yu_de_huo_che", "url": "http://imdb.com/title/tt0354243/"} +{"d:Title": "HARO Online - Zhou Yu's Train", "d:Description": "Pseudonymously signed review (predominantly negative), rating.", "topic": "Top/Arts/Movies/Titles/Z/Zhou_Yu_de_huo_che", "url": "http://www.haro-online.com/movies/zhou_yus_train.html"} +{"d:Title": "San Francisco Chronicle - Zhou Yu's Train", "d:Description": "Review by Carla Meyer (mixed), rating.", "topic": "Top/Arts/Movies/Titles/Z/Zhou_Yu_de_huo_che", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2004/07/23/DDG257QTL41.DTL#zhou"} +{"d:Title": "Chicago Sun-Times - Zhou Yu's Train", "d:Description": "Review by Roger Ebert (negative), rating.", "topic": "Top/Arts/Movies/Titles/Z/Zhou_Yu_de_huo_che", "url": "http://www.rogerebert.com/reviews/zhou-yus-train-2004"} +{"d:Title": "IMDb: Zodiac", "d:Description": "Offers pictures, cast, crew, and information.", "topic": "Top/Arts/Movies/Titles/Z/Zodiac", "url": "http://www.imdb.com/title/tt0443706/"} +{"d:Title": "TV Guide Online: Zodiac", "d:Description": "Offers a picture, cast, crew, a review, and discussion.", "topic": "Top/Arts/Movies/Titles/Z/Zodiac", "url": "http://www.tvguide.com/movies/zodiac/286668"} +{"d:Title": "Apple.com: Zodiac", "d:Description": "Offers a trailer for the film with a link to the official site.", "topic": "Top/Arts/Movies/Titles/Z/Zodiac", "url": "http://trailers.apple.com/trailers/paramount/zodiac/"} +{"d:Title": "HouseofHorrors: Zombie", "d:Description": "Includes movie premise, facts, and multimedia.", "topic": "Top/Arts/Movies/Titles/Z/Zombie", "url": "http://www.houseofhorrors.com/zombie.htm"} +{"d:Title": "The Terror Trap: Zombie", "d:Description": "Features plot summary, review and images from the film.", "topic": "Top/Arts/Movies/Titles/Z/Zombie", "url": "http://www.terrortrap.com/undead/zombie/"} +{"d:Title": "IMDb: Zombie", "d:Description": "Features cast, crew, and production details.", "topic": "Top/Arts/Movies/Titles/Z/Zombie", "url": "http://www.imdb.com/title/tt0080057/"} +{"d:Title": "IMDb: Zombie vs. Ninja (1987)", "d:Description": "Cast information, plot summary, and viewer comments.", "topic": "Top/Arts/Movies/Titles/Z/Zombie_vs._Ninja", "url": "http://www.imdb.com/title/tt0094384/"} +{"d:Title": "Rotten Tomatoes - Zoolander", "d:Description": "Features movie premise and gallery of images.", "topic": "Top/Arts/Movies/Titles/Z/Zoolander", "url": "http://www.rottentomatoes.com/m/zoolander/"} +{"d:Title": "HARO Online - Zoolander", "d:Description": "Features a critical review of the movie along with photo stills.", "topic": "Top/Arts/Movies/Titles/Z/Zoolander/Reviews", "url": "http://www.haro-online.com/movies/zoolander.html"} +{"d:Title": "All-Reviews.com - Zoolander", "d:Description": "Features a collection of three reviews of the movie.", "topic": "Top/Arts/Movies/Titles/Z/Zoolander/Reviews", "url": "http://www.all-reviews.com/videos-3/zoolander.htm"} +{"d:Title": "Metacritic.com: Zoolander", "d:Description": "Features links to a cross-section of reviews from top critics.", "topic": "Top/Arts/Movies/Titles/Z/Zoolander/Reviews", "url": "http://www.metacritic.com/movie/zoolander"} +{"d:Title": "TV Guide Database - Zooman", "d:Description": "Unsigned review (mixed), rating.", "topic": "Top/Arts/Movies/Titles/Z/Zooman", "url": "http://www.tvguide.com/movies/zooman/130920"} +{"d:Title": "IMDb - Zooman (1995)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Movies/Titles/Z/Zooman", "url": "http://www.imdb.com/title/tt0115063/"} +{"d:Title": "IMDb - Alexis Zorbas (1964)", "d:Description": "Plot summary, cast details, trailer, and user comments.", "topic": "Top/Arts/Movies/Titles/Z/Zorba_the_Greek", "url": "http://www.imdb.com/title/tt0057831/"} +{"d:Title": "AllReaders.com - Zorba the Greek", "d:Description": "Analytical review of the plot, setting, theme, and structure of the Anthony Quinn film, and links to similar movies.", "topic": "Top/Arts/Movies/Titles/Z/Zorba_the_Greek", "url": "http://allreaders.com/movie-review-summary/zorba-the-greek-4116"} +{"d:Title": "Zulu", "d:Description": "Images, quotes, and review, from a site dedicated to the battle of Rorke's Drift.", "topic": "Top/Arts/Movies/Titles/Z/Zulu", "url": "http://www.rorkesdriftvc.com/zulu.htm"} +{"d:Title": "IMDb: Zulu (1964)", "d:Description": "Cast, crew, and comments.", "topic": "Top/Arts/Movies/Titles/Z/Zulu", "url": "http://www.imdb.com/title/tt0058777/"} +{"d:Title": "Zulu (film)", "d:Description": "Wikipedia article on the movie.", "topic": "Top/Arts/Movies/Titles/Z/Zulu", "url": "http://en.wikipedia.org/wiki/Zulu_(film)"} +{"d:Title": "Rotten Tomatoes: Zulu (1964)", "d:Description": "Synopsis and reviews.", "topic": "Top/Arts/Movies/Titles/Z/Zulu", "url": "http://www.rottentomatoes.com/m/zulu/"} +{"d:Title": "IMDb: Zu Warriors from the Magic Mountain (1983)", "d:Description": "Features cast and crew details along with links to reviews, photographs, and fan sites.", "topic": "Top/Arts/Movies/Titles/Z/Zu_Warriors_from_the_Magic_Mountain", "url": "http://www.imdb.com/title/tt0086308/"} +{"d:Title": "Movie Secrets", "d:Description": "Secret messages, factoids, inside jokes, and cameo appearances categorized in alphabetical order.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.angelfire.com/ok3/moviesecrets/index.html"} +{"d:Title": "Film Sound Cliches", "d:Description": "Stereotypes found in film sounds, as well as common logic flaws, arranged by keyword.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.filmsound.org/cliche/"} +{"d:Title": "Snopes.com: Movies", "d:Description": "Urban legends about movies.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.snopes.com/movies/films/films.asp"} +{"d:Title": "Spollywood", "d:Description": "Spoils the endings of films in 80 characters and provides short URLs for individual spoilers for use on social media networks. User-driven.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.spollywood.com/"} +{"d:Title": "The Movie Spoiler", "d:Description": "Contains information about the endings of current and upcoming movies.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.themoviespoiler.com/"} +{"d:Title": "Moviepooper", "d:Description": "Reveals endings to classic and recently released features. Alphabetical listing of films.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.moviepooper.com/"} +{"d:Title": "Ruined Endings", "d:Description": "Provides endings for various films, organized alphabetically. Allows user contributions and modifications.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.ruinedendings.com/"} +{"d:Title": "Things in Movies", "d:Description": "Provides brief descriptions of scenes, characters, props, and other details.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.thingsinmovies.com/"} +{"d:Title": "How Does the Movie End", "d:Description": "Provides information on film endings along with overall ratings.", "topic": "Top/Arts/Movies/Trivia", "url": "http://www.howdoesthemovieend.com/"} +{"d:Title": "Whoops! Movie Goofs", "d:Description": "Bloopers indexed by film title. Includes a listing of films with the most goofs, debated mistakes, and discussion forums.", "topic": "Top/Arts/Movies/Trivia/Bloopers_and_Mistakes", "url": "http://www.jonhs.com/moviegoofs/"} +{"d:Title": "Movie Mistakes", "d:Description": "A large collection of continuity mistakes and trivia from films.", "topic": "Top/Arts/Movies/Trivia/Bloopers_and_Mistakes", "url": "http://www.moviemistakes.com/"} +{"d:Title": "The Movie Cliches List", "d:Description": "A humorous look at the most annoying and common logic flaws and stereotypes found in movies.", "topic": "Top/Arts/Movies/Trivia/Humor", "url": "http://www.moviecliches.com/"} +{"d:Title": "Corn Fed's Movie Quote Trivia", "d:Description": "Guess which movies the quotes belong to. Multiple choice answers. Instant results.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.softcom.net/users/chili/cornfed/quotes.htm"} +{"d:Title": "Mack's Classic Film Trivia", "d:Description": "Test your classic film trivia IQ with weekly quizzes covering a variety of topics.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://classicfilmtrivia.tripod.com/Index.htm"} +{"d:Title": "TV and Movie Trivia Tribute", "d:Description": "Challenge yourself with television and movie trivia while enjoying celebrity sounds and pictures.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.triviatribute.com/"} +{"d:Title": "FilmWise", "d:Description": "Screenshot movie and DVD trivia site including a daily quiz, quotes and a monthly contest.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.filmwise.com/"} +{"d:Title": "Meredy's Place-Classic Movies/TV/Celebrities", "d:Description": "Classic film/TV/celebrity trivia and rare images.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.meredy.com/"} +{"d:Title": "ArnIIe", "d:Description": "Quiz requires users to guess the film title from various clues. Also includes a message board and links.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.arniie.com/"} +{"d:Title": "Movie Quiz", "d:Description": "Multilingual movie quiz with more than 2000 questions. The difficulty of the questions adjusts depending on the player's knowledge.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://movie.q-u-i-z.com/"} +{"d:Title": "Am I Wrong: Movie Quizzes", "d:Description": "Hundreds of film trivia quizzes. Allows user-submitted contributions.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.amiwrong.com/movies/"} +{"d:Title": "What the Movie", "d:Description": "Provides a single screenshot and asks for the film title.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.whatthemovie.com/"} +{"d:Title": "Movie Trivia Champ", "d:Description": "Flash-based general quizzes.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.movietriviachamp.com/"} +{"d:Title": "FunTrivia.com: Movies", "d:Description": "Categorized archive of movie trivia quizzes.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.funtrivia.com/quizzes/movies/index.html"} +{"d:Title": "Movie Lines Trivia", "d:Description": "Quiz offering movie lines from several different categories, including comedies and drama. Requires registration to play.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.aaagames.com/movielin.htm"} +{"d:Title": "The Orange Movie Quiz", "d:Description": "Daily quizzes providing screenshots and multiple-choice answers with film titles.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://www.ifarm.nl/quiz/movies.en.html"} +{"d:Title": "Margaret Fulford's Women in Film Quizzes", "d:Description": "Test your knowledge of directors, actors, writers, characters, and themes.", "topic": "Top/Arts/Movies/Trivia/Quizzes", "url": "http://probability.ca/mfulford/quizzes.html"} +{"d:Title": "Stef and Tara's Dungeon", "d:Description": "Anti-'N Sync and Britney Spears site with reviews, parodies, top tens and pictures.", "topic": "Top/Arts/Music/Anti-Music", "url": "http://www.angelfire.com/fl2/jailhouse/"} +{"d:Title": "Bad Songs of the Seventies", "d:Description": "Includes list of bad 70s songs sorted by artist, with commentary and lyric excerpts.", "topic": "Top/Arts/Music/Anti-Music", "url": "http://www.furious.com/perfect/badsongs.html"} +{"d:Title": "The Pierre Boulez Project", "d:Description": "Destruction of recordings by the composer and conductor took place in February 2005. Includes photographs and public reactions.", "topic": "Top/Arts/Music/Anti-Music", "url": "http://ronsen.org/boulez/"} +{"d:Title": "World's Worst Bands", "d:Description": "Archives of personal observations about the dismal lack of talent displayed by a variety of musicians. Usually accompanied by videos.", "topic": "Top/Arts/Music/Anti-Music", "url": "http://worldsworstbands.blogspot.co.uk/"} +{"d:Title": "Alliance of Britney and Christina Haters of America", "d:Description": "The fight against Britney Spears and Christina Aguilera. Pictures, Lyrics, Interviews, Audio, Video, Links, and more rants.", "topic": "Top/Arts/Music/Anti-Music", "url": "http://jazzya1036.tripod.com/antibritnchris/"} +{"d:Title": "Genie Busters!", "d:Description": "Anti-Aguilera rants, news, and links.", "topic": "Top/Arts/Music/Anti-Music/Aguilera,_Christina", "url": "http://www.angelfire.com/punk2/geniebusters/index.html"} +{"d:Title": "Anti Backstreet Boys", "d:Description": "Includes altered lyrics and pictures, biographies, top-ten lists, jokes, rumors, and poll.", "topic": "Top/Arts/Music/Anti-Music/Backstreet_Boys", "url": "http://www.angelfire.com/mo/everybody/"} +{"d:Title": "Suck My Beatles", "d:Description": "An archived blog sharing the author's disdain of the omnipresent band. Shares facts and free greetings cards.", "topic": "Top/Arts/Music/Anti-Music/Beatles", "url": "http://suckmybeatles.com/"} +{"d:Title": "Country Music The Devil's Tool", "d:Description": "An amusing look at the fashion, lyrics, and entertainers that represent the genre.", "topic": "Top/Arts/Music/Anti-Music/Country", "url": "https://sites.google.com/site/jsmzombie/"} +{"d:Title": "Creed Sucks", "d:Description": "Anti-Creed photographs, links, articles, audio/video, and '12 steps to a Creed-free existence.'", "topic": "Top/Arts/Music/Anti-Music/Creed", "url": "http://www3.sympatico.ca/vitalogy/csucks.html"} +{"d:Title": "Coldbacon: Should Eminem Get a Grammy?", "d:Description": "An article pondering the star's worthiness of an award.", "topic": "Top/Arts/Music/Anti-Music/Eminem", "url": "http://www.coldbacon.com/eminem.html"} +{"d:Title": "Markus' Greenday Sucks Page", "d:Description": "Why Green Day isn't punk.", "topic": "Top/Arts/Music/Anti-Music/Green_Day", "url": "http://home1.swipnet.se/~w-11931/gd.htm"} +{"d:Title": "The Anti Limp Bizkit Page", "d:Description": "Includes reasons for dislike, a rant, and bands which the author likes better.", "topic": "Top/Arts/Music/Anti-Music/Limp_Bizkit", "url": "http://www.angelfire.com/rock/Static7/limpbad.html"} +{"d:Title": "I Fear My Grandma More Than Marilyn Manson", "d:Description": "Is Marilyn Manson a genius, or are his fans just really stupid?", "topic": "Top/Arts/Music/Anti-Music/Manson,_Marilyn", "url": "http://members.tripod.com/~i_hate_marilynmanson/"} +{"d:Title": "Tournament Of Champions", "d:Description": "A battle royale amongst 8 pop culture icons, centered around the long overdue death of Ricky Martin.", "topic": "Top/Arts/Music/Anti-Music/Martin,_Ricky", "url": "http://www.grudge-match.com/History/toc.shtml"} +{"d:Title": "James Hetfield Hair Watch", "d:Description": "A humorous ex-fan site on the decline and fall of James Hetfield, as expressed by his hair.", "topic": "Top/Arts/Music/Anti-Music/Metallica", "url": "http://hetfield.tarsierjungle.net/"} +{"d:Title": "No More Sissy Music", "d:Description": "Bashing Britney, Christina, 'NSYNC, and Ricky Martin. Jokes, quotes, FAQs, and parodies.", "topic": "Top/Arts/Music/Anti-Music/Pop", "url": "http://homie_g_1.tripod.com/nomoresissymusic/"} +{"d:Title": "WebRing: Anti-Pop Music", "d:Description": "Lists sites against pop music.", "topic": "Top/Arts/Music/Anti-Music/Pop", "url": "http://hub.yourtakeonmusic.com/hub/ntwicp"} +{"d:Title": "I Hate Silverchair", "d:Description": "An anti Silverchair club for other haters to meet and discuss.", "topic": "Top/Arts/Music/Anti-Music/Silverchair", "url": "http://ih8sc.tripod.com/"} +{"d:Title": "Passivity and the Pathology of Victimhood", "d:Description": "Mock-academic review of the lyrical content of \"Baby One More Time\".", "topic": "Top/Arts/Music/Anti-Music/Spears,_Britney", "url": "http://www.postmodernvillage.com/eastwest/issue1/1a-0004.html"} +{"d:Title": "Stop Britney", "d:Description": "Including opinions, horrible pictures, a Britney quiz, and biography.", "topic": "Top/Arts/Music/Anti-Music/Spears,_Britney", "url": "http://www.angelfire.com/sk/abs/"} +{"d:Title": "Oops She Did it Again, Damn It", "d:Description": "Britney Spears humor with pictures and stories.", "topic": "Top/Arts/Music/Anti-Music/Spears,_Britney", "url": "http://www.angelfire.com/stars/antibritney2/index.html"} +{"d:Title": "Tania's Anti-Skank Realm", "d:Description": "Pictures, parodies, nicknames, and other stuff showing how dumb Britney Spears really is.", "topic": "Top/Arts/Music/Anti-Music/Spears,_Britney", "url": "http://www.angelfire.com/tx4/britneysucks/"} +{"d:Title": "The Three Storms vs. Britney Spears", "d:Description": "Big Trouble is no longer in Little China. It's now on stage and attempting to destroy Britney Spears.", "topic": "Top/Arts/Music/Anti-Music/Spears,_Britney", "url": "http://www.i-mockery.com/minimocks/3storms/"} +{"d:Title": "Spice Girls Vs. Jem", "d:Description": "How the Spice Girls are suspiciously alike Jem and The Holograms.", "topic": "Top/Arts/Music/Anti-Music/Spice_Girls", "url": "http://www.angelfire.com/rock/jem/realjem/index.html"} +{"d:Title": "Grudge-Match.com: Village People vs. Spice Girls", "d:Description": "Ficitional account of the two bands performing for fan support at a Gwar concert.", "topic": "Top/Arts/Music/Anti-Music/Spice_Girls", "url": "http://www.grudge-match.com/History/vp_sg.shtml"} +{"d:Title": "MusicArrangers.com", "d:Description": "Tips and tricks for music composers and arrangers: beginners music theory and orchestration, musical instruments range and transposition. Also downloadable sheet music.", "topic": "Top/Arts/Music/Arranging", "url": "http://www.musicarrangers.com/"} +{"d:Title": "Berkleemusic - Arranging Courses", "d:Description": "Links to courses available online from Berklee School of Music in Boston include basic arranging, film scoring, and notation using Finale or Sibelius. With fees.", "topic": "Top/Arts/Music/Arranging", "url": "http://www.berkleemusic.com/school/courses/music_arranging"} +{"d:Title": "Country Music Awards", "d:Description": "Includes organization, history, and press releases from the Country Music Association.", "topic": "Top/Arts/Music/Awards", "url": "http://www.cmaawards.com/"} +{"d:Title": "Infoplease.com: Music Awards", "d:Description": "Listing of year by year award winners of several different music awards.", "topic": "Top/Arts/Music/Awards", "url": "http://www.infoplease.com/ipa/A0777597.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Official site has a full list of the inductees into the Hall, articles, history, calendar, events, and exhibition information.", "topic": "Top/Arts/Music/Awards", "url": "http://www.rockhall.com/"} +{"d:Title": "The RIAA Diamond Awards", "d:Description": "An unofficial listing of the Recording Industry Association of America's Diamond Awards (with pictures).", "topic": "Top/Arts/Music/Awards", "url": "http://www.angelfire.com/music/diamondawards/"} +{"d:Title": "Soul-Patrol.com: Rock N' Roll Hall Of Fame Induction Ceremonies", "d:Description": "Review article.", "topic": "Top/Arts/Music/Awards", "url": "http://www.soul-patrol.com/rr_index.html"} +{"d:Title": "Eleventh Annual R&B Foundation Pioneer Awards", "d:Description": "Soul Patrol's coverage of The Rhythm and Blues Foundation Annual Pioneer Awards.", "topic": "Top/Arts/Music/Awards", "url": "http://www.soul-patrol.com/rb_found.htm"} +{"d:Title": "Tui Awards, The", "d:Description": "Information on the New Zealand Music Awards including the artists, the competition and the winners.", "topic": "Top/Arts/Music/Awards", "url": "http://www.nzmusicawards.co.nz/"} +{"d:Title": "World Music Awards", "d:Description": "The official site including photo gallery, nominees and winners of the awards.", "topic": "Top/Arts/Music/Awards", "url": "http://www.worldmusicawards.com/"} +{"d:Title": "Brit Awards", "d:Description": "Official site of annual event: news, nominees, results, galleries and links. [Requires Flash]", "topic": "Top/Arts/Music/Awards", "url": "http://www.brits.co.uk/"} +{"d:Title": "ARIA Music Awards", "d:Description": "Australian Record Industry Association awards information.", "topic": "Top/Arts/Music/Awards", "url": "http://www.ariaawards.com.au/"} +{"d:Title": "Iowa Blues Hall of Fame", "d:Description": "Honoring Iowa Blues heritage. Each member has a page commemorating his or her achievements, with photos, sound files and biography.", "topic": "Top/Arts/Music/Awards", "url": "http://cibs.org/events-programs/iowa-blues-hall-of-fame/"} +{"d:Title": "Dove Awards", "d:Description": "The official site, recognizing Christian and Gospel music.", "priority": "1", "topic": "Top/Arts/Music/Awards/Dove_Awards", "url": "http://www.doveawards.com/"} +{"d:Title": "Backstage quotes from the Dove Awards 2000", "d:Description": "Quotes from many performers in a message board posting.", "topic": "Top/Arts/Music/Awards/Dove_Awards", "url": "http://groups.yahoo.com/group/christianmusic-list/message/1494"} +{"d:Title": "GMA Dove Awards - Wikipedia", "d:Description": "Detailed information regarding the Gospel Music Awards, also known as the Dove Awards.", "topic": "Top/Arts/Music/Awards/Dove_Awards", "url": "http://en.wikipedia.org/wiki/Dove_Awards"} +{"d:Title": "The Grammy Awards", "d:Description": "Official site of the famous awards includes a history, news features, downloads and media archive.", "priority": "1", "topic": "Top/Arts/Music/Awards/Grammy", "url": "http://www.grammy.com/"} +{"d:Title": "Infoplease.com", "d:Description": "Details the 2001 Grammy Award winners, with lists of nominated artists.", "topic": "Top/Arts/Music/Awards/Grammy", "url": "http://www.infoplease.com/spot/01grammynominees1.html"} +{"d:Title": "2003 Grammy Awards", "d:Description": "Picture tribute and album for the 2003 Grammies.", "topic": "Top/Arts/Music/Awards/Grammy", "url": "http://www.angelfire.com/celeb2/2003grammy/index.html"} +{"d:Title": "Girl Talk!", "d:Description": "A fan site with all the winners for the 1999 MTV Video Music Awards.", "topic": "Top/Arts/Music/Awards/MTV_Video_Music", "url": "http://www.angelfire.com/ga/hansonamericanchilds/girltalk.html"} +{"d:Title": "MTV.com Video Music Awards", "d:Description": "Official site with photo and video highlights, nominees and winners, and message board.", "topic": "Top/Arts/Music/Awards/MTV_Video_Music", "url": "http://www.mtv.com/onair/vma/2003/"} +{"d:Title": "13 Stories", "d:Description": "Includes biography, tour dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/1", "url": "http://www.13stories.com/"} +{"d:Title": "18 to 49", "d:Description": "Sound files and nonsense from the New Jersey band.", "topic": "Top/Arts/Music/Bands_and_Artists/1", "url": "http://www.angelfire.com/nj3/18to49/"} +{"d:Title": "12 Ton Sledge", "d:Description": "Biography, shows, MP3s and pictures for the Phoenix, Arizona, hardcore band.", "topic": "Top/Arts/Music/Bands_and_Artists/1", "url": "http://www.12tonsledge.com/"} +{"d:Title": "13 Winters", "d:Description": "Dark metal band from New England. News, biography, member profiles, discography, photographs, MP3s, video, tour dates and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/1", "url": "http://www.13winters.com/"} +{"d:Title": "1001 Nights Orchestra", "d:Description": "Middle Eastern ensemble. Profile, history, reviews, news, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/1", "url": "https://www.facebook.com/1001NightsOrchestra"} +{"d:Title": "10,000 Maniacs", "d:Description": "Official site features a time line of past show dates, photos, a video clip, and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/1/10,000_Maniacs", "url": "http://www.maniacs.com/"} +{"d:Title": "FolkLib Index for 10,000 Maniacs", "d:Description": "An index of links related to this folk-rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10,000_Maniacs", "url": "http://www.folklib.net/index/1/10000_maniacs.shtml"} +{"d:Title": "Rock and Roll Acid Test", "d:Description": "Article from Guitar magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10,000_Maniacs", "url": "http://www.gdrmusic.com/atnatalie/library/imt/8805xxb.htm"} +{"d:Title": "Always on the Run: 10000 Maniacs", "d:Description": "Lyrics for the band's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10,000_Maniacs", "url": "http://www.alwaysontherun.net/10k.htm"} +{"d:Title": "Wikipedia: 10,000 Maniacs", "d:Description": "Encyclopedia entry includes a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10,000_Maniacs", "url": "http://en.wikipedia.org/wiki/10,000_Maniacs"} +{"d:Title": "Bar/None Records: 10,000 Maniacs", "d:Description": "Biography of the band and information about their album \"The Earth Pressed Flat.\"", "topic": "Top/Arts/Music/Bands_and_Artists/1/10,000_Maniacs", "url": "http://www.bar-none.com/10000-maniacs"} +{"d:Title": "MTV: 1000 Clowns", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/1/1000_Clowns", "url": "http://www.mtv.com/artists/1000-clowns/"} +{"d:Title": "The UnOfficial 10cc Fan Pages", "d:Description": "Facts, how to join the fan club, and memorabilia/records for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10cc", "url": "http://www.strawberrynorth.co.uk/"} +{"d:Title": "The Official 10cc Fan Club", "d:Description": "Contains articles and interviews, a fanzine, a list of frequently asked questions, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10cc", "url": "http://www.the10ccfanclub.com/"} +{"d:Title": "2000cc", "d:Description": "Biography, discography, collectibles, album covers, message board, and links. In English and Japanese.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10cc", "url": "http://tencc.fan-site.net/"} +{"d:Title": "Minestrone", "d:Description": "10cc/Godley and Creme mailing list, with archives.", "topic": "Top/Arts/Music/Bands_and_Artists/1/10cc", "url": "http://launch.groups.yahoo.com/group/minestrone/"} +{"d:Title": "AskMen.com: 112", "d:Description": "Brief biography and interview about the band's parting of ways with Bad Boy and musical style.", "topic": "Top/Arts/Music/Bands_and_Artists/1/112", "url": "http://www.askmen.com/toys/interview_100/103_112_interview.html"} +{"d:Title": "12 Rounds", "d:Description": "Includes discography, lyrics, sound and video files, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/1/12_Rounds", "url": "http://12rounds.8m.com/"} +{"d:Title": "All Music Guide: 12 Rounds", "d:Description": "Contains a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/1/12_Rounds", "url": "http://www.allmusic.com/artist/12-rounds-p203542"} +{"d:Title": "The 13th Floor Elevators Web Page", "d:Description": "Fan site includes discography.", "topic": "Top/Arts/Music/Bands_and_Artists/1/13th_Floor_Elevators", "url": "http://elevators.blinkenlights.org/"} +{"d:Title": "1927", "d:Description": "Official site includes news, tour dates, forum, discography with lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/1/1927", "url": "http://www.1927.net.au/"} +{"d:Title": "2002", "d:Description": "Specializes in classically tinged melodies complete with dreamy flutes, crystalline piano, tender strings, celestial choirs, harp and acoustic guitar.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.2002music.com/"} +{"d:Title": "2AM", "d:Description": "Teenage pop group from the Mississippi Gulf Coast. Unofficial site includes pictures, news, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.angelfire.com/ms/2AM/"} +{"d:Title": "2227", "d:Description": "Energetic and provocative stripcore band from Slovenia.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.ljudmila.org/stripcore/mu.htm"} +{"d:Title": "2060, The", "d:Description": "Includes discs, lyrics, story, mails, photos, news, trivia and merchandise. In English and Espa\u00f1ol.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.angelfire.com/rock/the2060/"} +{"d:Title": "27", "d:Description": "Boston, Massachusetts band. History, discography, show dates, photos, MP3s, online store, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.27.vg/"} +{"d:Title": "2020", "d:Description": "Kansas City based pop duo. Biography, show dates, and an audio sample.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.adamblueproductions.com/2020/"} +{"d:Title": "2NU", "d:Description": "Biography and audio samples for the surreal spoken-word narrative group.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.2nu2.com/"} +{"d:Title": "20minuteS", "d:Description": "Melbourne, Australia hip-hop/funk band. News, biography, tour dates, photos, discography, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.angelfire.com/band2/20minutes/"} +{"d:Title": "23 Beasts", "d:Description": "Official site for the York-based band with biographies, gig listings, news, MP3s, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/2", "url": "http://www.23beasts.co.uk/"} +{"d:Title": "No Life 'til Metal: 21 Guns", "d:Description": "Discography with brief reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/2/21_Guns", "url": "http://www.nolifetilmetal.com/21guns.html"} +{"d:Title": "MTV: 21 Guns", "d:Description": "Discography and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/2/21_Guns", "url": "http://www.mtv.com/artists/21-guns/"} +{"d:Title": "\"The\" Unofficial 24 Gone Site", "d:Description": "Fan page with news, cover art, lyrics and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/2/24_Gone", "url": "http://www.depotek.com/24Gone/"} +{"d:Title": "The2Unlimited.com", "d:Description": "Fan site includes discography, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/2/2_Unlimited", "url": "http://www.the2unlimited.com/"} +{"d:Title": "3rd Shift", "d:Description": "Maryland band plays a wide variety of classic, new, and original music.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://www.3rdshift.net/"} +{"d:Title": "3vel", "d:Description": "An Italian group orientated towards instrumental acoustic music. Features sound files and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://3vel.freeservers.com/"} +{"d:Title": "3-D", "d:Description": "Omaha, Nebraska metal band. News, tour dates, biography, MP3s, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://www.3dinyourface.com/"} +{"d:Title": "3 Daft Monkeys", "d:Description": "Features tour information, discography, lyrics, photo gallery and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://www.3daftmonkeys.co.uk/"} +{"d:Title": "302", "d:Description": "Contains lyrics, audio clips, lineup and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://www.302music.com/"} +{"d:Title": "3 Kisses", "d:Description": "Features news, concert dates, photo gallery, biographies, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://www.3kisses.com/"} +{"d:Title": "3D", "d:Description": "Island rhythms, contemporary jazz and soul music. Official site. Contains a biography, discography, videography, audio clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://www.hear3dmusic.com/"} +{"d:Title": "3rd&Main", "d:Description": "Jazz band based out of central New York. Features audio samples, photos, biographies, discography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/3", "url": "http://mysite.verizon.net/mheich/band/"} +{"d:Title": "30 Odd Foot of Grunts", "d:Description": "Official site includes news, FAQ, profiles, interviews, discography, pictures, sound files, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/3/30_Odd_Foot_of_Grunts", "url": "http://www.gruntland.com/"} +{"d:Title": "30 Seconds to Mars", "d:Description": "Official site features news, biography, tour dates, photos, videos, message board, and information on the mailing list and street team.", "topic": "Top/Arts/Music/Bands_and_Artists/3/30_Seconds_to_Mars", "url": "http://www.30secondstomars.com/"} +{"d:Title": "Entertainment Ave: 311", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/311.htm"} +{"d:Title": "311", "d:Description": "Official site with news, band history, biographies, home movies and video, audio samples, discography, set lists, tour history and dates, photographs, bulletin board, and chat. [Flash 7 Required]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/3/311", "url": "http://www.311.com/"} +{"d:Title": "Grassroots", "d:Description": "Touring tribute band based in Atlanta. Pictures, video and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311", "url": "http://www.grassroots311.com/"} +{"d:Title": "MTV", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311", "url": "http://www.mtv.com/artists/311/"} +{"d:Title": "Carrie's 311 Pictures", "d:Description": "Categorized by individual, group, and promotional still shots.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages", "url": "http://www.angelfire.com/music/slinky311/index.html"} +{"d:Title": "311 Day in New Orleans", "d:Description": "Pictures from the 3/11/2000 show at the State Palace Theater.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages", "url": "http://flowingmindspin.tripod.com/neworleans/index.html"} +{"d:Title": "Dollface's Tribute to 311 Fans", "d:Description": "Pictures of many of the regulars to the official 311 bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages", "url": "http://www.angelfire.com/punk2/dollface/"} +{"d:Title": "311's Got The Boom, Y'all", "d:Description": "Band information, animated photos, tablature and lyrics to a song.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages", "url": "http://www.angelfire.com/nj2/311rules/"} +{"d:Title": "Unity", "d:Description": "Pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages", "url": "http://www.angelfire.com/punk/unity311/"} +{"d:Title": "Cloud 311", "d:Description": "Images, band facts, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages", "url": "http://cassie_hexum.tripod.com/"} +{"d:Title": "311 Lyrics", "d:Description": "Includes concert dates, links, discography, and some images of the band. Allows search of all songs for a specific lyric.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages", "url": "http://www.najical.com/311/"} +{"d:Title": "TaiyedBrodels", "d:Description": "Share thoughts with other 311 fans.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Fan_Pages/Forums", "url": "http://www.taiyedbrodels.com/"} +{"d:Title": "Ultimate Guitar Tabs Archive", "d:Description": "Guitar and bass tablature listed alphabetically by song.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Tablature", "url": "http://www.ultimate-guitar.com/tabs/311_tabs.htm"} +{"d:Title": "XGuitar.com", "d:Description": "Guitar and bass tablatures indexed alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Tablature", "url": "http://www.xguitar.com/tabs/311/"} +{"d:Title": "Songsterr: 311", "d:Description": "Archive of bass tablature for 311.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Tablature/Bass", "url": "http://www.songsterr.com/a/wsa/311-tabs-a203"} +{"d:Title": "Songsterr", "d:Description": "Tablature for 311 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/3/311/Tablature/Drums", "url": "http://www.songsterr.com/a/wsa/311-tabs-a203"} +{"d:Title": "38 Special", "d:Description": "Official site. Features a discography, photos, tour dates, contact information, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/3/38_Special", "url": "http://www.38special.com/"} +{"d:Title": "3LW Online", "d:Description": "Biography, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3LW", "url": "http://www.angelfire.com/music4/3lwonline/"} +{"d:Title": "The 3LW Online Campaign", "d:Description": "Includes pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3LW", "url": "http://www.angelfire.com/music2/3lwy2k/main.html"} +{"d:Title": "AskMen.com: 3LW", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3LW", "url": "http://www.askmen.com/women/singer_60/94_3lw.html"} +{"d:Title": "Yahoo! Groups: 3lwdivaz", "d:Description": "Includes photos, videos, lyrics, music.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3LW", "url": "http://launch.groups.yahoo.com/group/3lwdivaz/"} +{"d:Title": "All Music Guide: 3LW", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3LW", "url": "http://www.allmusic.com/artist/3lw-p433802"} +{"d:Title": "MTV.com: 3LW", "d:Description": "Includes photos, news and multimedia clips.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3LW", "url": "http://www.mtv.com/artists/3lw/"} +{"d:Title": "3rd Faze", "d:Description": "Fan site featuring a biography, MP3s, photos, poll, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3rd_Faze", "url": "http://3rdfaze.tripod.com/"} +{"d:Title": "3T - Official Website", "d:Description": "Features news, tour information, photos and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3T", "url": "http://www.3t.com/"} +{"d:Title": "3T's Detour", "d:Description": "Contains news, pictures, multimedia, discography, links, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3T", "url": "http://www.detour3t.com/"} +{"d:Title": "Pure 3 Colours Red", "d:Description": "Fan site includes tour dates, discography, photos, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Colours_Red", "url": "http://website.lineone.net/~acgreen/"} +{"d:Title": "3 Doors Down", "d:Description": "Official site. Features news, biographies, video and audio samples, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Doors_Down", "url": "http://www.3doorsdown.com/"} +{"d:Title": "Be Like That", "d:Description": "Features concert reviews, biography, news, tour dates, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Doors_Down/Fan_Pages", "url": "http://www.angelfire.com/md2/belikethat/"} +{"d:Title": "3 Doors Down: The Unofficial Site", "d:Description": "Features a biography, lyrics, tour dates, and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Doors_Down/Fan_Pages", "url": "http://www.angelfire.com/rock/phlipdawg/3doorsdown.htm"} +{"d:Title": "3 Doors Down: The Aussie Site", "d:Description": "Features a biography, chat room, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Doors_Down/Fan_Pages", "url": "http://www.angelfire.com/band/threedoorsdownaus/index.html"} +{"d:Title": "Matt's 3 Doors Down Page", "d:Description": "Contains tablatures, biography, images, discography, lyrics, midi files, tour dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Doors_Down/Fan_Pages", "url": "http://www.mattsmusicpage.com/n3doors.htm"} +{"d:Title": "3 Doors Down Lyrics", "d:Description": "Contains lyrics, pictures, biography, and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Doors_Down/Fan_Pages", "url": "http://3dd4u.tripod.com/"} +{"d:Title": "Songsterr - 3 Doors Down", "d:Description": "A collection of bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Doors_Down/Tablature", "url": "http://www.songsterr.com/a/wsa/3-doors-down-tabs-a111"} +{"d:Title": "Technobeat: 3 Mustaphas 3", "d:Description": "Interview from \"The Beat\" magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Mustaphas_3", "url": "http://www.technobeat.com/COLUMNS/mustapha.html"} +{"d:Title": "All Music Guide: 3 Mustaphas 3", "d:Description": "Features a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/3/3_Mustaphas_3", "url": "http://www.allmusic.com/artist/3-mustaphas-3-mn0000583007"} +{"d:Title": "4th Ward", "d:Description": "Alternative pop/rock band. Includes a biography, pictures, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://www.4thward.com/"} +{"d:Title": "40 Miles Out", "d:Description": "Adult contemporary music group from Dallas, Texas. Profile, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://www.40milesout.com/"} +{"d:Title": "4 of Us, The", "d:Description": "Dublin based pop/rock band. Official site includes news, history, discography, sound files, reviews, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://www.the4ofus.com/"} +{"d:Title": "471", "d:Description": "News, profile, sound files, and calendar for the Christian rock/pop/praise band.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://www.the471.com/"} +{"d:Title": "420 Trainwreck, The", "d:Description": "Official site of North Carolina rock band. Includes members profiles, photos, forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://the420trainwreck.tripod.com/"} +{"d:Title": "4ft Fingers", "d:Description": "Fansite includes animation, news, reviews, pictures, biographies, discography, tour dates, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://www.angelfire.com/punk3/4ftfingers/"} +{"d:Title": "4am", "d:Description": "Adelaide's hottest rock and soul band. Gig guide, song list, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://users.adam.com.au/ramurphy/4am.html"} +{"d:Title": "40/20 Boyz", "d:Description": "Hip-hop duo from Columbus, Ohio. Profile, pictures, video and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://getbusyentertainment.yolasite.com/"} +{"d:Title": "4ever1", "d:Description": "Christian rock/contemporary band in New York state. Song clips, biography, events calendar and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/4", "url": "http://www.4ever1music.com/"} +{"d:Title": "4 Non Blondes Review", "d:Description": "A brief review of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/4/4_Non_Blondes", "url": "http://www.ram.org/music/reviews/4_non_blondes.html"} +{"d:Title": "4 Non Blondes Lyrics", "d:Description": "Lyrics for the songs from the band's album.", "topic": "Top/Arts/Music/Bands_and_Artists/4/4_Non_Blondes", "url": "http://www.alwaysontherun.net/4non.htm"} +{"d:Title": "5 Knuckle Shuffle, The", "d:Description": "Profiles, sound file, and photos for the Meriden, Connecticut based skrap-core band.", "topic": "Top/Arts/Music/Bands_and_Artists/5", "url": "http://www.angelfire.com/ct/skaholiks/"} +{"d:Title": ".504 Plan", "d:Description": "News, profiles, and pictures for the Chicago punk-emo band.", "topic": "Top/Arts/Music/Bands_and_Artists/5", "url": "http://www.angelfire.com/band/504plan/"} +{"d:Title": "5 Year Plan", "d:Description": "Official site of the Boone, NC based acoustic rock band. Profile and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/5", "url": "http://www.5yearplan.8m.com/"} +{"d:Title": "5 Knuckle Shuffle", "d:Description": "Ska Punk from Sydney Australia. Includes biography, news, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/5", "url": "http://5knuckleshuffle.8m.net/"} +{"d:Title": "5AM", "d:Description": "Underground rock band in Montreal; includes biographies, events, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/5", "url": "http://www.angelfire.com/rock3/5am/"} +{"d:Title": "5 Browns, The", "d:Description": "Classical piano quintet of brothers and sisters. Biography, blog, calendar, pictures, videos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/5", "url": "http://www.the5browns.com/"} +{"d:Title": "50 Cent", "d:Description": "Official site from Sony including information directly from the source and media of many types. Discography.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.50cent.com/"} +{"d:Title": "The Smoking Gun: Archive - 50 Cent", "d:Description": "Legal documents containing information on drug charges and other problems with the law.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.thesmokinggun.com/archive/50cent1.html"} +{"d:Title": "UnRated Magazine - Anger Management Tour 2005", "d:Description": "Review and photos from the Anger Management Tour 3 from Tweeter Center Chicago in Tinley Park.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=238"} +{"d:Title": "Rock on the Net - 50 Cent", "d:Description": "Includes daily news updates, information on 50 Cent, and a weekly compilation of major music charts.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.rockonthenet.com/artists-f/50cent.htm"} +{"d:Title": "Forbes.com - 50 Cent", "d:Description": "50 Cent is ranked 8 among The Top 100 Celebrities in 2006.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.forbes.com/lists/2006/53/M80Q.html"} +{"d:Title": "IMDb - 50 Cent", "d:Description": "Features bio, filmography, awards, photos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://imdb.com/name/nm1265067/"} +{"d:Title": "Topix - 50 Cent", "d:Description": "News about 50 Cent, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.topix.com/rss/who/50-cent.xml"} +{"d:Title": "AskMen.com - 50 Cent", "d:Description": "Biography and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.askmen.com/celebs/men/entertainment_100/116_50_cent.html"} +{"d:Title": "MTV.com - 50 Cent", "d:Description": "Frequently updated news with artist release information, videos, ringtones, images, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent", "url": "http://www.mtv.com/artists/50-cent/"} +{"d:Title": "50 Cent Base", "d:Description": "Providing lyrics, pictures, downloads, information and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent/Fan_Pages", "url": "http://www.50centbase.com/"} +{"d:Title": "50CentFanForum", "d:Description": "Features news and events, imagess, media, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent/Fan_Pages", "url": "http://50centfanforum.com/"} +{"d:Title": "50 Cent Humor Fan Site", "d:Description": "Unofficial fan site with a humorous twist. Featuring videos, links and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/5/50_Cent/Fan_Pages", "url": "http://www.50cent-eminem.generallyawesome.com/"} +{"d:Title": "54-40", "d:Description": "Official site includes news, history, discography, sound files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54-40", "url": "http://www.5440.com/"} +{"d:Title": "Gerald Oskoboiny: 54-40 at Barrymore's", "d:Description": "Concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54-40", "url": "http://impressive.net/people/gerald/2001/01/5440.html"} +{"d:Title": "Trusted by Millions, Played for Hundreds", "d:Description": "Concert review from Drop-D Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54-40", "url": "http://dropd.com/issue/6/54-40/"} +{"d:Title": "Here in My House", "d:Description": "Concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54-40", "url": "http://members.tripod.com/~Z00Station/54-40.html"} +{"d:Title": "Tim's 54-40 Collection", "d:Description": "Pictorial discography.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54-40", "url": "http://members.tripod.com/~timmytouchdown/"} +{"d:Title": "Smilin' Buddha Cabaret CD Archive", "d:Description": "Track listings and images.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54-40", "url": "http://smilinbuddhacabaret.tripod.com/index2.html"} +{"d:Title": "Jam Showbiz: 54-40", "d:Description": "Features several Canadian newspaper articles as well as album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54-40", "url": "http://jam.canoe.com/Music/Artists/F/5440/"} +{"d:Title": "Wanted: 54 Seconds", "d:Description": "News, biography, photographs, MP3 downloads, live show reviews, graphics, trivia, fractured fairytales, flash movie, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54_Seconds", "url": "http://members.shaw.ca/run2me/54Seconds.htm"} +{"d:Title": "Rules My World", "d:Description": "Desktop themes, backgrounds, wallpapers, icons and browser skins.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54_Seconds", "url": "http://www.angelfire.com/in4/immortal-art/54_seconds.htm"} +{"d:Title": "00:54", "d:Description": "54 Seconds mailing list. Public archives and files. Posting for members. (Yahoo! Groups).", "topic": "Top/Arts/Music/Bands_and_Artists/5/54_Seconds/Chats_and_Forums", "url": "http://groups.yahoo.com/group/54Secs"} +{"d:Title": "Blown Away Club", "d:Description": "Yahoo! Group, includes, message board, photo files, calendar, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/5/54_Seconds/Chats_and_Forums", "url": "http://groups.yahoo.com/group/blownaway/"} +{"d:Title": "60 Channels", "d:Description": "Produced by The Angel featuring vocalists Angie Hart, Navigator, Tre Hardson and Monday Michiru", "topic": "Top/Arts/Music/Bands_and_Artists/6", "url": "http://www.60channels.com/"} +{"d:Title": "6thirty7", "d:Description": "Official site of the Western MA metal band includes news, show dates, biography, discography, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/6", "url": "http://6thirty7.8m.com/"} +{"d:Title": "65daysofstatic", "d:Description": "Biography, links, show dates, and journal.", "topic": "Top/Arts/Music/Bands_and_Artists/6", "url": "http://www.65daysofstatic.com/"} +{"d:Title": "68plus", "d:Description": "MP3 files from the Finnish techno group.", "topic": "Top/Arts/Music/Bands_and_Artists/6", "url": "http://www.mikseri.net/artistit/?id=26379"} +{"d:Title": "63 Monroe", "d:Description": "Dedicated to the 80's Canadian glam punk rock band. Includes biography, sound files, image galleries and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/6", "url": "http://www.angelfire.com/punk4/glampunkrock.com/"} +{"d:Title": "69 Eyes, The", "d:Description": "Gothic rock band from Finland. News, biography, tour dates, discography, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/6", "url": "http://www.69eyes.com/"} +{"d:Title": "6gig", "d:Description": "Official site features lyrics, MP3 files, news, show dates, pictures, road journal, and street team information.", "topic": "Top/Arts/Music/Bands_and_Artists/6/6gig", "url": "http://www.6gig.com/"} +{"d:Title": "Stephin Merritt Album Gallery: The 6ths", "d:Description": "Reviews of both The 6ths albums to date plus pictures of all guest singers.", "topic": "Top/Arts/Music/Bands_and_Artists/6/6ths,_The", "url": "http://www.iheartny.com/yourenotthere/the6ths.html"} +{"d:Title": "SFWeekly.com: The 6ths: Hyacinths and Thistles", "d:Description": "Short biography of Stephin Merritt and a review of the album \"Hyacinths and Thistles\".", "topic": "Top/Arts/Music/Bands_and_Artists/6/6ths,_The", "url": "http://www.sfweekly.com/2000-11-01/music/the-6ths"} +{"d:Title": "Official 6X Website", "d:Description": "Latest news, show information, and pictures of the Atlanta band.", "topic": "Top/Arts/Music/Bands_and_Artists/6/6X", "url": "http://atl-6x.tripod.com/6x.htm"} +{"d:Title": "7 Minds", "d:Description": "7 piece alternative/rap-rock/ska band from New Jersey.", "topic": "Top/Arts/Music/Bands_and_Artists/7", "url": "http://www.7minds.com/"} +{"d:Title": "7th Heaven", "d:Description": "Chicago band. MP3s, video, news, dates, contact, merchandise, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/7", "url": "http://www.7thheavenband.com/"} +{"d:Title": "7 Eleven", "d:Description": "Telford, UK based rock band. Pictures, news, and playlist.", "topic": "Top/Arts/Music/Bands_and_Artists/7", "url": "http://www.seveneleven.20m.com/"} +{"d:Title": "7 for 4", "d:Description": "Rock/jazz/metal fusion group from Munich, Germany. Biography, MP3s, pictures and show dates. [German and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/7", "url": "http://www.7for4.de/"} +{"d:Title": "747 Orchestra", "d:Description": "Long Island, New York-based rhythm and blues/soul/funk band playing weddings and bar Mitzvahs. Audio samples, client list and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/7", "url": "http://www.747orchestra.com/"} +{"d:Title": "AskMen.com: 702", "d:Description": "Pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/7/702", "url": "http://www.askmen.com/celebs/women/singer_150/173_702.html"} +{"d:Title": "MTV: 702", "d:Description": "Features music video clips and news.", "topic": "Top/Arts/Music/Bands_and_Artists/7/702", "url": "http://www.mtv.com/artists/702/"} +{"d:Title": "7th Rail Crew", "d:Description": "Official site. Includes news, tour dates, articles, photos, MP3s, discography, biography, and street team.", "topic": "Top/Arts/Music/Bands_and_Artists/7/7th_Rail_Crew", "url": "http://www.7thrailcrew.com/"} +{"d:Title": "8 Second Ride", "d:Description": "Country dance band from the Seattle/Tacoma area. Schedule, contact information, song list and venue details.", "topic": "Top/Arts/Music/Bands_and_Artists/8", "url": "http://8sr.homestead.com/index.html"} +{"d:Title": "808:Fan:State", "d:Description": "A site mainly dedicated to Mancunian techno pioneers 808state. RealAudio and other electronic music.", "topic": "Top/Arts/Music/Bands_and_Artists/8/808_State", "url": "http://members.tripod.com/~mad808/"} +{"d:Title": "808 State", "d:Description": "official site.", "topic": "Top/Arts/Music/Bands_and_Artists/8/808_State", "url": "http://www.808state.com/"} +{"d:Title": "MTV: 8Stops7", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/8/8Stops7", "url": "http://www.mtv.com/artists/8stops7/"} +{"d:Title": "999 Society", "d:Description": "Show dates and pictures for the band based out of Denver, Colorado.", "topic": "Top/Arts/Music/Bands_and_Artists/9", "url": "http://www.angelfire.com/co3/999SOCIETY/"} +{"d:Title": "96mm", "d:Description": "Two member band in Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/9", "url": "http://www.angelfire.com/il2/96mm/"} +{"d:Title": "9cycle", "d:Description": "Hard rock in the Chicago area. Band member information, photos, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/9", "url": "http://www.angelfire.com/il2/9cycle/"} +{"d:Title": "99 Cent Special", "d:Description": "Industrial performance art band. Features video clips, links to MP3s, and the band's video game for Amiga computers.", "topic": "Top/Arts/Music/Bands_and_Artists/9", "url": "http://www.99centspecial.com/"} +{"d:Title": "900 Pound Gorilla", "d:Description": "Punk/metal/rock band from Southern California. Contains music, videos, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/9", "url": "http://www.900poundgorilla.com/"} +{"d:Title": "DebZ 911 Website", "d:Description": "911 site about the brilliant pop group with Lee Brennan, Spike Dawbarn and Jimmy Constable. Lyrics, photos, chart details and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://members.tripod.com/~Lee_911/index.htm"} +{"d:Title": "911 Lyrics Site", "d:Description": "The lyrics from just about every song on the 911 albums.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://members.tripod.com/~una_LFC/"} +{"d:Title": "911's World", "d:Description": "911 in Hong Kong with pictures, news and rumours.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://members.tripod.com/kobokobo3/911.html"} +{"d:Title": "911 and Lee Brennan", "d:Description": "Mailing List with news, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://groups.yahoo.com/group/nine-one-one/"} +{"d:Title": "The Lads From UK", "d:Description": "A Philippine made fan site.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://www.angelfire.com/ok/kazook/"} +{"d:Title": "911-derland", "d:Description": "Up to date web site with unique photos you may not have seen before. Along with sounds and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://www.angelfire.com/ab/911forever/"} +{"d:Title": "A Night To Remember", "d:Description": "Up to date and with a nice layout, with messages, profiles and gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://leebrennan.tripod.com/Antr_index"} +{"d:Title": "Ryan's Shrine for 911", "d:Description": "Sections include unseen picture gallery, downloads area, 911 chat and sing the lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911", "url": "http://ryanshrine.tripod.com/"} +{"d:Title": "Club of Lee Brennan", "d:Description": "Yahoo club, with pictures, news, message board, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911/Brennan,_Lee", "url": "http://groups.yahoo.com/group/leebrennan2/"} +{"d:Title": "Love Lee Brennan", "d:Description": "Latest news, live pictures, and competitions.", "topic": "Top/Arts/Music/Bands_and_Artists/9/911/Brennan,_Lee", "url": "http://groups.yahoo.com/group/loveleebrennan"} +{"d:Title": "MTV: 98 Degrees", "d:Description": "News, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees", "url": "http://www.mtv.com/artists/98-degrees/"} +{"d:Title": "Bring on The Heat: 98 Degrees is on Fire", "d:Description": "Includes pictures, biographies, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Fan_Pages", "url": "http://www.angelfire.com/linux/katyspage/"} +{"d:Title": "98 Degrees Online", "d:Description": "Contains news, pictures and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Fan_Pages", "url": "http://www.angelfire.com/celeb/98online/"} +{"d:Title": "The Temp Is Rising With 98\u00b0", "d:Description": "Features news and appearances, biographies, pictures, statistics, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Fan_Pages", "url": "http://www.angelfire.com/pop/thetempisrising/"} +{"d:Title": "The 98th Degree Website", "d:Description": "Biographies, news, schedule, TV appearances, concert dates, lyrics, music clips, discography, downloads and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Fan_Pages", "url": "http://ninety_eighth_degree.tripod.com/"} +{"d:Title": "Crazy Love for 98 Degrees", "d:Description": "An interactive, online club all about 98 Degrees.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Fan_Pages", "url": "http://groups.yahoo.com/group/crazylovefor98degrees/"} +{"d:Title": "Nick Lachey", "d:Description": "Official site from his record label. Includes news, biography, sound clips, photos, and Nick's journal.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Lachey,_Nick", "url": "http://www.nicklachey.com/"} +{"d:Title": "Jeff's Hot Spot", "d:Description": "Dedicated to Jeff Timmons, large text-linked image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Timmons,_Jeff", "url": "http://www.angelfire.com/ca3/JeffIsHot/"} +{"d:Title": "Ultimate Jeff Timmons Page", "d:Description": "Pictures, biography.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Timmons,_Jeff", "url": "http://www.angelfire.com/wa/98Storm2/"} +{"d:Title": "Jeff Timmons: On The Web", "d:Description": "Includes pictures, lyrics, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/9/98_Degrees/Timmons,_Jeff", "url": "http://www.angelfire.com/hi4/jefftimmons/enter.html"} +{"d:Title": "Apple Pies", "d:Description": "Roman boys playing Beatles songs, complete with suits.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.applepies.it/"} +{"d:Title": "An Cat Dubh", "d:Description": "Celtic rock from Darmstadt, Germany. Lyrics, photos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ancatdubh.de/"} +{"d:Title": "Anders, Thomas", "d:Description": "Official site for the frontman of Modern Talking.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.thomas-anders.com/"} +{"d:Title": "Antill", "d:Description": "News, pictures and music from the Berlin, Germany, band. [German and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.antill.de/"} +{"d:Title": "Austin, Patti", "d:Description": "Grammy-wnning R&B and jazz singer. Blog, discography, shows, pictures, news and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://pattiaustin.com/"} +{"d:Title": "Ashes to Ashes", "d:Description": "Official site of Norwegian metal band. Pictures, reviews, sound files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.atoa.net/"} +{"d:Title": "Andersson, Marten", "d:Description": "Official site of the actor/singer includes a biography, pictures, news and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.legacylive.com/marten.htm"} +{"d:Title": "Adkins, Hasil", "d:Description": "Dedicated to the madman pioneer of rockabilly, Hasil \"The Haze\" Adkins, who started rocking out in the 1950s and continues to rock out today. With interviews and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.grandrapidsrocks.com/haze/"} +{"d:Title": "Appalachian Death Ride", "d:Description": "Official site includes history, photographs, calendar of performances, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.escapistart.com/adr/"} +{"d:Title": "Arpad, Christopher", "d:Description": "Steel drummer. Includes biography, audio samples, past performances, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.chrisarpad.com/"} +{"d:Title": "Allen, Roger", "d:Description": "Biography, photos and sound clips from this country music artist.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/fl2/rogerallen/"} +{"d:Title": "Abhyankar, Sanjeev", "d:Description": "Indian classical vocalist of international acclaim. Features biography, reviews and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.sanjeevabhyankar.com/"} +{"d:Title": "Ancient Future", "d:Description": "The home page of the pioneering World Fusion band, Ancient Future. Band history and biography, online CD ordering, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ancient-future.com/"} +{"d:Title": "Armstrong, Randy", "d:Description": "Guitarist, multi-instrumentalist, recording artist, educator and composer performing contemporary jazz/world music blending electronic and acoustic instruments from around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.randyarmstrong.com/"} +{"d:Title": "Anything Box", "d:Description": "Synthpop group based in Los Angeles, CA. Includes free MP3 files, online ordering and current events.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.anythingbox.com/"} +{"d:Title": "Arthur Loves Plastic", "d:Description": "Information on ALP's releases.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.arthurlovesplastic.com/"} +{"d:Title": "Angry Samoans", "d:Description": "Profile, news, and scrapbook.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angrysamoans.com/"} +{"d:Title": "Annie Hawkins Band", "d:Description": "\"Roots rock meets lounge jazz.\" Official site. Band information, reviews, sound files, mailing list, pictures and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://anniehawkins.com/"} +{"d:Title": "Andalusia", "d:Description": "Information on the San Francisco Bay Area band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.andalusia.net/"} +{"d:Title": "Atomic Flea", "d:Description": "Their all-new super-secret web hideout. Minneapolis Counter Revolution.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.atomicflea.com/"} +{"d:Title": "American Steel", "d:Description": "Bay Area punk-rockers.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/ca2/americansteel/"} +{"d:Title": "Andes", "d:Description": "\"Andean folklore with a touch of techno.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://members.tripod.com/~andes_peru/"} +{"d:Title": "Astroblast", "d:Description": "Austin, Texas noise pop. News, contact information, multimedia, images, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.astroblast.com/"} +{"d:Title": "Antidote", "d:Description": "Punk band from Holland. Includes a biography, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.antidote.nl/"} +{"d:Title": "Angels, The", "d:Description": "The official site of the 1960s girl group. Audio clips, venue dates, discography, photos, booking information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.theangelsonline.com/"} +{"d:Title": "Agnes Poetry", "d:Description": "Electronic/alternative band originating from Salt Lake City, Utah. Official site includes news, biography, concert dates, discography, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.agnespoetry.com/"} +{"d:Title": "Atlantic Clarion Steel Band", "d:Description": "New England's favorite steelband - all pans and percussion playing calypso, soca, pops and classics for parties and concerts, and educational programs.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.atlanticclarion.com/"} +{"d:Title": "Aspex", "d:Description": "Dedicated to the memory of the Washington, DC area rock band. Profile, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.aspexband.com/"} +{"d:Title": "Astral, The", "d:Description": "Heavy metal band from Finland. News, lyrics, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://koti.mbnet.fi/~waina/"} +{"d:Title": "Acid Junkies", "d:Description": "Official site for this techno/house band. Includes news, discography, downloads, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.acidjunkies.com/"} +{"d:Title": "Armchair, Eddie", "d:Description": "Offers lyrics, songs, visuals, and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.eddiearmchair.com/"} +{"d:Title": "Ask, Ole", "d:Description": "Offers a biography, reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.oleask.com/"} +{"d:Title": "Arnold Chin Band, The", "d:Description": "Plays traditional classic country and folk music. Includes a songlist, band history, photos and event dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.arnoldchin.com/"} +{"d:Title": "Adoo, Clarence", "d:Description": "Official site for the trumpeter includes biography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.clarence.org.uk/"} +{"d:Title": "Ali, Arshad", "d:Description": "Pictures, events, and sound files of the Pakistani musician.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.arshadali.com/"} +{"d:Title": "Anal Crust Lubricator", "d:Description": "Biography, MP3s, pictures, guitar tablature, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://a_c_l.tripod.com/ACL/"} +{"d:Title": "Autumn Waking, The", "d:Description": "Punk rock trio from Chicago. Information, audio downloads, and upcoming shows calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.tenseforms.com/autumn/"} +{"d:Title": "Appleyard, Peter", "d:Description": "Norfolk, England pop/rock singer. MP3s, mailing list, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.appleyard.info/"} +{"d:Title": "Arcwelder", "d:Description": "Biography, show dates, discography, FAQ, and merchandise for the Minneapolis band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.arcwelderband.com/"} +{"d:Title": "Area 51", "d:Description": "The Music of Area 51.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/mo/dallaspage/"} +{"d:Title": "Adler, Lincoln", "d:Description": "Contemporary jazz saxophone player Lincoln Adler. Biography, sound samples, CD notes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.lincolnadler.com/"} +{"d:Title": "Autumn In The Woods", "d:Description": "Christian emo band from Bethel, OH. Biographies and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/ri/autumninthewoods/"} +{"d:Title": "Anxiety", "d:Description": "Profile of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://anxietyband.tripod.com/home.htm"} +{"d:Title": "Amok", "d:Description": "Includes links, pictures, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://xamokx.tripod.com/"} +{"d:Title": "Anudu", "d:Description": "German metal/rock band. Includes a band history, interviews, lyrics, news and free songs.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.anudu.com/"} +{"d:Title": "Anti-M", "d:Description": "Progressive rock band. News, biography, discography, MP3s, video, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.anti-m.com/"} +{"d:Title": "Andreason, Christian", "d:Description": "Official site. Photos, booking information, discography, listen and buy online.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.allaboutchristian.com/"} +{"d:Title": "Aladdin Sane", "d:Description": "Alternative Rock and Roll power trio from East Tennessee. Includes song clips, pictures, and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/tn/aladdinsane/"} +{"d:Title": "Apex Theory", "d:Description": "Brief biography, MP3 samples and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://apossibly.freeservers.com/"} +{"d:Title": "ARR", "d:Description": "News, biography, discography, tour dates, lyrics, audio, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://arr.freehosting.net/"} +{"d:Title": "Arvidson, Dean", "d:Description": "Pianist and composer. Includes a biography, photos and song notes.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.deanarvidson.freeservers.com/"} +{"d:Title": "American Head", "d:Description": "\"Like a mafia shootout at a German Polka party.\" Includes sound files, news and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/vt/AmericanHead/"} +{"d:Title": "Abenaa", "d:Description": "Official site for the native of Ghana. Includes a biography, photos, news, album information, lyrics, multimedia files and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://abenaa.com/"} +{"d:Title": "Abstract Truth", "d:Description": "Modern soul-jazz group from NYC. Includes a biography, sound files, images and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://abstracttruth.com/"} +{"d:Title": "Argyle, Matt", "d:Description": "Alternative folk rock singer/songwriter from Britain. Gig schedule, biography, tour diary, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mattargyle.com/"} +{"d:Title": "Apple of Discord", "d:Description": "Indie/soul-rock band from southern New Jersey. Includes a biography, news and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://appleofdiscord.8m.com/"} +{"d:Title": "Against My Religion", "d:Description": "Brief profile and show dates for the Michigan band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/band/againstmyreligion/"} +{"d:Title": "Axis", "d:Description": "New York City rhythm and blues band. Contains profile, photographs, audio samples, album information, news and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://axisny.tripod.com/"} +{"d:Title": "Allain, Jan", "d:Description": "Official homepage with biography, photographs, discography and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.janallain.com/"} +{"d:Title": "Aztec Jade", "d:Description": "NY-based original progressive rock. Band information, member profiles, photos, discography, merchandise, news and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.bluekabuto.com/aztecjade/"} +{"d:Title": "Adam West", "d:Description": "Official site for the Washington, D.C. rock band with gigs, history, audio and video clips, pictures, hate mail, lyrics and session details.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://fandangorecs.com/adamwest/"} +{"d:Title": "Albatross", "d:Description": "Home of Albatross a San Francisco based bluesrock band on the Mavwreck Records label", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mavwreckrecords.com/"} +{"d:Title": "Astro Jackson", "d:Description": "Includes pictures, sounds, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/band/astrojackson/index.html"} +{"d:Title": "Ammendola, Paul", "d:Description": "New York singer/songwriter. Biography, MP3s, reviews, show dates, mailing list, links, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://paulammendola.com/"} +{"d:Title": "Avila, Chico", "d:Description": "Newsletter, biography, schedule, discography, pictures, fan club and press for the Portuguese singer. [English and Portuguese]", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.chicoavila.com/"} +{"d:Title": "Audra and The Antidote", "d:Description": "Official site includes photos, music, biographies, events, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.theantidote.net/"} +{"d:Title": "Adopted, The", "d:Description": "Canadian alternative band. Includes a biography, photos, FAQ, song clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/on/theadopted/"} +{"d:Title": "Albert, Morris", "d:Description": "Composer of Fellings.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/mo2/morrisalbert/"} +{"d:Title": "Antifreeze", "d:Description": "This is the Official Site for this punk rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.macromusic.com/Antifreeze/"} +{"d:Title": "Answer, The", "d:Description": "A Scottish rock band. Profile, memorabilia, press clippings, and a fanzine. Heavy graphics.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/rock/THEANSWER/"} +{"d:Title": "At-Water", "d:Description": "Group local to West Palm Beach.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/tn/atwater/"} +{"d:Title": "Abigail's End", "d:Description": "Philadelphia post-punk band. Biography, show dates, equipment, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.abigailsend.com/"} +{"d:Title": "Antigone Rising", "d:Description": "All female rock band. Contains news, a message board, photographs, show schedule, merchandise, articles, profile, biographies and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://antigonerising.com/"} +{"d:Title": "Animus", "d:Description": "Philadelphia-based world fusion band. Includes show dates, biography, booking information, and song downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.animusmusic.com/"} +{"d:Title": "Avenging Space", "d:Description": "Realaudio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ddrecords.com/as.html"} +{"d:Title": "all american radio", "d:Description": "a four-piece band from Lancaster and York Pennsylvania.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.burnttoastvinyl.com/allamericanradio/"} +{"d:Title": "Arnold, Jan", "d:Description": "Female vocalist from Michigan. Includes a biography, schedule, audio/video clips and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.janarnold.com/"} +{"d:Title": "Armstrong, Joey", "d:Description": "Offers photographs, performance dates and information about the artist and his rock band from Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.joearmstrong.com/"} +{"d:Title": "Avernus", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/avernus/"} +{"d:Title": "Asphyx", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/asphyx/"} +{"d:Title": "Acrossthestreet", "d:Description": "Profiles, news, lyrics, pictures, and merchandise for the melodic punk rock band from Kansas City, Missouri.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/nd/acrossthestreet/"} +{"d:Title": "Angels In Disguise", "d:Description": "News for the girl group.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/in2/angelsindisguise1/"} +{"d:Title": "Absolute Blue", "d:Description": "History, biographies, concert dates, and merchandise for the Central Florida-based rocking blues outfit.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://absoluteblue.com/"} +{"d:Title": "Accident Prone", "d:Description": "Profiles and pictures of the hard core/punk band from New Jersey.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/nj2/accidentprone/"} +{"d:Title": "Ayem", "d:Description": "Female rhythm and blues and hiphop duo who sing and perform original, and cover songs. Pictures, sound clips, and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/me2/AyEm/index.html"} +{"d:Title": "Amorphous Band, The", "d:Description": "Show dates and profile of the psychedelic roots-rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/mo/theamorphousband/"} +{"d:Title": "America Gomorrah", "d:Description": "News, biographies, sound files, lyrics, and CD information for the progressive rock band from Denver, Colorado.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.americagomorrah.com/"} +{"d:Title": "Autumn's Child", "d:Description": "Ensemble featuring the native American flute playing of Mark Holland. Biographies, performance dates, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.cedarnsagemusic.com/"} +{"d:Title": "Addy, Chata", "d:Description": "Contact information for the master drummer from Ghana, West Africa.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.chataaddy.com/"} +{"d:Title": "Akimbo", "d:Description": "Rock/emo/hardcore band. Site has show dates, a biography, photos, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://akimborock.tripod.com/"} +{"d:Title": "Arkanus", "d:Description": "Brazilian melodic heavy metal band. Photos, music, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.arkanus.8m.com/"} +{"d:Title": "AfterImage", "d:Description": "Sacramento, California rock band. Biography, lyrics, concert dates, photo gallery, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://after_image.tripod.com/"} +{"d:Title": "Afrostank", "d:Description": "Comedy duo from Richmond, Virginia. Contains news and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://stankafro.tripod.com/afrostank.htm"} +{"d:Title": "Artskool", "d:Description": "The official site of the band that turns in its acclaimed portfolio of intense and vigorous aural enigma.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://artskool.biz/"} +{"d:Title": "Allsup, Michael", "d:Description": "Three Dog Night guitarist has released his debut solo CD \"Some Women\" with a title song co-written by the late Hoyt Axton.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.michaelallsup.com/"} +{"d:Title": "Autumn, Emilie", "d:Description": "Official site of singer offers exclusive photos, songs, videos, merchandise, up-to-the-minute news, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.emilieautumn.com/"} +{"d:Title": "Aiello, Josie", "d:Description": "Profile, images, contact information, works in progress, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.josieaiello.com/"} +{"d:Title": "Anugama", "d:Description": "Multi-instrumentalist living in Hawaii and making shamanic healing instrumental music. Biography, discography, sound samples and CD sales.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://anugama.com/"} +{"d:Title": "American Vampire", "d:Description": "Official site for the Orange County California death rock group. News, biography, gallery, quotes, audio, lyrics, remixes, show dates, links, poll, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/band/amvamp/"} +{"d:Title": "Asher, James", "d:Description": "Official site includes biography, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.james-asher.co.uk/"} +{"d:Title": "Allen, Rick", "d:Description": "Biography, sound files, and stories from the blues artist.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.bluesparadise.com/RickAllen/"} +{"d:Title": "Anvil", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/anvil/"} +{"d:Title": "Angra", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/angra/"} +{"d:Title": "Angelcorpse", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/angelcorpse/"} +{"d:Title": "Alien Tourist", "d:Description": "Homepage for the Dallas area band . Information, calendar, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.alientourist.com/"} +{"d:Title": "Anomalus Anexx", "d:Description": "A metal/industrial/ambient group based in Columbia, South Carolina. News, biography, lyrics, MP3s, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://meatboxrecords.tripod.com/anomalusanexx/index.html"} +{"d:Title": "Ancient", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/ancient/"} +{"d:Title": "Altar", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/altar/"} +{"d:Title": "Azrael", "d:Description": "Remixer/composer/vocalist. Biography, discography, audio clips, links, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://jibarodigital.tripod.com/"} +{"d:Title": "Agua Loca", "d:Description": "Latin rock band formed of nine musicians. Tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.agualoca.de/"} +{"d:Title": "Alexandria Kleztet, The", "d:Description": "Klezmer band from the Washington, DC area. Includes member profiles, photos and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.kleztet.com/"} +{"d:Title": "Angiescreams", "d:Description": "Modern rock band from New York City. Audio and video downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angiescreams.com/"} +{"d:Title": "Avalon", "d:Description": "Folk rock band using violin as the main instrument. Biographies and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://avalon-folkrock.com/"} +{"d:Title": "Awkward Thought", "d:Description": "New York hardcore punk band. Offers news, show dates, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.deadcityny.com/"} +{"d:Title": "Avogadro's Number", "d:Description": "Rock band from Harrisburg, Pa. Includes show dates, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://avogadrosnumber.tripod.com/"} +{"d:Title": "Anthony, John", "d:Description": "Male pop singer. Information and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.johnanthonymusic.com/"} +{"d:Title": "Alien Terrarium", "d:Description": "Rock band. MP3s and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/space/alienterrarium/"} +{"d:Title": "Allegiance", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lyrics.rockmagic.net/lyrics/allegiance/"} +{"d:Title": "Already Taken", "d:Description": "Christian rock band. Biographies, pictures, events, and a poll.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/band2/takenalready/"} +{"d:Title": "Akl, Randy", "d:Description": "Christian singer/songwriter. Biography, discography, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.randyakl.com/"} +{"d:Title": "Ackerman, Dan", "d:Description": "New York singer. News, biography, MP3s, wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.danackerman.com/"} +{"d:Title": "Astral Dance", "d:Description": "Official site includes history, discography, CD information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.astralnetart.com/"} +{"d:Title": "Afroskull", "d:Description": "New Orleans funk band. News, biography, press, calendar, gallery, MP3s, mailing list, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.afroskull.com/"} +{"d:Title": "Amanda Jones", "d:Description": "Los Angeles band. Biography, show dates, discography, and gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://amandajonesband.tripod.com/"} +{"d:Title": "Ahlers, Ozzie", "d:Description": "Rock and roll songwriter and producer. Biography and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ozzieahlers.com/"} +{"d:Title": "Anna and The Psychomen", "d:Description": "Italian punk rock band. News, biography, images, show dates, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.psychomen.org/"} +{"d:Title": "Anova", "d:Description": "Electronica artist. MP3s, links, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/ab4/anova/"} +{"d:Title": "Asschapel", "d:Description": "Nashville, Tennessee thrash band. Show listings and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/band/asschapel/"} +{"d:Title": "Anarky Ninja", "d:Description": "Elkford, British Columbia punk band. Pictures, sounds, lyrics, movies, news, chat, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.anarkyninja.8m.com/"} +{"d:Title": "Aged Machine", "d:Description": "Electronica band. Tour dates, audio clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://agedmachine.com/"} +{"d:Title": "Anastasio, Michele", "d:Description": "South Florida-based jazz singer. Biography, discography, reviews, links, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.micheleanastasio.com/"} +{"d:Title": "aNDREmu", "d:Description": "Electronic music featuring artist using the instrument known as the \"Chapman Stick\". [English and German]", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://andremu.com/"} +{"d:Title": "Aiken Drum", "d:Description": "Includes history, discography, stories behind the songs, and information on band members of this rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/pe/purpleroom/"} +{"d:Title": "Asbell, Paul", "d:Description": "Jazz/blues acoustic guitar player and musician. Biography, discography, reviews, and event calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.paulasbell.com/"} +{"d:Title": "Aroeste, Sarah", "d:Description": "Funky fusion of Spanish, Mediterranean and American musical styles. Includes performance updates, bios, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.saraharoeste.com/"} +{"d:Title": "Amphibious", "d:Description": "Rock band based in Australia. Biography, gig dates, photos, discography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://dansomdan.tripod.com/amphibious/"} +{"d:Title": "Angel Smile", "d:Description": "Swiss rock band. Biographies, pictures, MP3s, show dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelsmile.org/"} +{"d:Title": "Ashpool", "d:Description": "San Francisco based progressive-rock-fusion trio. Biography, audio samples, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ashpoolmusic.com/"} +{"d:Title": "Anders, Gabriela", "d:Description": "Argentinian jazz vocalist. Biography, photos, concert dates, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.gabrielaanders.com/"} +{"d:Title": "Alice Donut", "d:Description": "Rock band formed in New York's East Village in late 1980's. Photos and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.alicedonut.com/"} +{"d:Title": "Animals on Wheels", "d:Description": "Drill and bass band from Cambridge, England that has only one member. News and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.animalsonwheels.co.uk/"} +{"d:Title": "Altair", "d:Description": "Electronically oriented band from Tacoma, Washington. Information, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/electronic2/altair/"} +{"d:Title": "All Life Ends", "d:Description": "Melodic black/death metal band from Ipswich, UK. Biography, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/band2/allifeends/"} +{"d:Title": "Ashdown, Doug", "d:Description": "Official site of the singer/songwriter. Includes photo gallery, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.dougashdown.com/"} +{"d:Title": "Ashbury Keys", "d:Description": "Houston, Texas based power-pop band. Show dates and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ashburykeys.com/"} +{"d:Title": "Angel, The", "d:Description": "Producer, artist and composer also recording under the name \"60 Channels\". Reviews, interviews, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.theangelsoundclash.com/"} +{"d:Title": "Apocalyptic Space Men, The", "d:Description": "Humorous garage band based in Ottawa, Canada. Biography, discography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.angelfire.com/band/tasm/"} +{"d:Title": "Anthony, Rob", "d:Description": "News, biography, interviews, photo gallery, audio files, reviews and lyrics for the singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.robanthonymusic.com/"} +{"d:Title": "Agranoff, Mike", "d:Description": "New Jersey-based folksinger; schedule, biography, pictures, discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mikeagranoff.com/"} +{"d:Title": "Aftershox", "d:Description": "Southern California classic rock band; biographies, gigs, booking information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ibmuser.com/aftershox/"} +{"d:Title": "Abair, Mindi", "d:Description": "Official site. Includes newsletter signup.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mindiabair.com/"} +{"d:Title": "Apes, The", "d:Description": "Washington D.C. based rock band. Biography, photos, and upcoming show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.theapes.com/"} +{"d:Title": "Aminu", "d:Description": "London-based rhythm and blues artist; biography and pictures. [Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.aminu.com/"} +{"d:Title": "Arnold, Lynda", "d:Description": "Flutist and singer/songwriter. Site contains biography, photos, poetry, event dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.divasonic.com/"} +{"d:Title": "Altaria", "d:Description": "Finnish metal band. News, biography, discography, photos, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ovaali.org/Altaria/"} +{"d:Title": "Allen, Kris and Southern Thunder", "d:Description": "Nashville, Tennessee southern rock/country band. Downloads, links, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.krisallen.com/"} +{"d:Title": "Adam Bomb", "d:Description": "Biography, photos, audio samples, and show dates for the rock guitarist/vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.adambomb.com/"} +{"d:Title": "Ashu", "d:Description": "Official site of the saxophonist. Includes biography, trivia, journal, photographs, audio clips, repertoire, and fan mail information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ashuonline.com/"} +{"d:Title": "alYve", "d:Description": "History, sound files, and photos of the Swiss band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.alyve.ch/"} +{"d:Title": "Ainslie, Scott", "d:Description": "Blues guitarist and historian. Articles, recommended resources, and lesson plans for blues history studies; reviews; itinerary; and album and book ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://cattailmusic.com/"} +{"d:Title": "Anaida", "d:Description": "This vocalist's website includes a discography, photo album, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.anaida.com/"} +{"d:Title": "Audio Justice", "d:Description": "Includes news, profiles, audio clips, discography, gallery and reviews. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.audiojustice.com/"} +{"d:Title": "Avitabile, Franck", "d:Description": "Biography, tours, photos, mp3, and scores for this jazz pianist and composer. [English and French]", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://avitabile.free.fr/"} +{"d:Title": "Audain, Yvetta", "d:Description": "Versatile musician/composer/performer/tutor born and resident in New Zealand. Biography, CV, musical samples, image gallery, links and contact page.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.yvetteaudain.com/"} +{"d:Title": "Avion, Paul", "d:Description": "Official site includes news, photos, music, press, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.paulavion.com/"} +{"d:Title": "Absoluto", "d:Description": "English/Spanish band from New Jersey. Includes a biography, pictures and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://absoluto.com/"} +{"d:Title": "Ashba, DJ", "d:Description": "Official site for the guitarist and his band. News, biography, events, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.djashba.com/"} +{"d:Title": "Anderskov, Jacob", "d:Description": "Jazz pianist and composer from Denmark. Biography, show dates, audio samples, quotes, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.jacobanderskov.dk/"} +{"d:Title": "Added FX", "d:Description": "Pop/hip-hop group based in Cleveland, Ohio.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://cdbaby.com/cd/addedfx"} +{"d:Title": "Assassins, The", "d:Description": "Six-piece rock and roll and blues band. Offers a band profile.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://rhumba.com/assassins.html"} +{"d:Title": "Astley, Virginia", "d:Description": "UK singer-songwriter's site features news, biography, discography, forum and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.virginiaastley.com/"} +{"d:Title": "Ashland", "d:Description": "Progressive rock band from Seattle. Biographies, sound clips, news and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.ashlandband.net/"} +{"d:Title": "AEPOC", "d:Description": "Alternative, electronic, and industrial music. Contains pictures, MP3s, biography, press, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.aepoc.com/"} +{"d:Title": "Armstrong, Judy", "d:Description": "Available for motivational programs.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.judyarmstrong.ca/"} +{"d:Title": "Aurora K", "d:Description": "Finnish hard rock band. News, biography, MP3s, gigs, reviews, guestbook, links, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.aurorak.com/"} +{"d:Title": "Atomic Swing", "d:Description": "Biography, discography, lyrics, and MIDIs from the Japanese band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.atomicswingfan.net/"} +{"d:Title": "Antichrisis", "d:Description": "Discography, lyrics, reviews, photographs, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.antichrisis.net/"} +{"d:Title": "Amampondo", "d:Description": "Biography and CD ordering for this South African band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.melt.co.za/index.php?main_page=page&id=8&chapter=0&zenid=114b28a03d4d3cf43f31e516e5b8ca48"} +{"d:Title": "Authority Zero", "d:Description": "Arizona ska/punk band. Includes a biography, photos and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.authorityzero.com/"} +{"d:Title": "Amari", "d:Description": "Washington, DC rhythm and blues trio. MP3s, message board, photos, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.soundclick.com/bands/default.cfm?bandID=3390"} +{"d:Title": "Alliance Quartet", "d:Description": "Sings a variety of arrangements, most of which fall within the category of traditional southern gospel. Based in Huntsville, Alabama,", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.allianceqt.com/"} +{"d:Title": "Andes, Mark", "d:Description": "Bass player of the band Spirit. History, scrapbook, guestbook, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.markandesmusic.com/"} +{"d:Title": "Agathocles", "d:Description": "Heavy metal band based in Belgium. Site includes biography, audio samples, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.agathocles.com/"} +{"d:Title": "Amarok", "d:Description": "Environmentalist band from Spain. Site includes their biography and information on band members.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://manelpm.eresmas.net/amarok/amarang.htm"} +{"d:Title": "Accidents, The", "d:Description": "Australian rock band. Site includes audio samples and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.theaccidents.com.au/"} +{"d:Title": "Angel, Johnny and the Swingin' Demons", "d:Description": "Swing band that performs in the New Orleans area. Sound clips, pictures, e-mail list, and club dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://johnnysangelz.tripod.com/"} +{"d:Title": "Agent Orange", "d:Description": "United Kingdom band performs pop, rock and disco covers. Includes overview, pictures and live audio.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.agentorange-liveband.co.uk/"} +{"d:Title": "Anthony, Michael", "d:Description": "Official site includes photos, tour schedule, gear, weblog, biography, and store featuring shirts and hot sauce.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.madanthonycafe.com/"} +{"d:Title": "Alaska", "d:Description": "Tweepop band from Hamburg, Germany. News, discography, audio and video clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.alaskatheband.de/"} +{"d:Title": "Aliz\u00e9e", "d:Description": "Fan blog about the French singer.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://alizee-music.blogspot.com/"} +{"d:Title": "Alien Skin", "d:Description": "Electronic solo project by George Pappas, keyboardist with Real Life. Album information and samples, history of electronic music, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.alienskinmusic.com/"} +{"d:Title": "Alicata, Marie", "d:Description": "Biography, audio samples and pictures for the South Florida singer.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://mariealicata.com/"} +{"d:Title": "Allen, Lily", "d:Description": "British pop music singer and songwriter. Blog, news, press releases, tour dates, gallery, lyrics and audio and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.lilyallenmusic.com/"} +{"d:Title": "Arrows, The", "d:Description": "Fan site for the UK band that wrote and first recorded \"I Love Rock n Roll\". Includes history and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://arrowsfan.co.uk/"} +{"d:Title": "Ace Diamond Bimbos", "d:Description": "Homepage for Seattle's lords of the new rock-- the Ace Diamond Bimbos. Punk/hardrock music", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://paulblow.tripod.com/grid3.html"} +{"d:Title": "Alchemy", "d:Description": "A hardcore/metal band from West Michigan.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://alchemy1.tripod.com/"} +{"d:Title": "Amapola", "d:Description": "Extensive links list of the singing star's life and career, links to Hollywood friends and photo gallery, fan sites, free E-mail addresses, and Grand Central links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://pollytte.tripod.com/pollytte.html"} +{"d:Title": "Armstrong, Caren", "d:Description": "A popular singer/songwriter, Caren tours nationally, captivating fans with her deeply personal yet transcendental songs.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.carenarmstrong.com/"} +{"d:Title": "Audioflood", "d:Description": "Member profiles, photos and lyrics for the alternative rock trio.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://audioflood.tripod.com/"} +{"d:Title": "American Flatline", "d:Description": "Biography, show dates, photos, lyrics, gear, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://american-flatline.tripod.com/index.htm"} +{"d:Title": "Antilles", "d:Description": "Solo electronic act including audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.antilles-music.com/"} +{"d:Title": "Ayimbidi A Mfumu", "d:Description": "African-Dutch gospel group. Biographies, concert dates, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://home.kpn.nl/tell_arthur/"} +{"d:Title": "Askland, Conrad", "d:Description": "Southern California composer, pianist, and record producer. Discography, audio files and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.conradaskland.com/"} +{"d:Title": "Aneurysm", "d:Description": "Struggling diverse metal band based in Denmark.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://aneurysm_inc.tripod.com/"} +{"d:Title": "Axtell, Frank", "d:Description": "South Florida jazz-fusion guitarist. Includes history, photos, videos, press, tour dates, audio, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.frankaxtell.com/"} +{"d:Title": "Allard, Jos\u00e9e", "d:Description": "Singer/songwriter based in Quebec, Canada. Biography, show dates, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.joseeallard.com/"} +{"d:Title": "Alford, Travis", "d:Description": "Boston-area composer and trumpet player. News, biography and works.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.travisalford.com/"} +{"d:Title": "Accept", "d:Description": "German rock band. Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.musicmight.com/artist/germany/north+rhine+westphalia/solingen/accept"} +{"d:Title": "Austin, Patti", "d:Description": "AMG guide artist profile. Includes biography, extensive discography, related links, samples and song highlights.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.allmusic.com/artist/patti-austin-p3589"} +{"d:Title": "Athas, Rocky", "d:Description": "Guitar artist from Texas. Offers a biography, discography, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.rockyathas.com/"} +{"d:Title": "Animal Collective", "d:Description": "Fan site for the psychedelic band based in New York City includes news, biographies, discography, lyrics, downloads and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://animalcollective.org/"} +{"d:Title": "Aites, Lorna Carolyn", "d:Description": "Singer/songwriter of contemporary sacred music. Includes MP3s, lyrics, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://lornacarolynaites.net/"} +{"d:Title": "Acoustic Brothers, The", "d:Description": "Band from New York state playing classic rock and originals. History, member profiles, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.theacousticbrothers.webs.com/"} +{"d:Title": "Atherton, Leeann", "d:Description": "Roots rock from Austin, Texas. Gigs, merchandise, pictures, biography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.leeannatherton.com/"} +{"d:Title": "Adams, Alexander James", "d:Description": "Celtic singer/songwriter and fiddler. Biography, audio samples, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://faerietaleminstrel.com/"} +{"d:Title": "Azzarello, Lenny", "d:Description": "Jazz pianist, composer and teacher in New York. Audio samples, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://ljazz.net/"} +{"d:Title": "Aldinucci, Giulio", "d:Description": "Italian experimental electroacoustic composer; includes time line, discography, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.giulioaldinucci.com/"} +{"d:Title": "Allen, Lee", "d:Description": "Solo pianist in the style of a jazz trio. Biography, audio samples, testimonials and performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.lee-allen-pianist.com/"} +{"d:Title": "AlpenMusikanten", "d:Description": "German-style party band from the Dallas area. Member profiles, pictures, audio samples, schedule, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.willpolkaforbeer.com/"} +{"d:Title": "Azure", "d:Description": "London wedding and function quartet. Videos, services, repertoire, venues and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.azurefunctionband.com/"} +{"d:Title": "Autohypnosis", "d:Description": "Ambient project based in Los Angeles. Audio, biography, videos, pictures, gigs and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.autohypnosis.net/"} +{"d:Title": "Actual, The", "d:Description": "2007 Unrated Magazine interview with Max Bernstein, vocalist for the Los Angeles band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.unratedmagazine.com/articles/Archive/2007/TheActual.cfm"} +{"d:Title": "Animal Nightlife", "d:Description": "Fan site for the '80s English band features history, discography, biographies, memorabilia, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://myweb.tiscali.co.uk/animalnightlife/"} +{"d:Title": "Adkins, Doug", "d:Description": "Country-rock singer-songwriter's site includes news, show dates, song samples, biography, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.dougadkins.com/"} +{"d:Title": "Alony, Efrat", "d:Description": "Israeli jazz/pop singer and composer based in Germany. News, tour dates, projects, audio, video, image gallery and press.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.alony.de/"} +{"d:Title": "Aidonia", "d:Description": "Jamaican dancehall and reggae artist. Biography, news, lyrics, videos, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.aidonia.com/"} +{"d:Title": "Albany Down", "d:Description": "News, biography and gigs for the U.K. band.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.albanydown.com/"} +{"d:Title": "American Legion Band of the Tonawandas", "d:Description": "All-volunteer concert band based in Tonawanda, N.Y. Schedule, band history, membership information, videos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://tonawandalegionband.com/"} +{"d:Title": "Anderson, Barrett", "d:Description": "Blues singer and guitarist from Massachusetts. Audio, shows, videos, pictures, discography, press and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.barrettanderson.net/"} +{"d:Title": "Akmed's Camel", "d:Description": "Ceilidh band from Lincolnshire, England. Events, band history and biographies, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.akmedscamel.co.uk/"} +{"d:Title": "AraPacis", "d:Description": "Female-fronted metal band from Montreal, Canada. Biographies, band history, audio, videos, lyrics and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.arapacis.com/"} +{"d:Title": "Abavuki", "d:Description": "Jazz ensemble of young musicians from Langa, South Africa. Biographies, events and image galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.abavuki.com/"} +{"d:Title": "Age of Faith", "d:Description": "Reviews, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mtv.com/artists/age-of-faith/"} +{"d:Title": "Agents, The", "d:Description": "Reviews, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mtv.com/artists/the-agents/"} +{"d:Title": "Aggrovators", "d:Description": "Audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mtv.com/artists/aggrovators/"} +{"d:Title": "Agnew, Rikk", "d:Description": "Audio, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mtv.com/artists/rikk-agnew/"} +{"d:Title": "Agression", "d:Description": "Audio, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mtv.com/artists/agression/"} +{"d:Title": "Asomvel", "d:Description": "Heavy metal band from UK. Tour dates, merchandise, band history and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.asomvel.com/"} +{"d:Title": "Aparicio, Ariel", "d:Description": "Singer who blends Latin sounds and rhythms with American pop/rock. Includes biography, audio clips, tour dates, press clippings, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.arielaparicio.com/"} +{"d:Title": "Antietam", "d:Description": "New York City rock band with roots in Louisville, Kentucky. History of the band and related groups, news, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://antietamtheband.com/"} +{"d:Title": "Astbury, Ian", "d:Description": "Review of the Cult frontman's 2000 album \"Spirit\\Light\\Speed.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.musicomh.com/reviews/albums/ian-astbury-spirit-light-speed"} +{"d:Title": "Audio Kollaps", "d:Description": "Encyclopaedia Metallum overview and discography for the extreme hardcore punk band from Hanover, Germany.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.metal-archives.com/bands/Audio_Kollaps/30540"} +{"d:Title": "adHominem", "d:Description": "Encyclopaedia Metallum page features background and discography for the metal and electronica band from Sudbury, Ontario.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.metal-archives.com/bands/Ad_Hominem/11304"} +{"d:Title": "Ashley, Lauren", "d:Description": "Country singer-songwriter from Bakersfield, California. Audio samples, press, news, calendar and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.laurenashleyonline.com/"} +{"d:Title": "Adams, Joy", "d:Description": "New Zealand country musician and songwriter. Includes a discography, gig guide and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://joy.servebbs.com/"} +{"d:Title": "Agency, The", "d:Description": "English soul/rhythm and blues band. Gig guide, sound files, band information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "https://www.facebook.com/pages/The-Agency/187465892348"} +{"d:Title": "Almighty Grind, The", "d:Description": "Alternative hardcore hip hop band from Southern California. News, biography, show dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "https://www.facebook.com/almightygrind"} +{"d:Title": "Ambulance, The", "d:Description": "Punk/metal band from Los Angeles, California. Contains upcoming shows, pictures, message board, profile, reviews and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "https://www.facebook.com/theAMBULANCE-111816507457/"} +{"d:Title": "Amerie", "d:Description": "News, videos, pictures, tour dates, audio and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://www.mtv.com/artists/amerie/"} +{"d:Title": "Assembly of Dust", "d:Description": "Folk/rock band based in New York City. Biography, discography with audio, tour dates, fan forum, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "http://aodust.com/"} +{"d:Title": "Ashen, Roy", "d:Description": "Includes news, history, audio, pictures, video and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "https://www.facebook.com/RoyAshenMusic"} +{"d:Title": "Avenpitch", "d:Description": "Alternative rock band. News, music, pictures, merchandise, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/A", "url": "https://avenpitch.bandcamp.com/"} +{"d:Title": "A-Communication.com", "d:Description": "Official site offers a biography, news, photos, reviews and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A", "url": "http://www.a-communication.com/"} +{"d:Title": "Memorial Beach", "d:Description": "Official site includes press releases, news, reviews, videos, photos, and fan club.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha", "url": "http://www.a-ha.com/"} +{"d:Title": "Musicfolio: A-Ha", "d:Description": "Discography, album reviews and ratings, with links to similar 80s synthpop artists.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha", "url": "http://musicfolio.com/modernrock/a-ha.html"} +{"d:Title": "MTV: A-Ha", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha", "url": "http://www.mtv.com/artists/a-ha/"} +{"d:Title": "The Rolling Thunder Website", "d:Description": "Fan site features news, history, biographies, clips, pen-pals, and pictures. In English and Russian.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha/Fan_Pages", "url": "http://www.a-ha.ru/"} +{"d:Title": "DaveMcNally.com: A-Ha", "d:Description": "Song lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha/Fan_Pages", "url": "http://www.davemcnally.com/Lyrics/A-ha/"} +{"d:Title": "A Forever Thing", "d:Description": "A-Ha online magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha/Fan_Pages", "url": "http://members.tripod.com/~A_Forever_Thing/index.html"} +{"d:Title": "A-HA Fan Page", "d:Description": "Includes biography, discography, MIDI files, ad pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha/Fan_Pages", "url": "http://members.ams.chello.nl/wdamsma/"} +{"d:Title": "Major Sky", "d:Description": "News, interviews, pictures, and fan contributions.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Ha/Fan_Pages", "url": "http://majorsky0.tripod.com/"} +{"d:Title": "Unofficial A*Teens site", "d:Description": "Pictures, lyrics, news, biographies, sounds, videos, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Teens", "url": "http://www.angelfire.com/pop2/a_teens2/"} +{"d:Title": "MTV: A*Teens", "d:Description": "Reviews, news, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A-Teens", "url": "http://www.mtv.com/artists/ateens/"} +{"d:Title": "Eyesore: A. R. Kane", "d:Description": "Information about the band's debut EP released on 4AD records.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A._R._Kane", "url": "http://quimby.gnus.org/html/group/arkane.html"} +{"d:Title": "4AD: A. R. Kane", "d:Description": "Profile of the band from the record label.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A._R._Kane", "url": "http://www.4ad.com/artists/arkane/"} +{"d:Title": "A1 Unofficial Website", "d:Description": "Biography, news, photo gallery, lyrics, multimedia files. [English and Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/A/A1", "url": "http://www.stormpages.com/a1fansite/"} +{"d:Title": "Be the First to Believe in a1", "d:Description": "Unofficial fan site includes pictures, biographies, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A1", "url": "http://www.angelfire.com/mb/believeina1/"} +{"d:Title": "A1 Pulse", "d:Description": "Pictures, tour dates, multimedia and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A1", "url": "http://www.angelfire.com/music2/a1pulse/welcome.html"} +{"d:Title": "A1 Animation World", "d:Description": "Animated pictures with music, photos, biography, lyrics, news, tour dates, links and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A1", "url": "http://a1animation.tripod.com/home.htm"} +{"d:Title": "Erin's A1 Fanpage", "d:Description": "American site dedicated to the talented lads of A1. Features biographies, lyrics, news, pictures, links, discography and a fan fiction page.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A1", "url": "http://sleekcat83.tripod.com/erinsa1fanpage/"} +{"d:Title": "North To The A1", "d:Description": "Club for writers of fan fiction about the band. Includes a list of members, membership rules. Also includes band profiles, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A1", "url": "http://www.angelfire.com/boybands/NorthToTheA1/"} +{"d:Title": "A-One-Boys", "d:Description": "Fan fiction and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/A1", "url": "http://www.angelfire.com/mo3/gay1/"} +{"d:Title": "LeeAaron.net", "d:Description": "Fan site offers a biography, discography, news, lyrics, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aaron,_Lee", "url": "http://www.leeaaron.net/"} +{"d:Title": "The Official Lee Aaron Web Site", "d:Description": "Includes news, biography, concert dates, discography, photos, press reviews, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aaron,_Lee", "url": "http://www.leeaaron.com/"} +{"d:Title": "All Music Guide: Lee Aaron", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aaron,_Lee", "url": "http://www.allmusic.com/artist/lee-aaron-p15250"} +{"d:Title": "Canoe.ca: Lee Aaron", "d:Description": "Detailed biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aaron,_Lee", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/Aaron_Lee.html"} +{"d:Title": "Agnetha F\u00e4ltskog", "d:Description": "Official site offers a biography, discography, and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://agnetha.net/"} +{"d:Title": "ABBA - The Site", "d:Description": "The official web site includes news, biographies, galleries, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.abbasite.com/"} +{"d:Title": "ABBA: The Book", "d:Description": "The first illustrated history of ABBA, written as a diary. It contains hundreds of rare and unpublished photographs, as well as new information about the band's career.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://abbathebook.online.fr/"} +{"d:Title": "ABBA for the Record", "d:Description": "Worldwide ABBA collection with full color pictures, front and back, of hundreds of releases.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.abba4therecord.com/"} +{"d:Title": "ABBA Story", "d:Description": "Discography, news, songs, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.abba-story.com/"} +{"d:Title": "ABBA Fan Club", "d:Description": "Official international fan club. Includes member biographies and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.abbafanclub.nl/"} +{"d:Title": "Abba News: Topix", "d:Description": "News about Abba continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.topix.com/who/abba"} +{"d:Title": "Topix: ABBA", "d:Description": "News about ABBA, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.topix.com/rss/who/abba.xml"} +{"d:Title": "Rollingstone.com: ABBA", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.rollingstone.com/music/artists/abba"} +{"d:Title": "MTV: ABBA", "d:Description": "Reviews, news, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA", "url": "http://www.mtv.com/artists/abba/"} +{"d:Title": "Yahoo Groups: ABBA Village", "d:Description": "Message forum.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Chats_and_Forums", "url": "http://groups.yahoo.com/neo/groups/abbavillage/info"} +{"d:Title": "The ABBA Ring Ring", "d:Description": "A ring of ABBA sites.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Directories", "url": "http://www.webring.org/hub?ring=abbaring"} +{"d:Title": "ABBAnatic", "d:Description": "An alternative source for fans including trading, commentary and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Fan_Pages", "url": "http://www.amanandamouse.com/abbanaticfolder/abbanatic.html"} +{"d:Title": "Dancin Queen", "d:Description": "Fan site with photos, quotes, and short biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Fan_Pages", "url": "http://www.angelfire.com/80s/dancinqueen/"} +{"d:Title": "Abba Plaza", "d:Description": "Features MP3s, poll, downloads, screensavers, autographs, dolls, bootlegs, encounters, lyrics, video clips, and information about the band's sponsorship of a Formula 1 car.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Fan_Pages", "url": "http://www.abbaplaza.com/"} +{"d:Title": "all ABBA", "d:Description": "Offers band background, lyrics, weblog, mobile downloads and tribute band information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Fan_Pages", "url": "http://allabba.awardspace.com/"} +{"d:Title": "ABBA Fans Blog", "d:Description": "Blog features fan's updates on his collection and \"today in history.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Fan_Pages", "url": "http://abbafansblog.blogspot.co.uk/"} +{"d:Title": "Official Australian and International Fan Club", "d:Description": "News, blog, pictures, biographies, discographies, press, tour details, lyrics, song list and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Fan_Pages", "url": "http://www.abbaofficial.com/"} +{"d:Title": "Bjorn Ulvaeus Gallery", "d:Description": "Collection of photos of ABBA's guitarist-lyricist.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Image_Galleries", "url": "http://www.angelfire.com/pop/abbamania/"} +{"d:Title": "Abba - Lyrics", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Lyrics", "url": "http://www.davemcnally.com/Lyrics/Abba/"} +{"d:Title": "Rockmagic.net: ABBA", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/abba/"} +{"d:Title": "ABBA Lyrics", "d:Description": "Alphabetical listing of over 100 lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Lyrics", "url": "http://www.lyricsfreak.com/a/abba/"} +{"d:Title": "Bjorn Again", "d:Description": "ABBA cover band. News, gig guide, history, discography, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.bjornagain.com/"} +{"d:Title": "Abbasolutely Live", "d:Description": "ABBA tribute band from the UK.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbasolutelylive.freeservers.com/"} +{"d:Title": "Abbagirls", "d:Description": "Abba tribute duo from the UK. Features biographies, pictures, fan mail, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbagirls.com/"} +{"d:Title": "Abbamania", "d:Description": "Gig guide and information on the Scottish cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbamania.co.uk/"} +{"d:Title": "ABBAsalutely", "d:Description": "Irish band Johnnie Be Goode performs an ABBA tribute show.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.johnniebegoode.com/"} +{"d:Title": "Platinum ABBA", "d:Description": "English live ABBA tribute act. Download pictures, video and MP3s and catch up on their latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.platinumabba.com/"} +{"d:Title": "Abbababes", "d:Description": "Tribute duo based in the UK. Features member profiles, sound clips, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbababes.com/"} +{"d:Title": "ABBA Gold", "d:Description": "UK tribute band; features member profiles and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbagold.uk.com/"} +{"d:Title": "Gabba", "d:Description": "British band performing a fusion of ABBA and The Ramones. Gig dates, sound files, member profiles, photos, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.gabba.co.uk/"} +{"d:Title": "Fabbagirls, The", "d:Description": "UK based tribute band, featuring the two women who fronted Bjorn Again. Gig dates, photos, and AV clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.fabbagirls.com/"} +{"d:Title": "ABBA-Cadabra", "d:Description": "Scotland based tribute show. Biography, audio clips, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abba-cadabra.co.uk/"} +{"d:Title": "Babba", "d:Description": "Tribute band from Australia. Includes performance dates, photos, and video and MP3s of the band live.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.babba.com.au/"} +{"d:Title": "ABBA Magic", "d:Description": "Abba tribute band, based in the UK in Kent.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbamagic.com/"} +{"d:Title": "Arrival", "d:Description": "Biography, music clips, photos and gig dates from the Canadian tribute to ABBA. Requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.tributetoabba.com/"} +{"d:Title": "Arrival", "d:Description": "UK based Abba tribute band features live vocals, piano and guitar plus lavish costumes. Includes biographies, photos, sound files, and playlist.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abba-arrival.co.uk/"} +{"d:Title": "Abbalicious", "d:Description": "UK based tribute to Abba, available as a duo or a full live band. Includes photos, biographies, and streaming audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbatribute.info/"} +{"d:Title": "ABBA Dabba Doo", "d:Description": "U.K.-based tribute band available internationally. Includes biographies, pictures, video and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://abbadabbadoo.biz/"} +{"d:Title": "ABBA's Angels", "d:Description": "Tribute duo/quartet based in England. Video, audio, pictures, biographies, clients and related services.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbasangels.co.uk/"} +{"d:Title": "ABBA Sensation", "d:Description": "UK tribute band; includes history, set list, member profiles, videos, audio, pictures and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbasensation.com/"} +{"d:Title": "Gimme Gimme Gimme", "d:Description": "Based in London. Testimonials, video, pictures, technical rider, song list and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://theabbatribute.co.uk/"} +{"d:Title": "ABBA Revival", "d:Description": "UK-based tribute show. Includes member profiles, video, photos and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://abbarevival.co.uk/"} +{"d:Title": "ABBA Session", "d:Description": "Bio, video, pictures, children's shows and singing telegrams.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbasession.com/"} +{"d:Title": "ABBA Deja Vous", "d:Description": "Includes set list, biography, photos and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.abbadejavous.co.uk/"} +{"d:Title": "ABBA Chique", "d:Description": "Tribute to Abba. Features live video footage, photos, demos, news and band info.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.theabbatributeband.co.uk/"} +{"d:Title": "Swede Dreamz", "d:Description": "UK tribute band. News, the show, gig guide and contacts.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.swede-dreamz.co.uk/"} +{"d:Title": "ABsalute Gold", "d:Description": "North American tribute band performs a re-creation of ABBA's concerts from the late 70s or early 80s. Includes member profiles, video clips, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.absalutegold.com/"} +{"d:Title": "The Tribute Show", "d:Description": "Cambridgeshire, UK based tribute band who perform a tribute to ABBA as well as a Legends type show.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABBA/Tribute_Bands", "url": "http://www.thetributeshow.co.uk/"} +{"d:Title": "ABC", "d:Description": "Official web site for ABC and singer Martin Fry. Information and latest news on ABC.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABC", "url": "http://www.abcmartinfry.com/"} +{"d:Title": "Yahoo Groups: ABC Discussion Group", "d:Description": "Email mailing list subscribe/unsubscribe page, including message archive library.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABC", "url": "http://groups.yahoo.com/group/abc-martinfry/"} +{"d:Title": "DaveMcNally.com: ABC", "d:Description": "Lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABC", "url": "http://www.davemcnally.com/Lyrics/ABC/"} +{"d:Title": "Lazlo's Discography Machine: ABC", "d:Description": "Extensive ABC discography database.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABC", "url": "http://www.studio-nibble.com/lazlo-bin/discogs/abc"} +{"d:Title": "MTV: ABC", "d:Description": "Reviews, news, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ABC", "url": "http://www.mtv.com/artists/abc/"} +{"d:Title": "DaveMcNally.com: Paula Abdul", "d:Description": "Lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abdul,_Paula", "url": "http://www.davemcnally.com/Lyrics/PaulaAbdul/"} +{"d:Title": "PaulaAbdul.com", "d:Description": "Official site which includes current news and events. Information on the Innergy jewelry line and Skirtz clothing line.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abdul,_Paula", "url": "http://www.paulaabdul.com/"} +{"d:Title": "Paula-Abdul.net", "d:Description": "Fan site with news, album and video information, wav files, pictures, lyrics, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abdul,_Paula", "url": "http://www.paula-abdul.net/"} +{"d:Title": "All Music Guide: Paula Abdul", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abdul,_Paula", "url": "http://www.allmusic.com/artist/paula-abdul-p3495"} +{"d:Title": "AskMen.com: Paula Abdul", "d:Description": "Pictures, profile, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abdul,_Paula", "url": "http://www.askmen.com/celebs/women/singer_60/83_paula_abdul.html"} +{"d:Title": "IMDb: Paula Abdul", "d:Description": "Filmography, biography, photo gallery, and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abdul,_Paula", "url": "http://www.imdb.com/name/nm0000716/"} +{"d:Title": "MTV: Paula Abdul", "d:Description": "Reviews, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abdul,_Paula", "url": "http://www.mtv.com/artists/paula-abdul/"} +{"d:Title": "Abel, Morten", "d:Description": "A Norwegian musician. News, tour dates, lyrics, interviews and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Abel,_Morten", "url": "http://www.mortenabel.com/"} +{"d:Title": "Absolute Whores", "d:Description": "Profile offers a brief biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Absolute_Whores", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/AbsoluteWhores.html"} +{"d:Title": "IMDB: AC/DC", "d:Description": "Extensive list of AC/DC's film and television credits.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://www.imdb.com/name/nm0009540/"} +{"d:Title": "Topix: AC-DC", "d:Description": "News about AC/DC, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://www.topix.com/rss/who/ac-dc.xml"} +{"d:Title": "AC/DC", "d:Description": "ACDC's official site. Tour information, photos, news, fan club and store.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://acdc.com/"} +{"d:Title": "Facebook: AC/DC", "d:Description": "The official Facebook Page of AC/DC. Login required for all features.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://www.facebook.com/acdc"} +{"d:Title": "YouTube - AC/DC", "d:Description": "AC/DC's official YouTube Channel", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://youtube.com/acdc"} +{"d:Title": "AC/DC Fans", "d:Description": "Fan created forum offering news and Black Ice World Tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://www.acdcfans.net/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: AC/DC", "d:Description": "Scott Floman provides ratings and analysis of each album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://sfloman.com/acdc.html"} +{"d:Title": "Rock and Roll Hall of Fame: AC/DC", "d:Description": "Profile of the 2003 inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://rockhall.com/inductees/ac-dc/"} +{"d:Title": "RollingStone.com: AC/DC", "d:Description": "Includes a biography, discography, photos, and articles on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://www.rollingstone.com/music/artists/ac-dc"} +{"d:Title": "MTV: AC/DC", "d:Description": "Features music video clips, album reviews, live performances and interactive guitar lessons.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC", "url": "http://www.mtv.com/artists/ac-dc/"} +{"d:Title": "Electric Shock", "d:Description": "Includes news, worldwide discography, history, band member profiles, lyrics, videos, pictures, and rarities.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Fan_Pages", "url": "http://www.ac-dc.net/"} +{"d:Title": "The Ultimate AC/DC CD Discography", "d:Description": "Known official CDs with search feature by format or country of origin.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Fan_Pages", "url": "http://www.acdc-discography.com/"} +{"d:Title": "Dominion of the Night Prowler", "d:Description": "Includes AC/DC discography, lyrics, photos, history and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Fan_Pages", "url": "http://members.tripod.com/nightprowler10/"} +{"d:Title": "High Voltage Site", "d:Description": "Lyrics, discography, news, history, members, links, and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Fan_Pages", "url": "http://acdcwillie.tripod.com/acdc.htm"} +{"d:Title": "If You Want Blood", "d:Description": "German/English fanpage with discography, chart info, member bios, tour dates, news and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Fan_Pages", "url": "http://www.ifyouwantblood.de/"} +{"d:Title": "Rockmagic.net: AC/DC", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/ac_dc/"} +{"d:Title": "LyricsFreak: AC/DC", "d:Description": "An alphabetical listing of song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Lyrics", "url": "http://www.lyricsfreak.com/a/ac+dc/"} +{"d:Title": "Dogbone", "d:Description": "Photos and audio sample from an Italian AC/DC tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.dogbone.it/"} +{"d:Title": "Whole Lotta Rosies", "d:Description": "LA's all girl AC/DC tribute band. Includes news and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.therosiesrock.com/"} +{"d:Title": "BC/DC", "d:Description": "A salute to the vintage years of AC/DC, based in British Columbia. Member profiles, show dates, photos, set list, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.bcdc.ca/"} +{"d:Title": "Back In Black", "d:Description": "Northeast American AC/DC tribute band. Biography, news, pictures, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.angelfire.com/rock3/bib/index.html"} +{"d:Title": "ThundHerStruck", "d:Description": "All female AC/DC tribute band from Los Angeles. Includes news, show dates, photos, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://thundherstruck.com/"} +{"d:Title": "AC/DShe", "d:Description": "An all-girl tribute band from San Francisco doing Bon-era songs. News and show dates, pictures, and a tribute to Bon Scott.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.acdshe.com/"} +{"d:Title": "Highway To Hell", "d:Description": "Australian AC/DC tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.highwaytohell.com.au/"} +{"d:Title": "ACCA DACCA", "d:Description": "Australian ACDC tribute show. Includes news, gig dates, photos, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.accadaccarocks.com.au/"} +{"d:Title": "Dirty/DC", "d:Description": "British AC/DC tribute band. Tour dates, gear lists and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.dirtydc.co.uk/"} +{"d:Title": "Back in Black", "d:Description": "Dallas, Texas based AC/DC tribute band; biography, show dates, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://backinblack.info/"} +{"d:Title": "Live/Wire", "d:Description": "UK-based AC/DC tribute band. News, shows, profile, pictures, video, forums and press.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.livewiredc.co.uk/"} +{"d:Title": "Highway to Hell", "d:Description": "Florida-based AC/DC tribute band; includes calendar, multimedia and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.highwaytohellrocks.com/"} +{"d:Title": "Live Wire", "d:Description": "New York-area tribute band. Tour dates, booking details, pictures, biography and set list.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.acdctributeband.com/"} +{"d:Title": "KC/DC", "d:Description": "Based in Kansas City. Events, set list and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/AC-DC/Tribute_Bands", "url": "http://www.kcdcrocks.com/"} +{"d:Title": "MTV: Ace of Base", "d:Description": "Album reviews, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ace_of_Base", "url": "http://www.mtv.com/artists/ace-of-base/"} +{"d:Title": "All Music Guide: Ace of Base", "d:Description": "Biography, discography, album reviews, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ace_of_Base", "url": "http://www.allmusic.com/artist/ace-of-base-mn0000495338"} +{"d:Title": "Ace Of Base", "d:Description": "Fan site with photos, quotes, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ace_of_Base/Fan_Pages", "url": "http://www.angelfire.com/nb/aceofbase/"} +{"d:Title": "FolkLib Index for William Ackerman", "d:Description": "An index of links related to the fingerstyle acoustic guitar instrumentalist and founder of Windham Hill Records.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ackerman,_William", "url": "http://www.folklib.net/index/a/ackerman_william.shtml"} +{"d:Title": "Gayle Ackroyd", "d:Description": "Official site offers a biography, discography, reviews and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ackroyd,_Gayle", "url": "http://www.gayleackroyd.com/"} +{"d:Title": "Canoe.ca: Gayle Ackroyd", "d:Description": "Profile offers a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ackroyd,_Gayle", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/Ackroyd_Gayle.html"} +{"d:Title": "BryanAdams.Com", "d:Description": "Official site offers photos, tour dates, merchandise, news, reviews, biography and audio/video clips.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan", "url": "http://www.bryanadams.com/"} +{"d:Title": "Bryan Adams News: Topix", "d:Description": "News about Bryan Adams continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan", "url": "http://www.topix.com/who/bryan-adams"} +{"d:Title": "MTV: Bryan Adams", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan", "url": "http://www.mtv.com/artists/bryan-adams/"} +{"d:Title": "Bryan Adams Turkish Fanpage", "d:Description": "Provides discography, early biography, chart information from many countries, list of writing credits, and information about collectibles for sale on EBay.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan/Fan_Pages", "url": "http://www.bryanadams.org/"} +{"d:Title": "Bryan Adams Collector Site", "d:Description": "Biography, news, bootlegs and trading.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan/Fan_Pages", "url": "http://www.acc.umu.se/~robert/"} +{"d:Title": "Collectadisc: Bryan Adams", "d:Description": "Collector's resource including track listings, picture sleeves, catalogue numbers and values.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan/Fan_Pages", "url": "http://www.collectadisc.co.uk/discography/index.php?VAR_ARTIST=BRYAN+ADAMS"} +{"d:Title": "DaveMcNally.com: Bryan Adams", "d:Description": "Small collection of lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan/Lyrics", "url": "http://www.davemcnally.com/Lyrics/BryanAdams/"} +{"d:Title": "Oldie Lyrics: Bryan Adams", "d:Description": "Categorized by album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan/Lyrics", "url": "http://www.oldielyrics.com/b/bryan_adams.html"} +{"d:Title": "Bryan Adams Experience, The", "d:Description": "Tribute band performing at venues in the UK and Europe. Includes news, reviews, gig dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Bryan/Tribute_Bands", "url": "http://www.thebryanadamsexperience.co.uk/"} +{"d:Title": "Oleta Adams", "d:Description": "Official site. News, biography, photo gallery, jukebox, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Oleta", "url": "http://www.oletaadams.com/"} +{"d:Title": "All Music Guide: Oleta Adams", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Oleta", "url": "http://www.allmusic.com/artist/oleta-adams-p3504"} +{"d:Title": "The Music Box: Ryan Adams", "d:Description": "Music news, tour information, concert and album reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Ryan", "url": "http://www.musicbox-online.com/adams.html"} +{"d:Title": "Adams, Ryan", "d:Description": "Official site. News, tour dates, press, discography, audio clips, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Ryan", "url": "http://www.ryan-adams.com/"} +{"d:Title": "To Be Young", "d:Description": "Discussion board also includes downloads, photos and set lists.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Ryan", "url": "http://www.tobeyoung.org/"} +{"d:Title": "All Music Guide: Ryan Adams", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Ryan", "url": "http://www.allmusic.com/artist/ryan-adams-p308331"} +{"d:Title": "Melody Maker", "d:Description": "Profile from MetroActive Music.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Yolanda", "url": "http://www.metroactive.com/papers/metro/03.21.96/adams-9612.html"} +{"d:Title": "Yolanda Adams News: Topix", "d:Description": "News about Yolanda Adams continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Yolanda", "url": "http://www.topix.com/who/yolanda-adams"} +{"d:Title": "All Music Guide: Yolanda Adams", "d:Description": "Biography, related artists, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Yolanda", "url": "http://www.allmusic.com/artist/yolanda-adams-mn0000690718"} +{"d:Title": "MTV: Yolanda Adams", "d:Description": "Biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adams,_Yolanda", "url": "http://www.mtv.com/artists/yolanda-adams/"} +{"d:Title": "All Music Guide: Barry Adamson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adamson,_Barry", "url": "http://www.allmusic.com/artist/barry-adamson-mn0000786245"} +{"d:Title": "Hip Online: Add N To X", "d:Description": "Includes brief biography, reviews, and photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Add_N_to_X", "url": "http://www.hiponline.com/48/add-n-to-x.html"} +{"d:Title": "Adele", "d:Description": "Official website includes biography, blog, news and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adele", "url": "http://www.adele.tv/"} +{"d:Title": "Wikipedia: Adele", "d:Description": "Article covers the life and career of the English singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adele", "url": "http://en.wikipedia.org/wiki/Adele_(singer)"} +{"d:Title": "Last.fm: Adele", "d:Description": "Includes MP3 tracks, pictures, videos and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adele", "url": "http://www.last.fm/music/Adele"} +{"d:Title": "Adema Official Online Street Team", "d:Description": "Mailing list and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adema", "url": "http://groups.yahoo.com/group/AdemaOnlineStreetTeam/"} +{"d:Title": "Miriam Stockley", "d:Description": "Information about the lead singer from Adiemus. Site has some sound clips from her non-Adiemus work and a discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adiemus", "url": "http://www.miriam.co.uk/"} +{"d:Title": "Adiemus Unofficial Home Page", "d:Description": "Devoted to the music of the British new-age group Adiemus. Shopping, discussion forum, FAQ, discography, audio clips, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adiemus", "url": "http://www.adiemus.f2s.com/"} +{"d:Title": "Adios Pantalones Unofficial Fan Site", "d:Description": "Biography, news, polls, lyrics, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adios_Pantalones", "url": "http://www.angelfire.com/music4/adiospants/"} +{"d:Title": "The Adjusters", "d:Description": "Chicago band combining soul and activism in ska tradition. Site contains photos, discography and information on their political action club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Adjusters,_The", "url": "http://www.theadjusters.com/"} +{"d:Title": "Admiral Twin", "d:Description": "Official headquarters for these masters of pop mayhem from Tulsa.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Admiral_Twin", "url": "http://www.admiraltwin.com/"} +{"d:Title": "Admiral Twin", "d:Description": "Fan site includes pictures and personal stories.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Admiral_Twin", "url": "http://www.angelfire.com/ca2/JGAnonymous/"} +{"d:Title": "Aerosmith - Official Site", "d:Description": "Includes tour dates, profiles, history, discography, lyrics, audio and video files, and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith", "url": "http://www.aerosmith.com/"} +{"d:Title": "Aerosmith News: Topix", "d:Description": "News about Aerosmith, continually updated.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith", "url": "http://www.topix.com/who/aerosmith/"} +{"d:Title": "Topix: Aerosmith", "d:Description": "News about Aerosmith, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith", "url": "http://www.topix.com/rss/who/aerosmith.xml"} +{"d:Title": "Rock and Roll Hall of Fame: Aerosmith", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith", "url": "http://rockhall.com/inductees/aerosmith/"} +{"d:Title": "Aero Force One", "d:Description": "The Official Aerosmith Fanclub, with pictures, news, biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://aeroforceone.com/"} +{"d:Title": "Always Line Up", "d:Description": "Sander's Aerosmith fan page. Includes magazine and tour book scans, news, discography, biography, lyrics, pictures, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://www.alwayslineup.fcpages.com/"} +{"d:Title": "Aerosmith Rock", "d:Description": "News, interviews, articles, timeline, quotes, lyrics and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://www.angelfire.com/rock2/aerorockcom/aeromainwhole.htm"} +{"d:Title": "Bethany's Aerosmith Page", "d:Description": "Mostly dedicated to Joe Perry site with pictures, links and a party place.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://home.hiwaay.net/~keiper/aerojoepage.htm"} +{"d:Title": "Matt's Aerosmith Page", "d:Description": "Offers a biography, discography, tablatures, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://www.mattsmusicpage.com/naerosmit.htm"} +{"d:Title": "Rock This Way - Cristoffer's Aerosmith Page", "d:Description": "News, concert shots, discography, interviews, articles, lyrics, tablatures, and transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://www.rockthisway.de/"} +{"d:Title": "Deb's Aerosmith Pages", "d:Description": "Autographed drawings and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://members.tripod.com/~Debidoo/aero_drawings.html"} +{"d:Title": "Tom's Aerosmith Site", "d:Description": "Includes news, pictures, discography, history, lyrics and charts.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://users.telenet.be/pump/Aerosmith/frame.htm"} +{"d:Title": "The Aerosmith Temple", "d:Description": "Includes discography, lyrics, pictures, tour dates, history, news, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://www.aerosmithtemple.com/"} +{"d:Title": "Aerosmith Desktop Wallpaper", "d:Description": "Collages of Aerosmith pictures in several sizes.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://aerojb.tripod.com/wallpaper.html"} +{"d:Title": "RDC's Aerosmith Art Gallery", "d:Description": "Fan's portraits and comic-book history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Fan_Pages", "url": "http://www.corax.com/aerosmith/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Lyrics to many Aerosmith songs, indexed by album and song title.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/aerosmith/"} +{"d:Title": "Lyricsfreak: Aerosmith", "d:Description": "Alphabetical listing of lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Lyrics", "url": "http://www.lyricsfreak.com/a/aerosmith/"} +{"d:Title": "Aerosmith Lyrics", "d:Description": "Featuring lyrics from every Aerosmith album; includes band photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Lyrics", "url": "http://www.aerosmith-lyrics.com/"} +{"d:Title": "Aerosmith lyrics @ Oldielyrics.com", "d:Description": "Lyrics to many albums, plus songs that aren't on any release.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Lyrics", "url": "http://www.oldielyrics.com/a/aerosmith.html"} +{"d:Title": "Aerosmith @ XGuitar.com", "d:Description": "Guitar and bass tabs for many Aerosmith songs.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Resources", "url": "http://www.xguitar.com/guitar-tabs/aerosmith/"} +{"d:Title": "Max's Kansas City: Aerosmith", "d:Description": "Biography exploring the band's ties to the New York venue.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Resources", "url": "http://www.maxskansascity.com/aerosmith/"} +{"d:Title": "RollingStone.com: Aerosmith", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Resources", "url": "http://www.rollingstone.com/music/artists/aerosmith"} +{"d:Title": "MTV: Aerosmith", "d:Description": "Features video clips, interviews, album reviews, and live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Resources", "url": "http://www.mtv.com/artists/aerosmith/"} +{"d:Title": "Songsterr: Aerosmith", "d:Description": "A collection of Aerosmith bass tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Resources", "url": "http://www.songsterr.com/a/wsa/aerosmith-tabs-a106?inst=bass"} +{"d:Title": "Unrated Magazine: Aerosmith", "d:Description": "Concert Review - Tweeter Center in Chicago, IL.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Reviews", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=37"} +{"d:Title": "Entertainment Ave: Aerosmith", "d:Description": "Concert REview - The New World Music Theater in Tinley Park, IL.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/a/aerosmith/ae091998.htm"} +{"d:Title": "Aerosmith @ Music-Critic.com", "d:Description": "Review of Just Push Play.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Reviews", "url": "http://www.music-critic.com/rock/aerosmith_justpushplay.htm"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Aerosmith", "d:Description": "Includes album ratings and analysis.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Reviews", "url": "http://www.sfloman.com/aerosmith.html"} +{"d:Title": "Metacritic: Just Push Play", "d:Description": "Reviews about the album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Reviews", "url": "http://www.metacritic.com/music/just-push-play/aerosmith"} +{"d:Title": "Big Ones", "d:Description": "Aerosmith tribute band from Italy. Sound files, band biography, photos, and live dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Tribute_Bands", "url": "http://www.bigones.it/"} +{"d:Title": "Aerosmyth", "d:Description": "British Aerosmith tribute band featuring John Grindey on lead guitar. Includes gig listing, sound files, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aerosmith/Tribute_Bands", "url": "http://members.tripod.com/aerosmyth1/"} +{"d:Title": "Entertainment Ave: Afghan Whigs", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Afghan_Whigs", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/whigs.htm"} +{"d:Title": "MTV.com: The Afghan Whigs", "d:Description": "Features news, album information, music downloads and a biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Afghan_Whigs", "url": "http://www.mtv.com/artists/the-afghan-whigs/"} +{"d:Title": "Afroman", "d:Description": "Official site for this hip hop artist featuring soudclips from his debut album, The Good Times.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Afroman", "url": "http://www.afromanmusic.com/"} +{"d:Title": "BBC Radio Awards for World Music: Afro Celt Sound System", "d:Description": "Biography, interview, and audio sample of the group that won the Listeners Award.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Afro_Celt_Sound_System", "url": "http://www.bbc.co.uk/radio3/world/awardsafrocelt.shtml"} +{"d:Title": "AfroCelts Fan Website", "d:Description": "Includes news, photos, discography, lyrics, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Afro_Celt_Sound_System", "url": "http://www.afrocelts.org/"} +{"d:Title": "MTV: Afro Celt Sound System", "d:Description": "Biography, news, reviews, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Afro_Celt_Sound_System", "url": "http://www.mtv.com/artists/afro-celt-sound-system/"} +{"d:Title": "Batteries Not Included", "d:Description": "Fan site with lyrics, guestbook, discography, biographies and unreleased photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/A/After_The_Fire", "url": "http://www.pk.afterthefire.co.uk/"} +{"d:Title": "After The Fire", "d:Description": "Official site. History, biography, discography and reunion concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/After_The_Fire", "url": "http://www.afterthefire.co.uk/"} +{"d:Title": "ATF", "d:Description": "Yahoo discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/A/After_The_Fire", "url": "http://groups.yahoo.com/group/atf/"} +{"d:Title": "Trouser Press: After The Fire", "d:Description": "Discography and brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/After_The_Fire", "url": "http://www.trouserpress.com/entry.php?a=after_the_fire"} +{"d:Title": "VH1: After The Fire", "d:Description": "Biography, discography, message board, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/A/After_The_Fire", "url": "http://www.vh1.com/artists/az/after_the_fire/artist.jhtml"} +{"d:Title": "MTV: Agents of Good Roots", "d:Description": "Reviews, news, audio, biography, discography, links, and abulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Agents_of_Good_Roots", "url": "http://www.mtv.com/artists/agents-of-good-roots/"} +{"d:Title": "Age Of Chance Information Site", "d:Description": "Includes gallery, discography, interviews, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Age_of_Chance", "url": "http://www.ageofchanceinfo.co.uk/"} +{"d:Title": "Age of Chance", "d:Description": "Long lost classic 80s band from Leeds, England. Includes biography, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Age_of_Chance", "url": "http://www.ageofchance.com/"} +{"d:Title": "MTV: Age of Chance", "d:Description": "Reviews, biography, discography and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Age_of_Chance", "url": "http://www.mtv.com/artists/age-of-chance/"} +{"d:Title": "Canoe.ca: Age Of Electric", "d:Description": "Detailed biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Age_of_Electric", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/Age_Of_Electric.html"} +{"d:Title": "MTV: Agitpop", "d:Description": "Audio, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Agitpop", "url": "http://www.mtv.com/artists/agitpop/"} +{"d:Title": "Aglukark, Susan", "d:Description": "Official site for this recording artist and motivational speaker. Includes biography, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aglukark,_Susan", "url": "http://www.susanaglukark.com/"} +{"d:Title": "First Nation's Drum: Susan Aglukark", "d:Description": "Detailed biography, with a photo.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aglukark,_Susan", "url": "http://firstnationsdrum.com/2000/12/susan-aglukark-a-leading-voice-in-canadian-music/"} +{"d:Title": "Canoe.ca: Susan Aglukark", "d:Description": "Offers a detailed biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aglukark,_Susan", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/Aglukark_Susan.html"} +{"d:Title": "TrouserPress.com - Agnostic Front", "d:Description": "Commentary on the band's recorded output and a brief discography focusing on pre-1990's material.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Agnostic_Front", "url": "http://www.trouserpress.com/entry.php?a=agnostic_front"} +{"d:Title": "MTV: Agnostic Front", "d:Description": "Audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Agnostic_Front", "url": "http://www.mtv.com/artists/agnostic-front/"} +{"d:Title": "Christina Aguilera", "d:Description": "Official site offers a biography, photos, news, tour dates and appearances, wallpaper, screensavers, buddy icons, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://www.christinaaguilera.com/"} +{"d:Title": "Abstracts.net: Christina Aguilera", "d:Description": "Presents vital statistics, a biography, news, gossip and 30+ photos in thumbnailed galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://abstracts.net/christina-aguilera/"} +{"d:Title": "Topix: Christina Aguilera", "d:Description": "News feed periodically updated from around the web.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://www.topix.com/who/christina-aguilera"} +{"d:Title": "Topix: Christina Aguilera", "d:Description": "News about Christina Aguilera, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://www.topix.com/rss/who/christina-aguilera.xml"} +{"d:Title": "RollingStone.com: Christina Aguilera", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://www.rollingstone.com/music/artists/christina-aguilera"} +{"d:Title": "AskMen.com: Christina Aguilera", "d:Description": "Pictures, biography, ratings and links on the beautiful young singer.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://www.askmen.com/celebs/women/singer/6_christina_aguilera.html"} +{"d:Title": "All Music Guide: Christina Aguilera", "d:Description": "Includes biography, discography, profile, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://www.allmusic.com/artist/christina-aguilera-mn0000780258"} +{"d:Title": "MTV: Christina Aguilera", "d:Description": "Features music video clips, album reviews, online performances and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina", "url": "http://www.mtv.com/artists/christina-aguilera/"} +{"d:Title": "Lindzi.com: Christina Aguilera", "d:Description": "Lindzi.com's interview discusses Genie in a Bottle, dating, and her thoughts on Britney Spears.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Articles_and_Interviews", "url": "http://www.lindzi.com/interviews/christina.htm"} +{"d:Title": "Christina Aguilera At Jeffsthemes", "d:Description": "Resource for desktop themes, screen savers, pictures, wallpapers, ICQ Skins, and icons.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Downloads", "url": "http://jeffsthemes.ezthemes.com/pcenhance/spotlight.phtml?Christina+Aguilera"} +{"d:Title": "Christina Aguilera", "d:Description": "Includes lyrics, pictures, facts, polls, tour information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Fan_Pages", "url": "http://members.tripod.com/max-shin/christinaaguilera/christina.htm"} +{"d:Title": "Xtina-Web.com", "d:Description": "Features album reviews, list of awards, biography, projects information, image galleries, forums and a fan-listing.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Fan_Pages", "url": "http://xtina-web.com/"} +{"d:Title": "Aguilerium", "d:Description": "Lyrics, pictures and videos. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Fan_Pages", "url": "http://www.aguilerium.com/"} +{"d:Title": "Christina Boards", "d:Description": "A message board for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Fan_Pages", "url": "http://xtina.proboards.com/"} +{"d:Title": "All Pics: Christina Aguilera", "d:Description": "Features a thumbnailed gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Image_Galleries", "url": "http://allpics.0catch.com/aquilera_christina/"} +{"d:Title": "mxdpi.com: Christina Aguilera", "d:Description": "High resolution thumbnailed picture galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Image_Galleries", "url": "http://www.mxdpi.com/pictures/MUSIC/Christina_Aguilera"} +{"d:Title": "Christina Aguilera Lyrics", "d:Description": "Words to the songs on her self-titled album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Lyrics", "url": "http://www.davemcnally.com/Lyrics/ChristinaAguilera/"} +{"d:Title": "Christina's Lyrics 2000", "d:Description": "Includes lyrics from all her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Lyrics", "url": "http://www.chrismania.8k.com/"} +{"d:Title": "Mino's Lyrics: Christina Aguilera", "d:Description": "Includes the lyrics of her English and Spanish albums.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Lyrics", "url": "http://minoslyrics.tripod.com/ChristinaAguilera/index.html"} +{"d:Title": "LyricsFreak: Christina Aguilera", "d:Description": "View song list alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Lyrics", "url": "http://www.lyricsfreak.com/c/christina+aguilera/"} +{"d:Title": "Currentfilm.com: Christina Aguilera - Genie Gets Her Wish", "d:Description": "A review of her first authorized DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Reviews", "url": "http://www.currentfilm.com/dvdreviews/geniegetsherdvd.html"} +{"d:Title": "UKMIX: Christina Aguilera - My Kind Of Christmas", "d:Description": "Lachlan Sutherland's review: \"Artistically, this is an amazing album, an excellent showcase of Christina's talents that will get you in a real Christmas mood\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Reviews/My_Kind_of_Christmas", "url": "http://www.ukmix.org/reviews/release.php?release_id=2760"} +{"d:Title": "Music-Critic.com: Christina Aguilera - Stripped", "d:Description": "Bill Aicher's review: \"She's always been the pop-queen with the best voice, and it really comes through here.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Reviews/Stripped", "url": "http://www.music-critic.com/pop/aguilera_stripped.htm"} +{"d:Title": "Anne Carlini - Exclusive Magazine: Christina Aguilera - Stripped", "d:Description": "Amy Markowski's review: \"a great CD that shows her more mature side.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Reviews/Stripped", "url": "http://www.annecarlini.com/ex_cd.php?id=102"} +{"d:Title": "UKMIX: Christina Aguilera - Stripped", "d:Description": "Aliiyssa DiMarco's review: \"'Stripped' is Christina laid bare, a very impressive, personal album, full of well-written and well-sung songs.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Reviews/Stripped", "url": "http://www.ukmix.org/reviews/release.php?release_id=2911"} +{"d:Title": "Oregon Daily Emerald: Christina Aguilera - Stripped", "d:Description": "Aaron Shakra's review: \"A fast food recording. It sounds nice to the ears, it looks nice to the eyes, but once consumed, leaves the viewer congested and unhealthy.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aguilera,_Christina/Reviews/Stripped", "url": "http://dailyemerald.com/2002/11/07/cd-review-hypocrisy-abounds-in-aguileras-cd/"} +{"d:Title": "ClaytonAiken.com", "d:Description": "Fan page dedicated to the 2003 American Idol runner up includes news, pictures, video and audio links to Clay's performances, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aiken,_Clay", "url": "http://www.claytonaiken.com/"} +{"d:Title": "Adorkable", "d:Description": "A Clay Aiken web site that includes pictures, audio/video clips of performances and interviews, song lyrics, links, and fan interaction.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aiken,_Clay", "url": "http://nirali.shahfamily.ws/clay/"} +{"d:Title": "Clay Aiken for Congress", "d:Description": "Official campaign site of the Democratic candidate in 2014 for U.S. Representative of North Carolina's 2nd Congressional District.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aiken,_Clay", "url": "http://www.clayaiken.com/"} +{"d:Title": "i heart clay Graphics", "d:Description": "A fansite built around a forum. It includes wallpapers and blends based around Clay Aiken.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aiken,_Clay", "url": "http://s7.invisionfree.com/i_heart_clay"} +{"d:Title": "Clay Aiken - Claymaniacs", "d:Description": "A fan site that showcases the 2003 American Idol runner-up. Includes a biography, pictures, links, news articles, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aiken,_Clay", "url": "http://www.claymaniacs.com/"} +{"d:Title": "Eyesore: Air Miami", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Miami", "url": "http://quimby.gnus.org/html/group/airmiami.html"} +{"d:Title": "4AD: Air Miami", "d:Description": "Official 4AD information includes profile, discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Miami", "url": "http://www.4ad.com/artists/airmiami"} +{"d:Title": "Air Miami", "d:Description": "Official page at TeenBeat.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Miami", "url": "http://www.teenbeat.net/artists/airmiami.html"} +{"d:Title": "Air Supply - Mailing List", "d:Description": "List at Yahoo Groups dedicated to Air Supply, for the fans.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Supply", "url": "http://groups.yahoo.com/group/airsupply/"} +{"d:Title": "Rockmagic.net: Air Supply", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Supply", "url": "http://lyrics.rockmagic.net/lyrics/air_supply/"} +{"d:Title": "Artist Direct: Air Supply", "d:Description": "Short description about Air Supply and links to related material.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Supply", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,395977,00.html"} +{"d:Title": "MTV: Air Supply", "d:Description": "History, biography, discography of the band. Also includes a number of tracks to listen to.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Supply", "url": "http://www.mtv.com/artists/air-supply/"} +{"d:Title": "Air Supply Music", "d:Description": "Official site. Includes history, news, tour dates, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Air_Supply", "url": "http://www.airsupplymusic.com/"} +{"d:Title": "All Music Guide: Aix Em Klemm", "d:Description": "Information about the band Aix Em Klemm including a discography, reviews and related artists links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aix_Em_Klemm", "url": "http://www.allmusic.com/artist/aix-em-klemm-p448658"} +{"d:Title": "Epitonic: Aix Em Klemm", "d:Description": "Information about the band. Includes MP3 tracks to download.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aix_Em_Klemm", "url": "http://epitonic.com/artists/aix-em-klemm/"} +{"d:Title": "All Music Guide: Aix Em Klemm - Aix Em Klemm", "d:Description": "Review of the 2000 recording by Joslyn Layne, 4 of 5 stars. \"...features drawn-out chords that fade as they're overlapped by the next...\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aix_Em_Klemm/Reviews/Aix_Em_Klemm", "url": "http://www.allmusic.com/album/aix-em-klemm-r505323"} +{"d:Title": "Pitchfork Review: Aix Em Klemm - Aix Em Klemm", "d:Description": "Review by Mark Richard-San, 7.4 of 10. \"This is a lovely piece of ambient drone rock...\".", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aix_Em_Klemm/Reviews/Aix_Em_Klemm", "url": "http://pitchfork.com/reviews/albums/87-aix-em-klemm/"} +{"d:Title": "The Austin Chronicle: Aix Em Klemm - Aix Em Klemm", "d:Description": "Review by Michael Chamy, 3.5 of 5 stars. \"...leans heavily on the warm, resonant organ drones central to the Labradford sound.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aix_Em_Klemm/Reviews/Aix_Em_Klemm", "url": "http://www.austinchronicle.com/music/2001-01-19/80242/"} +{"d:Title": "Alabama State Penitentiary No. 3: Official UK Home of The Alabama 3", "d:Description": "Includes show dates, music downloads, lyrics, message boards, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3", "url": "http://www.alabama3.co.uk/"} +{"d:Title": "Covers Project: Alabama 3", "d:Description": "A list of songs the band has covered and artists that have covered Alabama 3 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3", "url": "http://covers.wiw.org/artist/Alabama+3"} +{"d:Title": "Alabama Three", "d:Description": "Description of band and related links, including one to a webcam trained on Coldharbour Lane.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3", "url": "http://urchin.earth.li/cgi-bin/twic/wiki/view.pl?page=AlabamaThree"} +{"d:Title": "eFestivals: Alabama 3", "d:Description": "Reviews and photos the band's appearances as several festivals.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3", "url": "http://www.efestivals.co.uk/festivals/bands.php?BandID=1323"} +{"d:Title": "gnoosic: Alabama 3", "d:Description": "Links and discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3", "url": "http://www.gnoosic.com/discussion/alabama+3.html"} +{"d:Title": "NME: Alabama 3", "d:Description": "News, reviews and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3", "url": "http://www.nme.com/artists/alabama-3"} +{"d:Title": "All Music Guide: Alabama 3", "d:Description": "Information including discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3", "url": "http://www.allmusic.com/artist/alabama-3-p911714"} +{"d:Title": "Interview: A3's Very Reverend Dr. D. Wayne Love", "d:Description": "An extensive interview with Jake Black (Rev. D. Wayne Love).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://www.dropd.com/issue/93/A3/"} +{"d:Title": "BBC - Oxford Music - Alabama 3", "d:Description": "An interview with Rob Spragg (Larry Love).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://www.bbc.co.uk/oxford/music/2002/10/alabama3.shtml"} +{"d:Title": "Alabama 3 - Interview @ Designer Magazine (July 2001)", "d:Description": "Interview with Jake Black (Larry Love).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://designermagazine.tripod.com/Alabama3INT2.html"} +{"d:Title": "News: Alabama 3 - NME.com", "d:Description": "An article on Birmingham 6 member Paddy Hill joining Alabama 3 onstage.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://www.nme.com/news/alabama-3/4437"} +{"d:Title": "News: Alabama 3 - NME.com", "d:Description": "An article about Alabama 3 headlining Miscarriages Of Justice Organisation (MOJO) event.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://www.nme.com/news/alabama-3/5708"} +{"d:Title": "Interview with Alabama 3 - Drowned in Sound", "d:Description": "A long interview with Jake Black (Rev. D. Wayne Love).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://drownedinsound.com/in_depth/2530"} +{"d:Title": "News: Alabama 3 - Drowned in Sound", "d:Description": "An announcement for Power in the Blood album and tour.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://drownedinsound.com/news/4948"} +{"d:Title": "Westword | The Very Reverend Dr. D. Wayne Love of A3", "d:Description": "An interview with Jake Black (Rev. D. Wayne Love).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://www.westword.com/1998-03-05/music/say-what"} +{"d:Title": "Luna Kaf\u00e9 - Alabma 3", "d:Description": "An email interview with Rob Spragg (Larry Love).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://www.lunakafe.com/moon49/en49b.php"} +{"d:Title": "Contactmusic - Interview", "d:Description": "Contactmusic interview with Jake Black (Rev. D. Wayne Love).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Articles_and_Interviews", "url": "http://www.contactmusic.com/pages/alabama3x22x10x02"} +{"d:Title": "Urban75: Alabama 3 at Brixton Academy", "d:Description": "Photos of a show at the Brixton Academy.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Images_and_Galleries", "url": "http://www.urban75.org/brixton/photos/116.html"} +{"d:Title": "Summer Sundae 2003 photos - Alabama 3", "d:Description": "Photographs of the Alabama 3 at Summer Sundae 2003 in Leicster.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Images_and_Galleries", "url": "http://www.efestivals.co.uk/festivals/summersundae/2003/photos-Alabama3.shtml"} +{"d:Title": "Don't Take Your Guns to Town Tour '03", "d:Description": "Pictures from the Fall 2003 tour featuring the Alabama 3 Soundsystem (a DJ-oriented subset of the band), Captain Paranoid and Naughty.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Images_and_Galleries", "url": "http://www.captainparanoid.com/captainpara/gunstour/tour.html"} +{"d:Title": "Alabama 3 Soundsystem: Peace in the Valley", "d:Description": "A short loop of the Alabama 3 Soundsystem (a subset of the band) performing during the Peace in the Valleys Tour in 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Images_and_Galleries", "url": "http://www.captainparanoid.com/captainpara/peace/alabama.html"} +{"d:Title": "Review: Reachin' - Alabama 3 - Drowned in Sound", "d:Description": "Thorough and positive review of the CD single.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews", "url": "http://drownedinsound.com/releases/5739/reviews/6027"} +{"d:Title": "Alabama 3 - Atomicduster Live Review", "d:Description": "Show at The Charlotte, Leicester.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.atomicduster.com/livereviews/alabama_3/index.php"} +{"d:Title": "Alabama 3 - Live in Manchester @ Designer Magazine", "d:Description": "Nov. 11, 2002 concert at Manchester Academy.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://designermagazine.tripod.com/Alabama3LIVEREV3.html"} +{"d:Title": "Sorted magAZine, Gig Reviews, Alabama 3 - Vicar Street", "d:Description": "short, positive review by Donnacha DeLong.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://sortedmagazine.com/archive/magazine/concerts/alabama3.htm"} +{"d:Title": "Sorted magAZine: Alabama 3, Astoria, London, 1st December", "d:Description": "Detailed review by Donnacha DeLong.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.sortedmagazine.com/Concerts.php3?nID=326"} +{"d:Title": "Main Stages Review - Friday", "d:Description": "Review of June 28, 2002 at Glastonbury, including two paragraphs on the Alabama 3 performance.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.virtualfestivals.com/festivals/article.cfm?articleid=177"} +{"d:Title": "Summer Sundae 2003 - Saturday Review", "d:Description": "Review of Summer Sundae 2000 Saturday, including a review and photo of the Alabama 3 performance.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.efestivals.co.uk/festivals/summersundae/2003/review-saturday.shtml"} +{"d:Title": "Entertainment Ave: A3", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/a/a3/a3110900.htm"} +{"d:Title": "Alabama 3 - London Brixton Mass", "d:Description": "Review of a Dec. 21, 2000 show at the Brixton Mass, London.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.thefinalword.co.uk/content/view/39/34/"} +{"d:Title": "CityLife: Alabama 3 at Academy 2", "d:Description": "Detailed review of a 2002 show.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.citylife.co.uk/news_and_reviews/news/10002321_alabama_3___academy_2"} +{"d:Title": "Guardian Unlimited | Arts reviews | Fleadh", "d:Description": "Review of the Fleadh festival, June 10, 2002, including a very positive review of the Alabama 3's performance at the end of the article.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.theguardian.com/culture/2002/jun/10/artsfeatures4"} +{"d:Title": "Guardian Unlimited | Arts critics | Alabama 3, Underworld, London", "d:Description": "April 4, 2001 performance at the Underworld in London.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Concerts", "url": "http://www.theguardian.com/culture/2001/apr/04/artsfeatures.popandrock"} +{"d:Title": "Q4music.com - La Peste", "d:Description": "Short but very positive review by Clark Collins (4 stars).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/La_Peste", "url": "http://www.q4music.com/nav?page=q4music.review.redirect&fixture_review=117772&resource=117772&fixture_artist=142640"} +{"d:Title": "Sorted magAZine: Alabama 3: La Pest", "d:Description": "Review by Donnacha DeLong.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/La_Peste", "url": "http://www.sortedmagazine.com/Albums.php3?nID=80"} +{"d:Title": "Playlouder review - La Peste", "d:Description": "A glowing review from Dave Simpson.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/La_Peste", "url": "http://playlouder.com/dhtml/#/content/11069/la-peste"} +{"d:Title": "A3: La Peste", "d:Description": "JAM! Music review.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/La_Peste", "url": "http://jam.canoe.com/Music/Artists/A/A3/AlbumReviews/2000/11/17/770176.html"} +{"d:Title": "Playlouder review - Power in the Blood", "d:Description": "Review and a sample clip of the song Reachin'.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Power_in_the_Blood", "url": "http://playlouder.com/dhtml/#/content/11492/power-in-the-blood"} +{"d:Title": "Review: Power In The Blood - Alabama 3 - Drowned in Sound", "d:Description": "Detailed positive review from Mark Reed (4 of 5 stars).", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Power_in_the_Blood", "url": "http://drownedinsound.com/releases/3125/reviews/5221"} +{"d:Title": "Contactmusic", "d:Description": "Positive review of Power in the Blood.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alabama_3/Reviews/Power_in_the_Blood", "url": "http://www.contactmusic.com/pages/alabamareviewx09x10x02"} +{"d:Title": "TAO: The Avenue Online", "d:Description": "Newsletter, news, tour dates, biographies, discography, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alan_Parsons_Project", "url": "http://www.theavenueonline.info/"} +{"d:Title": "Entertainment Ave: Alan Parsons Project", "d:Description": "Review of a concert at The Vic in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alan_Parsons_Project", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/p/alan_parsons/ap103196.htm"} +{"d:Title": "Oldie Lyrics: The Alan Parsons Project", "d:Description": "Sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alan_Parsons_Project", "url": "http://www.oldielyrics.com/t/the_alan_parsons_project.html"} +{"d:Title": "Alan Parsons Official Website", "d:Description": "Includes biography, tour dates, photo gallery, media clips, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alan_Parsons_Project", "url": "http://alanparsonsmusic.com/"} +{"d:Title": "The Alan Parsons Project", "d:Description": "Official site features news, history, FAQs, biographies, detailed album information, pictures and forums.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alan_Parsons_Project", "url": "http://www.the-alan-parsons-project.com/"} +{"d:Title": "Eric Woolfson", "d:Description": "The project's creator, writer and frequent lead singer. Official site features information on his recordings and theatrical works.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alan_Parsons_Project", "url": "http://www.ericwoolfsonmusic.com/"} +{"d:Title": "DWA Records: Alexia", "d:Description": "Official label site offers a biography, discography, facts and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alexia", "url": "http://www.dwarecords.it/art.php?id=91"} +{"d:Title": "AskMen.com - Alice Deejay", "d:Description": "Includes biography, commentary and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_Deejay", "url": "http://www.askmen.com/celebs/women/singer_100/118_alice_deejay.html"} +{"d:Title": "Rockmagic.net: Alice in Chains", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains", "url": "http://lyrics.rockmagic.net/lyrics/alice_in_chains/"} +{"d:Title": "Alice in Chains News: Topix", "d:Description": "News about Alice in Chains continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains", "url": "http://www.topix.com/who/alice-in-chains"} +{"d:Title": "Official Alice In Chains site", "d:Description": "Contains news, discography, mailing list, biography, lyrics, audio clips, and music bank information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains", "url": "http://aliceinchains.com/"} +{"d:Title": "RollingStone.com: Alice In Chains", "d:Description": "Includes a biography, discography, photos, articles, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains", "url": "http://www.rollingstone.com/music/artists/alice-in-chains"} +{"d:Title": "MTV: Alice In Chains", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains", "url": "http://www.mtv.com/artists/alice-in-chains/"} +{"d:Title": "Metacritic: Alice In Chains: Live", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains", "url": "http://www.metacritic.com/music/live/alice-in-chains"} +{"d:Title": "Yahoo Groups : Angry Chair mailing list", "d:Description": "Discussion list for Alice in Chains and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains/Chats_and_Forums", "url": "http://groups.yahoo.com/group/angrychair/"} +{"d:Title": "Serenity is Far Away Webring", "d:Description": "Sites about Alice in Chains.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains/Directories", "url": "http://members.tripod.com/~serenitymag/webring.html"} +{"d:Title": "Alice In Chains", "d:Description": "Official site including Layne tribute, band history and discography", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains/Fan_Pages", "url": "http://aliceinchains.com/"} +{"d:Title": "Hauntingly Beautiful", "d:Description": "Includes news, biography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains/Fan_Pages", "url": "http://www.angelfire.com/rock3/shellyzsite/index.html"} +{"d:Title": "Again: An Alice In Chains Home Page", "d:Description": "Original home of the Concert Chronology with setlists and gig posters. Also features videography, sessions history, discography, photos, articles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains/Fan_Pages", "url": "http://www.bacus.net/alice"} +{"d:Title": "Alice In Chains Web", "d:Description": "Fan site with news, biography, discography, videos, pictures, projects, downloads, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alice_in_Chains/Fan_Pages", "url": "http://a_i_c.tripod.com/aicweb/"} +{"d:Title": "Alien Ant Farm", "d:Description": "Official site containing news, tour schedule, biography, lyrics, video files, merchandise and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alien_Ant_Farm", "url": "http://www.alienantfarm.com/"} +{"d:Title": "The Dryden Mitchell Fansite", "d:Description": "Dryden Mitchell fansite. Also includes information on the rest of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alien_Ant_Farm", "url": "http://members.tripod.com/drydenfansite/index_m.htm"} +{"d:Title": "Alien Ant Farm Lyrics Server", "d:Description": "Complete \"ANThology\" lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alien_Ant_Farm", "url": "http://www.angelfire.com/music3/ils/alien_ant_farm/index.html"} +{"d:Title": "Alien Sex Fiend - Official Site", "d:Description": "13th Moon Records presents the official Alien Sex Fiend and Fiend At The Controls DJs website. Includes latest news, discography, biography, photos, Nik Fiend Art Gallery, mail order, and the Blue Crumb Truck Information Service.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alien_Sex_Fiend", "url": "http://www.asf-13thmoon.demon.co.uk/"} +{"d:Title": "The Mick Sinclair Archive: Alien Sex Fiend", "d:Description": "A feature article/interview from Beatbox Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alien_Sex_Fiend", "url": "http://micksinclair.com/beatbox/asfbb.html"} +{"d:Title": "The Mick Sinclair Archive: Alien Sex Fiend", "d:Description": "A feature article/interview from Sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alien_Sex_Fiend", "url": "http://micksinclair.com/sounds/asf.html"} +{"d:Title": "Alien Sex Fiend Images", "d:Description": "Five black-and-white pictures of Mr. and/or Mrs. Fiend.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alien_Sex_Fiend", "url": "http://www.vamp.org/Gothic/Images/asf-image.html"} +{"d:Title": "Angeleyes - An Unofficial Alisha's Attic Fan Site", "d:Description": "Biography, discography, videos, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alisha's_Attic", "url": "http://www.inspiracy.com/alisha"} +{"d:Title": "Aliz\u00e9e America", "d:Description": "Fan community includes forums and podcast.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aliz\u00e9e", "url": "http://alizeeamerica.com/"} +{"d:Title": "Wikipedia: Aliz\u00e9e", "d:Description": "User-compiled article covers the singer's biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aliz\u00e9e", "url": "http://en.wikipedia.org/wiki/Alizee"} +{"d:Title": "Alkaline Trio", "d:Description": "Complete lyrics to six of their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alkaline_Trio", "url": "http://www.softcom.net/users/twolter/alkalinetrio.html"} +{"d:Title": "Alkaline Trio", "d:Description": "Official site. Includes news, pictures, audio, reviews, and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alkaline_Trio", "url": "http://www.alkalinetrio.com/"} +{"d:Title": "St. Louis Riverfront Times Online - Alkaline Trio with the Dishes and Too Young the Hero", "d:Description": "Brief article discussing the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alkaline_Trio/Articles_and_Interviews", "url": "http://www.riverfronttimes.com/2001-03-07/music/alkaline-trio-with-the-dishes-and-too-young-the-hero"} +{"d:Title": "Alkaline Trio - Goddamnit", "d:Description": "Album review, by David Smith.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alkaline_Trio/Reviews", "url": "http://www.adkg.com/reviews/music/alktrio.html"} +{"d:Title": "Alkaline Trio - Maybe I'll Catch Fire", "d:Description": "Personal review of the album, by John Heisel.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alkaline_Trio/Reviews", "url": "http://www.adkg.com/reviews/music/alk3-fire.html"} +{"d:Title": "Ink 19 :: Alkaline Trio", "d:Description": "Review of the album \"Maybe I'll Catch Fire\", by Patrick Rafter.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alkaline_Trio/Reviews", "url": "http://www.ink19.com/issues/july2000/wetInk/musicAB/alkalineTrio.html"} +{"d:Title": "All-4-One", "d:Description": "Official site presents a band history, member profiles, photos, audio clips, lyrics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All-4-One", "url": "http://stanleysy.tripod.com/all4one/"} +{"d:Title": "The All-American Rejects", "d:Description": "Official site. News, tour dates, biography, photos, icons, audio, video, message board and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All-American_Rejects,_The", "url": "http://www.theall-americanrejects.com/"} +{"d:Title": "Matt's All-American Rejects Page", "d:Description": "Images, forum, lyrics, tablature, tour dates, discography, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All-American_Rejects,_The", "url": "http://www.mattsmusicpage.com/nallamericanrejects.htm"} +{"d:Title": "Terry Allen - Perfect Sound Forever", "d:Description": "Interview by Jason Gross.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allen,_Terry", "url": "http://www.furious.com/perfect/terryallen.html"} +{"d:Title": "All Music Guide: Terry Allen", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allen,_Terry", "url": "http://www.allmusic.com/artist/terry-allen-p15374"} +{"d:Title": "GG Allin Online", "d:Description": "Features news, merchandise, audio and video files, and some of his drawings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allin,_GG", "url": "http://www.ggallin.com/"} +{"d:Title": "TrouserPress.com - G.G. Allin", "d:Description": "Commentary on the recorded output of the artist, as well as a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allin,_GG", "url": "http://www.trouserpress.com/entry.php?a=gg_allin_and_the_jabbers"} +{"d:Title": "All Music Guide: G.G. Allen", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allin,_GG", "url": "http://www.allmusic.com/artist/gg-allin-p51370"} +{"d:Title": "Death of GG Allin", "d:Description": "Account of his death, from Spin Magazine, by Al Weisel. (September 1993)", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allin,_GG", "url": "http://books.google.com/books?id=g6gb7frVZeYC&lpg=PP1&pg=PA89#v=onepage&q&f=false"} +{"d:Title": "Mose Allison", "d:Description": "Official site features concert schedules, booking information and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allison,_Mose", "url": "http://www.moseallison.net/"} +{"d:Title": "All Music Guide: Mose Allison", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allison,_Mose", "url": "http://www.allmusic.com/artist/mose-allison-p6004"} +{"d:Title": "Hittin' the Web with The Allman Brothers Band", "d:Description": "Definitive Allman Brothers Band site.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band", "url": "http://www.allmanbrothersband.com/"} +{"d:Title": "The Allman Brothers and the Crossroads Curse", "d:Description": "Includes a history of the band and explores the tragic connection between them and other musical artists who have recorded the song \"Crossroads\".", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band", "url": "http://stormloader.com/users/crossroads/allmanspage.html"} +{"d:Title": "BR's Allman Brothers Photos", "d:Description": "Classic rock concert photos of the Allman Brothers Band from 1971 performance in Georgia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band", "url": "http://www.e-rockworld.com/allman.htm"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Allman Brothers Band", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band", "url": "http://sfloman.com/allmanbrothers.html"} +{"d:Title": "Rock and Roll Hall of Fame: The Allman Brothers Band", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band", "url": "http://rockhall.com/inductees/the-allman-brothers-band/"} +{"d:Title": "RollingStone.com: The Allman Brothers Band", "d:Description": "Includes brief biography, photographs, articles, discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band", "url": "http://www.rollingstone.com/music/artists/the-allman-brothers-band"} +{"d:Title": "Goldflies Music", "d:Description": "Photos, anecdotes, and biography from former Allman Brothers Band bassist David Goldflies.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band", "url": "http://www.goldfliesmusic.com/"} +{"d:Title": "Peacheaters", "d:Description": "Allman Brothers tribute band from Boston. Features gig dates, streaming audio, and set lists.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allman_Brothers_Band/Tribute_Bands", "url": "http://www.peacheaters.com/"} +{"d:Title": "Allure World", "d:Description": "Biography, discography, videography, poll, news, lyrics, sounds, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Allure", "url": "http://www.angelfire.com/ny2/AllureWorld/index.html"} +{"d:Title": "All About Eve - The Unofficial Site", "d:Description": "Includes biography, discography, lyrics, guitar tabs, pictures, sound files, and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All_About_Eve", "url": "http://www.goony.nl/aae/"} +{"d:Title": "DaveMcNally.com: All About Eve", "d:Description": "Archive of lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All_About_Eve", "url": "http://www.davemcnally.com/Lyrics/AllAboutEve/"} +{"d:Title": "Musicfolio: All About Eve", "d:Description": "Review of the single \"D for Desire\". Also includes a collection of reviews from other sources.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All_About_Eve", "url": "http://musicfolio.com/modernrock/allabouteve.html"} +{"d:Title": "AskMen.com : All Saints", "d:Description": "Collection of pictures, biography, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All_Saints", "url": "http://www.askmen.com/celebs/women/singer/11_all_saints.html"} +{"d:Title": "MTV: All Saints", "d:Description": "Includes music news, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All_Saints", "url": "http://www.mtv.com/artists/all-saints/"} +{"d:Title": "All Music Guide: All Saints", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/All_Saints", "url": "http://www.allmusic.com/artist/all-saints-mn0000000534"} +{"d:Title": "Theatre of Marc Almond", "d:Description": "The official Marc Almond web site.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Almond,_Marc", "url": "http://www.marcalmond.co.uk/"} +{"d:Title": "Musicfolio: Marc Almond", "d:Description": "Discography with album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Almond,_Marc", "url": "http://www.musicfolio.com/modernrock/marcalmond.html"} +{"d:Title": "Heart In Black Velvet", "d:Description": "A Marc Almond unofficial tribute site. Features an interview, discography, concert dates, videos, books, and collaborations.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Almond,_Marc", "url": "http://urbanvelvet.tripod.com/"} +{"d:Title": "Chaos Control: Marc Almond", "d:Description": "1999 interview about his present and past career, including the Soft Cell reunion and the influence of the drug Ecstasy years before it caught on in the club scene.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Almond,_Marc", "url": "http://www.chaoscontrol.com/?article=marcalmond"} +{"d:Title": "All Music Guide: Marc Almond", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Almond,_Marc", "url": "http://www.allmusic.com/artist/marc-almond-p3528"} +{"d:Title": "Herb Alpert", "d:Description": "The official website includes a video, photographs, song samples, liner notes and CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alpert,_Herb", "url": "http://www.herbalpert.com/"} +{"d:Title": "Herb Alpert&The Tijuana Brass Discography", "d:Description": "An illustrated discography which includes all of the original A&M albums by the Tijuana brass, as well as a separate section containing all of Herb Alpert's solo recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alpert,_Herb", "url": "http://tijuanabrass.com/"} +{"d:Title": "All Music Guide: Herb Alpert", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alpert,_Herb", "url": "http://www.allmusic.com/artist/herb-alpert-p3529"} +{"d:Title": "Alphaville", "d:Description": "Official site includes news, tour dates, biography, discography, lyrics, and fanclub information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alphaville", "url": "http://www.alphaville.de/"} +{"d:Title": "Cosmic Meadows", "d:Description": "Includes discography, biography, lyrics, and concerts archive.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alphaville", "url": "http://www.iol.ie/~carrollm/av/"} +{"d:Title": "Musicfolio: Alphaville", "d:Description": "Discography, album reviews, ratings and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alphaville", "url": "http://musicfolio.com/modernrock/alphaville.html"} +{"d:Title": "Faithful and True", "d:Description": "Fan club with interviews, reviews, and information on Alphaville spin-off bands.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alphaville", "url": "http://www.faithfulandtrue.de/"} +{"d:Title": "The Dreamroom", "d:Description": "International fan community offers a forum, photo gallery, downloads, reviews and weekly chat sessions.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alphaville", "url": "http://www.alphaville.org/"} +{"d:Title": "AtlanticPopes", "d:Description": "Official site of Bernhard Lloyd (Alphaville) and Max Holler's project, with news, audio, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alphaville/Atlantic_Popes", "url": "http://www.atlanticpopes.de/"} +{"d:Title": "AskMen.com: Alsou", "d:Description": "Feature includes a photo, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou", "url": "http://www.askmen.com/celebs/women/singer_200/230_alsou.html"} +{"d:Title": "Alsou", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Chats_and_Forums", "url": "http://groups.yahoo.com/group/alsou2/"} +{"d:Title": "Alsou Fans", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Chats_and_Forums", "url": "http://groups.yahoo.com/group/alsoufans/"} +{"d:Title": "Alsou Paradise", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Chats_and_Forums", "url": "http://groups.yahoo.com/group/alsouparadise/"} +{"d:Title": "Alsou Planet", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Chats_and_Forums", "url": "http://groups.yahoo.com/group/alsouplanet/"} +{"d:Title": "Alsou Web", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Chats_and_Forums", "url": "http://groups.yahoo.com/group/alsouweb/"} +{"d:Title": "Alsou's Palace", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Chats_and_Forums", "url": "http://groups.yahoo.com/group/alsouspalace/"} +{"d:Title": "Alsou's Place", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Chats_and_Forums", "url": "http://groups.yahoo.com/group/alsousplace/"} +{"d:Title": "Alsou", "d:Description": "Biography, songs, lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Alsou/Fan_Pages", "url": "http://www.alsou.8m.com/"} +{"d:Title": "Altered Images: Deconstructed Pop Stars", "d:Description": "Fan site includes discography, history, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Altered_Images", "url": "http://notsorted.tripod.com/alteredimages"} +{"d:Title": "Altered Images", "d:Description": "Fan page includes history, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Altered_Images", "url": "http://www.sukeplow.demon.co.uk/altered/altered.htm"} +{"d:Title": "TrouserPress.com: Altered Images", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Altered_Images", "url": "http://www.trouserpress.com/entry.php?a=altered_images"} +{"d:Title": "Clare Grogan Fetish Society", "d:Description": "Yahoo group with message board/email list.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Altered_Images", "url": "http://launch.groups.yahoo.com/group/claregroganfetishsociety/"} +{"d:Title": "All Music Guide: Altered Images", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Altered_Images", "url": "http://www.allmusic.com/artist/altered-images-p3531"} +{"d:Title": "All Music Guide: Shola Ama", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ama,_Shola", "url": "http://www.allmusic.com/artist/shola-ama-p289864"} +{"d:Title": "All Music Guide: Amber", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amber", "url": "http://www.allmusic.com/artist/amber-p200275"} +{"d:Title": "Official Casey Chaos Memorial", "d:Description": "A website dedicated to Amen and Scum frontman, Casey Chaos. Contains biography, discography, videos, interviews, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amen", "url": "http://www.officialcaseychaos.com/"} +{"d:Title": "XGuitar.com : Amen", "d:Description": "Amen guitar and bass tablatures organized by album", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amen", "url": "http://www.xguitar.com/guitar-tabs/amen/"} +{"d:Title": "PropAMENda", "d:Description": "Fansite includes news, biography, discography, tabs, lyrics, tour dates, downloads and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amen", "url": "http://a.guillou.free.fr/propamenda/v4/amen_index/home.php"} +{"d:Title": "Bass Tablatures for Amen", "d:Description": "A collection of tabs for the band Amen", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amen", "url": "http://www.songsterr.com/a/wsa/amen-tabs-a319?inst=bass"} +{"d:Title": "Ventura Highway, the official America site", "d:Description": "Concert schedule, message board, a fan club, and an ongoing series of photographs from the band and other contributors.", "topic": "Top/Arts/Music/Bands_and_Artists/A/America", "url": "http://www.venturahighway.com/"} +{"d:Title": "America Fans", "d:Description": "Steve Lowry's fan resource, including a highly detailed 8 part history of the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/America", "url": "http://www.accessbackstage.com/america/"} +{"d:Title": "American Head Charge", "d:Description": "Official site. News, tour dates, biography, videos, MP3s, lyrics, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/American_Head_Charge", "url": "http://www.headcharge.com/"} +{"d:Title": "American Head Charge", "d:Description": "Interview on popentertainment.com.", "topic": "Top/Arts/Music/Bands_and_Artists/A/American_Head_Charge", "url": "http://www.popentertainment.com/headcharge.htm"} +{"d:Title": "What Holds the World Together", "d:Description": "American Music Club lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/American_Music_Club", "url": "http://members.tripod.com/~weatherking/amc.htm"} +{"d:Title": "Underground - American Pearl Fan Site", "d:Description": "Includes pictures, lyrics, and an article.", "topic": "Top/Arts/Music/Bands_and_Artists/A/American_Pearl", "url": "http://www.angelfire.com/ri2/underground/"} +{"d:Title": "Amon Amarth", "d:Description": "Official page of the Viking metal band. Includes a biography, news, show dates and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amon_Amarth", "url": "http://www.amonamarth.com/"} +{"d:Title": "Amon Amarth at Rockmagic.net", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amon_Amarth", "url": "http://lyrics.rockmagic.net/lyrics/amon_amarth/"} +{"d:Title": "Tom's Vanessa Site", "d:Description": "Fan site containing pictures, song samples, videos, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amorosi,_Vanessa", "url": "http://home.arcor.de/tom.friedl/"} +{"d:Title": "Vanessa Amorosi", "d:Description": "A fan site with appearance schedule, brief concert reviews, lyrics, articles, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amorosi,_Vanessa", "url": "http://www.angelfire.com/pop/vanessa/"} +{"d:Title": "Vanessa Amorosi", "d:Description": "Profile, news, pictures, tour dates, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amorosi,_Vanessa", "url": "http://www.angelfire.com/pop/vanessAmorosi/"} +{"d:Title": "My Favorite Star", "d:Description": "A Vanessa Amorosi site with profile, news and gossip, links, background information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amorosi,_Vanessa", "url": "http://minnie_me_2000.tripod.com/myfansite/"} +{"d:Title": "All Music Guide: Vanessa Amorosi", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amorosi,_Vanessa", "url": "http://www.allmusic.com/artist/vanessa-amorosi-p454574"} +{"d:Title": "Amorphis", "d:Description": "Progressive metal band with lyrics and themes based on Finnish national epic, Kalevala.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amorphis", "url": "http://www.amorphis.net/"} +{"d:Title": "Rockmagic.net: Amorphis", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amorphis", "url": "http://lyrics.rockmagic.net/lyrics/amorphis/"} +{"d:Title": "Absolute Divas: Tori Amos", "d:Description": "Includes a biography, a discography, galleries and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.absolutedivas.com/tori/"} +{"d:Title": "Really Deep Thoughts - The Official Tori Amos Fanzine", "d:Description": "Online edition of the print zine.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.toriamos.org/"} +{"d:Title": "Anti Music: Tori Amos", "d:Description": "Includes biography, discography, lyrics, tablature, voting, fan board, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.antimusic.com/artist/11_1999/tori_/"} +{"d:Title": "Tori a la Card", "d:Description": "Send a free Tori Amos e-card.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://tori.bittersweetblue.net/"} +{"d:Title": "Musicfolio : Tori Amos", "d:Description": "Discography, album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://musicfolio.com/modernrock/toriamos.html"} +{"d:Title": "Music Olympus : Tori Amos", "d:Description": "Discography, biography, lyrics, pictures gallery, guitar tabs, wallpaper, and merchandises.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.musicolympus.com/toriamos/"} +{"d:Title": "Tori Amos", "d:Description": "Official site by Epic Records, includes news, biography, tour information, photos, and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.toriamos.com/"} +{"d:Title": "Entertainment Ave: Tori Amos", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/a/tori_amos/ta071998.htm"} +{"d:Title": "Entertainment Ave: Tori Amos", "d:Description": "Review of a concert at The Rosemont Theatre in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/a/tori_amos/ta060696.htm"} +{"d:Title": "Toriphoria", "d:Description": "News, albums, discography, images, timelines, RAINN, links, concert information, and downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.yessaid.com/"} +{"d:Title": "Tori Amos Trivia", "d:Description": "Trivia and Quizzes related to the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.funtrivia.com/quizzes/music/music_a-c/tori_amos.html"} +{"d:Title": "wrecovery", "d:Description": "Includes artists Tori Amos cartoons.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.wrecovery.com/"} +{"d:Title": "RollingStone.com: Tori Amos", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.rollingstone.com/music/artists/tori-amos"} +{"d:Title": "All Music Guide: Tori Amos", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.allmusic.com/artist/tori-amos-p22040"} +{"d:Title": "AskMen.com : Tori Amos", "d:Description": "Pictures, biography, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://www.askmen.com/celebs/women/singer/53_tori_amos.html"} +{"d:Title": "Yahoo Music: Tori Amos", "d:Description": "Pictures, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori", "url": "http://new.music.yahoo.com/tori-amos/"} +{"d:Title": "Tori Amos Chat", "d:Description": "Chatroom for fans of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://www.weirdtown.com/find/chat/music/tori_amos_chat.jsp"} +{"d:Title": "Sleeps With Butterflies", "d:Description": "Messageboard including general chat and discussion about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://toriamos.conforums3.com/index.cgi"} +{"d:Title": "Tori Amos Messageboard", "d:Description": "Italian Forum for discussion about the artist. Includes chat, mailing list, and MP3's.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://www.toriamos.it/forum/index.php?PHPSESSID=a7d15a8bf4b95f7350322fd92ab88acf&"} +{"d:Title": "Tori Freaks Grand Central Station", "d:Description": "Forum to meet other fans in your area, includes United States, Australia, Canada, Europe, Mexico, South America, Africa, Asia, and New Zealand.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://torifreaks.proboards.com/"} +{"d:Title": "Unforumzed - Main Tori Forum", "d:Description": "RAINN, tour, and general Tori discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://www.unforumzed.com/forumdisplay.php?15-Tori-Amos"} +{"d:Title": "Everybody Else's Board", "d:Description": "Forum for discussion of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://crucify.proboards.com/"} +{"d:Title": "Toriforum", "d:Description": "Tour information, chat, messageboard.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://toriforum.proboards.com/"} +{"d:Title": "The Afterglow", "d:Description": "Messageboard, chat, links, quotes, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Chats_and_Forums", "url": "http://raspwitch.proboards.com/"} +{"d:Title": "A Dent In the Tori Amos Net Universe", "d:Description": "Includes news, fan profiles, articles, tour information, discography, and information about RAINN.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://thedent.com/"} +{"d:Title": "Gumdrops and Saturdays", "d:Description": "Lyrics, links, quotes, recipes, hotbar skins, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.angelfire.com/music2/TOriAMos/index.html"} +{"d:Title": "Erin's Tori Amos Website", "d:Description": "Includes lyrics, pictures, album covers, free Tori E-mail and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.angelfire.com/in3/joel2/"} +{"d:Title": "Buttercup Bone Orchard", "d:Description": "Includes multimedia, lyrics, news, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.angelfire.com/ma2/TinsRaspberrySwirl/"} +{"d:Title": "Obsessed Much - Tori Amos", "d:Description": "Contains trivia, pictures, information, and 'Tori's Really Deep Thoughts' on many of her songs and her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.angelfire.com/de/somestuffs/"} +{"d:Title": "Tori Amos: Cloud on My Tongue.", "d:Description": "Includes lyrics, pictures, quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.angelfire.com/az2/cherryspirit/cloud.html"} +{"d:Title": "Tori's Strength", "d:Description": "Tour experiences, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.angelfire.com/fl3/cornflakegirltori/index.html"} +{"d:Title": "0.0 Venus: Tori Amos", "d:Description": "News, biography, discography, multimedia, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://members.tripod.com/venus_0.0/index.html"} +{"d:Title": "Where the Purple People Go", "d:Description": "Includes lyrics, picture gallery, RealAudio sound clips for every album, song, other rarities and B-sides.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://members.tripod.com/~jungle_green/"} +{"d:Title": "Tori Amos", "d:Description": "Lyrics, photographs, news, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://toriamos113800.tripod.com/"} +{"d:Title": "Fairy Tales", "d:Description": "Lyrics, articles, pictures, audio files, message board, discography, and boots.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.stuff.to/taindex.php"} +{"d:Title": "Particle By Particle", "d:Description": "Tori Amos inspired thoughts, pictures, quotes, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.phrizbie-design.com/TORI_AMOS/index.html"} +{"d:Title": "Paper Tigers", "d:Description": "album information, lyrics, pictures, links, and news", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Fan_Pages", "url": "http://www.abspubs.com/toriamos/index.html"} +{"d:Title": "Tori Amos Photo Gallery", "d:Description": "Tori Amos photos", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Image_Galleries", "url": "http://www.morethings.com/music/tori/pictures.html"} +{"d:Title": "The Cornflake Girl Webring", "d:Description": "Bring milk and a spoon to surf this webring.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Web_Rings", "url": "http://members.tripod.com/~meghan_2/webring.html"} +{"d:Title": "Something Safe For The Picture Frame", "d:Description": "Webring devoted to the much more visual Tori sites.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Web_Rings", "url": "http://www.angelfire.com/ms/ToriAmos/safe.html"} +{"d:Title": "Fairytales and Dreams", "d:Description": "Their motto is \"anything tori\".", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Web_Rings", "url": "http://www.angelfire.com/ms/lamia/toriring.html"} +{"d:Title": "Ode To The Beauty Queen", "d:Description": "Site Ring, to join you must have a Tori related website.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amos,_Tori/Web_Rings", "url": "http://www.webring.org/hub?ring=bqring"} +{"d:Title": "Eyesore: The Amps", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amps,_The", "url": "http://quimby.gnus.org/html/group/amps.html"} +{"d:Title": "4AD: The Amps", "d:Description": "Information from their label includes profile, discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amps,_The", "url": "http://www.4ad.com/artists/theamps/"} +{"d:Title": "All Music Guide: Namie Amuro", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Amuro,_Namie", "url": "http://www.allmusic.com/artist/namie-amuro-p228307"} +{"d:Title": "Ananda", "d:Description": "The band's official home page. With pictures, song clips, and links to various related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ananda", "url": "http://www.angelfire.com/wi/ananda/"} +{"d:Title": "DJ Ron: Anastacia", "d:Description": "A collection of pictures from Anastacia's January 1999 promo show in Atlanta, Georgia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anastacia", "url": "http://www.djron.com/anastacia.html"} +{"d:Title": "Yahoo Groups: Anastacia", "d:Description": "An e-mail based discussion list for Anastacia fans. Posting of pictures and clips allowed.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anastacia", "url": "http://groups.yahoo.com/group/anastacia/"} +{"d:Title": "Yahoo Groups - Anastacia", "d:Description": "A Yahoo based group for Anastacia fans. With message board, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anastacia", "url": "http://groups.yahoo.com/group/anastacia2/"} +{"d:Title": "Anastacia", "d:Description": "Epic Records' official site includes biographical information, pictures, links, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anastacia", "url": "http://www.anastacia.com/"} +{"d:Title": "All Music Guide: Anastacia", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anastacia", "url": "http://www.allmusic.com/artist/anastacia-p415935"} +{"d:Title": "AskMen.com: Anastacia", "d:Description": "Includes pictures, biography, ratings, links, and other information on the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anastacia", "url": "http://www.askmen.com/celebs/women/singer/42_anastacia.html"} +{"d:Title": "Carleen Anderson.net", "d:Description": "Official website containing biography, news, gig dates, lyrics, photos, and audio/video samples. [Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anderson,_Carleen", "url": "http://www.carleenanderson.net/"} +{"d:Title": "Artist Direct Network: Carleen Anderson", "d:Description": "Includes biography, links, tour dates, reviews, listening room, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anderson,_Carleen", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,397409,00.html"} +{"d:Title": "All Music Guide: Carleen Anderson", "d:Description": "Include biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anderson,_Carleen", "url": "http://www.allmusic.com/artist/carleen-anderson-p51762"} +{"d:Title": "Peter Andre Official Site", "d:Description": "Contains photos, news, concert reviews, biography, forums, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andre,_Peter", "url": "http://www.peterandre.com/"} +{"d:Title": "All Music Guide: Peter Andre", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andre,_Peter", "url": "http://www.allmusic.com/artist/peter-andre-p203436"} +{"d:Title": "Virgin.net: Peter Andre Interview", "d:Description": "Conducted by Alex Robertson, before the release of The Right Way.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andre,_Peter", "url": "http://www.virginmedia.com/music/interviews/peterandreinterview.php"} +{"d:Title": "All Music Guide: Leah Andreone", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andreone,_Leah", "url": "http://www.allmusic.com/artist/leah-andreone-p182730"} +{"d:Title": "MTV: Leah Andreone", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andreone,_Leah", "url": "http://www.mtv.com/artists/leah-andreone/"} +{"d:Title": "Class Act: The Andrews Sisters", "d:Description": "Biographical sketch, complete filmography with reviews on most movies, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andrews_Sisters,_The", "url": "http://www.classicmoviemusicals.com/andrews2.htm"} +{"d:Title": "JD Hay's Crooners", "d:Description": "Collection of songs by the Andrews Sisters in full length wav files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andrews_Sisters,_The", "url": "http://jdgrp12.tripod.com/AndrewSis.htm"} +{"d:Title": "The Andrews Sisters", "d:Description": "Biography of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andrews_Sisters,_The", "url": "http://www.bigbands.org/andrewsbio.htm"} +{"d:Title": "All Music Guide: The Andrews Sisters", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Andrews_Sisters,_The", "url": "http://www.allmusic.com/artist/the-andrews-sisters-mn0000043499"} +{"d:Title": "Philipp Koenig: Trail of the Dead", "d:Description": "Photographs of the Cologne concert. [K\u00f6ln, Stadtgarten]", "topic": "Top/Arts/Music/Bands_and_Artists/A/And_You_Will_Know_Us_by_the_Trail_of_Dead", "url": "http://www.philippkoenig.de/photos/trailofdead.htm"} +{"d:Title": "Beginning of Shirley Manson", "d:Description": "An Angelfish fan site with images, video clips, lyrics, biography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Angelfish", "url": "http://angelfish77.tripod.com/"} +{"d:Title": "Angels: Beyond Salvation", "d:Description": "Unofficial fansite, featuring biography, discography, band profiles, photos, guitar tablatures, articles, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Angels,_The", "url": "http://www.aussiebands.com.au/angels.html"} +{"d:Title": "Martin's Angels/Angel City Page", "d:Description": "Includes audio, biography, interview, and concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Angels,_The", "url": "http://www.lastbandit.com/angels.html"} +{"d:Title": "Angels from Down Under, The", "d:Description": "Includes photos, biography, discography, news, and links. [English and French versions]", "topic": "Top/Arts/Music/Bands_and_Artists/A/Angels,_The", "url": "http://www.theangels.free.fr/"} +{"d:Title": "Dark Room", "d:Description": "Australian tribute to The Angels. Includes photos, history, sound samples, member profiles, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Angels,_The/Tribute_Bands", "url": "http://darkroom05.tripod.com/"} +{"d:Title": "Anggun World", "d:Description": "Biography, discography, lyrics, videos, MP3s, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anggun", "url": "http://www.anggunworld.com/"} +{"d:Title": "Anggun Lyrics", "d:Description": "Lyrics from her album with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anggun", "url": "http://www.angelfire.com/ms/mfaisaljisanggun/"} +{"d:Title": "Anggun", "d:Description": "Official site for the Indonesian singer.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anggun", "url": "http://www.anggun.com/"} +{"d:Title": "AskMen.com: Anggun", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anggun", "url": "http://www.askmen.com/celebs/women/singer_100/132_anggun.html"} +{"d:Title": "MTV: Anggun", "d:Description": "Includes audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anggun", "url": "http://www.mtv.com/artists/anggun/"} +{"d:Title": "Rockmagic.net: Angizia", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Angizia", "url": "http://lyrics.rockmagic.net/lyrics/angizia/"} +{"d:Title": "Angizia", "d:Description": "Includes band biography, discography, information about the Gothic movement, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Angizia", "url": "http://stille.narod.ru/"} +{"d:Title": "Eric Burdon and The Animals and Beyond", "d:Description": "His official site includes a look at his career, photos, tour dates, news, bulletin board, newsletter, merchandise, and some beautiful artwork he has created.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Animals,_The", "url": "http://www.ericburdon.com/"} +{"d:Title": "Hilton Valentine Official Website", "d:Description": "Site of the Animals guitarist features biography, photos, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Animals,_The", "url": "http://www.hiltonvalentine.com/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Animals,_The", "url": "http://rockhall.com/inductees/the-animals/"} +{"d:Title": "Paul Anka", "d:Description": "Official site of the 60's teen idol. Biography, discography, photo gallery, audio/video files, reviews and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anka,_Paul", "url": "http://www.paulanka.com/"} +{"d:Title": "ArtistDirect: Paul Anka", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anka,_Paul", "url": "http://www.artistdirect.com/artist/paul-anka/397809"} +{"d:Title": "All Music Guide: Paul Anka", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anka,_Paul", "url": "http://www.allmusic.com/artist/paul-anka-p3557"} +{"d:Title": "Paul Anka", "d:Description": "Entry in the Canadian Music Encyclopedia includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anka,_Paul", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/Anka_Paul.html"} +{"d:Title": "Anouk", "d:Description": "Official site with biography, pictures, band information, lyrics, video clips, and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anouk", "url": "http://www.anouk.nl/"} +{"d:Title": "Anouk Land", "d:Description": "Fan site with biography, news, audio and video clips, tablature, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anouk", "url": "http://www.purplelion.com/anouk/"} +{"d:Title": "Marc Anthony Online", "d:Description": "Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anthony,_Marc", "url": "http://www.marcanthonyonline.com/"} +{"d:Title": "All Music Guide: Marc Anthony", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anthony,_Marc", "url": "http://www.allmusic.com/artist/marc-anthony-p37072"} +{"d:Title": "MTV.com - Marc Anthony", "d:Description": "Includes biography, discography, appearances and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anthony,_Marc", "url": "http://www.mtv.com/artists/marc-anthony/"} +{"d:Title": "Marc Anthony Fan Page", "d:Description": "Includes photos, magazine articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anthony,_Marc/Fan_Pages", "url": "http://salsafix.www1.50megs.com/marcant.htm"} +{"d:Title": "Anti-Nowhere League", "d:Description": "Official homepage for the long standing punk band from the UK.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anti-Nowhere_League", "url": "http://www.antinowhereleague.com/"} +{"d:Title": "TrouserPress.com - Anti-Nowhere League", "d:Description": "Commentary about the band's recorded output and a brief discography focusing on releases before 1991.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anti-Nowhere_League", "url": "http://www.trouserpress.com/entry.php?a=anti-nowhere_league"} +{"d:Title": "AMG: Anti-Nowhere League", "d:Description": "Including a short biography and discography, as well as links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Anti-Nowhere_League", "url": "http://www.allmusic.com/artist/the-anti-nowhere-league-p15434"} +{"d:Title": "Antibalas: Official Website", "d:Description": "News, tour dates, discography, photos, video, press, biographies, contact, store, music downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Antibalas", "url": "http://www.antibalas.com/"} +{"d:Title": "NPR's Weekend All Things Considered: Antibalas", "d:Description": "Article, audio of the report, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Antibalas", "url": "http://www.npr.org/programs/watc/features/2001/antibalas/010825.antibalas.html"} +{"d:Title": "Allmusic: Apache Indian", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apache_Indian", "url": "http://www.allmusic.com/artist/apache-indian-mn0000925977"} +{"d:Title": "Selected AFX Loops", "d:Description": "Contains several Aphex Twin samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aphex_Twin", "url": "http://music.hyperreal.org/artists/afx/"} +{"d:Title": "The Fredscape Collective: Aphex Twin", "d:Description": "Short profile about Aphex Twin and reviews about his vinyl and CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aphex_Twin", "url": "http://www.cybercomm.nl/~teccie/aphextwin.html"} +{"d:Title": "AFX - Kamm's Aphex Twin Fansite", "d:Description": "Detailed discography, remixography, videos, biography, lyrics, merchandises, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aphex_Twin", "url": "http://www.angelfire.com/electronic/hunaphextwin/"} +{"d:Title": "MTV: Aphex Twin", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aphex_Twin", "url": "http://www.mtv.com/artists/aphex-twin/"} +{"d:Title": "All-Reviews.com: Richard D. James Album", "d:Description": "Isoproponal's review: \"one of his most complete and accessible works\".", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aphex_Twin/Reviews/Richard_D._James_Album", "url": "http://www.all-reviews.com/music/richarddjames.htm"} +{"d:Title": "Salon: Aphex Twin - Richard D. James", "d:Description": "Hans Eisenbeis' review: \"it'll be remembered as one of the ur-texts of the new electronica.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aphex_Twin/Reviews/Richard_D._James_Album", "url": "http://www.salon.com/1997/02/27/music_36/"} +{"d:Title": "Almost Cool: Aphex Twin - Richard D James", "d:Description": "Aaron Coleman's review: \", it's another great album from Aphex Twin and shows that he can change up his style with nearly every release\" Rated 7.75.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aphex_Twin/Reviews/Richard_D._James_Album", "url": "http://www.almostcool.org/mr/9/"} +{"d:Title": "Apocalyptica", "d:Description": "A band famous for playing Metallica with four cellos. [Official]", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apocalyptica", "url": "http://www.apocalyptica.com/"} +{"d:Title": "Inquisition Symphony", "d:Description": "Photos, audio clips and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apocalyptica", "url": "http://members.tripod.com/thepaulman/"} +{"d:Title": "Conversation with Apocalyptica", "d:Description": "Band members Max Lilja and Paavo L\u00f6tj\u00f6nen talk about their relationship to classical music.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apocalyptica", "url": "http://www.cello.org/Newsletter/Articles/apocalyp/apocalyp.htm"} +{"d:Title": "Apocalyptica", "d:Description": "News, biography, discography, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apocalyptica", "url": "http://apocalyptica.apocello.ru/"} +{"d:Title": "MTV.com: Apollo Four Forty", "d:Description": "Includes a biography, photos and news archives.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apollo_440", "url": "http://www.mtv.com/artists/apollo-four-forty/"} +{"d:Title": "Carmine Appice", "d:Description": "Official site features a biography, discography, news, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Appice,_Carmine", "url": "http://www.carmineappice.net/"} +{"d:Title": "All Music Guide: Carmine Appice", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Appice,_Carmine", "url": "http://www.allmusic.com/artist/carmine-appice-p15448"} +{"d:Title": "Fiona Apple", "d:Description": "Official website provided by Sony Entertainment. Site features tour information, latest videos and album releases.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://www.fiona-apple.com/"} +{"d:Title": "Absolute Divas - Fiona Apple", "d:Description": "Features a photo gallery, as well as a discography, biography, and a lyrics section.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://www.absolutedivas.com/fiona/"} +{"d:Title": "NY Rock", "d:Description": "Editorial covering the artist's career to date.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://www.nyrock.com/fiona.htm"} +{"d:Title": "Fiona Apple Sounds", "d:Description": "Collection of wave and midi files of Fiona's songs and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://members.tripod.com/~Ciiburn/sounds.html"} +{"d:Title": "RollingStone.com: Fiona Apple", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://www.rollingstone.com/music/artists/fiona-apple"} +{"d:Title": "All Music Guide: Fiona Apple", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://www.allmusic.com/artist/fiona-apple-p194785"} +{"d:Title": "AskMen.com: Fiona Apple", "d:Description": "Pictures, profile, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://www.askmen.com/celebs/women/singer/17_fiona_apple.html"} +{"d:Title": "Launch: Fiona Apple", "d:Description": "Editorial dedicated to the career and life of Fiona.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://new.music.yahoo.com/fiona-apple/biography/"} +{"d:Title": "MTV: Fiona Apple", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona", "url": "http://www.mtv.com/artists/fiona-apple/"} +{"d:Title": "The Color Gallery", "d:Description": "A large collection of photo links, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona/Directories", "url": "http://members.tripod.com/~appleseeds_home/color.html"} +{"d:Title": "Aaron Trovato's Shrine", "d:Description": "Personal webpage, which contains lyrics, photos and other information about Fiona.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona/Fan_Pages", "url": "http://members.tripod.com/~aaront/"} +{"d:Title": "Brillinat As The Moon In Full", "d:Description": "Fiona Apple music interpretations, cover art gallery, questionnaire and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona/Fan_Pages", "url": "http://www.angelfire.com/sd/apple/"} +{"d:Title": "Fiona Apple Tribute", "d:Description": "A medium sized collection of links devoted to the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona/Fan_Pages", "url": "http://members.tripod.com/broric/apple.html"} +{"d:Title": "On The Bound", "d:Description": "Fiona Apple fan site offering audio, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona/Fan_Pages", "url": "http://mattman103.tripod.com/fionaapple/"} +{"d:Title": "Daily Vault: Fiona Apple - When the Pawn", "d:Description": "Sean McCarthy's review: \"But just to piss off her naysayers, Apple released an album that almost eclipses her very good Tidal album.\" Rated A-.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apple,_Fiona/Reviews/When_the_Pawn", "url": "http://www.dailyvault.com/toc.php5?review=955"} +{"d:Title": "Pitchfork: Discovery of a World inside the Moone", "d:Description": "Mark Richard-San's review: \"it's still pretty good for what it is.\" Rated 6.5.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apples_in_Stereo/Reviews/Discovery_of_a_World_Inside_the_Moone,_The", "url": "http://pitchfork.com/reviews/albums/256-the-discovery-of-a-world-inside-the-moone/"} +{"d:Title": "Metacritic: Discovery of a World Inside the Moone", "d:Description": "Links to several reviews about the album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Apples_in_Stereo/Reviews/Discovery_of_a_World_Inside_the_Moone,_The", "url": "http://www.metacritic.com/music/discovery-of-a-world-inside-the-moone/the-apples-in-stereo"} +{"d:Title": "Nicky Appleton", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Appleton", "url": "http://www.angelfire.com/indie/nickyappleton/"} +{"d:Title": "Pitchfork: Appliance - Imperial Metric", "d:Description": "Paul Cooper's review: \"a fascinating blend of post-punk dub, primitive electronics, and Soviet-menace nostalgia.\" Rated 7.8.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Appliance/Reviews/Imperial_Metric", "url": "http://pitchfork.com/reviews/albums/265-imperial-metric/"} +{"d:Title": "Almost Cool: Appliance - Imperial Metric", "d:Description": "Aaron Coleman's review: \"shows that this is still a young group trying lots of things and getting settled with a sound.\" Rated 6.5.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Appliance/Reviews/Imperial_Metric", "url": "http://www.almostcool.org/mr/12/"} +{"d:Title": "Ink Nineteen: Appliance - Manual", "d:Description": "Matthew Moyer's review: \"Seemed like a good idea at the time.\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Appliance/Reviews/Manual", "url": "http://www.ink19.com/issues_F/00_04/wet_ink/music_a/appliance.shtml"} +{"d:Title": "Canoe.ca: April Wine", "d:Description": "Profile includes a biography, discography, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/April_Wine", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/April_Wine.html"} +{"d:Title": "Aqua Heaven", "d:Description": "A site dedicated to Aqua. A lot of information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aqua", "url": "http://www.aqua.person.dk/"} +{"d:Title": "MTV: Aqua", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aqua", "url": "http://www.mtv.com/artists/aqua/"} +{"d:Title": "AskMen.com: Lene Grawford Nystrom", "d:Description": "Pictures, biography, commentary and links on the Aqua singer.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aqua/Nystr\u00f8m,_Lene_Grawford", "url": "http://www.askmen.com/celebs/women/singer_60/71_lene_grawford_nystrom.html"} +{"d:Title": "All Music Guide: Lene Grawford Nystr\u00f8m", "d:Description": "Includes a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aqua/Nystr\u00f8m,_Lene_Grawford", "url": "http://www.allmusic.com/artist/lene-grawford-nystr%C3%B8m-mn0001814278"} +{"d:Title": "The Aquabats", "d:Description": "Official site with news, biographies, discography, merchandise, e-cards, audio samples and videos. [Flash required]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aquabats,_The", "url": "http://www.theaquabats.com/"} +{"d:Title": "Aquabats lyrics", "d:Description": "Stlyrics.com profile with lyrics to some of their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aquabats,_The", "url": "http://www.stlyrics.com/songs/t/theaquabats284.html"} +{"d:Title": "AMG: The Aquabats", "d:Description": "Profile with a biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aquabats,_The", "url": "http://www.allmusic.com/artist/the-aquabats-p210908"} +{"d:Title": "The Aquabats", "d:Description": "MTV profile with news, discography, featured album, tour dates and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aquabats,_The", "url": "http://www.mtv.com/artists/the-aquabats/"} +{"d:Title": "Metacritic: Elephant Shoe", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arab_Strap/Reviews", "url": "http://www.metacritic.com/music/elephant-shoe/arab-strap"} +{"d:Title": "Almost Cool: Arab Strap - Mad For Sadness", "d:Description": "Aaron Coleman's review: \"great in terms of both capturing a live performance, as well as the sound of the group.\" Rated 7.75.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arab_Strap/Reviews/Mad_for_Sadness", "url": "http://www.almostcool.org/mr/17/"} +{"d:Title": "Metacritic: Mad For Sadness", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arab_Strap/Reviews/Mad_for_Sadness", "url": "http://www.metacritic.com/music/mad-for-sadness/arab-strap"} +{"d:Title": "Metacritic: The Red Thread", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arab_Strap/Reviews/Red_Thread,_The", "url": "http://www.metacritic.com/music/the-red-thread/arab-strap"} +{"d:Title": "Pitchfork: Arab Strap - The Red Thread", "d:Description": "Camilo Arturo Leslie's review: \"Moffat's gift for both eulogy and bile, and for making the unpalatable much less so is striking, repetition be damned.\" Rated 6.9.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arab_Strap/Reviews/Red_Thread,_The", "url": "http://pitchfork.com/reviews/albums/270-the-red-thread/"} +{"d:Title": "MTV.com: Arcade", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arcade", "url": "http://www.mtv.com/artists/arcade/"} +{"d:Title": "Arcadia", "d:Description": "Discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arcadia", "url": "http://www.musicfolio.com/modernrock/arcadia.html"} +{"d:Title": "All Music Guide: Arcadia", "d:Description": "A biography and discography for this band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arcadia", "url": "http://www.allmusic.com/artist/arcadia-p3570"} +{"d:Title": "Tasmin Archer - Somebody's Discography", "d:Description": "Discography with cover images and some MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Archer,_Tasmin", "url": "http://www.neneh-cherry.it/tasmin/"} +{"d:Title": "tasminarcher.net", "d:Description": "Official site includes information about her past, present, and future.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Archer,_Tasmin", "url": "http://www.tasminarcher.com/"} +{"d:Title": "TrouserPress.com: Tasmin Archer", "d:Description": "Reviews of her recordings from the 1990s.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Archer,_Tasmin", "url": "http://www.trouserpress.com/entry.php?a=tasmin_archer"} +{"d:Title": "All Music Guide: Tasmin Archer", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Archer,_Tasmin", "url": "http://www.allmusic.com/artist/tasmin-archer-mn0000014931"} +{"d:Title": "Web In Front", "d:Description": "Archers of Loaf fan site offers images, guitar tablatures, concert reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Archers_of_Loaf", "url": "http://www.webinfront.com/"} +{"d:Title": "Fans of David", "d:Description": "Blog, news, fan stories, chat, appearances and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Archuleta,_David", "url": "http://fansofdavid.com/"} +{"d:Title": "David Archuleta", "d:Description": "Official site features photos, videos, music, blog, community, merchandise, events, concerts and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Archuleta,_David", "url": "http://davidarchuleta.com/"} +{"d:Title": "Arctic Monkeys", "d:Description": "Official site includes news, biography, lyrics, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arctic_Monkeys", "url": "http://www.arcticmonkeys.com/"} +{"d:Title": "Arctic Monkeys Fan Site", "d:Description": "Features news, fanboard, images, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arctic_Monkeys", "url": "http://www.arctic-monkeys.com/"} +{"d:Title": "BBC News: Arctic Monkeys Make Chart History", "d:Description": "The band sold more than 360,000 copies of their album in its first week, making it the fastest-selling debut in UK chart history.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arctic_Monkeys", "url": "http://news.bbc.co.uk/1/hi/entertainment/4660394.stm"} +{"d:Title": "Wikipedia: Arctic Monkeys", "d:Description": "Article includes band history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arctic_Monkeys", "url": "http://en.wikipedia.org/wiki/Arctic_Monkeys"} +{"d:Title": "YouTube - Arctic Monkeys", "d:Description": "Official Youtube channel for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arctic_Monkeys", "url": "https://www.youtube.com/user/ArcticMonkeys"} +{"d:Title": "SPIN: Alex Turner Interview", "d:Description": "An interview with Alex Turner by David Bevan.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arctic_Monkeys", "url": "http://www.spin.com/articles/arctic-monkeys-alex-turner-interview-am-album/"} +{"d:Title": "Antarctic Monkeys", "d:Description": "UK Arctic Monkeys tribute act. Gig dates, press clipping, and audio and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arctic_Monkeys/Tribute_Bands", "url": "http://www.antarcticmonkeys.com/"} +{"d:Title": "Happy?", "d:Description": "Review of the Jann Arden CD.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://www.angelfire.com/biz/jannarden/"} +{"d:Title": "Living Under June", "d:Description": "Review of the Jann Arden CD from Consumable Online.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://www.westnet.com/consumable/1995/April12.1995/revjann.html"} +{"d:Title": "Jann Arden", "d:Description": "Official site offers a biography, discography, news, journal and a fan forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://www.jannarden.com/"} +{"d:Title": "ArtistDirect: Jann Arden", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,398264,00.html"} +{"d:Title": "All Music Guide: Jann Arden", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://www.allmusic.com/artist/jann-arden-p37101"} +{"d:Title": "Jann Arden", "d:Description": "Guitar chords for Insensitive and Could I Be Your Girl.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://www.ultimate-guitar.com/tabs/jann_arden_tabs.htm"} +{"d:Title": "MTV: Jann Arden", "d:Description": "Biography, discography, reviews, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://www.mtv.com/artists/jann-arden/"} +{"d:Title": "Jann Arden", "d:Description": "Biography and discography from the Canadian Music Encyclopedia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/A/Arden_Jann.html"} +{"d:Title": "Jam! Music: Jann Arden", "d:Description": "Articles, CD and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arden,_Jann", "url": "http://jam.canoe.com/Music/Artists/A/Arden_Jann/"} +{"d:Title": "Ardisson", "d:Description": "Music downloads and live listings for experimental techno producer Charles Matthews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ardisson", "url": "http://ardisson.net/"} +{"d:Title": "MMM Tina Arena Website", "d:Description": "Fan site with news, biography, discography, lyrics, song clips, videos, pictures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arena,_Tina", "url": "http://members.tripod.com/~mmmmusic/tinaarena.htm"} +{"d:Title": "ArtistDirect: Tina Arena", "d:Description": "Includes a biography, links, a message board, and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arena,_Tina", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,398300,00.html"} +{"d:Title": "AskMen.com: Tina Arena", "d:Description": "Includes background information, pictures, ratings, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arena,_Tina", "url": "http://www.askmen.com/celebs/women/singer/45_tina_arena.html"} +{"d:Title": "All Music Guide: Tina Arena", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arena,_Tina", "url": "http://www.allmusic.com/artist/tina-arena-mn0000597585"} +{"d:Title": "Arid Mailing List", "d:Description": "For Arid fans to discuss the music, the band, and the shows as well as trading recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arid", "url": "http://groups.yahoo.com/group/AridCentral/"} +{"d:Title": "Arid", "d:Description": "Official site includes news, tour dates, tour archive, audio, photos, biography, lyrics, tablatures, discography, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arid", "url": "http://arid.be/"} +{"d:Title": "The Austin Chronicle: The Arm", "d:Description": "List of articles mentioning the band and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arm,_The", "url": "http://www.austinchronicle.com/gyrobase/AMDB/Profile?oid=oid:102236"} +{"d:Title": "Joan Armatrading", "d:Description": "Official site. Biography, discography, gossip, audio, lyrics, tour dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Armatrading,_Joan", "url": "http://www.joanarmatrading.com/"} +{"d:Title": "MTV: Joan Armatrading", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Armatrading,_Joan", "url": "http://www.mtv.com/artists/joan-armatrading/"} +{"d:Title": "All Music Guide: Joan Armatrading", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Armatrading,_Joan", "url": "http://www.allmusic.com/artist/joan-armatrading-mn0000133969"} +{"d:Title": "Army of Lovers Will Remain Forever", "d:Description": "An unofficial biography consisting of sixteen chapters.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Army_of_Lovers", "url": "http://users.skynet.be/bk239162/index.htm"} +{"d:Title": "This Site Has Worms", "d:Description": "Fan page with lyrics, discography, FAQ, pictures, and other unimportant information about the Arrogant Worms.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arrogant_Worms", "url": "http://www.angelfire.com/hi/ArrogantWorms/"} +{"d:Title": "Chris Patterson Fan Club", "d:Description": "Only slightly deranged.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Arrogant_Worms", "url": "http://groups.yahoo.com/group/chrispattersonfanclub/"} +{"d:Title": "Aubrie's Artificial Joy Club Page", "d:Description": "Fan page with lyrics, concert reviews, articles, and the page author's personal comments.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Artificial_Joy_Club", "url": "http://www.angelfire.com/oh/aubsajc/index.html"} +{"d:Title": "Artist Direct: Artificial Joy Club", "d:Description": "Includes biography, listening room, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Artificial_Joy_Club", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,579026,00.html"} +{"d:Title": "Official Ash Home Page", "d:Description": "Features Flash 4 presentations about the recent activities of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://www.ash-official.com/"} +{"d:Title": "The Little Pond", "d:Description": "Forum and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://www.esatclear.ie/~ash/index.htm"} +{"d:Title": "My Big Ash Page", "d:Description": "An Ash site, with mainly tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://ashtabs.tripod.com/"} +{"d:Title": "The Ash House", "d:Description": "Includes a discography, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://www.angelfire.com/band/ashhouse/index.html"} +{"d:Title": "The University of Ash", "d:Description": "Includes news, pictures, profiles, biography, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://www.angelfire.com/me4/everstarlett/main.html"} +{"d:Title": "Ash Trailer, The", "d:Description": "Includes profiles, news, tablatures, lyrics, pictures, interviews, discography, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://www.theashtrailer.1accesshost.com/"} +{"d:Title": "The Ash Experience", "d:Description": "Biography, discography, news, reviews, pictures, tour dates, tablature, lyrics, links, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://www.angelfire.com/rock3/ash/Entrance.htm"} +{"d:Title": "Cool Noise: Ash", "d:Description": "A live review with pictures of Ash live at Manchester, England.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ash", "url": "http://www.coolnoise.co.uk/ash.htm"} +{"d:Title": "Ashanti", "d:Description": "Official site with image galleries, sound recordings, biography and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashanti", "url": "http://www.ashantithisisme.com/"} +{"d:Title": "AskMen.com: Ashanti", "d:Description": "Pictures, biography, commentary and links on the talented singer", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashanti", "url": "http://www.askmen.com/celebs/women/singer_100/126_ashanti.html"} +{"d:Title": "All Music Guide: Ashanti", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashanti", "url": "http://www.allmusic.com/artist/ashanti-mn0000933898"} +{"d:Title": "MTV.com: Ashanti", "d:Description": "Offers news, pictures, multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashanti", "url": "http://www.mtv.com/artists/ashanti/"} +{"d:Title": "Music-Critic.com: Ashanti - Chapter II", "d:Description": "John Reed's review: \"After delivering a debut that was less than satisfying last year, [she] has finally scored with her sophomoric solo endeavor\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashanti/Reviews", "url": "http://www.music-critic.com/urban/ashanti_chapterii.htm"} +{"d:Title": "Music-Critic.com: Ashanti - Ashanti", "d:Description": "Tom Reiter's review: \"includes some memorable moments, but after listening straight through the album doesn't leave a lasting impression\"", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashanti/Reviews", "url": "http://www.music-critic.com/urban/ashanti_ashanti.htm"} +{"d:Title": "Cast No Shadow", "d:Description": "Fan site includes audio samples, gig and album reviews, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashcroft,_Richard", "url": "http://hem.fyristorg.com/endymion/ashcroft/"} +{"d:Title": "Richard Ashcroft Online", "d:Description": "Fan site with forum, news, biography, discography, gig list, lyrics, press, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashcroft,_Richard", "url": "http://richardashcroftonline.com/"} +{"d:Title": "All Music Guide: Richard Ashcroft", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashcroft,_Richard", "url": "http://www.allmusic.com/artist/richard-ashcroft-p52705"} +{"d:Title": "Ashra", "d:Description": "Official website of German rock band Ashra, as well as Ash Ra Tempel and Manuel G\u00f6ttsching. Includes biography, discography, photos, interviews,", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashra", "url": "http://www.ashra.com/"} +{"d:Title": "Tribute to Ashra", "d:Description": "Fan site containing news, concert and release information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashra", "url": "http://www.tribute-to-ashra.de/"} +{"d:Title": "Tony Ashton", "d:Description": "Information on this organist/vocalist who played with Family and Jon Lord and Ian Paice of Deep Purple, among others. Biography, discography, videography, news, photos, articles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ashton,_Tony", "url": "http://www.waliditayim.com/ashton.html"} +{"d:Title": "Asia", "d:Description": "Discography and band member information.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Asia", "url": "http://www.cs.uit.no/Music/ViewGrp?grp_id=646"} +{"d:Title": "The Official Asia Reunion Web Site", "d:Description": "The official web site for the reunion of the original lineup of Asia featuring Geoff Downes, Carl Palmer and John Wetton.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Asia", "url": "http://www.originalasia.com/"} +{"d:Title": "DaveMcNally.com: Asia", "d:Description": "Archive of lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Asia", "url": "http://www.davemcnally.com/Lyrics/Asia/"} +{"d:Title": "Asian Dub Foundation", "d:Description": "The official Asian Dub Foundation website.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Asian_Dub_Foundation", "url": "http://www.asiandubfoundation.com/"} +{"d:Title": "Culture Move: On Asian Dub Foundation", "d:Description": "Article on ADF by Australian academic John Hutnyk, from Ghadar magazine", "topic": "Top/Arts/Music/Bands_and_Artists/A/Asian_Dub_Foundation", "url": "http://www.proxsa.org/resources/ghadar/v4n1/hutnyk.htm"} +{"d:Title": "Official Home of The Association", "d:Description": "Features a discography and tour dates for the reunited band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Association,_The", "url": "http://www.theassociationwebsite.com/"} +{"d:Title": "Rick Astley", "d:Description": "Official site features biography, discography, news and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Astley,_Rick", "url": "http://www.rickastley.co.uk/"} +{"d:Title": "Rick Astley Headquarters", "d:Description": "Offers a photo gallery, facts, news and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Astley,_Rick", "url": "http://members.tripod.com/~cjc17/index-4.html"} +{"d:Title": "All Music Guide: Rick Astley", "d:Description": "Includes profile, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Astley,_Rick", "url": "http://www.allmusic.com/artist/rick-astley-mn0000354722"} +{"d:Title": "MetroActive: Asylum Street Spankers", "d:Description": "Article by Richard von Busack, with photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Asylum_Street_Spankers", "url": "http://www.metroactive.com/papers/metro/03.30.00/asylumstreet-0013.html"} +{"d:Title": "All Things Considered: The Asylum Street Spankers, Sounding Off", "d:Description": "Key players Christina Marrs and Wammo tell Jacki Lyden about their postmodern jug band. Audio of the radio story, plus additional sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Asylum_Street_Spankers", "url": "http://www.npr.org/templates/story/story.php?storyId=4777190"} +{"d:Title": "Look Forward to Failure", "d:Description": "An Ataris fan site with facts, pictures, message board, sound clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ataris,_The", "url": "http://www.angelfire.com/punk/TheAtaris/index.html"} +{"d:Title": "Ryan's Ataris Site", "d:Description": "Profiles, images, tour dates, CDs, lyrics, poll and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ataris,_The", "url": "http://www.angelfire.com/ri/ataris/"} +{"d:Title": "The Ataris Forever", "d:Description": "Biography, discography, pictures, tour dates, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ataris,_The", "url": "http://theatarisforever.tripod.com/"} +{"d:Title": "ATB", "d:Description": "Official site for the German artist. Features news, a biography, discography and a picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ATB", "url": "http://www.atb-music.com/"} +{"d:Title": "ATB Online", "d:Description": "Unofficial site. Biography, discography, image gallery and multimedia section.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ATB", "url": "http://www.angelfire.com/ab6/atbonline/"} +{"d:Title": "yuku: ATB", "d:Description": "An online discussion forum devoted to ATB.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ATB", "url": "http://europop.yuku.com/forum/view/id/4"} +{"d:Title": "Yahoo Groups: ATC", "d:Description": "A mailing list for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ATC", "url": "http://groups.yahoo.com/group/atc-real-mccoy/"} +{"d:Title": "ATC In America", "d:Description": "A mailing list/Yahoo group.", "topic": "Top/Arts/Music/Bands_and_Artists/A/ATC", "url": "http://groups.yahoo.com/group/atc-inamerica/"} +{"d:Title": "Atlanta Rhythm Section", "d:Description": "Official site. Tour information, photos, discography and mailing lists included.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atlanta_Rhythm_Section", "url": "http://www.atlantarhythmsection.com/"} +{"d:Title": "Music on Photo: Atlanta Rhythm Section", "d:Description": "Photographs by Helmut Riedl, from the Wiesen concert in 1991.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atlanta_Rhythm_Section", "url": "http://www.musiconphoto.com/UD_050601_1/galleries_rock_ARS1.htm"} +{"d:Title": "Daily Vault: Atlanta Rhythm Section", "d:Description": "Live at the Savoy, album review by Christopher Thelen.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atlanta_Rhythm_Section", "url": "http://www.dailyvault.com/toc.php5?review=1315"} +{"d:Title": "Atomic Kitten", "d:Description": "The girl group's official site. With pictures, profiles, gossip, news, tour dates, and a TV schedule.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atomic_Kitten", "url": "http://www.atomickitten.com/"} +{"d:Title": "Atomic Kitten News: Topix", "d:Description": "News about Atomic Kitten continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atomic_Kitten", "url": "http://www.topix.com/who/atomic-kitten"} +{"d:Title": "All Music Guide: Atomic Kitten", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atomic_Kitten", "url": "http://www.allmusic.com/artist/atomic-kitten-p398542"} +{"d:Title": "AskMen.com - Atomic Kitten", "d:Description": "Biography and commentary on the group.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atomic_Kitten", "url": "http://www.askmen.com/celebs/women/singer_100/128_atomic_kitten.html"} +{"d:Title": "Atomic Rooster", "d:Description": "History, rare photos and interviews, personal stories, and humour for Vincent Crane's band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atomic_Rooster", "url": "http://website.lineone.net/~jean.cheesman/"} +{"d:Title": "Atom and His Package", "d:Description": "The official web site, authored by Atom himself. Features a collection of letters and criticism he has received, free mp3s, archive of misheard lyrics, pictures, links, tour dates, and ordering information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atom_and_his_Package", "url": "http://www.atomandhispackage.com/"} +{"d:Title": "Ink 19: Atom and His Package", "d:Description": "Christopher R. Weingarten talks with Atom about his rocky relationship with his music community, his solid relationship with his family, and life in general.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atom_and_his_Package", "url": "http://www.ink19.com/issues/january2002/interviews/atomHisPackage.html"} +{"d:Title": "Atom&His Package: Gilman 11/5/99", "d:Description": "A collection of photographs showing Atom perform live.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atom_and_his_Package", "url": "http://www.diehippiedie.com/gallery/atom/ulthm.htm"} +{"d:Title": "Atrocity", "d:Description": "Official site offers a biography, tour dates, discography, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atrocity", "url": "http://www.atrocity.de/"} +{"d:Title": "Rockmagic.net: Atrocity", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atrocity", "url": "http://lyrics.rockmagic.net/lyrics/atrocity/"} +{"d:Title": "Winifred Atwell", "d:Description": "Brief biography, and discography of her 45 rpm singles.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atwell,_Winifred", "url": "http://www.45-rpm.org.uk/dirw/winifreda.htm"} +{"d:Title": "Winifred Atwell", "d:Description": "Brief biography from Women in Jazz Swansea, including a photo of one of her sheet music books.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Atwell,_Winifred", "url": "http://www.jazzheritagewales.smu.ac.uk/history/atwell.asp"} +{"d:Title": "Audioslave Fan Forum, The", "d:Description": "Unofficial forum with news, pictures, tabs, lyrics, discography, FAQ, gallery, reviews, articles, links, archive, surveys, shoutbox and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Audioslave", "url": "http://www.audioslaved.com/"} +{"d:Title": "Slave To Audioslave", "d:Description": "Fan Site with pictures, lyrics, multimedia, tour dates, discography and links to other fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Audioslave", "url": "http://audioslaveky.tripod.com/"} +{"d:Title": "The Audioslave News Portal", "d:Description": "Interactive Audioslave weblog, with up to date news,tour dates, and other info on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Audioslave", "url": "http://www.theanp.blogspot.com/"} +{"d:Title": "Audiovent - The Ultimate Fansite", "d:Description": "Includes band info, pictures, articles, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Audiovent", "url": "http://www.angelfire.com/band2/audiovent/"} +{"d:Title": "MTV: Audioweb", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Audioweb", "url": "http://www.mtv.com/artists/audioweb/"} +{"d:Title": "Austin Lounge Lizards Official Home Page", "d:Description": "The most laughable band in show business.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Austin_Lounge_Lizards", "url": "http://www.austinlizards.com/"} +{"d:Title": "Wolf's Kompakt Diskographie: Autechre", "d:Description": "Complete discography with track titles, cover art, and compilation appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Autechre", "url": "http://www.kompaktkiste.de/autechre.htm"} +{"d:Title": "Autechre FAQ", "d:Description": "Frequently asked questions assembled from alt.music.autechre and other sources. Autechre also reviewed and corrected this version.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Autechre", "url": "http://lonelymachines.org/the-autechre-faq-v-199/"} +{"d:Title": "Autechre Visual Discography", "d:Description": "Includes all Warp and Skam releases plus remixes and compilations.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Autechre", "url": "http://perso.numericable.fr/autechre"} +{"d:Title": "Warp Records: Autechre", "d:Description": "Official site including latest news, release information, a forum, and further links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/A/Autechre", "url": "http://warp.net/records/autechre"} +{"d:Title": "MTV: Autechre", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Autechre", "url": "http://www.mtv.com/artists/autechre/"} +{"d:Title": "Unofficial Autopilot Off Site", "d:Description": "Contains interviews, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Autopilot_Off", "url": "http://www.angelfire.com/punk3/apo/home"} +{"d:Title": "Avalanches, The", "d:Description": "Unofficial site. Features include band biography, pictures, audio, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Avalanches,_The", "url": "http://www.theavalanches.com/"} +{"d:Title": "Kevin Ayers", "d:Description": "Home of the WAWS fanzine. News, lyrics, MP3 clips, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ayers,_Kevin", "url": "http://www.users.globalnet.co.uk/~marwak/"} +{"d:Title": "All Music Guide: Kevin Ayers", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Ayers,_Kevin", "url": "http://www.allmusic.com/artist/kevin-ayers-mn0000080118"} +{"d:Title": "Pedro Aznar Online", "d:Description": "The official site of the Argentine musician, in English and Spanish. Bio, discography with lyrics and song clips, photos, scores, poetry, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Aznar,_Pedro", "url": "http://www.pedroaznar.com.ar/"} +{"d:Title": "Azure Ray", "d:Description": "Provides information about the band, discography, interviews, reviews, tour dates, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Azure_Ray", "url": "http://www.azureraymusic.com/"} +{"d:Title": "Azure Ray", "d:Description": "Lyrics, photographs and brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Azure_Ray", "url": "http://www.alwaysontherun.net/azure.htm"} +{"d:Title": "YouTube - Cake", "d:Description": "Music video.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Azure_Ray", "url": "http://youtube.com/watch?v=1ppmAxcWWHs"} +{"d:Title": "MTV - Azure Ray", "d:Description": "Music videos, articles, downloads, and ringtones.", "topic": "Top/Arts/Music/Bands_and_Artists/A/Azure_Ray", "url": "http://www.mtv.com/artists/azure-ray/"} +{"d:Title": "Bense, German", "d:Description": "Bossa Nova and jazz artist. Biography, MP3s, tablatures and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bensebossanova.com/"} +{"d:Title": "Budowitz", "d:Description": "Klezmer music at its most traditional, on original instruments, played by Jewish musicians from Eastern Europe.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.budowitz.com/"} +{"d:Title": "Bott, Oli", "d:Description": "Vibraphonist and composer from Berlin. Biography, performance schedule, and RealAudio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.olibott.com/"} +{"d:Title": "Big City Orchestra", "d:Description": "Experimental noise musician's site with performance dates and a link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ubuibi.org/"} +{"d:Title": "Bergeron, Debbie", "d:Description": "Vancouver, Canada-based country music singer/writer.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://mypage.uniserve.ca/~musicdb/dBProductions/"} +{"d:Title": "Brown, Natalie", "d:Description": "Includes biography, pictures, message board, contests, lyrics and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.natalie-brown.com/"} +{"d:Title": "Branche, Stacye", "d:Description": "Alternative soul artist. Biography, image gallery, audio clips, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.stacyebranche.com/"} +{"d:Title": "Black, Mary", "d:Description": "Official site for the Irish singer. Includes news, performance schedule, discography, articles, image gallery, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.mary-black.net/"} +{"d:Title": "Birdsongs of the Mesozoic", "d:Description": "News, history, performances, recordings, photo gallery, and information about the legendary instrumental modern music ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.birdsongsofthemesozoic.org/"} +{"d:Title": "Bathtub Shitter", "d:Description": "Japanese band featuring news, biography, tour dates, discography, merchandise, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bathtubshitter.com/"} +{"d:Title": "Butterflies of Love, The", "d:Description": "Pop band from New Haven, Connecticut. News, tour dates, contact information and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://imezok.tripod.com/"} +{"d:Title": "Big Sleep, The", "d:Description": "Band from New York playing in the Tri-state area. Includes music samples and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thebigsleep.net/"} +{"d:Title": "Bullets, The", "d:Description": "Rockabilly Surf band from Thessaloniki, Greece. News, history, photos, MP3s, and links. [English and Greek]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://clubs.pathfinder.gr/the_bullets"} +{"d:Title": "Birmingham 6", "d:Description": "Official website for the Danish industrial band. News, biography, discography, pictures, and an MPEG video.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.birmingham6.com/"} +{"d:Title": "Brothers of the Baladi", "d:Description": "Middle Eastern music by an Oregon-based band. Site lists music for sale and includes a tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.baladi.com/"} +{"d:Title": "Broggs, Peter", "d:Description": "Jamaican reggae musician. News, tour schedule, biography, discography, lyrics, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.peterbroggs.com/"} +{"d:Title": "Brobdingnagian Bards", "d:Description": "Celtic Renaissance group from Austin, Texas playing the autoharp, recorder and mandolin. The Austin Chronicle calls them 'a kind of Renaissance version of They Might be Giants.'", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://thebards.net/"} +{"d:Title": "Buddy Wasisname and the Other Fellers", "d:Description": "\"Who can make you laugh so hard that tears start coming? Buddy Wasisname, that's who.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.buddywasisname.com/"} +{"d:Title": "Brooks, Lonnie", "d:Description": "Blues artist. Includes information, tour dates, a weekly chat with the artist and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.lonniebrooks.com/"} +{"d:Title": "Built For Comfort", "d:Description": "Denver blues band. Biography, photos, show dates, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.du.edu/~chmorley/bfc.html"} +{"d:Title": "Big City Band", "d:Description": "Provides rhythm and blues and blues music entertainment in South Florida. Performing at club, corporate, festival and concert venues they rock every event with style and great stage presence.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bigcityband.com/"} +{"d:Title": "Big Blue, The", "d:Description": "Blues three-piece. Includes MP3, gig list, information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.the-big-blue.com/"} +{"d:Title": "Blackbirds, The", "d:Description": "Rootsrockers from Belgium. Includes biography, member profiles, and discography with sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://home.scarlet.be/rudolphnevi/bbwebsite/index.htm"} +{"d:Title": "Berwick, Pete", "d:Description": "Cowpunk innovator and respected songwriter from Nashville, Tennessee. Pictures, music, discography, albums, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.peteberwick.com/"} +{"d:Title": "Bhattacharya, Debashish", "d:Description": "Biography and tour dates for the Indian slide guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.philmultic.com/artists/debashish.html"} +{"d:Title": "Blowpipes, The", "d:Description": "Independent and original improvising and composing trombone trio performing jazz, classical, pop and world music, plus poetry.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.trombonepoetry.com/"} +{"d:Title": "Barr, Rico and The Jump'n'Jive Review", "d:Description": "News, biographies, sound files, and calendar for the six piece swing and jump blues band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ricobarr.com/"} +{"d:Title": "Baker, Denise", "d:Description": "Official site of the jazz vocalist includes lyrics, MP3 downloads, upcoming events and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.denisebakergroup.com/"} +{"d:Title": "Blenner, Serge", "d:Description": "Official site of Serge Blenner, composer of electronic contemporary music. Includes RealAudio selections, images, biography, discography, photos, reviews. [English/Fran\u00e7ais/Deutsch]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blenner.com/"} +{"d:Title": "Blame it on Jane", "d:Description": "Capitol Hill's favorite band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blameitonjane.com/"} +{"d:Title": "Bay of Pigs, The", "d:Description": "The new Latin Rock from New York. Real Audio, pictures, politics, helpful links, and musician jokes.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bayofpigs.com/"} +{"d:Title": "Batalla, Perla", "d:Description": "Official site for the singer-songwriter. Known for her work with Leonard Cohen, Perla also has a successful solo career.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.perla.com/"} +{"d:Title": "Bill Lyerly Band, The", "d:Description": "Blues/rock band. Articles, discography, audio samples, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.billlyerly.com/"} +{"d:Title": "Benton, Paul David", "d:Description": "Includes music and video samples, MP3s. Music styles include country, christian and pop.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bentonmusic.com/"} +{"d:Title": "Beauvoir, Jean", "d:Description": "Official site of the artist, producer, and song writer. With biography, discography, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jeanbeauvoir.com/"} +{"d:Title": "Bethany Curve", "d:Description": "Official site includes audio, photos, news updates, and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bethanycurve.com/"} +{"d:Title": "Beginners, The", "d:Description": "Christian pop rock band from central Pennsylvania. Official site includes sound clips and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/pa/jmgiv/"} +{"d:Title": "Brass Act", "d:Description": "Includes audio clips, as well as customer testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.brassact.com/"} +{"d:Title": "Buena, Kay", "d:Description": "Austin-based singer/songwriter. Photographs and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://kaybuena.com/"} +{"d:Title": "Beaujolais, Roger", "d:Description": "Vibraphone player. Contains news, biography, information on past projects, show schedule, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.rogerbeaujolais.com/"} +{"d:Title": "Bath", "d:Description": "Rock band. MP3s, profiles, images, discography, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/indie/bath/"} +{"d:Title": "Burrows, Tony", "d:Description": "At popentertainment.com. Interview about his career.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.popentertainment.com/burrows.htm"} +{"d:Title": "Bloodkin", "d:Description": "Homepage of the Athens, Georgia based rock-n-roll band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bloodkin.net/"} +{"d:Title": "Boylan, Terence", "d:Description": "Info on the new CD, biography, discography, news, photos, plus reviews from his early Asylum albums to the present. Also Steely Dan and Eagles links", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.terenceboylan.com/"} +{"d:Title": "Barretto, Michael", "d:Description": "Blues, rock, island music, calypso and country artist from Hawaii, and member of Taj Mahal's Hula Blues Band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.brudda.com/cd/"} +{"d:Title": "Bell, Vince", "d:Description": "\"One of the best songwriters and performers on the planet.\" Austin Chronicle. Includes live broadcasts, sound clips, press, tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.vincebell.com/"} +{"d:Title": "Bathtub Holiday", "d:Description": "Four piece band from London, Ontario, Canada. Biography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/on/bathtubholiday/"} +{"d:Title": "BelAirs", "d:Description": "A rhythm and blues trio based in Columbia.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.belairs.com/"} +{"d:Title": "Baharin, Shawn", "d:Description": "A tribute to Malaysia's \"Best New Artist 2000.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/pop/shawn/welcome.html"} +{"d:Title": "Black Madness", "d:Description": "Includes songs in the styles of rock, trance, and techno. Contains MP3s and MIDIs of all their songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/myband/blackmadness/"} +{"d:Title": "Bumblefoot", "d:Description": "Official site for the NYC rock band includes gig dates, screensavers, news, biography, MP3s, discography, reviews, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bumblefoot.com/"} +{"d:Title": "Blue Ants Won, The", "d:Description": "Political punk rock in the style of Good Ridence and Bad Religion.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/ca3/theblueantswon/"} +{"d:Title": "Brother", "d:Description": "Includes a biography, news, photos, concert dates and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.brothermusic.com/"} +{"d:Title": "Bird, Lora", "d:Description": "Performance dates, sound files, profile, and lyrics for the Canadian singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.lorabird.com/"} +{"d:Title": "Blou", "d:Description": "Acadian band from Nova Scotia, Canada. Contents in English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blou.ca/"} +{"d:Title": "Broadcast", "d:Description": "Site about the British band includes lyrics, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.moderniste.com/broadcast.html"} +{"d:Title": "Bogert, Tim", "d:Description": "The Vanilla Fudge bassist's site, with biography, discography, videography, information on his latest band, concert dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.timbogert.com/"} +{"d:Title": "Bunny Hop", "d:Description": "Ska band from MA. Site has links and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://ska1030.tripod.com/bunnyhop.html"} +{"d:Title": "Bell Sisters", "d:Description": "Official Web Site. Chronology and discography of the career of Bell Sisters, 1950s RCA recording artists. RealAudio selections and JPEG images.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bellsisters.com/index.html"} +{"d:Title": "Big Ocean Band", "d:Description": "Free form-acid-rock-jazz-inprov-slight-of-hand band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/band/bigoceanband/bobpage1.htm"} +{"d:Title": "Broguiere, Patrick", "d:Description": "The official web site of the French composer Patrick Broguiere. With the CDs: Broceliande, Icones, Mont saint-Michel, Chateaux de la Loire.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.broguiere.com/"} +{"d:Title": "Bonded Soul", "d:Description": "Official site includes biographies and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bondedsoul.8m.com/"} +{"d:Title": "Boston Brass", "d:Description": "Offers member biographies, schedule, reviews, photos and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bostonbrass.com/"} +{"d:Title": "Blood, Stacey", "d:Description": "Fresno, California based artist. Includes biography, discography, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.staceyblood.com/"} +{"d:Title": "Blue Bay", "d:Description": "Blues group in the San Francisco area.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.messaround.com/album1.html"} +{"d:Title": "Bruno, Jimmy", "d:Description": "Jazz guitarist. Official site includes biography, tour dates, sound files, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jimmybruno.com/"} +{"d:Title": "BeamTeam", "d:Description": "A new pop-sensation from Copenhagen, Denmark. They can be compared to 'N Sync and 5ive. Pictures, download music and read about the 5 members.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.beamteam.com/"} +{"d:Title": "Back Pages, The", "d:Description": "San Francisco Bay area band dedicated to keeping alive the classic songs of the 60's. Band profile, repertoire, schedule, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thebackpages.net/"} +{"d:Title": "Betty", "d:Description": "Pop rock band. Profile, show dates, sound files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.hellobetty.com/"} +{"d:Title": "BaHa Brothers, The", "d:Description": "Cape Cod's summertime party band. Song list, biography, schedule, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://personal.tmlp.com/baha95/"} +{"d:Title": "Big Lou's Polka Casserole", "d:Description": "Big Lou, the accordion princess, plays a mix of waltz and polka styles.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.accordionprincess.com/"} +{"d:Title": "Bell, Joshua", "d:Description": "Fan site for the violinist includes a biography, discography, photos, and fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/ca4/jbellissimo/"} +{"d:Title": "BZN", "d:Description": "The official site of the Dutch pop group, containing a discography, lyrics, audio clips and the true story of the band. [English/Dutch]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bzn-online.com/"} +{"d:Title": "Beach, Reb", "d:Description": "Touring dates and latest news about the popular recording artist and guitarist virtuoso.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.rebbeach.com/"} +{"d:Title": "Blue Dogs", "d:Description": "Americana-tinged contemporary rock band from Charleston, SC. History, articles, reviews, show dates, sound files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bluedogs.com/"} +{"d:Title": "Bucheit, Chris", "d:Description": "Chris Bucheit is a singer, songwriter and fingerstyle guitarist. An independent acoustic artist that blends pop, jazz and folk into memorable compositions.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.chrisbucheit.com/"} +{"d:Title": "Benevides, Emiliano", "d:Description": "Multi-percussionist from Brazil.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.emiliano.com/"} +{"d:Title": "Barnabas", "d:Description": "Web home of the great 80's Christian rock/metal band from Edmond, Oklahoma.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.leconte.com/barnabas/"} +{"d:Title": "Bell, William", "d:Description": "CD release information. Site features soundclips, biography, video and shopping information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://williambell.com/"} +{"d:Title": "Bailey, Philip", "d:Description": "Jazz, gospel, and rhythm and blues.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.philipbailey.com/"} +{"d:Title": "Batish, Ashwin", "d:Description": "Classical Indian music fused with rock, jazz, and calypso. Biography, articles, and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://batish.com/ashwin/"} +{"d:Title": "Be Good Tanyas, The", "d:Description": "Three women musicians from Vancouver, BC, playing folk/blues/country/bluegrass music. Band information and music files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.begoodtanyas.com/"} +{"d:Title": "Brady, Will", "d:Description": "Solo acoustic guitar instrumentals available on CD.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.willbrady.com/"} +{"d:Title": "Bagatello", "d:Description": "A capella group from Switzerland. History and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bagatello.ch/"} +{"d:Title": "Big Soul", "d:Description": "Official site with photos, lyrics, sound samples, profile, and concert listings.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bigsoul.com/"} +{"d:Title": "Boston Brats, The", "d:Description": "Official site includes pictures, profile, reviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bostonbrats.8m.com/"} +{"d:Title": "Blind Boys of Alabama", "d:Description": "Profile, discography, tour dates, and news for the gospel group.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.rosebudus.com/blindboys/"} +{"d:Title": "Bru Ha Ha", "d:Description": "Member profiles, sound files, and photos of the indie band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bru-ha-ha.freeservers.com/"} +{"d:Title": "Bitton, Raquel", "d:Description": "(French Singer) Biography, discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://raquelbitton.com/"} +{"d:Title": "Broadside Electric", "d:Description": "Philadelphia-based progressive folk quintet; \"Folk Music With Teeth\" since 1990.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.broadside.org/"} +{"d:Title": "Benjamin Wagner Deluxe", "d:Description": "Official site includes audio, video, photos, news, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.benjaminwagner.com/"} +{"d:Title": "Badness", "d:Description": "Includes MP3s, videos, and band information on the hard rock group.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://badnessonline.tripod.com/"} +{"d:Title": "Bleu Azul", "d:Description": "History and news for the indie pop band from New York.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/az2/bleuazul/"} +{"d:Title": "Borland, Adrian", "d:Description": "Biography, discography, lyrics, sound files, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://brittleheaven.com/"} +{"d:Title": "Beat Machine, The", "d:Description": "Electronic music group from western Massachusetts.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://thebeatmachine.tripod.com/index.html"} +{"d:Title": "Becoming", "d:Description": "Official website for this band from the San Francisco Bay area. Download free mp3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.becoming.cc/"} +{"d:Title": "Bottle Up and Go", "d:Description": "A Dutch Skiffle Music Band playing American Music.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/folk/country/"} +{"d:Title": "Bitesize", "d:Description": "The very official homepage of the indie pop band from the San Francisco Bay Area.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bitesize.net/"} +{"d:Title": "Barrett, Matt", "d:Description": "Greek-American singer-songwriter who has been pop-folk-rock for over 20 years on both sides of the Atlantic.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.mattbarrett.net/music"} +{"d:Title": "Bootleg", "d:Description": "Blues-rock band from Boston. Contains pictures, information and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bootleg.20m.com/"} +{"d:Title": "Buk, Askold", "d:Description": "Guitarist/composer playing groove-oriented instrumental rhythm and blues and jazz. Includes CD-ROM and band information, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.askoldbuk.com/"} +{"d:Title": "Boice, Lee", "d:Description": "New York City based guitarist/composer. Background information, and a link to MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.leeboice.com/"} +{"d:Title": "Back Porch Mary", "d:Description": "Rock and Roll band from Austin, Texas. Photos, tour dates, equipment information, and events.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.backporchmary.com/"} +{"d:Title": "Bait-Oven", "d:Description": "Band from Metuchen, New Jersey. Band history, album information, lyrics, song clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.baitoven.com/"} +{"d:Title": "Basinet, Cynthia", "d:Description": "Actress/singer. Biography, MP3s, reviews, interviews, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.cynthiabasinet.com/"} +{"d:Title": "Bryski, Larisa", "d:Description": "Photos, sounds, and news of the artist whose style ranges from folk to hard-edged rock.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.larisabryski.com/"} +{"d:Title": "Bandits at Zero", "d:Description": "Punk-ska band from Holland. Biography, pictures, lyrics, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://banditsatzero.tripod.com/"} +{"d:Title": "Book Harrison", "d:Description": "From the UK. Includes information, audio, show dates and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bookharrison.co.uk/"} +{"d:Title": "Burzum", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/burzum/"} +{"d:Title": "Bolt-Thrower", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/bolt_thrower/"} +{"d:Title": "Bucket Boys", "d:Description": "TexMex rock and roll. Contains profile, live dates, photographs and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bucket-boys.com/"} +{"d:Title": "Bjornoos, Robert and The Suspects", "d:Description": "Rock band. Contains schedule, reviews, biographies, audio samples, lyrics, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thesuspects.net/"} +{"d:Title": "Bellamy, Chris", "d:Description": "Official site includes biography, calendar, reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.chrisbellamy.com/"} +{"d:Title": "Burnside Project", "d:Description": "Official site of the band contains details of releases, live dates, newsletters, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.burnsidemusic.com/"} +{"d:Title": "Buzzie", "d:Description": "Roots pop band from Austin, Texas, USA. Includes sound clips, show schedule, photos, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.buzzie.com/"} +{"d:Title": "Borderline", "d:Description": "Tex-Mex band from the Netherlands. Includes a profile, photos and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://borderline.8k.com/"} +{"d:Title": "Broken Glass", "d:Description": "News and biographies on the Rhode Island based punk rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/ri/brokenglass/index.html"} +{"d:Title": "Bramlett, Delaney", "d:Description": "Rock and blue-eyed soul singer from the 60s and 70s is now releasing new material. Includes biography, music samples and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://delaneybramlett.com/"} +{"d:Title": "Braid, David", "d:Description": "A young Canadian jazz pianist. Biography, a discography and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.davidbraid.com/"} +{"d:Title": "Beer, Phil", "d:Description": "UK-based multi instrumentalist, fiddle player and songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.philbeer.co.uk/"} +{"d:Title": "Botielus", "d:Description": "Original synthesizer instrumentals on MP3, CD, and cassette. If you like Mike Oldfield, Vangelis, Yanni, or Jean Michel Jarre, you will like Botielus.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://botielus.com/"} +{"d:Title": "Bispo, Jose", "d:Description": "Brazilian music singer. Profile and real audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://brazilianmusic.com/jamelao/"} +{"d:Title": "BDC productionz", "d:Description": "Washington D.C. based band. Contains history, profiles, and upcoming events.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bdcproductionz.tripod.com/"} +{"d:Title": "Bethlehem", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/bethlehem/"} +{"d:Title": "Behemoth", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/behemoth/"} +{"d:Title": "Brujeria", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/brujeria/"} +{"d:Title": "Big, Big Furnace", "d:Description": "Wisconsin, Minnesota-based indie/rock/pop band. Band information, MP3s, photos, reviews, comics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bigbigfurnace.iwarp.com/"} +{"d:Title": "Beat Angels", "d:Description": "Five piece rock band. Band information, show dates, lyrics, WAV files and images.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.lastbandit.com/beatangels/"} +{"d:Title": "Bathory", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/bathory/"} +{"d:Title": "Bal Sagoth", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/bal_sagoth/"} +{"d:Title": "Borknagar", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/borknagar/"} +{"d:Title": "Bolton, Orrin", "d:Description": "Older brother of pop star Michael Bolton. Includes a biography, photos, audio samples and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.rocknhood.com/"} +{"d:Title": "Berkofsky, Martin", "d:Description": "Through benefit concerts and compact discs, the Cristofori Foundation supports charities and awards Master Class scholarships to pianists.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://cristoforifund.tripod.com/"} +{"d:Title": "Butler, Henry", "d:Description": "New Orleans musician, pianist and vocalist. Includes a biography, news, reviews and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.henrybutler.com/"} +{"d:Title": "Baldwin, Bob", "d:Description": "Jazz artist. Includes CD information, news, schedule, photo gallery, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bobbaldwin.com/"} +{"d:Title": "Bandele, Lasana", "d:Description": "Includes songs, music, and poetry of the jamaican reggae artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.lasanabandele.com/"} +{"d:Title": "Boccigalupe", "d:Description": "Rockin' Rhythm and Blues. Features band information, photo gallery, tour dates, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.boccigalupe.com/"} +{"d:Title": "Bazilian, Eric", "d:Description": "Former Hooters member's official site. Includes a biography, news and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ericbazilian.com/"} +{"d:Title": "Blusion", "d:Description": "Original music fusing jazz, funk, hip-hop, Latin salsa, blues and rock. Samples cuts from debut CD 'Fuse It Or Lose It'.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blusion.com/"} +{"d:Title": "Blue Moon Harem", "d:Description": "Includes information, photos, gigs, band biography, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bluemoonharem.com/"} +{"d:Title": "Blue Fusion", "d:Description": "Includes schedule, photos, video, CD, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/myband/bluefusion/"} +{"d:Title": "Bhatt, Krishna", "d:Description": "Information about sitarist Krishna Bhatt and Indian Classical Music.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.krishnabhatt.com/"} +{"d:Title": "Breachm, Joyce", "d:Description": "Cabaret/jazz singer and recording artist from New York. Includes information, show dates, reviews and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.joycebreach.com/"} +{"d:Title": "Back to Earth", "d:Description": "Includes biography, discography, news and press.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.backtoearth.ch/"} +{"d:Title": "Big Meat Hammer", "d:Description": "BMH play aggressive 70's-80's style punk music. Includes gallery and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bigmeathammer.com/"} +{"d:Title": "Blistered", "d:Description": "Includes Mp3 downloads, photos, history, and gig guide.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blistered.de/"} +{"d:Title": "Brooks, Wayne Baker", "d:Description": "Chicago Blues Guitarist. Includes biography, schedule, press releases, gallery, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.waynebakerbrooks.com/"} +{"d:Title": "Barfly", "d:Description": "Modern bar rock trio from Minneapolis, Minnesota.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.barfly.net/"} +{"d:Title": "Bradford, Frank", "d:Description": "Tour schedule, updates on current recording projects and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.frankbradfordmusic.com/"} +{"d:Title": "Baines, Eric", "d:Description": "Includes concert information, news and information about his new CD \"Different Kinds Of People\".", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ericbaines.com/"} +{"d:Title": "Borstlap, Michiel", "d:Description": "Dutch jazz piano player. Includes biography, discography, tour information, news, and live music.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.michielborstlap.com/"} +{"d:Title": "Bhosle, Asha", "d:Description": "Indian singer. Biography, articles, interview, and picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://asha-bhosle.tripod.com/"} +{"d:Title": "Borgia Popes", "d:Description": "Band profile and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.popes.com/"} +{"d:Title": "Baudoin, Brian", "d:Description": "Lafayette, Louisiana singer. Show dates, biography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://briansshow.tripod.com/"} +{"d:Title": "Beast, Jen", "d:Description": "Contains lyrics, audio and video clips, pictures, art gallery, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jenbeast.com/"} +{"d:Title": "Blueground Undergrass", "d:Description": "Atlanta bluegrass band. News, biography, press, audio, video, photos, wallpaper, reviews, mailing list, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bluegroundundergrass.com/"} +{"d:Title": "Big Throated Chimney", "d:Description": "Member profiles, pictures and fan comments.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bigthroatedchimney.8k.com/"} +{"d:Title": "Balloonknots", "d:Description": "NY-area hard rock band. Includes upcoming shows, news and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/rock2/balloonknots/"} +{"d:Title": "Blind Divine", "d:Description": "Ambient/triphop band influenced by Portishead and Sneaker Pimps. With videos, general and release information, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blinddivine.com/"} +{"d:Title": "Ballurio", "d:Description": "Instrumental rock guitarist. Offers news, a biography, links and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ballurio.com/"} +{"d:Title": "Beginnings End", "d:Description": "New York punk band. Pictures, lyrics, music, polls, games, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/myband2/BeginningsEnd/"} +{"d:Title": "Barry, Mike", "d:Description": "Blues/rockabilly artist from New York City. Offers a biography, press clippings and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.singersong.com/mikebarry.htm"} +{"d:Title": "Botham, Leeann", "d:Description": "Australian singer/songwriter. Biography, show dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/indie/leeann/index.html"} +{"d:Title": "Bryan, Jon", "d:Description": "San Antonio singer/songwriter. Pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/on3/jonbryan/"} +{"d:Title": "Brado Creamed Corn", "d:Description": "Niagara Falls, Ontario based ambient, electronic artist. Biography, links, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/ma/bradocreamedcorn/"} +{"d:Title": "Beach, Ross", "d:Description": "Former member of the bands Neutral Milk Hotel and the Gerbils. Includes information on his current and past recording/performing projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.rossbeach.com/"} +{"d:Title": "Botts, Mike", "d:Description": "Member of the 70's soft rock band Bread. Includes an interviews, photos and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.mikebotts.com/"} +{"d:Title": "Backout", "d:Description": "Offers a band history, member profiles, photos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/music2/Backout/"} +{"d:Title": "Beccon", "d:Description": "Playing music of the Celtic and American traditions in the Portland, Oregon area. Includes audio samples and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.beccon.com/"} +{"d:Title": "Brett, Kevin", "d:Description": "Singer/songwriter. News and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://pitm.tripod.com/"} +{"d:Title": "Bigmuck", "d:Description": "Minneapolis indie rock band. News, biography, photos, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bigmuck.com/"} +{"d:Title": "Blame it on the Dog", "d:Description": "Modern folk/rock band. Includes gig dates, photos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.home.earthlink.net/~biotdog/"} +{"d:Title": "'Berts, The", "d:Description": "Reclusive pop/fusion duo from Hawaii. Includes band news, lyrics, audio clips, photograph gallery, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/pop/theberts/"} +{"d:Title": "Buel, Brian", "d:Description": "Singer/songwriter and guitarist. Includes MP3s, lyrics, tour details, and information about the State of Change album.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.brianbuel.com/"} +{"d:Title": "Broughton, David R.", "d:Description": "Electronic new age classical music from Australia. Biography, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://cust.idl.net.au/drb/"} +{"d:Title": "Brendan Joseph Jonesing", "d:Description": "Official site of the lo-fi indie rock group from Buffalo, New York, US. Includes biography, photographs, and MP3 audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jonesing.20m.com/"} +{"d:Title": "Brief Streak", "d:Description": "Hard rock band from Forest Lake, Minnesota, US. Includes news, pictures, audio, biographies, lyrics, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/band/BriefStreak/"} +{"d:Title": "Breakfast With Amy", "d:Description": "Five piece band from California that formed in the early 1990s. Includes profiles and photographs of members, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://breakfastwithamy.tripod.com/"} +{"d:Title": "Black, Frances", "d:Description": "Celtic singer. Searchable music database, concert lists, library, galleries, music, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.frances-black.net/"} +{"d:Title": "Baker, Beverly", "d:Description": "Country singer. News, concert schedule, video and audio clips, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.beverlybaker.com/"} +{"d:Title": "Breakdown", "d:Description": "Columbus, Ohio alt rock/emo band. History, lyrics, shows, pictures, guestbook, polls, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/rock/breakdown/"} +{"d:Title": "Barker, Sally", "d:Description": "UK blues, jazz, and country singer. Biography, discography, live dates, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.sallybarker.co.uk/"} +{"d:Title": "Bonamassa, Joe", "d:Description": "Blues singer. News, biography, tour dates, photos, audio, video, road diary, lyrics, tablature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jbonamassa.com/"} +{"d:Title": "Bearsuit", "d:Description": "Norwich, UK band. MP3s, photos, gigs, and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bearsuit.co.uk/"} +{"d:Title": "Brett, Paul", "d:Description": "UK guitarist. Biography, discography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.paulbrettguitarist.co.uk/"} +{"d:Title": "Body Count", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/body_count/"} +{"d:Title": "Bettie Serveert", "d:Description": "Dutch band. News, biography, discography, tour dates, lyrics, audio, video, press, links, and store.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bettieserveert.com/"} +{"d:Title": "Bethzaida", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lyrics.rockmagic.net/lyrics/bethzaida/"} +{"d:Title": "Byron, D.L.", "d:Description": "Includes biography, reviews, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.zenarcherrecords.com/"} +{"d:Title": "Brand, Oscar", "d:Description": "Songwriter, guitarist, discography, concert listing, sound and MP3 files for download.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.oscarbrand.com/"} +{"d:Title": "Brink, The", "d:Description": "Midwest rock and roll band, offering free downloads of their music.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://brink41.tripod.com/"} +{"d:Title": "Burns, Brent", "d:Description": "Biography, MP3 downloads, performance schedule, news and online ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.brentburns.com/"} +{"d:Title": "Burrows, Beth", "d:Description": "Includes biography, audio samples, photos and contact information. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bethb.com/"} +{"d:Title": "Beulah", "d:Description": "Includes news, discography, tour information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.beulahmania.com/"} +{"d:Title": "Breiding, Tom", "d:Description": "Pittsburgh singer and songwriter who performs his ballads at local clubs. Includes news, biography, audio clips and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.tombreiding.com/"} +{"d:Title": "Brown, Joe", "d:Description": "UK rock and roll guitarist. Includes biography, tour information, merchandise and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.joebrown.co.uk/"} +{"d:Title": "Burning Rain", "d:Description": "Includes news, appearance information, pictures and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.burningrain.net/"} +{"d:Title": "Big Fat Pet Clams From Outer Space", "d:Description": "New Jersey band. Profile, MP3s, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bigfatpetclamsfromouterspace.com/"} +{"d:Title": "Brendel, Rolf", "d:Description": "Official site on the ex-drummer of the '80s German band, Nena. Contains news, biography, discography, image gallery, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://rolfbrendel.tripod.com/"} +{"d:Title": "Black Lurxx", "d:Description": "Official site of the Los Angeles based rock band originally from Germany. Includes news, biography, press reviews, and audio clips in WAV format.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://blacklurxx.tripod.com/"} +{"d:Title": "Bodle, Kaytee", "d:Description": "Folk guitarist, singer, and songwriter. Site contains frequently asked questions, guitar tablature, MP3 clips, press interviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://girlwithguitar.0catch.com/"} +{"d:Title": "Bracewell, Lorna", "d:Description": "Acoustic rock singer/songwriter/guitarist from Florida. Gig schedule, biography, photographs, lyrics, booking information, and a link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.lornabracewell.com/"} +{"d:Title": "Batter Dean", "d:Description": "Rock band from Seattle, Washington. Gig schedule, MP3 files, and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.batterdean.com/"} +{"d:Title": "Bishop, Steev", "d:Description": "A singer, songwriter, and guitarist from the United Kingdom. News, gig schedule, and equipment listing.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.steevbishop.com/"} +{"d:Title": "Blakey, Brandon", "d:Description": "Alternative rock musician and songwriter from Lexington, Kentucky. Biography, equipment list, MP3 files, lyrics, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/rock2/brandonblakey/"} +{"d:Title": "Boehmer, Jay", "d:Description": "Canadian jazz drummer and composer. Biography, show dates, and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jayboehmerjazz.com/"} +{"d:Title": "Bluud-Web", "d:Description": "Goth metal band from Northwestern Indiana. Gig schedule, biography, RealAudio clips, lyrics, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bluddbank.tripod.com/"} +{"d:Title": "Beat Junction Project", "d:Description": "Hip-hop and jazz band from Columbia, South Carolina. Show dates, discography, photographs, and a link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://beatjunctionproject.tripod.com/beatjunction/"} +{"d:Title": "Bleed the Inkwells", "d:Description": "An alternative rock and roll band based in Ohio. Biographies and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bleedtheinkwell.00band.com/"} +{"d:Title": "Bicycling Guitarist, The", "d:Description": "Features biography, profiles of his band, R Band, audio files, lyrics, song commentaries, history, essays and personal information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thebicyclingguitarist.net/"} +{"d:Title": "Bristow, Jackie", "d:Description": "Female singer-songwriter from New Zealand. Official site includes gig schedule, biography, press articles, photographs, and streaming RealAudio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jackiebristow.com/"} +{"d:Title": "Bloodfed", "d:Description": "Official site of the Northern California metal band. Site includes news, show dates, biography, photographs, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/darkside/bloodfed/"} +{"d:Title": "Brown, Graham", "d:Description": "An alternative country songwriter and musician based in Vancouver, Canada. Gig schedule, biography, press reviews, photographs, audio files, and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.grahambrownsongs.com/"} +{"d:Title": "Blight", "d:Description": "Punk rock band from the Netherlands. Gig schedule, biographies, photographs, and message board. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://members.home.nl/blight/"} +{"d:Title": "Baxter, Matt", "d:Description": "Blues guitarist's site with biography, discography, MP3 files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.mattbaxter.com/"} +{"d:Title": "BTWH", "d:Description": "An improvisational electric funk/jazz band from the Pittsburgh, Pennsylvania area. Gig schedule, profile, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/jazz/btwh/"} +{"d:Title": "Batson, Jon", "d:Description": "Folk and country singer/songwriter from Glendale, California. Schedule and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://jonbatson.tripod.com/"} +{"d:Title": "Burchill, Bob", "d:Description": "Folk musician and former member of the band Perth County Conspiracy does not exist. Gig schedule, biography, discography, MP3 files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bobburchill.com/"} +{"d:Title": "Blisstap", "d:Description": "Metalcore band from Chattanooga, TN.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/rock/blisstap/"} +{"d:Title": "Borofsky, Nate", "d:Description": "Get upcoming tour dates, hear sound clips and read biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.nateborofsky.com/"} +{"d:Title": "Bent", "d:Description": "Five piece Christian rapcore band from Wollongong, Australia. Pictures, discography and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ausheart.com.au/bent/"} +{"d:Title": "Bramlett, Bonnie", "d:Description": "Official site features biography, music, news, interviews, and great vintage photos of Bonnie \"and friends.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bonniebramlett.com/"} +{"d:Title": "Bolweevil", "d:Description": "A rock jam band based in Chapel Hill, North Carolina. Includes show dates, photos, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/nc/greg7896/bolweevil.html"} +{"d:Title": "Blue Audio", "d:Description": "Artist and producer creating original synthpop/dance music. Includes news, discography, audio clips, mailing list, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blueaudio.com/"} +{"d:Title": "Bevis, Steve", "d:Description": "Rock musician, singer, songwriter and producer based in Sydney, Australia. Gig schedule, biography, photographs, lyrics, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.stevebevis.com/"} +{"d:Title": "Blackfire", "d:Description": "Bristol, UK-based rock, goth and punk band. Includes gig dates, pictures and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blackfire.co.uk/"} +{"d:Title": "Barnes, Mike", "d:Description": "Funk, rhythm and blues and jazz guitarist from Austin, Texas. Audio samples, pictures and credits.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.mikebarnes.com/"} +{"d:Title": "Brown, Chuck", "d:Description": "Singer, songwriter, composer and solo pianist. Includes news, personal influences and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://chuckbrown.com/"} +{"d:Title": "Biry, Daniel", "d:Description": "History, sound files, and CD information for the French composer based in London.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://danbiry.free.fr/"} +{"d:Title": "Byrd, James", "d:Description": "UK guitarist. News, biography, discography, interviews, reviews, tablature, equipment, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.jamesbyrd.com/"} +{"d:Title": "Brown, Mary Byrd", "d:Description": "Folk-jazz fusion singer from Virginia. Biography, event dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.marybyrdbrown.com/"} +{"d:Title": "Bosson", "d:Description": "Includes pictures, biography, street team, links, tour dates, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bosson4life.tripod.com/"} +{"d:Title": "Bang on the Wall", "d:Description": "English Ceilidh band based in Leicestershire and Nottinghamshire. Profile, audio, photos, events, and online bookings.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bangonthewall.com/"} +{"d:Title": "Boulevard East", "d:Description": "New Jersey vocal trio performing American popular and Broadway standards. History, biographies, calendar, press, pictures and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/nj/EasternStandardTime/"} +{"d:Title": "Brainiac", "d:Description": "Fansite, contains pictures, articles, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/indie/brainiac/"} +{"d:Title": "Brother Project, The", "d:Description": "Show dates, news and audio clips from the Kenmore, New York band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.tbp.net/"} +{"d:Title": "bfree", "d:Description": "Electronic artist from Switzerland. Includes a timeline and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bfree.ch/"} +{"d:Title": "Blue Gum Ears", "d:Description": "Five-piece indie rock band. Includes a biography, gig dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.hielanjam.com/bge/bge.html"} +{"d:Title": "Boxers, The", "d:Description": "Progressive rock band from Eugene, Oregon. Sound files, lyrics, reviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://boxers01.tripod.com/"} +{"d:Title": "Bonney, Itadi", "d:Description": "Togo's leading musician, based in Washington, DC.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://users.erols.com/jobonney/Bonney_Itadi.htm"} +{"d:Title": "Bulldog", "d:Description": "Rock band from Trondheim, Norway. Information about the band, mp3s and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://snotpope.com/bulldog/"} +{"d:Title": "Blind Panic", "d:Description": "Edmonton, Alberta based band. Official site includes MP3s, Video, news, biographies, photos, tour dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blindpanic.net/"} +{"d:Title": "Bad Betsy", "d:Description": "Official web site for the Delaware singer/songwriter Iggy Taylor.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.badbetsy.com/"} +{"d:Title": "Beyond the Fields", "d:Description": "Official site of the Celtic folk rock band. Features biography, pictures, discography, lyrics, MP3 samples, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.beyondthefields.com/"} +{"d:Title": "Bazuzu", "d:Description": "Britpop band based in Bournemouth, UK. MP3s, gigs, information about the band and links to local bands and venues.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bazuzu.co.uk/"} +{"d:Title": "Brown, Rodney and Hotrod", "d:Description": "Blues band from the Chicago area. News, calendar, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.saxplyr.com/"} +{"d:Title": "Balancing Act, The", "d:Description": "History, discography, sound files, and images for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://gogomag.com/balancingact/"} +{"d:Title": "Buffalo", "d:Description": "Band biography, CD releases, sound and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.buffalo-nwobhm.co.uk/"} +{"d:Title": "Ballyhoo", "d:Description": "Baltimore-based band. Audio samples, show dates, news, pictures and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ballyhoorocks.com/"} +{"d:Title": "Boggs, Dock", "d:Description": "A site devoted to the life and music of this old-time singer and banjo player.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/folk/longtimecoming/dockboggs/"} +{"d:Title": "Brighton Rock", "d:Description": "Fan site for the Canadian band includes a history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.brightonrock.ca/"} +{"d:Title": "Baker, Aidan", "d:Description": "Devoted to and including samples of Aidan Baker's music and writing.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.netrover.com/~amizen/home.htm"} +{"d:Title": "Barefield, Spencer", "d:Description": "Discography for the jazz guitarist and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://spencerbarefield.com/"} +{"d:Title": "Bambi Molesters, The", "d:Description": "Croatian instrumental surf rock combo. Includes biography, discography, photo album and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thebambimolesters.com/"} +{"d:Title": "BlueStone Circle", "d:Description": "House band at Jimmy Buffett\u2019s Margaritaville at Universal Studios, Orlando. Biographies, schedules, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bluestonecircle.com/"} +{"d:Title": "Bodies Without Organs - Electronordica", "d:Description": "Dedicated to the Swedish synth-pop band with Alexander Bard.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.electronordica.com/"} +{"d:Title": "Battisti, Lucio", "d:Description": "Italian singer. Biography, discography, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://luciobattisti.50webs.com/home.html"} +{"d:Title": "Bayer, Joshua", "d:Description": "Washington DC based bassist and guitarist", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.joshuabayer.com/"} +{"d:Title": "Bloob", "d:Description": "Gothic and indie rock singer/songwriter/drummer. Site features news, biography, lyrics, MP3 files, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.chemicalemissions.co.uk/artists/bloob"} +{"d:Title": "Bottine Souriante, La", "d:Description": "Traditional roots band from Quebec. Includes biography, photographs, media quotes, discography, and fan club. [English/French]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bottinesouriante.com/"} +{"d:Title": "Baden Rube Band", "d:Description": "History, discography, photos, audio clips, photos, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://members.shaw.ca/wpgsux/"} +{"d:Title": "Bankrupt", "d:Description": "Hungarian indie/punk band. Site contains biography, show dates, photos, and audio samples. [English and Hungarian]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bankrupt.hu/"} +{"d:Title": "Blues Condition", "d:Description": "Washington DC area band plays a mix of blues and rock. Also some original songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.stratcity.net/"} +{"d:Title": "Black Liars, The", "d:Description": "Comedic style band from England. Official site includes a gig schedule, tour diary, biographies, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.priestess.co.uk/blackliars/"} +{"d:Title": "Bak and Jack In The Box", "d:Description": "Italian model and singer, Tiziano Bak Bacarani, in real streaming player.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.soundclick.com/bands/pageartist.cfm?bandID=2846"} +{"d:Title": "Barrak, Rony", "d:Description": "Lebanese darbouka player based in England; information on his new album and live gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.rony-barrak.com/"} +{"d:Title": "Beta Foly", "d:Description": "From an African village creating \"new music\". Contains general information/background about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.atatak.com/e/music/esonstige/ebetafoly/ebetafo.html"} +{"d:Title": "Bloo", "d:Description": "A versatile groove rock band from New York City.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bloofan.com/"} +{"d:Title": "Blue Vitriol", "d:Description": "Electronica band. News, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bluevitriol.com/"} +{"d:Title": "Bobo", "d:Description": "A four piece band (1973-1977) from Louisville, Kentucky. Bobo appeared at local rock clubs and in concert appearances with 'Ted Nugent', 'Trapeze' and 'Styx.'", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://boboband.com/"} +{"d:Title": "Borisov, Slava", "d:Description": "Sound files, biography, and news for the composer of electronic music.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.slavito.com/"} +{"d:Title": "Brancato, Paula", "d:Description": "Female pop singer with a soulful sound. Includes information, MP3 and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.soundclick.com/bands/pageartist.cfm?bandID=2051"} +{"d:Title": "Brand New", "d:Description": "Punk rock band. Show schedule, biography, discography, photographs, and news. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.fightoffyourdemons.com/"} +{"d:Title": "Bribery", "d:Description": "UK band. MP3s, lyrics, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.briberytheband.co.uk/"} +{"d:Title": "Broomfiller", "d:Description": "Three piece punk-based heavy alternative band from Toronto, Ontario, Canada. Includes biography, news, reviews, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.broomfiller.com/"} +{"d:Title": "Brown, Kofy", "d:Description": "Singer from Northern California. Biography, discography, images, audio clips, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.barjazz.com/kofybrown/"} +{"d:Title": "Beckett, Peter", "d:Description": "Vocalist best known for the band Player and their hit \"Baby Come Back.\" Includes news, history, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.peterbeckett-player.com/"} +{"d:Title": "Backbone", "d:Description": "Three-piece rock band from Cambridge, Ohio, US. Includes audio/video, schedule, photographs, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.backbonerocks.com/"} +{"d:Title": "Bruno, Giora", "d:Description": "Pop vocalist formerly part of the 80s/90s girl group Expose. News and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.gioiabruno.com/"} +{"d:Title": "Bishop, Stephen", "d:Description": "Official page for the singer/songwriter with a biography, pictures, lyrics, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.stephenbishop.com/"} +{"d:Title": "Black Lips", "d:Description": "Official band site. The Black Lips are a self-described \"flower punk\" band from Atlanta, Georgia. News, tour dates and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.black-lips.com/"} +{"d:Title": "Blues Crawlers, The", "d:Description": "Seven-piece blues band from Austin, Texas. Lists current achievements, photos and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thebluescrawlers.com/"} +{"d:Title": "Bleckmann, Theo", "d:Description": "Singer/composer's site features tour dates, discography, pictures, biography, reviews and projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://theobleckmann.com/"} +{"d:Title": "Band From County Hell", "d:Description": "Celtic rock band. Pictures, album information, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bfch.co.uk/"} +{"d:Title": "Bullock, Robin", "d:Description": "Multi-instrumental Celtic/folk artist. Includes a biography, articles, reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.robinbullock.com/"} +{"d:Title": "Borup, Hasse", "d:Description": "Violinist's official site, with performance schedule, biography, resume, MP3 files, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.hasseborup.com/"} +{"d:Title": "Bobbywayne", "d:Description": "Official site contains music, biography, gallery, and guitar playing information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bobbywayne.com/"} +{"d:Title": "Book of Love", "d:Description": "Official web site for the American synth-pop band features news, photos, and information directly from band members.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bookoflovemusic.com/"} +{"d:Title": "Ballamy, Iain", "d:Description": "Biography and CD information for the jazz saxophonist.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.melt.co.za/index.php?main_page=page&id=33&chapter=0"} +{"d:Title": "Blue Oranges", "d:Description": "Punk and rock group. News, profile, pictures, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/emo/blueoranges/"} +{"d:Title": "Borgmann, Thomas", "d:Description": "Saxophonist's site features news, audio and information on projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thomasborgmann.de/"} +{"d:Title": "Bluekilla", "d:Description": "German ska band. Site includes band information, a discography, tour dates, photos, MP3 downloads and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bluekilla.de/"} +{"d:Title": "Beaver", "d:Description": "4-piece rock band. Includes a biography, news, photos and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://beavermusic.com/"} +{"d:Title": "Borthwick, Peter", "d:Description": "Official site for the British jazz singer based in London. Including news, performance dates, discography, pictures and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.peterborthwick.com/"} +{"d:Title": "Bunch, Don", "d:Description": "Musician who plays acoustic guitar and sings everything from traditional Irish tunes to alternative music. Site includes song list and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.dbunch.com/"} +{"d:Title": "Batt, Mike", "d:Description": "British songwriter and composer. Site provides a biography, project information, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.mikebatt.com/"} +{"d:Title": "Book of Kills", "d:Description": "An archive of information pertaining to the music of D.I.Y. musician Jim Shelley.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bookofkills.com/"} +{"d:Title": "Bixler, David", "d:Description": "New York-based saxophonist's site features news and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.davidbixler.com/"} +{"d:Title": "Braswell, Donald", "d:Description": "Fan site for the operatic tenor and \"America's Got Talent\" contestant features biography, events, videos, pictures and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.donaldbraswellfanclub.org/"} +{"d:Title": "Berry Brothers, The", "d:Description": "Gospel trio from Fredericktown, Missouri. Includes schedule, news and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.theberrybrothers.com/"} +{"d:Title": "Bee Stings", "d:Description": "London-based alternative band. News, biography, audio and video samples, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.beestings.co.uk/"} +{"d:Title": "Bad Seeds, The", "d:Description": "Central Texas garage/rock band formed in the 1960s. Audio samples, news and weblog.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://thebadseeds.com/"} +{"d:Title": "Byron Nemeth Group", "d:Description": "Guitarist and producer; includes biography, discography, concert dates and song downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.byronnemeth.com/"} +{"d:Title": "Bell, Alvin", "d:Description": "Includes photos, biography, news, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.alvinbellonline.net/"} +{"d:Title": "Blue Aeroplanes, The", "d:Description": "Fan site for the band of the '80s/'90s Bristol scene includes a potted history, discography, timeline, sleeve art thumbnails and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://pip.host22.com/music/blueaero.shtml"} +{"d:Title": "Bracken Rigg Band", "d:Description": "A traditional Scottish/Irish accordion and fiddle band, based in Yorkshire. Includes history, how to organize a barn dance, pictures and play dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://brackenrigg.co.uk/"} +{"d:Title": "Backdowns, The", "d:Description": "Pop-punk band from Chicago. Provides show dates, news, links and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://backdowns.tripod.com/"} +{"d:Title": "Backbone", "d:Description": "Official homepage of the four piece rock band from Rijswijk, The Netherlands. Includes a biography, images and lyrics. [English/Dutch]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://members.ziggo.nl/jgarde/"} +{"d:Title": "Bezerker, The", "d:Description": "Contains video, music, links, pictures, lyrics and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://lurchx.tripod.com/index.htm"} +{"d:Title": "Boone, Brian", "d:Description": "Rock and roll artist from Pittsburgh, US. Includes schedules, biographies, pictures, newsletter, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://brianboone.tripod.com/home.html"} +{"d:Title": "Bastards of Melody", "d:Description": "Power Pop band from New York City. Sort of like the Replacements, with a little Wilco and Soul Asylum thrown in for good measure.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://tbom.tripod.com/home.html"} +{"d:Title": "Beauty School Dropouts, The", "d:Description": "New York city based old school punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://nyc13.tripod.com/index.htm"} +{"d:Title": "Brachfeld, Andrea", "d:Description": "Biography, performances, reviews, discography and journal from the flutist.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://andreabrachfeld.com/"} +{"d:Title": "Banks, Lloyd", "d:Description": "Feature includes pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.askmen.com/celebs/men/entertainment_150/165_lloyd_banks.html"} +{"d:Title": "Botti, Chris", "d:Description": "Contemporary jazz trumpeter based in Oregon. Official site includes tour dates, biography, discography, streaming audio files, image gallery, frequently asked questions, and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.chrisbotti.com/"} +{"d:Title": "Bad News Blues Band", "d:Description": "Official site includes schedule, news, reviews, pictures, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.badnewsbluesband.com/"} +{"d:Title": "Brazen Abbot", "d:Description": "Hard rock band. Provides news, band history, sound files and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://brazenabbot.nostramusic.com/"} +{"d:Title": "Brasington, Marty", "d:Description": "Biography, news and sound clips for the drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.martybrasington.com/"} +{"d:Title": "Butterflies and Zebras", "d:Description": "Canadian experimental guitar group. Biography, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.butterflies-and-zebras.com/"} +{"d:Title": "Breezin", "d:Description": "San Diego, California that performs a variety of standards, classics, and contemporary music. Includes events, audio, biography and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://breezn.com/"} +{"d:Title": "Belavilas, Grigoris", "d:Description": "Sound files and profile for the performer and composer of Greek music.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://greekmusicband.com/"} +{"d:Title": "Boiled In Lead", "d:Description": "Heavy roots. Rock'n'reel. Country music from many countries. Punk-folk. These are some of the ways to describe this band. Try this: fiddle, guitar, bass, drums.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.boiledinlead.com/"} +{"d:Title": "Baytown Band", "d:Description": "San Diego dance band for wedding and corporate entertainment. Includes demos, song list, photos, biographies, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.baytownband.com/"} +{"d:Title": "Boorer, Boz", "d:Description": "Producer and multi-instrumentalist known for his work with the Polecats and Morrissey. News, tour dates and diary, pictures, discography and information on his studio and record label.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bozboorer.com/"} +{"d:Title": "Blue Tonic World", "d:Description": "Ambient music artist from Canada. Blog, videos, audio and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bluetonicworld.wordpress.com/"} +{"d:Title": "Bexta", "d:Description": "Official site for Australian female trance DJ. Shows, video, biography, records, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bexta.com/"} +{"d:Title": "Bregovic, Goran", "d:Description": "Musician and composer from the Balkans; official site features biography, discography, audio, video and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://goranbregovic.rs/"} +{"d:Title": "Bittersweets, The", "d:Description": "Folk/alt-country band based in Nashville. Blog, album information and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thebittersweets.com/"} +{"d:Title": "Bread and Bones", "d:Description": "Acoustic folk trio. Biography, pictures, blog, press and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.breadandbones.com/"} +{"d:Title": "Bramley, Scott", "d:Description": "Singer and pianist from Doncaster, South Yorkshire, England. Biography, audio, repertoire, gigs, blog, pictures and arranging services.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.scottbramley.co.uk/"} +{"d:Title": "Blackbeard's Tea Party", "d:Description": "York, England, folk-rock band. Site features news, gigs, biography, audio, video, pictures, press and ceilidh services.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blackbeardsteaparty.com/"} +{"d:Title": "Burger, Bob", "d:Description": "Singer-songwriter from New Jersey. Schedule, biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bobburger.com/"} +{"d:Title": "Bonfanti, Marcus", "d:Description": "London-based blues-folk artist. News, gigs and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.marcusbonfanti.com/"} +{"d:Title": "Brasstronaut", "d:Description": "Vancouver band's site features show dates, audio samples, videos and booking details.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.brasstronaut.com/"} +{"d:Title": "BootyShakerzz", "d:Description": "Song list, audio, video and pictures from the disco band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bootyshakerzz.com/"} +{"d:Title": "Bluekings, The", "d:Description": "Chicago-style blues band from Yorkshire, England. Gigs, press, pictures and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bluekings.com/"} +{"d:Title": "Band of Horses", "d:Description": "News, tour dates, audio, videos, pictures and blog for the American band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bandofhorses.com/"} +{"d:Title": "Breech", "d:Description": "News, pictures, audio, press and shows for the Los Angeles rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.breech.net/"} +{"d:Title": "Baltic Crossing", "d:Description": "Album information, tour dates, pictures and technical specifications for the Denmark band.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.balticcrossing.com/"} +{"d:Title": "Bonazzoli", "d:Description": "Florida band's news, member profiles, audio and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://bonazzoli.com/"} +{"d:Title": "Blackthorn Band, The", "d:Description": "Ceilidh and folk band from southern England. Testimonials, song list, booking information, history, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blackthornband.org/"} +{"d:Title": "Belairs, The", "d:Description": "Southern California band. Pictures, show dates, history and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://thebelairs.net/"} +{"d:Title": "Bridenbaker, Eric", "d:Description": "Canadian composer and multi-instrumentalist. News, gigs, video, profile and studio setup.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ericbridenbaker.com/"} +{"d:Title": "Benedetti, Nicola", "d:Description": "British classical violinist; includes news, discography, concerts, biography, pictures, videos and press.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.nicolabenedetti.co.uk/"} +{"d:Title": "Blackard, Drew", "d:Description": "Audio, art, news and biography for the acoustic artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.withoutaknife.com/"} +{"d:Title": "Bilocate", "d:Description": "\"Dark Oriental metal\" band from Jordan. Timeline, discography, reviews, interviews, videos, audio samples and information on the genre.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.bilocate.net/"} +{"d:Title": "Back-on Online - a Back-on Fansite", "d:Description": "Fan site for the Japanese rock/rap/punk band features history, discography, schedule, news, lyrics, audio, video, images and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.backon-online.com/"} +{"d:Title": "Big Green", "d:Description": "Three-member indie rock group based in upstate New York. History, lyrics, press, blogs, podcast and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.big-green.net/"} +{"d:Title": "Broussard, Marc", "d:Description": "Official site for the Southern rock-soul artist with news, tour dates, biography, biography, albums, videos and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://marcbroussard.com/"} +{"d:Title": "Burnos, Melissa", "d:Description": "Rock singer from Miami, Florida. Includes biography, music, video, photo gallery, downloads, interviews, journal, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.melissaburnos.com/"} +{"d:Title": "Burke, Alexandra", "d:Description": "English pop/R&B artist who won the fifth series of the U.K.'s \"The X Factor.\" News, blog, discography, lyrics, events, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.alexandraburkeofficial.com/"} +{"d:Title": "Bakery, The", "d:Description": "\"Party-core\" band from Sydney. Pictures, audio, gigs and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.thebakery.net.au/"} +{"d:Title": "Bad Sneakers", "d:Description": "Newark, Delaware, band active between 1979 and 1986. Features history, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.badsneakers.info/"} +{"d:Title": "Bishop, Elvin", "d:Description": "American blues and rock 'n roll artist. Biography, news, shows, discography, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.elvinbishopmusic.com/"} +{"d:Title": "Baggy Bottom Boys", "d:Description": "Country gospel group based in Granbury, Texas. Member profiles, pictures, audio, events and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.baggybottomboys.com/"} +{"d:Title": "Bat for Lashes", "d:Description": "English singer-songwriter's site features news, tour dates, images, discography, video, audio, biography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.batforlashes.com/"} +{"d:Title": "Belcher Jr., Earl V.", "d:Description": "Jazz saxophonist's biography, gear list, schedule and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://earltiptoebelcher.com/"} +{"d:Title": "Borghi, Matt", "d:Description": "Ambient space artist from Detroit.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.mattborghi.com/"} +{"d:Title": "Buchanan, Margo", "d:Description": "Scottish singer/songwriter who teaches sing and runs workshops. Biography, discography, press reviews, image gallery, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.margobuchanan.com/"} +{"d:Title": "Brown, Arthur", "d:Description": "Devoted to the '60s UK pop star. Biographies, articles, poetry, shows, video, discography and booking contact.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.arthur-brown.com/"} +{"d:Title": "Buster James", "d:Description": "British blues and boogie band. History, gigs, member profiles, audio and video clips, and news. [Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.ezergeezer.co.uk/busterjames/"} +{"d:Title": "Borelius, Erik", "d:Description": "Swedish Grammy-winning guitarist. Includes audio in both MP3 and RealAudio format, pictures, facts, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://erikborelius.com/"} +{"d:Title": "Bogart, Connor", "d:Description": "An emerging young musician. Site features photos, video clips, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://connorbogart.com/"} +{"d:Title": "Baron, Trevor", "d:Description": "Music educator, composer and engineer based in Edmonton, Alberta. Biography, teaching philosophy and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.trevorbaron.com/"} +{"d:Title": "Baldry, Long John", "d:Description": "Biography and discography for the English blues singer and voice artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://en.wikipedia.org/wiki/Long_John_Baldry"} +{"d:Title": "Banks, Victoria", "d:Description": "Country singer-songwriter based in Nashville. Biography, albums, tour dates, videos, press and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.victoriabanks.net/"} +{"d:Title": "Brass Bed", "d:Description": "Indie-rock band from Lafayette, Louisiana. Blog, videos and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://brassbedisbrassbed.tumblr.com/"} +{"d:Title": "Bride of the Atom", "d:Description": "Australian Gothic Industrial band. Includes links to real audio, mp3s, band news and history.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://users.comcen.com.au/~catfish1/brideof3.htm"} +{"d:Title": "Boukabou", "d:Description": "Sydney-based singer/songwriter. News, audio, biography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "https://www.facebook.com/BoukabouMusic"} +{"d:Title": "Black Oak Arkansas", "d:Description": "News, history, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.blackoakarkansas.net/"} +{"d:Title": "Brown Cuts Neighbors", "d:Description": "Band from Schenectady, New York. News, schedule, biography, discography, episode guide, MP3 files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B", "url": "http://www.wowcool.com/engine/artists/brown-cuts-neighbors/"} +{"d:Title": "DaveMcNally.com: B-52's Lyrics", "d:Description": "Lyrics for B-52's songs from the debut album to Good Stuff.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://www.davemcnally.com/Lyrics/B52s/"} +{"d:Title": "Kris with a K's B-52s Site", "d:Description": "Fan's site of B-52s pictures and stories. Includes live photos, and pictures of the band members with their fans, with pictures of 1998 and 1999's Party out of Bounds in Athens, GA.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://kriswithak.tripod.com/b52s.html"} +{"d:Title": "The B-52'S News: Topix", "d:Description": "News about The B-52'S continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://www.topix.com/who/the-b-52s"} +{"d:Title": "Topix: The B-52s", "d:Description": "News about the B-52s, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://www.topix.com/rss/who/the-b-52s.xml"} +{"d:Title": "The B-52s", "d:Description": "Official site contains news, show dates, biography, member profiles, video clips, image gallery, and FAQ.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://www.theb52s.com/"} +{"d:Title": "All Music Guide: The B-52's", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://www.allmusic.com/artist/the-b-52s-p3598"} +{"d:Title": "RollingStone.com: The B-52's", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://www.rollingstone.com/music/artists/the-b-52s"} +{"d:Title": "Mark's Record Reviews - The B-52's", "d:Description": "A brief history and reviews of several albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-52's,_The", "url": "http://www.markprindle.com/b52sa.htm"} +{"d:Title": "B-Factor", "d:Description": "Fan site includes biographies and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Factor", "url": "http://www.angelfire.com/music/bfactorfanpage/index.html"} +{"d:Title": "Storm Productions UK", "d:Description": "Remixed songs and B*witched club.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.bwitched.8m.com/"} +{"d:Title": "Unofficial b*witched", "d:Description": "Includes chat, games and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.iol.ie/~kasst/b-witched/index.html"} +{"d:Title": "EdeleWorld", "d:Description": "Everything you could ever want to know about the gorgeous Edele Lynch from B*Witched", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.angelfire.com/biz4/edele/"} +{"d:Title": "B*Lynch You soon", "d:Description": "Fan site for Keavy and Edele Lynch from B*Witched", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.keavy.net/lynch/"} +{"d:Title": "The Magical World of B*Witched", "d:Description": "Includes biography, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.angelfire.com/hi2/peepsiknow/"} +{"d:Title": "Sexy B*witched", "d:Description": "Includes pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://homepage.eircom.net/~dw3/"} +{"d:Title": "B*Witched In the USA", "d:Description": "Includes lyrics, pictures, schedule, chat transcripts and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.angelfire.com/bc/bwitchedfanpage/"} +{"d:Title": "B*Witched Galaxy", "d:Description": "Fan site with pictures, information, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.angelfire.com/music/bwitched13/"} +{"d:Title": "MTV.com: B*Witched", "d:Description": "Features music news, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B-Witched", "url": "http://www.mtv.com/artists/bwitched/"} +{"d:Title": "Yahoo Groups: B2Konline", "d:Description": "Message forum dedicated to news about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B2K", "url": "http://launch.groups.yahoo.com/group/b2konline/"} +{"d:Title": "B2k News: Topix", "d:Description": "News about B2k continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/B2K", "url": "http://www.topix.com/who/b2k"} +{"d:Title": "Sarah and Lisa's b4-4 Page", "d:Description": "Includes information, pictures, chat, games, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/b4-4", "url": "http://www.angelfire.com/boybands/b4forever/"} +{"d:Title": "The Ultimate b4-4 Fan Zone", "d:Description": "Includes, biography, tour dates, pictures, news, fan club, pen pals, lyrics, polls, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/b4-4", "url": "http://www.angelfire.com/nm/b44/"} +{"d:Title": "Soundclick: b4-4", "d:Description": "Includes audio quotes from the band and song streams, biography, mailing list, message board, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/b4-4", "url": "http://www.soundclick.com/bands/pageartist.cfm?bandID=3594"} +{"d:Title": "River of Song: Babes in Toyland", "d:Description": "A short article with links to audio interviews and song clips available to download.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babes_in_Toyland", "url": "http://www.pbs.org/riverofsong/artists/e1-babes.html"} +{"d:Title": "Dust Cake", "d:Description": "Includes pictures, articles, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babes_in_Toyland", "url": "http://www.angelfire.com/rock/babesintoyland/"} +{"d:Title": "Babes in Toyland Lyrics", "d:Description": "Words to songs from the Fontanelle album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babes_in_Toyland", "url": "http://www.davemcnally.com/Lyrics/BabesInToyland/"} +{"d:Title": "All Music Guide: Babe the Blue Ox", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babe_the_Blue_Ox", "url": "http://www.allmusic.com/artist/babe-the-blue-ox-mn0000057082"} +{"d:Title": "AskMen.com: Babyface", "d:Description": "Includes, biography, ratings and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babyface", "url": "http://www.askmen.com/celebs/men/entertainment/29_babyface.html"} +{"d:Title": "MTV.com: Babyface", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babyface", "url": "http://www.mtv.com/artists/babyface/"} +{"d:Title": "Spuzcor: the Adventures of Brian Schreiber", "d:Description": "The official page of Brian Schreiber, drummer of the acid-goth band Babylonian Tiles and vocalist author for the prog-comedy band The Keepers of the Cheese. Features tour photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babylonian_Tiles", "url": "http://angelfire.com/ca4/spuzcor"} +{"d:Title": "All Music Guide: The Babys", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Babys,_The", "url": "http://www.allmusic.com/artist/the-babys-p3604"} +{"d:Title": "Rockpalast Archiv: Baby Animals - Rocklife 1992", "d:Description": "A collection of photos from the 1992 Rocklife concert in K\u00f6ln, Germany.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baby_Animals", "url": "http://www.rockpalastarchiv.de/concert/baby.html"} +{"d:Title": "Stephen's Baby Animals Page", "d:Description": "Fan site with album information, lyrics, timeline, band history, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baby_Animals", "url": "http://members.tripod.com/~babyanimals/"} +{"d:Title": "DaveMcNally.com: Baby Animals Lyrics", "d:Description": "Lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baby_Animals", "url": "http://www.davemcnally.com/Lyrics/BabyAnimals/"} +{"d:Title": "Baby Animals Homepage", "d:Description": "Fan site with song clips, lyrics, band history, gossip, rumours, news, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baby_Animals", "url": "http://www.southcom.com.au/~tim/"} +{"d:Title": "Baby Monoxide", "d:Description": "Heavy rock band from Minneapolis. Biography, news, photos and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baby_Monoxide", "url": "http://www.babymonoxide.com/"} +{"d:Title": "Ink Nineteen: Baby Ray - Do I Love America", "d:Description": "Features an album review: \"Neat new effort from this professional linguist-fronted pop-Americana band.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baby_Ray", "url": "http://www.ink19.com/issues_F/99_12/wet_ink/music_b/061_baby_ray.shtml"} +{"d:Title": "Indieville: Alex Bach - Self-Titled", "d:Description": "\"If Bach focuses more on the harder bits of her music, which she is decidedly better at than she is at soft rock, she may have something.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bach,_Alex", "url": "http://www.indieville.com/reviews/alexbach.htm"} +{"d:Title": "mfiles: Burt Bacharach", "d:Description": "An overview covering his life, and his film music in particular.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bacharach,_Burt", "url": "http://www.mfiles.co.uk/Composers/Burt-Bacharach.htm"} +{"d:Title": "A House Is Not A Homepage", "d:Description": "News and information about composer and performer Burt Bacharach, including discography, tour dates, guitar tablature, song samples, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bacharach,_Burt", "url": "http://bacharachonline.com/"} +{"d:Title": "Randy Bachman", "d:Description": "Formerly of The Guess Who and Bachman Turner Overdrive. Includes tour dates, information on releases, sound bites and a merchandise order form.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bachman,_Randy", "url": "http://www.randybachman.net/"} +{"d:Title": "Canoe.ca: Randy Bachman", "d:Description": "Offers a detailed biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bachman,_Randy", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/B/Bachman_Randy.html"} +{"d:Title": "Totally Tal", "d:Description": "A fanpage for Tal Bachman with a profile, song list, reviewed, lyrics, song clips and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bachman,_Tal", "url": "http://members.tripod.com/totallytal/"} +{"d:Title": "Rollingstone: Bachman-Turner Overdrive", "d:Description": "Includes a biography, discography, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bachman-Turner_Overdrive", "url": "http://www.rollingstone.com/music/artists/bachman-turner-overdrive"} +{"d:Title": "Mark Bacino", "d:Description": "Official site includes biography, CD information, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bacino,_Mark", "url": "http://www.popjob.com/"} +{"d:Title": "The Backsliders", "d:Description": "Rick Cornell's article about the group's history and releases.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backsliders", "url": "http://www.gumbopages.com/music/backsliders.html"} +{"d:Title": "Backstreet Boys Official Site", "d:Description": "Official site includes news, biographies, contact information, photo galleries, tour dates, discography, interviews, links, video and sound files, games, fan club, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys", "url": "http://www.backstreetboys.com/"} +{"d:Title": "Frack World", "d:Description": "Contains buttons, pictures, links, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/nc/bsb/"} +{"d:Title": "Nick Carter Obsession, The", "d:Description": "Includes impersonators and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/ar/NicksBaby/NICKPAGE.html"} +{"d:Title": "Walkin' Along Nick Carter Street", "d:Description": "Offers pictures and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/nc2/NickCarterStreet/Home.html"} +{"d:Title": "Nick Carter- Heaven In My Eyes", "d:Description": "Includes biography, lyrics, links, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/boybands/NickCarterIsHot4Ever/"} +{"d:Title": "Nick Carter Niche", "d:Description": "Includes pictures, links, multimedia, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/ny2/B/"} +{"d:Title": "Nick Carter World, The", "d:Description": "Offers pictures, chat, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/ca3/backstreetboys/index1.html"} +{"d:Title": "Heaven In Nick's Eyes", "d:Description": "Includes pictures and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/stars/hine/"} +{"d:Title": "Nick Carter's Heaven", "d:Description": "Contains photos and information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/boybands/NickHeaven/"} +{"d:Title": "Nickolas-Carter.Com", "d:Description": "Contains biography, pictures, news, sound and video files, animations, winamp skins, Yahoo! messenger skins, wallpapers, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://www.angelfire.com/music4/nickcarter1/"} +{"d:Title": "Carterville", "d:Description": "Contains pictures and biography of Nick and Aaron Carter.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Carter,_Nick", "url": "http://carterville22.tripod.com/"} +{"d:Title": "Mandys BSB Land Chatroom", "d:Description": "Chat room for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Chats_and_Forums", "url": "http://www.angelfire.com/or2/mbsbland/chat.html"} +{"d:Title": "Backstreet Bauhaus", "d:Description": "Offers listings of fan fiction by genre.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Directories", "url": "http://www.backstreet-bauhaus.net/"} +{"d:Title": "Howie's Home on the Net", "d:Description": "Includes information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://www.angelfire.com/fl/howieshome/"} +{"d:Title": "Howie Dorough Fan Page, The", "d:Description": "Includes pictures, articles, and fan clubs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://members.tripod.com/~Jeri_3/index-2.html"} +{"d:Title": "Howard Dwayne Dorough", "d:Description": "Offers facts about Howie.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://www.angelfire.com/nc/bahnk/hdd.html"} +{"d:Title": "Howie Dorough", "d:Description": "Includes a biography and fan mail information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://www.casenet.com/people/bsbhowiedorough.htm"} +{"d:Title": "Howard Dorough", "d:Description": "Contains information on Howie.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://www.angelfire.com/me/andMyBackstreetBoyz/Howard.html"} +{"d:Title": "Open Your Eyes Campaign", "d:Description": "To unite all Howie fans. Includes message board and thoughts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://www.angelfire.com/rant/openyoureyes/"} +{"d:Title": "Howie's a Hunk", "d:Description": "Contains polls, contest, pictures, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://howiesgel.tripod.com/howiesahunk/"} +{"d:Title": "My Honey Howie", "d:Description": "Contains pictures, quotes, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://www.angelfire.com/ri/myhoneyhowie/"} +{"d:Title": "IMDb.com - Howie Dorough", "d:Description": "Provides a brief biography, photos, and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Dorough,_Howie", "url": "http://www.imdb.com/name/nm0234014/"} +{"d:Title": "Unofficial Backstreet Boys Fan Club", "d:Description": "Includes message board and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Clubs", "url": "http://www.angelfire.com/bc/bsbfanclub/"} +{"d:Title": "Boy Band Mania", "d:Description": "Offers newsletter, WAVs, pictures, articles, online store, contests with prizes, and chat rooms.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Clubs", "url": "http://www.angelfire.com/fl2/bbmnwlttr/"} +{"d:Title": "Backstreet Chicas", "d:Description": "Member profiles, pictures of the Backstreet Boys, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Clubs", "url": "http://www.angelfire.com/nc/BSC/"} +{"d:Title": "Taking The Backstreet", "d:Description": "Includes information on a Backstreet newsletter and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Clubs", "url": "http://www.angelfire.com/boybands/ttb/"} +{"d:Title": "MTV: Backstreet Boys", "d:Description": "Contains news, biography, audio clips, photos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Clubs", "url": "http://www.mtv.com/artists/backstreet-boys/"} +{"d:Title": "Tell Me That I'm Dreaming", "d:Description": "Includes fiction, poems, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/pop/tellmethatimdreaming/"} +{"d:Title": "No Such Thing As Love", "d:Description": "Fictional story revolving around Nick Carter.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/music/nstal/"} +{"d:Title": "Don't Wanna Lose You Now", "d:Description": "Story about Nick and Kevin and a woman named Jade in 50 chapters.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/boybands/hotelbackstreet/"} +{"d:Title": "Under Water Backstreet World", "d:Description": "Backstreet Boys fan fiction. Stories for every member of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/fl3/ktbspababy/"} +{"d:Title": "Faerie Stories", "d:Description": "Includes original and hosted stories, 'How a Fan Fiction Writer's mind works', adoptees, cliques, videos, karaoke, links, and ring tones.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/ky2/faeriestories/"} +{"d:Title": "Get Your Fill", "d:Description": "Contains stories, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/boybands/getyourfill/"} +{"d:Title": "Backstreet Addiction's Fan Fiction Page", "d:Description": "Features hosted fan fiction and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/nj/broksterfan/fanfic.html"} +{"d:Title": "Rose Trilogy", "d:Description": "Trilogy fiction about a woman's sorrow, loss of love, and finding love again.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/pop/Kellylee/"} +{"d:Title": "BSB Storie Corner", "d:Description": "Contains fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/boybands/bsbstoriecorner/BSB.html"} +{"d:Title": "Nick Carter Fan Fiction", "d:Description": "Offers fan fiction, hosted stories, pictures, lyrics, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/boybands/nickcarterfanfiction/"} +{"d:Title": "I Wanna Be With You", "d:Description": "5 guys, 5 girls, and one tour.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/ok2/iwannabewithyou/"} +{"d:Title": "Heaven On Earth: Nick Carter Fiction", "d:Description": "Features Nick Carter and Backstreet fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/stars2/bsbdreamland/"} +{"d:Title": "If You Knew What I Knew", "d:Description": "Fiction about Backstreet Boys and two girls, Mandy and Valerie.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/nc2/valeriespage/"} +{"d:Title": "BSBeSlammin", "d:Description": "Offers original stories with themes such as Backstreet Boys in medieval life or futuristic dramas.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://jig_balla.tripod.com/"} +{"d:Title": "BSB Fan Fiction", "d:Description": "Contains stories, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/pop2/bsbfanfiction/"} +{"d:Title": "I Promise You", "d:Description": "Features story \"I Promise You\" and hosted stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/myband/407/main.html"} +{"d:Title": "Imaginations Running Wild", "d:Description": "Includes stories, rants, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/ny5/runwild/"} +{"d:Title": "Dreamaway Fan Fiction", "d:Description": "Features an assortment of finished and in-progress works. Stories include \"Bed of Roses\" and \"This Christmas\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://dreamaway21.tripod.com/"} +{"d:Title": "Dimensions", "d:Description": "Includes fiction and original stories, weblog, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://xdimensionsx.tripod.com/"} +{"d:Title": "Small Drop of Ink, A", "d:Description": "Contains stories of several genres, journal, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://dragonsinger.ink-and-quill.com/"} +{"d:Title": "Bauhaus Classics", "d:Description": "Offers an archive of selectively chosen lost writings by authors and provides story mirroring service.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://classics.backstreet-bauhaus.net/"} +{"d:Title": "Yours Completely", "d:Description": "Contains novels, short stories, hosted stories, tips, quizzes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.yours-completely.org/"} +{"d:Title": "i-still.net", "d:Description": "Contains lyrics, pictures, humor, fan fiction, links, and a venting section.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://i-still.net/"} +{"d:Title": "Backstreet Boys Stories", "d:Description": "A collection of short fan fiction stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/boybands/mystories/"} +{"d:Title": "Fan Fiction Sensation", "d:Description": "Offers a selection of stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Fiction", "url": "http://www.angelfire.com/journal/TWA/"} +{"d:Title": "Ashleigh and Ashley's", "d:Description": "Offers exclusive photos, polls, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/A", "url": "http://www.angelfire.com/pop/ashsbsbsite/"} +{"d:Title": "As Long As You Love The Backstreet Boys", "d:Description": "Contains news, pictures, tour dates, real audio, biographies, and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/A", "url": "http://www.angelfire.com/nc/NicksDuchess/"} +{"d:Title": "Apes 4 Backstreet Boys", "d:Description": "Contains biographies, quotes, pictures, fan fiction, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/A", "url": "http://www.angelfire.com/apes/4backstreetboys/intro.html"} +{"d:Title": "Backstreet.net", "d:Description": "News articles, biographies, pictures, sound files, video clips, opinions, reader reviews, links, fan art, poems, message board, merchandise, polls, information, tour dates, TV/radio listings, and other features.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.backstreet.net/"} +{"d:Title": "Backstreet Boys World", "d:Description": "Contains pictures, lyrics, quiz, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/ab/bsbrule1/"} +{"d:Title": "Backstreet Talk", "d:Description": "Contains pictures, adoptees, protectors, and banners.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/sc/backstreettalk/"} +{"d:Title": "Backstreet Boys", "d:Description": "Includes news, biography, discography, lyrics, picture gallery, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/boybands/b4ckstreetboys/"} +{"d:Title": "Black&Blue", "d:Description": "Includes free e-mail, information, fan fiction, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/pop2/backstreetbb/blackandblue.html"} +{"d:Title": "Brandy's Backstreet World", "d:Description": "Contains biographies, fan fiction, discography, quiz, quotes, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/mo2/BackstreetFan/"} +{"d:Title": "Backstreet 2K", "d:Description": "Contains fan fiction, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/fl3/backstreetboys4ever/index2.html"} +{"d:Title": "Backstreet Environment", "d:Description": "Features Nick Carter and Brian Littrell of the Backstreet Boys.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/biz4/nickgenecarter/"} +{"d:Title": "BSBland", "d:Description": "Includes lyrics, facts, pictures, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://bsbland.8m.com/"} +{"d:Title": "BSB and Aaron", "d:Description": "Contains news, encounters, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/pop2/bsbandaaron/"} +{"d:Title": "Backstreet Asses", "d:Description": "Contains pictures, diaries, lyrics, and cliques.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/band2/bsbasses/"} +{"d:Title": "Backstreet Heaven", "d:Description": "Contains pictures, biographies, lyrics, sounds, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/nj2/nicksgirlbsb13/home.html"} +{"d:Title": "Backstreet Boys", "d:Description": "Includes pictures, humor, fan fiction, news, and interview transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/music2/backstreetboysstuff/"} +{"d:Title": "BSBLand", "d:Description": "Contains information, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/mb/BSBLAND/"} +{"d:Title": "Backstreet Boys World For Fans", "d:Description": "Include photos, news, links, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/boybands/Backstreet4u/"} +{"d:Title": "Backstreet's Back", "d:Description": "Offers pictures, lyrics, and surveys.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/biz5/backstreetsback/"} +{"d:Title": "Bel and Celly's BSB Page", "d:Description": "Contains biographies, pictures, quotes, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/music/mmclean/"} +{"d:Title": "Backstreet Heaven", "d:Description": "Offers news, profiles, lyrics, reviews, poems, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/ny3/BackstreetHeaven/"} +{"d:Title": "Backstreet Zone", "d:Description": "Contains profiles and opinions.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/mt/bsbnlstaff/"} +{"d:Title": "Backstreet Love", "d:Description": "Contains biographies, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/hi3/backstreetlove/"} +{"d:Title": "Backstreet Escape", "d:Description": "Contains links and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/boybands/backstreetescape/"} +{"d:Title": "Backstreet Backstage Pass, The", "d:Description": "Contains news, audio clips, videos, fan fiction, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/music/nickygc/"} +{"d:Title": "Backstreet Ocean", "d:Description": "Includes pictures, videos, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/pop/backstreetocean/"} +{"d:Title": "Backstreet Philippines", "d:Description": "Includes news, pictures, humor, facts, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://members.tripod.com/nick_tendo/"} +{"d:Title": "Backstreet Palace, The", "d:Description": "Contains biographies, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/biz2/thebackstreetpalace/"} +{"d:Title": "BsBrSoKewl", "d:Description": "Includes fan fiction, pictures, information, tour dates, and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/pop/BsBrSoKewl/"} +{"d:Title": "Beautiful Backstreet Boys", "d:Description": "Includes pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/ok3/hankb273645shaka/"} +{"d:Title": "Backstreet Fever", "d:Description": "Includes pictures, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/boybands/nickthelawngnomeking/"} +{"d:Title": "BSB Luv Centre", "d:Description": "Contains pictures, biographies, discography, lyrics, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/music2/bsbluvcentre/"} +{"d:Title": "Backstreet Paradise", "d:Description": "Contains fan fiction, pictures, biographies, concert reviews, and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/boybands/paradise/"} +{"d:Title": "Backstreet Power", "d:Description": "Contains multimedia, fans section, pictures, articles, interviews, quizzes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/ok/4bsb/"} +{"d:Title": "Backstreet Connection", "d:Description": "Contains biographies, photos, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/pop/backstreetconnection/"} +{"d:Title": "Backstreet Connection, The", "d:Description": "Includes profiles and pictures of the boys, their girlfriends, the dancers, and the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://members.tripod.com/JessT_FL/"} +{"d:Title": "Backstreets' Back", "d:Description": "Contains biographies, pictures, quotes, fan clubs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/boybands/bsb4yr2000/"} +{"d:Title": "Backstreet Utopia", "d:Description": "Includes fan fiction, pictures, and concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/bc/backstreetutopia/"} +{"d:Title": "Backstreet Millennium", "d:Description": "Contains pictures, biographies, news, cartoons, stories, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/ms/backstreetmillennium/"} +{"d:Title": "BackstreetUnlimited.com", "d:Description": "Contains news, pictures, sound and video files, games, free e-mail, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/band/bsu/"} +{"d:Title": "BSB2U", "d:Description": "Contains profiles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/boybands/bsb2u/"} +{"d:Title": "Backstreetboys World", "d:Description": "Includes biography, lyrics, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/stars2/bsbworld/enter.html"} +{"d:Title": "Backstreet Boys are Back Again, The", "d:Description": "Provides new, pictures, polls, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://crazy4bsb5.tripod.com/"} +{"d:Title": "Crenger's Backstreet Universal", "d:Description": "Contains fan fiction, news, jokes, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://www.angelfire.com/fl3/crenger/cbu.html"} +{"d:Title": "Backstreet Forever", "d:Description": "Includes interactive, fan fiction, pictures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/B", "url": "http://bsforever.tripod.com/"} +{"d:Title": "CKbe's Backstreet Boys", "d:Description": "Contains information, pictures, lyrics, polls, slambook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/C", "url": "http://ckbe-online.8m.com/"} +{"d:Title": "Colette's Cool BSB Page", "d:Description": "Includes biographies, lyrics, photographs, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/C", "url": "http://www.angelfire.com/tx4/kaos/"} +{"d:Title": "Cuz They're Back and They're Street and They're Da Boysss", "d:Description": "Includes facts, quotes, and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/C", "url": "http://www.angelfire.com/ab/CTBSB/"} +{"d:Title": "Chremary's Backstreet Boys Page", "d:Description": "Biographical information about each of the band members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/C", "url": "http://chremary.tripod.com/backstreetboys.html"} +{"d:Title": "Elyse And Elaine's Backstreet Boys Fanatic Page", "d:Description": "Pictures, links, information, biographies, banners, graphics, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/E", "url": "http://www.angelfire.com/bc2/backstreetboyslyslai/"} +{"d:Title": "Forever Backstreet", "d:Description": "Biographies, news, pictures, and animations.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/F", "url": "http://www.angelfire.com/pop/foreverbackstreet/"} +{"d:Title": "Frick and Frack Website, The", "d:Description": "Features profiles of Brian Littrell and Nick Carter. Includes lyrics, games, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/F", "url": "http://www.angelfire.com/ak4/fricknfrack/"} +{"d:Title": "Ghetto B and Angel's BSB-Files: A Place For True Fans", "d:Description": "A site for BSB fans who can take a joke.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/G", "url": "http://www.angelfire.com/ga/bsbfiles/"} +{"d:Title": "It's Gotta Be You", "d:Description": "Contains fan fiction, concert images, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/I", "url": "http://babykaos28.tripod.com/"} +{"d:Title": "It's a Backstreet Thang", "d:Description": "Contains news, links, pictures, articles, concert reviews, quotes, fan fiction, and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/I", "url": "http://www.angelfire.com/myband/mybackstreetboys4evr/"} +{"d:Title": "JEK's BSB Blast", "d:Description": "Includes pictures, quotes, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/J", "url": "http://members.tripod.com/bsb_blast/"} +{"d:Title": "Jenny's Backstreet Boys Site", "d:Description": "Includes biographies and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/J", "url": "http://www.angelfire.com/boybands/jennysbsbsite/jennybsb/ABSBSITE.html"} +{"d:Title": "Kara's BSB Page", "d:Description": "Includes band information, pictures, stories, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/K", "url": "http://www.angelfire.com/myband/KarasPage/"} +{"d:Title": "Kari's BSB Homepage", "d:Description": "Contains lyrics, pictures, and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/K", "url": "http://www.angelfire.com/md/bsb4eva/"} +{"d:Title": "Kylie and Leesa's BSB Wonderland", "d:Description": "Offers jokes, pictures, and personal details.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/K", "url": "http://www.angelfire.com/ne/babybaby/"} +{"d:Title": "Kym's Backstreet Boy World", "d:Description": "Includes pictures, chat, biographies, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/K", "url": "http://www.angelfire.com/bc/ilovebsb/"} +{"d:Title": "Karla's Fan site", "d:Description": "Includes music, pictures, links, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/K", "url": "http://members.tripod.com/4ever_backstreet_fan/"} +{"d:Title": "Larger Than Life", "d:Description": "Features news, pictures, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/L", "url": "http://www.angelfire.com/co3/larger/"} +{"d:Title": "Lynn's Backstreet Boys Page", "d:Description": "Includes pictures, biographies, lyrics, greeting cards, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/L", "url": "http://www.angelfire.com/ny3/lynnsbackstreet/"} +{"d:Title": "Larger Than Life 2001", "d:Description": "Includes news, pictures, polls, message board, links and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/L", "url": "http://www.angelfire.com/stars/LargerThanLife/"} +{"d:Title": "Mel's Backstreet Domain", "d:Description": "Offers lyrics, pictures, news, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/M", "url": "http://www.angelfire.com/nt/mcsnickers/"} +{"d:Title": "Mandys Backstreet Boys Land", "d:Description": "Biographies, pictures, facts, lyrics, links, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/M", "url": "http://www.angelfire.com/or2/mbsbland/"} +{"d:Title": "My Backstreet Boys and Limp Bizkit Page", "d:Description": "Includes pictures, sounds, lyrics, discography, biography, links, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/M", "url": "http://www.angelfire.com/mb/backstreetboyshaven/"} +{"d:Title": "Millennium", "d:Description": "Features games, information, appearances, news, pictures, and collages.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/M", "url": "http://www.angelfire.com/oh2/backstreet00/"} +{"d:Title": "Nina's BSB and Lance Bass Page", "d:Description": "Offers pictures, MIDIs, baby pictures, polls, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/N", "url": "http://www.angelfire.com/ca2/nina1/"} +{"d:Title": "New Millennium: The Backstreet Boys, A", "d:Description": "Includes pictures, contests, polls, interviews, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/N", "url": "http://www.angelfire.com/bc/luvbsb/"} +{"d:Title": "Nic's BSB Page", "d:Description": "Includes pictures, biographies, and a quiz. Also information and pictures of the tribute group, Backstreet.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/N", "url": "http://www.angelfire.com/ns/bsbrule/"} +{"d:Title": "Never-Ending Party, The", "d:Description": "Contains news, fan fiction, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/N", "url": "http://www.angelfire.com/band/myasbackstreetboys/"} +{"d:Title": "NickyBri's", "d:Description": "About Nick Carter and Brian Littrell. Offers multimedia, news, interviews, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/N", "url": "http://www.angelfire.com/boybands/nickybri/"} +{"d:Title": "No One Else Comes Close", "d:Description": "Contains pictures, polls, fan fiction, biographies, quotes, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/N", "url": "http://www.angelfire.com/boybands/bsbfanfic/"} +{"d:Title": "Phat 2 Death", "d:Description": "Includes fiction, lyrics, news, pictures, advice, tour dates, charity, unsigned artists, message board, quiz, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/P", "url": "http://www.angelfire.com/boybands/BSB4Evaf4Life4Always/"} +{"d:Title": "Perfect Fan's BSB Wonderland, The", "d:Description": "Includes news, links, pen pals, pictures, interviews, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/P", "url": "http://www.angelfire.com/bc/SweetBackstreet/main.html"} +{"d:Title": "Perfect Fan, A", "d:Description": "News, TV appearances, biographies, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/P", "url": "http://www.angelfire.com/music2/amysbsb/"} +{"d:Title": "People of Passion", "d:Description": "Dedicated to BSB. With other groups such as LFO and Youngstown.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/P", "url": "http://www.angelfire.com/oh/MyBackstreet/"} +{"d:Title": "Reflections of BSB", "d:Description": "Includes news, pictures, biographies, chat rooms, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/R", "url": "http://www.angelfire.com/sc3/lindsluvsbsb"} +{"d:Title": "Shannon's Backstreet Boys Site", "d:Description": "Contains lyrics, pictures, biography, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/S", "url": "http://members.tripod.com/bsbangel_18/"} +{"d:Title": "Sweet Goose Love", "d:Description": "Includes pictures, news, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/S", "url": "http://www.angelfire.com/myband/butterfly2/"} +{"d:Title": "Sue's Backstreet Boys Page", "d:Description": "Band history and profiles, pictures and information on the \"Millennium\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/S", "url": "http://www.angelfire.com/nc/bsb4everandalways/index.html"} +{"d:Title": "Two Girls, Some Guys, and a Website", "d:Description": "Features Backstreet Boys and 'N Sync. Includes fan fiction, multimedia, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/T", "url": "http://www.angelfire.com/az2/twogirls/"} +{"d:Title": "Why Are You Trippin'?", "d:Description": "Includes interviews, pictures, news, links, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/W", "url": "http://www.angelfire.com/music2/bsbbottomline/"} +{"d:Title": "Zina's Backstreet Boys Site", "d:Description": "Contains lyrics, pictures, biographies, chat room, quotes, news, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Fan_Pages/Z", "url": "http://zina-zjewelry.tripod.com/"} +{"d:Title": "Backstreet Boys", "d:Description": "BSB humor site with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/on/bsboys411/"} +{"d:Title": "Ain't Nothin But A Mistake", "d:Description": "Provides humorous pictures, top-ten lists, television appearances, jokes, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://members.tripod.com/kevys_kat55/"} +{"d:Title": "Casa de Huckfinn", "d:Description": "Backstreet Boys humor site.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/va/huckfinn/"} +{"d:Title": "Backstreet Bida's", "d:Description": "Includes pictures, biographies, funny stories, and song parodies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/boybands/bboys563312/"} +{"d:Title": "No Diggity", "d:Description": "Includes parodies, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/boybands/nodiggity/"} +{"d:Title": "You Know You Have Too Much Free Time When...", "d:Description": "Offers pictures, quotes, mistakes, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/yt/bean/"} +{"d:Title": "Breakfast Burritio Crew, The", "d:Description": "Contains fake interviews and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/wi2/bburritiocrew/"} +{"d:Title": "More Than That : Backstreet Boys", "d:Description": "Includes biographies, discography, TV appearances, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/ma3/backstreetworld/"} +{"d:Title": "Where We Resign", "d:Description": "Contains fan fiction, quotes, interviews, reviews and images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/boybands/whereweresign/"} +{"d:Title": "Romancing The Bone", "d:Description": "Offers links, parodies, pictures, biographies, interviews, and insight.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/ak3/romancingthebone/"} +{"d:Title": "Backstreet Place, The", "d:Description": "Features Backstreet dictionary, parodies, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/ca4/TheBackstreet/"} +{"d:Title": "Humor and Jank!", "d:Description": "Backstreet Boys and 'N Sync humor. Includes parodies, pictures, and fictional stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/boybands/humorandjank/"} +{"d:Title": "Backstreet's Laughing Place", "d:Description": "Contains parodies, fan fiction, pictures, jokes, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/on/BackstreetsLaughing/"} +{"d:Title": "Da Phat Farm", "d:Description": "Contains original materials, top ten lists, picture captions, quotes, and parodies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/boybands/dPF/enter2000.htm"} +{"d:Title": "Tightie Whities Inc.", "d:Description": "Includes fan fiction, news, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://jenbabie.tripod.com/"} +{"d:Title": "Hubbands Inc.", "d:Description": "Contains pictures, humor, top ten list, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/boybands/hubbandsinc/"} +{"d:Title": "Holy Gucamole Batman!", "d:Description": "Contains bloopers, dreams, thoughts, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/music4/hgbatmanbsb/"} +{"d:Title": "Somebody Told Me That You're Not My Kind", "d:Description": "Includes humor, lists, fan fiction, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/musicals/pnnylane/"} +{"d:Title": "Total D.A.", "d:Description": "Includes funny pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Humor", "url": "http://www.angelfire.com/fl4/totalda/frames.html"} +{"d:Title": "Backstreet Boys", "d:Description": "Offers pictures and information about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Image_Galleries", "url": "http://www.angelfire.com/ar2/BackstreetHotel/"} +{"d:Title": "Nick Carter Haven, A", "d:Description": "Contains pictures, facts, 100 reasons why we love Nick Carter, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Image_Galleries", "url": "http://www.angelfire.com/nc3/nicknick/"} +{"d:Title": "All Pics: Backstreet Boys", "d:Description": "Contains thumbnailed group pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Image_Galleries", "url": "http://allpics.0catch.com/backstreet_boys/"} +{"d:Title": "Best of Nick Carter", "d:Description": "Includes pictures, facts, and visitors can submit Nick pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Image_Galleries", "url": "http://www.angelfire.com/boybands/bestofnickcarter/"} +{"d:Title": "All I Have to Give Lyrics Listings", "d:Description": "Offers lyrics from the group's albums and non-albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Lyrics", "url": "http://i-still.net/lyrics/lyrics_index.html"} +{"d:Title": "BSB Canada", "d:Description": "Canadian-based emailing list providing pictures and news delivered to your inbox.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://groups.yahoo.com/group/bsb_canada/"} +{"d:Title": "Backstreet Multimedia", "d:Description": "Receive winamp skins, wallpapers, desktop icons, sound files, and other multimedia related stuff in your inbox.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://groups.yahoo.com/group/BackstreetMultimedia/"} +{"d:Title": "BSB Tastemakers", "d:Description": "BSB Tastemakers are webmasters, yahoo club owners or egroup owners helping specifically with Backstreet Boys official online street team. Members will receive news and information on how they can promote BSB and \"Black and Blue\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://groups.yahoo.com/group/BSB-OOST-Tastemakers/"} +{"d:Title": "BlacknBlue", "d:Description": "Fan club with over 700+ pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://groups.yahoo.com/group/blacknblue/"} +{"d:Title": "Kevin-Richardson", "d:Description": "Offers a place for fans of Kevin to share news, pictures, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/Kevin-Richardson/"} +{"d:Title": "Howie's Spanish Eyes", "d:Description": "Howie fan club with over 600+ pictures. Information on charity and share discussions with fellow fans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/howiesspanisheyes2/"} +{"d:Title": "Nick Carter Fan Club", "d:Description": "Over 4000+ members with discussions, news, pictures, links, and chats on Nick.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/nickcarterfanclub2/"} +{"d:Title": "Nickolas-Carter", "d:Description": "Offers a place for fans to share news, pictures, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://tech.groups.yahoo.com/group/Nickolas-Carter/"} +{"d:Title": "-05SexualBsB-", "d:Description": "A large discussion group through emails. Send pictures and discuss about the Backstreet Boys.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Mailing_Lists", "url": "http://groups.yahoo.com/group/-05SexualBsB-/"} +{"d:Title": "AJ McLean Forever", "d:Description": "Includes biography, pictures, and a separate BSB section.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://www.angelfire.com/mn/ajbsblove/"} +{"d:Title": "Tiffany`s AJ McLean and Lance Bass Site", "d:Description": "Includes biographies, links, and pictures of AJ and Lance.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://www.angelfire.com/boybands/ILL/"} +{"d:Title": "AJ Bone 69", "d:Description": "Includes pictures, articles, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://mal_pal_69.tripod.com/index.htm"} +{"d:Title": "Bone Heaven", "d:Description": "Contains pictures, quotes, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://www.angelfire.com/music/boneheaven/"} +{"d:Title": "Got Bone?", "d:Description": "Includes pictures, links, biography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://www.angelfire.com/music2/HuMpMeBoNe/"} +{"d:Title": "McLeannium Heaven", "d:Description": "Offers biography, information, fan fiction, polls, pictures, search engine, HTML help, voting, links, quotes, appearances, message board, poetry, fan profiles, fan art, \"Marry\" AJ section, tour dates, lyrics, and mailing list. Includes information on Johnny No Name and Denise McLean (AJ's mother).", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://members.tripod.com/toy69_2000/"} +{"d:Title": "I Wanna Be Happy", "d:Description": "Includes links, fan fiction, news, banners, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://www.angelfire.com/music3/AJholicsAnonymous/"} +{"d:Title": "AJ Arrestor", "d:Description": "Includes pictures, information, music, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://www.angelfire.com/sd/AJ/"} +{"d:Title": "Johnny No Name - AJ McLean", "d:Description": "Contains concert pictures and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://www.angelfire.com/boybands/JohnnyNoNameAJ/index.html"} +{"d:Title": "Johnny's Vein", "d:Description": "Includes pictures, biography, quotes, set list, commentary, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/McLean,_AJ", "url": "http://johnnysvein.tripod.com/"} +{"d:Title": "Backstreet Boys at Music Olympus", "d:Description": "Includes band history, biographies, lyrics, pictures, news, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.musicolympus.com/backstreetboys/"} +{"d:Title": "Bestcelebritysites.com: Backstreet Boys", "d:Description": "List of links ranked, rated and reviewed.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.bestcelebritysites.com/backstreet-boys/"} +{"d:Title": "The Backstreet Boys in Elwood City", "d:Description": "Features animated versions of Backstreet Boys, music video clips, lyrics, poster, coloring sheets, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.pbskids.org/arthur/backstreet/"} +{"d:Title": "Artist Direct: Backstreet Boys", "d:Description": "Offers a biography, photograph, links, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,568035,00.html"} +{"d:Title": "Topix: Backstreet Boys News", "d:Description": "Offers updated news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.topix.com/who/backstreet-boys"} +{"d:Title": "RollingStone.com: Backstreet Boys", "d:Description": "Includes biography, discography, photos, articles, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.rollingstone.com/music/artists/backstreet-boys"} +{"d:Title": "MTV: Backstreet Boys", "d:Description": "Features music video clips, album reviews, and MTV Online Web exclusives.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.mtv.com/artists/backstreet-boys/"} +{"d:Title": "Songsterr: Backstreet Boys", "d:Description": "Bass guitar tabs for Backstreet Boys songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Resources", "url": "http://www.songsterr.com/a/wsa/backstreet-boys-tabs-a778?inst=bass"} +{"d:Title": "Mr. Body Beautiful", "d:Description": "Features facts, news, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Richardson,_Kevin", "url": "http://www.angelfire.com/ks/iluvkev/"} +{"d:Title": "It's Gotta Be Kev", "d:Description": "Includes a biography, pictures, audio clips, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Richardson,_Kevin", "url": "http://www.angelfire.com/al2/kevvykev/"} +{"d:Title": "Backstreet Man, The", "d:Description": "Offers pictures, articles, interviews, and information about Kevin's charity projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Richardson,_Kevin", "url": "http://www.angelfire.com/or/KevinR/"} +{"d:Title": "Kevin Richardson", "d:Description": "Offers concert reviews, pictures, biography, news, fan fiction, humor, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Richardson,_Kevin", "url": "http://www.angelfire.com/celeb/kevykev/"} +{"d:Title": "Krazy For Kevvy", "d:Description": "Includes information, pictures, sound files, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Richardson,_Kevin", "url": "http://www.angelfire.com/ky/KevvyAngel/"} +{"d:Title": "Luvin' Kev 4Ever", "d:Description": "Includes a chat transcript, pictures, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Richardson,_Kevin", "url": "http://www.angelfire.com/fl2/LuvKev/"} +{"d:Title": "Larger Than Life", "d:Description": "Offers background information, photos, and fan fiction for this Canadian Backstreet Boys tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Tribute_Bands", "url": "http://www.angelfire.com/boybands/largerthanlifeboyz/"} +{"d:Title": "BSB Fan Fiction Web Ring", "d:Description": "Web ring for Backstreet Boys fan fiction sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Web_Rings", "url": "http://www.angelfire.com/ca2/theyvegotitgoinon/webring.html"} +{"d:Title": "Webring: Bittersweet Delight", "d:Description": "Selection of fan site listings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Web_Rings", "url": "http://www.webring.org/hub/bttrswt_dlght"} +{"d:Title": "WebRing: Backstreet Boys", "d:Description": "Ring containing sites about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Web_Rings", "url": "http://www.webring.org/hub/backsbring"} +{"d:Title": "WebRing: Mature BSB Fan Fiction", "d:Description": "For mature fan fiction sites about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Web_Rings", "url": "http://www.webring.org/hub/maturefanfic"} +{"d:Title": "Backstreet Boys : The Realm", "d:Description": "Web ring of the group's fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Web_Rings", "url": "http://www.webring.org/hub/bsbrulez"} +{"d:Title": "WebRing: Brian Littrell", "d:Description": "Ring containing the sites of Brian Littrell's supporters.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Backstreet_Boys/Web_Rings", "url": "http://www.webring.org/hub/brianlover"} +{"d:Title": "Without You - Badfinger Biography Pages", "d:Description": "Features interviews and features, book reviews, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badfinger", "url": "http://www.mindspring.com/~crimson3/"} +{"d:Title": "Wish You Were Here", "d:Description": "Includes discography, news, photo gallery and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badfinger", "url": "http://www.angelfire.com/nv/Badfinger/MAIN.html"} +{"d:Title": "Oldie Lyrics: Badfinger", "d:Description": "Lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badfinger", "url": "http://www.oldielyrics.com/b/badfinger.html"} +{"d:Title": "Badfinger Web Ring", "d:Description": "Directory of links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badfinger", "url": "http://badfingerlinks.bravepages.com/"} +{"d:Title": "Yahoo Clubs: Badfinger Boogie Club", "d:Description": "Includes message board and monthly fan chats.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badfinger", "url": "http://launch.groups.yahoo.com/group/badfingerboogie2/"} +{"d:Title": "Yahoo Clubs: Badfinger Fans", "d:Description": "Features a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badfinger", "url": "http://launch.groups.yahoo.com/group/badfingerfans/"} +{"d:Title": "Tom Brennan's Badfinger Library", "d:Description": "Song lyrics, concert listings with memorabilia, international illustrated discography, recording session listings, and radio and TV appearances.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badfinger", "url": "http://badfinge.ipower.com/"} +{"d:Title": "DaveMcNally.com: The Badlees", "d:Description": "Lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badlees,_The", "url": "http://www.davemcnally.com/Lyrics/TheBadlees/"} +{"d:Title": "Badly Drawn Boy", "d:Description": "Official site includes discography, interview, wallpaper, lyrics, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.badlydrawnboy.co.uk/"} +{"d:Title": "All-Reviews.com: Badly Drawn Boy", "d:Description": "Review of the album \"The Hour of Bewilderbeast\", by LarryG.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.all-reviews.com/music/hour-of-bewilderbeast.htm"} +{"d:Title": "BBC News: Mercury joy for Badly Drawn Boy", "d:Description": "Damon Gough won the Mercury Music Prize for his album \"The Hour Of Bewilderbeast.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://news.bbc.co.uk/1/hi/entertainment/922506.stm"} +{"d:Title": "Contactmusic - Badly Drawn Boy", "d:Description": "Featuring the news, reviews, biography, links and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.contactmusic.com/info/badly_drawn_boy"} +{"d:Title": "Beggars Group: Badly Drawn Boy", "d:Description": "News, biography, discography, and audio and video files from his American record label.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.beggarsgroupusa.com/badlydrawnboy/"} +{"d:Title": "Badly Drawn Boy - The Hour of Bewilderbeast", "d:Description": "Review of the album: \"light, breezy and atmospheric\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.musicomh.com/reviews/albums/badly-drawn-boy-the-hour-of-bewilderbeast"} +{"d:Title": "MusicOHM.com: Badly Drawn Boy - About A Boy", "d:Description": "Review of the original soundtrack recording.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.musicomh.com/reviews/albums/badly-drawn-boy-about-a-boy"} +{"d:Title": "Music OMH: Badly Drawn Boy at The Shepherds Bush Empire", "d:Description": "Review of Damon Gough at a 2000 West London concert.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.musicomh.com/reviews/live/badly-drawn-boy-the-shepherds-bush-empire"} +{"d:Title": "Metacritic: The Hour of Bewilderbeast", "d:Description": "Multiple critic and user reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badly_Drawn_Boy", "url": "http://www.metacritic.com/music/the-hour-of-bewilderbeast/badly-drawn-boy"} +{"d:Title": "ARTISTdirect: Badmarsh", "d:Description": "Profile and photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badmarsh", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,570927,00.html"} +{"d:Title": "Contactmusic.com: Signs", "d:Description": "Brief album review; rated 4 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badmarsh", "url": "http://www.contactmusic.com/pages/reviews25june11"} +{"d:Title": "Erykah Badu Has a Good Attitude (But No One's Perfect) - NY Rock", "d:Description": "Article marking the 1997 debut album \"Baduizm.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.nyrock.com/erykah_badu.htm"} +{"d:Title": "Listening Party \"Baduizm\" - Erykah Badu", "d:Description": "Transcript of an online listening party - fans listen to the album simultaneously and discuss it on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.soul-patrol.com/funk/eryk_pty.htm"} +{"d:Title": "Rock On The Net: Erykah Badu", "d:Description": "Biographical information, timeline, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.rockonthenet.com/artists-b/erykahbadu_main.htm"} +{"d:Title": "Erykah Badu lyrics", "d:Description": "Complete lyrics for albums \"Baduizm,\" \"Live,\" \"Mama's Gun,\" and the song \"Southern Gul.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.azlyrics.com/b/badu.html"} +{"d:Title": "UnRated Magazine: Erykah Badu", "d:Description": "Live concert review and photos from Chicago House of Blues.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=64"} +{"d:Title": "Tha Mecca - Erykah Badu", "d:Description": "Includes biography, album reviews, news, photo gallery, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.angelfire.com/freak/mistafoshay/music/badu/main.html"} +{"d:Title": "ARTISTdirect Network: Erykah Badu", "d:Description": "Audio clips, merchandise, tour dates, news, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,568510,00.html"} +{"d:Title": "Rollingstone.com: Erykah Badu", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.rollingstone.com/music/artists/eryka-badu"} +{"d:Title": "AskMen.com: Erykah Badu", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.askmen.com/celebs/women/singer_60/77_erykah_badu.html"} +{"d:Title": "MTV: Erykah Badu", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.mtv.com/artists/erykah-badu/"} +{"d:Title": "Hip Online: Erykah Badu", "d:Description": "Biography, an interview, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://hiponline.com/217/erykah-badu.html"} +{"d:Title": "Metacritic: Mama's Gun", "d:Description": "Links for several reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Badu,_Erykah", "url": "http://www.metacritic.com/music/mamas-gun/erykah-badu"} +{"d:Title": "Review: Bad Brains, God of Love", "d:Description": "Album review by Martin Bate.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Brains", "url": "http://www.westnet.com/consumable/1995/07.07/revbadbr.html"} +{"d:Title": "WashingtonPost.com - Bad Brains 'God of Love'", "d:Description": "Album review by Mark Jenkins.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Brains", "url": "http://www.washingtonpost.com/wp-srv/local/longterm/music/rbadbra.htm"} +{"d:Title": "Bad Brains - Black Dots Lyrics", "d:Description": "Lyrics for the songs on the Black Dots LP.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Brains", "url": "http://members.tripod.com/parolesdunpunk/Bad_Brains/Black.htm"} +{"d:Title": "RollingStone.com: Bad Brains", "d:Description": "Includes a discography, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Brains", "url": "http://www.rollingstone.com/music/artists/bad-brains"} +{"d:Title": "Free-Bad Company-Paul Rodgers fan website", "d:Description": "Information about concerts, biographies, news, photos and Chat room covering all the above bands.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Company", "url": "http://www.allrightnow.com/fws/"} +{"d:Title": "Bad Company Lyrics", "d:Description": "Bad Company song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Company", "url": "http://lyrics.rockmagic.net/lyrics/bad_company/"} +{"d:Title": "DaveMcNally.com: Bad Company Lyrics", "d:Description": "Lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Company", "url": "http://www.davemcnally.com/Lyrics/BadCompany/"} +{"d:Title": "Paul Rodgers Official Website", "d:Description": "Bad Company's lead vocalist's site. Biography, concert dates, discography, news, reviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Company", "url": "http://www.paulrodgers.com/"} +{"d:Title": "Bad Company", "d:Description": "Official band website with discography, picture gallery and reunion tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Company", "url": "http://www.badcompany.com/"} +{"d:Title": "Prindle Record Reviews - Bad Company", "d:Description": "A brief history and reviews of several albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Company", "url": "http://www.markprindle.com/badcoa.htm"} +{"d:Title": "RollingStone.com: Bad Company", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Company", "url": "http://www.rollingstone.com/music/artists/bad-company"} +{"d:Title": "Lyrics.com: Bad English", "d:Description": "Lyrics to their debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_English", "url": "http://www.lyrics.com/index.php/artists/name/bad-english"} +{"d:Title": "All Music Guide: Bad English", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_English", "url": "http://www.allmusic.com/artist/bad-english-mn0000077264"} +{"d:Title": "Bad Livers - mutAnts of bluegrass", "d:Description": "Features history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Livers", "url": "http://www.holeworld.com/outer/livers.html"} +{"d:Title": "DannyBarnes.com", "d:Description": "Highlighting singer/songwriter/banjo player Danny Barnes' work outside of the Bad Livers. Biography, tour dates, essays by Danny and sound and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Livers", "url": "http://www.dannybarnes.com/"} +{"d:Title": "MarkRubin.com", "d:Description": "Bad Livers bassist/tubaist Mark Rubin's personal site. Includes biography, discography, his klezmer ensemble Rubinchik's Orkestyr and other projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Livers", "url": "http://www.markrubin.com/"} +{"d:Title": "BMM Lovers", "d:Description": "Fan site for Bad Mood Mike. Includes concert reviews and photos of the boys.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bad_Mood_Mike", "url": "http://www.angelfire.com/music4/badmoodmike/"} +{"d:Title": "David Baerwald Info Source", "d:Description": "News, biography, discography, MP3s, mailing list, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baerwald,_David", "url": "http://www.dbinfosource.com/"} +{"d:Title": "All Music Guide: David Baerwald", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baerwald,_David", "url": "http://www.allmusic.com/artist/david-baerwald-p3615"} +{"d:Title": "Joan Baez", "d:Description": "Astrocartography of Joan Baez's least-aspected Venus. Also includes a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baez,_Joan", "url": "http://www.dominantstar.com/b_baez.htm"} +{"d:Title": "FolkLib Index for Joan Baez", "d:Description": "Links for Joan Baez: home pages, discographies, itineraries, lyrics, and film credits.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baez,_Joan", "url": "http://www.folklib.net/index/b/baez_joan.shtml"} +{"d:Title": "Planet Baez", "d:Description": "Collection of links related to the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baez,_Joan", "url": "http://www.zipcon.com/~highroad/joanbaez.htm"} +{"d:Title": "Baha Men: Back to the Island", "d:Description": "The tropical group who let the dogs out sit down for a chat with Jay S. Jacobs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baha_Men,_The", "url": "http://www.popentertainment.com/bahamen.htm"} +{"d:Title": "Baha Men Official Site", "d:Description": "Includes biography, photos, history, and samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baha_Men,_The", "url": "http://www.bahamen.com/"} +{"d:Title": "All Music Guide: Baha Men", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baha_Men,_The", "url": "http://www.allmusic.com/artist/p32016"} +{"d:Title": "Global Darkness: Arthur Baker", "d:Description": "Biography, photograph, Breaker's Revenge review, and audio clip.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Arthur", "url": "http://www.globaldarkness.com/articles/arthur_baker_bio.htm"} +{"d:Title": "Jahsonic: Arthur Baker", "d:Description": "Artist profile, and photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Arthur", "url": "http://www.jahsonic.com/ArthurBaker.html"} +{"d:Title": "Mick Sinclair Archive, The: Arthur Baker", "d:Description": "Interview with the record producer.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Arthur", "url": "http://www.micksinclair.com/sounds/baker.html"} +{"d:Title": "Contactmusic: Arthur Baker", "d:Description": "Breakin, 12\" review.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Arthur", "url": "http://www.contactmusic.com/pages/reviews03sept13"} +{"d:Title": "Ginger Baker", "d:Description": "Biography and black and white photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Ginger", "url": "http://www.jackbruce.com/cream/ginger.htm"} +{"d:Title": "DrummerWorld.com: Ginger Baker", "d:Description": "Collection of photographs of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Ginger", "url": "http://www.drummerworld.com/drummers/Ginger_Baker.html"} +{"d:Title": "Ginger Baker", "d:Description": "Detailed biography accompanied by several photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Ginger", "url": "http://gpatt.customer.netspace.net.au/cream/ginger1.htm"} +{"d:Title": "Ginger Baker's Website and Archive", "d:Description": "Official site run by Baker's family features articles, videos, time line, and tutorials and forum for drummers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_Ginger", "url": "http://www.gingerbaker.com/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baker,_LaVern", "url": "http://rockhall.com/inductees/lavern-baker/"} +{"d:Title": "All Music Guide: Dewey Balfa", "d:Description": "Provides a biography, discography, facts, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Balfa,_Dewey", "url": "http://www.allmusic.com/artist/dewey-balfa-p713"} +{"d:Title": "Rosebud Agency: Marcia Ball", "d:Description": "Profile, news, tour dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ball,_Marcia", "url": "http://www.rosebudus.com/ball/"} +{"d:Title": "Marcia Ball", "d:Description": "Official site includes schedule, reviews, pictures, and journal.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ball,_Marcia", "url": "http://www.marciaball.com/"} +{"d:Title": "The Official Michael Ball Website", "d:Description": "Features news, biography, press, links and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ball,_Michael", "url": "http://www.michaelball.co.uk/"} +{"d:Title": "IMDb: Michael Ball", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ball,_Michael", "url": "http://www.imdb.com/name/nm0050431/"} +{"d:Title": "Rock and Roll Hall of Fame: Hank Ballard", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ballard,_Hank_and_the_Midnighters", "url": "http://rockhall.com/inductees/hank-ballard/"} +{"d:Title": "All Music Guide: Baltimora", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baltimora", "url": "http://www.allmusic.com/artist/baltimora-p21752"} +{"d:Title": "AskMen.com: Charli Baltimore", "d:Description": "Includes mini-biography, interview and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baltimore,_Charli", "url": "http://www.askmen.com/toys/interview_60/61_charli_baltimore_interview.html"} +{"d:Title": "All Music Guide: Charli Baltimore", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Baltimore,_Charli", "url": "http://www.allmusic.com/artist/charli-baltimore-p366882"} +{"d:Title": "Bananarama.co.uk", "d:Description": "Official site features news, biographies, discography, photos, video clips, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bananarama", "url": "http://www.bananarama.co.uk/"} +{"d:Title": "The Band", "d:Description": "Documents The Band's entire career, from their start as the Hawks to the present, and to keep their fans updated on the current activities of the group and its members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Band,_The", "url": "http://theband.hiof.no/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Band", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Band,_The", "url": "http://www.sfloman.com/theband.html"} +{"d:Title": "RollingStone.com: The Band", "d:Description": "Biography, discography, photographs, articles, video, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Band,_The", "url": "http://www.rollingstone.com/music/artists/the-band"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Band,_The", "url": "http://rockhall.com/inductees/the-band/"} +{"d:Title": "TheBangles.com", "d:Description": "Official site. Biography, news, photo gallery, discography, lyrics, tour dates, desktop themes, poll, message board, fan club, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The", "url": "http://www.thebangles.com/"} +{"d:Title": "Popentertainment.com: The Bangles", "d:Description": "Singer and guitarist Vicki Peterson talks to Jay S. Jacobs about the band's first studio album in fourteen years.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The", "url": "http://www.popentertainment.com/thebangles.htm"} +{"d:Title": "Oldielyrics: Bangles", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The", "url": "http://www.oldielyrics.com/t/the_bangles.html"} +{"d:Title": "thebangles.org", "d:Description": "Features various interesting photos and scans of the band, and assorted information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The", "url": "http://www.thebangles.org/"} +{"d:Title": "California Gurls", "d:Description": "Photographs of the band and individual members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The", "url": "http://members.tripod.com/~xxoodenise/"} +{"d:Title": "Yuku: The Bangles", "d:Description": "Web-based, threaded discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The", "url": "http://returnpost.yuku.com/forum/view/id/5"} +{"d:Title": "Mr Bill's IRS Corner: Bangles", "d:Description": "Information on the Bangles' first EP, part of this extensive website about IRS records. Also features a page on Debbi Peterson's band, Kindred Spirit", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The", "url": "http://www.amcorner.com/irscorner/b/bangles.html"} +{"d:Title": "The Bangles - Doll Revolution", "d:Description": "Jay S. Jacobs' review of the Bangles first album in fourteen years.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The/Reviews", "url": "http://www.popentertainment.com/bangles.htm"} +{"d:Title": "AMG All Music Guide: All Over the Place", "d:Description": "Review by Mark Deming.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The/Reviews/All_Over_the_Place", "url": "http://www.allmusic.com/album/all-over-the-place-mw0000189166"} +{"d:Title": "AMG All Music Guide: Different Light", "d:Description": "Review by Mark Deming.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The/Reviews/Different_Light", "url": "http://www.allmusic.com/album/different-light-mw0000192898"} +{"d:Title": "AMG All Music Guide: Everything", "d:Description": "Review by Mark Deming.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bangles,_The/Reviews/Everything", "url": "http://www.allmusic.com/album/everything-mw0000197855"} +{"d:Title": "Online With Bardot", "d:Description": "Includes News, tour guide, music, movies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bardot", "url": "http://www.angelfire.com/pop/popstarsbardot/"} +{"d:Title": "Real Bardot", "d:Description": "Biography, discography, photos, audio, video, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bardot", "url": "http://realbardot.tripod.com/"} +{"d:Title": "Wikipedia: Sara Bareilles", "d:Description": "Includes biographical information, career profile, discography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bareilles,_Sara", "url": "http://en.wikipedia.org/wiki/Sara_Bareilles"} +{"d:Title": "Sara Bareilles", "d:Description": "Official site with news, biography, music and videos clips, a community forum, and an online store.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bareilles,_Sara", "url": "http://www.sarabmusic.com/"} +{"d:Title": "Sara Bareilles Fans", "d:Description": "Fan site with news, biography, discography, photos, videos, fan art, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bareilles,_Sara", "url": "http://sarabfans.com/"} +{"d:Title": "Pinch Me! The Barenaked Ladies Fanlisting", "d:Description": "Unites and lists fans of the Canadian band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies", "url": "http://somefantastic.net/bnl/"} +{"d:Title": "Barenaked Ladies", "d:Description": "Official site. News, concert dates, music samples, videos, discography, photo gallery, multimedia.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies", "url": "http://www.bnlmusic.com/"} +{"d:Title": "One Week with the Barenaked Ladies", "d:Description": "Jay S. Jacobs talks to Canada's funniest band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.popentertainment.com/barenake.htm"} +{"d:Title": "All-Reviews.com - Maroon", "d:Description": "Short, mostly favorable review of the album by LarryG.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.all-reviews.com/music/maroon.htm"} +{"d:Title": "Boston.com: Barenaked Ladies get serious", "d:Description": "Review of the album \"Everything to Everyone\" by Steve Morse of the Boston Globe.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.boston.com/news/globe/living/articles/2003/10/22/barenaked_ladies_get_serious/"} +{"d:Title": "Entertainment Ave: Barenaked Ladies", "d:Description": "Review of a concert at The Tweeter Center in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/barenaked_ladies/bl082401.htm"} +{"d:Title": "Entertainment Ave: Barenaked Ladies", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/bnl.htm"} +{"d:Title": "Entertainment Ave: Barenaked Ladies", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/barenaked_ladies/bl122796.htm"} +{"d:Title": "Entertainment Ave: Barenaked Ladies", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/barenaked_ladies/bl060596.htm"} +{"d:Title": "Steven Page - Wine X", "d:Description": "Steven Page does a celebrity interview on the Wine X magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.winexmagazine.com/index.php/wine/viewplay/steven-page-of-barenaked-ladies/"} +{"d:Title": "Jam Music - The Barenaked Ladies", "d:Description": "Collection of articles and reviews dating back to 1996.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/B/Barenaked_Ladies/"} +{"d:Title": "Metacritic: Maroon", "d:Description": "Collection of both critic and user reviews for Maroon.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Articles_and_Interviews", "url": "http://www.metacritic.com/music/maroon/barenaked-ladies"} +{"d:Title": "Rock Publication", "d:Description": "Jim Creeggan interview, by Randy Cohen.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Creeggan,_Jim", "url": "http://www.rockpublication.com/bnlinterview.htm"} +{"d:Title": "Bnlweb: Jim Creeggan", "d:Description": "Includes a biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Creeggan,_Jim", "url": "http://bnlweb.tripod.com/jim.htm"} +{"d:Title": "Rock Publication: A Jim Creeggan Interview", "d:Description": "Jim discusses his current band, the Barenaked Ladies and his solo project, the Brothers Creeggan with brother Andy.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Creeggan,_Jim/Articles_and_Interviews", "url": "http://www.rockpublication.com/bnlinterview.htm"} +{"d:Title": "Barenaked-music.ch", "d:Description": "Biographies, news, faq, concert reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://barenaked-music.ch/"} +{"d:Title": "Barenaked.net", "d:Description": "MP3s, show archive, discography, lyrics, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.barenaked.net/"} +{"d:Title": "BNL Online", "d:Description": "Features lyrics, links, albums, biographies, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.angelfire.com/mn/BNLonline/"} +{"d:Title": "All-Inclusive Barenaked Ladies", "d:Description": "Biography, discography, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://members.tripod.com/BNL/BNL.html"} +{"d:Title": "Kate's Barenaked World", "d:Description": "Features chat transcripts, pictures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.angelfire.com/ct/creegganfan/"} +{"d:Title": "The Old Apartment", "d:Description": "Live concert audio, rare MP3s, lyrics, and Barenaked Chatter on the Barenaked Ladies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.angelfire.com/band/BNL/"} +{"d:Title": "Mysd.Org", "d:Description": "Games, trivia, news, contests, message board, FAQ, photos, lyrics and discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.mysd.org/"} +{"d:Title": "Matt's Barenaked Ladies Page", "d:Description": "Images, sound files, guitar and bass tablature, sound files, profiles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.mattsmusicpage.com/nbare.htm"} +{"d:Title": "A Tender Moment with the Barenaked Ladies", "d:Description": "Photos and the author's story of a concert in Raleigh, North Carolina.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.sleepingcat.com/other/bnl/"} +{"d:Title": "Enid's Place", "d:Description": "Biographies and photos of the band, article, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://barenakedwhoser.tripod.com/"} +{"d:Title": "BNL Tab", "d:Description": "Tablature and chords for a selection of songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://bnltab.tripod.com/"} +{"d:Title": "No Nudes Here, Only Barenaked Ladies", "d:Description": "Information on the official fan club, member profiles, and a section devoted to keyboardist Kevin Hearn.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.angelfire.com/band/fuzz/naked.html"} +{"d:Title": "Caught in the Barenaked Web", "d:Description": "Band history and member profiles, photographs, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://bnlweb.tripod.com/"} +{"d:Title": "Barenaked Ladies: Your Quality Obsession Source", "d:Description": "Buddy icons, fan art and fiction, photo manipulations, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.angelfire.com/band/barenekkedladies/"} +{"d:Title": "SameDiff", "d:Description": "Lyrics, rarities list, articles and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://bnl.samediff.net/"} +{"d:Title": "Cyber BNL", "d:Description": "Includes discography, lyrics, downloads, interviews with fans, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.angelfire.com/band2/bnlfan14/cyberbnl.html"} +{"d:Title": "Rock It Old School", "d:Description": "Collection of tablature written according to the way the band plays it. In chord and tab forms.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.rockitoldschool.com/"} +{"d:Title": "Great Providers", "d:Description": "Photos, concert dates, links", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Fan_Pages", "url": "http://www.angelfire.com/rock3/bnlgreatproviders/"} +{"d:Title": "Barenaked Friends of Kevin", "d:Description": "Information on Kevin's battle with leukemia. Research fundraising, information, and updates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Hearn,_Kevin", "url": "http://www.onthatnote.com/kevin/"} +{"d:Title": "Kevinhearn.com", "d:Description": "Official website for Kevin Hearn and Thin Buckle. Contains contact information, news, multimedia, tour information, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Hearn,_Kevin", "url": "http://www.kevinhearn.com/"} +{"d:Title": "Rubber Balls and Liquor: The Steven Page Fanlisting", "d:Description": "Unites and lists fans of the lead singer of Barenaked Ladies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Page,_Steven", "url": "http://somefantastic.net/steve/"} +{"d:Title": "Barenaked Ladies Crash Landed", "d:Description": "Offers news, albums/lyrics, biography, messageboard, and pictures. Requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Resources", "url": "http://www.musicfanclubs.org/barenakedladies/"} +{"d:Title": "ArtistDirect: Barenaked Ladies", "d:Description": "Contains biography, links, tour dates and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Resources", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,400979,00.html"} +{"d:Title": "RollingStone.com: Barenaked Ladies", "d:Description": "Includes a biography, discography, photos, articles, video, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Resources", "url": "http://www.rollingstone.com/music/artists/barenaked-ladies"} +{"d:Title": "MTV.com - Barenaked Ladies", "d:Description": "Includes articles as well as audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Resources", "url": "http://www.mtv.com/artists/barenaked-ladies/"} +{"d:Title": "Yahoo Music: Barenaked Ladies", "d:Description": "Includes news, concert information, music videos and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Resources", "url": "https://music.yahoo.com/artist/barenaked-ladies/"} +{"d:Title": "Barenaked Ladies Web Ring", "d:Description": "Listing of sites related to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barenaked_Ladies/Web_Rings", "url": "http://www.webring.org/hub?ring=jstclair"} +{"d:Title": "Bare Jr. Brainwashers", "d:Description": "News and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bare_Jr.", "url": "http://dcyanide.tripod.com/barejrbrainwashers/"} +{"d:Title": "Jimmy Barnes", "d:Description": "Official site. Includes news, tour dates, biography, discography, media, fanclub, links, and online store. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barnes,_Jimmy", "url": "http://www.jimmybarnes.com/"} +{"d:Title": "All Music Guide:Jimmy Barnes", "d:Description": "Includes history, discography, images, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barnes,_Jimmy", "url": "http://www.allmusic.com/artist/p54371"} +{"d:Title": "The Official Richard Barone Web Site", "d:Description": "The official site for popular singer-songwriter and former leader of the Bongos, Richard Barone. Features news, record shop page, and exclusive MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barone,_Richard", "url": "http://richardbarone.com/"} +{"d:Title": "Barra MacNeils, The", "d:Description": "Official site of the Cape Breton band includes album information, biography, tour dates, fan club and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barra_MacNeils,_The", "url": "http://www.barramacneils.com/"} +{"d:Title": "Dolly Rocker", "d:Description": "The Syd Barrett homepage. Includes articles, photos, discography, lyrics, chords, guitar tablature and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd", "url": "http://www.pink-floyd.org/barrett/"} +{"d:Title": "Syd Barrett: Scattered Needles", "d:Description": "Hypertext chronology, concerts, interviews, lyrics, pictures, covers and tributes with sample wav files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd", "url": "http://www.angelfire.com/wv/breastmilky/"} +{"d:Title": "Roger 'Syd' Barrett's Nephew Interview", "d:Description": "Set The Controls interview to Roger 'Syd' Barrett's Nephew.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Articles_and_Interviews", "url": "http://www.pink-floyd.org/barrett/ianintw.htm"} +{"d:Title": "Interview with Malcolm Jones", "d:Description": "The producer of Madcap Laughs and author of 'The Making of Madcap Laughs' gives an interview to a fan.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Articles_and_Interviews", "url": "http://www.pink-floyd.org/artint/jones84.htm"} +{"d:Title": "Guardian Unlimited: Piper at the gates of dementia", "d:Description": "Tim Willis goes in search of rock's most famous casualty in Madcap.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Articles_and_Interviews", "url": "http://www.theguardian.com/books/2002/oct/27/biography.pinkfloyd"} +{"d:Title": "The Observer: Disappearances can be deceptive", "d:Description": "Pink Floyd's founding genius has kept a 30-year silence and now he is the most wanted man in music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Articles_and_Interviews", "url": "http://www.theguardian.com/theobserver/2001/apr/22/featuresreview.review1"} +{"d:Title": "Thorazine, Wire Art, Syd Barrett and Me", "d:Description": "Entertaining essay on the adventures of Syd Barrett, the improbabilities of lasting on a thorazine diet, and critical instruction on wire art from the Pharoah (by Melody Laughter).", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Articles_and_Interviews", "url": "http://www.oocities.org/sunsetstrip/underground/2507/syd.html"} +{"d:Title": "VegetableFriends", "d:Description": "The original Syd Barrett and Robyn Hitchcock Discussion Group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/VegetableFriends/"} +{"d:Title": "LaughingMadcaps Syd Barrett Group", "d:Description": "A source for information on Syd himself and the early Pink Floyd.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/MadcapsLaughing/"} +{"d:Title": "Syd Barret Archives", "d:Description": "A compherensive site about Syd Barret, containing discography, lyrics, information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Fan_Pages", "url": "http://www.sydbarrett.net/"} +{"d:Title": "Barrettsong Lucky Mojo Lyricset", "d:Description": "Lyrics, songstories and related quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Lyrics", "url": "http://www.luckymojo.com/barrett/songswords.html"} +{"d:Title": "Syd Lyrics", "d:Description": "Detailed lyrical witticisms of a certain Mr. Sydney Barrett.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrett,_Syd/Lyrics", "url": "http://www.pink-floyd.org/barrett/sydlyrics.html"} +{"d:Title": "Fantasia's AI3 Performances", "d:Description": "MP3 files of her performances on American Idol.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrino,_Fantasia", "url": "http://www.angelfire.com/al4/fantasiabarrino/"} +{"d:Title": "AskMen.com: Fantasia Barrino", "d:Description": "Feature includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barrino,_Fantasia", "url": "http://www.askmen.com/celebs/women/singer_250/262_fantasia_barrino.html"} +{"d:Title": "Barstool Prophets", "d:Description": "Official website for the Ottawa-Cornwall based band provides news, photos and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barstool_Prophets", "url": "http://www.barstoolprophets.com/"} +{"d:Title": "Canoe.ca: Barstool Prophets", "d:Description": "Includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Barstool_Prophets", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/B/Barstool_Prophets.html"} +{"d:Title": "Basement Jaxx News: Topix", "d:Description": "News about Basement Jaxx continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basement_Jaxx", "url": "http://www.topix.com/who/basement-jaxx"} +{"d:Title": "Basement Jaxx", "d:Description": "Official site with news items, diary, pictures, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basement_Jaxx", "url": "http://www.basementjaxx.co.uk/"} +{"d:Title": "MTV: Basement Jaxx", "d:Description": "Profile, pictures, audio/video, news, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basement_Jaxx", "url": "http://www.mtv.com/artists/basement-jaxx/"} +{"d:Title": "Crud Reviews: Basement Jaxx", "d:Description": "Review of the band's album \"Rooty\", by Priya Elangasinghe.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basement_Jaxx/Reviews", "url": "http://www.2-4-7-music.com/newsitems/june01/basement_jaxx.htm"} +{"d:Title": "The Official Glasgow Barrowland Ballroom: Basement Jaxx", "d:Description": "Diamond Dog's review of the group's concert: \"this live set really is something to experience.\" With photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basement_Jaxx/Reviews", "url": "http://www.glasgow-barrowland.com/reviews/bbc/basement_jaxx.html"} +{"d:Title": "musicomh.com: Basement Jaxx", "d:Description": "Review of the band live at The Brixton Academy in London in 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basement_Jaxx/Reviews", "url": "http://www.musicomh.com/reviews/live/basement-jaxx-brixton-academy-london"} +{"d:Title": "Metacritic: Basement Jaxx: Rooty", "d:Description": "Reviews for Rooty by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basement_Jaxx/Reviews", "url": "http://www.metacritic.com/music/rooty/basement-jaxx"} +{"d:Title": "DaveMcNally.com: Basia", "d:Description": "Lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basia", "url": "http://www.davemcnally.com/Lyrics/Basia/"} +{"d:Title": "Basia", "d:Description": "Official site for the singer features news, pictures, audio, video, discography, biography, lyrics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Basia", "url": "http://www.basiasongs.com/"} +{"d:Title": "The Shirley Bassey Blog", "d:Description": "Contains news, reviews, discography, song database, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bassey,_Shirley", "url": "https://shirleybassey.wordpress.com/"} +{"d:Title": "Rowe, Carolyn", "d:Description": "British tribute artist's site features information on her shows and gowns, career highlights, pictures, videos and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bassey,_Shirley/Tribute_Acts", "url": "http://www.shirleybassey.net/"} +{"d:Title": "Randell, Paula", "d:Description": "U.K. tribute artist. Biography, client list, audio, video, themed shows and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bassey,_Shirley/Tribute_Acts", "url": "http://www.dameshirleybasseyshow.com/"} +{"d:Title": "Canoe.ca: Bass is Base", "d:Description": "Offers a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bass_Is_Base", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/B/Bass_Is_Bass.html"} +{"d:Title": "The Bathers Unofficial Site", "d:Description": "Discography of official and bootleg releases, image gallery, videos, audio and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bathers,_The", "url": "http://www.thebathers.com/"} +{"d:Title": "Jennifer Batten", "d:Description": "Official site features sound clips, information, CDs and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Batten,_Jennifer", "url": "http://www.batten.com/"} +{"d:Title": "The Batten Report", "d:Description": "Jennifer talks about life on the road with Jeff Beck.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Batten,_Jennifer", "url": "http://www.ainian.com/batten.html"} +{"d:Title": "Guitar Nine Records", "d:Description": "Review of 'Momentum'.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Batten,_Jennifer", "url": "http://www.guitar9.com/momentum.html"} +{"d:Title": "Through Different Eyes", "d:Description": "Review of 'Above Below and Beyond'.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Batten,_Jennifer", "url": "http://home.swipnet.se/tde/lb/jennifer_batten/above_below_and_beyond.html"} +{"d:Title": "Guitar News Weekly", "d:Description": "Interview with Batten.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Batten,_Jennifer", "url": "http://www.guitarsite.com/newsletters/990802/10.shtml"} +{"d:Title": "ItalianProg: Franco Battiato", "d:Description": "A biography, discography up to 1981 and some record cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Battiato,_Franco", "url": "http://www.italianprog.com/a_battiato.htm"} +{"d:Title": "Gnosis2000.net: Franco Battiato", "d:Description": "Short reviews of the albums from his experimental period.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Battiato,_Franco", "url": "http://gnosis2000.net/reviews/battiato.htm"} +{"d:Title": "IMDb: Franco Battiato", "d:Description": "About his work on movies, including a filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Battiato,_Franco", "url": "http://www.imdb.com/name/nm0061394/"} +{"d:Title": "Head Heritage: Battiato - Fetus", "d:Description": "His Fetus album selected as July 2001 album of the month. A long review.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Battiato,_Franco", "url": "http://www.headheritage.co.uk/unsung/albumofthemonth/375"} +{"d:Title": "ProgressiveRock.com: Franco Battiato", "d:Description": "A 1970s discography with a little general information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Battiato,_Franco", "url": "http://progressiverock.com/guide/bands/battiato-franco"} +{"d:Title": "All Music Guide: Franco Battiato", "d:Description": "A biography and a discography with album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Battiato,_Franco", "url": "http://www.allmusic.com/artist/franco-battiato-mn0000659277"} +{"d:Title": "Dark Entries", "d:Description": "Provides lyrics, a chronology of the band's career and discography complete with catalog numbers and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://www.waste.org/bauhaus/"} +{"d:Title": "Eyesore: Bauhaus", "d:Description": "4AD label discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://quimby.gnus.org/html/group/bauhaus.html"} +{"d:Title": "Bauhaus Lyrics from Rock Magic", "d:Description": "Bauhaus song lyrics indexed by album and in alphabetical order.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://lyrics.rockmagic.net/lyrics/bauhaus/"} +{"d:Title": "Bauhaus Lyrics", "d:Description": "The lyrics to Bauhaus songs, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://www.davemcnally.com/Lyrics/Bauhaus/"} +{"d:Title": "Album Reviews", "d:Description": "Provides ratings and recommendations for Bauhaus albums, song lyrics, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://www.musicfolio.com/modernrock/bauhaus.html"} +{"d:Title": "RollingStone.com: Bauhaus", "d:Description": "Includes a biography, discography, photos, articles, audio/video files, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://www.rollingstone.com/music/artists/bauhaus"} +{"d:Title": "MTV: Bauhaus", "d:Description": "Bauhaus news and reviews, audio downloads, brief biography, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://www.mtv.com/artists/bauhaus/"} +{"d:Title": "Songsterr: Bauhaus", "d:Description": "Bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus", "url": "http://www.songsterr.com/a/wsa/bauhaus-tabs-a933?inst=bass"} +{"d:Title": "Daniel Ash: Daniel Ash", "d:Description": "Plume-Noire's review: \"Rather than capitalize on the easy success of revamped Bauhaus, Daniel Ash has chosen to explore new musical regions solo. The result is a daring and baroque album that combines the essence of early Love and Rockets with innovative electronic sounds.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Ash,_Daniel", "url": "http://www.plume-noire.com/music/releases/danielash.html"} +{"d:Title": "Danny Boy", "d:Description": "Fan's site with concert reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Ash,_Daniel", "url": "http://daniel-dannyboy-ash.50webs.com/"} +{"d:Title": "All Music Guide: Daniel Ash", "d:Description": "Includes a biography, discography, reviews, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Ash,_Daniel", "url": "http://www.allmusic.com/artist/daniel-ash-mn0000675732"} +{"d:Title": "David J Online", "d:Description": "Official site for information on his past and future projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/David_J", "url": "http://www.davidjonline.com/"} +{"d:Title": "All Music Guide: David J", "d:Description": "Includes a biography, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/David_J", "url": "http://www.allmusic.com/artist/p12693"} +{"d:Title": "Kevin Haskins: The Flowerpot Man", "d:Description": "Provides biography, discography, and a large selection of photos of Haskins.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Haskins,_Kevin", "url": "http://www.angelfire.com/ri/flowerpot/index.html"} +{"d:Title": "All Music Guide: Kevin Haskins", "d:Description": "Includes a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Haskins,_Kevin", "url": "http://www.allmusic.com/artist/kevin-haskins-p84992"} +{"d:Title": "Album Reviews", "d:Description": "Peter Murphy album reviews and ratings. Includes song lyrics and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Murphy,_Peter", "url": "http://musicfolio.com/modernrock/petermurphy.html"} +{"d:Title": "Metropolis: Peter Murphy", "d:Description": "His current record label provides information about his recent releases with sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Murphy,_Peter", "url": "http://www.metropolis-records.com/?/artists/?artist=murphy"} +{"d:Title": "UnRated Magazine: Peter Murphy", "d:Description": "Review of the Chicago show, with text and photos by Amie Mayes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Murphy,_Peter", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=230"} +{"d:Title": "Peter Murphy's Roll Call", "d:Description": "Yahoo mailing list dedicated to Peter Murphy. Has a large membership and topics expand beyond Murphy's work.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Murphy,_Peter", "url": "http://launch.groups.yahoo.com/group/petermurphysrollcall/"} +{"d:Title": "The Words - Lyric Archive", "d:Description": "Lyrics from Peter Murphy's solo career. Features lyrics from \"Should the World to Fall Apart\" to \"Cascade\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bauhaus/Murphy,_Peter", "url": "http://www.rooknet.net/murphy/index.html"} +{"d:Title": "Blaze Bayley", "d:Description": "Official web site with news, band information and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bayley,_Blaze", "url": "http://www.blazebayley.net/"} +{"d:Title": "MTV: BBMak", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak", "url": "http://www.mtv.com/artists/bbmak/"} +{"d:Title": "BBMak Always", "d:Description": "Pictures, lyrics, news, tour dates, encounters and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://www.angelfire.com/music2/bbmakalways/"} +{"d:Title": "BBMAK British Babes", "d:Description": "A fan site about a talented band of 3 with pictures, biography, stories and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://bbmakbabes.tripod.com/BBMak/"} +{"d:Title": "BBMak Cafe, The", "d:Description": "Contains pictures, biographies, quotes, promotions, news, tour dates, TV appearances and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://www.angelfire.com/pop/TheBBMakCafe/"} +{"d:Title": "Home of BBMak, The", "d:Description": "Includes pictures, biographies, audio, news, graphics, and desktop wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://www.angelfire.com/boybands/xbbmak/"} +{"d:Title": "BBMak Base", "d:Description": "Includes biography, news, pictures, tour information, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://bbmakdatabase.tripod.com/"} +{"d:Title": "BBMak World", "d:Description": "This fan page contains pictures, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://www.angelfire.com/pop2/bbmakworld/"} +{"d:Title": "BBBumms", "d:Description": "Dedicated to the band and their rear ends. Includes pictures and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://bbmaksgrrl.tripod.com/"} +{"d:Title": "Ghost Of You And Me", "d:Description": "Features pictures, polls, quizzes, news, fan fiction, news, biography, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://www.angelfire.com/pop2/bbmakers/index.htm"} +{"d:Title": "BBMak's Back Here Baby", "d:Description": "Fan site offers member profiles, quotes, reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BBMak/Fan_Pages", "url": "http://www.angelfire.com/music3/bbmak/main.html"} +{"d:Title": "Beach Boys Fan Club", "d:Description": "Official fan club includes a hot line, studio information and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://www.beachboysfanclub.com/"} +{"d:Title": "Imagination-Brian Wilson and the Beach Boys", "d:Description": "The site for all of the latest news, articles, and information about Brian Wilson and the Beach Boys. Home of the Gold Room Message Board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://members.tripod.com/~Records2/BrianWilson.htm"} +{"d:Title": "Ken W's Add Some Music Beach Boys Site", "d:Description": "Message board, cover gallery, poll, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://addsomemusic.homestead.com/"} +{"d:Title": "Beach Boys Monument", "d:Description": "Information about the monument at the site of the Wilson family home, includes photos and driving directions.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://www.seeing-stars.com/Immortalized/BeachBoys.shtml"} +{"d:Title": "Beach Boys, The", "d:Description": "Features news, biography, discography, photo gallery, links, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://thebeachboys.com/"} +{"d:Title": "Al Jardine", "d:Description": "Official site of the Beach Boys guitarist. Includes a tour schedule and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://www.aljardine.com/"} +{"d:Title": "Rock and Roll Hall of Fame: The Beach Boys", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://rockhall.com/inductees/the-beach-boys/"} +{"d:Title": "RollingStone.com: The Beach Boys", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys", "url": "http://www.rollingstone.com/music/artists/the-beach-boys"} +{"d:Title": "The Smile Shop", "d:Description": "All about Smile; read and listen. History, essays, tracks, sessionsand links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys/Smile", "url": "http://www.angelfire.com/mn/smileshop/start.html"} +{"d:Title": "UK Beach Boys", "d:Description": "Tribute to the Beach Boys. Song list, member profiles, photos, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys/Tribute_Bands", "url": "http://www.ukbeachboys.co.uk/"} +{"d:Title": "Beach Boys SMiLE", "d:Description": "Tribute act from the UK. Features downloads, pictures and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beach_Boys/Tribute_Bands", "url": "http://www.beachboyssmile.co.uk/"} +{"d:Title": "Beastie Boys", "d:Description": "Official site. News, biography, lyrics, tour dates, timeline, message board, audio, video, merchandise, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.beastieboys.com/"} +{"d:Title": "Nasty Little Man", "d:Description": "Beastie Boys press agency, tour dates, release schedule, press photos, ticket info, biography, cover art.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.nastylittleman.com/Clients/beastieboys/beastieboys.html"} +{"d:Title": "Wikipedia: Beastie Boys", "d:Description": "Bio, discography, images, album reviews, links, billboard charts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://en.wikipedia.org/wiki/Beastie_Boys"} +{"d:Title": "Beastie Boys Lyrics Annotated", "d:Description": "A complete guide to the references and samples used in each of the Beastie Boys albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.beastieboysannotated.com/"} +{"d:Title": "Oscilloscope Laboratories", "d:Description": "Beastie Boys studio, picture of day, news, internal.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.oscilloscope.net/"} +{"d:Title": "Metroactive Music", "d:Description": "Album review, Beastie Masterings, information about Hello Nasty.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.metroactive.com/papers/metro/07.23.98/beastieboys-9829.html"} +{"d:Title": "Mario G. Caldato Jr.", "d:Description": "Producer and friend of Beastie Boys. Interactive website with background information about Beastie Boys.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.mariocaldatojr.com/"} +{"d:Title": "The C64 Take-away podcast", "d:Description": "Beastie Boys Remix Podcasts, Beastie Boys record reviews, live events.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://c64takeaway.com/2005/08/07/episode-008/"} +{"d:Title": "Discogs Beastie Boys", "d:Description": "Discography, Release Dates, Label Information, Reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.discogs.com/artist/Beastie+Boys"} +{"d:Title": "Flickr: Beastie Boys Photos", "d:Description": "Photos, background information, related posts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.flickr.com/photos/beastie_boys/"} +{"d:Title": "Beastie Soundwave", "d:Description": "Renegade soundwave, Beastie Boys, Soundclash, Mash-Ups, Remixes, Roland TR-808.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.renegadeboys.net/"} +{"d:Title": "Beastiemania.com", "d:Description": "Discography, bideography, book reviews and everything else Beastie Boys related.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.beastiemania.com/"} +{"d:Title": "Wall to Wall", "d:Description": "Beastie Boys photos, videos, audio, articles, and other fun stuff.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://beastieboys.tumblr.com/"} +{"d:Title": "RollingStone.com: Beastie Boys", "d:Description": "Includes a biography, photos, articles, album reviews, videos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.rollingstone.com/music/artists/beastie-boys"} +{"d:Title": "MTV: Beastie Boys", "d:Description": "Features music video clips, album reviews and online web exclusives.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys", "url": "http://www.mtv.com/artists/beastie-boys/"} +{"d:Title": "Intergalactic Planetary Beastie Boys Site", "d:Description": "Discography, lyrics, FAQ, history, news, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://www.musicfanclubs.org/beastieboys"} +{"d:Title": "The Beastie Pad", "d:Description": "Features an older photo archive, lyrics, and a trading section.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://hem.bredband.net/ullshe/"} +{"d:Title": "A Postmodern Analysis of \"Shadrach\"", "d:Description": "Detailed analysis of Beastie Boys' \"Shadrach\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://www.sklar.com/page/article/shadrach"} +{"d:Title": "BeastieMixes", "d:Description": "Beastie Boys Remix Hosting, MP3 Downloads, Live Shows, A cappellas.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://www.beastiemixes.com/"} +{"d:Title": "Beastie Beats", "d:Description": "Includes a band history, discography with lyrics, and over 70 Beastie Boys photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://www.angelfire.com/tx/COJACK/BeastieBoys/"} +{"d:Title": "Beastie Boys' G-Son studio", "d:Description": "Photos, web design, print design, flash animation.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://www.adamroyer.com/beastie/"} +{"d:Title": "The Brouhaha", "d:Description": "Interviews, Podcasts, Album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://thebrouhaha.podbean.com/"} +{"d:Title": "Beastie Boys Photo Gallery", "d:Description": "Photo gallery, User gallery, merchandise, scans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beastie_Boys/Fan_Pages", "url": "http://beastieboysgallery.com/"} +{"d:Title": "The Beat", "d:Description": "Official site of the 80's ska band, now reformed and performing new compositions. News and interviews, photos, show dates, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beat,_The", "url": "http://www.thebeatofficial.com/"} +{"d:Title": "Center of Beat: K&K", "d:Description": "Beatles' news and activities, photograph archive, information and link to the Star Club, and data on Astrid Kirchherr and her photography. German and English translations.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.center-of-beat.com/"} +{"d:Title": "Beatles Sweden", "d:Description": "A fan community includes articles, upcoming events, forum, news, discography, Beatles festivals, links, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.beatlessweden.com/"} +{"d:Title": "rec.music.beatles Home Page", "d:Description": "Facts and trivia; as well as links to articles covering Beatles history, discussion, and analysis.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.recmusicbeatles.com/"} +{"d:Title": "The Beatles", "d:Description": "Detailed history with information on their music, movies, news, and latest projects. Images, related links, and a showcase for their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.thebeatles.com/"} +{"d:Title": "Cosmopolis: The Beatles", "d:Description": "Biographies of all band members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.cosmopolis.ch/english/cosmo12/beatles.htm"} +{"d:Title": "I Am The Beatles", "d:Description": "Their history, albums, songs, lyrics, and what the lyrics mean. News on album collections and answers to fan questions.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.iamthebeatles.com/"} +{"d:Title": "The Beatles Studio", "d:Description": "Current news, biography, filmography, lyrics, and discography. Information on bands history and their solo years.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.thebeatles.com.hk/"} +{"d:Title": "The Internet Beatles Album", "d:Description": "A collection of related information, sound and picture files for study by fans and scholars to explore Beatlemania and its impact on music and society.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.beatlesagain.com/"} +{"d:Title": "About The Beatles", "d:Description": "Biography, discography, interviews, photographs, 'Paul is Dead' clues, and a timeline. Links to merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://aboutthebeatles.com/"} +{"d:Title": "Beatles Mania", "d:Description": "News, discography, photograph gallery, biography, forum, lyrics, and a section that allows fans to post reviews on songs or albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.beatles-mania.com/"} +{"d:Title": "Topix: The Beatles News", "d:Description": "Frequently updated content collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.topix.com/rss/who/the-beatles.xml"} +{"d:Title": "(8749) Beatles", "d:Description": "Minor planet named in honor of the 1960s British group, the Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0008749.html"} +{"d:Title": "Rock and Roll Hall of Fame: The Beatles", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://rockhall.com/inductees/the-beatles/"} +{"d:Title": "RollingStone: The Beatles", "d:Description": "Includes a biography, discography, photographs, articles, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.rollingstone.com/music/artists/the-beatles"} +{"d:Title": "Contactmusic: The Beatles", "d:Description": "Featuring news, reviews, and interviews, as well as a biography of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.contactmusic.com/info/beatles"} +{"d:Title": "Fab Fourum", "d:Description": "Half-hour talk show podcast on topics related to the band. Audio, video, and profiles of the hosts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.fabfourum.com/"} +{"d:Title": "We Buy Beatles", "d:Description": "Offers appraisal and sales avenue for Beatles memorabilia. Features information on the band, valuation form, available items and a buying blog.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.we-buy-beatles.com/"} +{"d:Title": "MTV: The Beatles", "d:Description": "News, biographies, musical influences, song samples, photographs, video clips, related television listings, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles", "url": "http://www.mtv.com/artists/the-beatles/"} +{"d:Title": "Beatlology Magazine", "d:Description": "The magazine for collectors of Beatles memorabilia with articles and many current photographs. Back issues and subscription information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.beatlology.com/"} +{"d:Title": "Everyone But Paul Is Dead", "d:Description": "Article explores evidence and clues that every Beatle except Paul died in the early sixties and were replace and re-replaced by doubles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://udel.edu/~mm/beatles/paulLives/paulLives.html"} +{"d:Title": "Beatlefan", "d:Description": "Magazine for fans, with past or present and group or solo news. Subscription and newsletter information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.beatlefan.com/"} +{"d:Title": "BBC News: Beatles to Mark Dome Debut", "d:Description": "Dome chiefs searching for a song to herald the new millennium must choose between two Beatle classics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/561171.stm"} +{"d:Title": "BBC News: Historic Beatles Stage For Sale", "d:Description": "The church hall stage where John Lennon and Paul McCartney met in 1957 is going on sale at a memorabilia auction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1677409.stm"} +{"d:Title": "BBC News: Hey Jude Lyrics Up For Auction", "d:Description": "Information and history of the hand written lyrics which was auctioned in London on April 30, 2002.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1835680.stm"} +{"d:Title": "BBC News: Beatles Anthem is Millennium Song", "d:Description": "\"All You Need is Love\" has been chosen as the anthem to herald in the new millennium in Britain.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/570663.stm"} +{"d:Title": "Across The Universe", "d:Description": "Beatles fanzine based in Australia. Current news, information, and photographs, as well as editorials and opinions.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.atu-fanzine.0catch.com/"} +{"d:Title": "It Was 40 Years Ago Today: Beatles Win Grammy for Decades of Inspiration", "d:Description": "The Beatles receive the \"President's Award\" during the 2004 Grammys. Press release with quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://home.businesswire.com/portal/site/google/index.jsp?ndmViewId=news_view&newsId=20040209005921&newsLang=en"} +{"d:Title": "Ladies and gentlemen ... The Beatles!", "d:Description": "DJs and rock journalists share their memories of one really big show 40 years ago. Article by Lynne Margolis; contributor to The Christian Science Monitor.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.csmonitor.com/2004/0209/p10s01-almp.html"} +{"d:Title": "CNN: My View: 40 Best Beatles Songs", "d:Description": "In honor of the 40th anniversary of their appearance on Sullivan's television show, a personal list of the top 40 Beatles songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://edition.cnn.com/2004/SHOWBIZ/Music/02/09/beatles.top.songs/"} +{"d:Title": "Wikipedia: The Beatles", "d:Description": "A series of articles covering the history of the group, the development of their work, the members and notable albums and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/The_Beatles"} +{"d:Title": "BBC News: Beatles lose Apple court battle", "d:Description": "The Beatles lose their High Court battle with Apple Computer over its iTunes download store.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/4983796.stm"} +{"d:Title": "Beatle Blog", "d:Description": "News and information sorted into categories, with archives. Related links provided.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://beatle.wordpress.com/"} +{"d:Title": "Musicradio WABC Beatles Page", "d:Description": "Essay remembering The Beatles' visits to New York City. Includes RealAudio interviews, promos, jingles, and airchecks.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.musicradio77.com/beatles.html"} +{"d:Title": "The Beatles Ultimate Experience", "d:Description": "The Beatles story, 1963 to the break up and through the solo years, told through interviews and quotes with photos and animations.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.beatlesinterviews.org/"} +{"d:Title": "The Blacklisted Journalist: Let's 'Ave A Larf", "d:Description": "Al Aranowitz writes a column in which the journalist relates how he introduced The Beatles to Bob Dylan.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.blacklistedjournalist.com/column2.html"} +{"d:Title": "BeatlesNews.com", "d:Description": "Internet resource with a large news link collection. Provides current and past articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.beatlesnews.com/"} +{"d:Title": "My Episode With The Beatles: RagaNet Issue #3", "d:Description": "Pandit Shiv Dayal Batish recalls working as one of the Indian musicians on the \"Help\" soundtrack, and being invited to instruct George's wife on the Dilrubha.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://raganet.com/Issues/3/beatles.html"} +{"d:Title": "A History of Beatles Bootlegs", "d:Description": "An essay dealing with the chronology of Beatles bootlegs from 1969 to 1979. Originally posted to the rec.music.beatles.moderated newsgroup in May, 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.aboutthebeatles.com/misc_bootleghistory.php"} +{"d:Title": "The Beatles Bible", "d:Description": "Articles on the songs, albums, people and places associated with The Beatles, as well as a day-by-day guide to their career.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Articles_and_Interviews", "url": "http://www.beatlesbible.com/"} +{"d:Title": "The Beatle Board", "d:Description": "Message boards with various topics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Chats_and_Forums", "url": "http://thebeatles.hyperboards.com/"} +{"d:Title": "Beatles Collectors", "d:Description": "Subscription information for this e-mail discussion group for collectors of Beatles memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/beatles-collectors/"} +{"d:Title": "The Beat Void", "d:Description": "Message board and Beatle fan discussions.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Chats_and_Forums", "url": "http://beatvoid.proboards.com/"} +{"d:Title": "Beatles Price Guide", "d:Description": "Guide to values and authenticity focused on items originating in the U.S., as well as tips on evaluating items.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Collecting", "url": "http://www.beatlesguide.org/"} +{"d:Title": "Bootlegpedia: The Beatles", "d:Description": "List of bootlegs cross-referenced with concert, date and song information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Collecting", "url": "http://www.bootlegpedia.com/en/artist/The-Beatles"} +{"d:Title": "BeatleLinks: The Beatles Internet Resource Guide", "d:Description": "Directory of categorized annotated links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://www.beatlelinks.net/"} +{"d:Title": "Z401: The Music of the Beatles", "d:Description": "Large Beatle link source and information on this course offered at Indiana University: School of Music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://www.music.indiana.edu/som/courses/rock/beatles.html"} +{"d:Title": "The Beatles", "d:Description": "Links to informational lists and essays.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://www.columbia.edu/~brennan/beatles/"} +{"d:Title": "Cool Beatles Sites", "d:Description": "Links to several Beatles related fan pages and commercial sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://web2.airmail.net/gshultz/coolsite.html"} +{"d:Title": "Cyber-Beatles", "d:Description": "Guide to Beatle sites on the Web, divided into specific topics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://kotoole3.tripod.com/"} +{"d:Title": "The Internet Beatles Resources List", "d:Description": "Cut and paste these links to view various Beatles sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://beatles.ncf.ca/sites.html"} +{"d:Title": "Beatles Search", "d:Description": "Small collection of links to fan and shopping sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://beatlessearch.tripod.com/"} +{"d:Title": "The Beatles Cavern Ring", "d:Description": "Contains list of sites and signup information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://www.webring.org/hub?ring=thecavern"} +{"d:Title": "The Beatles Webring", "d:Description": "Includes list of sites in the ring and sign up information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://www.webring.org/hub?ring=beatlelovers"} +{"d:Title": "WebRing: Beatles", "d:Description": "Links to tribute sites and groups, forums and mailing lists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://www.webring.org/hub?ring=beatles"} +{"d:Title": "WebRing: Beatles Online", "d:Description": "Links to sites by people who love or are interested in the musical style of The Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Directories", "url": "http://www.webring.org/hub?ring=beatle"} +{"d:Title": "Beatles CD and Album List by Douglas Boynton Quine", "d:Description": "Vinyl and CD discography, with US and UK release dates. Links to song list, FAQs, and to purchase recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Discography", "url": "http://www.triskelion-ltd.com/lps.html"} +{"d:Title": "The Beatles: The Most Important Songs From The Most Important Band of All Time", "d:Description": "Discography organized by era. History and commentary on many well known songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Discography", "url": "http://beatles_toplist.tripod.com/"} +{"d:Title": "Information About The Beatles Music", "d:Description": "Large informative list of released and unreleased songs that the Beatles performed or wrote, albums including bootlegs, interviews, and books.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Discography", "url": "http://beatlesong.info/"} +{"d:Title": "The Beatles Total Collaborations", "d:Description": "Reference guide to Beatles and solo sessions of other groups where the members of the Beatles assisted in some manner.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Discography", "url": "http://webspace.webring.com/people/cb/beatlesinspain/"} +{"d:Title": "The Beatles Complete", "d:Description": "Real Audio and MP3 clips. Multilingual web site in English, Spanish, and French.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Downloads", "url": "http://www.bolivian.com/beatles/"} +{"d:Title": "Wallpapers by Gabor Peterdi", "d:Description": "A collection of Beatles wallpapers, WinAmp skins, and screensavers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Downloads", "url": "http://www.beatleshbbk.hu/wallpapers/"} +{"d:Title": "Guido's Music and Art Cellar: The Beatles", "d:Description": "The Beatles songs in MIDI and .wav formats, and a small collection of links to Beatles related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Downloads", "url": "http://members.tripod.com/~Guido_6/Beatles/intro.htm"} +{"d:Title": "Kstarz's Beatles Desktops", "d:Description": "Large collection of wallpapers featuring the Beatles as a group and as individual members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Downloads", "url": "http://kstarz.4mg.com/"} +{"d:Title": "The Beatles Ultimedia Page", "d:Description": "News, sound files in MP3 format, video clips in Quicktime format, links to other sound file compilations.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Downloads", "url": "http://beatlemike9.tripod.com/"} +{"d:Title": "Beatles MIDI Homepage", "d:Description": "Focuses on the releases in the US on Capitol Records from 1964 to 1971. Albums, discography, and every song in MIDI format.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Downloads/MIDI_Files", "url": "http://earlybeatles.com/"} +{"d:Title": "HamieNet: Beatles", "d:Description": "Contains MIDI files with lyrics and guitar tablature", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Downloads/MIDI_Files", "url": "http://www.hamienet.com/cat2167.html"} +{"d:Title": "British Beatles Fan Club", "d:Description": "Information includes activities, history, latest news, and an invitation to join the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Clubs", "url": "http://www.britishbeatlesfanclub.co.uk/"} +{"d:Title": "Monkees and Beatles FanFic Webring", "d:Description": "Ring for sites featuring either Monkees or Beatles fan fiction. Membership rules and submission requirements.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://canyoudigit.tripod.com/joinring.html"} +{"d:Title": "Bungalow Bill's Beatle Fan Fiction", "d:Description": "Stories, links, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.angelfire.com/ny4/BungalowBill/"} +{"d:Title": "Rational Magic: With Strings Attached", "d:Description": "Fiction featuring The Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.rationalmagic.com/Strings/Strings.html"} +{"d:Title": "Silver Tears", "d:Description": "A beginning fan fiction writer shares her story about George. Short auto-biography and character wardrobe pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.angelfire.com/ga4/sunshynegirl/index.html"} +{"d:Title": "From Liverpool to the Toppermost of the Poppermost", "d:Description": "Read about John, Paul, George, Stuart, and Ringo in this novella about their pre-Hamburg days.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.angelfire.com/pa2/stella/fanfic.html"} +{"d:Title": "The Beatles", "d:Description": "Essays written by a fan of the Beatles on all the aspects of Beatlemania.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.angelfire.com/ia/beatlemaniac/"} +{"d:Title": "The Beatles Fan Fiction Directory", "d:Description": "Large collection of fictitious Beatles stories. Also includes hosting, newsletter, wanted section, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.angelfire.com/band2/beatlesfanfiction/"} +{"d:Title": "Beatlegirl's World", "d:Description": "Fan offers a few stories and related links. Also provides personal commentary in journals and blogs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.beatlegirl.net/"} +{"d:Title": "All My Life", "d:Description": "Beatles romance fan fiction about an aspiring singer and the \"Cute\" Beatle.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://rea909.tripod.com/indexintro.html"} +{"d:Title": "Nowhere Land's Beatle Fan Fiction Club!", "d:Description": "Workshops and information center for writers and readers of Beatles fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://beatlefanfiction.tripod.com/"} +{"d:Title": "Das Liebchen Kind", "d:Description": "Original fan fiction in a variety of genres.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://www.dasliebchenkind.jigsy.com/"} +{"d:Title": "Yes It Is", "d:Description": "Pair of time-travel \"Mary Sue\" stories.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Fiction", "url": "http://yesitis-fic.livejournal.com/profile"} +{"d:Title": "Mike's Beatles Page", "d:Description": "Quotes by the Beatles, discography, MIDI files, backward messages, and past news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://udel.edu/~mm/beatles/"} +{"d:Title": "Beatle Insania", "d:Description": "Brief biographies of each member and links to other Beatles web sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/pa2/cathy/beat.html"} +{"d:Title": "Jeannie's Beatles Page", "d:Description": "Mailing list, photos, trivia, chat, message board, and a memory scrapbook from a fan around in 1964.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/tx/beatles4/index2.html"} +{"d:Title": "The Beatles Story", "d:Description": "Essay with photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/mn2/beatlespage/index.html"} +{"d:Title": "Beatle Games", "d:Description": "Large assortment of Beatles themed games, such as trivia, word search, puzzles, matching, Hangman, Tic Tac Toe, and Jeopardy.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/rock3/beatlespics/games.html"} +{"d:Title": "Hopelessly Devoted", "d:Description": "Dedicated to Paul McCartney and George Harrison. Current news and updates, pictures, and other fan information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://angelfire.com/retro/somthingsnappy"} +{"d:Title": "LovelyRita's Magical Mystery Tour", "d:Description": "Biographies including Pete Best and Stuart Sutcliffe, photos, memorial pages to John Lennon and Linda McCartney.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://members.tripod.com/~lovely_rita2/index.html"} +{"d:Title": "David's Beatles Page", "d:Description": "Biography of band members, lyrics with guitar chords, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/ak/Bibo/index.html"} +{"d:Title": "Lennon - McCartney", "d:Description": "Their musical partnership is featured with photos, song clips in RealAudio format, John's story of how The Beatles began, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/stars/LennonMcCartney/"} +{"d:Title": "Beatlemania: A Tribute", "d:Description": "Pick a Beatles song and learn of their history or view photos and lyrics arranged by album. \"Paul is Dead\" clues and other related information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/pa4/beatlemania/"} +{"d:Title": "Waterfalls: The Beatles Fan Site", "d:Description": "Biography, discography, poll, message board, a review of \"Yellow Submarine\" songtrack and \"1\" album. English and Japanese.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.geocities.co.jp/MusicStar/2373/beatles.htm"} +{"d:Title": "All You Need is Beatles", "d:Description": "Fan site includes humor, poetry and fiction, MIDIs, quotes, lyrics, as well as personal information and views.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://imagine109.tripod.com/"} +{"d:Title": "Octopus's Garden", "d:Description": "Photographs, facts, and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.octopusgarden.4mg.com/"} +{"d:Title": "The Beatles on Abbey Road", "d:Description": "News, facts and trivia, photos, memorial pages, web graphics and goodies, audio clips, club, chat, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://webhome.idirect.com/~faab/AbbeyRoad/"} +{"d:Title": "The King is Naked: 60IF", "d:Description": "Claims that Brian Epstein and Paul McCartney were kidnapped and killed by the KKK. Pictures and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://digilander.libero.it/p_truth/index.html"} +{"d:Title": "Meg and Julia's Gear Page", "d:Description": "Beatles pictures, stories, related link page, a forum and club, and information with pictures about the authors.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/home/meg/index.html"} +{"d:Title": "Long Live The Beatles", "d:Description": "Member profiles, photos and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/band/beatlecrazy/beatle_envy.html"} +{"d:Title": "Remembering The Beatles", "d:Description": "Personal memories with pictures, animation, MIDIs, links to posters, books, and CDs, plus a movie clip.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.catanna.com/beatles.htm"} +{"d:Title": "The Beatles", "d:Description": "History and a time line, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://dark_horse3.tripod.com"} +{"d:Title": "The Beatles", "d:Description": "Pictures, profiles, and tributes; as well as quotes, polls, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/super2/orlibloom/Beatles.html"} +{"d:Title": "Beatles Central", "d:Description": "Information including pictures, movies, misheard lyrics, tributes, as well as unknown facts and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.angelfire.com/mi2/PennyLane/"} +{"d:Title": "Don's Beatlesite", "d:Description": "Photographs, commentary and stories, and a quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://webhome.idirect.com/~donhu/"} +{"d:Title": "Ottawa Beatles Site", "d:Description": "Offers a timeline, news, UK singles discographies, articles, essays, and other topics including John's psychedelic Rolls Royce. Discussion group and a newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://beatles.ncf.ca/"} +{"d:Title": "Rocking with The Beatles", "d:Description": "Presents photographs, biographies, quotes, links, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://beatle_mania2.tripod.com/"} +{"d:Title": "Beatles Number 9", "d:Description": "A fan presents news, games, links, and a message board. Updated daily. Offers a weekly newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://beatlesnumber9.com/"} +{"d:Title": "Good Day Sunshine", "d:Description": "Biographies of the individual members of The Beatles, history, and pictures. Also lyrics, fan art and fiction, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://rynn.free.fr/"} +{"d:Title": "Beatles in Cleveland", "d:Description": "Dedicated to The Beatles 1966 concert in Cleveland, Ohio. Includes photographs, fan memories, and newspaper clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://beatlesincleveland.com/"} +{"d:Title": "The Beatles Live And Session", "d:Description": "Extensive web site with details of live performances, recording sessions, acetates, promos, TV and radio sessions, official recordings, and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.bekkoame.ne.jp/~garp/"} +{"d:Title": "The Beatles Reunion Recording Sessions", "d:Description": "Details recording and mixing sessions for the 1990s Anthology reunion project.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://reunionsessions.tripod.com/al/faabsessions/index.html"} +{"d:Title": "Dale Chan's Beatles World", "d:Description": "MIDIs and lyrics, biographies, discography, news, filmography, memorials, and other Beatles facts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.thebeatleshk.com/"} +{"d:Title": "Free as a Bird", "d:Description": "List of the references in the music video, with their corresponding images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.phaseshift.com/beatles/"} +{"d:Title": "Harald Gernhardt's Beatles Site", "d:Description": "Extensive listings of news, information on recordings, fan clubs, and related artists. Source of bootleg information, now available through prior authorization.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://mcbeatle.de/beatles/"} +{"d:Title": "The Temple of Beatlism", "d:Description": "The discovery, contemplation, and explanation of the four Beatles inside everyone and how to reach a balance and avoid 'the Yoko' force.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://home.earthlink.net/~melhayward/beatlism/"} +{"d:Title": "Troni's Beatles Archive", "d:Description": "Archive of appearances on German television. News, tracklists, analysis, and video references of Anthology in Germany. List of fanclubs and magazines.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.pilzkopf.de/beatles.html"} +{"d:Title": "Jamie's Beatles Webpage", "d:Description": "Photographs, articles, trivia, MIDIs, and links to other Beatles' sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.mcrtnyfan.com/Beatles.html"} +{"d:Title": "DM's Beatles site", "d:Description": "Full UK and U.S. discography, complete song index, history, and pictures. Offers an extensive message board, pictures, links, and Lennon lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://dmbeatles.com/"} +{"d:Title": "Jesse's Beatles 45's, Picture Sleeves and Memorabilia", "d:Description": "Details and pictures of the site author's private collection of Beatles' memorabilia, as well as various record labels, U.S. discography, Billboard chart data, lyrics, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://mybeatles.net/"} +{"d:Title": "Gerrit's Nothin' But Complete Beatles Page", "d:Description": "News, Dutch books, articles in English and Dutch, scans and track lists of some bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://members.chello.nl/~g.braaksma/"} +{"d:Title": "Falcon Frost: You and I Have Memories", "d:Description": "Beatle songs listed in order of first day of recording.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.beechwoodnet.com/z/beatles.html"} +{"d:Title": "The Billy Shears Fan Club", "d:Description": "A satirical blog that provides clues for the 'Paul is dead' rumor that originated in 1969.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://beatlebill.blogspot.com/"} +{"d:Title": "What Goes On: The Beatles Anomalies List", "d:Description": "Collection of melodic mishaps, sounds, and curiosities which can be found within the Beatles' recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://wgo.signal11.org.uk/wgo.htm"} +{"d:Title": "Andrei Varusha's Beatles Page", "d:Description": "Lyrics to songs, discography, history, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://varusha.tripod.com/beatles/Main.html"} +{"d:Title": "The Beatles' Abbey Road Experience", "d:Description": "Complete discography, lyrics, reviews, biographies, MIDIs, chords, rarities, and other fan related information. English and Italian", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://members.xoom.it/abbey77/index.htm"} +{"d:Title": "Beatlefan.net", "d:Description": "General fan site includes scrapbook, quiz, Sgt. Pepper's who's who, videos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Fan_Pages", "url": "http://www.beatlefan.net/"} +{"d:Title": "Pang, May", "d:Description": "Official web site of a former girlfriend of John Lennon with news, biography, photographs, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.maypang.com/"} +{"d:Title": "Bernstein, Sid", "d:Description": "Interview and information on his book titled \"Not Just The Beatles,\" detailing his life from within and his long career in the music business. Presented by NYRock.com", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.nyrock.com/interviews/2001/sid_int.asp"} +{"d:Title": "Lennon, Cynthia", "d:Description": "Two fans present a profile and photographs, from the 1960s to present, of John's first wife.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://cynthialennon.tripod.com/"} +{"d:Title": "Voormann, Klaus", "d:Description": "Official web site with history, a gallery of his art work, news, information on his \"Hamburg Days,\" and items for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.voormann.com/"} +{"d:Title": "Epstein, Brian", "d:Description": "Official site with news and information on the man who discovered The Beatles and was their first manager.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.brianepstein.com/"} +{"d:Title": "Evans, Mal", "d:Description": "Information on The Beatles' road manager from the summer of 1963 until they broke up. Audio interview clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.beatlesagain.com/bmal.html"} +{"d:Title": "Vollmer, Jurgen", "d:Description": "Wikipedia biography of this early-Beatles photographer.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://en.wikipedia.org/wiki/J%C3%BCrgen_Vollmer"} +{"d:Title": "Aspinall, Neil", "d:Description": "Detailed biography and related links from Wikipedia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://en.wikipedia.org/wiki/Neil_Aspinall"} +{"d:Title": "Sutcliffe, Stuart", "d:Description": "Biography, photograph gallery, and art work of this friend and former member of The Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.stuartsutcliffeart.com/"} +{"d:Title": "Klein, Allen", "d:Description": "Wikipedia presents a biography of Klein, an American businessman and record label executive.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://en.wikipedia.org/wiki/Allen_Klein"} +{"d:Title": "Kirchherr, Astrid", "d:Description": "Profile presented by Wikipedia of this early friend and style influence to The Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://en.wikipedia.org/wiki/Astrid_Kirchherr#External_links"} +{"d:Title": "Shevell, Nancy", "d:Description": "Several photographs with commentary and some articles featuring Paul McCartney's third wife.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.zimbio.com/Nancy+Shevell"} +{"d:Title": "Lester, Richard", "d:Description": "Biography and insight into his work as a film director. Article taken from Salon.com and published in June 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Friends_and_Family", "url": "http://www.salon.com/1999/06/26/lester/"} +{"d:Title": "Songs, Pictures, and Stories of The Beatles", "d:Description": "Photographs, scans, and information on unique fan and collectible rarities.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Image_Galleries", "url": "http://www.rarebeatles.com/"} +{"d:Title": "Dave Dermon III's Beatles Singles Pages", "d:Description": "Showcasing over 300 images of Beatles and solo picture sleeves and record labels from 1963 to 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Image_Galleries", "url": "http://www.dermon.com/Beatles/Beatles.htm"} +{"d:Title": "The Obvious Moose Beatles Page", "d:Description": "Pictures and memorabilia from 1965 San Diego visit, Linda McCartney's 1995 Anthology photographs, sound clips, and other interesting tidbits.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Image_Galleries", "url": "http://moosenet.com/beatles/"} +{"d:Title": "Oldie Lyrics: The Beatles", "d:Description": "Complete collection of all lyrics, organized by albums and song titles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Lyrics", "url": "http://www.oldielyrics.com/t/the_beatles.html"} +{"d:Title": "Lyricsfreak.com: Beatles Lyrics", "d:Description": "Browse lyrics alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Lyrics", "url": "http://www.lyricsfreak.com/b/beatles/"} +{"d:Title": "Beatles Movie Page", "d:Description": "Clips in windows media player format. As well as MP3s, MIDIs, picture galleries, and desktop themes taken from the Beatles' movies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Movies", "url": "http://beatlesx1.tripod.com/"} +{"d:Title": "Abbey Road Studios", "d:Description": "Official Site; take a virtual tour of The Beatles recording home.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.abbeyroad.com/"} +{"d:Title": "Blue Jay Way", "d:Description": "Take a virtual tour of the California home and the real \"Blue Jay Way\" with commentary and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.electricearl.com/BlueJay.html"} +{"d:Title": "Merseyworld: Tourism", "d:Description": "Information on tourist attractions, transportation, and accommodations in Liverpool and the surrounding area.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.merseyworld.com/tourism/"} +{"d:Title": "Wiseguides: Beatles Tours Of Liverpool", "d:Description": "Guided multilingual tours customized for your group. Online price quote inquiry form.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.angelfire.com/wi/wiseguides/BEATLESTOURS.html"} +{"d:Title": "Beatles Tours in London", "d:Description": "Details of Beatles walking tours in London, plus 'Guide to the Beatles London' book.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.beatlesinlondon.com/"} +{"d:Title": "St. Peter's Church: Woolton, Liverpool", "d:Description": "Lennon was introduced to McCartney here while waiting to play at a dance. Also, location of Eleanor Rigby's grave. Virtual tour, pictures, information on church services and history.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.stpeters-woolton.org.uk/"} +{"d:Title": "West Somerset Railway: The Beatles", "d:Description": "Describes the visit by The Beatles to the Minehead Railway Station in 1964 to film 'A Hard Day's Night.' Information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.wsr.org.uk/beatles.htm"} +{"d:Title": "Magical History Tour", "d:Description": "Virtual tour traces the history of British music and the Beatles from London to Liverpool. Story and photos by Shawn Perry.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.vintagerock.com/index.php?option=com_content&view=article&id=162&Itemid=27"} +{"d:Title": "Hamburg Beatles", "d:Description": "A virtual tour of some Beatle sites in Hamburg, Germany; with pictures and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://www.opencharm.com/me/hamburg/hamburg.html"} +{"d:Title": "The Beatles' England", "d:Description": "A virtual tour of Beatle sites in Liverpool and London; as well as Hamburg and New York.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://courses.music.indiana.edu/rock/england.html"} +{"d:Title": "Beatleguides", "d:Description": "Specializes in private Beatles tours for avid fans. Includes event guide, itinerary, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Places_and_Tours", "url": "http://beatleguides.weebly.com/"} +{"d:Title": "Beatles Album Reviews", "d:Description": "Scott Floman provides ratings and analysis of The Beatles' albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Reviews", "url": "http://www.sfloman.com/beatles.html"} +{"d:Title": "Salon Reviews: The Beatles", "d:Description": "A review of \"The Beatles Anthology: Volume 2\" by Mark Hertsgaard.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Reviews", "url": "http://www.salon.com/1996/10/01/beatles1/"} +{"d:Title": "RockMagic: The Beatles", "d:Description": "Beatles song lyrics and chords, pro chords, guitar and bass tablature. Related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tablature", "url": "http://www.rockmagic.net/guitar-tabs/beatles/"} +{"d:Title": "UUNET: Beatles Guitar Chord Archive", "d:Description": "FTP-only archive of chords and tablature. Files are .Z compressed.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tablature", "url": "ftp://ftp.uu.net/doc/music/guitar/b/beatles/"} +{"d:Title": "The Beatles", "d:Description": "Features chords, tablature, lyrics, discography, and some history. English and Russian translations.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tablature", "url": "http://beatles.h1.ru/"} +{"d:Title": "GuitareTab: Beatles", "d:Description": "A large alphebetic listing of tablatures and chords with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tablature", "url": "http://www.guitaretab.com/b/beatles/"} +{"d:Title": "Beatles Chord Archive", "d:Description": "Collection of tablature and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tablature", "url": "http://music.kulichki.net/txt/b/beatles/"} +{"d:Title": "Songsterr: Beatles", "d:Description": "Tablature for more than 300 Beatles songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tablature", "url": "http://www.songsterr.com/a/wsa/the-beatles-tabs-a19?inst=bass"} +{"d:Title": "Beatlemania Alumni", "d:Description": "Information, photographs, and related links are featured in tribute to the cast members and musicians that performed in various presentations of 'Beatlemania.'", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands", "url": "http://www.beatlemaniaalumni.com/"} +{"d:Title": "The Beatles Never Broke Up", "d:Description": "Songs from an album purportedly brought back from a parallel Earth. Includes origin story, FAQs and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands", "url": "http://thebeatlesneverbrokeup.com/"} +{"d:Title": "Beatles Tribute Band: The Fab Four", "d:Description": "Performance schedule, audio and video clips of the band, and member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Australia", "url": "http://www.fabfour.com.au/"} +{"d:Title": "The Beatels", "d:Description": "Includes details of past and future events, biographies, audio and video clips, downloads, forum and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Australia", "url": "http://www.beatels.com/"} +{"d:Title": "The Beatnix", "d:Description": "Show in production since 1980 using authentic Beatles equipment and costumes. Performs around Australia and overseas.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Australia", "url": "http://www.beatnix.com.au/"} +{"d:Title": "Australian Beatles, The", "d:Description": "Videos, pictures, testimonials, show details, gigs, biographies and technical specifications.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Australia", "url": "http://www.australianbeatles.com.au/"} +{"d:Title": "The Beatles Again", "d:Description": "Biography, schedule, videos and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Brazil", "url": "http://www.beatlesagain.com.br/_english/"} +{"d:Title": "Domenic's Accordion Beatles Page", "d:Description": "An accordionist from Toronto, Ontario who specializes in Beatles songs. Includes reviews, awards, upcoming appearances, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Canada", "url": "http://www.fab-4.com/"} +{"d:Title": "Help", "d:Description": "Tribute band based in Montreal, reproduces the Beatles sound and image. Biography, pictures, audio and video clips, schedule, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Canada", "url": "http://helpthebeatles.com/"} +{"d:Title": "Replay", "d:Description": "Reproduction of The Beatles through look and sound. Information, photographs, video clips, and contact data.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Canada", "url": "http://www.replaythebeatles.com/"} +{"d:Title": "Liverpool Echo", "d:Description": "Based in Windsor, Ontario. Includes gig schedule, videos, pictures and member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Canada", "url": "http://liverpool-echo.com/"} +{"d:Title": "The Bugles", "d:Description": "Replicates The Beatles sound, costumes, and equipment. Biography of band, tour dates, music samples, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Czech_Republic", "url": "http://www.bugles.cz/indexe.htm"} +{"d:Title": "Pangea", "d:Description": "Plays songs from 1962-1970 with costume and gear changes. Band profile, photographs, audio and video samples, gigs, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Czech_Republic", "url": "http://www.beatles-revival.cz/index-en.htm"} +{"d:Title": "The Centuries Turners Beatles", "d:Description": "Tribute and revival band. Booking and member information, as well as song excerpts. English and German translation.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Germany", "url": "http://www.ctbeatles.de/"} +{"d:Title": "The ReBeatles", "d:Description": "Live show with authentic costumes and original instruments. Includes audio samples and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Germany", "url": "http://www.rebeatles.de/"} +{"d:Title": "Apple Pies Beatle Band", "d:Description": "Four musicians that play Beatles' songs live, from the 1960 to 1970 era. Samples in MP3 format. English and Italian translations.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Italy", "url": "http://www.applepies.it/"} +{"d:Title": "The BeaTers", "d:Description": "Musical group provides a vintage 1960s show. Information on the band, with concert dates and media links. English and Italian translations.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Italy", "url": "http://www.thebeaters.it/"} +{"d:Title": "The Jukebox: Tributo a Los Beatles", "d:Description": "Four musicians playing songs from all stages of The Beatles career. Photographs, news, MP3s, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Puerto_Rico", "url": "http://www.jukebox-beatles.com/"} +{"d:Title": "The Better", "d:Description": "Concert dates, sound clips, biography, photographs, and contact information for this dress and sound-a-like Beatles band from Bangkok.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/Thailand", "url": "http://www.thebetterband.com/"} +{"d:Title": "The Bootleg Beatles", "d:Description": "Based in Britain, this active band formed in 1980 from the cast of \"Beatlemania\". Biographies, tour dates, merchandise, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.bootlegbeatles.com/"} +{"d:Title": "The Cavern Beatles", "d:Description": "Liverpool based tribute band. Profiles, audio clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.cavernbeatles.com/"} +{"d:Title": "Counterfeit Beatles", "d:Description": "Booking information on this London based tribute band and a photography gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.counterfeitbeatles.com/"} +{"d:Title": "The Fab 2", "d:Description": "Profile, picture, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.beatlestributeband.co.uk/"} +{"d:Title": "Imagine the Beatles", "d:Description": "Information, MP3 samples, repertoire, and schedule for tribute band based in the southeast of England.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.imaginethebeatles.co.uk/"} +{"d:Title": "The Mersey Beatles", "d:Description": "News, reviews, history and biographies of the band, as well as photographs and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.themerseybeatles.com/"} +{"d:Title": "Not The Beatles", "d:Description": "Live presentation of the Beatles' most famous songs, with the emphasis on the early hits. Profile, pictures, schedule, contact information, list of songs, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://home.btconnect.com/notthebeatles/"} +{"d:Title": "All You Need Is the Beatles", "d:Description": "Based in Wiltshire. News, repertoire, audio, video, booking information and details on an acoustic John-and-Paul offering.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.allyouneedisthebeatles.com/"} +{"d:Title": "The Beatles Beat", "d:Description": "Pictures and audio samples from the Scottish tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.thebeatlesbeat.co.uk/"} +{"d:Title": "With the Beatles", "d:Description": "Audio, video, pictures and booking details.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.withthebeatlesuk.co.uk/"} +{"d:Title": "Like... the Beatles", "d:Description": "Tribute band from Essex, England. Biographies, show details and dates, pictures, testimonials, videos and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.likethebeatles.co.uk/"} +{"d:Title": "Ultimate Beatles", "d:Description": "Pictures, song list, and booking information on this Beatle tribute band based in London.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_Kingdom", "url": "http://www.thebeatlestribute.co.uk/"} +{"d:Title": "British Export", "d:Description": "Chicago based musical tribute to the Beatles. Schedule and booking information, as well as audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.britishexport.com/"} +{"d:Title": "Rain", "d:Description": "Long established band playing tribute to The Beatles. Photographs, song list, biographies of members, schedule, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.raintribute.com/"} +{"d:Title": "The Fab 4", "d:Description": "Colorado's Beatles tribute band with costumes, vintage guitars, and sound-a-like vocals. Past and present show and schedule information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.the-fab-4.com/"} +{"d:Title": "Beatle Mania Now", "d:Description": "Multimedia Beatles show. Information, pictures, song list, and booking.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.beatlemanianow.com/"} +{"d:Title": "The Fab Faux", "d:Description": "Detailed history and information on this Beatles tribute band formed in 1998 with five professional musicians. Based in New York City.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.thefabfaux.com/"} +{"d:Title": "The Return", "d:Description": "A Beatles band based in Atlanta; formerly known as The Roaches. Biography, appearance schedule, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.thereturnonline.com/"} +{"d:Title": "Number Nine", "d:Description": "Musicians, based in Pennsylvania, who perform songs from all eras of The Beatles career. Information, news, photographs, song list, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.numbernine.tv/"} +{"d:Title": "The Mahoney Brothers", "d:Description": "Multimedia informational site on their show that attempts to capture the excitement of The Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.longlivethebeatles.com/"} +{"d:Title": "GetBack", "d:Description": "Representation of the Broadway and London cast of Beatlemania. Contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://getbackbeatlemania.com/"} +{"d:Title": "The Cast", "d:Description": "Former members who starred in the Broadway production of \"Beatlemania,\" re-creating a live show that reproduces the music of the original Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.moptops.com/"} +{"d:Title": "Strawberry Fields", "d:Description": "News, pictures, and showdates for this tribute band that performs in full costume for each Beatles era.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.strawberryfieldsthetribute.com/"} +{"d:Title": "Imagine", "d:Description": "Photographs, schedule, reviews, and testimonials on this California based Beatles tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.imaginingthebeatles.com/"} +{"d:Title": "Plastic Sole", "d:Description": "A six-piece band performing live music of the Beatles and the solo members. Features vintage to current songs. Located in New York.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.angelfire.com/hi5/plasticsole/plasticsole.html"} +{"d:Title": "Abbey Road Band", "d:Description": "Sound-a-like Beatles tribute band. Contact information, profile of members, pictures, reviews, events and booking calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.abbeyroadband.com/"} +{"d:Title": "Help", "d:Description": "Tribute band based in Los Angeles. Biography of members, schedule, photographs, reviews, song list, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.angelfire.com/pro/beatlestribute/"} +{"d:Title": "Help", "d:Description": "Maine based tribute band that covers the Beatles' recording career, adding vintage clothing and instruments. Profile, show dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.cornmuffin.com/"} +{"d:Title": "Revolution", "d:Description": "Photos, song samples, band history, appearance schedule, contact information, and extensive references.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.unsigned-records.com/revolution.html"} +{"d:Title": "Beatlemagic", "d:Description": "Beatles tribute band from western New York. Tour dates, play list, photographs, and audio/video rooms.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.beatlemagic.com/"} +{"d:Title": "The Fab Four", "d:Description": "Biography, reviews, photographs, audio and video clips, set list and description of show, and schedule for this California based Beatles act.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.thefabfour.com/"} +{"d:Title": "Ticket to Ride", "d:Description": "Beatles sound-a-like band. Information on their appearance schedule, playlist, members and equipment, photos, audio and video samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.tictoride.com/"} +{"d:Title": "Beatlemania Again", "d:Description": "Information, photographs, and history of this tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.beatlemaniaagain.com/"} +{"d:Title": "Imagine", "d:Description": "Song list, schedule, and booking information on this band performing across the USA since 1993.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.imaginefabfour.com/"} +{"d:Title": "Britishmania", "d:Description": "Beatles tribute band using authentic costumes and instruments. Tours in eastern region. Information includes band profile, photographs, and show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.british-mania.com/"} +{"d:Title": "Beatlestock", "d:Description": "New York based band offers a variation on the Beatles' theme. Information includes profiles, band history, photographs, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.beatlestock.com/"} +{"d:Title": "Love Me Do", "d:Description": "Profile, song list, photographs, audio and video clips, and contact information for this Beatles tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.lovemedotribute.com/"} +{"d:Title": "Beatlemania Returns", "d:Description": "Tribute band performing along the East Coast. Video clip and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.beatlemaniareturns.com/"} +{"d:Title": "The Britins", "d:Description": "Look-a-like and sound-a-like Beatles tribute band based in the Milwaukee area. Photos and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.thebritins.com/"} +{"d:Title": "The Fab Five", "d:Description": "Tribute band based in New York. Biographies of the band members, tour dates, and photographs are provided.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.fabfivepaul.com/"} +{"d:Title": "Abbey Road", "d:Description": "Tribute band based in Ohio. Photographs, music, history, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.abbeyroadbeatlestribute.com/"} +{"d:Title": "TuBeatles", "d:Description": "Videos from the New York City acoustic tribute duo.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.tubeatles.com/"} +{"d:Title": "The Fab 5", "d:Description": "Beatles and 1960s tribute band from Houston. Show dates, press kit, booking information, press and fan pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.thefab5.net/"} +{"d:Title": "The BBC Band", "d:Description": "Western New York group's site offers calendar, news, biography, song list, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.thebbcband.com/"} +{"d:Title": "Meet the Beetles", "d:Description": "Chicago tribute band. Schedule, pictures, testimonials, audio and video samples and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.meetthebeetles.info/"} +{"d:Title": "Blackbird", "d:Description": "Oregon cover band; includes audio samples, biographies, song list, calendar, pictures and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.blackbirdband.net/"} +{"d:Title": "Creme Tangerine", "d:Description": "Based in Seattle. Member profiles, show dates, news and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.cremetangerine.com/"} +{"d:Title": "American English", "d:Description": "Photographs, biography, schedule, news, and song samples of this look and sound-a-like Beatles band that is based in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://www.americanenglish.com/"} +{"d:Title": "1964: The Tribute", "d:Description": "Information on this Beatles' tribute show, with video clips, tour dates, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beatles/Tribute_Bands/United_States", "url": "http://1964site.com/"} +{"d:Title": "BeauSoleil", "d:Description": "Profile, news, tour dates, and discography for the Cajun group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beausoleil", "url": "http://www.rosebudus.com/beausoleil/"} +{"d:Title": "All Music Guide: Beausoleil", "d:Description": "Profile offers a biography, discography, photo, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beausoleil", "url": "http://www.allmusic.com/artist/beausoleil-p714"} +{"d:Title": "Beau-Marks", "d:Description": "Provides a detailed discography indexed by date, label, title and Matrix number.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beau_Marks", "url": "http://rcs-discography.com/rcs/artist.php?key=beau7000"} +{"d:Title": "Canoe.ca: Beau-Marks", "d:Description": "Provides a biography, discography, photo and list of members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beau_Marks", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/B/Beaumarks.html"} +{"d:Title": "beck.com", "d:Description": "The official Beck site, and also the most complete.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.beck.com/"} +{"d:Title": "Beck World (Vibes for the Ears)", "d:Description": "Content includes variety of links, news, MP3s and MP3 search, song of the week, and links to related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://members.tripod.com/~BECK4BECK/"} +{"d:Title": "Equipment List for March/April 1997 US Tour", "d:Description": "Hardware used by Beck on part of the Odelay tour.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.ratsound.com/tours/beck/beckeqp.htm"} +{"d:Title": "Stewoo.net: Beck", "d:Description": "News, articles, biography, pictures, discography,desktop wallpaper, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://stewoo.net/beck/"} +{"d:Title": "Almost A Ghost", "d:Description": "Song lyrics and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.whiskeyclone.net/ghost/"} +{"d:Title": "Whiskeyclone.net", "d:Description": "Lyrics and bootleg database.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.whiskeyclone.net/"} +{"d:Title": "Beck Site", "d:Description": "Includes news, photos, reviews, Pink Noize, and Quote for the Week.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://thebecksite.tripod.com/"} +{"d:Title": "Beck, Beck, Beck", "d:Description": "Offers photos, postcards, quotes and art.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.beckbeckbeck.net/"} +{"d:Title": "RollingStone.com: Beck", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.rollingstone.com/music/artists/beck"} +{"d:Title": "AskMen.com - Beck", "d:Description": "Feature includes pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.askmen.com/celebs/men/entertainment_150/187_beck.html"} +{"d:Title": "\"The Information\" Alternative Sticker Sheets", "d:Description": "Descriptions and images of the various sticker sheets related to the album, as well as a comparison of international CD versions.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.jeffreyscottbernstein.com/beck/beckstickers.html"} +{"d:Title": "MTV: Beck", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck", "url": "http://www.mtv.com/artists/beck/"} +{"d:Title": "Jeff Beck - Search for Himself", "d:Description": "A November 1976 article on Jeff Beck from RATW.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck,_Jeff", "url": "http://ratw.com/issues/6/jeffbeck.htm"} +{"d:Title": "Jeff Beck", "d:Description": "Fan site offering a discography, tour information, photos, sound clips, back issues of fanzines, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck,_Jeff", "url": "http://www.ainian.com/"} +{"d:Title": "Artist Direct: Jeff Beck", "d:Description": "Offers a biography, discussion forum and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck,_Jeff", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,402392,00.html"} +{"d:Title": "Wilson and Alroy's Jeff Beck Record Reviews", "d:Description": "Reviews of Jeff Beck's records from Truth to Who Else.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck,_Jeff", "url": "http://www.warr.org/beck.html"} +{"d:Title": "Chrome Oxide", "d:Description": "A sessionography and discography of the Jeff Beck Group w/Rod Stewart.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck,_Jeff", "url": "http://www.chromeoxide.com/jeffbeck.htm"} +{"d:Title": "Rollingstone.com: Jeff Beck", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck,_Jeff", "url": "http://www.rollingstone.com/music/artists/jeff-beck"} +{"d:Title": "Scott Floman Reviews Beck", "d:Description": "Scott Floman provides ratings and analysis of Beck's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck/Reviews", "url": "http://sfloman.com/beck.html"} +{"d:Title": "CNN: Beck - Mutations", "d:Description": "Wendy Brandes' review: \"Mutations isn't Beck at his best, but it's a sign that he wants to keeping rolling along.\" With audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck/Reviews/Mutations", "url": "http://www.cnn.com/SHOWBIZ/Music/9810/30/review.beck/"} +{"d:Title": "All-Reviews.com: Mutations", "d:Description": "LarryG's review: \"a little on the low key side\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck/Reviews/Mutations", "url": "http://www.all-reviews.com/music/mutations.htm"} +{"d:Title": "Almost Cool: Beck - Mutations", "d:Description": "Aaron Coleman's review: \"I still say bring on the rightful follow-up to Odelay.\" Rated 6.5.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck/Reviews/Mutations", "url": "http://www.almostcool.org/mr/145/"} +{"d:Title": "All-Reviews.com: Odelay", "d:Description": "LarryG's review: \"shows Beck at his best\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck/Reviews/Odelay", "url": "http://www.all-reviews.com/music/odelay.htm"} +{"d:Title": "Salon: Eclectic Light Orchestra", "d:Description": "David Fenton's review of Odelay. Includes photograph of Beck.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beck/Reviews/Odelay", "url": "http://www.salon.com/1996/06/10/music2960610/"} +{"d:Title": "Daniel Bedingfield", "d:Description": "Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bedingfield,_Daniel", "url": "http://www.danielbedingfield.com/"} +{"d:Title": "Daniel Bedingfield News: Topix", "d:Description": "News about Daniel Bedingfield continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bedingfield,_Daniel", "url": "http://www.topix.com/who/daniel-bedingfield"} +{"d:Title": "MTV.com: Daniel Bedingfield", "d:Description": "Biography, photo galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bedingfield,_Daniel", "url": "http://www.mtv.com/artists/daniel-bedingfield/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography for the Bee Gees.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees", "url": "http://rockhall.com/inductees/the-bee-gees/"} +{"d:Title": "RollingStone.com: The Bee Gees", "d:Description": "Biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees", "url": "http://www.rollingstone.com/music/artists/bee-gees"} +{"d:Title": "The Bee Gees", "d:Description": "Band's official website, from Rhino/Reprise. Includes biography, photos, downloads, ringtones.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees", "url": "http://www.beegees.com/"} +{"d:Title": "The Bee Gees Rarity Site", "d:Description": "List of all songs/CDs composed, produced or performed by the Gibb Brothers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Discography", "url": "http://www.beegees.dk/"} +{"d:Title": "Gibb Songs", "d:Description": "List of all Gibb compositions, recorded and unrecorded, with information about each, and career information. Extensively researched.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Discography", "url": "http://www.columbia.edu/~brennan/beegees"} +{"d:Title": "A Bee Gees Songs Top 900", "d:Description": "Listing of 105 single-record hits in the Netherlands.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Discography", "url": "http://home.hccnet.nl/s.pul/beegees.htm"} +{"d:Title": "Bee Gees Italy", "d:Description": "Information on appearances in Italy, biography, discography, and unique photos. English and Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://www.beegees.it/"} +{"d:Title": "Robin Leonard's Celebrity Photos and Fun", "d:Description": "Photos she has taken of her favorite band, including a special section of Robin Gibb pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://srobin.8m.com/"} +{"d:Title": "Bee Gees Page by Demian", "d:Description": "Career timeline, photos and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://members.tripod.com/~Demian_Panello/index.html"} +{"d:Title": "Goran's Bee Gees pages", "d:Description": "An album listing with photos, chronological song listing, MP3s, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://www.abergs.se/goran/index.html"} +{"d:Title": "Tales of the Brothers Gibb", "d:Description": "Biography, discography, pictures. This site is no longer updated.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://got2bmo2001.tripod.com/talesofthebrothersgibb/"} +{"d:Title": "Immortality - Bee Gees Tribute", "d:Description": "Photos and articles on Bee Gees, Andy Gibb, Gibb family, band information, links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://www.angelfire.com/pop2/immortality/"} +{"d:Title": "Gibb Service International", "d:Description": "Includes new, photos, discography, family tree, and a newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://www.brothersgibb.org/"} +{"d:Title": "Poetry by Jeff Sheu", "d:Description": "Poems by fan, with music from the Bee Gees.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Fan_Pages", "url": "http://jeffsheu.50megs.com/"} +{"d:Title": "Everything Barry", "d:Description": "Facts, discography, photos, links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Gibb,_Barry", "url": "http://bgmaiden.bravepages.com/intro.html"} +{"d:Title": "Emotions for Moby", "d:Description": "Biography, photos, a poll, favorite songs, history, message board, e-cards, and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Gibb,_Maurice", "url": "http://www.angelfire.com/music2/Moluver/"} +{"d:Title": "BBC News: Bee Gees singer dies", "d:Description": "Maurice Gibb, who had hits in five decades as part of legendary harmony group the Bee Gees, dies in a Miami hospital at the age of 53.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Gibb,_Maurice", "url": "http://news.bbc.co.uk/1/hi/2650117.stm"} +{"d:Title": "Yahoo! Groups : mauricespicturealbum", "d:Description": "Fans post photos of Maurice Gibb. Large photo archive.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Gibb,_Maurice/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/mauricespicturealbum/"} +{"d:Title": "Robin Gibb", "d:Description": "Official site. Includes solo album news, photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Gibb,_Robin", "url": "http://www.robingibb.com/"} +{"d:Title": "Tree Gees", "d:Description": "Italian Bee Gees tribute band. Includes sound files, member profiles, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://www.treegees.com/"} +{"d:Title": "Bee Gees Fever", "d:Description": "Tribute to the Bee Gees working throughout the UK and Europe. No backing tapes or pre-recorded vocals.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://www.beegeesfever.com/"} +{"d:Title": "The Twin Bees", "d:Description": "Band history, biographies, photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://thetwinbees.com/"} +{"d:Title": "Bee Gees Experience", "d:Description": "Tribute to the Bee Gees located in the West Midlands of the UK. Includes photos, gig dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://www.beegees-experience.co.uk/"} +{"d:Title": "UK Bee Gees Tribute Show", "d:Description": "UK-based band, with live instruments and vocals. Photos, audio downloads, and booking information available online.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://www.ukbeegees.com/"} +{"d:Title": "Jive Talkin'", "d:Description": "History, member profiles, and sound files from a Bee Gees tribute band from England.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://www.beegeesstory.com/"} +{"d:Title": "Stayin' Alive", "d:Description": "Canadian tribute band. Press, member profiles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://www.stayinalivetribute.com/"} +{"d:Title": "Stayin Alive UK", "d:Description": "British tribute band's history, member profiles, show dates, audio, video, reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bee_Gees/Tribute_Bands", "url": "http://www.stayin-alive.co.uk/"} +{"d:Title": "Before Dark", "d:Description": "Fan site with news, biography, album information, and an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Before_Dark", "url": "http://www.angelfire.com/mi2/BDPlayground/main.html"} +{"d:Title": "SoundClick: Before Dark", "d:Description": "Includes a biography, streaming MP3 files, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Before_Dark", "url": "http://www.soundclick.com/bands/pageartist.cfm?bandID=3589"} +{"d:Title": "Artist Direct: Before Dark", "d:Description": "Includes a biography, discography, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Before_Dark", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,856550,00.html"} +{"d:Title": "Hip Online: Before Dark", "d:Description": "Includes a biography, interview, and review of the album \"Daydreamin'\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Before_Dark", "url": "http://www.hiponline.com/254/before-dark.html"} +{"d:Title": "Adrian Belew", "d:Description": "Official site. Complete guide to the world's greatest experimental whammy twang bar czar rhino king crimson stunt guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Belew,_Adrian", "url": "http://www.adrianbelew.net/"} +{"d:Title": "Adrian Belew Power Trio", "d:Description": "Biography, discography and videos from a booking agency", "topic": "Top/Arts/Music/Bands_and_Artists/B/Belew,_Adrian", "url": "http://www.novaconcerts.com/adrianbelew.html"} +{"d:Title": "Rockmagic.net: Believer", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Believer", "url": "http://lyrics.rockmagic.net/lyrics/believer/"} +{"d:Title": "All Music Guide: Eric Bell", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bell,_Eric", "url": "http://www.allmusic.com/artist/eric-bell-p55566"} +{"d:Title": "Yahoo Groups: JediWannabies", "d:Description": "Online discussion group for fan-to-fan communication.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bellatrix", "url": "http://groups.yahoo.com/group/jediwannabies/"} +{"d:Title": "Ectophiles' Guide: Bellatrix", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bellatrix", "url": "http://ectoguide.org/artists/bellatrix"} +{"d:Title": "Seal My Fate ~ Belly", "d:Description": "A website devoted to the awe-inspiring Tanya Donelly and her former band, Belly.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Belly", "url": "http://sealmyfate.wiw.org/"} +{"d:Title": "Eyesore: Belly", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Belly", "url": "http://quimby.gnus.org/html/group/belly.html"} +{"d:Title": "4AD: Belly", "d:Description": "Label discography, profile, images, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Belly", "url": "http://www.4ad.com/artists/belly"} +{"d:Title": "Bell Biv DeVoe", "d:Description": "Official site with biography, photos, downloadable song clips, and news about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bell_Biv_DeVoe", "url": "http://www.artnomad.com/bbd/"} +{"d:Title": "Artist Direct : Bell Biv DeVoe", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bell_Biv_DeVoe", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,402733,00.html"} +{"d:Title": "Hip Online: Bell Biv DeVoe", "d:Description": "Short biography and user-submitted reviews of the group's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bell_Biv_DeVoe", "url": "http://www.hiponline.com/260/bell-biv-devoe.html"} +{"d:Title": "All Music Guide: Bell Biv DeVoe", "d:Description": "Biography of the artist, discography, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bell_Biv_DeVoe", "url": "http://www.allmusic.com/artist/bell-biv-devoe-mn0000127063"} +{"d:Title": "MTV: Bell Biv Devoe", "d:Description": "Message boards, biography, and information on TV appearances by the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bell_Biv_DeVoe", "url": "http://www.mtv.com/artists/bell-biv-devoe/"} +{"d:Title": "Pat Benatar", "d:Description": "Official site includes news, tour dates, album information, audio samples and photo galleries.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://www.benatar.com/"} +{"d:Title": "Pat Benatar Fan Club", "d:Description": "Official fan club site with news, photos, reviews, band information, discography, and member pages.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://www.benatarfanclub.com/"} +{"d:Title": "Rock on the Net: Pat Benatar", "d:Description": "Includes FAQ, biography, discography, singles, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://www.rockonthenet.com/artists-b/patbenatar_main.htm"} +{"d:Title": "Le Museum De Benatar", "d:Description": "A historical tribute to Pat Benatar, presenting a random overview of her career through collectibles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://lemuseumdebenatar.com/"} +{"d:Title": "Spyder's Soul Kitchen East", "d:Description": "Fan site for Neil Giraldo and his wife Pat Benetar. Includes discography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://members.tripod.com/~NTGfan/"} +{"d:Title": "Artist Direct: Pat Benatar", "d:Description": "Biography, tour information, message board, and a listening room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,402987,00.html"} +{"d:Title": "Pat Benatar in Concert", "d:Description": "A fan's collection of tour photos from various concerts and festivals in 1998 and 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://benatar.edrcreations.com/index.html"} +{"d:Title": "All Music Guide: Pat Benatar", "d:Description": "Includes biography, discography, track lists, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat", "url": "http://www.allmusic.com/artist/pat-benatar-p3661"} +{"d:Title": "Battlefield", "d:Description": "US based Pat Benatar tribute show; show dates, member profiles, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benatar,_Pat/Tribute_Bands", "url": "http://benatarband.tripod.com/"} +{"d:Title": "ADKG.com: Benediction - Grind Bastards", "d:Description": "Mike Korn's review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benediction", "url": "http://www.adkg.com/frameset.html?http://www.adkg.com/reviews/music/benediction.html"} +{"d:Title": "Rockmagic.net: Benediction", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benediction", "url": "http://lyrics.rockmagic.net/lyrics/benediction/"} +{"d:Title": "Benjamins, The", "d:Description": "Fan site with a biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benjamins,_The", "url": "http://www.angelfire.com/band/thebenjamins/"} +{"d:Title": "Katy Benko", "d:Description": "Official site of the country recording artist includes news, schedule, biography, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benko,_Katy", "url": "http://www.katysings.com/"} +{"d:Title": "Katy Benko", "d:Description": "Fan site for the singer includes photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benko,_Katy", "url": "http://www.bigbruno.com/celebrities/katybenko.html"} +{"d:Title": "Tony Bennett News: Topix", "d:Description": "News about Tony Bennett continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bennett,_Tony", "url": "http://www.topix.com/who/tony-bennett"} +{"d:Title": "All Music Guide: Tony Bennett", "d:Description": "Presenting a biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bennett,_Tony", "url": "http://www.allmusic.com/artist/tony-bennett-mn0000006334"} +{"d:Title": "The World of David Benoit", "d:Description": "Biography, FAQ, tour dates, pictures, discography, news, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benoit,_David", "url": "http://www.benoit.com/"} +{"d:Title": "The Inside View: David Benoit", "d:Description": "Concert review with technical information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benoit,_David", "url": "http://www.fishnose.com/dbenoit.htm"} +{"d:Title": "GRP Records: David Benoit", "d:Description": "Label site includes biography, photos, and discography with sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benoit,_David", "url": "http://www.vervemusicgroup.com/davidbenoit"} +{"d:Title": "All Music Guide: David Benoit", "d:Description": "Profile, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benoit,_David", "url": "http://www.allmusic.com/artist/david-benoit-p55926"} +{"d:Title": "George Benson", "d:Description": "Official Site. Includes news, biography, message board, tour dates, gallery, discography, store and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benson,_George", "url": "http://www.georgebenson.com/"} +{"d:Title": "George Benson", "d:Description": "Includes biography, CDs and concert at the Jazz Festival Montreux.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benson,_George", "url": "http://www.cosmopolis.ch/english/cosmo7/benson.htm"} +{"d:Title": "MTV: George Benson", "d:Description": "Includes album reviews, news, sound files, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benson,_George", "url": "http://www.mtv.com/artists/george-benson/"} +{"d:Title": "George Benson: Yahoo Music", "d:Description": "Includes discography, album reviews, biography, music videos, news, interviews and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Benson,_George", "url": "https://music.yahoo.com/artist/george-benson/"} +{"d:Title": "Canoe.ca: Barney Bentall", "d:Description": "Offers a biography and detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bentall,_Barney", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/B/Bentall_Barney.html"} +{"d:Title": "Trish Bentley", "d:Description": "The singer/songwriter's official site with biography, show dates, music clips, and a photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bentley,_Trish", "url": "http://www.trishbentley.com/"} +{"d:Title": "MTV: Bentley Rhythm Ace", "d:Description": "Includes discography, biography, audio clips (RealAudio) and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bentley_Rhythm_Ace", "url": "http://www.mtv.com/artists/bentley-rhythm-ace/"} +{"d:Title": "Bent Wind", "d:Description": "Rock/Psyche band from Canada. Features news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bent_Wind", "url": "http://www.bentwind.com/"} +{"d:Title": "Matt's Ben Folds Five Page", "d:Description": "Includes photos, videos, chat rooms and forums, sound files, lyrics, guitar tablatures and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five", "url": "http://www.mattsmusicpage.com/nben.htm"} +{"d:Title": "MTV: Ben Folds Five", "d:Description": "Includes news, album reviews, audio downloads, biographies, a discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five", "url": "http://www.mtv.com/artists/ben-folds-five/"} +{"d:Title": "Australian News", "d:Description": "Dedicated to news for fans in Australia. Includes news, tour dates and concert photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Fan_Pages", "url": "http://www.angelfire.com/me/katefolds/"} +{"d:Title": "Craig's Fan Site", "d:Description": "Includes a biography, news, tour dates and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Fan_Pages", "url": "http://www.angelfire.com/ma2/benfoldsfive/"} +{"d:Title": "Frank Maynard's Ben Folds Five Website", "d:Description": "Archival information about Ben Folds Five including discography, tour dates and setlists, lyrics, and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Fan_Pages", "url": "http://www.fmaynard.com/bff/"} +{"d:Title": "The Page That's Uncanny To See", "d:Description": "A full-fledged dedication to the band that includes images, MIDIs, videos, news, discography, sound, and bios.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Fan_Pages", "url": "http://www.angelfire.com/fl/BenFolds5/index.html"} +{"d:Title": "Waterfalls: Ben Folds Five Fan Site", "d:Description": "Includes biography, news, message board, discography, polls and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Fan_Pages", "url": "http://www.geocities.co.jp/MusicStar/2373/bf5.htm"} +{"d:Title": "The Ben Folds Article and Review Archive", "d:Description": "A collection of articles and reviews on his solo career.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Folds,_Ben", "url": "http://www.angelfire.com/music4/benarticles/"} +{"d:Title": "BenFolds.org", "d:Description": "Includes news, message board, polls, touring dates, a biography, discography and newsletter, and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Folds,_Ben", "url": "http://www.benfolds.org/"} +{"d:Title": "Frank Maynard's Ben Folds Website", "d:Description": "Biography, news, discography, touring, set lists, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Folds,_Ben", "url": "http://www.fmaynard.com/benfolds"} +{"d:Title": "Ben Folds", "d:Description": "Official site with news, audio samples, tour dates, pictures, message board, videos and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Folds,_Ben", "url": "http://benfolds.com/"} +{"d:Title": "The Suburbs", "d:Description": "Includes news, a biography, a discography, lyrics, audio and video clips, message boards and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Folds,_Ben", "url": "http://www.thesuburbs.org.uk/"} +{"d:Title": "Entertainment Ave: Ben Folds Five", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/bff.htm"} +{"d:Title": "Music-Critic.com: Ben Folds Five - Naked Baby Photos", "d:Description": "Kriste Matrisch's review: \"This is going to be a classic for any BFF fan.\" Rated 5 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Reviews/Naked_Baby_Photos", "url": "http://www.music-critic.com/rock/benfoldsfive_nakedbabyphotos.htm"} +{"d:Title": "The Shrubbery: Ben Folds Five - The Unauthorized Biography of Reinhold Messner", "d:Description": "Ginger Nance's review: \"a grandiose yet amazingly intimate album that combines the best of the band's old sound while introducing the new.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Reviews/Unauthorized_Biography_of_Reinhold_Messner,_The", "url": "http://www.theshrubbery.com/0499/music3.html"} +{"d:Title": "The Music Box: Ben Folds Five - The Unauthorized Biography of Reinhold Messner", "d:Description": "John Metzger's review: \"much more of a pop-oriented album than an indie-rock effort.\" With ratings of tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Ben_Folds_Five/Reviews/Unauthorized_Biography_of_Reinhold_Messner,_The", "url": "http://www.musicbox-online.com/bff-rm.html"} +{"d:Title": "Berlin", "d:Description": "Fan page and 'quasi-official' site includes tour dates, discography, reviews, interviews, and concert photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berlin", "url": "http://www.berlinpage.com/"} +{"d:Title": "Oldielyrics: Berlin", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berlin", "url": "http://www.oldielyrics.com/b/berlin.html"} +{"d:Title": "Dan Bern Homepage", "d:Description": "News, a biography, lyrics, photos, stories, and concert dates from the singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bern,_Dan", "url": "http://www.danbern.com/"} +{"d:Title": "Messinger Records: Dan Bern", "d:Description": "Includes tour dates, biography, press reviews, photos, audio files, and an e-card.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bern,_Dan", "url": "http://www.messengerrecords.com/dan_bern.php"} +{"d:Title": "Dan Bern Lyrics Archive", "d:Description": "Features lyrics and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bern,_Dan", "url": "http://danbern.redacorn.net/"} +{"d:Title": "A Collector's Guide to the Music of Chuck Berry", "d:Description": "Complete discography with descriptions of every album and CD, including cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berry,_Chuck", "url": "http://www.crlf.de/ChuckBerry/"} +{"d:Title": "Chuck Berry", "d:Description": "Biography, discography, videography, audio/video files, lyrics and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berry,_Chuck", "url": "http://www.chuckberry.de/"} +{"d:Title": "RollingStone.com: Chuck Berry", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berry,_Chuck", "url": "http://www.rollingstone.com/music/artists/chuck-berry"} +{"d:Title": "Rock and Roll Hall of Fame: Chuck Berry", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berry,_Chuck", "url": "http://rockhall.com/inductees/chuck-berry/"} +{"d:Title": "Eyesore: Heidi Berry", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berry,_Heidi", "url": "http://quimby.gnus.org/html/group/berryheidi.html"} +{"d:Title": "TrouserPress.com: Heidi Berry", "d:Description": "Reviews of her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berry,_Heidi", "url": "http://www.trouserpress.com/entry.php?a=heidi_berry"} +{"d:Title": "4AD: Heidi Berry", "d:Description": "Record label site includes a profile, images, and a video clip.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Berry,_Heidi", "url": "http://www.4ad.com/artists/heidiberry/"} +{"d:Title": "Contactmusic.com - The Beta Band", "d:Description": "The Beta Band \"Broke\" 12\" single review.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beta_Band/Reviews", "url": "http://www.contactmusic.com/pages/reviews11june03"} +{"d:Title": "Metacritic: Beta Band: Hot Shots II", "d:Description": "Reviews for Hot Shots II by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beta_Band/Reviews", "url": "http://www.metacritic.com/music/hot-shots-ii/beta-band"} +{"d:Title": "Almost Cool: Beta Band - Self-Titled", "d:Description": "Aaron Coleman's review: \"Even though there are a couple stumbles, the group is still definitely one to watch in terms of their output.\" Rated 7.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beta_Band/Reviews/Beta_Band,_The", "url": "http://www.almostcool.org/mr/98/"} +{"d:Title": "Almost Cool: Beta Band - The Three EPs", "d:Description": "Aaron Coleman's review: \"It's fun, light stuff that has a tendency to get into your head and stick there.\" Rated 7.75.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beta_Band/Reviews/Three_EPs,_The", "url": "http://www.almostcool.org/mr/86/"} +{"d:Title": "AV's Nuno Page", "d:Description": "A fan page with photos, album information, song clips, bootleg information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bettencourt,_Nuno", "url": "http://www.angelfire.com/ny/av1/index.html"} +{"d:Title": "Better Than Ezra", "d:Description": "Official site. Includes news, tour dates, merchandise, lyrics, message board, multimedia, links to album reviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Better_Than_Ezra", "url": "http://www.betterthanezra.com/"} +{"d:Title": "Fretplay.com: Better Than Ezra Tabs", "d:Description": "Better Than Ezra bass and guitar tabs, free of charge.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Better_Than_Ezra", "url": "http://www.fretplay.com/tabs/b/better_than_ezra/"} +{"d:Title": "GuitareTAB.com: Better Than Ezra", "d:Description": "Features free tablature for 17 Better Than Ezra songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Better_Than_Ezra", "url": "http://www.guitaretab.com/b/better-than-ezra/"} +{"d:Title": "MTV.com - Better Than Ezra", "d:Description": "Music videos, articles, band biography and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Better_Than_Ezra", "url": "http://www.mtv.com/artists/better-than-ezra/"} +{"d:Title": "Metacritic: Better Than Ezra: Closer", "d:Description": "Reviews for Better Than Ezra's 'Closer' by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Better_Than_Ezra", "url": "http://www.metacritic.com/music/closer/better-than-ezra"} +{"d:Title": "Anne Carlini - Exclusive Magazine: The Beu Sisters - Decisions", "d:Description": "Samantha Passolski's review: \"I would definitely recommend this CD to anyone who likes good pop music.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beu_Sisters,_The", "url": "http://www.annecarlini.com/ex_cd.php?id=123"} +{"d:Title": "All Music Guide: The Beu Sisters", "d:Description": "Includes biography, profile, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beu_Sisters,_The", "url": "http://www.allmusic.com/artist/beu-sisters-mn0000754961"} +{"d:Title": "The Official Beyond Mirth Fan Club", "d:Description": "Information on the fan club. Plus extracts of music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Beyond_Mirth", "url": "http://www.angelfire.com/nj2/beyondmirth/main.html"} +{"d:Title": "BobbyShred's Be Bop Deluxe Page", "d:Description": "A fan tribute page with photos and album cover scans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Be_Bop_Deluxe", "url": "http://www.angelfire.com/nj/bebopdeluxe/index.html"} +{"d:Title": "Holyground Records - Collectable Progressive Music", "d:Description": "A late 60s, early 70s band who Bill Nelson played for at various times.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Be_Bop_Deluxe", "url": "http://www.holyground.co.uk/"} +{"d:Title": "Permanent Flame - The Bill Nelson Web Site", "d:Description": "The artist's official home page with news, diary, discussion boards, record store, discography, song clips, articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Be_Bop_Deluxe", "url": "http://www.billnelson.com/"} +{"d:Title": "Bona Fide Bice", "d:Description": "Fan site and forum for Bo Bice. Talk about Bo, get the latest news and meet other fans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bice,_Bo", "url": "http://www.forum.bonafidebice.com/"} +{"d:Title": "Bona Fide Bice", "d:Description": "A site about American Idol runner-up Bo Bice containing a weblog, a forum, recording and tour news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bice,_Bo", "url": "http://www.bonafidebice.com/"} +{"d:Title": "The Original Bice Squad", "d:Description": "A fansite supporting singer, musician and songwriter Bo Bice. Includes forum, photos, media, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bice,_Bo", "url": "http://s7.invisionfree.com/BoRocks_TheBiceSquad/"} +{"d:Title": "Justin Bieber My World 2.0", "d:Description": "Official site of Justin Bieber. Includes news and blog, webshop and online video.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bieber,_Justin", "url": "http://www.justinbiebermusic.com/"} +{"d:Title": "Biffy Clyro", "d:Description": "The official site, with tour dates, biography, discography, photographs, frequently asked questions, desktop wallpaper, and message board. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/B/Biffy_Clyro", "url": "http://www.biffyclyro.com/"} +{"d:Title": "Beggars Group USA: Biffy Clyro", "d:Description": "Record label's site, with news, tour dates, biography, discography, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Biffy_Clyro", "url": "http://www.beggarsgroupusa.com/biffyclyro/"} +{"d:Title": "Her Royal Majesty: Bif Naked", "d:Description": "Fan site with biography, album information, news, pictures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bif_Naked", "url": "http://www.angelfire.com/music3/anydaynow/"} +{"d:Title": "Her Royal Majesty", "d:Description": "Bif Naked fan page with biography, pictures, tour dates, and some album and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bif_Naked", "url": "http://www.angelfire.com/wa/Bifgrrl/"} +{"d:Title": "Absolute Divas - Bif Naked", "d:Description": "Includes an image gallery, biography, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bif_Naked", "url": "http://www.absolutedivas.com/bif/"} +{"d:Title": "All Music Guide: Bif Naked", "d:Description": "Includes biography, photo, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bif_Naked", "url": "http://www.allmusic.com/artist/bif-naked-p169084"} +{"d:Title": "Bif Naked", "d:Description": "Official site. Biography, tour dates, news and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bif_Naked", "url": "http://bifnaked.com/"} +{"d:Title": "Canoe: Bif Naked", "d:Description": "Collection of Canadian news articles and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bif_Naked", "url": "http://jam.canoe.com/Music/Artists/N/Naked_Bif/"} +{"d:Title": "This is Big Audio Dynamite", "d:Description": "Includes news, lyrics, and line-up listings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Audio_Dynamite", "url": "http://www.esmark.net/bad/bad.htm"} +{"d:Title": "TrouserPress.com: Big Audio Dynamite", "d:Description": "Review of the band's recordings and career.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Audio_Dynamite", "url": "http://www.trouserpress.com/entry.php?a=big_audio_dynamite"} +{"d:Title": "The Legend of Big Daddy", "d:Description": "A discography and history of the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Daddy", "url": "http://www.dustbury.com/music/bigdaddy.html"} +{"d:Title": "Marco Giunco: Big Daddy", "d:Description": "Tracks and credits for albums \"Meanwhile...back In The States\", and \"Stg. Pepper's\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Daddy", "url": "http://www.marcogiunco.com/artisti/000036.htm"} +{"d:Title": "AMG Biography", "d:Description": "Biography of the band with assorted facts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Daddy", "url": "http://www.allmusic.com/artist/big-daddy-p12351"} +{"d:Title": "Big Head Todd and the Monsters", "d:Description": "Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Head_Todd_and_the_Monsters", "url": "http://www.bigheadtodd.com/"} +{"d:Title": "Review: Big Head Todd and the Monsters, Beautiful World", "d:Description": "Short review by Simon Speichert.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Head_Todd_and_the_Monsters", "url": "http://www.westnet.com/consumable/1997/02.27/revbighe.html"} +{"d:Title": "Big Head Todd Forum and Therapy Group", "d:Description": "Unofficial BHTM fan club with a chat line and mailing list for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Head_Todd_and_the_Monsters", "url": "http://forums.delphiforums.com/bigheadtodd/"} +{"d:Title": "Artist Direct: Big Head Todd and the Monsters", "d:Description": "Short profile and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Head_Todd_and_the_Monsters", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,404165,00.html"} +{"d:Title": "Big Head Todd and the Monsters News: Topix", "d:Description": "News about Big Head Todd and the Monsters continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Head_Todd_and_the_Monsters", "url": "http://www.topix.com/who/big-head-todd-and-the-monsters"} +{"d:Title": "Big Star - A Fan Page", "d:Description": "Fan page with photo gallery, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Star", "url": "http://www.angelfire.com/mn/local651/bigstarpg.html"} +{"d:Title": "The Unofficial Big Star Homepage", "d:Description": "Interviews, liner notes, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Star", "url": "http://zenandjuice.com/music/bigstar/index.html"} +{"d:Title": "Yahoo Groups: Alex Chilton", "d:Description": "Discussion group for Big Star/Alex Chilton.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Star", "url": "http://launch.groups.yahoo.com/group/alexchilton/"} +{"d:Title": "RollingStone.com: Big Star", "d:Description": "Includes a biography, discography, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Star", "url": "http://www.rollingstone.com/music/artists/big-star"} +{"d:Title": "Big Star Reference", "d:Description": "Discussion board, lyrics, biography, directory and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Star", "url": "http://www.bigstarreference.com/"} +{"d:Title": "MTV.com: Big Star", "d:Description": "Features news, album reviews, audio downloads, biographies, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Star", "url": "http://www.mtv.com/artists/big-star/"} +{"d:Title": "Big Sugar", "d:Description": "Official site includes news, mailing list, biography, audio files, pictures and fan forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Sugar", "url": "http://bigsugar.com/"} +{"d:Title": "All Music Guide: Big Sugar", "d:Description": "Profile includes artist biography, discography and similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Sugar", "url": "http://www.allmusic.com/artist/big-sugar-p37423"} +{"d:Title": "Canoe.ca: Big Sugar", "d:Description": "Includes biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Sugar", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/B/Big_Sugar.html"} +{"d:Title": "Big Wreck", "d:Description": "Tabs, news, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Wreck", "url": "http://www.bigwreck.50megs.com/"} +{"d:Title": "Big Wreck Fan Page", "d:Description": "Offers a brief biography, photos, tablatures, news, audio samples, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Wreck", "url": "http://members.tripod.com/~biogeezer/index2.html"} +{"d:Title": "Ink Nineteen: Big Wreck", "d:Description": "An interview with Ian Thornley from the release of In Loving Memory Of.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Wreck", "url": "http://www.ink19.com/issues_F/98_03/ink_spots/big_wreck_nf.html"} +{"d:Title": "Hip Online: Big Wreck", "d:Description": "Profile provides a biography, interview, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Wreck", "url": "http://www.hiponline.com/284/big-wreck.html"} +{"d:Title": "MTV: Big Wreck", "d:Description": "Complete artist information including news, biography, message boards, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Big_Wreck", "url": "http://www.mtv.com/artists/big-wreck/"} +{"d:Title": "Rebel Grrrls", "d:Description": "Bikini Kill pictures, links and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bikini_Kill", "url": "http://www.members.tripod.com/~amethyst_skyz/bikinikill/bikinikill.html"} +{"d:Title": "All Music Guide: Bikini Kill", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bikini_Kill", "url": "http://www.allmusic.com/artist/bikini-kill-p44904"} +{"d:Title": "All Music Guide: Bilal", "d:Description": "Provides a biography, discography, photo, facts, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bilal", "url": "http://www.allmusic.com/artist/bilal-p454406"} +{"d:Title": "The Official Bill Ward Web Site", "d:Description": "Contains information on the Bill Ward Band, photos, song clips, discography and past tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bill_Ward_Band", "url": "http://www.billward.com/"} +{"d:Title": "All Music Guide: Binge", "d:Description": "Provides background information, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Binge", "url": "http://www.allmusic.com/artist/binge-p528418"} +{"d:Title": "Rockmagic.net: Biohazard", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Biohazard", "url": "http://lyrics.rockmagic.net/lyrics/biohazard/"} +{"d:Title": "Biohazard", "d:Description": "The official site includes tour dates, biography, discography, tour diary, photographs, lyrics, and AIM icons.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Biohazard", "url": "https://www.facebook.com/BiohazardDFL"} +{"d:Title": "VW Transit's Unofficial Birthday Party Lyrics Page", "d:Description": "Transcriptions of lyrics as actually sung on the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Birthday_Party,_The", "url": "http://members.tripod.com/~VWTransit/"} +{"d:Title": "Eyesore: The Birthday Party", "d:Description": "Discography with cover images of the band's releases on 4AD Records.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Birthday_Party,_The", "url": "http://quimby.gnus.org/html/group/birthdayparty.html"} +{"d:Title": "TrouserPress.com: Birthday Party", "d:Description": "Review of the band's recordings and career.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Birthday_Party,_The", "url": "http://www.trouserpress.com/entry.php?a=birthday_party"} +{"d:Title": "All Music Guide: The Birthday Party", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Birthday_Party,_The", "url": "http://www.allmusic.com/artist/the-birthday-party-p3681"} +{"d:Title": "Eurobisco", "d:Description": "Bis site with discography, lyrics, exclusive Bis games, and other multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bis", "url": "http://www.angelfire.com/ab/eurobisco/frame.html"} +{"d:Title": "Bisnation", "d:Description": "The official Bis website. Band history, news archive, tour information, and exclusive photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bis", "url": "http://bisnation.com/"} +{"d:Title": "Bis - 'Music For A Stranger World'", "d:Description": "Review of the new mini-album by Bis, out on Wiiija Records.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bis", "url": "http://www.musicomh.com/reviews/albums/bis-music-for-a-stranger-world"} +{"d:Title": "Eyesore: Frank Black", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black,_Frank", "url": "http://quimby.gnus.org/html/group/blackfrank.html"} +{"d:Title": "Artist Direct: Frank Black", "d:Description": "Biography, discussion forum and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black,_Frank", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,404754,00.html?src=search&artist=Frank+Black"} +{"d:Title": "4AD: Frank Black", "d:Description": "Profile, label discography, images, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black,_Frank", "url": "http://www.4ad.com/artists/frankblack"} +{"d:Title": "Metacritic: Dog in the Sand", "d:Description": "Links to various critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black,_Frank", "url": "http://www.metacritic.com/music/dog-in-the-sand/frank-black-the-catholics"} +{"d:Title": "Terry Black", "d:Description": "Provides a detailed discography with information on each selection's title, producer and writer.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black,_Terry", "url": "http://www2.gol.com/users/davidr/sloan/terryblack.html"} +{"d:Title": "SoundClick: Blackgirl", "d:Description": "Contains news, press articles, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blackgirl", "url": "http://www.soundclick.com/bands/pageartist.cfm?bandID=3287"} +{"d:Title": "Yahoo Groups: BlackGirl", "d:Description": "A place for discussions about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blackgirl", "url": "http://launch.groups.yahoo.com/group/blackgirlsite/"} +{"d:Title": "Ritchie Blackmore", "d:Description": "Official site. News, chronology, tour dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blackmore,_Ritchie", "url": "http://www.blackmoresnight.com/"} +{"d:Title": "Black 47", "d:Description": "Official site for the Irish-American rockers includes gig dates, history, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_47", "url": "http://www.black47.com/"} +{"d:Title": "musicOMH.com: Black Box Recorder - The Facts of Life", "d:Description": "Michael Hubbard's review: \"compared with the last Black Box Recorder album 'England Made Me', this effort is positively commercial.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Box_Recorder/Reviews/Facts_of_Life,_The", "url": "http://www.musicomh.com/reviews/albums/black-box-recorder-the-facts-of-life"} +{"d:Title": "Metacritic: Black Box Recorder - The Facts Of Life", "d:Description": "Amalgamation of reviews from different sources.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Box_Recorder/Reviews/Facts_of_Life,_The", "url": "http://www.metacritic.com/music/the-facts-of-life/black-box-recorder"} +{"d:Title": "Pitchfork: Black Box Recorder - The Worst of Black Box Recorder", "d:Description": "Joe Tangari's review: \"a solid disc that showcases the band's range better than either of their albums\". Rated 7.3.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Box_Recorder/Reviews/Worst_of_Black_Box_Recorder,_The", "url": "http://pitchfork.com/reviews/albums/740-the-worst-of/"} +{"d:Title": "Sisterluck", "d:Description": "Black Crowes pictures, tape trading, and audio/video files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://sisterluck.tripod.com/sisterluck.html"} +{"d:Title": "Entertainment Ave: Jimmy Page with The Black Crowes", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/black_crowes/bc062400.htm"} +{"d:Title": "Entertainment Ave: The Black Crowes", "d:Description": "Review of a concert at The Aragon Ballroom in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/black_crowes/bc100696.htm"} +{"d:Title": "Entertainment Ave: The Black Crowes", "d:Description": "Review of a concert at The Aragon Ballroom in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/black_crowes/bc071596.htm"} +{"d:Title": "AskMen.com - The Black Crowes", "d:Description": "Interview, brief biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.askmen.com/toys/interview/51_black_crowes_interview.html"} +{"d:Title": "Artist Direct: Black Crowes", "d:Description": "Offers a band biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,404666,00.html"} +{"d:Title": "The Black Crowes News: Topix", "d:Description": "News about The Black Crowes continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.topix.com/who/the-black-crowes"} +{"d:Title": "Scott Floman Reviews: The Black Crowes", "d:Description": "Ratings and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://sfloman.com/blackcrowes.html"} +{"d:Title": "RollingStone.com: The Black Crowes", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.rollingstone.com/music/artists/the-black-crowes"} +{"d:Title": "MTV: The Black Crowes", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.mtv.com/artists/the-black-crowes/"} +{"d:Title": "Metacritic: The Black Crowes - Lions", "d:Description": "Links to various critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Crowes,_The", "url": "http://www.metacritic.com/music/lions/the-black-crowes"} +{"d:Title": "Black Dawn", "d:Description": "The official site, with gig schedule, biography, lyrics, art, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Dawn", "url": "http://www.blackdawn.net/"} +{"d:Title": "Black Rebel Motorcycle Club", "d:Description": "Band review by La Plume Noire.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Rebel_Motorcycle_Club", "url": "http://www.plume-noire.com/music/releases/blackrebelmotorcycleclub.html"} +{"d:Title": "Rebels With a Cause", "d:Description": "Biography, tour dates, images, listing of past performances, lyrics, discography, interviews, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Rebel_Motorcycle_Club", "url": "http://www.angelfire.com/indie/BRMC/index.html"} +{"d:Title": "Black Rebel Motorcycle Club", "d:Description": "Official site. Includes news, tour dates, discography, photos, review listing, biography, links, and streaming video footage of the May 2001 performance at \"The Cactus Club\".", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Rebel_Motorcycle_Club", "url": "http://blackrebelmotorcycleclub.com/"} +{"d:Title": "MTV.com - Black Rebel Motorcycle Club", "d:Description": "News, photo galleries, audio/video clips, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Rebel_Motorcycle_Club", "url": "http://www.mtv.com/artists/black-rebel-motorcycle-club/"} +{"d:Title": "Black Rob", "d:Description": "Sound files for the rap artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Rob", "url": "http://www.angelfire.com/ct/natedoggshiphopjoint/"} +{"d:Title": "Joe Siegler's Black Sabbath Fan Site", "d:Description": "Includes news, discography, lyrics, reviews, FAQ, and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.black-sabbath.com/"} +{"d:Title": "Rockmagic.net: Black Sabbath", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://lyrics.rockmagic.net/lyrics/black_sabbath/"} +{"d:Title": "Black Sabbath Fan Club Deutschland", "d:Description": "Includes news, archives, discography, lyrics, and annotated links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.black-sabbath.de/"} +{"d:Title": "Darryl's Sabbath Scrapbook", "d:Description": "Features reviews of concerts in Calgary 1995 and Vancouver's Ozzfest 1999. Also contains pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.stallionsoftware.com/sabbath.htm"} +{"d:Title": "How Black was our Sabbath", "d:Description": "A book about the early days of Black Sabbath, which covers the period when Ozzy Osbourne was singer with the band. By David Tangye and Graham Wright. Includes previously unpublished photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.blacksabbath.co.uk/"} +{"d:Title": "Artist Direct: Black Sabbath", "d:Description": "MP3 audio, lyrics, pictures, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,404698,00.html"} +{"d:Title": "Black Sabbath News: Topix", "d:Description": "News about Black Sabbath continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.topix.com/who/black-sabbath"} +{"d:Title": "MusicMight: Black Sabbath", "d:Description": "Features biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.musicmight.com/artist/united+kingdom/west+midlands/birmingham/black+sabbath"} +{"d:Title": "Rollingstone.com: Black Sabbath", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.rollingstone.com/music/artists/black-sabbath"} +{"d:Title": "All Music Guide: Black Sabbath", "d:Description": "Detailed biography of the band, past and present members, credits, awards and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.allmusic.com/artist/black-sabbath-p3693"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Black Sabbath", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.sfloman.com/blacksabbath.html"} +{"d:Title": "MTV.com: Black Sabbath", "d:Description": "Site contains news, multimedia, bio, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://www.mtv.com/artists/black-sabbath/"} +{"d:Title": "Hip Online: Black Sabbath", "d:Description": "Contains biography, links, reviews and discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath", "url": "http://hiponline.com/306/black-sabbath.html"} +{"d:Title": "All Music Guide: Geezer Butler", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Butler,_Geezer", "url": "http://www.allmusic.com/artist/geezer-butler-p61332"} +{"d:Title": "Vandal's Tribute to Black Sabbath", "d:Description": "Biography of the group, photographs, and RealAudio.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Fan_Pages", "url": "http://members.tripod.com/~Vasago/"} +{"d:Title": "Nicks Black Sabbath Site", "d:Description": "Includes a discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Fan_Pages", "url": "http://www.angelfire.com/ny/nickssite/index.html"} +{"d:Title": "Chuck1972's Black Sabbath with Ozzy", "d:Description": "Includes photographs, videos, lyrics, history, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Fan_Pages", "url": "http://members.tripod.com/chuck1972/OZZSABB.html"} +{"d:Title": "Tony Iommi", "d:Description": "Communal resource for creators and consumers of music who share an appreciation for, or have been influenced in some way by, Tony Iommi.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Iommi,_Tony", "url": "http://www.iommi.com/"} +{"d:Title": "Mike's Guitar Site: Tony Iommi's Gear", "d:Description": "Details of Toni Iommi's gear - guitars , amps and setup.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Iommi,_Tony", "url": "http://www.mikesguitarsite.co.uk/gear/black_sabbath/"} +{"d:Title": "Tony Iommi Message Board", "d:Description": "Message board for Black Sabbath guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Iommi,_Tony", "url": "http://iommimessageboard.invisionzone.com/index.php"} +{"d:Title": "All Music Guide: Tony Iommi", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Iommi,_Tony", "url": "http://www.allmusic.com/artist/tony-iommi-mn0000007040"} +{"d:Title": "Black Sabbath Tabs", "d:Description": "Guitar tablature for several songs, in alphabetical order. Some songs have more than one version.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tablature", "url": "http://www.guitaretab.com/b/black-sabbath/"} +{"d:Title": "Songsterr: Black Sabbath", "d:Description": "Bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tablature", "url": "http://www.songsterr.com/a/wsa/black-sabbath-tabs-a8?inst=bass"} +{"d:Title": "Black Sabbath Live, Rare and Unusual", "d:Description": "Includes a listings of all available live audio recordings for trade, tour dates, band members and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Trading", "url": "http://www.angelfire.com/rock3/sabbathconcert/"} +{"d:Title": "Black Sabbath Mailing Exchange", "d:Description": "Features a list of audio and videos available for trade.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Trading", "url": "http://www.angelfire.com/mo/TonyMartinLetter2/SabbathList.html"} +{"d:Title": "Cornucopia", "d:Description": "Includes pictures, biography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://cornucopia666.tripod.com/1/"} +{"d:Title": "Psycho Shade", "d:Description": "Based in Italy. Includes tour dates, pictures, repertoire, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://web.tiscali.it/psychoshade/"} +{"d:Title": "Wicked World", "d:Description": "Based in New York City. Includes gig listings, pictures, audio and video, merchandise, repertoire, contact details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://wickedwebworld.net/"} +{"d:Title": "Sabbath, The", "d:Description": "Based in England. No longer active.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://www.thesabbath.co.uk/"} +{"d:Title": "Sabbath Bloody Sabbath", "d:Description": "Based in California. Includes band biography, photographs, gig listings, sound files, contact information, reviews, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://www.sabbathbloodysabbath.com/"} +{"d:Title": "Sack Sabbath", "d:Description": "Based in England. Includes news, member profiles, and gig listings, photographs, audio, video, reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://www.sacksabbath.co.uk/"} +{"d:Title": "Australian Black Sabbath", "d:Description": "News, reviews, gig dates, venues, MP3s, photos and other files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://homepage.eircom.net/~robertx/"} +{"d:Title": "Black Zappeth", "d:Description": "Based in Texas. Focused on the Ozzy Osbourne and Ronnie James Dio eras. Includes song list, show dates and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://www.blackzappeth.com/"} +{"d:Title": "Ozzbest", "d:Description": "Based in England. Includes news, gig listings, biography, audio samples, photographs, video, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Tribute_Bands", "url": "http://www.ozzbest.com/"} +{"d:Title": "The Official Bill Ward Web Site", "d:Description": "Contains information on the Bill Ward Band, photos, song clips, discography and past tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Ward,_Bill", "url": "http://www.billward.com/"} +{"d:Title": "All Music Guide: Bill Ward", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Black_Sabbath/Ward,_Bill", "url": "http://www.allmusic.com/artist/bill-ward-p193862"} +{"d:Title": "JackBlades.Net", "d:Description": "Official site includes news, discography, history, and sample MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blades,_Jack", "url": "http://www.jackblades.net/"} +{"d:Title": "Official Blake Babies Web Site", "d:Description": "Their site offers news, archived articles, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blake_Babies", "url": "http://www.blakebabies.com/"} +{"d:Title": "Metacritic: God Bless The Blake Babies", "d:Description": "Links for several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blake_Babies", "url": "http://www.metacritic.com/music/god-bless-the-blake-babies/the-blake-babies"} +{"d:Title": "Metroactive Music: Bland Man's Blues", "d:Description": "Review by Nicky Baxter of the 1999 release Memphis Monday Morning.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bland,_Bobby_Blue", "url": "http://www.metroactive.com/papers/metro/03.18.99/bobbyblue-9911.html"} +{"d:Title": "Harry's Blues Lyrics: Bobby ''Blue'' Bland Lyrics", "d:Description": "Lyrics to dozens of songs, some with audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bland,_Bobby_Blue", "url": "http://blueslyrics.tripod.com/artistswithsongs/bobby_blue_bland_1.htm"} +{"d:Title": "All Music Guide: Bobby \"Blue\" Bland", "d:Description": "Biography, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bland,_Bobby_Blue", "url": "http://www.allmusic.com/artist/bobby-blue-bland-p274"} +{"d:Title": "Rock and Roll Hall of Fame: Bobby \"Blue\" Bland", "d:Description": "Profile and photograph of the 1992 inductee.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bland,_Bobby_Blue", "url": "http://rockhall.com/inductees/bobby-blue-bland/"} +{"d:Title": "Boom Like An 808: Blaque", "d:Description": "Includes pictures, lyrics, band biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blaque", "url": "http://www.angelfire.com/biz3/TLCCrazzy/Blaque2.html"} +{"d:Title": "Blaque Website, The", "d:Description": "Includes news, photos, clubhouse, games, trivia, contests, and promotions.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blaque", "url": "http://www.angelfire.com/ga/blaque/"} +{"d:Title": "Blaque United", "d:Description": "Includes news, articles, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blaque", "url": "http://www.angelfire.com/ia/blaque/main.html"} +{"d:Title": "All Music Guide: Blaque", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blaque", "url": "http://www.allmusic.com/artist/blaque-p366355"} +{"d:Title": "MTV: Blaque", "d:Description": "Features music news, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blaque", "url": "http://www.mtv.com/artists/blaque"} +{"d:Title": "The Blasters", "d:Description": "Official site includes a message board, booking information, and clips from their DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blasters,_The", "url": "http://www.theblasters.com/"} +{"d:Title": "The Blasters and Dave Alvin Newsletter", "d:Description": "Offers newsletter with interview about the album \"4-11-44.\" Photos, tour date archive.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blasters,_The", "url": "http://www.blastersnewsletter.com/"} +{"d:Title": "The Blasters Home Page", "d:Description": "Blasters section of Dave Alvin's site. Includes news, biographies, gigs, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blasters,_The", "url": "http://www.davealvin.com/blasters/"} +{"d:Title": "Blessid Union of Souls", "d:Description": "Fan site includes pictures, links, lyrics, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blessid_Union_of_Souls", "url": "http://www.angelfire.com/pa2/blessid/blessid.html"} +{"d:Title": "Share My World...A Mary J. Blige Tribute", "d:Description": "Features forum, polls, virtual cards, screen saver, desktop theme, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blige,_Mary_J.", "url": "http://www.angelfire.com/hiphop/maryjblige111/"} +{"d:Title": "RollingStone.com: Mary J. Blige", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blige,_Mary_J.", "url": "http://www.rollingstone.com/music/artists/mary-j-blige"} +{"d:Title": "AskMen.com: Mary J. Blige", "d:Description": "Pictures, biography, ratings and links for the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blige,_Mary_J.", "url": "http://www.askmen.com/celebs/women/singer/18_mary_j_blige.html"} +{"d:Title": "MCA Records: Mary J. Blige", "d:Description": "Official record label's site with tour dates, articles, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blige,_Mary_J.", "url": "http://www.maryjblige.com/"} +{"d:Title": "MTV.com: Mary J. Blige", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blige,_Mary_J.", "url": "http://www.mtv.com/artists/mary-j-blige/"} +{"d:Title": "Blind Faith", "d:Description": "History, biography, lyrics, discography, photographs, bibliography, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Faith", "url": "http://www.angelfire.com/wi/blindfaith/"} +{"d:Title": "Steve Winwood Fans' Site: Blind Faith", "d:Description": "Chronological timeline, articles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Faith", "url": "http://www.winwoodfans.com/bf-pg.htm"} +{"d:Title": "Chrome Oxide: Blind Faith", "d:Description": "Includes profile, list of members, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Faith", "url": "http://www.chromeoxide.com/blind.htm"} +{"d:Title": "Hip Online: Blind Faith", "d:Description": "Biography and group photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Faith", "url": "http://www.hiponline.com/323/blind-faith.html"} +{"d:Title": "Blind Guardian: Forgotten Pages", "d:Description": "Fan site offers guitar tablatures, discography, lyrics, audio samples and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Guardian", "url": "http://www.ba-stuttgart.de/~bziller/blind_guardian/"} +{"d:Title": "Blind Guardian Official Homepage", "d:Description": "News, discography, lyrics, and sound files.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Guardian", "url": "http://blind-guardian.com/"} +{"d:Title": "Yolgezer's Blind Guardian Page", "d:Description": "News, lyrics, tablatures, discography, media files (mp3, wallpapers, photos).", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Guardian", "url": "http://blindguardian.fisek.com.tr/"} +{"d:Title": "Rockmagic.net: Blind Guardian", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Guardian", "url": "http://lyrics.rockmagic.net/lyrics/blind_guardian/"} +{"d:Title": "Nightfall in Anatolia", "d:Description": "Fan site includes discography, biography, lyrics, downloads, and guitar tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Guardian", "url": "http://nightfall-in-anatolia.8k.com/"} +{"d:Title": "Blind-Collection", "d:Description": "Collector's site features albums, singles, promos, demos, bootlegs and other items related to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Guardian", "url": "http://www.blind-collection.com/"} +{"d:Title": "Esther's Blind Melon page", "d:Description": "Categorized links for Shannon Hoon and the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Melon", "url": "http://members.tripod.com/~melonhead60/index.html"} +{"d:Title": "Silverwolf's Blind Melon Tribute Page", "d:Description": "Contains biography, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Melon", "url": "http://swf_blindmelon.tripod.com/"} +{"d:Title": "Drop-D Magazine: Blind Melon - Nico", "d:Description": "Darren Kerr's review: \"This is not a posthumous rip-off, but rather an act of closure.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Melon/Reviews/Nico", "url": "http://www.dropd.com/issue/44/CD/BlindMelon/"} +{"d:Title": "Consumable On-line: Blind Melon - Soup", "d:Description": "Linda Scott's review: \"No huge singles, no bee girls, no great riffs or hooks, but still an album that draws you back for another listen.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Melon/Reviews/Soup", "url": "http://www.westnet.com/consumable/1995/09.22/revblin.html"} +{"d:Title": "Hating Bees and Eating Soup", "d:Description": "A webring for all the Blind Melon sites across the world.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blind_Melon/Web_Rings", "url": "http://blindmelon.users.50megs.com/webring.html"} +{"d:Title": "Roddy's Irish Music Show: Blink", "d:Description": "Interview with Dermot and Robbie.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink", "url": "http://www.almostfabulous.com/rc/interviews/blink.php"} +{"d:Title": "Blink-182 News: Topix", "d:Description": "News about Blink-182 continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182", "url": "http://www.topix.com/who/blink-182"} +{"d:Title": "Blink-182 - Official Site", "d:Description": "Band information, discography, lyrics, tour dates, photos, sound clips, FAQ and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182", "url": "http://blink-182.com/"} +{"d:Title": "blink-182 at Atomik", "d:Description": "News about the band, music, photos, wallpapers, discography, videos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.atomik.free.fr/blink-182/"} +{"d:Title": "An Abyss of Blink 182 Crap", "d:Description": "Includes guitar tabs, bass tabs, lyrics, pictures, a forum, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://blink182crap.tripod.com/"} +{"d:Title": "Apple Shampoo", "d:Description": "Pictures, tablatures, tour dates, biography, album covers, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/pq/mayce/"} +{"d:Title": "Anthonys Blink 182 punkrabbit Page", "d:Description": "Includes biography, lyrics, and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/punk2/punkrabbit182/"} +{"d:Title": "Another Blink Page", "d:Description": "Includes sound, band information, pictures, links, stories, discography, and surveys.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/ca/rwcblink/"} +{"d:Title": "Akiva's Blink 182 Page", "d:Description": "Includes pictures, news, links, guitar tabs, audio, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://members.tripod.com/~AkivaG/blink.html"} +{"d:Title": "Think Blink: A Blink-182 Tribute", "d:Description": "Includes pictures, lyrics, quotes, discography, links, and a chance to win award.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/punk2/blinkrocks/"} +{"d:Title": "Tom DeLonge", "d:Description": "Quotes, photos, poll, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/punk3/tom_delonge/"} +{"d:Title": "Travis Barker, The Best Drummer in the World", "d:Description": "Contains pictures, profile, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://emogirl6.tripod.com/index.html"} +{"d:Title": "I Know I'm Pathetic", "d:Description": "Includes lyrics, news, pictures, and song meanings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/band/blink182ohyeah/mypage.html"} +{"d:Title": "Ryan's Blink-182 Web Page", "d:Description": "Pictures, tour dates, and a poll.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/oh3/rjh11185/blink182.html"} +{"d:Title": "Blink 182 Palace", "d:Description": "Contains news, discography, bio, tabs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://llezirf.tripod.com/"} +{"d:Title": "Blink 182: Aliens Do Exist", "d:Description": "Band information, photos, tour dates, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/ga3/mutt/index.html"} +{"d:Title": "A Blink 182 and Silverchair Site", "d:Description": "Includes pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/rock/silverblinker/index.html"} +{"d:Title": "Blink 182: What The Hell Is ADD?", "d:Description": "Includes lyrics, pictures, song meanings, MIDI files, polls, band biography, member profiles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/journal/songssayit/blink.html"} +{"d:Title": "Blink-182 - Crappy Punk Rock", "d:Description": "Pictures, MP3s, videos, interviews, tablature and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/ca4/crappypunkrock182/"} +{"d:Title": "Blink 182 Fan Realm", "d:Description": "News, pictures, concert dates, a quiz, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/punk3/blink18224/"} +{"d:Title": "Blink 182 Source", "d:Description": "News, pictures, polls, lyrics, biography, discography, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/nm/limpshrimp/"} +{"d:Title": "Beth's Blink 182 Site", "d:Description": "Pictures, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://members.tripod.com/~sandler_Lover_01/index.html"} +{"d:Title": "Blink-182", "d:Description": "Contains information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/ca6/dumpweed182/blink182.htm"} +{"d:Title": "Blink182dammit", "d:Description": "Offers pictures, magazine articles, chat, and winamp skins.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/music2/blink182dammit/index.html"} +{"d:Title": "Blink 182 Blvd", "d:Description": "Pictures, member profiles, biography, reviews, quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/band/blink182blvd/"} +{"d:Title": "Blink 182", "d:Description": "Offers biographies, news, tablatures, a search, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://blink_182_mtt.tripod.com/EriksBlink182site/"} +{"d:Title": "Blink 182", "d:Description": "Photos, tour dates, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/emo/blinkmak182/introo.html"} +{"d:Title": "Blink Warp", "d:Description": "Band information, profiles, discography, tour dates, lyrics, tablatures, interviews, polls, quotes and pictures. [Pop-up windows]", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://blinkwarp.iwarp.com/"} +{"d:Title": "Blink 182", "d:Description": "Contains discography, news, tabs, pictures, lyrics, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://members.tripod.com/~blinksugar_182/blink182.html"} +{"d:Title": "Blink's Domain", "d:Description": "Includes pictures, band information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/ca2/elmosdirectory2/blink.html"} +{"d:Title": "Brain's Blink-182 Site", "d:Description": "Contains biography, discography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://krazd13.tripod.com/blink182/"} +{"d:Title": "Blink-182 For Life", "d:Description": "Contains tour dates, biographies, pictures, lyrics, and song meanings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/punk3/blink182_4life/index.html"} +{"d:Title": "Blink Tabs", "d:Description": "Guitar tabs, lyrics, message board, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://loserkidl182.tripod.com/index.html"} +{"d:Title": "Blink-182", "d:Description": "Pictures, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://blink_182_01.tripod.com/"} +{"d:Title": "Blink-182-Tariq's Homepage", "d:Description": "Includes sound and video clips, tabs, lyrics, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://metrostars.tripod.com/blink.html"} +{"d:Title": "Blink-182", "d:Description": "Features band information, interviews, tour dates, MP3, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://blinkin182.tripod.com/1.html"} +{"d:Title": "Colin's Blink 182 Page", "d:Description": "Includes pictures, sounds, discography, lyrics, guitar and bass tablatures, tour information, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://members.tripod.com/~punk_rock_182/"} +{"d:Title": "Katie's Blink-182 Page", "d:Description": "Lyrics, band information, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/sd/caitlinj2/"} +{"d:Title": "Super-Spiffy Blink-182 Page", "d:Description": "Tour dates, band history, pictures, lyrics, discography, a bulletin board, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/tx3/blink182rawks/index.html"} +{"d:Title": "Steve's Blink 182 Page", "d:Description": "Pictures, tablatures, and MP3s. Also a Tom DeLonge image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/fl2/blinkoneeightytwo/"} +{"d:Title": "Steffie's Cool Blink182 Fansite", "d:Description": "Offers information, lyrics, links, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://steffie202.tripod.com/steffiescoolblink182fansite/"} +{"d:Title": "Flyswatter", "d:Description": "Contains tour dates, biographies, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://flyswatter182.tripod.com/"} +{"d:Title": "Princess' Travis Barker Page", "d:Description": "Completely dedicated to Travis Barker of Blink 182. Includes biography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/biz5/britrav/index.html"} +{"d:Title": "Punkolicious", "d:Description": "Contains Blink 182 lyrics, pictures, news, updates, biographies, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/punk2/punkolicious/"} +{"d:Title": "Point Blink", "d:Description": "Pictures, tour dates, contests, facts, awards, quizzes, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/wi/rocken4music/blink182.html"} +{"d:Title": "Jeff's Blink-182 Page", "d:Description": "Offers group pictures, band logos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://jeffthereject.tripod.com/"} +{"d:Title": "My Stupendous Page on Blink 182", "d:Description": "Features biographies, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://www.angelfire.com/punk/MyStupendousPage/blink182.html"} +{"d:Title": "Welsh Blink 182", "d:Description": "News, pictures, MP3s, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Fan_Pages", "url": "http://blink182.i8.com/"} +{"d:Title": "Blink 182 Lyrics", "d:Description": "Contains the lyrics to all of Blink 182's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Lyrics", "url": "http://www.najical.com/blink182/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/blink_182/"} +{"d:Title": "Music Olympus: Blink 182", "d:Description": "Discography, biography, lyrics, gallery, guitar tablature, midis, wallpaper, screensaver, desktop theme, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Resources", "url": "http://www.musicolympus.com/blink182/"} +{"d:Title": "Matt's Music Page: Blink-182", "d:Description": "Images, sound files, chat, forum, videos, tablatures, profiles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Resources", "url": "http://www.mattsmusicpage.com/nblink.htm"} +{"d:Title": "XGuitar.com : Blink 182 guitar&bass tabs", "d:Description": "Tablatures sorted by albums and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Resources", "url": "http://www.xguitar.com/guitar-tabs/blink_182/"} +{"d:Title": "Blink-182 Online", "d:Description": "Find news, biographies, info, videos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Resources", "url": "http://www.blink-182online.com/"} +{"d:Title": "Artist Direct: Blink 182", "d:Description": "Links to MP3s, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Resources", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,579327,00.html"} +{"d:Title": "Topix: Blink-182", "d:Description": "News about Blink-182, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Resources", "url": "http://www.topix.com/rss/who/blink-182.xml"} +{"d:Title": "MTV: Blink-182", "d:Description": "Features music video clips, album reviews, and MTV Online Web exclusives.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Resources", "url": "http://www.mtv.com/artists/blink-182/"} +{"d:Title": "Metacritic: Live at the Mark, Tom and Travis Show", "d:Description": "Multiple critic and user reviews for Live at the Mark, Tom and Travis Show.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blink-182/Reviews", "url": "http://www.metacritic.com/music/live-at-the-mark-tom-travis-show-the-enema-strikes-back/blink-182"} +{"d:Title": "Blinker the Star Mailing List", "d:Description": "Located at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blinker_the_Star", "url": "http://movies.groups.yahoo.com/group/btstar/"} +{"d:Title": "ARTISTdirect: Blinker the Star", "d:Description": "Biography, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blinker_the_Star", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,542324,00.html"} +{"d:Title": "Soundclick: Blinki", "d:Description": "Includes a profile, news, reviews, song samples, lyrics, and the band's first video, \"I Believe\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blinki", "url": "http://www.soundclick.com/bands/pageartist.cfm?bandID=995"} +{"d:Title": "Trip to the 13th", "d:Description": "Fan site with tour dates, profiles, lyrics, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bliss_66", "url": "http://bre_m_kopson.tripod.com/bresblisssite/"} +{"d:Title": "Blondie", "d:Description": "Official site includes news, band profiles, tour dates, photograph gallery, discography, biography, merchandise, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.blondie.net/"} +{"d:Title": "UnionCity: Deborah Harry and Blondie Tribute", "d:Description": "Fan tribute with information, reviews, commentary, and pictures which are exclusive to this site.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.unioncity.force9.co.uk/"} +{"d:Title": "Rock Around the World: Blondie", "d:Description": "Newspaper article with background information and history of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.ratw.com/issues/19/blondie.htm"} +{"d:Title": "Blondie: The Complete Discography", "d:Description": "A discography of Blondie and Deborah Harry music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.recmod.com/"} +{"d:Title": "Debbie League", "d:Description": "Dedicated to Deborah Harry, Blondie, and the Human League. A fan shares his collection, as well as links, articles, pictures, and album cover scans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.debbieleague.dk/"} +{"d:Title": "Blondie Reunion: Still Going Strong", "d:Description": "A fan presents news, tour photographs, music clips, and lyrics to Blondie songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.angelfire.com/ny2/bestofblondie/index.html"} +{"d:Title": "Blondie News: Topix", "d:Description": "News about Blondie continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.topix.com/who/blondie"} +{"d:Title": "Debbie Harry Collector's Society", "d:Description": "News, history, and information about the singer's and band's past projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.debbieharry.net/"} +{"d:Title": "Rip Her To Shreds", "d:Description": "Interviews, photographs, news, information, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.rip-her-to-shreds.com/"} +{"d:Title": "The Blondie Review", "d:Description": "Fan magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.bobsamerica.com/blondie.html"} +{"d:Title": "RollingStone.com: Blondie", "d:Description": "Includes biography, discography, photographs, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.rollingstone.com/music/artists/blondie"} +{"d:Title": "MTV: Blondie", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.mtv.com/artists/blondie/"} +{"d:Title": "All Music Guide: Blondie", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie", "url": "http://www.allmusic.com/artist/blondie-mn0000044764"} +{"d:Title": "Debbie Harry Gallery", "d:Description": "Photograph galleries of Debbie Harry and the group, Blondie.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie/Image_Galleries", "url": "http://members.tripod.com/~unioncity/Harry.html"} +{"d:Title": "BlondDee", "d:Description": "UK based Blondie tribute band. Audio, photos, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blondie/Tribute_Bands", "url": "http://www.blonddee.co.uk/"} +{"d:Title": "David Clayton-Thomas", "d:Description": "Homepage of Blood Sweat and Tears front man. Photographs, discography, news, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blood,_Sweat_and_Tears", "url": "http://www.davidclaytonthomas.com/"} +{"d:Title": "CrossMetal Music: Bloodgood", "d:Description": "Unofficial site with a biography and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodgood", "url": "http://www.angelfire.com/80s/CROSSMETALMUSIC/crossmetalmusicbloodgoodpage.html"} +{"d:Title": "No Life Til Metal: Bloodgood", "d:Description": "Features a discography and review of each album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodgood", "url": "http://ultmetal.tripod.com/bloodgood.htm"} +{"d:Title": "Yahoo Groups: Bloodgood Rocks", "d:Description": "A discussion forum for fans to post messages about the band. Includes photographs and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodgood", "url": "http://launch.groups.yahoo.com/group/Bloodgood_Rocks/"} +{"d:Title": "The Bloodhound Gang", "d:Description": "Official site with news, biography, photographs, lyrics, links, tour information, message board and sound clips.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://www.bloodhoundgang.com/"} +{"d:Title": "Bloodhound Gang Unofficial Website", "d:Description": "Contains biographies, lyrics, pictures, message board, chat and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://bloodhgang.tripod.com/"} +{"d:Title": "The Bloodhound Gang Page", "d:Description": "Features information, pictures, sounds, lyrics, videos and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://bhgmusic.tripod.com/index2.html"} +{"d:Title": "Entertainment Ave: The Bloodhound Gang", "d:Description": "Review of a concert from the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/bg.htm"} +{"d:Title": "Bloodhound Gang Lyrics", "d:Description": "Contains song lyrics for major album releases.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://www.azlyrics.com/b/bloodhound.html"} +{"d:Title": "Artist Direct Network: The Bloodhound Gang", "d:Description": "Incorporates biography, links, MP3 downloads and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,566840,00.html"} +{"d:Title": "All Music Guide: Bloodhound Gang", "d:Description": "Contains a biography, discography, related artists and song highlights.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://www.allmusic.com/artist/the-bloodhound-gang-p198609"} +{"d:Title": "MTV: Bloodhound Gang", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://www.mtv.com/artists/bloodhound-gang/"} +{"d:Title": "Contactmusic.com: Bloodhound Gang", "d:Description": "Negative review of the One Fierce Beer Run DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloodhound_Gang", "url": "http://www.contactmusic.com/pages/bloodhoundgangx29x05x03"} +{"d:Title": "Luka Bloom", "d:Description": "Official site. Includes news, discography, photos, tour dates, audio/video, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bloom,_Luka", "url": "http://www.lukabloom.com/"} +{"d:Title": "TrouserPress.com: Blow Monkeys", "d:Description": "Discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blow_Monkeys,_The", "url": "http://www.trouserpress.com/entry.php?a=blow_monkeys"} +{"d:Title": "Dr. Robert", "d:Description": "Lead singer's official site. News, biography, press, reviews, discography, forum, merchandise, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blow_Monkeys,_The", "url": "http://www.drrobert.net/"} +{"d:Title": "All Music Guide: The Blow Monkeys", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blow_Monkeys,_The", "url": "http://www.allmusic.com/artist/the-blow-monkeys-p12399"} +{"d:Title": "Yahoo Groups: LeeRyanBlue18", "d:Description": "Group for fans of Lee Ryan. Includes photos, interviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue", "url": "http://launch.groups.yahoo.com/group/LeeRyanBlue18/"} +{"d:Title": "The Blues Brothers", "d:Description": "Includes information on the group, its films, tribute acts and shows, and the alt.fan.blues-brothers FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The", "url": "http://www.csse.monash.edu.au/~pringle/bluesbros/"} +{"d:Title": "Blues Brothers Central", "d:Description": "Biographies, gigs, multimedia, pictures and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The", "url": "http://www.bluesbrotherscentral.com/"} +{"d:Title": "Lucy's Unofficial Tribute to the Blues Brothers", "d:Description": "Cast list with short biographies, repertoire, show reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The", "url": "http://www.angelfire.com/il/BluesBros/"} +{"d:Title": "Copenhagen Blues Brothers", "d:Description": "Danish tribute band. Includes tour dates, photos and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.bluesbrothers.dk/"} +{"d:Title": "Briefcase Blues", "d:Description": "Contains pictures, RealPlayer clips, and up-to-date concert and performance information links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.briefcaseblues.com/"} +{"d:Title": "Bluz Boys Band, The", "d:Description": "Information about Mississippi's own version of the Blues Brothers. Includes member profiles and streaming audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.bluzboys.com/"} +{"d:Title": "Doodles at Large, The", "d:Description": "A site about a couple of entertainers trying to spread music and laughter throughout the world.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.angelfire.com/myband/TheDoodlesAtLarge/index.html"} +{"d:Title": "Original Brothers, The", "d:Description": "European tribute band; includes news, discography, sound files, photos, and information about their Bluesmobile.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://members.chello.at/the.original.brothers/"} +{"d:Title": "Jake&Elwood", "d:Description": "Band based in the United Kingdom. Overview, tour details, photographs, press, musical tracks, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.jakeandelwood.co.uk/"} +{"d:Title": "Soul Men, The", "d:Description": "Act based in Cleveland, Ohio. Pictures, performance schedule, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.thesoulmen.com/"} +{"d:Title": "Belooshie Blues Brothers, The", "d:Description": "Background information, reviews, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://belooshie-blues-bros.tripod.com/"} +{"d:Title": "Bootleg Blues Brothers, The", "d:Description": "UK based duo and 8 piece tribute band. Includes biography, audio, testimonials, photos and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.bootlegbluesbrothers.co.uk/"} +{"d:Title": "Birmingham Blues Brothers, The", "d:Description": "UK based duo. Includes biography, previous clients, photos and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.birminghambluesbrothers.com/"} +{"d:Title": "Blues Brothers Tribute", "d:Description": "UK based duo. Includes overview, audio, photographs and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.blues-brothers-tribute.co.uk/"} +{"d:Title": "Tribute Blues Brothers Band", "d:Description": "London based tribute band. Includes overview, reviews, showreel, contact information and Bluesmobile hire.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.tributebluesbrothers.co.uk/"} +{"d:Title": "The Blues Others", "d:Description": "UK Tribute Act and Function Band - Entertainment for Weddings, Parties and Corporate Events. Videos, audio samples, photo galleries and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Brothers,_The/Tribute_Acts", "url": "http://www.thebluesothers.com/"} +{"d:Title": "Blues Traveler", "d:Description": "Official band site. Includes pictures, profiles, FAQs, news, tour dates, MP3s and videos. Fash Required.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.bluestraveler.com/"} +{"d:Title": "BluesTraveler.Net", "d:Description": "Includes news, chat room, tour dates, discography, lyrics, MIDI, message board, set list archive, photos, and information on side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.bluestraveler.net/"} +{"d:Title": "Blues Traveler Show Search", "d:Description": "Band specific tape trader page. Includes tape dates, source of recording and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://falcon.jmu.edu/~andersaf/BT.htm"} +{"d:Title": "The Music Box: The Other Travelers", "d:Description": "Review of Blues Traveler and The Wallflowers concert at UIC Pavilion in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.musicbox-online.com/bt11-96.html"} +{"d:Title": "Brian and Ryan's Tape Trading Page", "d:Description": "Blues Traveler tape traders. Some rare sets included.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.angelfire.com/wi/tapetraders/"} +{"d:Title": "AskMen.com: Blues Traveler", "d:Description": "Interview with John Popper just after the release of \"Truth Be Told.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.askmen.com/toys/interview_60/97_blues_traveler_interview.html"} +{"d:Title": "RollingStone.com: Blues Traveler", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.rollingstone.com/music/artists/blues-traveler"} +{"d:Title": "AMG: Blues Traveler", "d:Description": "Contains overview, biography, discography, awards and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.allmusic.com/artist/p3723"} +{"d:Title": "MTV.com - Blues Traveler", "d:Description": "Blues Traveler information. News, interviews, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.mtv.com/artists/blues-traveler/"} +{"d:Title": "Metacritic: Blues Traveler - The Bridge", "d:Description": "Links to a variety of reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler", "url": "http://www.metacritic.com/music/bridge/blues-traveler"} +{"d:Title": "Jam Bands: John Popper", "d:Description": "\"Keep On Keepin' On\" - A 1999 interview with Blues Traveler front man.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler/Popper,_John", "url": "http://www.jambands.com/features/1999/09/15/john-popper-keep-on-keepin-on"} +{"d:Title": "AMG: John Popper", "d:Description": "Includes biography, credits, pictures and related artists for the Blues Traveler front man.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler/Popper,_John", "url": "http://www.allmusic.com/artist/p115229"} +{"d:Title": "John Popper", "d:Description": "Filmography at IMDb with actor, composer, and TV guest appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler/Popper,_John", "url": "http://www.imdb.com/name/nm0691580/"} +{"d:Title": "Forever FOB", "d:Description": "Bobby Sheehan memorial. Includes visitor submitted remembrances.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler/Sheehan,_Bobby", "url": "http://bluestraveler.net/interact/bob/"} +{"d:Title": "FullerUp: Bobby Sheehan", "d:Description": "Collection of articles and assorted obituaries for the Blues Traveler bassist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blues_Traveler/Sheehan,_Bobby", "url": "http://elvispelvis.com/bobbysheehan.htm"} +{"d:Title": "Aardvark Records: Blue Cartoon", "d:Description": "Record label's site with a biography, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Cartoon", "url": "http://www.aardvarkrecords.com/artists/bluecart.html"} +{"d:Title": "Headlights", "d:Description": "Blue Nile fan site in English and German: biography, discography, bootlegs, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Nile,_The", "url": "http://www.headlights.de/"} +{"d:Title": "Blue \u00d6yster Cult", "d:Description": "Official site offering news, biographies, history, merchandise, and media.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.blueoystercult.com/"} +{"d:Title": "Rockmagic.net: Blue Oyster Cult Lyrics", "d:Description": "Offers lyrics listed by album, and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://lyrics.rockmagic.net/lyrics/blue_oyster_cult/"} +{"d:Title": "Flaming Telepaths", "d:Description": "Oldest continuously-operated website dedicated to the band Blue Oyster Cult. Music and news blogs, links and scrapbook.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.flamingtelepaths.com/"} +{"d:Title": "BOC Fans", "d:Description": "Bulletin board, biographies, pictures, FAQ, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.bocfans.com/"} +{"d:Title": "Buck Dharma", "d:Description": "Official site for Lead guitarist and vocalist for Blue Oyster Cult.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.buckdharma.com/"} +{"d:Title": "EricBloom.net", "d:Description": "Official site for Eric Bloom, lead vocalist for the band Blue Oyster Cult. Includes biography, photographs, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.ericbloom.net/"} +{"d:Title": "Blue Oyster Cult - Lyrics", "d:Description": "Album lyrics for most BOC albums", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.oldielyrics.com/b/blue_oyster_cult.html"} +{"d:Title": "PopEntertainment.com: Blue Oyster Cult", "d:Description": "Interview with Buck Dharma, the mind behind the thinking man's hard rock band, by Ken Sharp.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.popentertainment.com/blueoystercult.htm"} +{"d:Title": "Hot Rails to Hull", "d:Description": "Dedicated to the appearances the band has made in the UK. Contains reviews, interviews, photos, and setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.hotrails.co.uk/"} +{"d:Title": "Artist Direct: Blue Oyster Cult", "d:Description": "Includes photos, audio clips, biography, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,405445,00.html"} +{"d:Title": "Interview with Buck Dharma of Blue \u00d6yster Cult", "d:Description": "A brief questions and answers with Buck Dharma of Blue \u00d6yster Cult", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Articles_and_Interviews", "url": "http://www.ram.org/music/articles/dharmainterview.html"} +{"d:Title": "Interview with Eric Bloom of Blue \u00d6yster Cult", "d:Description": "Interview from 1996", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Articles_and_Interviews", "url": "http://www.ram.org/music/articles/BOCinterview.html"} +{"d:Title": "Brain Surgeons concert review", "d:Description": "Concert review from 2-7-98", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Reviews", "url": "http://www.ink19.com/issues_F/98_03/live/brain_surgeons_nf.html"} +{"d:Title": "A long day's Night Music Review", "d:Description": "Review by James Mann from ink19.com", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Reviews", "url": "http://www.ink19.com/issues/november2002/musicReviews/musicB/blueOysterCult.html"} +{"d:Title": "Blue Oyster Cult CD Reviews", "d:Description": "Various BOC CD reviews from Roughedge.com", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Reviews", "url": "http://www.roughedge.com/cdreviews/b/blueoystercult.htm"} +{"d:Title": "Lit.Org : Reviews : A Long Days Night", "d:Description": "Review of \"Long Day's Night\" DVD", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Reviews", "url": "http://www.lit.org/view/4248"} +{"d:Title": "SoundStage! Blue Oyster Cult - Agents of Fortune (Remastered)", "d:Description": "Review of the Mobile Fidelity version of \"Agents of Fortune\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Reviews", "url": "http://www.soundstage.com/music/reviews/grev005.htm"} +{"d:Title": "Blue Oyster Cult-Long Day's Night, A (2002)", "d:Description": "DVD Review", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_\u00d6yster_Cult/Reviews", "url": "http://www.michaeldvd.com.au/Reviews/Reviews.asp?ID=3454"} +{"d:Title": "Blue Rodeo", "d:Description": "Official Site. Features information about this Canadian band, tour dates and a Chili recipe.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.bluerodeo.com/"} +{"d:Title": "Toby's Blue Rodeo Site", "d:Description": "Discography with reviews, news, links, photo galleries, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.angelfire.com/hi3/bluerodeo/index.html"} +{"d:Title": "The Outskirts: A Tribute To Blue Rodeo", "d:Description": "A site for fans to interact and get involved with.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://jenivb.tripod.com/"} +{"d:Title": "Blue Rodeo Rules", "d:Description": "A fan site with information and fun show reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.angelfire.com/myband/bluerodeorules/"} +{"d:Title": "Rodeo Village", "d:Description": "A site for the fans of Blue Rodeo. Includes fan bios and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.angelfire.com/bc/brbios/"} +{"d:Title": "Dayna Diaries", "d:Description": "A fan`s show reviews from Blue Rodeo shows and other related concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.angelfire.com/myband/daynadiaries/"} +{"d:Title": "Blue Rodeo Haven", "d:Description": "Website dedicated to Blue Rodeo Canada's most talented band and Juno award winners. Site contains bio, links, polls and pictures. This site is frequently updated.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.angelfire.com/emo/bluerodeo/"} +{"d:Title": "ArtistDirect: Blue Rodeo", "d:Description": "Offers a biography, tour dates, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,405453,00.html"} +{"d:Title": "Broken Radio", "d:Description": "Official site featuring news, audio, video, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://www.brokenradio.de/"} +{"d:Title": "Canoe.ca: Blue Rodeo", "d:Description": "Archive of news and information on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blue_Rodeo", "url": "http://jam.canoe.com/Music/Artists/B/Blue_Rodeo/"} +{"d:Title": "Wikipedia: James Blunt", "d:Description": "Contains personal and career information, attitude to philanthropy, list of albums, awards, and references.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blunt,_James", "url": "http://en.wikipedia.org/wiki/James_Blunt"} +{"d:Title": "James Blunt", "d:Description": "Official site. Features discography, videos and lyrics. Has a calendar of tours, newsletter, diaries, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blunt,_James", "url": "http://www.jamesblunt.com/"} +{"d:Title": "Monkey King's Book Of Amazing Facts", "d:Description": "Fan site with news, pictures and information about James Blunt's songs, lyrics, music releases, videos, concerts, and tickets.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blunt,_James", "url": "http://myweb.tiscali.co.uk/fentiger/"} +{"d:Title": "Blurspace", "d:Description": "The official site. Features up-to-date news, tour dates, Alex's diary, questions answered by Dave, audio and video clips, merchandise, and message board. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.blur.co.uk/"} +{"d:Title": "Rockmagic.net: Blur", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://lyrics.rockmagic.net/lyrics/blur/"} +{"d:Title": "XGuitar.com: Blur", "d:Description": "Guitar tablature sorted by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.xguitar.com/guitar-tabs/blur/"} +{"d:Title": "Artist Direct: Blur", "d:Description": "Lyrics, guitar tablature, bulletin boards, mailing lists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,405592,00.html"} +{"d:Title": "Blur News: Topix", "d:Description": "News about Blur continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.topix.com/who/blur"} +{"d:Title": "All Music Guide: Blur", "d:Description": "Features profiles, discography, a list of similar artists, and user ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.allmusic.com/artist/blur-p12405"} +{"d:Title": "RollingStone.com: Blur", "d:Description": "Includes biography, image gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.rollingstone.com/music/artists/blur"} +{"d:Title": "MTV.com: Blur", "d:Description": "Features news, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.mtv.com/artists/blur/"} +{"d:Title": "Songsterr: Blur Bass Tabs", "d:Description": "Bass tablature sorted alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "http://www.songsterr.com/a/wsa/blur-tabs-a1220?inst=bass"} +{"d:Title": "Blur :Yahoo Music", "d:Description": "Features the latest news about the band with a discography and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur", "url": "https://music.yahoo.com/artist/blur/"} +{"d:Title": "NY Rock: Interview with Damon Albarn of Blur", "d:Description": "Damon Albarn chats about the band's sixth album, '13'.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Articles_and_Interviews", "url": "http://www.nyrock.com/interviews/blur_int.htm"} +{"d:Title": "Waiting For the Ghost Train: Blur's Just So Keen For You To Listen", "d:Description": "Alex James discusses touring in the United States and the albums \"Parklife\" and \"The Great Escape\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Articles_and_Interviews", "url": "http://members.tripod.com/~fritzh/Music/Blur.htm"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Blur", "d:Description": "Reviews and analyses of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Articles_and_Interviews", "url": "http://sfloman.com/blur.html"} +{"d:Title": "Blur World", "d:Description": "Web ring for websites about Blur.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Directories", "url": "http://www.webring.org/hub?ring=blurworld"} +{"d:Title": "No Distance Left To Run", "d:Description": "Fan fiction about the British pop group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Fan_Fiction", "url": "http://members.tripod.com/Calig/story/fiction.html"} +{"d:Title": "Blur Anyone?", "d:Description": "An archive of literature, including several fan fiction stories about the British band Blur.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Fan_Fiction", "url": "http://www.angelfire.com/ct/musicchild/"} +{"d:Title": "Motionless", "d:Description": "Read original stories starring the boys of Blur, Radiohead, and other stories and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Fan_Fiction", "url": "http://www.angelfire.com/music/greenie/"} +{"d:Title": "Chemical World", "d:Description": "Features pictures, lyrics, articles, biography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Fan_Pages", "url": "http://www.angelfire.com/pa2/chemicalworld/"} +{"d:Title": "The Scene", "d:Description": "Features the latest news, reviews, discography, lyrics, MIDIs, RealAudio samples, tabs, image gallery, and a page dedicated to Graham.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Fan_Pages", "url": "http://www.musicfanclubs.org/blur/"} +{"d:Title": "Matt's Blur Page", "d:Description": "Includes biography, images, lyrics, guitar and bass tablature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Fan_Pages", "url": "http://www.mattsmusicpage.com/nblur.htm"} +{"d:Title": "So Many Moons", "d:Description": "Mailing list for Alex James from Blur to discuss just about anything.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Mailing_Lists", "url": "http://www.angelfire.com/md/somanymoons/"} +{"d:Title": "BlurTalk", "d:Description": "Yahoo Group about Blur and Gorillaz.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/blurtalk/"} +{"d:Title": "Nice Fabric", "d:Description": "A mailing list for Blur fans to talk about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/nice-fabric/"} +{"d:Title": "Villa Rosie", "d:Description": "A Yahoo music group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/villa_rosie/"} +{"d:Title": "Blur Anyone?", "d:Description": "A mailing list to accompany the Blur Anyone? website. Here you can talk about anything related to the band Blur.[Age Restricted].", "topic": "Top/Arts/Music/Bands_and_Artists/B/Blur/Mailing_Lists", "url": "http://groups.yahoo.com/group/bluranyone/"} +{"d:Title": "Boards of Canada", "d:Description": "Official site. News, discography, pictures, RealPlayer sound clips and links. [requires Shockwave]", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boards_of_Canada", "url": "http://www.boardsofcanada.com/"} +{"d:Title": "Boards of Canada", "d:Description": "Voluminous BoC lyrical, structural and trivial analysis. Also includes discography, biography, interviews, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boards_of_Canada", "url": "http://bocpages.org/"} +{"d:Title": "Eric Bogle's Official Home on the Web", "d:Description": "Includes profile, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bogle,_Eric", "url": "http://www.ericbogle.net/"} +{"d:Title": "SCALA: An Interview With Eric Bogle", "d:Description": "1990 interview on his beginnings and songwriting.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bogle,_Eric", "url": "http://www.scala.org.au/notiv00.htm#Bogle"} +{"d:Title": "The Music Show - Eric Bogle", "d:Description": "Transcript of a 2002 television interview.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bogle,_Eric", "url": "http://www.abc.net.au/radionational/programs/musicshow/eric-bogle/3520744"} +{"d:Title": "The Songs of Eric Bogle", "d:Description": "Lyrics and liner notes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bogle,_Eric", "url": "http://www.folkarchive.de/bogle.html"} +{"d:Title": "Victoria Boland", "d:Description": "Official site of country music singer/songwriter from Hamilton, Ontario, Canada. Includes biography, interviews, tour and project information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boland,_Victoria", "url": "http://www.victoriaboland.com/"} +{"d:Title": "Tommy Bolin Archives", "d:Description": "Official site featuring album reviews, articles, photos, audio and video clips, and interviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolin,_Tommy", "url": "http://www.tbolin.com/"} +{"d:Title": "The Highway Star: Tommy Bolin", "d:Description": "Features a short biography, photos, transcripts of radio interviews, a weblog and discographies.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolin,_Tommy", "url": "http://www.thehighwaystar.com/rosas/bolin/"} +{"d:Title": "TrouserPress.com: Bolshoi", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolshoi,_The", "url": "http://www.trouserpress.com/entry.php?a=bolshoi"} +{"d:Title": "World of The Bolshoi", "d:Description": "Fan site includes news, lyrics, images, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolshoi,_The", "url": "http://www.thebolshoi.co.uk/"} +{"d:Title": "All Music Guide: The Bolshoi", "d:Description": "Brief profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolshoi,_The", "url": "http://www.allmusic.com/artist/the-bolshoi-p15989"} +{"d:Title": "Michael Bolton On The Net", "d:Description": "Website run by and for fans of Michael Bolton. Chat, message board, links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolton,_Michael", "url": "http://www.lauraforever.com/mbonthenet/"} +{"d:Title": "Michael Bolton Charities", "d:Description": "Non-profit organization that supports children and women at risk of poverty, abuse, neglect, homelessness, and domestic violence; and provides access to education for underprivileged youth. Information about grants, programs, and events.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolton,_Michael", "url": "http://www.michaelboltoncharities.com/"} +{"d:Title": "Tommy \"Mugs\" Cain", "d:Description": "Web site for Michael's drummer has a complete record of his musical career.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolton,_Michael", "url": "http://www.mugscain.com/"} +{"d:Title": "The Michael Bolton Webring", "d:Description": "Ring of sites related to Bolton.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolton,_Michael", "url": "http://www.webring.org/hub?ring=michael_bolton"} +{"d:Title": "Bolton Fans Online", "d:Description": "A message board and chat room for Michael Bolton fans. Membership required.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolton,_Michael/Chats_and_Forums", "url": "http://forums.delphiforums.com/fansonline/"} +{"d:Title": "Yahoo Groups: Michael Bolton", "d:Description": "Discussion list, including information, pictures and anything else related to Michael. Since this is a \"restricted\" mailing list, all memberships to this list are approved by the list owner.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bolton,_Michael/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/MichaelBolton/"} +{"d:Title": "Bomfunk Mc's", "d:Description": "Unofficial site of the Finnish duo. Includes lyrics, biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bomfunk_MC's", "url": "http://members.tripod.com/uprockinbom/"} +{"d:Title": "Bomfunk MC's", "d:Description": "Finnish electro-house band. Includes a biography, news, message board, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bomfunk_MC's", "url": "http://markair1.tripod.com/entrada.htm"} +{"d:Title": "All Music Guide: Bond", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bond", "url": "http://www.allmusic.com/artist/bond-q101222"} +{"d:Title": "The Music of Richard Bone", "d:Description": "News, reviews, biography, complete discography, online ordering and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bone,_Richard", "url": "http://mkmk.com/bone/"} +{"d:Title": "Richard Bone - Official Site", "d:Description": "Information, discography, and sample audio clips page for one of ambient music's most musically adventurous characters.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bone,_Richard", "url": "http://www.hypnos.com/bone/"} +{"d:Title": "HeadBang's Lyrics: Tracy Bonham", "d:Description": "The complete set of lyrics from Tracy Bonham's debut album \"The Burdens Of Being Upright\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy", "url": "http://www.stormpages.com/lyrics/text/burdens.html"} +{"d:Title": "Tracy Bonham", "d:Description": "Official site features news, biography, discography, photos, audio, video, articles, journal, concert dates, shop, links, and a message board frequented by Tracy herself.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy", "url": "http://tracybonham.com/"} +{"d:Title": "ARTISTdirect: Tracy Bonham", "d:Description": "ARTISTdirect's Tracy Bonham listing with discography, biography, audio and video clips, concert dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,542327,00.html"} +{"d:Title": "All Music Guide: Tracy Bonham", "d:Description": "AMG's Tracy Bonham listing with biography, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy", "url": "http://www.allmusic.com/artist/tracy-bonham-p173675"} +{"d:Title": "MTV: Tracy Bonham", "d:Description": "Biography, news, discography, TV appearances, message boards, links, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy", "url": "http://www.mtv.com/artists/tracy-bonham/"} +{"d:Title": "Hip Online: Tracy Bonham", "d:Description": "Hip Online's Tracy Bonham listing with interviews, links, reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy", "url": "http://hiponline.com/371/tracy-bonham.html"} +{"d:Title": "Operation DUMBO - Tracy Bonham returns to Boston via Brooklyn", "d:Description": "The Boston Phoenix' article which summarizes Tracy's career and introduces her new album, \"blink the brightest\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy/Articles_and_Interviews", "url": "http://www.bostonphoenix.com/boston/music/other_stories/documents/04784373.asp"} +{"d:Title": "Up, down, and now somewhere between; Tracy Bonham reemerges on her own terms", "d:Description": "The Boston Globe's interview with Tracy shortly before the US debut of her latest CD, \"blink the brightest\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy/Articles_and_Interviews", "url": "http://www.boston.com/news/globe/living/articles/2005/06/19/up_down_and_now_somewhere_between/"} +{"d:Title": "Down Here with Tracy Bonham", "d:Description": "Free Williamsburg's interview in which Tracy Bonham discusses the album \"Down Here\" and her musical influences.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy/Articles_and_Interviews", "url": "http://www.freewilliamsburg.com/still_fresh/september/bonham.html"} +{"d:Title": "The Burdens of Being Tracy Bonham", "d:Description": "The Hamilton Spectator's interview with Tracy Bonham to promote her performance at Toronto's Horseshoe Tavern.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy/Articles_and_Interviews", "url": "http://www.octopusmediaink.com/TracyBonham.html"} +{"d:Title": "The Tracy Bonham Interview", "d:Description": "Seventeen Magazine's short interview with Tracy Bonham.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy/Articles_and_Interviews", "url": "http://www.angelfire.com/oh/gr8muzac/tracybonhamfacts.html"} +{"d:Title": "Get Ready to ROCK!: \"blink the brightest\"", "d:Description": "Get Ready to ROCK!'s review of Tracy Bonham's album, \"blink the brightest\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy/Reviews", "url": "http://www.getreadytorock.com/reviews/tracy_bonham.htm"} +{"d:Title": "Safe and Sorry", "d:Description": "The Boston Phoenix' review of Tracy Bonham's debut album, \"The Burdens of Being Upright\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonham,_Tracy/Reviews", "url": "http://www.bostonphoenix.com/alt1/archive/music/reviews/03-07-96/TRACY_BONHAM.html"} +{"d:Title": "Karla Bonoff", "d:Description": "Official site for the singer/songwriter includes biography, news, discography, lyrics, merchandise, photos, appearance dates, articles, MP3 samples, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonoff,_Karla", "url": "http://www.karlabonoff.com/"} +{"d:Title": "MTV: Karla Bonoff", "d:Description": "Includes news, biography, appearance and tour dates, discography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonoff,_Karla", "url": "http://www.mtv.com/artists/karla-bonoff/"} +{"d:Title": "All Music Guide: Karla Bonoff", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonoff,_Karla", "url": "http://www.allmusic.com/artist/karla-bonoff-mn0000359179"} +{"d:Title": "Ginger Geezer", "d:Description": "A tribute to the life, art, and music of Vivian Stanshall. Developed by members of his family, this site includes personal anecdotes, Vivian's sketches and poetry, as well as discography, articles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonzo_Dog_Band", "url": "http://www.gingergeezer.net/"} +{"d:Title": "Finnish Art Quotient", "d:Description": "FAQ list for the Usenet alt.fan.bonzo-dog newsgroup.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonzo_Dog_Band", "url": "http://www.users.zetnet.co.uk/rob/bonzofaq.htm"} +{"d:Title": "Positive Vibrations: Viv Stanshall Remembered", "d:Description": "Pete Dooley reflects upon Viv Stanshall's career.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonzo_Dog_Band", "url": "http://www.fegmania.org/pvviv.html"} +{"d:Title": "Neil Innes interview on Bonzos", "d:Description": "Interviewed by Richie Unterberger for his book \"Urban Spacemen and Wayfaring Strangers: Overlooked Innovators and Eccentric Visionaries of '60s Rock\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonzo_Dog_Band", "url": "http://www.furious.com/perfect/bonzodogband.html"} +{"d:Title": "The Bonzo Dog Doo-Dah Band", "d:Description": "Fan site with photo gallery, extensive discography, and an impressive number of cross-references and footnotes.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bonzo_Dog_Band", "url": "http://iankitching.me.uk/music/bonzos/"} +{"d:Title": "Bon Jovi - Bounce", "d:Description": "Review of the album, Bounce.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.cosmopolis.ch/english/cosmo29/bounce.htm"} +{"d:Title": "LyricsOnDemand: Bon Jovi", "d:Description": "Lyrics to songs, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.lyricsondemand.com/b/bonjovilyrics/index.html"} +{"d:Title": "Unrated Magazine: Bon Jovi", "d:Description": "Band review and photographs of performances in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Features/index.cfm&Article_ID=59"} +{"d:Title": "Entertainment Ave: Bon Jovi", "d:Description": "Review of a concert at The House of Blues in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/bon_jovi/bj050400.htm"} +{"d:Title": "Bon Jovi Lyrics", "d:Description": "Browse lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.oldielyrics.com/b/bon_jovi.html"} +{"d:Title": "By Jovi - The European Bon Jovi Email List", "d:Description": "Information, news and release dates for Europe. Moderated mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://launch.groups.yahoo.com/group/byjovi/"} +{"d:Title": "Yahoo Groups : Bon Jovi Always", "d:Description": "Interactive e-mail list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://launch.groups.yahoo.com/group/always/"} +{"d:Title": "Bon Jovi News: Topix", "d:Description": "News about Bon Jovi continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.topix.com/who/bon-jovi"} +{"d:Title": "Topix: Bon Jovi", "d:Description": "News about Bon Jovi, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.topix.com/rss/who/bon-jovi.xml"} +{"d:Title": "RollingStone.com: Bon Jovi", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.rollingstone.com/music/artists/bon-jovi"} +{"d:Title": "BonJovi.com", "d:Description": "Official site. Biography, discography, photos, MP3s, videos, articles, interviews, chat, postcards, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.bonjovi.com/"} +{"d:Title": "MTV: Bon Jovi Fan Club", "d:Description": "News, biography, audio clips, photos, music videos, bulletin board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi", "url": "http://www.mtv.com/artists/bon-jovi/"} +{"d:Title": "Bon Jovi - Dry County", "d:Description": "Band information, music information center, internet fan community, multimedia and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://drycounty.com/"} +{"d:Title": "Bon Jovi Forever", "d:Description": "Band information, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://www.angelfire.com/weird/din/"} +{"d:Title": "1ne W1ld N1ght", "d:Description": "Discography, multimedia, news, message board, live chat and tour information. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://jovi.iwarp.com/"} +{"d:Title": "Bon Jovi Forever", "d:Description": "Discography, biographies, midis, lyrics, wallpapers and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://bonjoviforever.faithweb.com/"} +{"d:Title": "Joviland", "d:Description": "Photos and information on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://www.angelfire.com/music3/joviland/"} +{"d:Title": "Tina's Always Bon Jovi Page", "d:Description": "Fan site includes pictures, e-cards, links, fun stuff, game of the month page, voting, web rings, news, unique items, discography, Jon's movies and history.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://www.angelfire.com/tn/bonjovi1/"} +{"d:Title": "Jovi Center", "d:Description": "Image gallery, lyrics and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://movie_munchkin.tripod.com/"} +{"d:Title": "The Rock 'n' Roll Mafia", "d:Description": "Discography, lyrics, fan art, image gallery and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://shinimegami.0catch.com/"} +{"d:Title": "FreezeZone - Bon Jovi tabs", "d:Description": "Guitar tablature site fan site.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://www.freezezone.com/"} +{"d:Title": "Nige's Bon Jovi Site", "d:Description": "Discography, information, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://members.tripod.com/~BonJovi_2/INDEX.HTM"} +{"d:Title": "Bon Jovi Addiction", "d:Description": "News, tour information, image gallery, history of the band, multimedia and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Fan_Pages", "url": "http://joviaddiction.tripod.com/"} +{"d:Title": "Bon Jovi Cover Band", "d:Description": "Official site for the German tribute band includes history, photos, sound files, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Tribute_Bands", "url": "http://www.bonjovicoverband.com/"} +{"d:Title": "Bon Giovi", "d:Description": "UK-based tribute band. Biographies, sound files, pictures, news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Tribute_Bands", "url": "http://www.bongiovi.co.uk/"} +{"d:Title": "Bad Medicine", "d:Description": "Bon Jovi tribute band based in Chicago. Member profiles, show dates, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Tribute_Bands", "url": "http://www.bad-medicine.com/"} +{"d:Title": "The Bon Jovi Experience", "d:Description": "English Bon Jovi tribute band; includes news, biography, tour dates, audio and video, pictures, member profiles, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Tribute_Bands", "url": "http://www.jonbyjovi.com/"} +{"d:Title": "Slippery When Wet", "d:Description": "Atlanta-based tribute band. Song list, news, show dates, pictures, videos and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Tribute_Bands", "url": "http://www.slipperywhenwetband.com/"} +{"d:Title": "Wanted", "d:Description": "Cleveland tribute band; biographies, testimonials, show dates, video and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bon_Jovi/Tribute_Bands", "url": "http://www.wantedtributeband.com/"} +{"d:Title": "Booker T. and the MG's", "d:Description": "Discography from a collector of Stax/Volt music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Booker_T._and_the_MGs", "url": "http://staxrecords.free.fr/bookert.htm"} +{"d:Title": "The History of Rock and Roll - Booker T. and the MGs", "d:Description": "Profile of the band with some photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Booker_T._and_the_MGs", "url": "http://www.history-of-rock.com/booker_t_and_the_mgs.htm"} +{"d:Title": "Booker. T&The MGs: Discography", "d:Description": "Discography of singles and albums, with images.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Booker_T._and_the_MGs", "url": "http://tnca.myrmid.com/booker/index.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Booker_T._and_the_MGs", "url": "http://rockhall.com/inductees/booker-t-and-the-mgs/"} +{"d:Title": "Booker T. Jones", "d:Description": "Official site with news, biography, discography, tour dates and media.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Booker_T._and_the_MGs", "url": "http://www.bookert.com/"} +{"d:Title": "Yahoo! Groups: Bob Geldof and the Boomtown Rats", "d:Description": "Online community with message board and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boomtown_Rats,_The", "url": "http://launch.groups.yahoo.com/group/bobgeldofandtheboomtownrats/"} +{"d:Title": "The Official Bob Geldof Website", "d:Description": "Includes Boomtown Rats history, and information about their remastered recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boomtown_Rats,_The", "url": "http://www.bobgeldof.com/"} +{"d:Title": "Official Boomtown Rats Site", "d:Description": "Biography, discography, news, audio, video, articles, interviews, chat, poll, store, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boomtown_Rats,_The", "url": "http://www.boomtownrats.co.uk/"} +{"d:Title": "Cristina Martinez Worship Page", "d:Description": "Fan site with biography, discography, picture gallery, a concert review, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boss_Hog", "url": "http://s.varanelli.tripod.com/martinez/"} +{"d:Title": "ArtistDirect: Boss Hog", "d:Description": "Includes a brief band history, links and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boss_Hog", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,406631,00.html"} +{"d:Title": "Boston.org", "d:Description": "News, lyrics, discography and email discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.boston.org/"} +{"d:Title": "Boston: Of Basements and Beer", "d:Description": "Article from Rock Around the World.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.ratw.com/issues/9/boston.htm"} +{"d:Title": "Liquid Moonlight", "d:Description": "Features fan-created 3D pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.liquidmoonlight.com/"} +{"d:Title": "Hitch a Ride with Boston", "d:Description": "Desktop theme, wallpapers, and screensaver.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://dreamr.org/"} +{"d:Title": "Heaven is a Reel-to-Reel Tape", "d:Description": "Article by Chuck Miller on the history of the band Boston, published in Goldmine.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.chuckthewriter.com/boston.html"} +{"d:Title": "Wikipedia - Boston (Band)", "d:Description": "Wikipedia listing for the band Boston.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://en.wikipedia.org/wiki/Boston_(band)"} +{"d:Title": "Gonna Hitch a Ride", "d:Description": "Fan site includes news, discography, forums and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.gonnahitcharide.com/"} +{"d:Title": "Yahoo Groups: Boston Rocks", "d:Description": "Discussion about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://launch.groups.yahoo.com/group/Boston_rocks/"} +{"d:Title": "Barry Goudreau Official Site", "d:Description": "Official site of former Boston guitarist Barry Goudreau.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.barrygoudreau.com/"} +{"d:Title": "Boston", "d:Description": "Official site for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.bandboston.com/"} +{"d:Title": "Thirdstage.ca", "d:Description": "News, band biographies, lyrics, video and audio clips, and information on related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.thirdstage.ca/"} +{"d:Title": "Jeff Neal", "d:Description": "Official website for Boston drummer Jeff Neal", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.jeffneal.org/"} +{"d:Title": "Thirdstage.ca", "d:Description": "Contains updates for Boston and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston", "url": "http://www.thirdstage.ca/boston/index.php?format=feed&type=rss"} +{"d:Title": "Smokin'", "d:Description": "Website for the Southern California based tribute to Boston. Upcoming shows, photos, video, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boston/Tribute_Bands", "url": "http://www.bostontribute.com/"} +{"d:Title": "Bourb.net", "d:Description": "Bourbon Tabernacle Choir archive includes lyrics, audio samples, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bourbon_Tabernacle_Choir", "url": "http://www.monkey-boy.com/BTC/"} +{"d:Title": "Bourbon Tabernacle Choir", "d:Description": "Interview with band member Dave Wall.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bourbon_Tabernacle_Choir", "url": "http://epe.lac-bac.gc.ca/003/008/099/003008-disclaimer.html?orig=/100/202/300/discorder/94-09/bourbon.txt"} +{"d:Title": "Canoe.ca: Bourbon Tabernacle Choir", "d:Description": "Detailed biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bourbon_Tabernacle_Choir", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/B/Bourban_Tabernacle_Choir.html"} +{"d:Title": "Bowling For Soup", "d:Description": "Official site. News, biography, photos, audio, video, lyrics, tablature and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bowling_For_Soup", "url": "http://www.bowlingforsoup.com/"} +{"d:Title": "MTV: Bowling For Soup", "d:Description": "Biography, discography, news, video, tour dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bowling_For_Soup", "url": "http://www.mtv.com/artists/bowling-for-soup/"} +{"d:Title": "All Music Guide: Bowling for Soup", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bowling_For_Soup", "url": "http://www.allmusic.com/artist/bowling-for-soup-mn0000771905"} +{"d:Title": "Jabw_vintage: Bowlly", "d:Description": "Biography, photographs, scans of cartoons and records, filmography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bowlly,_Al", "url": "http://www.jabw.demon.co.uk/bowlly.htm"} +{"d:Title": "Yahoo Groups: Bowlly", "d:Description": "Mailing list for the discussion, appreciation and promotion of the vocalist and his music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bowlly,_Al", "url": "http://launch.groups.yahoo.com/group/bowlly/"} +{"d:Title": "Yahoo Groups: Albowlly", "d:Description": "Mailing list discussion of the singer. Open archives of messages.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bowlly,_Al", "url": "http://launch.groups.yahoo.com/group/albowlly/"} +{"d:Title": "Lil Bow Wow's Dog House", "d:Description": "Profile and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bow_Wow", "url": "http://www.angelfire.com/stars2/lilbowwow/lbw.html"} +{"d:Title": "MTV: Bow Wow", "d:Description": "Profile, videos, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bow_Wow", "url": "http://www.mtv.com/artists/bow-wow/"} +{"d:Title": "All Music Guide: Bow Wow", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bow_Wow", "url": "http://www.allmusic.com/artist/bow-wow-mn0000093329"} +{"d:Title": "Artist Direct: Bow Wow Wow", "d:Description": "Brief biography, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bow_Wow_Wow", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,406870,00.html"} +{"d:Title": "The Box Tops", "d:Description": "Official site of the group. FAQs, discography, photos, tour dates for the re-formed group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Box_Tops,_The", "url": "http://www.boxtops.com/"} +{"d:Title": "Story of the Stars: The Box Tops", "d:Description": "An interview of bass player Bill Cunningham.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Box_Tops,_The", "url": "http://storyofthestars.com/box_tops.htm"} +{"d:Title": "Classic Bands: The Box Tops", "d:Description": "Biography of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Box_Tops,_The", "url": "http://www.classicbands.com/boxtops.html"} +{"d:Title": "All Music Guide: The Box Tops", "d:Description": "Lineup, a group biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Box_Tops,_The", "url": "http://www.allmusic.com/artist/the-box-tops-mn0000624504"} +{"d:Title": "Chilton, Box Tops back in Letter-perfect form", "d:Description": "Concert preview builds on an interview with lead guitarist Gary Talley. [Winnipeg Sun]", "topic": "Top/Arts/Music/Bands_and_Artists/B/Box_Tops,_The", "url": "http://jam.canoe.com/Music/Artists/B/Box_Tops/2001/01/18/743486.html"} +{"d:Title": "Wikipedia - Susan Boyle", "d:Description": "Hyperlinked encyclopedia article about Susan Boyle, the 47-year-old singer from Scotland whose audition for Britain's Got Talent 2009 became a global viral video sensation.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://en.wikipedia.org/wiki/Susan_Boyle"} +{"d:Title": "Susan Boyle", "d:Description": "The official website, with news, music, videos, event details and forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.susanboylemusic.com/"} +{"d:Title": "Susan Boyle Fansite", "d:Description": "Community with videos, pictures, news and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.susan-boyle.com/"} +{"d:Title": "EW.com - Piers Morgan on Susan Boyle", "d:Description": "Interview with Piers Morgan about Susan Boyle and the day she auditioned: 'She can do whatever she wants now'.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://insidetv.ew.com/2009/04/17/piers-morgan-on/"} +{"d:Title": "YouTube - Susan Boyle: Britains Got Talent 2009 Episode 1 - Saturday 11th April", "d:Description": "Susan Boyle singing 'I Dreamed A Dream' from Les Mis\u00e9rables for her audition.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.youtube.com/watch?v=RxPZh4AnWyk"} +{"d:Title": "YouTube - susanboylemusicuk", "d:Description": "The official Susan Boyle YouTube channel.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.youtube.com/user/susanboylemusicuk"} +{"d:Title": "Facebook - Susan Boyle", "d:Description": "Official Facebook page of Susan Boyle.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.facebook.com/susanboyle"} +{"d:Title": "WorldCat Identities - Susan Boyle", "d:Description": "Works by and about Susan Boyle found in libraries worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.worldcat.org/identities/lccn-no2009-186090"} +{"d:Title": "Harper's Bazaar - Susan Boyle Fashion Photos", "d:Description": "Exclusive photos of the Britain's Got Talent star in chic and sleek fashions. Slideshow, video and exclusive interview.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.harpersbazaar.com/fashion/fashion-articles/susan-boyle-makeover-0909"} +{"d:Title": "YouTube - Cry Me A River: Susan Boyle's first ever music release", "d:Description": "Susan Boyle's performance of Cry Me A River, recorded for a charity CD in 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.youtube.com/watch?v=CB3coG_FjvE"} +{"d:Title": "YouTube - Susan Boyle's 1995 audition for My Kind of People - and kiss with Michael Barrymore", "d:Description": "Video of Susan Boyle's 1995 audition for Michael Barrymore's My Kind of People show.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.youtube.com/watch?v=v5sFowfXKO0"} +{"d:Title": "YouTube - Susan Boyle sings The Way We Were", "d:Description": "Susan Boyle's first on-camera performance, singing Barbra Streisand's hit The Way We Were at the Fir Park Social Club in 1984.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.youtube.com/watch?v=uxES80FbRmM"} +{"d:Title": "YouTube - Susan Boyle sings I Don't Know How To Love Him", "d:Description": "Susan Boyle sings I Don't Know How To Love Him, from the musical Jesus Christ Superstar, at the Fir Park Social Club in 1984.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.youtube.com/watch?v=2WFoc6Ihl0w"} +{"d:Title": "The Guardian - Susan Boyle", "d:Description": "Ongoing collection of news, commentary and blogs about Susan Boyle, with links to related special coverage including Britain's Got Talent.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyle,_Susan", "url": "http://www.theguardian.com/music/susan-boyle"} +{"d:Title": "MTV: Boyzone", "d:Description": "News, audio clips, a biography, a discography, a chat room and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone", "url": "http://www.mtv.com/artists/boyzone/"} +{"d:Title": "ShowBiz Ireland - Keith Duffy joins the coronation street crew", "d:Description": "Article on the musician's turn to acting.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Duffy,_Keith", "url": "http://www.showbizireland.com/news/july02/31-duffy10.shtml"} +{"d:Title": "We Want Boyzone Back", "d:Description": "A campaign to help bring Boyzone as a group back to the music scene.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Fan_Pages", "url": "http://wewantboyzoneback.tripod.com/"} +{"d:Title": "Charlotte's Boyzone Fan Site", "d:Description": "Features news, tour information, biography, poems, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Fan_Pages", "url": "http://boyzonefan0.tripod.com/boyzonefansite/"} +{"d:Title": "Keely's Steve Madness", "d:Description": "This site has Stephen Gately news, chat and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Gately,_Stephen", "url": "http://www.angelfire.com/on2/StevieGately/index.html"} +{"d:Title": "The Perfect Stephen Gately World", "d:Description": "Fan page including pictures, funny facts and concert photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Gately,_Stephen", "url": "http://www.angelfire.com/boybands/stephengately/"} +{"d:Title": "Stephen Gately", "d:Description": "Official site includes news, pictures, videos, audio, chat, tour dates, and competitions.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Gately,_Stephen", "url": "http://www.stephengately.co.uk/"} +{"d:Title": "MikeyGraham.de", "d:Description": "fan site with Winamp skins, wallpapers, interviews, artist profile, photographs, discography, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Graham,_Mikey", "url": "http://www.mikeygraham.de/"} +{"d:Title": "Addicted to Ronan Keating", "d:Description": "Fan site with news, tour dates, profile, wallpaper, and icons.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Keating,_Ronan", "url": "http://www.angelfire.com/oh4/RonanKeating/"} +{"d:Title": "Official Ronan Keating Web Site", "d:Description": "This is the official Ronan Patrick John Keating web site. News, biography and diary are some of the things you will find here, also has a secret area for site members.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Keating,_Ronan", "url": "http://www.ronankeating.com/"} +{"d:Title": "Ronan USA", "d:Description": "American based site by 2 girl fans that includes pictures, profile, e-cards, games, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boyzone/Keating,_Ronan", "url": "http://www.angelfire.com/pop2/ronanusa/"} +{"d:Title": "Boy Hits Car", "d:Description": "Official site includes MP3s, shows, videos, news and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boy_Hits_Car", "url": "http://www.boyhitscar.com/"} +{"d:Title": "Lazyeye Profile: Boy Sets Fire", "d:Description": "Article by Tim McMahan about the band, its history, and their political beliefs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boy_Sets_Fire", "url": "http://www.timmcmahan.com/boysetsfire.htm"} +{"d:Title": "Boy Sets Fire: After the Eulogy", "d:Description": "Short review and track listing of their newest album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Boy_Sets_Fire", "url": "http://jam.canoe.com/Music/Artists/B/Boy_Sets_Fire/AlbumReviews/2000/07/01/770444.html"} +{"d:Title": "Man in The Sand - A Talk with Nora Guthrie", "d:Description": "An interview with Woody Guthrie's daughter on his life and music, and the Mermaid Avenue/Man in the Sand project.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bragg,_Billy", "url": "http://www.dvdtalk.com/noraguthrieinterview.html"} +{"d:Title": "Billy Bragg", "d:Description": "Official site offers news, discography, articles, tour information, photos, lyrics, forum, e-mail list and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bragg,_Billy", "url": "http://www.billybragg.co.uk/"} +{"d:Title": "The Billy Bragg Page", "d:Description": "A collection of stuff not usually found elsewhere, including photos, reviews, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bragg,_Billy", "url": "http://myweb.tiscali.co.uk/mikejh/BillyBragg.html"} +{"d:Title": "The Zobbel Braggsite", "d:Description": "Discography, interviews, details on the John Peel sessions, bibliography, chartography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bragg,_Billy", "url": "http://home.arcor.de/garrymonk/bb/bragg.htm"} +{"d:Title": "Yahoo Group: Billy Bragg", "d:Description": "Chat and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bragg,_Billy", "url": "http://launch.groups.yahoo.com/group/billybragg/"} +{"d:Title": "Salon: Songs of Innocence and Experience", "d:Description": "Article by Gavin McNett with a brief history and explanation of Billy Bragg's appeal. (Sept. 2, 1996)", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bragg,_Billy", "url": "http://www.salon.com/1996/09/02/music960902/"} +{"d:Title": "Artists Direct: Daryl Braithwaite", "d:Description": "Includes history, discography, audio, links, and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braithwaite,_Daryl", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,407402,00.html?artist=Daryl%2BBraithwaite"} +{"d:Title": "All Music Guide: Daryl Braithwaite", "d:Description": "Includes history, discography and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braithwaite,_Daryl", "url": "http://www.allmusic.com/artist/p12445"} +{"d:Title": "Backstage with Michelle Branch", "d:Description": "Features news, biography, discography, appearances, photos, interviews, reviews and other related information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle", "url": "http://backstagembranch.tripod.com/backstagewithmichellebranch/"} +{"d:Title": "Michelle Branch - Official Site", "d:Description": "From Warner Brothers Records, features appearances, updates, Michelle's journal, news, and other related information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle", "url": "http://www.michellebranch.com/"} +{"d:Title": "AskMen.com - Michelle Branch", "d:Description": "Pictures, biography, commentary and links on the talented singer", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle", "url": "http://www.askmen.com/celebs/women/singer_100/127_michelle_branch.html"} +{"d:Title": "All Music Guide: Michelle Branch", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle", "url": "http://www.allmusic.com/artist/michelle-branch-mn0000888484"} +{"d:Title": "Michelle Branch Unplugged", "d:Description": "Includes biography, discography, links and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle/Fan_Pages", "url": "http://www.angelfire.com/stars/michellebranch/"} +{"d:Title": "Imagine", "d:Description": "Featuring news, tour dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle/Fan_Pages", "url": "http://rockingirl.tripod.com/index2.html"} +{"d:Title": "Michelle Branch Fan", "d:Description": "Includes biography, lyrics and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle/Fan_Pages", "url": "http://justliv.tripod.com/michellebranch/"} +{"d:Title": "Yahoo! Groups M_Branch", "d:Description": "Fan club with message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/M_Branch/"} +{"d:Title": "Yahoo! Groups michellebranchsroom", "d:Description": "Fan club with message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/michellebranchsroom/"} +{"d:Title": "Music-Critic.com: Michelle Branch - Hotel Paper", "d:Description": "Jonathan Baran's review: \"If there is one word that can sum up the entirety of Hotel Paper it is 'bland'.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle/Reviews/Hotel_Paper", "url": "http://www.music-critic.com/pop/branch_hotelpaper.htm"} +{"d:Title": "UKMIX: Michelle Branch - Hotel Paper", "d:Description": "Review: \"Michelle's done a good job at solidifying her status as a serious singer/songwriter with a knack for creating some fine tunes.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branch,_Michelle/Reviews/Hotel_Paper", "url": "http://www.ukmix.org/reviews/release.php?release_id=2970"} +{"d:Title": "Mohamed's Laura Branigan Page", "d:Description": "Includes photos, lyrics, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branigan,_Laura", "url": "http://members.tripod.com/~LauraBranigan/"} +{"d:Title": "Laura Branigan", "d:Description": "Features a biography, discography, discussion board, online store and a collection of lyrics written in remembrance of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branigan,_Laura", "url": "http://www.laurabranigan.com/"} +{"d:Title": "My Laura Branigan Website", "d:Description": "A fan site with articles, concert photos, rare media material, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branigan,_Laura", "url": "http://kingsbry.tripod.com/laurabranigan.html"} +{"d:Title": "LauraBraniganOnline.com", "d:Description": "Official site featuring news, remembrances, biography, discography, audio and video clips, trivia quiz, discussion board and an online store.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Branigan,_Laura", "url": "http://www.laurabraniganonline.com/"} +{"d:Title": "Couch Surfer", "d:Description": "Bran Van 3000 news, member photos, chat and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bran_Van_3000", "url": "http://shearing.tripod.com/bv3000.html"} +{"d:Title": "At the Drive-in and We're Not Watching the Movie", "d:Description": "Interview with the 'zine Line and Ink.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bratmobile", "url": "http://www.angelfire.com/ky2/lineandink/brat.html"} +{"d:Title": "Kill Rock Stars: Bratmobile", "d:Description": "Includes photographs, discography, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bratmobile", "url": "http://www.killrockstars.com/artists/bratmobile"} +{"d:Title": "Lazyeye Interview: Brave Combo", "d:Description": "Interview with band members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brave_Combo", "url": "http://timmcmahan.com/bravecombo.htm"} +{"d:Title": "Ink Nineteen: Brave Combo", "d:Description": "Review and article.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brave_Combo", "url": "http://www.ink19.com/issues_F/98_03/live/brave_combo_nf.html"} +{"d:Title": "Rambles: Brave Combo", "d:Description": "Review of the Polkasonic CD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brave_Combo", "url": "http://www.rambles.net/brave_polka.html"} +{"d:Title": "Artistdirect: Brave Combo", "d:Description": "Includes history. discography, links, tour schedule, message board, and products.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brave_Combo", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,407641,00.html"} +{"d:Title": "The Austin Chronicle Music: Phases and Stages", "d:Description": "Review of the \"Box of Ghosts\" CD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brave_Combo", "url": "http://www.austinchronicle.com/music/2003-05-30/161536/"} +{"d:Title": "Brave Combo", "d:Description": "Official site for the \"nuclear polka\" band includes news and itinerary updates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brave_Combo", "url": "https://bravecombo.com/"} +{"d:Title": "The Austin Chronicle Music: The Process", "d:Description": "Review of \"The Process\" CD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brave_Combo", "url": "http://www.austinchronicle.com/music/2000-03-03/76077/"} +{"d:Title": "Toni Braxton", "d:Description": "Includes lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braxton,_Toni", "url": "http://www.toni-braxton.de/"} +{"d:Title": "The Smoking Gun: Toni Braxton", "d:Description": "Documents from her bankruptcy filing, including bills and receipts.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braxton,_Toni", "url": "http://www.thesmokinggun.com/archive/braxton1.html"} +{"d:Title": "Toni Braxton Lyrics", "d:Description": "Lyrics to songs on three albums: \"The Heat\", \"Secrets\" and \"Toni Braxton\".", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braxton,_Toni", "url": "http://www.azlyrics.com/b/braxton.html"} +{"d:Title": "All Music Guide: Toni Braxton", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braxton,_Toni", "url": "http://www.allmusic.com/artist/toni-braxton-p37576"} +{"d:Title": "MTV.com - Toni Braxton", "d:Description": "Includes biography, photos, discography, news, audio, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braxton,_Toni", "url": "http://www.mtv.com/artists/toni-braxton/"} +{"d:Title": "Yahoo Music: Toni Braxton", "d:Description": "Biography, news, discography, videos, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Braxton,_Toni", "url": "https://music.yahoo.com/artist/toni-braxton/"} +{"d:Title": "Mike Botts Official Website", "d:Description": "Photo gallery, music, discography, news, and new release information about Bread and Botts' solo project.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bread", "url": "http://www.mikebotts.com/"} +{"d:Title": "Classic Bands: Bread", "d:Description": "Biography and photo.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bread", "url": "http://www.classicbands.com/bread.html"} +{"d:Title": "David Gates: In His Own Words", "d:Description": "An interview with Gates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bread", "url": "http://www.superseventies.com/ssdavidgates.html"} +{"d:Title": "Randy's Rodeo: Bread", "d:Description": "Commentary on James Griffin's considerable talent.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bread", "url": "http://www.randysrodeo.com/seventies/bread.php"} +{"d:Title": "Bullz-Eye.com - Breaking Benjamin: Saturate", "d:Description": "Offers CD review of artists album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breaking_Benjamin", "url": "http://www.bullz-eye.com/cdreviews/farley/breaking_benjamin-saturate.htm"} +{"d:Title": "Breaking Benjamin Lyrics - Absolute Lyric", "d:Description": "Lyrics to the artists album Saturate.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breaking_Benjamin", "url": "http://www.absolutelyrics.com/lyrics/artist/breaking_benjamin/"} +{"d:Title": "Breaking Benjamin", "d:Description": "Official site. Offers audio, video, tour information, newsletter, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breaking_Benjamin", "url": "http://www.shallowbay.com/"} +{"d:Title": "Breau, Lenny", "d:Description": "Reissued CDs by the late jazz fingerstyle master; also includes discography, TV credits, reviews and some of his own writings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breau,_Lenny", "url": "http://www.lennybreau.com/"} +{"d:Title": "Visions", "d:Description": "Advertises a personal tribute to the jazz guitarist, Lenny Breau. Also includes a collection of transcriptions to download.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breau,_Lenny", "url": "http://www.angelfire.com/az2/jazzcorps/index.html"} +{"d:Title": "Kim Deal", "d:Description": "Fan site for the Breeders frontwoman includes articles, discography, equipment, links, lyrics, pictures, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breeders,_The", "url": "http://hags6660.tripod.com/"} +{"d:Title": "Crud Magazine: The Breeders \"Title TK\"", "d:Description": "Review of the band's comeback album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breeders,_The", "url": "http://www.2-4-7-music.com/newsitems/may02/breeders.asp"} +{"d:Title": "Eyesore: The Breeders", "d:Description": "Discography illustrated with cover artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Breeders,_The", "url": "http://quimby.gnus.org/html/group/breeders.html"} +{"d:Title": "Goran Bregovic", "d:Description": "Official site, with discography, song clips, pictures, background, and press. In English, French, Spanish and Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bregovic,_Goran", "url": "http://goranbregovic.rs/"} +{"d:Title": "Six pieds sous terre, Jacques, tu chantes encore", "d:Description": "... six pieds sous terre, tu n'es pas mort. Biography, list of songs, discography, filmography and links. In English and Dutch.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brel,_Jacques", "url": "http://www.nescio.info/brel/"} +{"d:Title": "The Belgian Pop and Rock Archives: Jacques Brel", "d:Description": "Profile with Real Audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brel,_Jacques", "url": "http://houbi.com/belpop/groups/brel.htm"} +{"d:Title": "Songs by Jacques Brel", "d:Description": "English translations of six of Brel's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brel,_Jacques", "url": "http://www.grazian-archive.com/quiddity/Brel.htm"} +{"d:Title": "The Teresa Brewer Center", "d:Description": "News, biography, discography, song list, sheet music, audio samples, photographs, and fan club newsletters and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brewer,_Teresa", "url": "http://www.teresafans.org/"} +{"d:Title": "Brewer and Shipley Fan Page", "d:Description": "Includes history, discography, lyrics, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brewer_and_Shipley", "url": "http://members.tripod.com/~DesotoJoe1/index-8.html"} +{"d:Title": "Brewer and Shipley", "d:Description": "Official site for the folk duo formed in 1962. Includes biography, discography, message board, upcoming shows, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brewer_and_Shipley", "url": "http://www.brewerandshipley.com/"} +{"d:Title": "The Life of 'Brian'", "d:Description": "Interview with Ken Sweeney.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brian", "url": "http://www.almostfabulous.com/rc/interviews/brian.php"} +{"d:Title": "Brian's Ken Sweeney - An Update", "d:Description": "Question and answer session.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brian", "url": "http://www.musicomh.com/features/interviews/interview-brian-ken-sweeney-qa-2"} +{"d:Title": "Brian's Ken Sweeney - Portrait of a Songwriter", "d:Description": "1999 interview from Music OMH.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brian", "url": "http://www.musicomh.com/features/interviews/interview-brian-ken-sweeney-interview-2"} +{"d:Title": "The Brian Jonestown Massacre", "d:Description": "A fan site with discography, photos, latest news, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brian_Jonestown_Massacre,_The", "url": "http://www.angelfire.com/ga/bjmfront/"} +{"d:Title": "Mr. Hollywood On-line: Brian Jonestown Massacre", "d:Description": "A collection of live concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brian_Jonestown_Massacre,_The", "url": "http://mrhollywood.0catch.com/bjm.htm"} +{"d:Title": "Brian Jonestown Massacre", "d:Description": "The bands website which includes an updated tour schedule, news, lineup, cool links, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brian_Jonestown_Massacre,_The", "url": "http://www.brianjonestownmassacre.com/"} +{"d:Title": "Jim Brickman.com", "d:Description": "Official website. Includes information on tours, releases, Jim's radio show, galleries, fan club, and related links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brickman,_Jim", "url": "http://www.jimbrickman.com/"} +{"d:Title": "Bride", "d:Description": "Includes concert information, photos, videos, merchandise, biography, audio and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bride", "url": "http://www.bridepub.com/"} +{"d:Title": "Bride", "d:Description": "Fansite includes news, discography, lyrics, tour dates and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bride", "url": "http://bridefan.tripod.com/"} +{"d:Title": "To The Moon and Back", "d:Description": "Article from the Detroit Metro Times about \"La Luna in concert\" that appeared on PBS.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://www.metrotimes.com/editorial/story.asp?id=947"} +{"d:Title": "Early Sarah Brightman", "d:Description": "Timeline, discography, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://www.early-sarah-brightman.com/"} +{"d:Title": "Jos van Geffen's Sarah Brightman Page", "d:Description": "A collection of song lyrics and album commentaries.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://www.xs4all.nl/~josvg/cits/sarahbr.html"} +{"d:Title": "Carson Ye's Home Page", "d:Description": "Fan art/sketches of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://www3.sympatico.ca/lily.ye/"} +{"d:Title": "Elements", "d:Description": "Tribute to the artist. Includes photos, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://brightman-elements.tripod.com/"} +{"d:Title": "Bella Diva | Sarah Brightman: Poetry In Motion", "d:Description": "Gallery of over 600 recent photos, graphic art and collages.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://www.freewebs.com/sophquest/"} +{"d:Title": "KAOS2000 Magazine Concert Review: La Luna Tour", "d:Description": "Concert review of the La Luna Tour at the San Jose Arena on October 27, 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://www.kaos2000.net/music/live/2000/sarahbrightman/"} +{"d:Title": "AskMen.com: Sarah Brightman", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brightman,_Sarah", "url": "http://www.askmen.com/celebs/women/singer_100/102_sarah_brightman.html"} +{"d:Title": "Simply Brilliant", "d:Description": "Fan page with information, pictures, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brilliant_Green,_The", "url": "http://www.angelfire.com/ultra/simplybrilliant/"} +{"d:Title": "The Brilliant Green Fan Club Yahoo! Users Group", "d:Description": "This users group has chat, files, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brilliant_Green,_The", "url": "http://launch.groups.yahoo.com/group/thebrilliantgreenfanclub/"} +{"d:Title": "Embrionic", "d:Description": "Mailing list description and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brion,_Jon", "url": "http://launch.groups.yahoo.com/group/embrionic/"} +{"d:Title": "All Music Guide: Jon Brion", "d:Description": "Biography, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brion,_Jon", "url": "http://www.allmusic.com/artist/jon-brion-mn0000257160"} +{"d:Title": "Mix Magazine: \"Crazed Eclectic Co-Conspirator (and Producer)\"", "d:Description": "Article in which Brion discusses his production techniques and work on the \"Magnolia\" score.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brion,_Jon/Articles_and_Interviews", "url": "http://www.digitalprosound.com/Htm/People/2000/Sept/RecNotes/jonBrion.htm"} +{"d:Title": "Dallas Observer: Meaningless", "d:Description": "Mikael Wood's review: \"...a terrific slice of micro-managed pop, flush with multi-tiered melodies and the kind of sonic detailing the Beatles and George Martin used to get a kick out of.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brion,_Jon/Articles_and_Interviews", "url": "http://www.dallasobserver.com/2001-05-31/music/jon-brion/"} +{"d:Title": "L.A. Weekly: Pop Pur\u00e9e", "d:Description": "Story on Brion's weekly performances at the Los Angeles club Largo.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brion,_Jon/Articles_and_Interviews", "url": "http://www.laweekly.com/1999-02-04/music/pop-pur-e/"} +{"d:Title": "British Sea Power", "d:Description": "Official site with tour dates, discography, MP3 files, press articles, message board, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/British_Sea_Power", "url": "http://www.britishseapower.co.uk/"} +{"d:Title": "CD Review: Kelly Brock", "d:Description": "Review of Kelly Brock's self titled first album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brock,_Kelly", "url": "http://dropd.com/issue/55/CD/KellyBrock/"} +{"d:Title": "Herman Brood", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brood,_Herman", "url": "http://www.alexgitlin.com/brood.htm"} +{"d:Title": "MTV: Herman Brood", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brood,_Herman", "url": "http://www.mtv.com/artists/herman-brood/"} +{"d:Title": "Jonatha Brooke", "d:Description": "Official site includes news, song clips, pictures, her online journal, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooke,_Jonatha", "url": "http://www.jonathabrooke.com/"} +{"d:Title": "Blue Thumb Records: Jonatha Brooke", "d:Description": "Information on her \"Plumb\" CD including soundclips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooke,_Jonatha", "url": "http://www.vervemusicgroup.com/jonathabrooke"} +{"d:Title": "Mailing List", "d:Description": "A fan-based discussion mailing list for everything related to Meredith Brooks. Digest version available.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooks,_Meredith", "url": "http://www.angelfire.com/de/deconstruction/"} +{"d:Title": "Meredith Brooks Mania", "d:Description": "A fan site featuring news, biography, pictures, b-sides, early work, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooks,_Meredith", "url": "http://www.musicfanclubs.org/meredithbrooks/"} +{"d:Title": "Dedicated to Meredith Brooks", "d:Description": "Fan page featuring news, stories, album information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooks,_Meredith", "url": "http://www.angelfire.com/ca2/umbx/index.html"} +{"d:Title": "Blurring the Edges", "d:Description": "Fan page featuring lyrics, news, pictures, album information, and a fan quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooks,_Meredith", "url": "http://members.tripod.com/~mallary84/"} +{"d:Title": "AskMen.com: Meredith Brooks", "d:Description": "Includes pictures, background information, biography, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooks,_Meredith", "url": "http://www.askmen.com/celebs/women/singer/48_meredith_brooks.html"} +{"d:Title": "MTV: Meredith Brooks", "d:Description": "Includes news, reviews, downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brooks,_Meredith", "url": "http://www.mtv.com/artists/meredith-brooks/"} +{"d:Title": "McGill Reporter - From Barenaked to Bartok", "d:Description": "Andy Creeggan discusses Barenaked Ladies, Andiwork, his solo project and his side project, the Brothers Creeggan.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brothers_Creeggan,_The/Band_Members/Creeggan,_Andy/Articles_and_Interviews", "url": "http://www.mcgill.ca/reporter/32/13/kaleidoscope/"} +{"d:Title": "C:andy:land", "d:Description": "A fansite for Andy Creeggan which contains news, tour dates and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brothers_Creeggan,_The/Band_Members/Creeggan,_Andy/Fan_Pages", "url": "http://c.andyland.tripod.com/index.html"} +{"d:Title": "Todd's Album Reviews", "d:Description": "A review of the Brothers Creeggan's self-titled album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brothers_Creeggan,_The/Reviews", "url": "http://www.thepeaches.com/music/reviews/AlbPage256.html"} +{"d:Title": "Todd's Album Reviews: Brothers Creeggan II", "d:Description": "A review on the second album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brothers_Creeggan,_The/Reviews", "url": "http://www.thepeaches.com/music/reviews/AlbPage257.html"} +{"d:Title": "George Graham Reviews", "d:Description": "An extensive review of the Brothers Creeggan's fourth adbum, Sleepyhead.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brothers_Creeggan,_The/Reviews", "url": "http://georgegraham.net/reviews/creeggan.html"} +{"d:Title": "Brother Cane", "d:Description": "Official band site features news, chat, discography, lyrics, photographs, biographies, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brother_Cane", "url": "http://www.damonjohnson.com/"} +{"d:Title": "Pure Cane", "d:Description": "Large fan site with guitar tablature, photographs, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brother_Cane", "url": "http://members.tripod.com/sunspotprod/"} +{"d:Title": "All Music Guide: Dennis Brown", "d:Description": "Provides a biography, discography, photo, facts, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Dennis", "url": "http://www.allmusic.com/artist/dennis-brown-p2871"} +{"d:Title": "Brown, Doug", "d:Description": "Singer, composer, and multi-instrumentalist based in Madison, Wisconsin. Contains audio samples, show dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Doug", "url": "http://www.dougbrownmusic.com/"} +{"d:Title": "The Hot Spot", "d:Description": "Features photo gallery, news, lyrics, album information, biography, games, polls, and free e-mail.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Foxy", "url": "http://www.fbhotspot.com/"} +{"d:Title": "All Music Guide: Foxy Brown", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Foxy", "url": "http://www.allmusic.com/artist/foxy-brown-p29875"} +{"d:Title": "AskMen.com: Foxy Brown", "d:Description": "Pictures, biography, commentary and links on the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Foxy", "url": "http://www.askmen.com/celebs/women/singer_60/65_foxy_brown.html"} +{"d:Title": "MTV: Foxy Brown", "d:Description": "Includes news, album reviews, audio downloads, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Foxy", "url": "http://www.mtv.com/artists/foxy-brown/"} +{"d:Title": "Greg Brown at Blissfest 10", "d:Description": "Photos taken at Blissfest 10 in Cross Village, Michigan, 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Greg", "url": "http://www.lowpockets.com/gregbrown/"} +{"d:Title": "Ron Mura's Greg Brown Site", "d:Description": "A fan site includes biography, discography, news, concert information, interviews, complete lyrics, sound clips, links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Greg", "url": "http://www.gregbrown.org/"} +{"d:Title": "Guitar Tablatures", "d:Description": "Transcriptions of lyrics, with tablatures, for many of Brown's songs, from 1981 to present. Includes some suggestions by Ian Shaw on how to learn Greg Brown songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Greg", "url": "http://ag.arizona.edu/~steidl/GB/"} +{"d:Title": "One Night", "d:Description": "New release on Red House Records label. Cover art, list of songs, Real Audio clips for several tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Greg", "url": "http://www.redhouserecords.com/128.html"} +{"d:Title": "FolkLib Index for Greg Brown", "d:Description": "Directory includes links to articles, FAQ, discographies, other online resources.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Greg", "url": "http://www.folklib.net/index/b/brown_greg.shtml"} +{"d:Title": "Prairie Home Companion Appearance", "d:Description": "Real Audio, photos and text scripts for Brown's appearance on PHC, January 22, 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Greg", "url": "http://prairiehome.publicradio.org/programs/20000122/"} +{"d:Title": "Yahoo! Groups : GBrown-L", "d:Description": "Online discussion of Greg Brown and his music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Greg", "url": "http://launch.groups.yahoo.com/group/GBrown-L/"} +{"d:Title": "Always Melanie B", "d:Description": "Brazilian fansite featuring news articles, photo gallery and entertainment information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Melanie", "url": "http://www.melaniebrown.com.br/"} +{"d:Title": "Blues on Stage: Ruth Brown CD Review", "d:Description": "Review of her 1999 release \"A Good Day For The Blues.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Ruth", "url": "http://www.mnblues.com/cdreview/cd-ruthbrown.html"} +{"d:Title": "Soul Beneficiary", "d:Description": "Article with photographs from the Las Vegas Sun.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Ruth", "url": "http://www.lasvegassun.com/news/1997/mar/31/soul-beneficiary/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Ruth", "url": "http://rockhall.com/inductees/ruth-brown/"} +{"d:Title": "IMDB: Ruth Brown", "d:Description": "Filmography of both musical appearances and acting roles.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Ruth", "url": "http://www.imdb.com/name/nm0114616/"} +{"d:Title": "One Candle", "d:Description": "Fan Club and information service. Includes FAQ, news, discography, tour dates and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brown,_Sam", "url": "http://www.onecandle.co.uk/"} +{"d:Title": "Jackson Browne", "d:Description": "Musician's official web site. Album reviews, upcoming tour dates, biography, discography with sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Browne,_Jackson", "url": "http://www.jacksonbrowne.com/"} +{"d:Title": "All Music Guide: Jackson Browne", "d:Description": "Profile, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Browne,_Jackson", "url": "http://www.allmusic.com/artist/jackson-browne-p3784"} +{"d:Title": "Rock and Roll Hall of Fame: Jackson Browne", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Browne,_Jackson", "url": "http://rockhall.com/inductees/jackson-browne/"} +{"d:Title": "Bill Bruford", "d:Description": "Official site offers a biography, audio samples, articles and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bruford,_Bill", "url": "http://www.billbruford.com/"} +{"d:Title": "Rockmagic.net: Brutal Truth", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Brutal_Truth", "url": "http://lyrics.rockmagic.net/lyrics/brutal_truth/"} +{"d:Title": "Peabo Bryson - Feel The Fire", "d:Description": "The King of the Romantic Ballads discusses his first new album in five years with Jay S. Jacobs of popentertainment.com.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bryson,_Peabo", "url": "http://www.popentertainment.com/bryson.htm"} +{"d:Title": "BT", "d:Description": "The official site, with news, tour dates, biography, discography, press interviews, contests, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BT", "url": "http://www.btmusic.com/"} +{"d:Title": "Mad Skillz", "d:Description": "Fan site with a discography, press articles, wallpapers, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BT", "url": "http://members.tripod.com/bt_music/"} +{"d:Title": "All Music Guide: BT", "d:Description": "Includes a biography, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/BT", "url": "http://www.allmusic.com/artist/bt-p168734"} +{"d:Title": "Michael Bubl\u00e9", "d:Description": "Official site includes audio and video samples, a brief profile, tour schedule, news, photographs, and fan club information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bubl\u00e9,_Michael", "url": "http://www.michaelbuble.com/"} +{"d:Title": "Wikipedia: Michael Bubl\u00e9", "d:Description": "Encyclopedic article with user-contributed detailed biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bubl\u00e9,_Michael", "url": "http://en.wikipedia.org/wiki/Michael_Bubl%C3%A9"} +{"d:Title": "Absolute Bubl\u00e9", "d:Description": "Tribute act from southern England. Artist profile, song list, videos, audio and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bubl\u00e9,_Michael", "url": "http://www.buble-tribute.co.uk/"} +{"d:Title": "Buck-O-Nine", "d:Description": "Official page for the ska/punk rock band. Tour dates, album information, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buck-O-Nine", "url": "http://www.buck-o-nine.com/"} +{"d:Title": "Give Me Buckcherry Club", "d:Description": "Post photos, read important calendar events, browse links and chat and message other Buckcherry fans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://launch.groups.yahoo.com/group/givemebuckcherry/"} +{"d:Title": "Buckcherry Sell Out", "d:Description": "Rare pictures from early tour in February 1999. Also features a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://members.tripod.com/fatles/buckcherry/"} +{"d:Title": "Buckcherry is Lit Up", "d:Description": "Read song tracks, and read the biography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://www.angelfire.com/ny2/fatha/Buckcherry.html"} +{"d:Title": "Gig's Buckcherry Homepage", "d:Description": "Contains tablature, discography, pictures, links and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://spectaflex.tripod.com/buck/buckhome.htm"} +{"d:Title": "Buckcherry", "d:Description": "Concert pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://www.angelfire.com/pa3/saragoo2/bcpic.html"} +{"d:Title": "Artist Direct: Buckcherry", "d:Description": "A resource for Buckcherry links, CDs, biographies, homepages, contests, tour dates, and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,734274,00.html"} +{"d:Title": "All-Music Guide: Buckcherry", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://www.allmusic.com/artist/buckcherry-p366452"} +{"d:Title": "MTV: Buckcherry", "d:Description": "Brief biography, discography, audio clips, fan websites, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry", "url": "http://www.mtv.com/artists/buckcherry/"} +{"d:Title": "Yahoo Groups: givemebuckcherry", "d:Description": "Email discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/givemebuckcherry/"} +{"d:Title": "Buckcherry - better the second time around", "d:Description": "Review by Christina Apeles. Rock is a cliche, I guess.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry/Reviews", "url": "http://www.westnet.com/consumable/1999/05.19/revbuckc.html"} +{"d:Title": "Metacritic: Time Bomb", "d:Description": "Multiple critic and user reviews for Time Bomb by Buckcherry.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckcherry/Reviews", "url": "http://www.metacritic.com/music/time-bomb/buckcherry"} +{"d:Title": "Bucketheadland", "d:Description": "Official Buckethead site, with news, merchandise, release information, links and details about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckethead", "url": "http://www.bucketheadland.com/"} +{"d:Title": "Binge and Grab", "d:Description": "A site devoted to Buckethead, his music, and his fans. Includes discography, tablatures, concert information, interviews, and fan pages.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckethead", "url": "http://www.bingeandgrab.com/"} +{"d:Title": "Bucketheadland", "d:Description": "Fan-assembled wiki.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckethead", "url": "http://buckethead.wikia.com/"} +{"d:Title": "Lindsey Buckingham", "d:Description": "Official site features news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckingham,_Lindsey", "url": "http://www.lindseybuckingham.com/"} +{"d:Title": "Buckingham Nicks", "d:Description": "A fan site with biographies, discographies, history, photo galleries, fan forum, and related fan information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckingham_Nicks", "url": "http://www.buckinghamnicks.net/"} +{"d:Title": "Buckingham Nicks Photos", "d:Description": "Lindsey and Stevie image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckingham_Nicks", "url": "http://www.angelfire.com/mac/fireflies/buckinghamnicksphotos.htm"} +{"d:Title": "Buckingham Nicks: Forever Lost in the Vinyl Groove?", "d:Description": "Features and review of the album and a discussion of why it has not been released on CD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckingham_Nicks", "url": "http://www.fleetwoodmac-uk.com/articles/FMart68.htm"} +{"d:Title": "Rarebird's Buckingham Nicks Review", "d:Description": "Features a fan's review of the 1973 album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckingham_Nicks", "url": "http://rarebird9.net/bucknicks.html"} +{"d:Title": "Woke Up In A Guitar Tab Place", "d:Description": "A very complete JB guitar tabs'n'chords page.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Jeff", "url": "http://members.tripod.com/~captaintab/index.html"} +{"d:Title": "Jeff Buckley", "d:Description": "The official site from Columbia Records for the late Jeff Buckley.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Jeff", "url": "http://www.jeffbuckley.com/"} +{"d:Title": "gunshotglitter", "d:Description": "Fan site with photos, articles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Jeff", "url": "http://members.tripod.com/gunshotg1itter/index.htm"} +{"d:Title": "Haven't you heard", "d:Description": "Haven't you heard is a Jeff buckley web site with lots of pictures and how I feel about his music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Jeff", "url": "http://www.angelfire.com/az/jeffbuckleyfan/"} +{"d:Title": "RollingStone.com: Jeff Buckley", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Jeff", "url": "http://www.rollingstone.com/music/artists/jeff-buckley"} +{"d:Title": "Flowers in Time", "d:Description": "Gig dates, setlists, song lyrics, discography, bootlegs, images and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Jeff", "url": "http://www.flowersintime.org/"} +{"d:Title": "Tim Buckley Archives, The", "d:Description": "Includes biography, discography, interviews, articles, reviews, photo gallery, lyrics, guitar tablatures, and books.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Tim", "url": "http://www.timbuckley.net/"} +{"d:Title": "Wilson and Alroy's: Tim Buckley", "d:Description": "Record reviews, and artist history.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Tim", "url": "http://www.warr.org/buckley.html"} +{"d:Title": "Tim Buckley", "d:Description": "Official site. Includes biography, discography, articles, audio, video, books, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Tim", "url": "http://www.members.tele2.nl/jim2873/tb/"} +{"d:Title": "Tim Buckley Music", "d:Description": "List of albums and songs that can be viewed by decade, mood, keywords or type of album, along with lyrics and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckley,_Tim", "url": "http://timbuckleymusic.com/"} +{"d:Title": "Bucks Fizz", "d:Description": "Fan site features news, discography and history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bucks_Fizz", "url": "http://www.raffem.com/BucksFizzVal.htm"} +{"d:Title": "Wikipedia: Bucks Fizz", "d:Description": "User-contributed history and discography for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bucks_Fizz", "url": "http://en.wikipedia.org/wiki/Bucks_Fizz_(band)"} +{"d:Title": "Buckwheat Zydeco", "d:Description": "Official site provides a detailed biography, discography, articles, photos, facts, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckwheat_Zydeco", "url": "http://www.buckwheatzydeco.com/"} +{"d:Title": "All Music Guide: Buckwheat Zydeco", "d:Description": "Provides a biography, discography, photo, song highlights, and similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buckwheat_Zydeco", "url": "http://www.allmusic.com/artist/buckwheat-zydeco-p716"} +{"d:Title": "Sabre Dance", "d:Description": "Official fan club site with biographies of individual members, photographs, and a history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Budgie", "url": "http://members.tripod.com/~BUDGIEFANCLUB/index.html"} +{"d:Title": "Budgie Page", "d:Description": "Official site with newsletter, diary, tour dates, image galleries, merchandise, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Budgie", "url": "http://www.budgie.uk.com/"} +{"d:Title": "All Music Guide: Budgie", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Budgie", "url": "http://www.allmusic.com/artist/budgie-mn0000623985"} +{"d:Title": "Buena Vista Social Club", "d:Description": "Concert review from the Art Deco Wiltern Theatre in Los Angeles, featuring pianist Ruben Gonzalez and singer Ibrahim Ferrer.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buena_Vista_Social_Club", "url": "http://www.plume-noire.com/music/live/buenavista.html"} +{"d:Title": "Buena Vista Social Club News: Topix", "d:Description": "News about Buena Vista Social Club continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buena_Vista_Social_Club", "url": "http://www.topix.com/who/buena-vista-social-club"} +{"d:Title": "Buena Vista Social Club", "d:Description": "Review of Ibrahim Ferrer, Omara Portuondo, Ruben Gonzalez and the rest of Buena Vista Social Club live in Reykjavik, May 2001, from musicOMH.com.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buena_Vista_Social_Club", "url": "http://www.musicomh.com/reviews/live/buena-vista-social-club-laugardalshollin-reykjavik"} +{"d:Title": "Buffalo Springfield", "d:Description": "A profile of the band and reviews of their work from Wilson and Alroy's Record Reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Springfield", "url": "http://www.warr.org/buffalo.html"} +{"d:Title": "Rock and Roll Hall of Fame: Buffalo Springfield", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Springfield", "url": "http://rockhall.com/inductees/buffalo-springfield/"} +{"d:Title": "Jim Messina on Buffalo Springfield", "d:Description": "A discography of the band from Messina highlighting his involvement.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Springfield", "url": "http://www.jimmessina.com/buffalospringfield.html"} +{"d:Title": "Shoe Straps and Eyelashes", "d:Description": "Buffalo Tom Home Page. Contains band news, a biography, FAQs, discography, lyrics, photos, guitar tablatures, chat room, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Tom", "url": "http://www.buffalotom.com/"} +{"d:Title": "All-Reviews.com: Big Red Letter Day", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Tom", "url": "http://www.all-reviews.com/music/bigredletter.htm"} +{"d:Title": "The Kitchen Door", "d:Description": "Discography and tour history.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Tom", "url": "http://www.speca.com/bt"} +{"d:Title": "Yahoo Group: FrozenLake", "d:Description": "Fan based discussion mailing list for Buffalo Tom.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Tom", "url": "http://launch.groups.yahoo.com/group/FrozenLake/"} +{"d:Title": "Buffalo Tom - 'Asides From Buffalo Tom'", "d:Description": "The Best Of Buffalo Tom, 1988-1999, reviewed by musicOMH.com.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffalo_Tom", "url": "http://www.musicomh.com/reviews/albums/buffalo-tom-asides-from-buffalo-tom"} +{"d:Title": "Jimmy Buffett's Margaritaville - Key West and New Orleans", "d:Description": "The \"Official\" artist Margaritaville page. Included are Radio Margaritaville, the Margaritaville gift stores, and personal comments from the artist himself.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://margaritaville.com/"} +{"d:Title": "ParrotHog Perch", "d:Description": "A parrothead site for motorcycle enthusiasts of the artist. Offers a photo of the bike, recipes, travel planner and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.angelfire.com/ny3/parrothog/"} +{"d:Title": "BuffettNews.com", "d:Description": "Offers news, tour dates, set lists, timeline, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.buffettnews.com/"} +{"d:Title": "The Circusfish's Parrot Head Paradise", "d:Description": "Includes complete biographies, discography, MIDI collection, and recipes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.angelfire.com/fl2/Circusfish/paradise.html"} +{"d:Title": "Entertainment Ave: Jimmy Buffett and The Coral Reefer Band", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/jimmy_buffett/jb062598.htm"} +{"d:Title": "Entertainment Ave: Jimmy Buffett and the Coral Reefer Band", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/jimmy_buffett/jb060896.htm"} +{"d:Title": "Jimmy Buffett World", "d:Description": "Includes biography, discography, lyrics, multimedia, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.buffettworld.com/"} +{"d:Title": "Last Mango On The Web", "d:Description": "Artist related links to concert tickets, music, lyrics, CDs, Books, shopping and news.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://home.bluegrass.net/~keith/Jimmy_Buffett_Frame.htm"} +{"d:Title": "Jimmy Buffett News: Topix", "d:Description": "News about Jimmy Buffett continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.topix.com/who/jimmy-buffett"} +{"d:Title": "RollingStone.com: Jimmy Buffett", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy", "url": "http://www.rollingstone.com/music/artists/jimmy-buffett"} +{"d:Title": "Jimmy Buffett World", "d:Description": "Fan site devoted to the artist. Offers discography, lyrics, trivia, books, tour dates and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Fan_Pages", "url": "http://www.buffettworld.com/"} +{"d:Title": "ParrotHead42", "d:Description": "A personal fan site for all Parrotheads. Includes a photo gallery, guestbook, logo shirts available, concert forum to find other fans and photos of the host and his family.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Fan_Pages", "url": "http://www.angelfire.com/on/parrothead42/"} +{"d:Title": "Dave's Jimmy Buffett", "d:Description": "Offers a tribute to the artist. Includes several photos of both fans and the artist in concert, upcoming events, new album song list, recent news and headlines.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Fan_Pages", "url": "http://www.angelfire.com/nc2/thrift2/"} +{"d:Title": "Jimmy Dreamz", "d:Description": "Brought to you by Captain Jim and his crew. Features upcoming tour schedule, ticket sale dates, pre-concert party information, message board, photo galleries and live chat.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Fan_Pages", "url": "http://www.jimmydreamz.com/"} +{"d:Title": "Welcome Parrotheads", "d:Description": "Tribute site dedicated to the artist and his band. Offers lyrics for songs, online news, take a quiz, schedule of upcoming concert dates, guestbook and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Fan_Pages", "url": "http://www.angelfire.com/md2/JimmyBuffett/"} +{"d:Title": "TD's Jimmy Buffett Page", "d:Description": "A tribute to the artist and the fans. Includes a parrothead poll, album reviews, Yahoo group and how to make a margarita.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Fan_Pages", "url": "http://www.angelfire.com/music5/parrothead/"} +{"d:Title": "Redsal's Buffett Songs", "d:Description": "A fan site with a selection of the artists Midi's.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/MIDI", "url": "http://www.redsal.com/"} +{"d:Title": "Parrot Heads In Paradise", "d:Description": "National headquarters. Information on starting a club, artist news, tour dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise", "url": "http://www.phip.com/"} +{"d:Title": "Arizona Parrot Head Club", "d:Description": "A social club for people who like the artist's music. Based in Phoenix Arizona.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.parrotheads.org/"} +{"d:Title": "Tampa Bay Parrot Head Club", "d:Description": "Local fan club newsletter and calendar of events.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://finsup.com/"} +{"d:Title": "The Atlanta Parrot Head Club", "d:Description": "The official web site of the Atlanta Parrot Head Club.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://atlantaparrotheadclub.org/"} +{"d:Title": "Chesapeake Bay Parrot Head Club", "d:Description": "Features history, events, committees and projects, membership information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://members.tripod.com/~cphc/"} +{"d:Title": "Ocean City Parrothead Club", "d:Description": "News, events, newsletter, mailing list, membership application, members only section, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.ocphc.com/"} +{"d:Title": "Parrot Head Club of Eastern Massachusetts", "d:Description": "Contains club membership information, photo gallery, and events.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.phcoem.com/"} +{"d:Title": "Shoreline Parrothead Club", "d:Description": "Based in the Muskegon area. Offers an online membership application with fees and costs, upcoming local events, list of officers, logo merchandise and contact numbers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.sphc.org/"} +{"d:Title": "Ozark Phlocking", "d:Description": "Parrot heads phlock to Lake of the Ozarks the weekend after Labor Day. The highlight of the annual party is a Saturday performance of singer/guitarist Mark Northey. Offers a photo gallery, narrative and upcoming event schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://ozarkphlocking.homestead.com/"} +{"d:Title": "The Wandering Phlock of Raucous Knights", "d:Description": "Parrot Head Club of North Carolina based in the Raleigh Area", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.phofnc.com/"} +{"d:Title": "Tulsa Parrothead Club", "d:Description": "News, events, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://tulsaparrotheads.com/"} +{"d:Title": "Coast of Carolina Parrot Head Club", "d:Description": "Jimmy Buffett fan club providing volunteer and charitable support to local social and environmental causes. Includes club guidelines, calendar of events, and photo journal of past events.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.cofcphc.com/"} +{"d:Title": "Galveston Bay Parrot Head Club", "d:Description": "A 500+ member club in Houston, Texas.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.gbphc.com/"} +{"d:Title": "Lone Star Parrot Head Club", "d:Description": "Club for Texas. Includes mission, charities, calendar, photo album and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.lsphc.com/"} +{"d:Title": "Vermont Parrot Heads In Paradise", "d:Description": "Social club for people who like the artist's music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.frozenfins.org/"} +{"d:Title": "Parrot Head Club of Richmond", "d:Description": "Located in the Richmond area and promotes the artist's music while participating in local charitable events. Provides a calendar of upcoming local events, list of club officers, photo galleries, meeting schedule and location, online membership application and favorite links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.phcor.com/"} +{"d:Title": "ParrotHeads of the Old Dominion", "d:Description": "Formed in 1986 between innings at a whiffle ball marathon that preceded the artist's performance at Kings Dominion. Features a calendar of upcoming local events, online membership application, news letter, scrapbook and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.parrothead.org/"} +{"d:Title": "Sandlapper Parrothead Club", "d:Description": "Located in the Rock Hill, South Carolina area. Offers calendar of events, photos, list of officer's and news on the anniversary party.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.sandlapperphc.com/"} +{"d:Title": "Barefoot Children of South Florida PHC", "d:Description": "An official fan club based in the Ft. Lauderdale and Miami-Dade area. Offers local and national event calendar, photo gallery, board members, online contact and feedback form.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.barefootchildrenphc.com/"} +{"d:Title": "Washington Area Parrot Head Club", "d:Description": "Promotes friendship and organizes social activities in the greater Washington, DC area that also includes Northern Virginia and Maryland. Offers a calendar of upcoming events, photo gallery, newsletter, club charter and minutes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.waphc.com/"} +{"d:Title": "Chicago Parrot Head Club", "d:Description": "Local area fan club that provides its members social interaction. Offers a calendar of upcoming events, photo gallery, schedule of monthly meetings, map for directions and current club officers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.chicagoparrotheads.com/"} +{"d:Title": "Los Angeles Parrot Head Club", "d:Description": "Official Web Page of the Los Angeles ParrotHead Club. Includes profile, events calendar, and image galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.laphc.com/"} +{"d:Title": "Lowcountry Parrothead Club", "d:Description": "Located in the Charleston, South Carolina area. Offers a photo gallery, calendar of monthly meetings, club minutes, online membership form with fees and current projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.lcparrotheads.org/"} +{"d:Title": "River City Land Sharks", "d:Description": "Nebraska Parrot Head Club based out of the Omaha area.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.omahaparrotheadclub.com/"} +{"d:Title": "Annapolis Parrot Head Club", "d:Description": "Maryland club's site features news, events, history, membership application and members-only areas.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://annapolisparrotheadclub.webs.com/"} +{"d:Title": "San Diego Parrot Head Club", "d:Description": "Non-profit corporation, dedicated to preserving and improving the environment and acts as a means of social interaction with like-minded fans. Offers mission statement, terms and conditions of membership, club leaders with email addresses, message board, e-newsletter and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://www.sdphc.org/"} +{"d:Title": "Derby Parrotheads", "d:Description": "Fan club located in the Louisville, Kentucky, area. Offers photos, online membership application, schedule of monthly meetings and merchandise available online.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Parrot_Heads_in_Paradise/United_States", "url": "http://derbyparrotheads.org/"} +{"d:Title": "B2B", "d:Description": "A tribute band from Richmond, Virginia specializing in the artist and other tropical cover tunes, as well as their own original music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://www.b2bband.com/"} +{"d:Title": "A1A: The Jimmy Buffett Tribute Show", "d:Description": "Seven piece tribute band endorsed by the artist. Photo gallery, audio samples, fan forum, member biographies, and concert calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://a1a-live.com/"} +{"d:Title": "Landsharks", "d:Description": "Tribute band that has performed with the artist at Margaritaville. Includes photos, schedule, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://www.landsharksband.com/"} +{"d:Title": "The Caribbean Cowboys Band", "d:Description": "Provides a photo gallery of concerts, tour calendar, band biography, partial song set list, guestbook, logo apparel available online and contact information for bookings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://www.thecaribbeancowboys.com/"} +{"d:Title": "Boat Drunks", "d:Description": "Tribute band playing in Central Illinois and Western Indiana. Provides a photo gallery, guestbook, song list, booking contact with prices, fan testimonials, booking schedule and contact information by phone and email.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://boatdrunks.com/"} +{"d:Title": "Northey, Mark", "d:Description": "Guitarist/singer/songwriter specializes in performing the artist's songs; spending summers in Missouri and winters in Florida. Includes schedule and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://marknorthey.homestead.com/"} +{"d:Title": "Gary Sluhan", "d:Description": "Missouri vocalist and acoustic guitarist specializes in performing Jimmy Buffett songs. Includes photographs, upcoming gigs and contact numbers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://www.garysluhan.com/"} +{"d:Title": "Pirate Dreams", "d:Description": "Three man acoustic act located in Western New York area. Includes photographs, biography, calendar of events and shows, guestbook, song list and contact numbers.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://www.piratedreams.com/"} +{"d:Title": "Parrotbeach", "d:Description": "New Jersey based tribute band. Includes song list, pictures, schedule, and RealVideo clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://www.parrotbeach.com/"} +{"d:Title": "Parrot Island Band", "d:Description": "Tribute trio from Atlanta, Georgia. Blog, gigs, pictures, biography and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://parrotislandband.com/"} +{"d:Title": "Changes In Latitudes", "d:Description": "Eight piece tribute band. Includes biographies of the members, photo gallery, touring schedule, song list, online contact form and booking request information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buffett,_Jimmy/Tribute_Acts", "url": "http://www.changesinlatitudes.com/"} +{"d:Title": "Buggles - Lyrics", "d:Description": "Words to the bands two albums - including 'Video Killed the Radio Star'.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buggles,_The", "url": "http://www.davemcnally.com/Lyrics/Buggles/"} +{"d:Title": "The Buggles - The Age of Plastic", "d:Description": "Essay discussing The Age of Plastic album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buggles,_The", "url": "http://www.forbisthemighty.com/pages/buggles.htm"} +{"d:Title": "ARTISTdirect: The Buggles", "d:Description": "Includes biography, links, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buggles,_The", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,409631,00.html"} +{"d:Title": "Ignore My Condition", "d:Description": "Biography, discography, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill", "url": "http://members.tripod.com/~truk2112/btsmain.html"} +{"d:Title": "Built To Spill", "d:Description": "Biography, discography and links about Built To Spill", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill", "url": "http://members.tripod.com/~truk2112/bts.html"} +{"d:Title": "Built to Spill", "d:Description": "Official website. Includes song samples, an email list, and some old websites.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill", "url": "http://www.builttospill.com/"} +{"d:Title": "RollingStone.com: Built to Spill", "d:Description": "Includes a biography, discography, photos, articles, video/audio files, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill", "url": "http://www.rollingstone.com/music/artists/built-to-spill"} +{"d:Title": "MTV: Built to Spill", "d:Description": "Includes news, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill", "url": "http://www.mtv.com/artists/built-to-spill/"} +{"d:Title": "Built to Spill: Success Hasn't Spoiled Them Yet", "d:Description": "Lazyeye interview by Tim McMahan.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill/Articles_and_Interviews", "url": "http://www.timmcmahan.com/builttospill.htm"} +{"d:Title": "Built To Spill's guitar heroics", "d:Description": "Article in Boston Phoenix.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill/Articles_and_Interviews", "url": "http://www.bostonphoenix.com/alt1/archive/music/reviews/05-22-97/BUILT_TO_SPILL.html"} +{"d:Title": "Live Review: Built To Spill / Lois, Starfish Room, April 10, 1997", "d:Description": "Drop-D Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill/Reviews", "url": "http://dropd.com/issue/52/BuiltToSpill/"} +{"d:Title": "Built To Spill: Ancient Melodies Of The Future", "d:Description": "Reviews by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill/Reviews", "url": "http://www.metacritic.com/music/ancient-melodies-of-the-future/built-to-spill"} +{"d:Title": "All Reviews: Built to Spill - Keep it Like a Secret", "d:Description": "Larry G's review: \"It's well played, catchy and challenging rock.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill/Reviews/Keep_It_Like_a_Secret", "url": "http://www.all-reviews.com/music/keepitlikeasecret.htm"} +{"d:Title": "Pitchfork: Built to Spill - Keep It Like A Secret", "d:Description": "Jason Josephes' review: \"Keep It Like a Secret? No, at the risk of hopping on a clich\u00e9 wagon, I think I'm gonna tell all my friends about Built to Spill.\" Rated 9.3 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Built_to_Spill/Reviews/Keep_It_Like_a_Secret", "url": "http://pitchfork.com/reviews/albums/1014-keep-it-like-a-secret/"} +{"d:Title": "Official Emma Bunton Website", "d:Description": "Official website includes diary, video downloads, competitions, message board and image gallery.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bunton,_Emma", "url": "http://emmabuntonofficial.com/"} +{"d:Title": "Yahoo Music: Emma Bunton", "d:Description": "Includes biography and music videos [requires Active-X].", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bunton,_Emma", "url": "http://uk.launch.yahoo.com/bunton"} +{"d:Title": "MTV: Emma Bunton", "d:Description": "Complete artist information on Emma Bunton, including news, biography, message boards, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bunton,_Emma", "url": "http://www.mtv.com/artists/emma-bunton/"} +{"d:Title": "T-Bone Burnett", "d:Description": "Profile with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burnett,_T_Bone", "url": "http://members.tripod.com/~tbonepage/"} +{"d:Title": "T Bone Burnett Discusses His \"American Roots Music\"", "d:Description": "Audio and print report from NPR Weekend Edition Saturday.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burnett,_T_Bone", "url": "http://www.npr.org/programs/wesat/features/2001/tboneburnett/280701.tboneburnett.html"} +{"d:Title": "JesusJournal.com: Being T-Bone Burnett", "d:Description": "Profile featuring information about his Christian faith. \"You can sing about the Light, or you can sing about what you see because of the Light. I prefer the latter.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burnett,_T_Bone", "url": "http://www.jesusjournal.com/content/view/120/85/"} +{"d:Title": "T-Bone Burnett", "d:Description": "Official site features news, discography, press clips, images, tour dates, biography, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burnett,_T_Bone", "url": "http://tboneburnett.com/"} +{"d:Title": "IMDB: T-Bone Burnett", "d:Description": "Listing of his film credits.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burnett,_T_Bone", "url": "http://www.imdb.com/name/nm0122439/"} +{"d:Title": "MPR: T-Bone Burnett In Studio", "d:Description": "Streaming audio of an interview and in studio performances.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burnett,_T_Bone", "url": "http://minnesota.publicradio.org/display/web/2006/04/27/t_bone_burnett/"} +{"d:Title": "Hey Kandi", "d:Description": "A Yahoo based online fan club with message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burruss,_Kandi", "url": "http://launch.groups.yahoo.com/group/heykandi/"} +{"d:Title": "AskMen.com: Kandi, Sweet Singer", "d:Description": "Includes a mini-biography, interview, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burruss,_Kandi", "url": "http://www.askmen.com/celebs/interview/6.html"} +{"d:Title": "All Music Guide: Kandi", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burruss,_Kandi", "url": "http://www.allmusic.com/artist/kandi-p434817"} +{"d:Title": "AskMen.com: Kandi", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burruss,_Kandi", "url": "http://www.askmen.com/celebs/women/singer_100/116_kandi.html"} +{"d:Title": "Burtnikville", "d:Description": "Official site. News, biography, discography, \"Dear Glen,\" photographs, articles, trivia, bulletin board, exhaustive song list with sound clips, and exclusive interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burtnik,_Glen", "url": "http://www.glenburtnik.com/"} +{"d:Title": "Yahoo Groups: Glen Burtnik", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Burtnik,_Glen", "url": "http://launch.groups.yahoo.com/group/glenburtnik/"} +{"d:Title": "Peeling Furniture Days", "d:Description": "Pictures, links, chat, and a 'Haven't Met Bush' rant ring.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://www.angelfire.com/mo/bushkicks/"} +{"d:Title": "Home For The Head", "d:Description": "Fan site with tour dates, television appearance dates, image gallery, lyrics, and e-cards.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://www.angelfire.com/music4/bush0/"} +{"d:Title": "OneSecondBush", "d:Description": "Fan site with tour dates, biographies, lyrics, guitar tablature, set lists, and song meanings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://www.onesecondbush.com/"} +{"d:Title": "Bush Positive Community Online", "d:Description": "Directory of fan sites, e-zines, and e-mail newsletters dedicated to Bush.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://positivebushzines.tripod.com/frames.html"} +{"d:Title": "The Bush Bus", "d:Description": "News, picture gallery, lyrics, quotes, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://www.angelfire.com/ny3/bushbus/"} +{"d:Title": "Yahoo! Music - Bush", "d:Description": "Includes biography, downloads, discography, videos, news, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://new.music.yahoo.com/bush/"} +{"d:Title": "Gavin Rossdale", "d:Description": "Fan site for the lead singer's solo career includes news, lyrics, discography, tour dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://gr.onesecondbush.com/"} +{"d:Title": "MTV: Bush", "d:Description": "Contains news, full biographies, musical influences, concert tour dates, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://www.mtv.com/artists/BUSH"} +{"d:Title": "Songsterr: Bush", "d:Description": "Bass tablatures for many Bush songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush", "url": "http://www.songsterr.com/a/wsa/bush-tabs-a156?inst=bass"} +{"d:Title": "Kate Bush At Paradise Place", "d:Description": "Contains images, interviews in both HTML and real audio, a discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.paradiseplace.org.uk/Kate/index.htm"} +{"d:Title": "The Single File", "d:Description": "An annotated, pictorial chronology of the singles released by Kate Bush. Also includes a surveys and quizzes.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.heisjohn.com/kate/"} +{"d:Title": "Talisman Kate Bush Archive", "d:Description": "Lyrics, pictures, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.talisman.org/~erlkonig/KT/"} +{"d:Title": "The Lost Kate Bush Interview", "d:Description": "An early interview with Kate, originally published in April 1978.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://members.tripod.com/~BrendanMartin/"} +{"d:Title": "Gaffaweb - A Tribute To Kate Bush and Her Fans", "d:Description": "Lyrics,discography,videography,interviews and over 350 pictures. Home to the rec.music.gaffa/Love-Hounds FAQ.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://gaffa.org/"} +{"d:Title": "Kate Bush Lyrics", "d:Description": "Song lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.davemcnally.com/Lyrics/KateBush/"} +{"d:Title": "Birgitte's Kate Bush Page", "d:Description": "A guide to Kate Bush and her work; information about fan clubs and events.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.angelfire.com/stars4/katebush/"} +{"d:Title": "Kate Bush Lyrics", "d:Description": "Texts of all the songs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.alwaysontherun.net/kate.htm"} +{"d:Title": "Rolling The Ball - Aspects Of Kate Bush", "d:Description": "Sozo Yamamoto's site features some information about Kate's Japanese appearances. In both English and Japanese.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.paw.hi-ho.ne.jp/sozo/katebush/"} +{"d:Title": "Kate Bush News", "d:Description": "Se\u00e1n Twomey's site with news, discography, chronology, and information about HomeGround Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.katebushnews.com/"} +{"d:Title": "Cathy Home Page", "d:Description": "An online version of the limited edition book \"Cathy\", published by Kate's brother, John Carder Bush, in 1986.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://katebush.galactic.to/Cathy.html"} +{"d:Title": "All Music Guide: Kate Bush", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate", "url": "http://www.allmusic.com/artist/kate-bush-mn0000855423"} +{"d:Title": "Gaffaweb - What Is Love-Hounds?", "d:Description": "Information about Love-Hounds, the Kate Bush mailing list, which can also be read at rec.music.gaffa.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate/Chats_and_Forums", "url": "http://gaffa.org/faq/faq_1_2.html"} +{"d:Title": "Rolling the Ball", "d:Description": "E-mail group for Kate Bush fans on Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/rollingtheball/"} +{"d:Title": "9thWave", "d:Description": "A Yahoo Groups forum to discuss Kate Bush and other female artists.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/9thWave/"} +{"d:Title": "Gaffa", "d:Description": "A web based discussion at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/gaffa/"} +{"d:Title": "Top Kate Bush Message Board", "d:Description": "Forum for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate/Chats_and_Forums", "url": "http://katebush.proboards.com/"} +{"d:Title": "Cloudbusting", "d:Description": "Tribute band from Devon, England. Biography, news, audio, videos and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bush,_Kate/Tribute_Acts", "url": "http://www.cloudbustingkatebushtribute.com/"} +{"d:Title": "Artist Direct: Busta Rhymes", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Busta_Rhymes", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,410397,00.html"} +{"d:Title": "Rollingstone.com: Busta Rhymes", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Busta_Rhymes", "url": "http://www.rollingstone.com/music/artists/busta-rhymes"} +{"d:Title": "MTV: Busta Rhymes", "d:Description": "Features music video clips, reviews, exclusive online performances, and the \"Busta Rhymes\" Mixman eMix.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Busta_Rhymes", "url": "http://www.mtv.com/artists/busta-rhymes/"} +{"d:Title": "Yahoo! Music: Busta-Rhymes", "d:Description": "Biography, downloads, videos, photos, news, reviews, discography, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Busta_Rhymes", "url": "https://music.yahoo.com/artist/busta-rhymes/"} +{"d:Title": "Hip Online: Busta Rhymes", "d:Description": "Biography, reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Busta_Rhymes", "url": "http://hiponline.com/380/busta-rhymes.html"} +{"d:Title": "Busted", "d:Description": "Official site that includes band profiles, photos, news, diary and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Busted", "url": "http://www.busted.com/"} +{"d:Title": "All Music Guide", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Bus_Boys", "url": "http://www.allmusic.com/artist/p16206"} +{"d:Title": "The Butchies", "d:Description": "A fan site with lyrics and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butchies,_The", "url": "http://welshgrrrl.tripod.com/"} +{"d:Title": "The Butchies", "d:Description": "eGroups community.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butchies,_The", "url": "http://launch.groups.yahoo.com/group/butchies/"} +{"d:Title": "The War Against Silence: Issue 250", "d:Description": "Review of the Butchies album 'Population 1975'.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butchies,_The", "url": "http://www.furia.com/page.cgi?type=twas&id=twas0250"} +{"d:Title": "The Butthole Surfers", "d:Description": "Official site. Includes a lively message board, Butthole Radio, merchandise, discography, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://www.buttholesurfers.com/"} +{"d:Title": "The Legendary Butthole Surfers", "d:Description": "A fan-run site with a large collection of articles, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://ngro_obsrvr.tripod.com/"} +{"d:Title": "Paul Leary - Lysergic Eyeballing", "d:Description": "The Butthole Surfers guitar player talks about his production career in an Austin Chronicle article", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://www.austinchronicle.com/issues/vol15/issue10/music.leary.html"} +{"d:Title": "Butthole Surfers - \"Tongue in Cheek Terror\"", "d:Description": "The band discusses their reissued back catalog in this Austin Chronicle article.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://www.austinchronicle.com/issues/vol18/issue52/music.buttholes.html"} +{"d:Title": "Artist Direct: Butthole Surfers", "d:Description": "Features a biography, photograph, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,410536,00.html"} +{"d:Title": "TrouserPress.com: Butthole Surfers", "d:Description": "Review of the band's career and albums, including side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://www.trouserpress.com/entry.php?a=butthole_surfers"} +{"d:Title": "All Music Guide: Butthole Surfers", "d:Description": "Biography of the Buttholes and ratings of their releases since 1982.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://www.allmusic.com/artist/butthole-surfers-p3808"} +{"d:Title": "Marcy Playground Album Cover Looks Familiar to the Butthole Surfers", "d:Description": "Marcy Playground, the Butthole Surfers and Capitol Records comment on artwork designed by the Surfers but given to Marcy Playground in this SonicNet article. (Nov. 8, 1999)", "topic": "Top/Arts/Music/Bands_and_Artists/B/Butthole_Surfers", "url": "http://www.mtv.com/news/articles/519626/butthole-surfers-recognize-marcy-playground-album-art.jhtml"} +{"d:Title": "Buzzcocks", "d:Description": "The official site of the legendary English punk band - featuring news, fan club, discography, mailing list, merchandise, images and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buzzcocks", "url": "http://www.buzzcocks.com/"} +{"d:Title": "TrouserPress.com: Buzzcocks", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Buzzcocks", "url": "http://www.trouserpress.com/entry.php?a=buzzcocks"} +{"d:Title": "McGuinn, Roger", "d:Description": "Get free mp3s and information at the official website of Roger Mcguinn, front man and co-founder of the Byrds.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrds,_The", "url": "http://www.ibiblio.org/jimmy/mcguinn/"} +{"d:Title": "Byrds Flyght", "d:Description": "This fan page offers current news and information on the Byrds and related band members.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrds,_The", "url": "http://users.skynet.be/byrdsflyght/"} +{"d:Title": "Clark, Gene", "d:Description": "Fan site of the late Gene Clark, co-founder of the Byrds, offers lyrics, news, photos and mp3s.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrds,_The", "url": "http://www.geneclark.com/"} +{"d:Title": "Jonathan and David's Byrds Page", "d:Description": "Fan page featuring information about the late Clarence White.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrds,_The", "url": "http://byrds.churchyear.net/"} +{"d:Title": "Hillman, Chris", "d:Description": "What do The Byrds, The Flying Burrito Bros., Manassas, McGuinn, Clark and Hillman and The Desert Rose Band have in common? Answer: Multi-talented singer/songwriter/bassist/mandolinist and guitarist Chris Hillman.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrds,_The", "url": "http://www.chrishillman.com/"} +{"d:Title": "Rock and Roll Hall of Fame: The Byrds", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrds,_The", "url": "http://rockhall.com/inductees/the-byrds/"} +{"d:Title": "RollingStone.com: The Byrds", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrds,_The", "url": "http://www.rollingstone.com/music/artists/the-byrds"} +{"d:Title": "David Byrne", "d:Description": "Official artist site, with discography, lyrics, tour dates, sound files, Internet radio, and a thorough blog.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David", "url": "http://www.davidbyrne.com/"} +{"d:Title": "Wikipedia: David Byrne", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David", "url": "https://en.wikipedia.org/wiki/David_Byrne"} +{"d:Title": "IMDb: David Byrne", "d:Description": "Filmography (as composer, actor and director).", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David", "url": "http://www.imdb.com/name/nm0126154/"} +{"d:Title": "Canoe: David Byrne", "d:Description": "Articles and reviews from 1995 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David", "url": "http://jam.canoe.com/Music/Artists/B/Byrne_David/"} +{"d:Title": "Settore Cyberpunk: Two Heads Talking", "d:Description": "An interview by Timothy Leary.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Articles_and_Interviews", "url": "http://www.ecn.org/settorecyb/txt/byrne-leary.html"} +{"d:Title": "David Byrne", "d:Description": "L.A. Weekly interview by Robert Lloyd.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Articles_and_Interviews", "url": "http://home.earthlink.net/~rslloyd/byrne.html"} +{"d:Title": "Sound on Sound: Start Making Sense", "d:Description": "An interview focusing on the creation of the CD Feelings. Includes an equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Articles_and_Interviews", "url": "http://www.soundonsound.com/sos/feb98/articles/david.html"} +{"d:Title": "The Music Monitor: David Byrne", "d:Description": "Interview and photographs before a show in North Carolina.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Articles_and_Interviews", "url": "http://www.talking-heads.nl/index.php/david-byrne-bio/david-byrne-archive/153-snapshots"} +{"d:Title": "KCRW: David Byrne", "d:Description": "Audio interview from Morning Becomes Eclectic with Byrne as a guest DJ.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Articles_and_Interviews", "url": "http://www.kcrw.com/music/programs/mb/mb981026david_byrne"} +{"d:Title": "The Guardian: David Byrne", "d:Description": "Therapy is like a lobotomy. Who wants to have all their edges shaved off? A 2001 interview that discusses his history, the big suit, and world music.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Articles_and_Interviews", "url": "http://www.theguardian.com/friday_review/story/0,3605,478705,00.html"} +{"d:Title": "Satan Stole My Teddybear: David Byrne", "d:Description": "A review of his self-titled CD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews", "url": "http://www.ssmt-reviews.com/artist/byrne.html"} +{"d:Title": "David Byrne Profile at Metacritic", "d:Description": "Reviews of David Byrne's music, movies, and television appearances by review aggregator Metacritic", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews", "url": "http://www.metacritic.com/person/david-byrne"} +{"d:Title": "ConcertLivewire.com: Byrne Reveals Newfound Feelings", "d:Description": "A review of the \"fun, eclectic collection of songs.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews/Feelings", "url": "http://www.concertlivewire.com/cdarchives/byrne.htm"} +{"d:Title": "Consumable Online: David Byrne", "d:Description": "A mostly positive review of the \"sonically compelling\" CD.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews/Feelings", "url": "http://www.westnet.com/consumable/1997/08.07/revbyrne.html"} +{"d:Title": "The Boston Phoenix: Good Feelings", "d:Description": "An upbeat review of the CD by the artist that \"ain't exactly Dr. Feelgood.\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews/Feelings", "url": "http://www.bostonphoenix.com/archive/music/97/08/07/DAVID_BYRNE.html"} +{"d:Title": "The Observer: A Head Case No Longer", "d:Description": "Neil Spencer talks to David Byrne. Interview and review, dubbing the CD the best of his solo career.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews/Look_into_the_Eyeball", "url": "http://www.theguardian.com/theobserver/2001/apr/29/features.review107"} +{"d:Title": "PopMatters: David Byrne", "d:Description": "Review by Nicolas Taylor. \"The song reaches perfection for me as Byrne implores his character to awaken singing...\"", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews/Look_into_the_Eyeball", "url": "http://www.popmatters.com/review/byrnedavid-look/"} +{"d:Title": "Chicago Sun Times: True Stories", "d:Description": "Roger Ebert review of the film co-written and produced by Byrne.", "topic": "Top/Arts/Music/Bands_and_Artists/B/Byrne,_David/Reviews/True_Stories", "url": "http://www.rogerebert.com/reviews/true-stories-1986"} +{"d:Title": "All Music Guide: By Divine Right", "d:Description": "Provides a brief biography, discography, facts, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/B/By_Divine_Right", "url": "http://www.allmusic.com/artist/by-divine-right-mn0000526072"} +{"d:Title": "Canoe.ca: By Divine Right", "d:Description": "Archive of reviews and articles pertaining to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/B/By_Divine_Right", "url": "http://jam.canoe.com/Music/Artists/B/By_Divine_Right/"} +{"d:Title": "Chacin, Rosa Virginia", "d:Description": "Venezuelan romantic music singer. Information about her music, career, and upcoming presentations. [English and Spanish.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.rosavirginiachacin.com/"} +{"d:Title": "Caicedo, Patricia", "d:Description": "Concert and recording artist who focuses on the Latin American and Spanish art song. Biography, information on the genre, concerts and classes.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.patriciacaicedo.com/"} +{"d:Title": "Caputo, Sergio", "d:Description": "Italian jazz/swing singer and songwriter based in California. Biography, discography, photographs, lyrics and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.sergiocaputo.com/"} +{"d:Title": "Conte, Paolo", "d:Description": "Biography, discography, articles, news, tour dates, and reviews of the Italian pianist. [English and Italian; paid subscription required for some features.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.swonderful.net/"} +{"d:Title": "Copenhagen Police Band", "d:Description": "A wind orchestra with 45 members from Denmark.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.kpo.dk/"} +{"d:Title": "Caprani, Klaus", "d:Description": "Danish bassist. Biography, discography, audio clips, equipment, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.klauscaprani.com/"} +{"d:Title": "Combo Latino", "d:Description": "The musicians of Combo Latino come from El Salvador, Spain, Cuba, Argentina and Germany and are based in Europe. Site in German, English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.combolatino.de/"} +{"d:Title": "Connor, Sarah", "d:Description": "German singer; news, biography, discography, multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.sarah-connor.com/"} +{"d:Title": "Children of Bodom", "d:Description": "Finnish black metal band; includes news, biographies, pictures, discography, videos and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cobhc.com/"} +{"d:Title": "Coyne, Kevin", "d:Description": "Official site includes newsletter, tour dates, MP3, discography and an online gallery with prints, drawings and paintings.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.kevincoyne.de/"} +{"d:Title": "Cafe Accordion Orchestra", "d:Description": "Minneapolis-based band performing a mix of French Musette, vintage swing, Latin and European folk music styles. Includes MP3s, discography, show dates, reviews, biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cafeaccordion.com/"} +{"d:Title": "Collider", "d:Description": "New York-based electropunk band. News, bulletin board, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.collider.com/"} +{"d:Title": "Clarke, Dave", "d:Description": "News, discography, biography, and sound files from the English techno DJ and recording artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.daveclarke.com/"} +{"d:Title": "Curtis, Jayme Kelly", "d:Description": "An independent singer, songwriter, guitarist, and recording artist who performs and records in Northern California. Includes a tutorial of tools for songwriters.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.purrgirl.com/"} +{"d:Title": "Catholic Girls, The", "d:Description": "All-female pop/rock band. Biography, news, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecatholicgirls.net/"} +{"d:Title": "CeLeste", "d:Description": "Sound clips, pictures, biography, press and club dates for the Florida-based singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/music4/lesty/"} +{"d:Title": "Cason, Shirley", "d:Description": "Biography, music philosophy, sound samples and reviews from the New Age keyboardist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.shirleycason.com/"} +{"d:Title": "Chambers, Guy", "d:Description": "Music downloads, score and official lyrics from the UK, songwriter, musician and producer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.guychambers.com/"} +{"d:Title": "Crack The Sky", "d:Description": "US progressive band; news, releases, biography, bulletin board and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crackthesky.com/"} +{"d:Title": "Campese, Mike", "d:Description": "Official site of the guitarist. Includes a biography, tour dates, MP3 samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.mikecampese.com/"} +{"d:Title": "Castigate", "d:Description": "Extreme brutal death band from New York City. Sound clips, pictures, shows and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/ny5/castigate"} +{"d:Title": "Chasar", "d:Description": "Information resource for cult-interest New Wave of British heavy metal band includes pictures, press archive, and CD reissue details.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chasaronline.tripod.com/"} +{"d:Title": "Cranium", "d:Description": "Soul-searching metal band from India. Contains history, tour dates, lyrics, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cranium.faithweb.com/"} +{"d:Title": "Croquet Killers", "d:Description": "Colorado punk band; includes member profiles, news, MP3s, show reviews,", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://croquetkillers.tripod.com/"} +{"d:Title": "Cypher", "d:Description": "An independent rock band. Includes member profiles, pictures, background story, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/ky/sigh4her/"} +{"d:Title": "Concrete Sky", "d:Description": "American New Age rock band from Virginia; features MP3s, lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://concretesky.com/"} +{"d:Title": "Cleare, Mike", "d:Description": "Offers news and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.mickcleare.20m.com/"} +{"d:Title": "California Girls, The", "d:Description": "An all girl band singing their own brand of surf, beach and contemporary music. Features biographies, photographs, song list, recent events, endorsements, cartoons, contact and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecaliforniagirls.bizland.com/"} +{"d:Title": "Charm City Sound", "d:Description": "Biographies, sound clips, and tour schedule for the Baltimore polka band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.charmcitysound.com/"} +{"d:Title": "Cochon Bleu", "d:Description": "A Cajun band from the Netherlands. Contact information, band biography, discography, song samples, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cochonbleu.nl/"} +{"d:Title": "Celtic Horizon", "d:Description": "Folk duo performing Scottish and Irish music. Includes history, repertoire, gig guide and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://celtichorizon.tripod.com/"} +{"d:Title": "Cosmic Mercy", "d:Description": "Includes a biography, gig dates, pictures, lyrics and audio/video samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cosmicmercy.com/"} +{"d:Title": "Climax Blues Band", "d:Description": "Contains history, member profiles, discography, gig guide, news and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://climaxbluesband.com/"} +{"d:Title": "Cash, Tommy", "d:Description": "Biography and booking information for the country singer and brother of Johnny Cash.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.tommycash.com/"} +{"d:Title": "Castle, Jeremy", "d:Description": "Blanchard, Oklahoma-based traditional country music recording artist and songwriter. Features biography, pictures and list of recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/ok2/jeremycastle/"} +{"d:Title": "City Moon", "d:Description": "Country music band from Oklahoma. Includes reviews, tour dates, audio, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.citymoon.net/"} +{"d:Title": "Cashen, Ralph", "d:Description": "Country singer-songwriter from Ontario. Album information, sound samples, pictures, appearance schedule and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www3.sympatico.ca/ralphcashen/home.html"} +{"d:Title": "City of Cambridge Brass Band", "d:Description": "Information and history about this British brass band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cambridgeband.co.uk/"} +{"d:Title": "Courtney, David and Chandrakantha", "d:Description": "A husband and wife team who perform traditional North Indian music.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chandrakantha.com/"} +{"d:Title": "Chameleon", "d:Description": "Groove jazz funk and blues group. Site contains audio clips, photos, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.jpj.net/chameleon/"} +{"d:Title": "Coryell, Larry", "d:Description": "Biography, discography, photos, forum, shopping, tour dates, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.kiosek.com/coryell/"} +{"d:Title": "Count Rocula", "d:Description": "Electronic music producer with a bent towards drum and bass. Audio samples, loops, show dates, biography, bulletin board and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://countrocula.com/"} +{"d:Title": "Cecilia", "d:Description": "Norwegian classical singer. News, biography, pictures and discography with sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.ceciliasings.com/"} +{"d:Title": "Carol, Brenda", "d:Description": "Contemporary jazz and progressive vocalist from Canada. Audio clips, photographs, CDs, tour, reviews, and information on vocal lessons.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.brendacarol.com/"} +{"d:Title": "Clark, Gene", "d:Description": "Fan site for the musician and co-founder of the Byrds includes links, song archive, music sources, photographs and festival news.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.geneclark.com/"} +{"d:Title": "Curtis, Chris", "d:Description": "Fan club information and pictures of the rhythm and blues and soul singer based in Florida.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chriscurtis.tvheaven.com/"} +{"d:Title": "Con Demek", "d:Description": "Rhythmic, chaotic, Industrial Noise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.harshhouse.com/"} +{"d:Title": "Curb Dogs", "d:Description": "Hardcore punk band from Zurich, Switzerland. Gig schedule, biography, discography, photographs, flyer gallery, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.curbdogs.ch/"} +{"d:Title": "Carewe, Mary", "d:Description": "UK singer. Biography, pictures, album information and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.marycarewe.com/"} +{"d:Title": "Campi, Ray", "d:Description": "Official site for the rockabilly musician includes biography, album information, show dates, pictures and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.electricearl.com/campi.html"} +{"d:Title": "Custom Caravans", "d:Description": "The Caravans are a surf/garage rock band from Laramie, Wyoming. The official site has MP3s, photos, articles, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/wy/customcaravans/"} +{"d:Title": "Carvelli, Billy", "d:Description": "California singer. Biography, news, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.carvelli.com/"} +{"d:Title": "Covington, Julie", "d:Description": "Fan site for the British singer includes chronology and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thebeautifulchanges.co.uk/"} +{"d:Title": "Clan Dyken", "d:Description": "World rock activist band with blues and jazz fusion influences. Includes overview, lyrics, MP3s and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.clandyken.com/"} +{"d:Title": "Cactus Pears", "d:Description": "Music samples, biography, pictures, and schedule for the Athens, Ohio group.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cactuspears.com/"} +{"d:Title": "Clark, Anni", "d:Description": "She has been singing from Maine to Texas for over 18 years. Show dates, discography, reviews and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://anniclark.com/"} +{"d:Title": "Crystal Projects, The", "d:Description": "Includes samples, videos, pictures. [English and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.tcpro.de/"} +{"d:Title": "Chiller Twist", "d:Description": "Melodic techno duo; contains complete discography with free MP3 previews, downloads and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chillertwist.com/"} +{"d:Title": "Centrozoon", "d:Description": "Electronic improvisational group based in Germany. Includes news, member profiles and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.centrozoon.de/"} +{"d:Title": "Chapter 11", "d:Description": "Official web site for the Central Indiana band includes pictures, member profiles, sound clips and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chapter11.org/cpage1.htm"} +{"d:Title": "Comrade Jack", "d:Description": "Sounds, pictures, biographies, lyrics and gigs from the punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/or2/comradejack/"} +{"d:Title": "Collide", "d:Description": "Driving industrial soundtracks fueled by technotic beats, grinding guitars and ethereal female vocals.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.collide.net/"} +{"d:Title": "Caloon", "d:Description": "Underground alternative rock band from Zurich. News, tour dates, contact information, MP3s and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.caloon.ch/"} +{"d:Title": "Carnage Core", "d:Description": "Manchester, New Hampshire band mixing metal, rap, punk, and hardcore. Biographies, frequently asked questions, gigs, pictures and lyric explanations.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/nh/CarnageC0re/Main.html"} +{"d:Title": "Clayton, Justin", "d:Description": "Fan site for the alternative British rocker, previously lead guitarist for Julian Lennon.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://jcsimplicity.tripod.com/"} +{"d:Title": "Cage", "d:Description": "Art-pop/rock band based in Dayton, Ohio. Biography, discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.bigbeef.com/cagebeef.htm"} +{"d:Title": "Channel Three", "d:Description": "Official site of the '80s punk band. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chthree.com/"} +{"d:Title": "Clay People", "d:Description": "Dedicated to the Albany band. Includes concert photos, member information and cover art.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://hyde1313.tripod.com/PARIAH1313/"} +{"d:Title": "Continental Drifters", "d:Description": "Official band site with news, tour dates, merchandise, history. Roots-rock band featuring former members of the Bangles, dB's and Dream Syndicate.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.continentaldrifters.com/"} +{"d:Title": "Caplan, Linda \"Kak\u00f5\"", "d:Description": "Koto master from Canada. Credentials, information about the instrument and its music.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.lindacaplan.com/"} +{"d:Title": "Companhia das Musicas", "d:Description": "Group based in New Jersey playing Portuguese music with traditional instruments. Show dates, biographies, sound files, and photo album.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/nj2/cmusicas/"} +{"d:Title": "Crosshill", "d:Description": "Austrian rock and blues duo. Includes a history and WAV files.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://members.aon.at/wfuchs/"} +{"d:Title": "Cool Waters Band, The", "d:Description": "Latest news, concert dates, reviews and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.coolwatersband.com/"} +{"d:Title": "Chemystry Set", "d:Description": "San Francisco band mixing funk, jazz, rock, folk, Zairian rhumba, Kraut-rock and Latin rhythms. Includes show dates, biographies, sound files and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chemystryset.com/"} +{"d:Title": "Chokebore", "d:Description": "Fan site for the Hawaiian band; with articles, photographs, sounds, videos, and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chokebore.net/"} +{"d:Title": "Candy Darlings, The", "d:Description": "Rock band from New York City. Includes photos, shows and press coverage.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband/thecandydarlings/"} +{"d:Title": "Cox, Tina", "d:Description": "Biography, pictures, MP3s, and demo CD information from the Illinois soul singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.tinacox.com/"} +{"d:Title": "Creon", "d:Description": "The synthetic music of C.S. Graves.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/music/creon/"} +{"d:Title": "Casey Dagans, The", "d:Description": "Power pop/punk band from northern Wisconsin. Biography, album art, lyrics, news and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/wi/caseydagans/"} +{"d:Title": "Coral, The", "d:Description": "News, tour information, video and audio clips, biography, press for the Merseyside, England band. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecoral.co.uk/"} +{"d:Title": "Controversy Spark", "d:Description": "Pictures, equipment, pictures and chat from the British band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.controversyspark.0catch.com/"} +{"d:Title": "Curtis, Lesley", "d:Description": "Biography, tour dates and album information for the UK singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.lesleycurtis.co.uk/"} +{"d:Title": "Celtic Elvis", "d:Description": "Satirical folk theater band based in California. Biography, pictures, tour information, RealAudio clips and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.wildplum.org/celticelvis/"} +{"d:Title": "Colville, Eric", "d:Description": "Singer/songwriter based in Massachusetts, U.S. Includes biography, lyrics, MP3 audio, upcoming events, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.ericcolville.com/"} +{"d:Title": "Cribbs, Janie", "d:Description": "Sings the stories of a life well traveled, her beautiful voice creating an atmosphere variously described as a \"warm hug\" and \"music from strength\".", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.janiecribbs.com/"} +{"d:Title": "Cocktail Angst", "d:Description": "Psychedelic jazz quintet from Brooklyn, New York. Includes press, band profiles and history, show dates, lyrics, MP3 downloads, photos, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cocktailangst.com/"} +{"d:Title": "Christopher", "d:Description": "Music, photographs, news, and interviews from the Montreal composer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.comecloser.com/"} +{"d:Title": "Cifelli, Kristin", "d:Description": "Biography, news, audio samples, lyrics, show dates, and photos of the singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.kristincifelli.com/"} +{"d:Title": "Cowan, John", "d:Description": "Rock/gospel/rhythm and blues singer. Biography, tour dates, interview, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.johncowan.com/"} +{"d:Title": "Counterpoint", "d:Description": "Band from the East Bay Area of California. MP3s and cool stuff plus upcoming show information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://counterpointmusic.com/"} +{"d:Title": "Cloud Canis", "d:Description": "Information about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/ut/cloudcanis/"} +{"d:Title": "Contrast, The", "d:Description": "Fan site for the UK band. Includes reviews, gig listings, photos, interviews, links, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecontrast.net/"} +{"d:Title": "CelticSons", "d:Description": "Home of the techno project. Latest releases, RealAudio streams and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.plasmafarm.de/"} +{"d:Title": "Cristian, Manolo", "d:Description": "Includes biography, pictures, lyrics and audio samples of this Italian singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://web.tiscali.it/manolocristian/ukindex.htm"} +{"d:Title": "Ched", "d:Description": "Newfoundland heavy pop-rock group. Biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chedrock.com/"} +{"d:Title": "Clear Us All", "d:Description": "Basement punk band from Logan, Utah. Includes member profiles, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/punk2/fatefultripp/"} +{"d:Title": "Cutthroat", "d:Description": "Punk band for people who like punk.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/pa3/throat/"} +{"d:Title": "Clancy, Liam", "d:Description": "Official site, with information about Liam's projects, studio, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://homepage.tinet.ie/~clan/English/"} +{"d:Title": "Chad", "d:Description": "Official homepage for the Vermont band includes tour information, news and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chadmusic.com/"} +{"d:Title": "Curtis, Catie", "d:Description": "Boston-based folk singer-songwriter. Provides a biography, photos, news, audio samples, and links. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.catiecurtis.com/"} +{"d:Title": "Caretaker", "d:Description": "MP3s and information on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://caretaker.indiegroup.com/"} +{"d:Title": "Crocodile Shop", "d:Description": "Features news, biography, real audio, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crocshop.com/"} +{"d:Title": "Cancerhead", "d:Description": "Biography, discography and MP3s from the Hamilton, Ontario band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/freak/mutantmusic/"} +{"d:Title": "Curtis", "d:Description": "Instrumental guitar music with rock, jazz and blues influences. Free MP3 and Real Audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.curtisguitar.com/"} +{"d:Title": "Crane, Ben", "d:Description": "Western singer, composer and cartoon artist. Includes audio, pictures and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.bencrane.com/"} +{"d:Title": "Cobb, Karen", "d:Description": "Official information source for her new album 'Happy'. Sample tracks and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.karencobb.com/"} +{"d:Title": "Calvin", "d:Description": "A pop/rock band from Edinburgh, Scotland. Band profile, gig dates, a song list, photos, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.calvinmusic.freeservers.com/"} +{"d:Title": "Certain Frank, A", "d:Description": "Electronic dance and lounge ensemble. Sound samples and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.atatak.com/Ecertain.htm"} +{"d:Title": "Copely, Marc", "d:Description": "Singer-songwriter. Audio/video downloads, photos and news from the road. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.marccopely.com/"} +{"d:Title": "Ciari, Claude", "d:Description": "Guitar player with published MIDI and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.guitar-ciari.com/"} +{"d:Title": "Clyne, Roger and The Peacemakers", "d:Description": "News, biographies, pictures, press, tour dates, lyrics, audio and video from the independent band from Arizona.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.azpeacemakers.com/"} +{"d:Title": "Chotaire", "d:Description": "Electronic composer and musician from Germany. Biography, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chotaire.net/"} +{"d:Title": "Castleberry, Sandii", "d:Description": "Bluegrass, acoustic, country and blues artist based in Orange County, California. Performance schedule, biography and ensemble descriptions.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.sandiicastleberry.com/"} +{"d:Title": "Currently Unnamed Band, The", "d:Description": "Official site of The Currently Unnamed Band out of New Milford, CT.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/band/mysteryband/"} +{"d:Title": "Celia", "d:Description": "Swedish duo playing modern pop inspired by drum and bass. Includes general information, mailing list, gigs, pictures and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.celiamusic.com/"} +{"d:Title": "Crazy Mary", "d:Description": "Indie garage band from New York City.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crazymary.net/"} +{"d:Title": "Carbon Boy", "d:Description": "Biography and link to MP3s by the electronic artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.collective.co.uk/carbonboy/"} +{"d:Title": "Cabral, Amandio", "d:Description": "Music of the Cape Verdean composer performed by Larry Dunlap, with RealAudio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://amandio.com/"} +{"d:Title": "Candy Channel", "d:Description": "Information, humour, RealAudio music clips and lyrics from the British indie band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.candychannel.co.uk/"} +{"d:Title": "Crash Kills Four", "d:Description": "A band out of Albuquerque NM. Rock meets Emo. Tales of true experience told in songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://crashkillsfour.4mg.com/"} +{"d:Title": "Clements, Rod", "d:Description": "CD reviews, biography, discography, show dates, pictures, forum and links to the singer-songwriter's bands.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.rodclements.com/"} +{"d:Title": "Chorover, Katya", "d:Description": "Contemporary folk artist from Seattle, Washington. Biography, sound files, show dates, quotes, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.katyachorover.com/"} +{"d:Title": "Cadillac Jones", "d:Description": "Music, biographies, and events from the heavy funk Atlanta band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cadillacjones.com/"} +{"d:Title": "Crucified, The", "d:Description": "The original site for punk/metal band The Crucified.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crucifyd.com/crucified.html"} +{"d:Title": "Crown of Thorns", "d:Description": "Official site for the band, fronted by Jean Beauvoir (ex-Plasmatics). Includes lyrics, photos, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crownofthorns.com/"} +{"d:Title": "Christian, Kim and Dan", "d:Description": "A dynamic accordion duo from the Denver area. CD sales and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.accordions.com/christian/"} +{"d:Title": "Chou, Jay", "d:Description": "Biography and image gallery. At AsiaFinest.com.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.asiafinest.com/chinese/jaychou.htm"} +{"d:Title": "Committed", "d:Description": "Official site maintained by the band themselves.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.committed.org/"} +{"d:Title": "City Lights Orchestra", "d:Description": "Big band of Chicagoland -- a 40-piece orchestra who has entertained countless corporations, associations, and charitable foundations.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.citylightsorchestra.com/"} +{"d:Title": "Capitol K", "d:Description": "Official site includes news, discography and show dates. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.capitolk.com/"} +{"d:Title": "Cotton, Paul", "d:Description": "Lead guitar player for the country rock band Poco. Provides a biography, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/ok2/musiclink/paulcotton.html"} +{"d:Title": "Chasm", "d:Description": "Sound samples, pictures, biography, song subtitles, news, and show dates from the ethnic fusion group.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.sticks-stones.net/"} +{"d:Title": "Carter, Larry", "d:Description": "Improvisational pianist and Celtic musician from Lawrence, Kansas. Pictures, discography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/ks/larrycarter/LC/Music.html"} +{"d:Title": "Christian, James", "d:Description": "Interview about his music and House of Lords.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.melodicrock.com/interviews/jameschristian99.html"} +{"d:Title": "Cat Toast Engine", "d:Description": "Tour dates, pictures and history.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cattoastengine.i8.com/"} +{"d:Title": "Couple of Guys, A", "d:Description": "Live acoustic music in the Greater Toronto area. Available for playing material from the 60's to the 90's at private parties and pub nights.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://webhome.idirect.com/~toddm/2guys.html"} +{"d:Title": "Cotters Bequest", "d:Description": "Pan Celtic band from Australia playing 23 instruments with lush vocals performed in Irish, Scottish, Manx, Welsh and Cornish languages.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.locrian.com.au/country/country.html"} +{"d:Title": "Cuscus", "d:Description": "Official band site with free MP3 downloads of their original brand of rock.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cuscus.com/"} +{"d:Title": "Craver, Mike", "d:Description": "Biography, discography, pictures, press and information on the musicals of this pianist and singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.mikecraver.com/"} +{"d:Title": "Clark, David Antony", "d:Description": "New Zealand-based composer of impressionistic, neo-primal music on the White Cloud label. Includes discography, artist profile, and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://davidantonyclark.com/"} +{"d:Title": "Cerisano, Joe", "d:Description": "Vocalist who has performed with everyone from Bo Diddly to Korn. Includes biography, sound samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cerisano.com/"} +{"d:Title": "Condemned Conception", "d:Description": "Niagara Falls/Buffalo NY area hard rock and thrash metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/rock/condemnedconception/"} +{"d:Title": "CIC", "d:Description": "Unsigned punk band from Courtice, Ontario. Includes MP3s, pictures, biographies, show dates and history.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cicpunk.tripod.com/"} +{"d:Title": "Cluster Pluckers, The", "d:Description": "Blends bluegrass, folk and gospel music. Site offers a biography, MP3 samples and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.clusterpluckers.com/"} +{"d:Title": "Choder, Mick", "d:Description": "Philadelphia area musician whose music has been described as funky folk/rock. Includes biography, music clips, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.mickchoder.com/"} +{"d:Title": "Cloning Einstein", "d:Description": "Smooth new adult contemporary pop music. RealAudio and free MP3s, indluding a contemporary remake of U2's 'I Still Haven't Found What I'm Looking For.'", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cloningeinstein.com/"} +{"d:Title": "Color Theory", "d:Description": "Official site for the electronic piano pop artist features news, discography, sound samples, and publicity photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.colortheory.com/"} +{"d:Title": "Coleman, Deborah", "d:Description": "Blues guitarist and singer providing discography, tour dates, biography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.blindpigrecords.com/artists/Coleman,+Deborah.html"} +{"d:Title": "Cal", "d:Description": "New Age composer from New York; includes biography, shows, sound samples and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.calsmusic.com/"} +{"d:Title": "Casual-T", "d:Description": "Resume, discography, pictures and sound clips from the groove-oriented drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.flatnoseproductions.com/music/index.html"} +{"d:Title": "Carr, Vikki", "d:Description": "Includes schedule, biography, photographs, discography and timeline for the '60s singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.vikkicarr.net/"} +{"d:Title": "Cutting Edge Band, The", "d:Description": "Celtic/folk band from Scotland. Features news, band profiles, discography, lyrics, tours and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cuttingedgeband.com/"} +{"d:Title": "Cardoso, Teco", "d:Description": "Reed player from Brazil.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.brazilianmusic.com/teco/"} +{"d:Title": "Control Group, The", "d:Description": "US pop/rock band. Includes information about the group, gigs, press clips, sample music. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.the-control-group.com/"} +{"d:Title": "Chilly Willy", "d:Description": "News, biography, gigs and contact information for the Belgian blues band. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://users.skynet.be/bk328564/"} +{"d:Title": "Cesto", "d:Description": "Site for the Rochester, Minnesota band features pictures, member profiles, trivia, song list, and a link to MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/mn/cesto/"} +{"d:Title": "Christmas, Art", "d:Description": "Canadian musician, conductor, director and music educator. Contains photographs, biography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.artchristmas.com/"} +{"d:Title": "Crawdaddies, The", "d:Description": "Cajun/Zydeco rhythm band from Baltimore. Offers a biography, photos and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecrawdaddies.com/"} +{"d:Title": "Captured! By Robots", "d:Description": "Sound and video samples, pictures, tour information, press, fan club and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://capturedbyrobots.com/"} +{"d:Title": "Cooley High", "d:Description": "Member profiles, gigs, news and pictures of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/band/cooleyhigh/"} +{"d:Title": "Cartwright, Joan", "d:Description": "Jazz singer, composer, educator and producer. Includes biography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://jazzquest.tripod.com/index.html"} +{"d:Title": "Crazy Rhythm", "d:Description": "Contact information, discography, sound clips and events.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://website.lineone.net/~paul.hares/"} +{"d:Title": "Crack Pipes, The", "d:Description": "Pictures, discography, essays, unreleased tracks and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecrackpipes.com/"} +{"d:Title": "Charming", "d:Description": "Home of the British influenced pop band based in New York, including photos and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://charmingpop.com/"} +{"d:Title": "Crosseyed", "d:Description": "California band that loves the Lord and pursues to share the love of Jesus Christ with everyone through their music.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crosseyed.8k.com/"} +{"d:Title": "Costa, Alaide", "d:Description": "Site of the Afro-Brazilian singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://brazilianmusic.com/alaide/"} +{"d:Title": "Cell Block 9", "d:Description": "Metal band from Addison, Michigan; includes biography, discography, lyrics, fan art, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://vomitt.tripod.com/cb9/"} +{"d:Title": "Crutch, The", "d:Description": "Band member profiles, song list and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/punk2/TheStreets/"} +{"d:Title": "Carrion, The", "d:Description": "MP3s and biography for the metal band based in Grand Rapids, Michigan.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://thecarrionhome.tripod.com/"} +{"d:Title": "Cr\u00fcde, The", "d:Description": "'70s-style punk rock band from Chicago. Includes news, biography, shows, pictures and concert clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/punk2/thecrude/"} +{"d:Title": "Carr, Mike", "d:Description": "Biography, discography, photographs, press and contact information for the UK based jazz organist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.puresource.co.uk/mikecarr/"} +{"d:Title": "Chain Link Faith", "d:Description": "Pictures, events calendar, music downloads and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.idnmusic.com/clf/"} +{"d:Title": "Clinker", "d:Description": "News, profile, gigs, and audio samples from the London band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.clinker.org.uk/"} +{"d:Title": "Chevan, David", "d:Description": "Jewish jazz bassist and jazz historian. Includes information and upcoming events.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chevan.addr.com/"} +{"d:Title": "Capital City Ringers", "d:Description": "Community handbell ensemble from Lansing, Michigan. Includes concert dates, recording information, profiles, photographs and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.capitalcityringers.com/"} +{"d:Title": "Crasha", "d:Description": "Rock band from the UK. Includes information, biography, MP3, photos and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/rock/crasha/"} +{"d:Title": "Condiments, The", "d:Description": "Seattle indiepop band compared to Velocity Girl and Superchunk. Shows, discography and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.indiepages.com/condiments"} +{"d:Title": "Counterpart", "d:Description": "A local band from Michigan that is crunching out all the new sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/rock2/cp/"} +{"d:Title": "Color Green,The", "d:Description": "Los Angeles rock band featured in the movie \"Girl\". News, biography, MP3s, pictures, tour information and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://thecolorgreen.dmusic.com/"} +{"d:Title": "Crystal Ball", "d:Description": "Melodic/hard rock group from Switzerland; includes biography, pictures, tour dates, press, discography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crystal-ball.ch/"} +{"d:Title": "Capital", "d:Description": "From Columbia, South Carolina. Includes schedule, photos and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband/capital/"} +{"d:Title": "Crankbait", "d:Description": "Hardcore metal band. Includes news, lyrics, fan club, biographies, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/band/crankbait/index.html"} +{"d:Title": "Cleeshay", "d:Description": "British band playing rock music from the 1950s to the present. Includes member profiles, equipment list, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/band/cleeshay/"} +{"d:Title": "Coincidence", "d:Description": "Grunge band from Tennessee. Features news, biographies and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://coincidence99.tripod.com/"} +{"d:Title": "Chiaravalle, Bernie", "d:Description": "Nashville-based singer-songwriter. News, biography, tour dates, lyrics, discography, pictures and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.berniechiaravalle.com/"} +{"d:Title": "Calhoun, Andrew", "d:Description": "Index of links related to this Illinois singer-songwriter and founder of Waterbug Records.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.folklib.net/index/c/calhoun_andrew.shtml"} +{"d:Title": ".COM", "d:Description": "Playlist, member profiles, pictures, tour dates from the Maine band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband/dotcomband/"} +{"d:Title": "Colgate, Bill", "d:Description": "Roots rock singer-songwriter. Biography, album information, songs in development and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.billcolgate.com/"} +{"d:Title": "Clazzical Saxophone Quartet", "d:Description": "Pictures, history, reviews, gigs and contact information for the Southern New Jersey/Philadelphia area jazz group.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://clazzicalsaxquartet.tripod.com/"} +{"d:Title": "Caruso, Jim", "d:Description": "Reviews, articles, biography and scrapbook for the musical theater performer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.jim-caruso.com/"} +{"d:Title": "Curtain Society, The", "d:Description": "Includes tour dates, photographs, discography and merchandise for this pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.curtainsociety.com/"} +{"d:Title": "Candy-Ass Pansy Bitches, The", "d:Description": "Death-metal band from North Carolina. Pictures, lyrics, show dates, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/rock/candypansy/"} +{"d:Title": "Chicago Didjeridu Chorus", "d:Description": "Tribal instrument ensemble. Member biographies, Real Audio samples, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://home.earthlink.net/~tporcelli/"} +{"d:Title": "Crunch", "d:Description": "Pictures, lyrics, sound and video clips, news, fanzine information, discography and reviews for the UK band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crunch.uk.com/"} +{"d:Title": "Carrillo, John", "d:Description": "Singer-songwriter based in Orange County, California. Includes gigs, MP3s, news, pictures, biography and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.johncarrillo.com/"} +{"d:Title": "Camplin, Bill", "d:Description": "Index of links related to the singer-songwriter and owner of the Cafe Carpe folk venue in Fort Atkinson, Wisconsin.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.folklib.net/index/c/camplin_bill.shtml"} +{"d:Title": "Clement, Robbie", "d:Description": "An index of links related to this Wisconsin singer-songwriter and owner of the Tomorrow River Music record label.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.folklib.net/index/c/clement_robbie.shtml"} +{"d:Title": "Cotten, Elizabeth", "d:Description": "Index of links related to the late singer-songwriter, guitarist and composer of \"Freight Train\".", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.folklib.net/index/c/cotten_elizabeth.shtml"} +{"d:Title": "Crystal River Trio", "d:Description": "An index of links related to this Wisconsin folk group.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.folklib.net/index/c/crystal_river.shtml"} +{"d:Title": "Cullen, David", "d:Description": "An index of links related to this fingerstyle acoustic guitar instrumentalist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.folklib.net/index/c/cullen_david.shtml"} +{"d:Title": "Confusion Zero", "d:Description": "Pop-hardcore punk band from Savannah, GA. Offers pictures, lyrics and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/boybands/confusionzero/index.html"} +{"d:Title": "Colionne, Nick", "d:Description": "Combining jazz with rhythm and blues and funk. Offers photos, biography, upcoming events and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.nickcolionne.com/"} +{"d:Title": "ConMoto", "d:Description": "Funk/jazz/pop band from Norwich. Gig listings, biographies, MP3s and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/band/conmoto/"} +{"d:Title": "Ciego Kaos", "d:Description": "Five-piece thrash band from La Puente, California.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://ciegokaos.tripod.com/CIEGOKAOS/"} +{"d:Title": "Chubby Bunny", "d:Description": "Official site of the circus metal band based in Salinas, California. Includes biography, sound samples, show dates and images.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chubbybunnyband.tripod.com/"} +{"d:Title": "Cox, Doug", "d:Description": "Canadian steel and slide guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.dougcox.org/"} +{"d:Title": "Combs, Daniel", "d:Description": "Emo-alternative Christian artist. Includes a biography, news, booking information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://danielcombs.faithweb.com/"} +{"d:Title": "Crisis", "d:Description": "UK punk band that was the starting point for Death In June founders Douglas Pierce and Tony Wakeford. Discography, sounds, photos, links, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.deathrock.com/crisis/"} +{"d:Title": "Corruption Inc.", "d:Description": "Official homepage of the metal band from the land of the thousand lakes, Finland.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/extreme/corruptioninc/"} +{"d:Title": "Campbell, Scott", "d:Description": "Samples, lyrics, photos, news.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.neighborhoodvalues.com/nv1/sc/Default.htm"} +{"d:Title": "Camacho, Jim", "d:Description": "Miami singer-songwriter. Press, profile, calendar, audio, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.jimcamacho.com/"} +{"d:Title": "Cross Breed", "d:Description": "Four member punk band from Osahawa. Includes lyrics, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://crossbreed__1.tripod.com/CrossBreed.html"} +{"d:Title": "Cidy Zoo", "d:Description": "Michigan guitarist Bill Toll's group; features reviews, samples, biographies and information about the band and performance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cidyzoo.com/"} +{"d:Title": "Crap-O-Phonic", "d:Description": "Indie lo-fi band from Pennsylvania. Album information, sound samples and studio log.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband2/crapophonic/garypages/newhome.html"} +{"d:Title": "Chaski", "d:Description": "Latin American folk trio based in Austin; site features concert schedule, mailing list, biography, pictures, reviews and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chaskimusic.com/"} +{"d:Title": "Crifasi, October", "d:Description": "Official site of the singer-songwriter and performer includes gigs, workshops, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.rocktober.org/"} +{"d:Title": "Cruz, Edgar", "d:Description": "Biography, discography, tour dates and tablature from the Oklahoma acoustic guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.edgarcruz.com/"} +{"d:Title": "Cryptofobia", "d:Description": "Belgian hardcore jump house techno trance beat bass group. Includes member profiles, equipment list, sound samples, news and history.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cryptofobia.tripod.com/"} +{"d:Title": "Camen, Patricia", "d:Description": "Pop, soul and rhythm and blues singer from Switzerland. Includes news, sound samples, lyrics and image gallery. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.patricia-camen.com/"} +{"d:Title": "Clarke, Preston", "d:Description": "New York singer-songwriter performing jazz-infused Americana rock. Biography, shows and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.prestonclarke.net/"} +{"d:Title": "Crytes, Stephane", "d:Description": "Press clips, discography and pictures from the Canadian experimental jazz drummer. [English and French.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www3.sympatico.ca/stephcrytes1"} +{"d:Title": "Cul-de-sac", "d:Description": "Improvisational group from Croatia with electronic, free jazz and noise elements. Biography, pictures, discography, calendar and audio clips. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/music/CuldeSac/"} +{"d:Title": "Copse Rd.", "d:Description": "News, history, gigs and pictures from the UK rock quartet.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.copserd.8k.com/"} +{"d:Title": "Cafe Orchestra", "d:Description": "Performance information, biographies and history from the contemporary Irish ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cafeorchestra.com/"} +{"d:Title": "Canning, Barry", "d:Description": "Newfoundland-based singer/songwriter/producer. Biography, news, schedule, reviews, album information and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.barrycanning.com/"} +{"d:Title": "Chambers, Bill", "d:Description": "Fan page for the Australian country musician includes biography, press, pictures, awards and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.billchambers.4t.com/"} +{"d:Title": "Christopher, Shane", "d:Description": "Dayton, Ohio pop/rock artist. Information, discography, pictures, MP3s and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.shanechristopher.8m.com/"} +{"d:Title": "Coventry Road", "d:Description": "Funky alternative band from New Jersey. Schedule, news, pictures and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.coventry-road.com/"} +{"d:Title": "Coldstream", "d:Description": "Christian metal band from York, Pennsylvania; includes biography, shows and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.coldstream.bravepages.com/"} +{"d:Title": "Cosmic Wheels, The", "d:Description": "Tour dates, MP3s and contact information from the jam band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/retro/cosmicwheels/"} +{"d:Title": "Celt, Jan", "d:Description": "Biographical and professional information about the Oregon blues artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://home.teleport.com/~flyheart/jancelt.htm"} +{"d:Title": "Cortes, John and Digital Ambiance", "d:Description": "Biography, booking and contact information for the jazz saxophonist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.montereymusic.com/jcortes.html"} +{"d:Title": "Chet Ripley", "d:Description": "Emo/pop/punk/grunge band from Suffield, Connecticut. Includes news, tour dates, biography, equipment list and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chetripley.4t.com/"} +{"d:Title": "Clewer, Janey", "d:Description": "Los Angeles-based singer-songwriter. Album information, biography, pictures, news and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.janeyclewer.com/"} +{"d:Title": "Corrupt Dollhouse", "d:Description": "Member profiles, lyrics, gigs and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/band2/corruptdollhouse/"} +{"d:Title": "Cowette, Cathy", "d:Description": "Maine singer/guitarist; MP3s, press and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cathycowette.com/"} +{"d:Title": "Caffeine Kid", "d:Description": "Utah punk rock band with a slice of emo. Pictures, profiles, show dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/boybands/caffeinekid/TheMainPage.html"} +{"d:Title": "Catfish Honeymoon", "d:Description": "Washington, D.C.-based band playing alt-country, Americana and roots music. News, gigs, pictures, profiles, mailing list and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.catfishhoneymoon.com/"} +{"d:Title": "Crowsong", "d:Description": "San Francisco band combining acoustic blues of Howling Wolf with the swirling unpredictability of Ornette Coleman. Show dates, MP3s, press, member profiles and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crowsong.com/"} +{"d:Title": "C.O.N. 82", "d:Description": "Biographies, news, lyrics and events for the punk rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/band2/con82/"} +{"d:Title": "Catamenia", "d:Description": "Finnish black metal band. Biography, discography, lyrics, pictures, sound samples, tour dates and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.catamenia.net/"} +{"d:Title": "Curse", "d:Description": "Official site for the dark-tinged rock band based in New York with biography, news, photos, and free MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.curseonline.com/"} +{"d:Title": "Cooper, Jessie Allen", "d:Description": "Soft jazz/ambient musician based in Santa Monica, California. Biography, album information and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cooperarts.com/Csw.index.shtml"} +{"d:Title": "Clouds, The", "d:Description": "Discography, lyrics and reviews for the Australian band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.amws.com.au/clouds/"} +{"d:Title": "Closure", "d:Description": "News, biography, song descriptions and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.closure.8k.com/"} +{"d:Title": "Chaos Waiting", "d:Description": "Mainstream and heavy-blues rock band from Danville, Kentucky. News, biographies, lyrics, show dates and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/rock3/chaoswaiting/"} +{"d:Title": "Coulter, Nicholas; and Graham Hargrove", "d:Description": "Includes biographies, images, and liner notes.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://members.shaw.ca/ncoulter/welcome.html"} +{"d:Title": "Calima", "d:Description": "Hardcore band from Long Island. News, biography, pictures, lyrics and show dates. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://calimahxc.tripod.com/"} +{"d:Title": "Course of Nature", "d:Description": "Fan site for the Alabama-based hard rock band with news, audio and video links, and show reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://karen76118.tripod.com/"} +{"d:Title": "Chipper Dove", "d:Description": "Biographies, gigs and MP3s from the New Jersey rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chipper_dove.tripod.com/"} +{"d:Title": "Cheap Plastic Soldier", "d:Description": "Band from Springfield, Massachusetts. Member profiles and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/mac/cheapplasticsoldier/"} +{"d:Title": "Cast in Bronze", "d:Description": "Biography, history, mailing list, discography and performance schedule for the carillon-centered ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.castinbronze.com/"} +{"d:Title": "Changes", "d:Description": "Album information and news from the folk ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.highfiber.com/~thermite/changes1.html"} +{"d:Title": "Cartsonis, Matt", "d:Description": "Los Angeles musician and composer; includes timeline, projects and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.mattcartsonis.com/"} +{"d:Title": "Common Enemy", "d:Description": "Hardcore/punk band from Reading, Pennsylvania. News, show dates, pictures, MP3s, lyrics and street army information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.commonenemy2000.com/"} +{"d:Title": "ChristPunchers, The", "d:Description": "Show dates, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thechristpunchers.com/"} +{"d:Title": "Cryostasis", "d:Description": "German trance project; overview and MP3s. [German and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cryostasis.de/"} +{"d:Title": "Cajun Strangers, The", "d:Description": "Cajun band based in Madison, Wisconsin. Member profiles, show dates, MP3s and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cajunstrangers.com/"} +{"d:Title": "Caffreys, The", "d:Description": "History, gig guide, reviews, news and gossip for the British band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecaffs.com/"} +{"d:Title": "Carlson, Jim", "d:Description": "Photos, biography, tour dates and booking information for the central Wisconsin singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.jimcarlsonmusic.com/"} +{"d:Title": "Chrome", "d:Description": "Biographies, song downloads, news and gallery from the electro-pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chromosoniq.com/"} +{"d:Title": "Curio", "d:Description": "\"Art-progfunkal\" band from Essex, UK. News, MP3s, pictures, history and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.curio.s5.com/"} +{"d:Title": "Cepeda, Ray", "d:Description": "Latin independent artist; liner notes, audio samples, pictures and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.worldrocker.com/"} +{"d:Title": "Ciccone, Don", "d:Description": "Singer, songwriter and recording artist; includes history, news and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.donciccone.com/"} +{"d:Title": "Common Addiction", "d:Description": "Punk rock trio; includes pictures, lyrics and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/punk3/commonaddiction/"} +{"d:Title": "Cintron, Ignacio", "d:Description": "Includes biography, audio, photos, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://ignacioproductions.tripod.com/"} +{"d:Title": "Circle of Willis", "d:Description": "Alternative gospel space funk group from Australia. Biography, sound clips, pictures, lyrics, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://members.optusnet.com.au/gekster/"} +{"d:Title": "Cocktail Revisionists", "d:Description": "Seattle, Washington alt-rock band. Biography, news, reviews, calendar, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cocktailrev.com/"} +{"d:Title": "CyberChump", "d:Description": "Information, discography, MP3s and samples from the electro-organic groove band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.joyfarm.com/cyberchump.htm"} +{"d:Title": "Christie, Angella", "d:Description": "Biography, frequently asked questions, discography, sound samples, itinerary and booking information for the Christian saxophonist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angellachristie.com/"} +{"d:Title": "Conflict of Interest", "d:Description": "Metal band from Bitburg, Germany. From MP3.com.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.coi69.itgo.com/"} +{"d:Title": "Cryptomnesia", "d:Description": "The official site for the metal trio. News, biography, press, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/de/cryptomnesia/"} +{"d:Title": "Carlile, Brandi", "d:Description": "Seattle-based singer; biographies, pictures, schedule, album details, MP3s, lyrics and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.brandicarlile.com/"} +{"d:Title": "Clark Eno Orchestra, The", "d:Description": "New York-based ensemble available for special events; biography, song list, reviews, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.clarkenoorchestra.com/"} +{"d:Title": "Cold, The", "d:Description": "Fan site for the '80s New Orleans pop band includes history, news, MP3s, articles, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.threechordcity.com/"} +{"d:Title": "Calliope", "d:Description": "History, pictures, MP3 music files and contact information for the Italian progressive rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.calliopeonline.it/cal01e.htm"} +{"d:Title": "Crabb, Kemper", "d:Description": "Musician whose styles include medieval, Celtic, hard rock and praise. Includes biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://magnatune.com/artists/kemper_crabb"} +{"d:Title": "Chen, Edison", "d:Description": "Fan forum and e-mail list.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://groups.yahoo.com/group/EddieCsLounge/"} +{"d:Title": "Conway, Brian", "d:Description": "New York-based sligo fiddler playing traditional Irish tunes. Biography, album and song information, and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.brianconway.com/"} +{"d:Title": "Cathartic Lodge", "d:Description": "Welsh concept band; forum, MP3s, lyrics and fan mail.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.catharticlodge.co.uk/"} +{"d:Title": "Container Drivers, The", "d:Description": "Official website for the UK indie band. Includes news, history, tour dates, discography and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.containerdrivers.co.uk/"} +{"d:Title": "Coppola, Michael", "d:Description": "Official site of the jazz guitarist offers a biography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://9string.com/"} +{"d:Title": "Cornelius", "d:Description": "Japanese electronic artist, real name Keigo Oyamada. Biography, discography, audio and video files, and photos from his US record label.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.matadorrecords.com/cornelius/"} +{"d:Title": "Cuir Bleu", "d:Description": "Oakland, California-based band; includes calendar, MP3 links and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cuirbleu.com/"} +{"d:Title": "Carter the Unstoppable Sex Machine", "d:Description": "News, discography, gig list, history, pictures, lyrics, chords and frequently asked questions from the '90s UK indie band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.carterusm.co.uk/"} +{"d:Title": "Cooper, Rick", "d:Description": "Biography, pictures, performance dates and information on lessons from the Seattle-based guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.rickcooperband.com/"} +{"d:Title": "Courtesy of Nothing", "d:Description": "New Jersey band influenced by Deftones, Tool, Mudvayne and Taproot. Biography, shows, pictures, street team and link to MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://courtesyofnothing.tripod.com/"} +{"d:Title": "Concept 7", "d:Description": "South London-based industrial techno group; news, discography, MP3s, videos, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.earthspike.com/artists/concept-7/html/index.htm"} +{"d:Title": "Charlie and The Bhoys", "d:Description": "Gig guide, lyrics and quiz for the Celtic band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.charlieandthebhoys.co.uk/"} +{"d:Title": "Coy, Dan", "d:Description": "Jazz guitarist from Atlanta, Georgia. Biography, sound clips and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://dancoy.com/"} +{"d:Title": "Crystal Ecstasy", "d:Description": "Finnish glam-rock band. Gigs, news, lyrics, pictures, discography and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://crystalextasy.com/"} +{"d:Title": "Cape", "d:Description": "Rock/indie band from London, England. Features information, news, show dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.capeonline.co.uk/"} +{"d:Title": "Chapman, Amee and the Velvet Tumbleweeds", "d:Description": "Sagebrush soul and Americana group based in Northern California. Includes biography, tour dates and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.ameechapman.com/"} +{"d:Title": "Ciani, Suzanne", "d:Description": "Electronic musician and composer based in California; includes biography, pictures, discography, video and sound clips, interviews and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.sevwave.com/"} +{"d:Title": "Carl", "d:Description": "Biography, discography, news and pictures from the Australian band formerly named 2INS.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://users.tpg.com.au/users/pangster/"} +{"d:Title": "Cruz, Joe and Tracey", "d:Description": "North Carolina-based singer-songwriters and worship leaders. Audio samples, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cruzsongs.com/"} +{"d:Title": "Critical Mass", "d:Description": "Biography, discography and MP3s from the San Francisco Bay area ska band.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.critical-mass.com/"} +{"d:Title": "Contours, The", "d:Description": "Motown band known for \"So You Love Me\" which was included on the Dirty Dancing movie soundtrack. Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.history-of-rock.com/contours.htm"} +{"d:Title": "Common", "d:Description": "Rapper from Illinois. Chat room, biography, news, fan club, merchandise, and video clip.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.common-music.com/"} +{"d:Title": "Chadbourne, Eugene", "d:Description": "News, tour dates, discography, history and streaming audio from the avant jazz/rock/punk/folk artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.eugenechadbourne.com/"} +{"d:Title": "Charles, Michael", "d:Description": "Australian blues/rock singer-songwriter; includes MP3s, biography, discography, current projects, related works and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.michaelcharles.us/"} +{"d:Title": "Clapp, Larry", "d:Description": "Concert and recording artist, pianist and composer; has studied at Juilliard School of Music and has been in international competitions.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.larryclapp.com/"} +{"d:Title": "Commodores Big Band, The", "d:Description": "Seventeen-piece band in Victoria, British Columbia, specializing in big band and ballroom dance music. History, repertoire, recordings, calendar and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://commodoresbigband.com/"} +{"d:Title": "Colourtide", "d:Description": "News, history, upcoming events, sound files, lyrics, and CD information for the band from Co. Cork, Ireland.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.tidalsound.com/ct_site/"} +{"d:Title": "Clayton, Patty", "d:Description": "Performs original ballads and \"borrowed\" songs about the West. Includes biography, calendar and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.pattyclayton.com/"} +{"d:Title": "Crash Motive, The", "d:Description": "Official site of band from Delaware playing a mixture of rock and electronica. Includes biography, MP3 samples, show dates, pictures, forum, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://thecrashmotive.com/"} +{"d:Title": "Childish, Billy", "d:Description": "Full discographies of all of Childish's bands, such as Headcoats, Headcoatees, Mighty Caesars, Milkshakes, Pop Rivets, Del Monas, Holly Golightly and The Buff Medways. Also includes photos and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.billychildish.com/"} +{"d:Title": "Caruso, Dave", "d:Description": "Streaming audio and video, lyrics, albums and singles, photographs, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.davecarusoweb.com/"} +{"d:Title": "Carlson, Katrina", "d:Description": "Alternative singer-songwriter based in Los Angeles. Biography, journal, album information, gigs, press and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.katrinacarlson.com/"} +{"d:Title": "Cleary, Jan", "d:Description": "Independent neo-folk/rock artist based in New York City. Includes biography, pictures and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.jancleary.com/"} +{"d:Title": "Chapin, Tom", "d:Description": "Musician, singer-songwriter and storyteller. News, schedule, discography, audio and video clips, FAQs, press, and band profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.tomchapin.com/"} +{"d:Title": "Chris T-T", "d:Description": "English singer/songwriter based in London and Brighton. Offers news, tour information, discography, lyrics, forum, mailing list and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://christt.com/"} +{"d:Title": "Crimzon Roze", "d:Description": "Classic/heavy alternative rock band from Kansas. Includes sound clips, pictures and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/music4/crimzonroze"} +{"d:Title": "Cynic", "d:Description": "Official site includes news, tablature, lyrics, articles and information on members' current activities.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cyniconline.com/"} +{"d:Title": "Cardier, Glenn", "d:Description": "Official site of the Australian singer-songwriter and guitarist with gigs, tours and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.glenncardier.com/"} +{"d:Title": "Catharsis", "d:Description": "Christian grindcore band based in central Florida. Biographies, shows, MP3s, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://amightyfortress.tripod.com/default.html"} +{"d:Title": "Campbell, John", "d:Description": "Tribute to the late bluesman with interview, biography and album covers.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://fraz2.tripod.com/"} +{"d:Title": "Cuttle, Jeff", "d:Description": "Jesus-driven guitar-rock'n Christian Contemporary.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://jeffcuttle.tripod.com/index.html"} +{"d:Title": "Cavalier Consort", "d:Description": "Virginia-based ensemble specializing in Renaissance and Baroque music. Includes biography, performance schedule and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cavcons.tripod.com/"} +{"d:Title": "Chicken Spleen", "d:Description": "Official site of the band from Christchurch, New Zealand. Includes news, biographies, music, pictures and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chickenspleen.tripod.com/"} +{"d:Title": "Cavallaro, Maye", "d:Description": "Jazz/cabaret singer; includes sound samples, biography, calendar, reviews and information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.redheadmusic.com/"} +{"d:Title": "Chillin' with Marcello", "d:Description": "Independent band from Windsor, Canada, playing everything from folk to funk. With background, biographies, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chillinwithmarcello.tripod.com/"} +{"d:Title": "Cleaning Ladys, The", "d:Description": "Chicago area band known for their humorous pop-rock and radio music-talk show \"Needle Drop.\" RealAudio and MP3 clips, news, member profiles, history and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cleaningladys.blogspot.com/"} +{"d:Title": "Chez Jay", "d:Description": "An acoustic group featuring an Irish singer-songwriter and a French harmonica player. Biographies, concert dates, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chezjay.chez.com/chezjayuk.html"} +{"d:Title": "Cadillac Cowboys, The", "d:Description": "Dallas/Fort Worth country and western band; includes member profiles, upcoming show information, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cadcow549.tripod.com/"} +{"d:Title": "Calabrese, Aldo", "d:Description": "Official site of the country/rockabilly/rhythm and blues recording artist. With biography, background, discography, music clips, pictures, and events.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.aldocalabrese.com/"} +{"d:Title": "Cui Jian", "d:Description": "Chinese rock star, featuring news, discography with lyrics and sound samples, biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cuijian.com/"} +{"d:Title": "Curtola, Bobby", "d:Description": "Detailed history and images of the Canadian rock and roll singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://bobbycurtola.com/"} +{"d:Title": "Cribs, The", "d:Description": "English indie band's site features news, videos, upcoming and past tour dates, discography and press.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecribs.com/"} +{"d:Title": "Chinadoll", "d:Description": "News, MP3s and general information on the Michigan techno artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://chinadoll.net/"} +{"d:Title": "Charlene", "d:Description": "The official website of singer Charlene Oliver. News, about, music, lyrics and books.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://charlenesongs.com/"} +{"d:Title": "Celtic Woman", "d:Description": "News, tour dates and diary, album details, video and biographies for the all-female Irish ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.celticwoman.com/"} +{"d:Title": "Capsis, Paul", "d:Description": "Show dates, pictures, reviews and curriculum vitae for the Australian cabaret artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.paulcapsis.com/"} +{"d:Title": "Clientele, The", "d:Description": "Official site for the London band, with sound clips, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.theclientele.co.uk/"} +{"d:Title": "Cary, Caitlin", "d:Description": "News, shows, discography, MP3s, pictures and biography for the singer-songwriter and former Whiskeytown member.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.caitlincary.webs.com/"} +{"d:Title": "Crain, Chris", "d:Description": "Biography, information, and sound clips for the Indiana-based Chapman Stick soloist.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.thecrains.net/stick/"} +{"d:Title": "Cylob", "d:Description": "Electronic artist on the Rephlex label. Release and gig news, a discography, an archive and some exclusive MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://cylob.durftal.com/"} +{"d:Title": "Crozier, Bill", "d:Description": "Original songs about science, Peace Corps, existence, work, and such things.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.crodog.org/"} +{"d:Title": "Chief Thomson", "d:Description": "Minimal, elektro and big beat artist. Biography, discography and German-language blog.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.subnoise.ch/"} +{"d:Title": "Cerebellion", "d:Description": "Southern California heavy metal band. News, MP3s, pictures, show information and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cerebellion1.com/"} +{"d:Title": "Caputo, Mina", "d:Description": "Official site for the artist formerly known as Keith Caputo. With news, biography, photographs, MP3 music, tour dates, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.minacaputo.com/"} +{"d:Title": "Cirkus", "d:Description": "Official site of the former rock band based in Raleigh, North Carolina.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cirkusband.com/"} +{"d:Title": "Contrasts", "d:Description": "Chamber quartet based in Birmingham, England. Includes portfolio and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.warwickallen.com/contrasts/"} +{"d:Title": "Cicero", "d:Description": "Information about this Pet Shop Boys protege, including history, biography and chronology.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://myweb.tiscali.co.uk/psbconfidential/cicero.html"} +{"d:Title": "Craik, Jay", "d:Description": "Biography and link to MP3s from the Southern California guitarist and singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.jaycraik.com/"} +{"d:Title": "Coppola, Imani", "d:Description": "Singer-songwriter and member of the group Little Jackie. NEws, pictures, biography and media.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.imanicoppola.com/"} +{"d:Title": "Cain, Chris", "d:Description": "San Francisco Bay-based blues guitarist and vocalist. History, tour dates, discography, articles, chat and interview.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.chriscainmusic.com/"} +{"d:Title": "Crystal Lake", "d:Description": "Biography, discography, news, radio shows and videos for the European hard dance act", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.c-lake.com/"} +{"d:Title": "Curtis, Dave", "d:Description": "Ontario singer-songwriter. Biography, engagements, song stories and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.davecurtissongs.com/"} +{"d:Title": "Chin, Leslie R.", "d:Description": "Singer, songwriter and liturgical musician from Toronto. Recordings, song descriptions, events and bereavement ministry information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://lesliechin.com/"} +{"d:Title": "Controlled Bleeding", "d:Description": "Long Island band's history, discography, news and shows.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.controlledbleeding.com/"} +{"d:Title": "Cosmo", "d:Description": "British folk/punk/hip-hop artist. Biography, audio downloads, gigs, blog, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cosmoguitar.net/"} +{"d:Title": "Carder, Chris", "d:Description": "Christian artist based in Louisville, Kentucky. Concerts, blog, news, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.christophercarder.com/"} +{"d:Title": "Ciancia, Tom", "d:Description": "PIanist and singer in the New Haven, Connecticut, area. Biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://tomciancia.wordpress.com/"} +{"d:Title": "Charice", "d:Description": "Filipina singer's site with news, pictures, videos, fan forum, tour dates, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.charicemusic.com/"} +{"d:Title": "Cave, Brett", "d:Description": "English multi-instrumentalist; show formats, biography, calendar, audio, video and studio services.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.brettcave.co.uk/"} +{"d:Title": "Calvarymen Quartet, The", "d:Description": "Southern gospel group from Michigan. Biographies, pictures, schedule, music samples and media kit.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.calvarymen.com/"} +{"d:Title": "Clarke, Neil", "d:Description": "Percussionist's biography, discography, pictures and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.neilclarke.net/"} +{"d:Title": "Craigenroan Ceilidh Band", "d:Description": "Band with dance caller based in central Scotland. Includes audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.craigenroan.com/"} +{"d:Title": "Carr, T.C.", "d:Description": "Profile, show dates, reviews, and sound files for the Tampa Bay-based harmonica player.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.tccarr.com/"} +{"d:Title": "Capade", "d:Description": "Rock group from Vancouver, Canada. News, tour dates, audio, video, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.capademusic.com/"} +{"d:Title": "C\u00f4r Cochion Caerdydd (Cardiff Reds Choir)", "d:Description": "Activist group from Wales; includes information on events and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.corcochion.org.uk/"} +{"d:Title": "Cotton, Paul", "d:Description": "Guitarist, singer-songwriter and former member of the band Poco. Music clips, journal and archival information.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.paulcotton.com/"} +{"d:Title": "Casey, Paul", "d:Description": "Irish singer-songwriter. News, profile, tour dates, images and discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://paulcaseymusic.com/"} +{"d:Title": "Correo Aereo", "d:Description": "World music duo from the Seattle area; features news, history, pictures, sound samples and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.correoaereo.us/"} +{"d:Title": "Crusaders, The", "d:Description": "Verve Records site for the jazz-funk instrumental band with biography, discography, audio samples and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.vervemusicgroup.com/crusaders"} +{"d:Title": "Callier, Terry", "d:Description": "Biography, picture, audio clips and discography for the guitarist and singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.vervemusicgroup.com/terrycallier"} +{"d:Title": "Cook, Christin", "d:Description": "Audio/video clips, photos and information on dating from the teenage worship leader.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.cthemakeupartist.com/"} +{"d:Title": "Craig, Cathryn and Brian", "d:Description": "Tour dates, contact information, biographies, pictures, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.craigandwilloughby.com/"} +{"d:Title": "Calgary Boys Choir, The", "d:Description": "History, performance schedule, booking and membership information for the Canadian group.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://levendis99.wix.com/calgaryboyschoir"} +{"d:Title": "Carnes, Kim", "d:Description": "Official site. Biography, scrapbook, music, video clips and current projects.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.kimcarnes.com/"} +{"d:Title": "Cat's Whiskers, The", "d:Description": "Barn dance and ceilidh band from England's West Midlands. FAQs, audio samples, biography, events, testimonials and resources for dancers, callers and musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://www.midlandsbarndances.co.uk/"} +{"d:Title": "Cage, Bobby", "d:Description": "Includes biography, CD information, news and photographs for the country singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://bobbycage.weebly.com/"} +{"d:Title": "Clark Sisters, The", "d:Description": "Gospel quartet's site features news, biographies, discography, pictures and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://theclarksisters.net/"} +{"d:Title": "Cleaves, Slaid", "d:Description": "Tour dates, press, lyrics and anecdotes from the Austin singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C", "url": "http://slaidcleaves.com/"} +{"d:Title": "C-Murder News: Topix", "d:Description": "News about C-Murder continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/C-Murder", "url": "http://www.topix.com/who/c-murder"} +{"d:Title": "Brainwashed: Cabaret Voltaire", "d:Description": "Complete and official site with news, discography, interviews and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cabaret_Voltaire", "url": "http://www.brainwashed.com/cv/"} +{"d:Title": "The Mick Sinclair Archive: Cabaret Voltaire", "d:Description": "A live review from Sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cabaret_Voltaire", "url": "http://micksinclair.com/sounds/cabaret.html"} +{"d:Title": "Cabaret Voltaire", "d:Description": "Fan page with sound samples (1987 and newer).", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cabaret_Voltaire", "url": "http://music.hyperreal.org/artists/cabaret_voltaire/"} +{"d:Title": "TrouserPress.com - Cabaret Voltaire", "d:Description": "Discography, commentary about the band as well as reviews of their musical recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cabaret_Voltaire", "url": "http://www.trouserpress.com/entry.php?a=cabaret_voltaire"} +{"d:Title": "Kevin Cadogan", "d:Description": "Official site with news, discography, audio and video clips, pictures, press and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cadogan,_Kevin", "url": "http://www.kevincadogan.com/"} +{"d:Title": "Perfect View", "d:Description": "Lyrics and sound clips from the album Charlotte Caffey recorded with her second band, The Graces.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Caffey,_Charlotte", "url": "http://www.musicfanclubs.org/meredithbrooks/graces.html"} +{"d:Title": "Joel Cage Web Page and Philosophy", "d:Description": "Dedicated to the life, times, thoughts, philosophies and music of the acoustic song-stylist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cage,_Joel", "url": "http://www.joelcage.com/"} +{"d:Title": "Cake Official Site", "d:Description": "Includes pictures, discussion boards, discography and their store.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake", "url": "http://www.cakemusic.com/"} +{"d:Title": "Cake", "d:Description": "News and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake", "url": "http://members.tripod.com/~BluesHockey/cake.html"} +{"d:Title": "MTV.com: Cake", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake", "url": "http://www.mtv.com/artists/CAKE"} +{"d:Title": "Songsterr: Cake", "d:Description": "Bass tablatures sorted by song title.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake", "url": "http://www.songsterr.com/a/wsa/cake-tabs-a1517?inst=bass"} +{"d:Title": "Metacritic: Cake - Comfort Eagle", "d:Description": "Multiple reviews for the album from an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake", "url": "http://www.metacritic.com/music/comfort-eagle/cake"} +{"d:Title": "CNN: Female trio Cake Like touches music stardom", "d:Description": "Article detailing the band's history and philosophy.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake_Like", "url": "http://www.cnn.com/SHOWBIZ/9712/03/cake.like.lat/"} +{"d:Title": "Vapor Records: Cake Like", "d:Description": "RealVideo clip, information and photographs from the band's record label.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake_Like", "url": "http://www.vaporrecords.com/catalog/a_cakelike.html"} +{"d:Title": "Salon: \"Goodbye, So What\"", "d:Description": "Review of Cake Like's third album. \"On 'Goodbye, So What,' New York trio Cake Like play power pop with sweet and sour kiss-offs.\" [June 14, 1999]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cake_Like", "url": "http://www.salon.com/1999/07/14/cake_like/"} +{"d:Title": "Yahoo! Groups", "d:Description": "Kimberly Caldwell fan club email list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Caldwell,_Kimberly", "url": "http://groups.yahoo.com/group/KimberlyCaldwellFanClub/"} +{"d:Title": "USA Today", "d:Description": "American Idol added four wild-card finalists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Caldwell,_Kimberly", "url": "http://www.usatoday.com/life/television/news/2003-03-05-idol_x.htm"} +{"d:Title": "Kimberly-Caldwell.com", "d:Description": "The official website, including news, video clips, image galleries, and biography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Caldwell,_Kimberly", "url": "http://www.kimberly-caldwell.com/"} +{"d:Title": "Kimberly Caldwell - TVGuide.com", "d:Description": "Kimberly has hosted several TV series and special shows on the TV Guide channel.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Caldwell,_Kimberly", "url": "http://www.tvguide.com/celebrities/kimberly-caldwell/198317"} +{"d:Title": "The Official J.J. Cale Website", "d:Description": "News, biography, discography, pictures and shopping.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_J._J.", "url": "http://www.jjcale.com/"} +{"d:Title": "The Rosebud Agency: J.J. Cale", "d:Description": "Discography, biography, tour dates, and a list of songs that have been covered by other artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_J._J.", "url": "http://www.rosebudus.com/cale/"} +{"d:Title": "J.J. Cale Fan Network", "d:Description": "Discography, music and video downloads, listing of cover versions of his songs, and fan discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_J._J.", "url": "http://www.jjcale.net/"} +{"d:Title": "Philm Freax Digital Archive: John Cale", "d:Description": "Photos of a 1971 performance of Cale and Nico at London's Roundhouse, plus Rolling Stone's coverage of the concert.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_John", "url": "http://www.ibiblio.org/mal/MO/philm/cale/"} +{"d:Title": "Fear is a Man's Best Friend", "d:Description": "News, lyrics and tour dates, as well as a biography, pictures and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_John", "url": "http://www.xs4all.nl/~werksman/cale/"} +{"d:Title": "All Music Guide: John Cale", "d:Description": "Solo discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_John", "url": "http://www.allmusic.com/artist/john-cale-p3818"} +{"d:Title": "Rolling Stone.com: John Cale", "d:Description": "Includes a brief biography, discography, photographs, articles, album reviews and video clip.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_John", "url": "http://www.rollingstone.com/music/artists/john-cale"} +{"d:Title": "IMDb: John Cale", "d:Description": "Film scores and appearances, from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cale,_John", "url": "http://www.imdb.com/name/nm0129816/"} +{"d:Title": "Casa de Calexico", "d:Description": "Official site with news, tour dates, biography, videos, audio and album list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calexico", "url": "http://www.casadecalexico.com/"} +{"d:Title": "Caliposa", "d:Description": "Archive of set lists and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calexico", "url": "http://casadecalexico.com/setlists/"} +{"d:Title": "Calexicana", "d:Description": "News, videos, live recordings and podcasts.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calexico", "url": "http://www.calexicana.co.uk/"} +{"d:Title": "Reverb Central: Yamanashi Blues", "d:Description": "A review of The California Guitar Trio's CD \"Yamanashi Blues.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/California_Guitar_Trio", "url": "http://www.reverbcentral.com/reviews/c/california0099.html"} +{"d:Title": "California Guitar Trio", "d:Description": "The official home of this eclectic guitar trio. Articles, member profiles, audio samples, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/California_Guitar_Trio", "url": "http://www.cgtrio.com/"} +{"d:Title": "The Original Call Page", "d:Description": "Discography, lyrics, photos, interviews, reviews and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Call,_The", "url": "http://members.tripod.com/kdrew/the/call.html"} +{"d:Title": "Leonard's Lair Review of 'Televise'", "d:Description": "Rated 4 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calla", "url": "http://www.leonardslair.co.uk/calla.htm"} +{"d:Title": "Calla", "d:Description": "Official site includes news, biography, discography, reviews, photos, and audio and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calla", "url": "http://www.callamusic.com/"} +{"d:Title": "AllMusic: Calla", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calla", "url": "http://www.allmusic.com/artist/calla-mn0000944799"} +{"d:Title": "Ann Hampton Callaway", "d:Description": "Official site features a discography with sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Callaway,_Ann_Hampton", "url": "http://www.annhamptoncallaway.com/"} +{"d:Title": "All Music Guide: Michael Callen", "d:Description": "Biography, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Callen,_Michael", "url": "http://www.allmusic.com/artist/michael-callen-p2179"} +{"d:Title": "Unstoppable", "d:Description": "Fan site includes pictures, biography, profiles, news and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calling,_The", "url": "http://www.angelfire.com/music4/unstoppable/"} +{"d:Title": "Deep Obsession", "d:Description": "Fan site with news, biographies, discography, lyrics, pictures and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calling,_The", "url": "http://karacorliss.tripod.com/deepobsession/"} +{"d:Title": "Just That Good", "d:Description": "Fan site containing news, audio, video, lyrics, photos and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calling,_The", "url": "http://www.angelfire.com/music4/calling4/"} +{"d:Title": "The Calling UK", "d:Description": "UK fan site with news, pictures, links, message board, biographies, information and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calling,_The", "url": "http://thecalling.5u.com/"} +{"d:Title": "LyricsOnDemand: The Calling", "d:Description": "Site containing lyrics to The Calling songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calling,_The", "url": "http://www.lyricsondemand.com/c/callinglyrics/index.html"} +{"d:Title": "Matt's Calling Page", "d:Description": "Images, MIDI and RealAudio files, chat, forum, tour dates, lyrics, tablature for guitar, bass and drum, sheet music and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calling,_The", "url": "http://www.mattsmusicpage.com/nthecalling.htm"} +{"d:Title": "The Calling Support Group of Western New York", "d:Description": "A message board forum for fans of The Calling in the Western New York/New York State/Toronto areas.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Calling,_The", "url": "http://thecallingwny.proboards.com/"} +{"d:Title": "AskMen.com: Cam'ron", "d:Description": "Interview about \"Come Home with Me,\" the state of rap and future plans.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cam'ron", "url": "http://www.askmen.com/toys/interview_60/75_camron_interview.html"} +{"d:Title": "Camel Productions", "d:Description": "Official site with news, tour information, timeline, merchandise and jukebox. Home site of founder Andy Latimer.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camel", "url": "http://www.camelproductions.com/"} +{"d:Title": "The Camel Gallery", "d:Description": "A photo gallery of original images from the group's 1992 and 1997 world tours.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camel", "url": "http://www.debris.com/camel/"} +{"d:Title": "Skylines", "d:Description": "Fan site with discography, FAQs, humour, lyrics, tablatures, articles, liner notes and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camel", "url": "http://www.magenta.co.il/camel/"} +{"d:Title": "Rajaz ~The Rhythm of the Camel", "d:Description": "Fan site featuring a photo archive of memorabilia, timeline, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camel", "url": "http://www.rajaz.co.uk/"} +{"d:Title": "Andy Ward", "d:Description": "Contains biography and discography of Camel's original drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camel", "url": "http://www.andywardmusic.com/"} +{"d:Title": "Colin Bass", "d:Description": "The official site of the band's bassist and vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camel", "url": "http://www.colinbass.com/"} +{"d:Title": "Wikipedia: Camel", "d:Description": "Encyclopedia article covers the band's history, discography, and roster.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camel", "url": "http://en.wikipedia.org/wiki/Camel_(band)"} +{"d:Title": "All Music Guide: Cameo", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cameo", "url": "http://www.allmusic.com/artist/p3822"} +{"d:Title": "Areu Areu", "d:Description": "Camouflage side project. Hear samples of the songs from the self-titled CD.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camouflage", "url": "http://www.areuareu.de/"} +{"d:Title": "Camouflage", "d:Description": "Official site provides news, discography, photos, biographical information, merchandise, and discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camouflage", "url": "http://www.camouflage-music.com/"} +{"d:Title": "Release Magazine: Camouflage", "d:Description": "Review of the 2003 album: Sensor.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camouflage", "url": "http://www.releasemagazine.net/Onrecord/orcamouflages.htm"} +{"d:Title": "Musicfolio: Camouflage", "d:Description": "Synopsis, discography, album reviews and ratings, with links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camouflage", "url": "http://musicfolio.com/modernrock/camouflage.html"} +{"d:Title": "All Music Guide: Camouflage", "d:Description": "Biography, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camouflage", "url": "http://www.allmusic.com/artist/p12493"} +{"d:Title": "Discography - Wikipedia", "d:Description": "Documenting albums, singles and DVDs released.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Darius", "url": "http://en.wikipedia.org/wiki/Darius_Danesh_discography"} +{"d:Title": "IMDb.com - Darius Campbell", "d:Description": "Filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Darius", "url": "http://www.imdb.com/name/nm0199421/"} +{"d:Title": "Darius Campbell Official", "d:Description": "Offers news, videos, biography, discography and photo gallery.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Darius", "url": "http://dariusmusic.com/"} +{"d:Title": "Wikipedia - Darius Campbell", "d:Description": "Contains career details including music, theatre and television work.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Darius", "url": "http://en.wikipedia.org/wiki/Darius_Campbell"} +{"d:Title": "History of the Big Bands", "d:Description": "Website for the 2010 Tour starring Darius Campbell. Includes tour dates and information about the Big Band and Swing show which features the songs of Frank Sinatra and the music of the Big Band leaders.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Darius", "url": "http://www.historyofthebigbands.com/"} +{"d:Title": "Glen Campbell News: Topix", "d:Description": "News about Glen Campbell continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Glen", "url": "http://www.topix.com/who/glen-campbell"} +{"d:Title": "Tevin Campbell", "d:Description": "Picture, biography and list of albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Tevin", "url": "http://www.angelfire.com/tx/skybolt/tevin.html"} +{"d:Title": "All Music Guide: Tevin Campbell", "d:Description": "Biography, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Campbell,_Tevin", "url": "http://www.allmusic.com/artist/tevin-campbell-p12494"} +{"d:Title": "Yahoo Groups: CamperVan-Etc", "d:Description": "Discussion group for the band and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camper_Van_Beethoven", "url": "http://groups.yahoo.com/group/CamperVan-Etc"} +{"d:Title": "CrackerWeb", "d:Description": "Information, complete discographies, official photographs, lyrics, tablature, and MP3s from Camper Van Beethoven, Cracker and related bands.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camper_Van_Beethoven", "url": "http://www.crackerweb.f9.co.uk/"} +{"d:Title": "Camper Van Beethoven", "d:Description": "Official site includes discography and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camper_Van_Beethoven", "url": "http://www.campervanbeethoven.com/"} +{"d:Title": "Camper Van Beethoven", "d:Description": "Discography with lyrics, articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Camper_Van_Beethoven", "url": "http://mrl.nyu.edu/~hertzman/cvb/"} +{"d:Title": "Can and Derivatives", "d:Description": "Discography of all recordings by Can, individual band members and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Can", "url": "http://all-blues.de/music/kraut/can.htm"} +{"d:Title": "Can and Spoon Records", "d:Description": "Official website of the legendary German band Can and their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Can", "url": "http://www.spoonrecords.com/"} +{"d:Title": "Holger Czukay", "d:Description": "One of the founding members on the band Can, including an album by album discussion of their discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Can", "url": "http://www.czukay.de/can/index.html"} +{"d:Title": "Perfect Sound Forever: Can - Godfathers of Indie", "d:Description": "Article about the band's purported influence on indie avant garde artists, as well as links to related stories.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Can", "url": "http://www.furious.com/perfect/can.html"} +{"d:Title": "Lollipop Magazine: Can - You Dig It?", "d:Description": "Interview with the five original members of the band, by Nik Rainey.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Can", "url": "http://www.lollipop.com/issue38/38-2-05.html"} +{"d:Title": "Mojo: Can - Art terrorism! Sensory derangement! Holistic vomiting! Available weekends...", "d:Description": "Article on the band by Andy Gill.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Can", "url": "http://www.thing.de/delektro/mojocan.html"} +{"d:Title": "Salon: Can Made World Music for Some Other World", "d:Description": "Article reviewing the Can legacy by Alex Pappademas. [May 27, 1999]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Can", "url": "http://www.salon.com/1999/05/27/can/"} +{"d:Title": "Candide", "d:Description": "Official site with MP3s, RealAudio, news, links, interactive stuff, stories, lyrics, merchandise, obliques strategies, image, music and text.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Candide", "url": "http://www.candide.co.uk/"} +{"d:Title": "CandleShop", "d:Description": "Candlebox page: biographies, lyrics and guitar tablatures from all 3 CDs, pictures, WAVs, message board, trivia, tour information, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Candlebox", "url": "http://xxcandlexx.tripod.com/"} +{"d:Title": "A Stone's Throw Away", "d:Description": "Fan page containing poetry, lyrics, trivia, poll, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Candlebox", "url": "http://www.angelfire.com/ca3/stonesthrow/"} +{"d:Title": "Entertainment Ave: Candlebox", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Candlebox", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/candlebox.htm"} +{"d:Title": "Rockmagic.net: Candlemass", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Candlemass", "url": "http://lyrics.rockmagic.net/lyrics/candlemass/"} +{"d:Title": "The Candy Snatchers", "d:Description": "Official page with photos, member information, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Candy_Snatchers,_The", "url": "http://fandangorecs.com/candy/"} +{"d:Title": "MTV.com: Canibus", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Canibus", "url": "http://www.mtv.com/artists/canibus/"} +{"d:Title": "Canned Heat Official Website", "d:Description": "Features tour dates, biography, discography, photos, and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Canned_Heat", "url": "http://www.cannedheatmusic.com/"} +{"d:Title": "Interview: Adolfo \"Fito\" de la Parra of Canned Heat", "d:Description": "Interview by Michele Martin from Drop-D Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Canned_Heat", "url": "http://www.dropd.com/issue/17/CannedHeat/index.html"} +{"d:Title": "Live Cannibalism", "d:Description": "Brief history, discography and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cannibal_Corpse", "url": "http://www.angelfire.com/myband/pfrc/cannibalcorpse.html"} +{"d:Title": "Gore Obsessed", "d:Description": "Fan site with biography, lineups, discography, videography, lyrics, pictures, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cannibal_Corpse", "url": "http://goreobsessed.free.fr/"} +{"d:Title": "Covered with Sores", "d:Description": "Biography, discography, photos, lyrics, tour dates, tablature and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cannibal_Corpse", "url": "http://www.angelfire.com/punk/stevens/corpse/"} +{"d:Title": "Seventh Realm: Cannibal Corpse", "d:Description": "Favorable review of \"The Bleeding.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cannibal_Corpse", "url": "http://www.angelfire.com/planet/fallenempiretrendy/cannibalcorpse.html"} +{"d:Title": "Lyrics On Demand: Blu Cantrell", "d:Description": "Lyrics to the songs by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cantrell,_Blu", "url": "http://www.lyricsondemand.com/b/blucantrelllyrics/"} +{"d:Title": "AskMen.com - Blu Cantrell", "d:Description": "Includes interview, biography, quotes, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cantrell,_Blu", "url": "http://www.askmen.com/celebs/interview_60/92_blu_cantrell_interview.html"} +{"d:Title": "All Music Guide: Blu Cantrell", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cantrell,_Blu", "url": "http://www.allmusic.com/artist/blu-cantrell-p478183"} +{"d:Title": "MTV.com - Blu Cantrell", "d:Description": "Biography, photos, news archive, audio, video, discography, reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cantrell,_Blu", "url": "http://www.mtv.com/artists/blu-cantrell/"} +{"d:Title": "Capercaillie", "d:Description": "Official site includes biographies, lyrics, fan club, press, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Capercaillie", "url": "http://www.capercaillie.co.uk/"} +{"d:Title": "Ceolas: Capercaillie", "d:Description": "Brief discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Capercaillie", "url": "http://www.ceolas.org/artists/Capercaillie.html"} +{"d:Title": "The Captain and Tennille", "d:Description": "The official site for Daryl Dragon and Toni Tennille. Upcoming appearances, photos, fan club information and available recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Captain_and_Tennille,_The", "url": "http://www.captainandtennille.net/"} +{"d:Title": "Ear Candy: Daryl Dragon", "d:Description": "Interview with the keyboardist about his work with The Beach Boys and The Captain and Tennille.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Captain_and_Tennille,_The", "url": "http://members.tripod.com/earcandy_mag/ddragon.htm"} +{"d:Title": "Irene Cara", "d:Description": "Official site features biography, sound clips and information on Cara's new band Caramel.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cara,_Irene", "url": "http://www.irenecara.com/"} +{"d:Title": "Rockmagic.net: Carcass", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carcass", "url": "http://lyrics.rockmagic.net/lyrics/carcass/"} +{"d:Title": "Cardiacs, The", "d:Description": "Official site of the UK based punk/progressive/indie band includes news, tour information, sound clips, lyrics, articles and frequently asked questions.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cardiacs,_The", "url": "http://www.cardiacs.com/"} +{"d:Title": "Always on the Run: Cardigans", "d:Description": "Complete lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cardigans,_The", "url": "http://www.alwaysontherun.net/card.htm"} +{"d:Title": "The Cardigans", "d:Description": "Official website offers a band biography, photos, tour dates, multimedia downloads, articles, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cardigans,_The", "url": "http://cardigans.com/"} +{"d:Title": "Paralyzed: The Cardigans", "d:Description": "Fan site offers a biography, news, photographs, audio samples, lyrics, and related links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cardigans,_The", "url": "http://paralyzed99.tripod.com/"} +{"d:Title": "MTV.com: The Cardigans", "d:Description": "Offers album reviews, news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cardigans,_The", "url": "http://www.mtv.com/artists/the-cardigans/"} +{"d:Title": "Mariah Carey", "d:Description": "Official site with news, fan club, music, pictures, projects, and store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.mariahcarey.com/"} +{"d:Title": "Topix: Mariah Carey", "d:Description": "News updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.topix.com/who/mariah-carey"} +{"d:Title": "Collectadisc: Mariah Carey", "d:Description": "Complete discography. Includes track listings, picture sleeves, catalogue numbers, and values.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.collectadisc.co.uk/discography/index.php?VAR_ARTIST=MARIAH+CAREY"} +{"d:Title": "Mariah Makes Chart History", "d:Description": "Chart statistics, sales records and awards listed by album and year. [English and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.mariah-charts.com/"} +{"d:Title": "ArtistDirect.com: Mariah Carey", "d:Description": "Links to MP3s, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.artistdirect.com/artist/mariah-carey/411928"} +{"d:Title": "All Music Guide: Mariah Carey", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.allmusic.com/artist/mariah-carey-p62404"} +{"d:Title": "RollingStone.com: Mariah Carey", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.rollingstone.com/music/artists/mariah-carey"} +{"d:Title": "AskMen.com: Mariah Carey", "d:Description": "Pictures, biography, ratings and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.askmen.com/celebs/women/singer/4_mariah_carey.html"} +{"d:Title": "IMDb: Mariah Carey", "d:Description": "Includes filmography, awards, biography, photos, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.imdb.com/name/nm0001014/"} +{"d:Title": "MTV: Mariah Carey", "d:Description": "Features music video clips, album reviews, a behind-the scenes look at a video shoot and exclusive online profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah", "url": "http://www.mtv.com/artists/mariah-carey/"} +{"d:Title": "Ladymariah", "d:Description": "A Dutch Mariah Carey Forum with downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Chats_and_Forums", "url": "http://s10.invisionfree.com/ladymariah/"} +{"d:Title": "Mariah Carey Wallpaper Galore", "d:Description": "Collection of fan-made desktop images.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Downloads", "url": "http://mcwallpaper.1accesshost.com/"} +{"d:Title": "Mariah Millennium", "d:Description": "Features news, lyrics, message board, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.angelfire.com/or/infamousmc/"} +{"d:Title": "Letters to Mariah", "d:Description": "Outlet for fans' letters, songs and poems for the singer. Includes contests, awards and galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://harmonydiva2021.tripod.com/Letters2Mariah/"} +{"d:Title": "Mariah's Rainbow", "d:Description": "Includes news, tour dates, lyrics, biography, photos, charts, sounds, MIDIs, discography and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.angelfire.com/pop/mariah1/index.html"} +{"d:Title": "Trin's Mariah Carey Page", "d:Description": "Pictures, lyrics and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://emariahcarey.8k.com/"} +{"d:Title": "MC Fans Online", "d:Description": "Includes biography, discography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.angelfire.com/ca5/illimattic/"} +{"d:Title": "Mariah Carey's Rainbow", "d:Description": "News and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.angelfire.com/rnb/mariahcarey14/index.html"} +{"d:Title": "Mariah Carey Suite Page", "d:Description": "Provides a discography, facts, photographs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.angelfire.com/stars2/mariahcarey01/"} +{"d:Title": "Mariah Constellation", "d:Description": "Offers photos, upcoming event listings, and a news archive.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://mariahconstellation.tripod.com/"} +{"d:Title": "Mariah Carey Archives", "d:Description": "Provides news, hundreds of articles, a complete discography, and collector's items.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.mcarchives.com/"} +{"d:Title": "Miss Mariah Carey", "d:Description": "News, biography, pictures and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://elixir_ain.tripod.com/miss_mariah_carey.htm"} +{"d:Title": "Through the Rain", "d:Description": "Pictures, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.angelfire.com/music4/mariahcarey/index.html"} +{"d:Title": "Mariah Ultimate Lyrics", "d:Description": "Lyrics, pictures, list of MP3s, game, biography, message board and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.angelfire.com/mb2/marisa134/index.html"} +{"d:Title": "Mariah Carey Greeting Cards", "d:Description": "Fan site includes pictures, lyrics and MIDI files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://members.tripod.com/~mcgc/"} +{"d:Title": "Mariah Carey thrilled by warship crew\u2019s Christmas video", "d:Description": "The BBC hosts a Mariah Carey tribute made by the crew of HMS Ocean.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Fan_Pages", "url": "http://www.bbc.co.uk/news/uk-england-devon-16073499"} +{"d:Title": "Pasqualoni, Laura", "d:Description": "Look-alike and impersonator. Includes pictures, biography and statistics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Impersonators", "url": "http://laurasmariah.homestead.com/laurasmariah.html"} +{"d:Title": "Mariah Carey Lyrics", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Lyrics", "url": "http://www.davemcnally.com/Lyrics/MariahCarey/"} +{"d:Title": "CurrentFilm.com: Mariah Carey - The #1's", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews", "url": "http://www.currentfilm.com/dvdreviews/mariahcareydvd.html"} +{"d:Title": "CurrentFilm.com: Mariah Carey - Around The World", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews", "url": "http://www.currentfilm.com/dvdreviews/mariahcarey2dvd.html"} +{"d:Title": "CANOE: Mariah Carey - Charmbracelet", "d:Description": "Darryl Sterdan's review: \"after listening to yet another bland collection of silky, overblown ballads and forgettable featherweight hip-hop, it's hard not to wonder if she's playing it too safe.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews/Charmbracelet", "url": "http://jam.canoe.com/Music/Artists/C/Carey_Mariah/AlbumReviews/2002/12/06/770540.html"} +{"d:Title": "UKMIX: Mariah Carey - The Emancipation Of Mimi", "d:Description": "Dan Hughes' review: \"one of the best rhythm and blues releases of late, and possibly Carey's most well-rounded album so far.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews/Emancipation_of_Mimi,_The", "url": "http://www.ukmix.org/reviews/release.php?release_id=7412"} +{"d:Title": "UKMIX: Mariah Carey - Glitter", "d:Description": "Aneta Tadeusiak's track by track review: \"Not bad for a soundtrack, but not exceptionally good for a Mariah album either.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews/Glitter", "url": "http://www.ukmix.org/reviews/release.php?release_id=2830"} +{"d:Title": "CANOE: Mariah Carey - Glitter", "d:Description": "Darryl Sterdan's review: \"her least forced, most appealing album in years, if not her entire career.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews/Glitter", "url": "http://jam.canoe.com/Music/Artists/C/Carey_Mariah/AlbumReviews/2001/09/14/770541.html"} +{"d:Title": "UKMIX: Mariah Carey - Greatest Hits", "d:Description": "Marvin van der Weyde's review: \"As a whole the CDs are not bad, however they form a proof of how Mariah is going downhill\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews/Greatest_Hits", "url": "http://www.ukmix.org/reviews/release.php?release_id=2856"} +{"d:Title": "UKMIX: Mariah Carey - Rainbow", "d:Description": "Dan Gover's review: \"she has little need to worry about the sudden explosion of female teen singers, because few even begin to match the quality shown on this album.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews/Rainbow", "url": "http://www.ukmix.org/reviews/release.php?release_id=2792"} +{"d:Title": "UKMIX: Mariah Carey - The Remixes", "d:Description": "Darren Gale's review: \"a great way to celebrate Mariah's thirteen years in the music business.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Mariah/Reviews/Remixes,_The", "url": "http://www.ukmix.org/reviews/release.php?release_id=2988"} +{"d:Title": "Bunnymom's Tony Carey Fan Pages", "d:Description": "Fan site features news, lyrics, photos, discography, discussion forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Tony", "url": "http://www.angelfire.com/80s/tonycarey/fanpage.html"} +{"d:Title": "Tony Carey", "d:Description": "Official site with news, show dates, biography, discography, projects, press and audio. [English and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carey,_Tony", "url": "http://www.truebeliever.de/"} +{"d:Title": "Record and CD Collector's Site: Belinda Carlisle", "d:Description": "An overview of everything Belinda ever recorded.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlisle,_Belinda", "url": "http://www.collectadisc.co.uk/discography/index.php?VAR_ARTIST=BELINDA+CARLISLE"} +{"d:Title": "All Music Guide: Belinda Carlisle", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlisle,_Belinda", "url": "http://www.allmusic.com/artist/belinda-carlisle-p3839"} +{"d:Title": "Belinda Carlisle - A Place on Earth", "d:Description": "Fan site with news, biography, discography, galleries, audio and video clips, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlisle,_Belinda", "url": "http://belindacarlisle.50webs.com/"} +{"d:Title": "Wikipedia: Belinda Carlisle", "d:Description": "Includes biography, career information, discography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlisle,_Belinda", "url": "http://en.wikipedia.org/wiki/Belinda_Carlisle"} +{"d:Title": "Belinda Carlisle - Official Website", "d:Description": "Includes news, video clips, discography, image galleries, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlisle,_Belinda", "url": "http://www.belindacarlisle.tv/"} +{"d:Title": "AskMen.com: Belinda Carlisle", "d:Description": "Profile and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlisle,_Belinda", "url": "http://www.askmen.com/celebs/women/singer_60/93_belinda_carlisle.html"} +{"d:Title": "Belinda Carlisle Discography", "d:Description": "An overview of Belinda's records with cover pictures and track listings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlisle,_Belinda", "url": "http://personal.ee.surrey.ac.uk/.Contrib/music/go-gos/belinda-cd.html"} +{"d:Title": "Wendy Carlos Homepage", "d:Description": "Official site contains news, a discography, photos, sounds, a biography, and a few other items of interest about the electronic music composer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlos,_Wendy", "url": "http://www.wendycarlos.com/index.html"} +{"d:Title": "The Ability to Innovate", "d:Description": "Article by Brian Foy. Wendy Carlos showed that programmers are not the only hackers who need the freedom to innovate.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlos,_Wendy", "url": "http://archive.oreilly.com/pub/post/the_ability_to_innovate.html"} +{"d:Title": "Emily Carlstrom", "d:Description": "Fan site with pictures, biography, audio and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlstrom,_Emily", "url": "http://www.angelfire.com/music2/emilycarlstrom/home.html"} +{"d:Title": "Yahoo Groups: Emily Carlstrom", "d:Description": "Fan club and e-mail list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlstrom,_Emily", "url": "http://groups.yahoo.com/group/emilycarlstrom/"} +{"d:Title": "A-Z Lyrics: Vanessa Carlton", "d:Description": "The lyrics to the songs recorded by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlton,_Vanessa", "url": "http://www.azlyrics.com/c/carlton.html"} +{"d:Title": "AskMen.com: Vanessa Carlton", "d:Description": "Interview and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlton,_Vanessa", "url": "http://www.askmen.com/toys/interview/53_vanessa_carlton_interview.html"} +{"d:Title": "Vanessa Carlton", "d:Description": "Official site includes news, pictures, biography, sound clips, chat and tour information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlton,_Vanessa", "url": "http://www.vanessacarlton.com/"} +{"d:Title": "Vanessa Carlton", "d:Description": "An interview with lindzi.com.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlton,_Vanessa", "url": "http://www.lindzi.com/interviews/vanessa.htm"} +{"d:Title": "All Music Guide: Vanessa Carlton", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlton,_Vanessa", "url": "http://www.allmusic.com/artist/vanessa-carlton-mn0000839432"} +{"d:Title": "MTV: Vanessa Carlton", "d:Description": "Pictures, biography, album information and video.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlton,_Vanessa", "url": "http://www.mtv.com/artists/vanessa-carlton/"} +{"d:Title": "MusicCritic: Vanessa Carlton - Be Not Nobody", "d:Description": "Bill Aicher's review: \"Be Not Nobody is, surprisingly, a fairly-strong debut.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carlton,_Vanessa/Reviews/Be_Not_Nobody", "url": "http://www.music-critic.com/pop/carlton_benotnobody.htm"} +{"d:Title": "Eric Carmen Home Page", "d:Description": "Official site includes news, discography, pictures, press, MP3s and \"Ask Eric\" section.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carmen,_Eric", "url": "http://www.ericcarmen.com/"} +{"d:Title": "Hoagy Carmichael Collection", "d:Description": "Searchable collection of lyrics, sound files (RealPlayer), photographs, corresponsdence and supplemental research material about this songwriter who lived 1899-1981.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carmichael,_Hoagy", "url": "http://www.dlib.indiana.edu/collections/hoagy/"} +{"d:Title": "Red Hot Jazz: Hoagy Carmichael", "d:Description": "Biography, discography, and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carmichael,_Hoagy", "url": "http://www.redhotjazz.com/hoagy.html"} +{"d:Title": "Jerry Jazz Musician: Richard Sudhalter", "d:Description": "Interview with biographer Richard Sudhalter about Carmichael's life and music, with audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carmichael,_Hoagy", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=sudhalter.html"} +{"d:Title": "Fresh Air: American Popular Song Series - Hoagy Carmichael", "d:Description": "Profile and audio of a tribute to the composer, including performances of his works and interviews with his son, Hoagy Bix Carmichael, and biographer Richard Sudhalter.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carmichael,_Hoagy", "url": "http://freshair.npr.org/day_fa.jhtml?displayValue=day&todayDate=12/28/2001"} +{"d:Title": "Carola International", "d:Description": "Fan tribute offers a timeline, discography, news, audio samples, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carola", "url": "http://carolainternational.tripod.com/"} +{"d:Title": "Carolina Chocolate Drops", "d:Description": "Offers information about the band and links to purchase their music.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carolina_Chocolate_Drops", "url": "http://www.carolinachocolatedrops.com/"} +{"d:Title": "Caroline's Spine", "d:Description": "Band's official site. Features biography, merchandise, tour dates, photos, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Caroline's_Spine", "url": "http://www.carolinespine.com/"} +{"d:Title": "Mary Chapin Carpenter Guitar Chords", "d:Description": "Tablatures for about 50 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenter,_Mary_Chapin", "url": "http://adamschneider.net/music/mcc/"} +{"d:Title": "Metacritic: Time Sex Love", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenter,_Mary_Chapin", "url": "http://www.metacritic.com/music/time-sex-love/mary-chapin-carpenter"} +{"d:Title": "Carpenters", "d:Description": "Discography with images of The Carpenters' releases from Japan.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The", "url": "http://www.asahi-net.or.jp/~ap5k-ymd/carp45.htm"} +{"d:Title": "Carpenters Lyrics", "d:Description": "Words to a selection of Carpenters' songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The", "url": "http://www.davemcnally.com/Lyrics/TheCarpenters/"} +{"d:Title": "Carpenters - The Recordings", "d:Description": "Detailed discography with commentary and additional information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The", "url": "http://www.grantguerrero.com/carpenters/carindex.html"} +{"d:Title": "The Carpenters", "d:Description": "Richard Carpenter's site includes biography, discography, news, photos, answers to fan's questions, and a tribute to Karen.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The", "url": "http://www.richardandkarencarpenter.com/"} +{"d:Title": "RollingStone.com: The Carpenters", "d:Description": "Includes a biography, discography, photos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The", "url": "http://www.rollingstone.com/music/artists/the-carpenters"} +{"d:Title": "LeadSister.com", "d:Description": "Biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Carpenter,_Karen", "url": "http://www.leadsister.com/"} +{"d:Title": "TheCarpenters", "d:Description": "Discussion board, run by Lee. Registration required to read or participate.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/TheCarpenters/"} +{"d:Title": "Karen Carpenter Sites", "d:Description": "Sites devoted to KC - Her life as a singer, drummer, her struggles with Anorexia Nervosa.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Directories", "url": "http://www.webring.org/hub?ring=kcsite"} +{"d:Title": "WebRing: The Carpenters", "d:Description": "Links to sites containing pictures, sound files and personal accounts.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Directories", "url": "http://www.webring.org/hub?ring=carpenters"} +{"d:Title": "The Carpenters Online", "d:Description": "Includes a message forum, votings polls, a picture gallery and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Fan_Pages", "url": "http://www.carponline.bravepages.com/"} +{"d:Title": "The Most Beautiful Voice", "d:Description": "Videos, biography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Fan_Pages", "url": "http://www.themostbeautifulvoice.com/"} +{"d:Title": "Larpenteurs, The", "d:Description": "A live tribute to the complicated sound of the Carpenters; they tour nationally and in Midwest USA.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Tribute_Bands", "url": "http://www.angelfire.com/pop2/thelarps/"} +{"d:Title": "Carpenters Magic", "d:Description": "UK based tribute band; includes member profiles and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Tribute_Bands", "url": "http://www.carpenterstribute.com/"} +{"d:Title": "Carpenters Tribute Show", "d:Description": "UK-based duo. Audio, pictures, clients and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carpenters,_The/Tribute_Bands", "url": "http://www.carpenterstributeshow.com/"} +{"d:Title": "Official Paul Carrack Web Site", "d:Description": "Includes biography, sound files, tour dates and album releases.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carrack,_Paul", "url": "http://www.carrack-uk.com/"} +{"d:Title": "Paul Carrack", "d:Description": "Career details, session work, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carrack,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_Carrack"} +{"d:Title": "Chubby Carrier and the Bayou Swamp Band", "d:Description": "Official site offers member profiles, photos, articles, album reviews, tour dates, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carrier,_Chubby", "url": "http://www.chubbycarrier.com/"} +{"d:Title": "Blind Pig Records: Chubby Carrier", "d:Description": "Label site offers a biography, discography, audio samples, and a photo.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carrier,_Chubby", "url": "http://www.blindpigrecords.com/artists/Carrier,+Chubby.html"} +{"d:Title": "All Music Guide: Chubby Carrier", "d:Description": "Provides a biography, discography, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carrier,_Chubby", "url": "http://www.allmusic.com/artist/chubby-carrier-p717"} +{"d:Title": "All Music Guide: Roy Carrier", "d:Description": "Provides a biography, discography, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carrier,_Roy", "url": "http://www.allmusic.com/artist/roy-carrier-mn0000341557"} +{"d:Title": "Rockmagic.net: The Cars", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cars,_The", "url": "http://lyrics.rockmagic.net/lyrics/cars/"} +{"d:Title": "The Cars", "d:Description": "Fan page with a discography for The Cars as well as some of the band members' solo albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cars,_The", "url": "http://thecars.atspace.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Cars", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cars,_The", "url": "http://sfloman.com/cars.html"} +{"d:Title": "DM's Lyrics and Discographies Archive: The Cars", "d:Description": "Provides a discography, with lyrics and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cars,_The", "url": "http://www.dmrockmusic.com/artist.php?artist=3"} +{"d:Title": "Cartel Online", "d:Description": "Official site of the rock/pop/indie UK band. Hear their music online, and find out when they next play.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cartel", "url": "http://sketchpromotions.tripod.com/cartel.html"} +{"d:Title": "Dobmayer Online Info", "d:Description": "Interview with Aaron and his parents at the shooting of his video, \"Surfin' USA\".", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Articles_and_Interviews", "url": "http://www.angelfire.com/pop/aconline/dol.html"} +{"d:Title": "Aaron Carter Articles", "d:Description": "Large collection of articles and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Articles_and_Interviews", "url": "http://www.angelfire.com/pop2/ac_articles/"} +{"d:Title": "Airboy Fans", "d:Description": "Yahoo Groups mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Chats_and_Forums", "url": "http://groups.yahoo.com/group/-Airboy_Fans-"} +{"d:Title": "The Aaron Carter Webring", "d:Description": "Web ring for Aaron Carter sites.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Directories", "url": "http://www.webring.org/hub?ring=acarter"} +{"d:Title": "Obsessed With Aaron", "d:Description": "Quotes, lyrics, facts, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Fan_Pages", "url": "http://www.angelfire.com/celeb/obsessedwithaaron/"} +{"d:Title": "The Little Prince of Pop", "d:Description": "Biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Fan_Pages", "url": "http://www.angelfire.com/celeb/LittlePrinceOfPop/"} +{"d:Title": "Ultimate Aaron Carter Site", "d:Description": "Facts, pictures, pen pals, forum, interviews, quizzes, news, tour dates and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Fan_Pages", "url": "http://mrsaacarter.tripod.com/"} +{"d:Title": "Aaron Carter World", "d:Description": "Includes clips, 160 pictures, quiz, and free e-mail.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Fan_Pages", "url": "http://www.angelfire.com/ab/aaroncarter3/"} +{"d:Title": "Aaron It's You", "d:Description": "Pictures, lyrics, biography, fan features and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Fan_Pages", "url": "http://www.angelfire.com/celeb2/aar_bear/"} +{"d:Title": "Aaron Carter East Coast", "d:Description": "Facts, tour dates, news, discussion group, fan fiction, site contest and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Fan_Pages", "url": "http://www.angelfire.com/pop2/acec/"} +{"d:Title": "Aaron Carter Star", "d:Description": "Press, facts, discography, filmography, news, pictures, downloads and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Aaron/Fan_Pages", "url": "http://www.aaroncarter.somee.com/"} +{"d:Title": "Leslie Carter", "d:Description": "Fan site includes biography, news and gossip, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carter,_Leslie", "url": "http://howies_baby_gurl_001.tripod.com/lesliecarter/"} +{"d:Title": "Come Sing It Plain", "d:Description": "Unofficial site for Martin Carthy. News of tours, CD releases and festival appearances. Biography, discography, reviews, interviews, and links directory.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Carthy,_Martin", "url": "http://carthyonline.wordpress.com/"} +{"d:Title": "Neko Case", "d:Description": "Official site with extensive list of thanks and a showcase of women in music.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Case,_Neko", "url": "http://www.nekocase.com/"} +{"d:Title": "Porchlight", "d:Description": "Fan site includes biography, news, tour dates, discography and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Case,_Neko", "url": "http://porchlight.viachicago.org/"} +{"d:Title": "Mint Records: Neko Case", "d:Description": "Extensive background information and commentary from Neko.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Case,_Neko", "url": "http://www.mintrecs.com/index.php?component=artists&action=profile&tag=neko_case"} +{"d:Title": "Neko Case", "d:Description": "Review, contact information, tour dates, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Case,_Neko", "url": "http://billions.com/nekocase"} +{"d:Title": "AskMen.com: Neko Case", "d:Description": "Singer appreciation with pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Case,_Neko", "url": "http://www.askmen.com/celebs/women/singer_200/214_neko_case.html"} +{"d:Title": "Peter Case", "d:Description": "Official site with FAQs, message board, news, press, tour dates and journal, pictures, discography, audio samples and information on his Songwriters' Sliderule classes.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Case,_Peter", "url": "http://www.petercase.com/"} +{"d:Title": "TrouserPress.com: Peter Case", "d:Description": "Review of his career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Case,_Peter", "url": "http://www.trouserpress.com/entry.php?a=peter_case"} +{"d:Title": "Tamworth Rage Page: Troy Cassar-Daley", "d:Description": "Includes photos, tour dates, news, press, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassar-Daley,_Troy", "url": "http://www.tamworthragepage.com/cassardaley.htm"} +{"d:Title": "South West TAFE Music Archive: Troy Cassar-Daley", "d:Description": "Port Fairy concert review, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassar-Daley,_Troy", "url": "http://www.swtafe.vic.edu.au/lrc/music/troycass1.html"} +{"d:Title": "Troy Cassar-Daley", "d:Description": "Official site. Includes news, photos, biography, discography, tour dates, forum, links and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassar-Daley,_Troy", "url": "http://www.troycassardaley.com.au/"} +{"d:Title": "Eva Cassidy", "d:Description": "A tribute to Eva Cassidy by Mike Schreibman and Maria Villafana. Includes a detailed biography by Joe E Siegel, from the liner notes of 'Eva by Heart'. Liner notes and song details from all her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.crosstownarts.com/CrosstownArts/client_music/eva/evahome.html"} +{"d:Title": "Blues Access: One from the Heart", "d:Description": "Magazine article about Eva Cassidy, including a brief biography and description of her style of music.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.bluesaccess.com/No_36/rooster.html"} +{"d:Title": "Washington Post: When Chuck Met Eva", "d:Description": "Article by Jefferson Morley, about Chuck Brown and Eva Cassidy.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.washingtonpost.com/wp-srv/style/music/features/1browncassidy0309.htm"} +{"d:Title": "Blix Street Records: Eva Cassidy", "d:Description": "Discography from the publishers of her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.blixstreet.com/eva.html"} +{"d:Title": "Eva Cassidy Artwork", "d:Description": "Created by Eva's family, this website showcases Eva's artistic talent, with some of her artwork offered for sale here, exclusively.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.evacassidy.com/"} +{"d:Title": "Wikipedia: Eva Cassidy", "d:Description": "Detailed biography of the late singer's life, including her music career, discography, and posthumous recognition.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://en.wikipedia.org/wiki/Eva_Cassidy"} +{"d:Title": "Eva Cassidy, a voice gone too soon", "d:Description": "NY Daily News review of the American vocalist's posthumous release \"Somewhere\".", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.nydailynews.com/entertainment/music/2008/08/19/2008-08-19_eva_cassidy_a_voice_gone_too_soon.html"} +{"d:Title": "NPR Music: An American Singer's Rising Legacy", "d:Description": "Audio commentary on the life of Eva Cassidy, including interviews with people she knew, including her mother Barbara. Also several musical examples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.npr.org/templates/story/story.php?storyId=1115775"} +{"d:Title": "Eva Cassidy Web Site", "d:Description": "Comprehensive site about the late singer from her cousin Laura Bligh, including articles, reviews, photos, exclusive interviews with her musical colleagues, guestbook, monthly poll, quiz, plus regularly updated news page.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://evacassidy.org/"} +{"d:Title": "Guardian Unlimited: Keeping the flame", "d:Description": "The Web can bring the work of ignored artists posthumously to life, says Mike Anderiesz.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva", "url": "http://www.theguardian.com/technology/2002/apr/04/internetnews.onlinesupplement2"} +{"d:Title": "Yahoo! Groups: EvaCassidy", "d:Description": "E-mail list for those who enjoy the music of Eva Cassidy.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassidy,_Eva/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/EvaCassidy/"} +{"d:Title": "Cassius", "d:Description": "Fan site with biography, history, photos, videos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cassius", "url": "http://members.tripod.com/cassius1999/"} +{"d:Title": "In Memory of Randy Castillo", "d:Description": "Photographs, articles, links, audio interview, guestbook and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Castillo,_Randy", "url": "http://www.knac.com/randy/index.html"} +{"d:Title": "Fcuking Contagious", "d:Description": "Tributes, biography, discography, message board, photographs, and artwork produced by the late drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Castillo,_Randy", "url": "http://www.fcukingcontagious.net/"} +{"d:Title": "Find A Grave - Randy Castillo", "d:Description": "Location of his grave, with biographical information, photographs, an exact cause of death, and tributes from fans.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Castillo,_Randy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6521536&pt=Randy+Castillo"} +{"d:Title": "Randy Castillo", "d:Description": "Fan site for M\u00f6tley Cr\u00fce drummer Randy Castillo containing pictures, news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Castillo,_Randy", "url": "http://home.earthlink.net/~planetqueen/RANDYCASTILLO.html"} +{"d:Title": "IMDb - Randy Castillo", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Castillo,_Randy", "url": "http://www.imdb.com/name/nm0145168/"} +{"d:Title": "Cerys World", "d:Description": "Fan page for Cerys Matthews includes news, competitions, biography, pictures, sounds and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catatonia", "url": "http://www.cerysworld.connectfree.co.uk/"} +{"d:Title": "MTV.com: Catatonia", "d:Description": "Includes news, album reviews, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catatonia", "url": "http://www.mtv.com/artists/catatonia/"} +{"d:Title": "Fan Club Spain", "d:Description": "Bilingual (Spanish/English) biography, discography, pictures, news, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C.", "url": "http://www.cccatchfanclub.5u.com/"} +{"d:Title": "CC Catch Music and Discussion", "d:Description": "Discussion forum at gnoosic.com.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C.", "url": "http://www.gnoosic.com/discussion/cc+catch.html"} +{"d:Title": "ARTISTdirect", "d:Description": "Biography, message board, and album list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C.", "url": "http://www.artistdirect.com/music/artist/card/0,,662602,00.html"} +{"d:Title": "Media Club", "d:Description": "Provides photos, lyrics, album covers and fee based MP3 downloads for registered users under the license agreement 01/12/05 given by State Enterprise \"Ukrainian Agency on Copyright and Related Rights\" (UACRR).", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C.", "url": "http://mclub.te.net.ua/vcat.phtml?action=va&singer=36"} +{"d:Title": "Top40-Charts", "d:Description": "Chart information and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C.", "url": "http://top40-charts.com/artist.php?aid=3903"} +{"d:Title": "C.C. Catch Video", "d:Description": "Details of a music video from 1999 with the song 'I Can Lose My Heart Tonight'.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C.", "url": "http://www.clipland.com/Details/701001879/"} +{"d:Title": "All Music Guide: C C Catch", "d:Description": "Biography and discography of artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C.", "url": "http://www.allmusic.com/artist/cc-catch-p294760"} +{"d:Title": "eLyrics.net: C.C. Catch", "d:Description": "Collection of printable song texts.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C./Lyrics", "url": "http://www.elyrics.net/song/c/c.C.-catch-lyrics.html"} +{"d:Title": "Sasslantis", "d:Description": "Lyrics from C.C.Catch songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch,_C.C./Lyrics", "url": "http://sasslantis.ee/lyrics.php?artist=31852"} +{"d:Title": "A Kick-Ass Catch 22 Site", "d:Description": "Fan site includes biography, tour dates, news, lyrics, tabs, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch_22", "url": "http://www.angelfire.com/sk2/catch22/"} +{"d:Title": "Catch 22", "d:Description": "Official site includes news, sound samples, biography, pictures, shows and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catch_22", "url": "http://www.njcatch22.com/"} +{"d:Title": "Carter Cathcart", "d:Description": "Official site includes biography, selected discography and link to MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cathcart,_Carter", "url": "http://www.angelfire.com/ca4/carterc/"} +{"d:Title": "All Music Guide: Carter Cathcart", "d:Description": "Discography and links to related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cathcart,_Carter", "url": "http://www.allmusic.com/artist/carter-cathcart-p63262"} +{"d:Title": "Official Catherine Wheel Site", "d:Description": "Biography, pictures, video and audio files, and stories about backstage secrets.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catherine_Wheel", "url": "http://www.catherinewheel.com/"} +{"d:Title": "Trade Page", "d:Description": "Only for C.W. fans looking to buy, sell or trade their merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catherine_Wheel", "url": "http://www.angelfire.com/mo2/cwtrade/index.html"} +{"d:Title": "Undivide Your Love", "d:Description": "History, updates, pictures and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catherine_Wheel", "url": "http://members.tripod.com/cathwheel/index.html"} +{"d:Title": "Living the CWville Life", "d:Description": "Band-related games, puzzles and trivia. Also includes CD information, news articles, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catherine_Wheel", "url": "http://www.notalot.com/cwville/"} +{"d:Title": "DaveMcNally.com: Catherine Wheel Lyrics", "d:Description": "Lyrics to Catherine Wheel's second album, Chrome.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catherine_Wheel", "url": "http://www.davemcnally.com/Lyrics/CatherineWheel/"} +{"d:Title": "Texture", "d:Description": "Formerly known as Texture-L. Long running discussion list for fans of Catherine Wheel.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catherine_Wheel", "url": "http://groups.yahoo.com/group/texture/"} +{"d:Title": "Canoe: Catherine Wheel", "d:Description": "Collection of reviews and interviews about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catherine_Wheel", "url": "http://jam.canoe.com/Music/Artists/C/Catherine_Wheel/"} +{"d:Title": "Fallout Records Gallery: The Catheters", "d:Description": "Pictures from two performances by the band at a Seattle record store.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catheters,_The", "url": "http://www.falloutrecords.com/gallery/catheters.html"} +{"d:Title": "Sub Pop Records: The Catheters", "d:Description": "Biography, schedule and digital press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Catheters,_The", "url": "http://www.subpop.com/artists/the_catheters"} +{"d:Title": "Yahoo Groups: What Would the List Think?", "d:Description": "E-mail list dedicated to the music of Cat Power.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://groups.yahoo.com/group/catpower/"} +{"d:Title": "Good Clean Fun", "d:Description": "Fan site features news, pictures, articles, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://members.tripod.com/goodcleanfun78/index.htm"} +{"d:Title": "Matador Records: Cat Power", "d:Description": "Official site from her current record label. Includes news, biography, discography, music and video samples, tour dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://www.matadorrecords.com/cat_power/"} +{"d:Title": "Cat Power Lyrics", "d:Description": "Complete set of lyrics from a fan.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://www.alwaysontherun.net/catpower.htm"} +{"d:Title": "Wikipedia: Cat Power", "d:Description": "Hyperlinked encyclopedia article provides biography, information on performance style, trivia and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://en.wikipedia.org/wiki/Cat_power"} +{"d:Title": "NPR : Cat Power in Concert", "d:Description": "Biography, live concert audio, slide show, and interview from \"The Greatest\" tour.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://www.npr.org/templates/story/story.php?storyId=6492459"} +{"d:Title": "Playlouder: Cat Power Concert Review", "d:Description": "Concert review from a show at the Shepherd's Bush Empire, London, UK.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://playlouder.com/dhtml/#/content/16340/live-cat-power"} +{"d:Title": "Allmusic: Cat Power", "d:Description": "Biography, discography, charts, credits and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://www.allmusic.com/artist/p199913"} +{"d:Title": "MTV.com: Cat Power", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://www.mtv.com/artists/cat-power/"} +{"d:Title": "New York Magazine: Cat Power: I Am a Survivor", "d:Description": "Profile gives a career overview, and discusses the artist's performances, depression, and alcohol use.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://nymag.com/nymetro/arts/music/15528/"} +{"d:Title": "Metacritic: The Covers Record", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cat_Power", "url": "http://www.metacritic.com/music/the-covers-record/cat-power"} +{"d:Title": "Cause and Effect", "d:Description": "Official site features news, history, discography, audio, video, message board, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cause_and_Effect", "url": "http://www.causeandeffect.com/"} +{"d:Title": "Nick Cave and the Bad Seeds", "d:Description": "Fan site with biography, albums, lyrics, books, films and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.angelfire.com/sd/BadSeed/"} +{"d:Title": "Nick Cave Online", "d:Description": "Biography, discography, lyrics, pictures, forum, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.nick-cave.com/"} +{"d:Title": "A Totally Nick Cave Page", "d:Description": "Fan page with biography, lyrics, bibliography, pictures and text of Cave's 1996 letter to MTV refusing his award nomination. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.angelfire.com/ca/BadSeed/"} +{"d:Title": "WholeNote: Nick Cave", "d:Description": "Collection of guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.wholenote.com/tab/artist.asp?i=417"} +{"d:Title": "nickcaveandthebadseeds.com", "d:Description": "Contains news and exclusive content relating to the release of the album Nocturama.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.nickcaveandthebadseeds.com/"} +{"d:Title": "Nick Cave and the Bad Seeds Guitar Chords", "d:Description": "An archive of guitar chord transcriptions for Nick Cave and the Bad Seeds.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.mnsi.net/~bdenes/badseeds/index.html"} +{"d:Title": "Nick Cave Collector's Hell", "d:Description": "Discography, setlists, cover versions, and information about songs and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://home.claranet.nl/users/maes/cave/"} +{"d:Title": "ArtistDirect: Nick Cave", "d:Description": "A short biography about the artist with links to information about his works and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.artistdirect.com/nad/music/artist/bio/0,,413202,00.html"} +{"d:Title": "h2g2: Nick Cave", "d:Description": "History of the singer from the online version of the Hitchhiker's Guide to the Galaxy.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.h2g2.com/approved_entry/A570052"} +{"d:Title": "MTV.com: Nick Cave", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick", "url": "http://www.mtv.com/artists/nick-cave/"} +{"d:Title": "Musicfolio: Nick Cave and the Bad Seeds", "d:Description": "Capsule album reviews with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cave,_Nick/Reviews", "url": "http://musicfolio.com/modernrock/nickcave.html"} +{"d:Title": "Kate Ceberano", "d:Description": "Official site with news, photographs, videos and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Ceberano,_Kate", "url": "http://www.kateceberano.com/"} +{"d:Title": "Kate Ceberano: Enough Rope", "d:Description": "Transcript from an Australian television interview.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Ceberano,_Kate", "url": "http://www.abc.net.au/tv/enoughrope/transcripts/s917578.htm"} +{"d:Title": "Wikipedia: Cee-Lo Green", "d:Description": "Article provides an overview of his career, collaborations and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cee-Lo", "url": "http://en.wikipedia.org/wiki/Cee-Lo_Green"} +{"d:Title": "RockMagic.net: Celtic Frost", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Celtic_Frost", "url": "http://lyrics.rockmagic.net/lyrics/celtic_frost/"} +{"d:Title": "Homage to Celtic Frost", "d:Description": "Official site includes news, frequently asked questions, biography, discography, equipment, interviews, pictures, lyrics and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Celtic_Frost", "url": "http://www.celticfrost.com/"} +{"d:Title": "Roddy's Irish Music Show: Celtic Legacy", "d:Description": "Interview with Dave Morrissey and Dave Boylan.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Celtic_Legacy", "url": "http://www.almostfabulous.com/rc/interviews/celticlegacy.php"} +{"d:Title": "Celtic Legacy On-Line", "d:Description": "Official site for the Celtic rock/hard rock band from Dublin. History, FAQ, sound files, and pre-sales of an upcoming album to fund its recording.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Celtic_Legacy", "url": "http://www.celtic-legacy.com/"} +{"d:Title": "Lisa Cerbone", "d:Description": "Official site has news, MP3s, biography, lyrics, tour dates and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cerbone,_Lisa", "url": "http://www.lisacerbone.com/"} +{"d:Title": "TrouserPress.com: A Certain Ratio", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Certain_Ratio,_A", "url": "http://trouserpress.com/entry.php?a=a_certain_ratio"} +{"d:Title": "The Chad and Jeremy Record Archive", "d:Description": "Includes a brief history, discographies, rarities guide, liner notes, pictures, press releases and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chad_and_Jeremy", "url": "http://www.jasonrh.com/cjahomefor.htm"} +{"d:Title": "The Official Chad and Jeremy Website", "d:Description": "Includes discographies, lyrics, timelines, news, bulletin board, news, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chad_and_Jeremy", "url": "http://www.chadandjeremy.net/cj/"} +{"d:Title": "Japan's UNICEF envoy urges continued support for E. Timor", "d:Description": "Reproduction of an article originally printed in the Kyodo News.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chan,_Agnes", "url": "http://www.etan.org/et2000b/june/18-24/20japan.htm"} +{"d:Title": "Stanford Magazine - Pop Star With a PhD.", "d:Description": "Article by Cynthia Haven, providing an overview of the singer's career.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chan,_Agnes", "url": "http://www.stanfordalumni.org/news/magazine/1999/julaug/departments/onthejob.html"} +{"d:Title": "Agnes Chan Hong Kong", "d:Description": "Site about the ex-Hong Kong singer. Photos, and fan information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chan,_Agnes", "url": "http://www.agneschan.org/aghk/"} +{"d:Title": "Bits of Kit Chan", "d:Description": "Fan site with news articles, reviews, photo gallery, wallpapers, webcards, discography, lyrics, ringtones and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chan,_Kit", "url": "http://www.kitchan.com/"} +{"d:Title": "Sheila Chandra", "d:Description": "Official site provides a biography, discography, interviews, press clips, and related links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chandra,_Sheila", "url": "http://www.sheilachandra.com/"} +{"d:Title": "Real World Records: Sheila Chandra", "d:Description": "Information on Chandra's recordings on the Real World label.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chandra,_Sheila", "url": "http://www.realworldrecords.com/artists/sheila-chandra"} +{"d:Title": "The Doo-Wop Society of Southern California: The Chantels", "d:Description": "Photograph, profile, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chantels,_The", "url": "http://electricearl.com/dws/chantels.html"} +{"d:Title": "The Chantels", "d:Description": "Events calendar, profiles, history, photo gallery, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chantels,_The", "url": "http://jerrysko.freeservers.com/Chantels/chantels.html"} +{"d:Title": "History of Rock: The Chantels", "d:Description": "Biography of the 1950s female rhythm and blues group.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chantels,_The", "url": "http://www.history-of-rock.com/chantels.htm"} +{"d:Title": "The Official Harry Chapin Web Site", "d:Description": "Created by Harry's family to share stories, old films, poems, and unpublished music. Purchase out of print releases, early music, and special re-releases from store or learn about special related concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapin,_Harry", "url": "http://www.harrychapinmusic.com/"} +{"d:Title": "Harry's Friends", "d:Description": "This site features stories about Chapin by people who met or knew him. Includes links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapin,_Harry", "url": "http://www.harrysfriends.com/"} +{"d:Title": "Harry Chapin Archive", "d:Description": "Large collection of articles, pictures, and general information on the late singer-songwriter. Includes a chat room and mailing list for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapin,_Harry", "url": "http://www.harrychapin.com/"} +{"d:Title": "Any Old Kind of Day", "d:Description": "With discography, biography, current events calendar, time line, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapin,_Harry", "url": "http://www.anyoldkindofday.com/"} +{"d:Title": "Harry Chapin World", "d:Description": "A fan site with biography, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapin,_Harry", "url": "http://www.angelfire.com/nc3/harrychapin/"} +{"d:Title": "Chapin Music", "d:Description": "Includes versions of Chapin songs, guitar chords, rare unreleased songs, and image galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapin,_Harry", "url": "http://www.chapinmusic.com/"} +{"d:Title": "Harry Chapin", "d:Description": "A fan's appreciation, including his correspondence with the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapin,_Harry", "url": "http://www.stewardfamilyweb.co.uk/harry.htm"} +{"d:Title": "Beth Nielsen Chapman", "d:Description": "Fan site for the singer-songwriter with biography, discography, reviews, interviews and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Beth_Nielsen", "url": "http://users.bart.nl/~jad/nielsen.html"} +{"d:Title": "Beth Nielsen Chapman", "d:Description": "Official site includes song and album details, tour dates, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Beth_Nielsen", "url": "http://www.bethnielsenchapman.com/"} +{"d:Title": "Roger Chapman by Knights In Blue Denim", "d:Description": "Short biography and links to other related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Roger", "url": "http://www.fridhammar.com/xrogercha.html"} +{"d:Title": "Wilson and Alroy's Record Reviews: Tracy Chapman", "d:Description": "Reviews of 'Debut Album', 'Crossroads', 'Matters of the Heart', 'New Beginning' and 'Telling Stories.'", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Tracy", "url": "http://www.warr.org/chapman.html"} +{"d:Title": "About Tracy Chapman", "d:Description": "Biography, discography, lyrics, guitar tablatures, photos, videos, articles, concerts, memorabilia and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Tracy", "url": "http://www.about-tracy-chapman.net/"} +{"d:Title": "Guardian: A Militant Mellows", "d:Description": "Interview by Gary Younge about the changes between her first album and \"Let It Rain.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Tracy", "url": "http://www.theguardian.com/music/2002/sep/28/artsfeatures.popandrock"} +{"d:Title": "George Graham", "d:Description": "Review of 'New Beginning' by Tracy Chapman.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Tracy", "url": "http://www.georgegraham.net/chapman.html"} +{"d:Title": "MTV: Tracy Chapman", "d:Description": "Biography, news stories, message board and audio clips from all of Chapman's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chapman,_Tracy", "url": "http://www.mtv.com/artists/tracy-chapman/"} +{"d:Title": "Ray Charles is God - He Knows All, He Sees All", "d:Description": "Original song-by-song analysis of Charles' greatest records and compositions.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.morethings.com/music/brother-ray/"} +{"d:Title": "Grudge Match: Stevie Wonder vs. Ray Charles", "d:Description": "Commentary on who would win in a game of darts.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.grudge-match.com/History/stevie-ray.shtml"} +{"d:Title": "Todd Peach's Ray Charles Page", "d:Description": "Includes a collection of lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.thepeaches.com/music/raycharles/"} +{"d:Title": "BBC News: Ray Charles", "d:Description": "Obituary with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/3605789.stm"} +{"d:Title": "NPR : Singer and Pianist Ray Charles", "d:Description": "Retrospective of interviews and live recordings as part of a Soul Music Week series. [16:14 Realaudio broadcast]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.npr.org/templates/story/story.php?storyId=1128034"} +{"d:Title": "NPR : Remembering Ray Charles", "d:Description": "Day to Day remembers the life and songs of musician Ray Charles, who died today at the age of 73 after a long battle with cancer. [4:05 Realaudio broadcast]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.npr.org/templates/story/story.php?storyId=1953571"} +{"d:Title": "NPR : Ray Charles Sept. 11 Tribute", "d:Description": "Tavis Smiley speaks to Ray Charles about the events of Sept. 11, 2001 and about his version of the song America the Beautiful. [10:19 Realaudio broadcast]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.npr.org/templates/story/story.php?storyId=1149766"} +{"d:Title": "NPR : Ray Charles", "d:Description": "Tavis Smiley interviews music legend Ray Charles about his new CD Thanks for Bringing Love Around Again. Charles talks about the album, his career and why after 50 years in the business, he still finds enjoyment in making music. [27:33 Realaudio broadcast]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.npr.org/templates/story/story.php?storyId=1147630"} +{"d:Title": "NPR : Ray Charles, American Legend, Dies at 73", "d:Description": "American musical icon Ray Charles died of complications from liver disease today at his Beverly Hills home. In a career that lasted more than half a century, Charles defied categorization and brought his soulful stylings to jazz, pop, country and rhythm and blues. [8:20 Realaudio broadcast]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.npr.org/templates/story/story.php?storyId=1947628"} +{"d:Title": "All Music Guide: Ray Charles", "d:Description": "Provides a biography, discography, photo, song highlights, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.allmusic.com/artist/ray-charles-p3876"} +{"d:Title": "Rock and Roll Hall of Fame: Ray Charles", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://rockhall.com/inductees/ray-charles/"} +{"d:Title": "AskMen.com: Ray Charles", "d:Description": "Pictures, biography and comments.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.askmen.com/celebs/men/entertainment_60/90_ray_charles.html"} +{"d:Title": "Kennedy Center: Ray Charles", "d:Description": "Biography and picture from the John F. Kennedy Center for the Performing Arts, which honored Charles in 1986.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.kennedy-center.org/artist/A3706"} +{"d:Title": "The NPR 100 : Ray Charles", "d:Description": "Robert Siegel talks to musician Ray Charles about his 1959 song 'What'd I Say'. The song was chosen by NPR listeners as part of the 'NPR 100'... 100 pieces of music that are among the best American music of the 20th century.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Charles,_Ray", "url": "http://www.npr.org/2000/02/21/1070667/whatd-i-say"} +{"d:Title": "All Music Guide: Boozoo Chavis", "d:Description": "Provides a biography, discography, photo, song highlights, and a list of similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chavis,_Boozoo", "url": "http://www.allmusic.com/artist/boozoo-chavis-p58114"} +{"d:Title": "The Chayanne Fan Site", "d:Description": "Dedicated to the creative talents of the singer/actor - with news, photos, links, lyrics, mailing list, message board, and chat. In Spanish, English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chayanne", "url": "http://www.chayfans.org/"} +{"d:Title": "IMDb: Chayanne", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chayanne", "url": "http://www.imdb.com/name/nm0154663/"} +{"d:Title": "Danny Saint's Cheap Trick World", "d:Description": "Tribute offers photographs, audio samples, guitar chords, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick", "url": "http://www.trickworld.com/"} +{"d:Title": "Cheap Trick", "d:Description": "Official site with mailing list, pictures, audio and video clips, message board and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick", "url": "http://www.cheaptrick.com/"} +{"d:Title": "Go Completely Wild with Cheap Trick", "d:Description": "Fan site with photos and sound files from several shows; icons, setlists, tickets, and beardtag.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick", "url": "http://home.swipnet.se/~w-44231/"} +{"d:Title": "Jewel's Cheap Trick Page", "d:Description": "Fan's story about the Cornell Benefit concert at the Palace Theater, and having drinks and conversation with Robin afterwards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick", "url": "http://www.angelfire.com/band/cheapTrick/index.html"} +{"d:Title": "Kim Gisborne's Unofficial Cheap Trick Newsletter", "d:Description": "Fan site contains unofficial newsletters from 1996, plus concert reviews, interviews, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick", "url": "http://www.ctnewseurope.co.uk/"} +{"d:Title": "The Onion AV Club: Cheap Trick", "d:Description": "Interview with guitarist and songwriter Rick Nielsen on Lollapalooza, alt-rock, and The Flame.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick", "url": "http://www.avclub.com/articles/cheap-trick,13461/"} +{"d:Title": "Rolling Stone: Cheap Trick", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick", "url": "http://www.rollingstone.com/music/artists/cheap-trick"} +{"d:Title": "Cheap Chick", "d:Description": "All-girl tribute band from Los Angeles. History, shows, pictures and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cheap_Trick/Tribute_Bands", "url": "http://www.cheapchick.com/"} +{"d:Title": "Chubby Checker Online", "d:Description": "Official site includes biography, merchandise, tour itinerary, pictures, sound and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Checker,_Chubby", "url": "http://www.chubbychecker.com/"} +{"d:Title": "ClassicBands.com: Chubby Checker", "d:Description": "Biography and list of Top 40 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Checker,_Chubby", "url": "http://www.classicbands.com/checker.html"} +{"d:Title": "Chemical Abstracts, The Chemical Brothers", "d:Description": "Includes discography with song clips, lyrics, photos and history.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chemical_Brothers,_The", "url": "http://www.iol.ie/~thedeans/chem/index.html"} +{"d:Title": "Chemical Brothers: Setting Sun", "d:Description": "A review of the video from Queer in Your Ear.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chemical_Brothers,_The", "url": "http://www.joeclark.org/QiYE58.html#chembros"} +{"d:Title": "The Chemical Brothers", "d:Description": "Official site with news, discography, sound samples, contests, message board and \"ask the band\" feature. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chemical_Brothers,_The", "url": "http://www.thechemicalbrothers.com/"} +{"d:Title": "MTV.com: Chemical Brothers", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chemical_Brothers,_The", "url": "http://www.mtv.com/artists/the-chemical-brothers/"} +{"d:Title": "Chemlab 2000", "d:Description": "Discography, interviews, reviews, pictures, links and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chemlab", "url": "http://members.tripod.com/~chemlab2000/CHEMLAB.html"} +{"d:Title": "Chemlab: Burned Out on Bad Current", "d:Description": "Featuring some information, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chemlab", "url": "http://www.angelfire.com/ri/decomposed/chemlab.html"} +{"d:Title": "The Chemlab Homepage", "d:Description": "Contains interviews, lyrics/discography, reviews, video and audio clips, and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chemlab", "url": "http://www.waste.org/chemlab/"} +{"d:Title": "Alligator Records: C.J. Chenier", "d:Description": "Label site features a biography, photos, tour dates, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chenier,_C.J.", "url": "http://www.alligator.com/artists/bio.cfm?ArtistID=038"} +{"d:Title": "All Music Guide: C.J. Chenier", "d:Description": "Provides a biography, discography, song highlights, and a photo.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chenier,_C.J.", "url": "http://www.allmusic.com/artist/cj-chenier-mn0000532312"} +{"d:Title": "Zydeco Kingdom", "d:Description": "Tribute offers a biography, photos, fan comments, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chenier,_Clifton", "url": "http://www.coldbacon.com/music/clifton.html"} +{"d:Title": "Lafayette Daily Advertiser", "d:Description": "Article remembering the late artist entitled \"Clifton Chenier put zydeco music on the map\", by Jim Bradshaw.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chenier,_Clifton", "url": "http://www.carencrohighschool.org/LA_Studies/ParishSeries/FrenchMusic/CliftonChenier.htm"} +{"d:Title": "All Music Guide: Clifton Chenier", "d:Description": "Provides a biography, discography, photo, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chenier,_Clifton", "url": "http://www.allmusic.com/artist/clifton-chenier-p720"} +{"d:Title": "Matt's Eagle-Eye Cherry Page", "d:Description": "Includes images, video, chat, forum, lyrics, guitar tablatures, sound clips, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cherry,_Eagle-Eye", "url": "http://www.mattsmusicpage.com/neagleeye.htm"} +{"d:Title": "MTV: Eagle-Eye Cherry", "d:Description": "Includes album reviews, news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cherry,_Eagle-Eye", "url": "http://www.mtv.com/artists/eagle-eye-cherry/"} +{"d:Title": "The Official Cherry Poppin' Daddies Web Site", "d:Description": "Tour information, merchandise, fan club, mailing list, contact information, news, and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cherry_Poppin'_Daddies", "url": "http://www.daddies.com/"} +{"d:Title": "MTV.com: Cherry Poppin' Daddies", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cherry_Poppin'_Daddies", "url": "http://www.mtv.com/artists/cherry-poppin-daddies/"} +{"d:Title": "New West Records", "d:Description": "Home for artists who perform real music for real people. Artists include Vic Chesnutt, Tim Easton, Chuck Prophet, old 97s.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic", "url": "http://www.newwestrecords.com/"} +{"d:Title": "Rabbits are Cooking Breakfast", "d:Description": "Fan site with lyrics, discography, biography, online store and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic", "url": "http://vicchesnutt.cupantae.com/"} +{"d:Title": "Vic Chesnutt", "d:Description": "Official site containing current news, tour info, mp3s, merchandise, lyrics, bio.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic", "url": "http://www.vicchesnutt.com/"} +{"d:Title": "Yahoo! Groups : vicchesnutt", "d:Description": "Mailing list. Discussion of all things Vic.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic", "url": "http://launch.groups.yahoo.com/group/vicchesnutt/"} +{"d:Title": "Humble and Gracious - Vic Fan Tribute Album", "d:Description": "Tribute CD made by his fans online.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic", "url": "http://vicchesnutt.cupantae.com/tribute/index.html"} +{"d:Title": "Vic Chesnutt review of reissues", "d:Description": "Berube Communications Press release - Brief review of Little, Drunk, Is the Actor Happy and West of Rome reissues 2004.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic/Articles_and_Interviews", "url": "http://www.berubecommunications.com/html/vicchesnutt.html"} +{"d:Title": "Pitchfork: Vic Chesnutt and Mr. and Mrs. Keneipp - Merriment", "d:Description": "John Dark's review of the album: \"With a modicum effort, you can hear hints of Lennon, Chilton, Richman, Black and even fellow Athenian Stipe in the lines and chord progressions. But Merriment is more an example of archetypal songwriting than it is derivative.\" Rated 8.9 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic/Articles_and_Interviews", "url": "http://pitchfork.com/reviews/albums/1428-merriment-with-mr-mrs-keneipp/"} +{"d:Title": "Vic Chesnutt: Colours: Pitchfork Review", "d:Description": "Review of Little, Drunk, Is the Actor Happy and West of Rome reissues by Stephen M. Deusner. (July 21, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic/Articles_and_Interviews", "url": "http://pitchfork.com/reviews/albums/11701-littlewest-of-romedrunkis-the-actor-happy/"} +{"d:Title": "New Georgia Encyclopedia: Vic Chesnutt (b. 1964)", "d:Description": "Biography from New Georgia Encyclopedia", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chesnutt,_Vic/Articles_and_Interviews", "url": "http://www.georgiaencyclopedia.org/articles/arts-culture/vic-chesnutt-1964-2009"} +{"d:Title": "Chevelle", "d:Description": "Official site for Chicago based trio. Features news, tour dates, discography with sound files, merchandise, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chevelle", "url": "http://www.chevelleinc.com/"} +{"d:Title": "Nile and Bernard Tribute", "d:Description": "Fan tribute to Nile Rodgers and the late Bernard Edwards of Chic with history, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chic", "url": "http://www.disco-disco.com/tributes/chic.html"} +{"d:Title": "Wilson and Alroy's Record Reviews: Chic", "d:Description": "Reviews of eight albums by the disco group.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chic", "url": "http://www.warr.org/chic.html"} +{"d:Title": "Chic", "d:Description": "Comments and discography on songs written, arranged, produced or performed by the group.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chic", "url": "http://www.skierpage.com/chic/"} +{"d:Title": "Nile Rodgers Official Site", "d:Description": "Includes timeline, press, tour dates, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chic", "url": "http://nilerodgers.com/"} +{"d:Title": "ChicTribute.com", "d:Description": "Provides a discography, history, photo gallery, articles, reviews, audio samples, video clips, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chic", "url": "http://www.chictribute.com/"} +{"d:Title": "Entertainment Ave: Chicago", "d:Description": "Review of a concert with Hall and Oates and Billy Mann at The Rosemont Theatre in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/chicago/ch062198.htm"} +{"d:Title": "Entertainment Ave: Crosby, Stills,&Nash and Chicago", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/csn/cs072796.htm"} +{"d:Title": "Chicago Online", "d:Description": "Fan site for the band and Peter Cetera includes lyrics, pictures and history.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago", "url": "http://chicagonline.bizhosting.com/"} +{"d:Title": "Chicago", "d:Description": "Official site with tour dates, biography, discography, and fan club information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago", "url": "http://www.chicagotheband.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Chicago", "d:Description": "Reviews and analysis of the band's early albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago", "url": "http://sfloman.com/chicago.html"} +{"d:Title": "MTV.com: Chicago", "d:Description": "Includes album reviews, news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago", "url": "http://www.mtv.com/artists/chicago/"} +{"d:Title": "The Chicago Experience", "d:Description": "Tribute band based in the Chicago area. Provides member profiles, appearance schedule, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago", "url": "http://www.thechicagoexperience.com/"} +{"d:Title": "Waterfalls: Peter Cetera Fan Site", "d:Description": "Biography, discography, poll, and news. [English and Japanese.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago/Cetera,_Peter", "url": "http://www.geocities.co.jp/MusicStar/2373/cetera.htm"} +{"d:Title": "The Official Peter Cetera Fan Club", "d:Description": "Biography, discography, lyrics, news, mailing list and fan site of the month.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicago/Cetera,_Peter", "url": "http://www.peterceterafanclub.com/"} +{"d:Title": "Chicks on Speed", "d:Description": "Official site with pictures and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chicks_on_Speed", "url": "http://www.chicksonspeed.com/"} +{"d:Title": "The Chieftains", "d:Description": "Discography from Ceolas.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chieftains,_The", "url": "http://www.ceolas.org/artists/Chieftains.html"} +{"d:Title": "The Chieftains", "d:Description": "Band member biographies, history, discography, news, tour dates, concert and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chieftains,_The", "url": "http://members.shaw.ca/chieftains/"} +{"d:Title": "Minstrels and Music: The Chieftains", "d:Description": "A brief history of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chieftains,_The", "url": "http://www.stmoroky.com/reviews/music/chieftan.htm"} +{"d:Title": "Sarah McLachlan and the Chieftains", "d:Description": "Review of concert that took place at the Palace Theatre in New Haven, CT in 1995.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chieftains,_The", "url": "http://www.smoe.org/meth/stuff/sarchf.html"} +{"d:Title": "MIT Tech: Galway and Chieftains Delight with Irish Music", "d:Description": "Review of concert performed at Symphony Hall at MIT in 1991.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chieftains,_The", "url": "http://tech.mit.edu/V111/N13/galway.13a.html"} +{"d:Title": "Jane Child", "d:Description": "Official site. Includes news, photos, trivia, discography and MP3 downloads, lyrics, press, and online merchandise store.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Child,_Jane", "url": "http://www.janechild.com/"} +{"d:Title": "Yahoo Groups: Jane Child", "d:Description": "An unmoderated mailing list for the discussion of the artist's music and career.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Child,_Jane", "url": "http://groups.yahoo.com/group/jane_child/"} +{"d:Title": "Canoe: Jane Child", "d:Description": "Jane's entry in the Canadian Music Encyclopedia. With biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Child,_Jane", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Child_Jane.html"} +{"d:Title": "Children of Dub", "d:Description": "UK dance band creating a fusion of dub, trance, ambient and drum and bass. Includes history, MP3s, pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Children_of_Dub", "url": "http://www.iol.ie/~childrenofdub/"} +{"d:Title": "Toni Childs", "d:Description": "Toni's official site with news about her recording and writing projects, performance schedule, and notes on her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Childs,_Toni", "url": "http://www.tonichilds.com/"} +{"d:Title": "MTV.com: Toni Childs", "d:Description": "Biography, discography, audio clips and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Childs,_Toni", "url": "http://www.mtv.com/artists/toni-childs/"} +{"d:Title": "All Music Guide: Toni Childs", "d:Description": "Biography, discography, collaborations, similar and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Childs,_Toni", "url": "http://www.allmusic.com/artist/toni-childs-mn0000619845"} +{"d:Title": "CanConRox: Chilliwack", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chilliwack", "url": "http://www.canadianbands.com/Chilliwack.html"} +{"d:Title": "Chilliwack Album Releases", "d:Description": "Listing of all albums released by Canadian rock band, with artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chilliwack", "url": "http://garylessard.com/chilliwack/"} +{"d:Title": "Canadian Pop Music Encyclopedia: Chilliwack", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chilliwack", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Chilliwack.html"} +{"d:Title": "Martin Phillipps&The Chills", "d:Description": "News, forum, biography, discography and articles from the New Zealand band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chills,_The", "url": "http://www.softbomb.com/"} +{"d:Title": "Slipcue E-Zine: Martin Phillipps and The Chills", "d:Description": "Discography with short reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chills,_The", "url": "http://www.slipcue.com/music/pop/newzealand/chills.html"} +{"d:Title": "TrouserPress.com: Chills", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chills,_The", "url": "http://www.trouserpress.com/entry.php?a=chills"} +{"d:Title": "Chino XL: Ill Rymes and Punchlines", "d:Description": "Biography, lyrics, pictures, appearances and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chino_XL", "url": "http://www.angelfire.com/mo/realHiphop/Chinoxl.html"} +{"d:Title": "Official Melanie C Website", "d:Description": "Official site of the artist. Contains biography, forum, tour dates, pictures, downloads and music releases.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chisholm,_Melanie", "url": "http://www.melaniec.net/"} +{"d:Title": "100% Melanie C", "d:Description": "Information, pictures, news and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chisholm,_Melanie", "url": "http://chizzum.net/"} +{"d:Title": "Melanie C the Northern Star", "d:Description": "Includes links, pictures, lyrics and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chisholm,_Melanie", "url": "http://www.angelfire.com/hi4/melaniec/"} +{"d:Title": "Melanie My Goddess", "d:Description": "Melanie My Goddess is a site dedicated to the wonderful Melanie Chisholm aka Sporty Spice of the Spice Girls. Come visit for pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chisholm,_Melanie", "url": "http://www.members.tripod.com/~SpiceLMF86/index.htm"} +{"d:Title": "Melanie C Online", "d:Description": "Latest downloads, audio and video exclusives.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chisholm,_Melanie", "url": "http://www.melaniec-online.net/"} +{"d:Title": "Melanie C Mecca", "d:Description": "Contains music clips, video clips, photographs, chat transcripts, and magazine articles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chisholm,_Melanie", "url": "http://melanie-c.tripod.com/"} +{"d:Title": "Sub Pop Records: Chixdiggit", "d:Description": "Label site includes tour dates, biography and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chixdiggit", "url": "http://www.subpop.com/artists/chixdiggit"} +{"d:Title": "All Music Guide: Chixdiggit", "d:Description": "Profile includes biography, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chixdiggit", "url": "http://www.allmusic.com/artist/chixdiggit!-mn0000086770"} +{"d:Title": "Canoe.ca: Chixdiggit", "d:Description": "Features a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chixdiggit", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Chixdiggit.html"} +{"d:Title": "The Chordettes", "d:Description": "Brief history of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chordettes,_The", "url": "http://www.tsimon.com/chordett.htm"} +{"d:Title": "Frank Christian Discography", "d:Description": "Album listing, including guest appearances, with cover images and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christian,_Frank", "url": "http://www.wirz.de/music/chrisfrm.htm"} +{"d:Title": "AMG: Frank Christian", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christian,_Frank", "url": "http://www.allmusic.com/artist/frank-christian-mn0000189011"} +{"d:Title": "Christian Death Lyrics", "d:Description": "Lyrics from four Christian Death albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christian_Death", "url": "http://www.obscure.org/~vlad/lyrics/xian.death.html"} +{"d:Title": "Wikipedia: Christian Death", "d:Description": "Article with discography, explaining the conflict between Valor and Rozz Williams over the band's name.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christian_Death", "url": "http://en.wikipedia.org/wiki/Christian_Death"} +{"d:Title": "Dear Skin...", "d:Description": "Fan site includes brief biography on his life and few projects and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christian_Death/Williams,_Rozz", "url": "http://www.angelfire.com/indie/bbblux/RW_Index.HTM"} +{"d:Title": "Official Rozz Williams", "d:Description": "Artwork, lyrics, poetry, discography, and a memorial to the late Rozz Williams of the band Christian Death.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christian_Death/Williams,_Rozz", "url": "http://rozznet.com/"} +{"d:Title": "Christmas", "d:Description": "Entry at the Canadian Music Encyclopedia includes a full biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christmas", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Christmas.html"} +{"d:Title": "The Florin Street Band", "d:Description": "A Victorian-themed band created by composer, Leigh Haggerwood, to perform the Christmas songs. The website contains video clips, a gallery and various information about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christmas", "url": "http://www.florinstreet.com/"} +{"d:Title": "Jude", "d:Description": "Official site offers news, lyrics, tour dates, and audio clips.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christodal,_Jude", "url": "http://www.judemusic.com/"} +{"d:Title": "Jude", "d:Description": "Features tape trades section, news, tour dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christodal,_Jude", "url": "http://www.angelfire.com/ca2/capsicum/jude.html"} +{"d:Title": "Lauren Christy", "d:Description": "Fan site features biography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christy,_Lauren", "url": "http://users.bart.nl/~jad/christy.html"} +{"d:Title": "ArtistDirect: Lauren Christy", "d:Description": "Includes biography and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Christy,_Lauren", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,414764,00.html"} +{"d:Title": "Chris and Cosey", "d:Description": "The official web site of the industrial music duo, as well as of their CTI and Carter Tutti projects. Also sections on Throbbing Gristle, Industrial Records and COUM Transmissions.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chris_and_Cosey", "url": "http://www.chrisandcosey.co.uk/"} +{"d:Title": "Wikipedia: Chuck D", "d:Description": "Encyclopedia article.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chuck_D", "url": "http://en.wikipedia.org/wiki/Chuck_D"} +{"d:Title": "Mother Jones: Chuck D", "d:Description": "The Former Public Enemy front man on the political power of hip-hop. Interview by Jeff Chang.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chuck_D", "url": "http://www.motherjones.com/arts/qa/2004/09/09_100.html"} +{"d:Title": "Salon: No Fear of an MP3 Planet", "d:Description": "As Public Enemy embraces new music technology and takes on the recording industry, it's also helping smash the Web's lily-white image. [June 1, 1999]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chuck_D", "url": "http://www.salon.com/1999/06/01/hiphop/"} +{"d:Title": "The First Church of Chumbawamba", "d:Description": "Official band website. The usual stuff (news, discography) is supplemented with links to anarchist websites, a collection of bad reviews they've received, and the like.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chumbawamba", "url": "http://www.chumba.com/"} +{"d:Title": "Chumbawamba: Live at Irving Plaza", "d:Description": "Concert review from NY Rock.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chumbawamba", "url": "http://www.nyrock.com/reviews/chumbawamba.htm"} +{"d:Title": "Showbusiness", "d:Description": "Includes lyrics, interviews, songs in RealAudio format and a complete gig list from 1982 to 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chumbawamba", "url": "http://www.kipuka.net/chumba/"} +{"d:Title": "All Music Guide: Chumbawamba", "d:Description": "Profile, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chumbawamba", "url": "http://www.allmusic.com/artist/chumbawamba-mn0000781370"} +{"d:Title": "Sorted Magazine: Chumbawamba", "d:Description": "Profile and Alice Nutter's political views.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chumbawamba/Articles_and_Interviews", "url": "http://www.sortedmagazine.com/archive/magazine/features/chumba.htm"} +{"d:Title": "NY Rock: Chumbawumba on What it Means to Tubthump", "d:Description": "Interview about the group's success and approach to anarchism.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Chumbawamba/Articles_and_Interviews", "url": "http://www.nyrock.com/interviews/chumb_int.htm"} +{"d:Title": "Love and Bubbles", "d:Description": "Fan site with profile, pictures, discography, news and chat.[English and Japanese.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_Charlotte", "url": "http://www.geocities.co.jp/MusicHall/4844/"} +{"d:Title": "AskMen.com: Charlotte Church", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_Charlotte", "url": "http://www.askmen.com/celebs/women/singer_60/78_charlotte_church.html"} +{"d:Title": "All Music Guide: Charlotte Church", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_Charlotte", "url": "http://www.allmusic.com/artist/charlotte-church-mn0000051603"} +{"d:Title": "The Blurred Crusade", "d:Description": "General information and news about The Church.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://theblurredcrusade.8m.com/"} +{"d:Title": "The Official Church Website", "d:Description": "Release and tour information,images, biographies and buy official Church merchandise straight from the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://www.thechurchband.com/"} +{"d:Title": "Heyday", "d:Description": "A general page about the band - primarily focussed on discussion and trading.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://members.tripod.com/heyday/"} +{"d:Title": "Sing Songs", "d:Description": "A collection of transcriptions and chords from songs by The Church, members' solo work and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://www.singsongs.de/"} +{"d:Title": "Church Starfish Tabbook", "d:Description": "Download a copy of the Church Starfish Tab Book (out-of-print) in pdf format.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://www.angelfire.com/alt/ima_womber/"} +{"d:Title": "The Church Setlist Database", "d:Description": "A listing of every known tour date for the Australian band The Church and two of their members, Steve Kilbey and Marty Wilson-Piper. Includes Date and Venue, some have setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://faculty.rwu.edu/pwebb/setlists.html"} +{"d:Title": "Yahoo! Groups : thechurchaustralianband", "d:Description": "Yahoo Group for fans of The Church.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://groups.yahoo.com/group/thechurchaustralianband/"} +{"d:Title": "Hotel Womb", "d:Description": "Web bulletin board for Church fans. \"Rooms\" for discussions about the band and other topics. Links to other Church essential sites.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "http://hotelwomb.yuku.com/"} +{"d:Title": "Seance, The Church Mailing List", "d:Description": "Google Group dedicated to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The", "url": "https://groups.google.com/forum/?fromgroups#!forum/seance-list"} +{"d:Title": "Playlouder: After Everything Now This", "d:Description": "Simon Williams' review: \"more often than not displays a penchant for melody and tension which would shame many of the new millennium's pop pups.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The/Reviews/After_Everything_Now_This", "url": "http://playlouder.com/dhtml/#/content/11325/after-everything-now-this"} +{"d:Title": "AMG All Music Guide: After Everything Now This", "d:Description": "Hal Horowitz's review: \"Like the gray pictures of a tranquil ocean on the album's artwork, this music gradually shifts like the tides, taking its time and setting a languid mood.\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The/Reviews/After_Everything_Now_This", "url": "http://www.allmusic.com/album/after-everything-now-this-r570800"} +{"d:Title": "AMG All Music Guide: Gold Afternoon Fix", "d:Description": "Ned Raggett's review.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The/Reviews/Gold_Afternoon_Fix", "url": "http://www.allmusic.com/album/gold-afternoon-fix-r3985"} +{"d:Title": "AMG All Music Guide: Priest = Aura", "d:Description": "Ned Raggett's review: \"Priest Aura shows the Church fully in charge of creating evocative, poetic and gripping music with a distinctly unsettling edge.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The/Reviews/Priest_Aura", "url": "http://www.allmusic.com/album/priest--aura-mw0000711935"} +{"d:Title": "AMG All Music Guide: Sometime Anywhere", "d:Description": "Ned Raggett's review: \"Atmospheres, as always, are key to the Church sound, with some extremely textured and lovely keyboard sounds and buried samples adding to the mysterious flow of many of the songs.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The/Reviews/Sometime_Anywhere", "url": "http://www.allmusic.com/album/sometime-anywhere-r197756"} +{"d:Title": "AMG All Music Guide: Starfish", "d:Description": "Ned Raggett's review: \"Performances throughout are at the least fine and at the most fantastic.\" 4.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Church,_The/Reviews/Starfish", "url": "http://www.allmusic.com/album/starfish-r3983"} +{"d:Title": "Cibo Matto Web: Crazy Food", "d:Description": "News, sound and video clips, articles, tour dates, profiles, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cibo_Matto", "url": "http://www.damoon.net/CIBO.htm"} +{"d:Title": "Yuka Honda", "d:Description": "Information on Honda's solo album with audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cibo_Matto", "url": "http://www.damoon.net/yuka/"} +{"d:Title": "Cinderella.net", "d:Description": "Official site with news, tour dates, chat rooms, message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinderella", "url": "http://www.cinderella.net/"} +{"d:Title": "Cinderella Still Rockin'", "d:Description": "Mailing list, history, current information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinderella", "url": "http://www.angelfire.com/tn2/cinderellastillrockn/"} +{"d:Title": "Cinderella", "d:Description": "Discography with album cover scans and a timeline of the band's history.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinderella", "url": "http://www.rocknrollhell.com/cinderella/"} +{"d:Title": "Fred Coury's Official Website", "d:Description": "Official site of Fred Coury, ex-Arcade and Cinderella drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinderella", "url": "http://www.fredcoury.com/"} +{"d:Title": "Glam Band Cinderella", "d:Description": "Pictures, biographies, band merchandise, MIDIs, and a story of how the webmaster met the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinderella", "url": "http://www.angelfire.com/rock/glambandcinderella/index2.html"} +{"d:Title": "Azure Lady's Australian Dedication to Cinderella", "d:Description": "Discography, lyrics, and pictures of the band members.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinderella", "url": "http://www.angelfire.com/band/cinderella/"} +{"d:Title": "MTV.com: Cinderella", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinderella", "url": "http://www.mtv.com/artists/CINDERELLA"} +{"d:Title": "4ad-l Archives: Cindytalk", "d:Description": "Archives from the 4AD mailing list; Gordon Sharp of Cindytalk has sung with Cocteau Twins and This Mortal Coil.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cindytalk", "url": "http://www.evo.org/4ad-faq/artists/cindytalk/"} +{"d:Title": "Cinerama Official Website", "d:Description": "News, complete discography, lyrics, photos, and RealAudio clips, including video of a full concert.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinerama", "url": "https://scopitones.co.uk/"} +{"d:Title": "Cinerama Chords, Tab and Lyrics", "d:Description": "Chords and tablature from all of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinerama", "url": "http://www.cineramania.freeservers.com/"} +{"d:Title": "TrouserPress.com: Cinerama", "d:Description": "Review of their career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinerama", "url": "http://www.trouserpress.com/entry.php?a=cinerama"} +{"d:Title": "Metacritic: Disco Volante", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cinerama", "url": "http://www.metacritic.com/music/disco-volante/cinerama"} +{"d:Title": "Circle Jerks", "d:Description": "A fan page includes biography, interviews, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Circle_Jerks", "url": "http://members.tripod.com/~buzzbomb333/index2.html"} +{"d:Title": "Rock Around the World: Circle Jerks", "d:Description": "Interview with Keith Morris, by Emery Columna.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Circle_Jerks", "url": "http://ratw.com/promo/intview/circle_j.htm"} +{"d:Title": "TrouserPress.com: Circle Jerks", "d:Description": "Review of their recordings and career.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Circle_Jerks", "url": "http://www.trouserpress.com/entry.php?a=circle_jerks"} +{"d:Title": "Citizen Cope Official Site", "d:Description": "News, tour dates, message boards, wallpapers, biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Citizen_Cope", "url": "http://www.citizencope.com/"} +{"d:Title": "All Music Guide: Citizen King", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Citizen_King", "url": "http://www.allmusic.com/artist/p169373"} +{"d:Title": "MTV.com: City High", "d:Description": "Features videos, downloads, discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/City_High", "url": "http://www.mtv.com/artists/city-high/"} +{"d:Title": "All Music Guide: CKY", "d:Description": "Biography, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/CKY", "url": "http://www.allmusic.com/artist/p441362"} +{"d:Title": "Ed's Clannad Page", "d:Description": "Fan site includes news, pictures, lyrics, press releases, reviews, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clannad", "url": "http://www.clannad.nl/"} +{"d:Title": "The Unofficial Clannad News Page", "d:Description": "News about the Irish group and its members. Includes discography, history, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clannad", "url": "http://en.wikipedia.org/wiki/Clannad"} +{"d:Title": "Official Clan of Xymox", "d:Description": "Official site of the band, also once known as Xymox. News, tour dates, discography, pictures, lyrics and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://www.clanofxymox.com/"} +{"d:Title": "Born for Bliss: Clan of Xymox", "d:Description": "Biography of the Dutch band from a site about Born for Bliss, a Gothic-Wave band formed by former Xymox members.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://www.xs4all.nl/~forbliss/xymox.html"} +{"d:Title": "Metropolis Records: Clan of Xymox", "d:Description": "Profile and partial discography from their USA label.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://www.metropolis-records.com/?/artists/?artist=xymox"} +{"d:Title": "Aural Fixation: Lisa's Clan of Xymox Page", "d:Description": "Features live concert pictures and owner's CD and vinyl collection.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://www.auralfixation.net/xymox.html"} +{"d:Title": "Musicfolio: Clan of Xymox", "d:Description": "Profile, and discography with capsule reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://www.musicfolio.com/modernrock/xymox.html"} +{"d:Title": "Eyesore: Clan of Xymox", "d:Description": "Illustrated discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://quimby.gnus.org/html/group/clanofxymox.html"} +{"d:Title": "Leonard's Lair: Clan of Xymox", "d:Description": "Review of the John Peel Sessions album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://www.leonardslair.co.uk/xymox.htm"} +{"d:Title": "Wikipedia: Clan of Xymox", "d:Description": "Article on the band from the free encyclopedia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://en.wikipedia.org/wiki/Clan_of_Xymox"} +{"d:Title": "4AD: Clan of Xymox", "d:Description": "Profile, label discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clan_of_Xymox", "url": "http://www.4ad.com/artists/clanofxymox/"} +{"d:Title": "Eric Clapton Slowhand Blues Guitar", "d:Description": "Guitar tutorial and FTP archive of tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.12bar.de/"} +{"d:Title": "Eric Clapton and the Crossroads Curse", "d:Description": "Article about tragic connection between the artist and other musical acts who have recorded the song \"Crossroads.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://stormloader.com/users/crossroads/claptonpage.html"} +{"d:Title": "Yahoo Groups: Eric Clapton", "d:Description": "A discussion group for the life and music of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://groups.yahoo.com/group/clapton"} +{"d:Title": "Rockmagic.net: Eric Clapton", "d:Description": "Collection of lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://lyrics.rockmagic.net/lyrics/clapton_eric/"} +{"d:Title": "Eric Clapton Bootography", "d:Description": "Listing of unauthorized recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://pages.infinit.net/ronfaith/index.html"} +{"d:Title": "Eric Clapton and Cream Tablatures", "d:Description": "Tablatures and chords for guitar and bass for several songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.rockmagic.net/guitar-tabs/eric-clapton-cream/"} +{"d:Title": "E.C. Mainline Florida", "d:Description": "Site is available in French and English. Offers a range of selections that include biography, discography, videography, bibliography, the bands, tours, music, tablatures for songs, lyrics, forum, chat room, message board, photos and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.claptonweb.com/"} +{"d:Title": "Rock On The Net: Eric Clapton", "d:Description": "Features a time line for the music of the artist by individual years and includes release dates with chart positions for both singles and LPs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.rockonthenet.com/artists-c/ericclapton_main.htM"} +{"d:Title": "Eric Clapton", "d:Description": "Features music reviews for the artist. Offers album set list, musicians playing on each song, narrative about the recording and album cover artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://thebestofwebsite.com/Bands/Eric_Clapton.htm"} +{"d:Title": "Eric Clapton News: Topix", "d:Description": "News about Eric Clapton continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.topix.com/who/eric-clapton"} +{"d:Title": "(4305) Clapton", "d:Description": "Provides information and location of the minor planet named in honor of the British guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0004305.html"} +{"d:Title": "Eric Clapton", "d:Description": "Official site. Provides a selection of news, tour dates, audio media tracks, biography, discography, photo gallery and sign-up for email news and updates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.ericclapton.com/"} +{"d:Title": "Album Reviews: Eric Clapton", "d:Description": "Features ten album reviews with grading and narrative.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://sfloman.com/ericclapton.html"} +{"d:Title": "Rock and Roll Hall of Fame: Eric Clapton", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://rockhall.com/inductees/eric-clapton/"} +{"d:Title": "RollingStone.com: Eric Clapton", "d:Description": "Includes a biography, discography, photos, articles, audio files, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.rollingstone.com/music/artists/eric-clapton"} +{"d:Title": "MTV.com: Eric Clapton", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.mtv.com/artists/eric-clapton/"} +{"d:Title": "Eric Clapton", "d:Description": "Offers a mini-biography, photo gallery, songs and participation in filmography, guest TV appearances and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.imdb.com/name/nm0002008/"} +{"d:Title": "Metacritic: Reptile", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric", "url": "http://www.metacritic.com/music/reptile/eric-clapton"} +{"d:Title": "The Unofficial Eric Clapton Website", "d:Description": "Offers RealAudio, lyrics, pictures, message board, chat room, music videos, desktop themes, and Winamp skins. Includes a message from the artist to Gareth.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://www.eric-clapton.co.uk/"} +{"d:Title": "Eric Clapton: Blues You Can't Lose", "d:Description": "Tribute site from a Russian fan. Offers biography, discography, videography, lyrics, images, articles about the artist and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://www.iem.ac.ru/clapton/"} +{"d:Title": "Eric Clapton", "d:Description": "Fan site from Sweden with sound files, a video file, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://www.sai.msu.su/~util/clapton.html"} +{"d:Title": "Where's Eric", "d:Description": "Online fanzine established in 1992. Offers the latest news and archives, concert reviews, tour dates, photos, interviews, discography and biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://www.whereseric.com/"} +{"d:Title": "Shun&Lisa Eric Clapton Fan Page", "d:Description": "The site is available in English and Japanese. Offers news, message board, the artists Japanese and worldwide tours with narrative and photo galleries, also includes music reviews, tour and event schedule with online comment form for viewer submissions.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://www.clapton.ne.jp/"} +{"d:Title": "Slowhand.net", "d:Description": "A fan since 1997 personal site. Offers a collection of links that include record companies, photos, tour information, lyrics, posters and memorabilia collected.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://www.slowhand.net/"} +{"d:Title": "Eric Clapton", "d:Description": "This site is available in both French and English. Offers discography, photo and video gallery, news and articles of interest, album covers with song lists and email to contact the owner of this site.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://ericclapton.free.fr/"} +{"d:Title": "Jim's Eric Clapton Site", "d:Description": "Contains over 50 photographs taken at six different concerts, including Oakland, San Jose, Phoenix and San Francisco.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Fan_Pages", "url": "http://www.jimicrowley.com/ec.html"} +{"d:Title": "Claptonite", "d:Description": "Four member tribute band based in the UK. Includes photos of the group performing, a set list, live recorded feed, schedule of upcoming shows, critics reviews and contact numbers for reservations and bookings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clapton,_Eric/Tribute_Acts", "url": "http://www.claptonite.com/"} +{"d:Title": "Clark, Guy", "d:Description": "Official site of the Texan folk singer-songwriter. Includes biography, tour dates, photographs, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clark,_Guy", "url": "http://www.guyclark.com/"} +{"d:Title": "George Graham Reviews", "d:Description": "Review of the album \"Cold Dog Soup.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clark,_Guy", "url": "http://www.georgegraham.net/reviews/clarkcds.html"} +{"d:Title": "PetulaClark.net", "d:Description": "Biography, discography, filmography, theatre, photos, concert information and the home of the International Petula Clark Society.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clark,_Petula", "url": "http://www.petulaclark.net/"} +{"d:Title": "The Essential Petula Clark", "d:Description": "British site. Biography, photos, television, film and theatre.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clark,_Petula", "url": "http://www.petulaclark.co.uk/"} +{"d:Title": "The Clarks", "d:Description": "Official site for the Pittsburgh band. Includes discography, biography, upcoming shows, merchandise, photos and information on Team Clark.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarks,_The", "url": "http://www.clarksonline.com/"} +{"d:Title": "A Very Unofficial Clarks Page", "d:Description": "Includes audio samples from each of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarks,_The", "url": "http://www.angelfire.com/rock/theclarks/"} +{"d:Title": "Clarks Tabs", "d:Description": "Collection of guitar tablature from each of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarks,_The", "url": "http://home.windstream.net/choncek/clarks.htm"} +{"d:Title": "America's Idol Kelly Clarkson", "d:Description": "A fan site with pictures, lyrics, TV appearances, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly", "url": "http://www.angelfire.com/music5/k_clarkson/"} +{"d:Title": "Celebrity Spider: Kelly Clarkson", "d:Description": "Provides a profile, recent news and a directory to related websites.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly", "url": "http://www.celebrityspider.com/kellyclarkson.html"} +{"d:Title": "TIME.com: Person of the Week: Kelly Clarkson", "d:Description": "An article by Jessica Reaves.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly", "url": "http://www.time.com/time/pow/article/0,8599,347746,00.html"} +{"d:Title": "Kelly Clarkson", "d:Description": "Official website with news, video, Kelly's journal, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly", "url": "https://kellyclarkson.com/"} +{"d:Title": "All Music Guide: Kelly Clarkson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly", "url": "http://www.allmusic.com/artist/kelly-clarkson-p542180"} +{"d:Title": "CBS News:Kelly Clarkson: Thankful", "d:Description": "An article and video of her performance on CBS' The Early Show.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly", "url": "http://www.cbsnews.com/news/kelly-clarkson-thankful/"} +{"d:Title": "Music-Critic.com: Kelly Clarkson - Thankful", "d:Description": "Bill Aicher's review: \"what's most disappointing about Thankful is the fact there's nothing extraordinary here, even vocally.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly/Reviews/Thankful", "url": "http://www.music-critic.com/pop/clarksonkelly_thankful.htm"} +{"d:Title": "USATODAY.com: Kelly Clarkson - Thankful", "d:Description": "Elysa Gardner's review: \"I doubt that Clarkson could have released a debut album that sounds more calculated or less original.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly/Reviews/Thankful", "url": "http://www.usatoday.com/life/music/reviews/2003-04-14-kelly_x.htm"} +{"d:Title": "UKMIX: Kelly Clarkson - Thankful", "d:Description": "Darren Gale's review: \"The quality of the songs match the quality of her great voice\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly/Reviews/Thankful", "url": "http://www.ukmix.org/reviews/release.php?release_id=2973"} +{"d:Title": "CANOE: Kelly Clarkson - Thankful", "d:Description": "Darryl Sterdan's review for the Winnipeg Sun: \"Assembly-line pop fluff and bland power ballads aimed at the lowest common denominator and designed to offend no one.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clarkson,_Kelly/Reviews/Thankful", "url": "http://jam.canoe.com/Music/Artists/C/Clarkson_Kelly/AlbumReviews/2003/04/25/770584.html"} +{"d:Title": "Yahoo! Groups: The Clash - We Rock the Casbah", "d:Description": "A place where fans can discuss news about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The", "url": "http://groups.yahoo.com/group/theclash2/"} +{"d:Title": "Lyrics on Demand: The Clash", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The", "url": "http://www.lyricsondemand.com/c/theclashlyrics/index.html"} +{"d:Title": "The Clash News: Topix", "d:Description": "News about The Clash continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The", "url": "http://www.topix.com/who/the-clash"} +{"d:Title": "Rock and Roll Hall of Fame: The Clash", "d:Description": "Detailed biography of the 2003 inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The", "url": "http://rockhall.com/inductees/the-clash/"} +{"d:Title": "RollingStone.com: The Clash", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The", "url": "http://www.rollingstone.com/music/artists/the-clash"} +{"d:Title": "The Clash Webring", "d:Description": "Links to various sites about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The", "url": "http://www.webring.org/hub?ring=casbah"} +{"d:Title": "MTV: The Clash", "d:Description": "Contains news, biography, streaming audio clips, pictures and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The", "url": "http://www.mtv.com/artists/the-clash/"} +{"d:Title": "Clash City Rockers", "d:Description": "Features a biography, discography, lyrics, audio clips, and notes about the tribute albums and bands related to the Clash.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Fan_Pages", "url": "http://www.angelfire.com/on/clash/"} +{"d:Title": "Combative Clash", "d:Description": "Features a thumbnailed image gallery, misheard lyrics, ukulele tablature, and lyric parodies.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Fan_Pages", "url": "http://members.tripod.com/zobrien/clash.html"} +{"d:Title": "Complete Control", "d:Description": "Features a biography, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Fan_Pages", "url": "http://clash_music.tripod.com/main.htm"} +{"d:Title": "Yahoo Groups: Red Angel Dragnet", "d:Description": "A club where fans can post messages or chat about the bassist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Simonon,_Paul", "url": "http://groups.yahoo.com/group/redangeldragnet/"} +{"d:Title": "The Observer: Paul Simonon: A London Calling", "d:Description": "Feature article about the bassist turned painter.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Simonon,_Paul", "url": "http://www.theguardian.com/theobserver/2002/oct/20/features.review47"} +{"d:Title": "The Clash/Joe Strummer Trading Site", "d:Description": "List of live shows for trade.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Trading", "url": "http://www.angelfire.com/hero/strumm/"} +{"d:Title": "The Clash - Bootlegs&Rarities", "d:Description": "Trading site with reviews of bootlegs and rare material.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Trading", "url": "http://www.sharoma.com/clash/"} +{"d:Title": "The Clash Bootleg Site", "d:Description": "List of shows for trade by the band and Joe Strummer solo.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clash,_The/Trading", "url": "http://www.theclash.de/"} +{"d:Title": "Clawfinger", "d:Description": "The band's official site with news, band history, discography, profiles, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clawfinger", "url": "https://www.facebook.com/clawfinger.net"} +{"d:Title": "Clayderman, Richard", "d:Description": "Extensive discography of the pianist's works containing RealAudio recordings. Biography, new releases, photographs and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clayderman,_Richard", "url": "http://www.clayderman.co.uk/"} +{"d:Title": "Josh Clayton-Felt", "d:Description": "Official site includes news, discography, reviews, video and sound clips, message board and information on the late singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clayton-Felt,_Josh", "url": "http://www.joshclayton.com/"} +{"d:Title": "All Music Guide: Cleopatra", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cleopatra", "url": "http://www.allmusic.com/artist/cleopatra-p294707"} +{"d:Title": "Simon Climie", "d:Description": "Official site. Discography, future projects, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Climie_Fisher", "url": "http://www.simonclimie.com/"} +{"d:Title": "Climie Fisher - Music Changes Everything", "d:Description": "Biography, news, photos, discography, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Climie_Fisher", "url": "http://pages.interlog.com/~dolphind/cfisher.html"} +{"d:Title": "All Music Guide: Climie Fisher", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Climie_Fisher", "url": "http://www.allmusic.com/artist/climie-fisher-p16546"} +{"d:Title": "Neumu - What's Behind Those Surgical Masks", "d:Description": "Detailed interview with Ade Blackburn, who discusses the history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clinic", "url": "http://www.neumu.net/inquisitive/clinic/clinic_01.shtml"} +{"d:Title": "Britain's Clinic Cast a Spell", "d:Description": "Live review, written by Jim DeRogatis of the Chicago Sun-Times.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clinic", "url": "http://www.jimdero.com/News2002/Mar30Clinic.htm"} +{"d:Title": "Clinic", "d:Description": "Official site with biography, schedule, pictures, audio samples, press and street team.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clinic", "url": "http://www.clinicvoot.org/"} +{"d:Title": "Anterior Research and Clock DVA", "d:Description": "Official web site of the band Clock DVA and their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clock_DVA", "url": "http://www.sonic-boom.com/clockdva/"} +{"d:Title": "Clock DVA - A 20 Year Timeline", "d:Description": "Article outlining the history of the band from 1978-1998.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clock_DVA", "url": "http://infaction.8m.com/clockDVA.html"} +{"d:Title": "Clock DVA", "d:Description": "Five tracks from the album \"Man-Amplified\" in Real Audio format.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clock_DVA", "url": "http://kulichki.com/cddisk/clockdva/clockdva.htm"} +{"d:Title": "All Music Guide: Clock DVA", "d:Description": "Review of the career and recordings of Clock DVA.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clock_DVA", "url": "http://www.allmusic.com/artist/p3923"} +{"d:Title": "Rosemary Clooney: New York Times Obituary", "d:Description": "Detailed article with photo taken in 1999 at Feinstein's at the Regency. Requires free registration to access.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clooney,_Rosemary", "url": "http://www.nytimes.com/2002/07/01/obituaries/01CLOO.html"} +{"d:Title": "Rosemary Clooney News: Topix", "d:Description": "News about Rosemary Clooney continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clooney,_Rosemary", "url": "http://www.topix.com/who/rosemary-clooney"} +{"d:Title": "The Rosemary Clooney Palladium", "d:Description": "Fan site offering biography, discography, filmography, photo gallery, tributes, and a library of links to magazine stories, newspaper articles, reviews and tributes from 1951 to 2002.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clooney,_Rosemary", "url": "http://www.rosemaryclooney.com/"} +{"d:Title": "Mush Records: cLOUDDEAD", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/cLOUDDEAD", "url": "http://mushrecords.com/artist/cLOUDDEAD.php"} +{"d:Title": "Official Clutch Homepage", "d:Description": "Includes show dates, discography, message board, pictures, biography, FAQs, sound clips and list of tape traders", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clutch", "url": "http://www.pro-rock.com/"} +{"d:Title": "The Seventh Realm: Clutch", "d:Description": "Favorable review of the band's self-titled album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clutch", "url": "http://www.angelfire.com/planet/fallenempiretrendy/clutch.html"} +{"d:Title": "100XR: Clutch", "d:Description": "Biography and discography", "topic": "Top/Arts/Music/Bands_and_Artists/C/Clutch", "url": "http://www.100xr.com/artists/C/Clutch.htm"} +{"d:Title": "Big Green Bath", "d:Description": "Fan site with tablature, lyrics, tour dates, history, sound clips and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Co.Uk", "url": "http://homepage.eircom.net/~codotuk/"} +{"d:Title": "Anything But You: A Dez Fafara Site", "d:Description": "Fan shrine for the band's frontman includes biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.angelfire.com/music/coaldez/"} +{"d:Title": "Nothing's Coal Chamber", "d:Description": "Includes lyrics for both albums, news, tourdates, tablatures of both albums, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.angelfire.com/music/cc/index.html"} +{"d:Title": "BleedingMetal.com: Coal Chamber", "d:Description": "Features lyrics, pictures, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.bleedingmetal.com/coalchamber/"} +{"d:Title": "No Home for a Tragedy", "d:Description": "Features pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.angelfire.com/al/coalchamberfreak/index.html"} +{"d:Title": "Sick Individual'z Shrine to Coal Chamber", "d:Description": "Fan site with pictures, band biography, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.angelfire.com/band/hardnloud/chamber.html"} +{"d:Title": "Chamber of Darkness", "d:Description": "Includes biographies, lyrics, pictures, tour dates, discography, and tablatures for guitar and bass.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.angelfire.com/goth/cchamber/enter.htm"} +{"d:Title": "ArtistDirect.com: Coal Chamber", "d:Description": "Links to MP3s, lyrics, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,568520,00.html"} +{"d:Title": "Coal Chamber", "d:Description": "Fan site with news, biography, pictures, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://treeves1.tripod.com/"} +{"d:Title": "Monster's Coal Chamber Page", "d:Description": "Includes lyrics, tablatures, pictures, MP3s and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://freak85.tripod.com/index.html"} +{"d:Title": "MTV.com: Coal Chamber", "d:Description": "Includes news, album reviews, audio downloads, biographies, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coal_Chamber", "url": "http://www.mtv.com/artists/coal-chamber/"} +{"d:Title": "The Coasters Web Site: Those Hoodlum Friends", "d:Description": "History, discography and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coasters,_The", "url": "http://www.angelfire.com/mn/coasters/"} +{"d:Title": "Carl Gardner", "d:Description": "\"Unauthorized autobiography\" of the group's lead tenor.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coasters,_The", "url": "http://rombox.com/coasters/index.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coasters,_The", "url": "http://rockhall.com/inductees/the-coasters/"} +{"d:Title": "Anne Cochran", "d:Description": "Official site includes audio samples, history, pictures, tour dates and letters.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochran,_Anne", "url": "http://www.annecochran.com/"} +{"d:Title": "Eddie Cochran at the Moonlite Gardens", "d:Description": "Reminiscence of a 1959 concert in Wichita, Kansas.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochran,_Eddie", "url": "http://www.typearts.com/Originals/Cochran3.html"} +{"d:Title": "Rockabilly Fall of Fame: Eddie Cochran", "d:Description": "Biography of his early years (through his signing with Liberty) with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochran,_Eddie", "url": "http://www.rockabillyhall.com/EddieCochran.html"} +{"d:Title": "Grave of Eddie Cochran", "d:Description": "Information about Cochran's grave, including how to locate it for a visit.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochran,_Eddie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1570"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochran,_Eddie", "url": "http://rockhall.com/inductees/eddie-cochran/"} +{"d:Title": "The Official Tom Cochrane Web Site", "d:Description": "Includes news, articles, discography, lyrics, memorabilia, pictures, audio clips and video.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochrane,_Tom", "url": "http://www.tomcochrane.com/"} +{"d:Title": "MelodicRock.com: Tom Cochrane", "d:Description": "Interview with Cochrane about his music, including the story behind the song \"Big League.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochrane,_Tom", "url": "http://www.melodicrock.com/interviews/tomcochrane.html"} +{"d:Title": "Tom Cochrane", "d:Description": "Includes information, discography, lyrics, multimedia, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochrane,_Tom", "url": "http://www.mjbaker.info/tomcochrane/"} +{"d:Title": "Canadian Music Encyclopedia: Tom Cochrane", "d:Description": "Biography and discography for the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cochrane,_Tom", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Cochrane_Tom.html"} +{"d:Title": "The Cockburn Project", "d:Description": "Archive of Cockburn's comments about his songs, as well as a biography, discography, news, tour dates and setlists.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cockburn,_Bruce", "url": "http://www.cockburnproject.net/"} +{"d:Title": "Gavin's Woodpile", "d:Description": "Includes tour dates, discography, press information, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cockburn,_Bruce", "url": "http://www.gavinswoodpile.com/"} +{"d:Title": "The Australian Bruce Cockburn Pages", "d:Description": "Gavin Mudd's fan site includes local releases, past tour information and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cockburn,_Bruce", "url": "http://angelb.customer.netspace.net.au/cockburn/bcaussie.html"} +{"d:Title": "European Bruce Cockburn Home Page", "d:Description": "Tour dates and information from a European perspective, as well as a lyric search engine.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cockburn,_Bruce", "url": "http://zwaagvd.home.xs4all.nl/cockburn/"} +{"d:Title": "MTV.com: Bruce Cockburn", "d:Description": "News, tour dates, album information and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cockburn,_Bruce", "url": "http://www.mtv.com/artists/bruce-cockburn/"} +{"d:Title": "Joe Cocker", "d:Description": "Official site of the singer. Tour schedule, discography, photos, some lyrics, management.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://www.cocker.com/"} +{"d:Title": "Wilson&Alroy's Record Reviews: Joe Cocker", "d:Description": "Reviews and rates six albums, mostly early.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://www.warr.org/cocker.html"} +{"d:Title": "Joe Cocker--In His Own Words", "d:Description": "At Super Seventies Rocksite. The rock singer writes about touring, Leon Russell, what he thought of John Belushi parodying him.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://www.superseventies.com/ssjoecocker.html"} +{"d:Title": "Something to Say", "d:Description": "Two reviews of the 1972 album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://www.superseventies.com/spcockerjoe.html"} +{"d:Title": "Wikipedia: Joe Cocker", "d:Description": "Early life, career, Australian controversy in 1972 and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://en.wikipedia.org/wiki/Joe_Cocker"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Joe Cocker", "d:Description": "Reviews and analysis of his first three albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://sfloman.com/joecocker.html"} +{"d:Title": "All Music Guide: Joe Cocker", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://www.allmusic.com/artist/p3931"} +{"d:Title": "MTV: Joe Cocker", "d:Description": "Biography of the British white soul singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocker,_Joe", "url": "http://www.mtv.com/artists/joe-cocker/"} +{"d:Title": "Pitchfork: La Maison de Mon Reve", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/CocoRosie", "url": "http://pitchfork.com/reviews/albums/1756-la-maison-de-mon-reve/"} +{"d:Title": "Cocteau Twins", "d:Description": "Official web site. Includes biographies, gallery, history, discography, shopping and forums.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocteau_Twins", "url": "http://www.cocteautwins.com/"} +{"d:Title": "Eyesore: Cocteau Twins", "d:Description": "Detailed discography of the band's 4AD releases with images, as well as interviews and a concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocteau_Twins", "url": "http://quimby.gnus.org/html/group/cocteautwins.html"} +{"d:Title": "Leonard's Lair: Cocteau Twins", "d:Description": "Review of the \"BBC Sessions\" compilation.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocteau_Twins", "url": "http://www.leonardslair.co.uk/cocteau.htm"} +{"d:Title": "Bella Union", "d:Description": "Record label owned and operated by Robin Guthrie and Simon Raymonde; includes photos, audio clips and news about them and their side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cocteau_Twins", "url": "http://www.bellaunion.com/"} +{"d:Title": "Coderland", "d:Description": "Site made by Hong Kong fans. News, tour dates, biographies, articles, pictures, discography, message board and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Code_Red", "url": "http://members.tripod.com/coderland/"} +{"d:Title": "Adam Cohen", "d:Description": "Fan page with articles, pictures and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cohen,_Adam", "url": "http://www.leonardcohenfiles.com/adam.html"} +{"d:Title": "iMusic Modern Showcase: Adam Cohen", "d:Description": "Biography for the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cohen,_Adam", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,647347,00.html"} +{"d:Title": "Marc Cohn", "d:Description": "Links to official site and fan forums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cohn,_Marc", "url": "http://www.marccohn.org/"} +{"d:Title": "Coil: The Solar Lodge", "d:Description": "Official site at Brainwashed. Includes news, directly from Peter Christopherson and Jhon Balance.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coil", "url": "http://www.brainwashed.com/coil/"} +{"d:Title": "Black Sheep and Black Suns", "d:Description": "Interview with the band Coil shortly following the release of \"Love's Secret Domain\" in 1991.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coil", "url": "http://www.uncarved.org/music/Coil.html"} +{"d:Title": "Coil", "d:Description": "An interview with John Balance from the British 'zine Compulsion.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coil", "url": "http://www.compulsiononline.com/interview_coil.htm"} +{"d:Title": "PsuedoPsycoFreaks", "d:Description": "Fan tribute offers an interview, biography, discography, lyrics, photographs, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cold", "url": "http://www.angelfire.com/weird/evylfamily/index.html"} +{"d:Title": "Coldplay.com", "d:Description": "Official site with news, journal, oracle, videos, e-zine and exclusive content.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay", "url": "http://www.coldplay.com/"} +{"d:Title": "Crud Magazine: Coldplay", "d:Description": "The band's track-by-track discussion of \"A Rush of Blood to the Head.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Articles_and_Interviews", "url": "http://www.2-4-7-music.com/newsitems/aug02/coldplay.asp"} +{"d:Title": "LAAuditions.com: Coldplay", "d:Description": "Describes how they got their start.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Articles_and_Interviews", "url": "http://www.laauditions.com/bios/coldplay.htm"} +{"d:Title": "Coldplaying.com", "d:Description": "Coldplay news, forums, gallery, tour reviews, lyrics, discography, gigography and videography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Fan_Pages", "url": "http://www.coldplaying.com/"} +{"d:Title": "Look At The Stars", "d:Description": "Band biography, articles, member facts, reviews, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Fan_Pages", "url": "http://www.angelfire.com/geek/coldplay/cover.html"} +{"d:Title": "The Scientist", "d:Description": "Pictures, lyrics, wallpapers, live, collectables and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Fan_Pages", "url": "http://thescientist1.tripod.com/"} +{"d:Title": "The State Of Coldplay", "d:Description": "Coldplay fansite offering lyrics, pictures and various setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Fan_Pages", "url": "http://www.easytoplease.net/"} +{"d:Title": "Lyrics Freak: Coldplay", "d:Description": "Lyrics sorted alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Lyrics", "url": "http://www.lyricsfreak.com/c/coldplay/"} +{"d:Title": "Coldplay Lyrics", "d:Description": "Coldplay Lyrics List", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Lyrics", "url": "http://www.lyrics9.com/m/Coldplay-Lyrics/"} +{"d:Title": "Topix: Coldplay", "d:Description": "News about the group from a variety of sources.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Resources", "url": "http://www.topix.com/who/coldplay"} +{"d:Title": "Topix: Coldplay", "d:Description": "News about the band collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Resources", "url": "http://www.topix.com/rss/who/coldplay.xml"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Coldplay", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Reviews", "url": "http://www.sfloman.com/coldplay.html"} +{"d:Title": "Canoe: Coldplay Delivers Hot Club Show", "d:Description": "Mark Daniell's review of the Toronto show.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Reviews", "url": "http://jam.canoe.com/Music/Artists/C/Coldplay/ConcertReviews/2005/05/12/1035923.html"} +{"d:Title": "Leonard's Lair: Coldplay", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Reviews/Parachutes", "url": "http://www.leonardslair.co.uk/coldplay.htm"} +{"d:Title": "XGuitar.com: Coldplay", "d:Description": "Guitar and bass tabs sorted alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Tablature", "url": "http://www.xguitar.com/tabs/coldplay/"} +{"d:Title": "Daylightband", "d:Description": "Italian coldplay tribute band", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Tribute_Bands", "url": "http://www.daylightband.it/"} +{"d:Title": "Coolplay", "d:Description": "UK Coldplay tribute band. Gig dates, biography, setlist and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Tribute_Bands", "url": "http://www.coolplay.co.uk/"} +{"d:Title": "Coldplace", "d:Description": "UK based Coldplay tribute band; biographies, gig dates, sound files, photos, and set list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Tribute_Bands", "url": "http://www.coldplace.co.uk/"} +{"d:Title": "kaltspiel.nl", "d:Description": "Coldplay tribute band from the Netherlands.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Tribute_Bands", "url": "http://www.kaltspiel.nl/"} +{"d:Title": "Daylight", "d:Description": "English version of website of the italian Coldplay tribute band", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coldplay/Tribute_Bands", "url": "http://www.daylightband.it/eng/"} +{"d:Title": "Ian Moss Official Site", "d:Description": "One of Australia's best known guitarists. Site includes forum, tour dates, photos, video clips, fan reviews, CDs and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cold_Chisel", "url": "http://www.mosstrooper.com.au/"} +{"d:Title": "Cold Chisel", "d:Description": "Official site. Includes news, history, FAQs, lyrics, photos, forum, band profiles, tour dates, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cold_Chisel", "url": "http://www.coldchisel.com/"} +{"d:Title": "Holly Cole", "d:Description": "Official site includes biography, multimedia, lyrics, photos and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Holly", "url": "http://www.hollycole.com/"} +{"d:Title": "Holly Cole", "d:Description": "Entry at the Canadian Music Encyclopedia includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Holly", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Cole_Holly.html"} +{"d:Title": "All Music Guide: Jude Cole", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Jude", "url": "http://www.allmusic.com/artist/p3936"} +{"d:Title": "Official Lloyd Cole", "d:Description": "Includes news, recordings, lyrics, music samples, and a fan section. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Lloyd", "url": "http://www.lloydcole.com/"} +{"d:Title": "Metacritic: The Negatives", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Lloyd", "url": "http://www.metacritic.com/music/the-negatives/lloyd-cole"} +{"d:Title": "Natalie Cole News: Topix", "d:Description": "News about Natalie Cole continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.topix.com/who/natalie-cole"} +{"d:Title": "AskMen.com: Natalie Cole", "d:Description": "Pictures, biography and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.askmen.com/celebs/women/singer_150/184_natalie_cole.html"} +{"d:Title": "Verve Records: Natalie Cole", "d:Description": "Includes biography and picture.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.vervemusicgroup.com/nataliecole"} +{"d:Title": "The Natalie Cole Discography", "d:Description": "Illustrated discography covering the albums and singles released by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://web.comhem.se/nordeman/"} +{"d:Title": "Natalie Cole", "d:Description": "Official website featuring videos, music, news and tour dates for the singer.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.nataliecole.com/"} +{"d:Title": "Wikipedia: Natalie Cole", "d:Description": "Entry about the American R&B/soul singer in the online collaborative encyclopedia. Includes awards, discography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "https://en.wikipedia.org/wiki/Natalie_Cole"} +{"d:Title": "The Guardian: Natalie Cole", "d:Description": "Obituary of the singer who performed her own arrangements of songs made famous by her father, Nat King Cole.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.theguardian.com/music/2016/jan/03/natalie-cole"} +{"d:Title": "The Telegraph: Natalie Cole", "d:Description": "Obituary for the singer and daughter of Nat King Cole whose talent was overshadowed by a troubled personal life.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.telegraph.co.uk/news/obituaries/12078977/Natalie-Cole-singer-obituary.html"} +{"d:Title": "Rolling Stone: Natalie Cole", "d:Description": "Obituary of the singer who passed away at age 65 by Daniel Kreps.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.rollingstone.com/music/news/natalie-cole-unforgettable-r-b-singer-dead-at-65-20160101"} +{"d:Title": "Facebook: Natalie Cole", "d:Description": "Official page for the singer. Includes photos and events.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "https://www.facebook.com/nataliecoleofficial/"} +{"d:Title": "AllMusic: Natalie Cole", "d:Description": "Brief biography on the eclectic soul singer, pianist, and songwriter. Includes streamed music.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.allmusic.com/artist/natalie-cole-mn0000074195"} +{"d:Title": "IMDb: Natalie Cole", "d:Description": "Entry for the singer in the Internet Movie Database. Includes filmography and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.imdb.com/name/nm0004831/"} +{"d:Title": "SoulTracks: Natalie Cole", "d:Description": "Reviews and a biography by David Nathan and Chris Rizik.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.soultracks.com/natalie_cole.htm"} +{"d:Title": "The Adams Report: Natalie Cole", "d:Description": "A twenty minute audio interview of the singer by Audrey Adams, circa 2012.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.theadamsreport.com/radio/natalie-cole.html"} +{"d:Title": "Los Angeles Times: Natalie Cole dies at 65", "d:Description": "Obituary of the singer by Josh Rottenberg.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.latimes.com/local/lanow/la-me-ln-singer-natalie-cole-dead-20160101-story.html"} +{"d:Title": "MTV: Natalie Cole", "d:Description": "Profile of the artist including a collection of music and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.mtv.com/artists/natalie-cole/"} +{"d:Title": "Biography.com: Natalie Cole", "d:Description": "Biography of the American singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Natalie", "url": "http://www.biography.com/people/natalie-cole-37692"} +{"d:Title": "Nat King Cole's House", "d:Description": "Photo of the house in the Hancock Park district of Los Angeles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Nat_King", "url": "http://seeing-stars.com/ImagePages/NatKingColeHousePhoto.shtml"} +{"d:Title": "Nat King Cole News: Topix", "d:Description": "News about Nat King Cole continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Nat_King", "url": "http://www.topix.com/who/nat-king-cole"} +{"d:Title": "Rock and Roll Hall of Fame: Nat \"King\" Cole", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Nat_King", "url": "http://rockhall.com/inductees/nat-king-cole/"} +{"d:Title": "Paula Cole Web", "d:Description": "Fan site with lyrics, news, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Paula", "url": "http://www.musicfanclubs.org/paulacole/"} +{"d:Title": "The Official Paula Cole Website", "d:Description": "The official website includes biography, news, tour dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Paula", "url": "http://www.paulacole.com/"} +{"d:Title": "AskMen.com: Paula Cole", "d:Description": "Pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Paula", "url": "http://www.askmen.com/celebs/women/singer_200/211_paula_cole.html"} +{"d:Title": "MTV: Paula Cole", "d:Description": "News, biography, audio clips, pictures, bulletin board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cole,_Paula", "url": "http://www.mtv.com/artists/paula-cole/"} +{"d:Title": "The New Dosage", "d:Description": "Contains photos, biographies, multimedia, news, tablatures, concert events, links, artworks and graphics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collective_Soul", "url": "http://www.angelfire.com/ms/newdosage/"} +{"d:Title": "Official Collective Soul Web Site", "d:Description": "Features news, biography, discography, photo gallery, message board, online store, links, and tour dates. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collective_Soul", "url": "http://www.collectivesoul.com/"} +{"d:Title": "MTV.com: Collective Soul", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collective_Soul", "url": "http://www.mtv.com/artists/COLLECTIVE-SOUL"} +{"d:Title": "Edwyn Collins", "d:Description": "Official site with bulletin board, biography, history, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Edwyn", "url": "http://www.edwyncollins.com/"} +{"d:Title": "FolkLib Index for Judy Collins", "d:Description": "An index of links related to the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Judy", "url": "http://www.folklib.net/index/c/collins_judy.shtml"} +{"d:Title": "Judy Collins", "d:Description": "Fan page by Richard L. Hess. Photos, biography, discography, concert dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Judy", "url": "http://www.richardhess.com/judy/"} +{"d:Title": "Judy Collins", "d:Description": "Official Judy Collins site. Send e-mail to Judy, talk with her fans, learn about her work with UNICEF and check out her discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Judy", "url": "http://www.judycollins.com/"} +{"d:Title": "Follow Me", "d:Description": "Includes the latest news and information about Phil Collins.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Phil", "url": "http://www.followme.demon.nl/"} +{"d:Title": "A One-on-One with the Legendary Phil Collins", "d:Description": "Oscar-winning songwriter and seven-time Grammy Award winner Phil Collins can now add Broadway composer to his credits. By Nick Orlando.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Phil", "url": "http://chicago.broadwayworld.com/viewcolumn.cfm?colid=9751"} +{"d:Title": "Phil Collins News: Topix", "d:Description": "News about Phil Collins continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Phil", "url": "http://www.topix.com/who/phil-collins"} +{"d:Title": "RollingStone.com: Phil Collins", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Phil", "url": "http://www.rollingstone.com/music/artists/phil-collins"} +{"d:Title": "The Official Phil Collins Web Site", "d:Description": "Includes news, photo gallery, track listings and lyrics, biography, discography, timeline, tours and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Phil", "url": "http://www.philcollins.co.uk/"} +{"d:Title": "Texas Monthly: Come and Take a Look at Me Now", "d:Description": "The pop star discusses his lifelong fascination with the Alamo, including his massive collection of Texas Revolution artifacts.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Phil", "url": "http://www.texasmonthly.com/story/come-and-take-look-me-now"} +{"d:Title": "Phil", "d:Description": "A Phil Collins and Genesis tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Collins,_Phil/Tribute_Bands", "url": "http://www.phil-online.de/"} +{"d:Title": "All Music Guide: Color Me Badd", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Color_Me_Badd", "url": "http://www.allmusic.com/artist/p3943"} +{"d:Title": "Colosseum", "d:Description": "a Finnish page (in English)", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colosseum", "url": "http://personal.inet.fi/private/tapani.taka/colosseum.htm"} +{"d:Title": "Rockpalast Archiv Colosseum 1994", "d:Description": "Archive of a live concert in K\u00f6ln.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colosseum", "url": "http://www.rockpalastarchiv.de/concert/colo.html"} +{"d:Title": "Chrome Oxide: Colosseum", "d:Description": "Sessionography / discography of the jazz/rock band started by Jon Hiseman and Dick Heckstall-Smith.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colosseum", "url": "http://www.chromeoxide.com/coloss.htm"} +{"d:Title": "Eyesore: Colourbox", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colourbox", "url": "http://quimby.gnus.org/html/group/colourbox.html"} +{"d:Title": "Colourbox Samples List v1.5", "d:Description": "Text listing of the origins of film samples used by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colourbox", "url": "http://web.mit.edu/klund/www/cbox.txt"} +{"d:Title": "Colourbox", "d:Description": "Fan site includes biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colourbox", "url": "http://www.fedge.net/colourbox/"} +{"d:Title": "4AD: Colourbox", "d:Description": "Biography, discography, and images from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colourbox", "url": "http://www.4ad.com/artists/colourbox/"} +{"d:Title": "Archives: Colourbox", "d:Description": "Colourbox archives from the 4AD mailing list FAQ. Also covers the Colourbox/A.R.Kane collaboration M|A|R|R|S.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colourbox", "url": "http://www.evo.org/4ad-faq/artists/colourbox/"} +{"d:Title": "Lazlo's Discography Machine: Colourbox and M/A/R/R/S", "d:Description": "Lazlo Nibble's discography of Colourbox and their side-project M/A/R/R/S.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colourbox", "url": "http://www.studio-nibble.com/lazlo-bin/discogs/colourbox"} +{"d:Title": "Shawn Colvin", "d:Description": "Sony's official Shawn Colvin site.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colvin,_Shawn", "url": "http://www.shawncolvin.com/"} +{"d:Title": "Taxi.com: Shawn Colvin", "d:Description": "Article from Performing Songwriter magazine about Colvin's career.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colvin,_Shawn", "url": "http://www.taxi.com/faq/ar/colvin.html"} +{"d:Title": "Metacritic: Whole New You", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Colvin,_Shawn", "url": "http://www.metacritic.com/music/whole-new-you/shawn-colvin"} +{"d:Title": "AskMen.com: P. Diddy", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Combs,_Sean", "url": "http://www.askmen.com/celebs/men/entertainment_60/86_p_diddy.html"} +{"d:Title": "MTV: P. Diddy", "d:Description": "Features music video clips, album reviews, a behind-the-scenes look at a video shoot and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Combs,_Sean", "url": "http://www.mtv.com/artists/diddy/"} +{"d:Title": "Afunk: Puff Daddy", "d:Description": "11 song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Combs,_Sean/Lyrics", "url": "http://www.afunk.com/puff"} +{"d:Title": "Ink Nineteen: Combustible Edison", "d:Description": "Review of the album \"This Impossible World.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Combustible_Edison", "url": "http://www.ink19.com/issues_F/99_02/wet_ink/music_ce/049_combustible_edison_nf.html"} +{"d:Title": "Combustible Edison", "d:Description": "Official site at Sub Pop with biography, discography, drink recipes and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Combustible_Edison", "url": "http://www.subpop.com/artists/combustible_edison"} +{"d:Title": "All Music Guide: Combustible Edison", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Combustible_Edison", "url": "http://www.allmusic.com/artist/p142388"} +{"d:Title": "Perry Como Home on the Internet", "d:Description": "Fan site features biography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Como,_Perry", "url": "http://www.perrycomo.net/"} +{"d:Title": "A Perry Como Discography", "d:Description": "Covers in detail Perry's recording career with RCA Victor from 1943 until 1987, with recording dates and serial master numbers for virtually all recordings between 1943 and 1978.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Como,_Perry", "url": "http://kokomo.ca/"} +{"d:Title": "Kennedy Center: Perry Como", "d:Description": "Biography of the singer, who was honored by the John F. Kennedy Center for the Performing Arts in 1987.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Como,_Perry", "url": "http://www.kennedy-center.org/artist/A3710"} +{"d:Title": "Concrete Blonde Official Website", "d:Description": "Includes Johnette's poetry and diary, tour dates, pictures, merchandise, MP3s and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Concrete_Blonde", "url": "http://www.concreteblondeofficialwebsite.com/"} +{"d:Title": "Chaos Control DigiZone", "d:Description": "An interview with Rhys Fulber covering the release of Conjure One. (Jan 1, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/C/Conjure_One", "url": "http://www.chaoscontrol.com/conjure-one/"} +{"d:Title": "This is Chris Connelly", "d:Description": "Official site with biography, news, discography, articles, images, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Connelly,_Chris", "url": "http://www.chrisconnelly.com/"} +{"d:Title": "Chris Connelly", "d:Description": "Photograph of and poem about the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Connelly,_Chris", "url": "http://www.sidominion.com/issue2/chris.html"} +{"d:Title": "Review: The Ultimate Seaside Companion", "d:Description": "Review of the first album by Chris Connelly's new band The Bells.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Connelly,_Chris", "url": "http://brainwashed.com/weddle/reviews/seaside.html"} +{"d:Title": "TrouserPress.com: Chris Connelly", "d:Description": "Reviews of his first three solo albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Connelly,_Chris", "url": "http://www.trouserpress.com/entry.php?a=chris_connelly"} +{"d:Title": "Stompin' Tom Connors", "d:Description": "Official site includes collectibles, photo galleries, museum, videos, contests, trivia and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Connors,_Stompin'_Tom", "url": "http://www.stompintom.com/"} +{"d:Title": "Stompin' Tom Connors", "d:Description": "A brief biography of the man, his music and the impact he has had on Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Connors,_Stompin'_Tom", "url": "http://new-brunswick.net/Saint_John/fame/stompintom.html"} +{"d:Title": "Russ Conway", "d:Description": "Biography of the British pianist who was popular from 1957 to 1962. Listing and chart positions of all his hit albums and singles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Conway,_Russ", "url": "http://www.onlineweb.com/theones/conway/russ_conway.htm"} +{"d:Title": "Russ Conway Dies", "d:Description": "BBC News articles reporting the death of British pianist Russ Conway. Biography of his career.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Conway,_Russ", "url": "http://news.bbc.co.uk/1/hi/entertainment/1009840.stm"} +{"d:Title": "Russ Conway", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Conway,_Russ", "url": "http://www.45-rpm.org.uk/dirr/russc.htm"} +{"d:Title": "IMDb: Russ Conway", "d:Description": "Filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Conway,_Russ", "url": "http://www.imdb.com/name/nm1121412/"} +{"d:Title": "Cooder, Ry", "d:Description": "Biography, appreciation and discography on Wikipedia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooder,_Ry", "url": "http://en.wikipedia.org/wiki/Ry_Cooder"} +{"d:Title": "Cooder, Ry - Easy Guitar Chords", "d:Description": "Designed for guitarists interested in playing Ry Cooder's songs using simple chords and chord changes; includes lyrics, details of tunings, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooder,_Ry", "url": "http://www.rycooder.nl/"} +{"d:Title": "Rylanders - Ry Cooder Discography", "d:Description": "Devoted to Ry Cooder and his music; includes categorised discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooder,_Ry", "url": "http://www.rylanders.free-online.co.uk/"} +{"d:Title": "JesseCook.com", "d:Description": "Official site for the guitarist includes tour dates, biography, discography, press and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cook,_Jesse", "url": "http://www.jessecook.com/"} +{"d:Title": "Sam Cooke", "d:Description": "Biography with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooke,_Sam", "url": "http://www.history-of-rock.com/cooke.htm"} +{"d:Title": "Grave of Sam Cooke", "d:Description": "Directions for locating the grave of Sam Cooke, with a photo and a map.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooke,_Sam", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1900"} +{"d:Title": "Sam Cooke, Mississippi Musician", "d:Description": "Biography, musical works, timeline, links and bibleography. From the Mississippi Writers and Musicians Project of Starkville High School.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooke,_Sam", "url": "http://www.mswritersandmusicians.com/musicians/sam-cooke.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooke,_Sam", "url": "http://rockhall.com/inductees/sam-cooke/"} +{"d:Title": "MTV.com: Sam Cooke", "d:Description": "Album reviews, news, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooke,_Sam", "url": "http://www.mtv.com/artists/SAM-COOKE"} +{"d:Title": "Web62.com: Coolio", "d:Description": "Photos and a video interview from the World Bowl in Amsterdam.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coolio", "url": "http://www.web62.com/coolio.html"} +{"d:Title": "MTV.com: Coolio", "d:Description": "Includes album reviews, news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coolio", "url": "http://www.mtv.com/artists/COOLIO"} +{"d:Title": "First Polish Page of Alice Cooper", "d:Description": "News, biography, concert reviews, discography and video list, collectibles, and trading.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.alicecooper.pl/"} +{"d:Title": "From the Inside", "d:Description": "Fan encounter with the singer, news, tour dates, lyrics, audio clips, biography, discography, pictures, downloads and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.alicecoopershow.co.uk/"} +{"d:Title": "RockMagic.net: Alice Cooper", "d:Description": "Guitar and bass tablatures and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.rockmagic.net/guitar-tabs/alice_cooper/"} +{"d:Title": "Rock Lyrics Alice Cooper", "d:Description": "Indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://lyrics.rockmagic.net/lyrics/cooper_alice/"} +{"d:Title": "Alice Cooper's House of Vile", "d:Description": "News, discography, tour dates, fan's collection and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.angelfire.com/goth/houseofvile/"} +{"d:Title": "About.com: Alice Cooper", "d:Description": "History of Cooper's career and residence in Phoenix.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://phoenix.about.com/library/weekly/aa120100a.htm"} +{"d:Title": "TAG's Alice Cooper Page", "d:Description": "Fan's commentary and concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.thealmightyguru.com/Music/AliceCooper/AliceCooper.html"} +{"d:Title": "The Crazy World of Alice Cooper", "d:Description": "Contains rare pictures in an extensive gallery divided into different periods, from 1968 up to today. Also a memorabilia section where you will find scans of rare record sleeves, promo items and posters.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.crazyalice.com/"} +{"d:Title": "SickthingsUK", "d:Description": "Includes news, discography, history, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.sickthingsuk.co.uk/"} +{"d:Title": "Alice Cooper", "d:Description": "Official site includes tour dates, biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.alicecooper.com/"} +{"d:Title": "Alice Cooper News: Topix", "d:Description": "News about Alice Cooper continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.topix.com/who/alice-cooper"} +{"d:Title": "Alice Cooper Tour Programs", "d:Description": "A collector's guide with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.alicecoopertourprograms.com/"} +{"d:Title": "The Onion AV Club: Alice Cooper", "d:Description": "Interview with the famed shock-rocker about his artistic legacy.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.avclub.com/articles/alice-cooper,13600/"} +{"d:Title": "RollingStone.com: Alice Cooper", "d:Description": "Includes a biography, discography, photos, articles, videos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.rollingstone.com/music/artists/alice-cooper"} +{"d:Title": "Alice Cooper Ephemera Archive", "d:Description": "Extensive collection of magazine articles. Also includes a discography, bootleg guide and trading information for audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.alicecooperechive.com/"} +{"d:Title": "IMDb: Alice Cooper", "d:Description": "Filmography, composer credits, and television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.imdb.com/name/nm0004840/"} +{"d:Title": "MTV: Alice Cooper", "d:Description": "Includes news, album reviews, biographies, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://www.mtv.com/artists/alice-cooper/"} +{"d:Title": "Jam Music: Alice Cooper", "d:Description": "Articles and stories from wire services and Canadian papers.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice", "url": "http://jam.canoe.com/Music/Artists/C/Cooper_Alice/"} +{"d:Title": "Blackwidows, The", "d:Description": "Band based in Tampa, Florida. Includes slide-show and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice/Tribute_Bands", "url": "http://theblackwidows.tripod.com/"} +{"d:Title": "Gallus Cooper", "d:Description": "Glasgow, Scotland-based band. Gigs, fan reviews, pictures, videos and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Alice/Tribute_Bands", "url": "http://www.galluscooper.com/"} +{"d:Title": "Drummer World: Ray Cooper", "d:Description": "Biography, and picture gallery of drummer Ray Cooper.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Ray", "url": "http://www.drummerworld.com/drummers/Ray_Cooper.html"} +{"d:Title": "All Music Guide: Ray Cooper", "d:Description": "List of music tracks on which Cooper has played percussion. [alphabetic]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Ray", "url": "http://www.allmusic.com/artist/ray-cooper-mn0000074192"} +{"d:Title": "IMDb: Ray Cooper", "d:Description": "Filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper,_Ray", "url": "http://www.imdb.com/name/nm0178318/"} +{"d:Title": "Ollie Lime Cooper", "d:Description": "Fan site includes message board, tour dates, pictures, interviews, misheard lyrics, discography and fan reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cooper_Temple_Clause,_The", "url": "http://foxholski.tripod.com/teamcooper/"} +{"d:Title": "Brain Donor", "d:Description": "Power trio fronted by Julian, with Doggen and Kevlar from Spiritualized. News, discography with sound files, and downloads of a screensaver and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cope,_Julian", "url": "http://www.braindonor.org/"} +{"d:Title": "Julian Cope presents Head Heritage", "d:Description": "Official web site of the arch-drude. Biography, discography, Realaudio and Quicktime files, merchandise, and information about his books and environmental interests.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cope,_Julian", "url": "http://www.headheritage.co.uk/"} +{"d:Title": "The Modern Antiquarian", "d:Description": "Guide to ancient UK historical sites based on Cope's book of the same name.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cope,_Julian", "url": "http://www.themodernantiquarian.com/"} +{"d:Title": "Faust: The Greatest Gimmick of All", "d:Description": "Excerpt from Cope's book \"Krautrocksampler\" about the German rock band Faust.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cope,_Julian", "url": "http://members.tripod.com/faust71_2/julian.htm"} +{"d:Title": "Trav's Julian Cope Page", "d:Description": "Fan page from the operator of the \"Rail On\" discussion list and the tribute project.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cope,_Julian", "url": "http://tripalot.com/cope/"} +{"d:Title": "LA Weekly: Cosmic Cuckoos", "d:Description": "Review of Cornucopea, Cope's April 2000 two-night music festival at London's South Bank Centre.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cope,_Julian", "url": "http://www.laweekly.com/2000-05-18/music/cosmic-cuckoos/"} +{"d:Title": "Iinfinitedensity: Stewart Copeland", "d:Description": "Various interviews and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Copeland,_Stewart", "url": "http://www.infinitedensity.net/music/copeland/"} +{"d:Title": "Drummer World: Stewart Copeland", "d:Description": "Photos and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Copeland,_Stewart", "url": "http://www.drummerworld.com/drummers/Stewart_Copeland.html"} +{"d:Title": "Kahn Power Pictures: Stewart Copeland", "d:Description": "Official site. Biography and discography. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Copeland,_Stewart", "url": "http://www.artists4film.com/#/Stewart Copeland/"} +{"d:Title": "IMDb: Stewart Copeland", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Copeland,_Stewart", "url": "http://www.imdb.com/name/nm0004841/"} +{"d:Title": "Coptic Rain", "d:Description": "Fan page for the industrial band with news, discography, sound samples, wallpapers and information on the side project April Nine.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coptic_Rain", "url": "http://www.vorselman.com/coptic/"} +{"d:Title": "Chris Cornell", "d:Description": "Official site of the American rock musician. Find news, videos, music, blog, and tour information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cornell,_Chris", "url": "http://www.chriscornell.com/"} +{"d:Title": "Fluttergirl.com: Chris Cornell", "d:Description": "Fan tribute offers a brief biography, audio samples, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cornell,_Chris", "url": "http://www.fluttergirl.com/chriscornell/index.html"} +{"d:Title": "Wikipedia: Chris Cornell", "d:Description": "Documents the life of the American artist, including his recording career, side projects, awards, and personal life.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cornell,_Chris", "url": "http://en.wikipedia.org/wiki/Chris_Cornell"} +{"d:Title": "My Sunshower", "d:Description": "Tovi's site containing news, tours dates, biography, multimedia, mailing list, and art gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cornell,_Chris", "url": "http://mysunshower.tripod.com/"} +{"d:Title": "NYRock.com: Chris Cornell", "d:Description": "Interview about the album 'Euphoria Morning.'", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cornell,_Chris", "url": "http://www.nyrock.com/interviews/1999/cornell_int.asp"} +{"d:Title": "MTV.com: Chris Cornell", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cornell,_Chris", "url": "http://www.mtv.com/artists/chris-cornell/"} +{"d:Title": "Corrosion of Conformity", "d:Description": "Official site for the band includes news, tour information, sound samples, pictures and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrosion_of_Conformity", "url": "http://www.coc.com/"} +{"d:Title": "Vote with a Bullet", "d:Description": "Fan site includes news, discography, tour dates, tablature, interviews and video information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrosion_of_Conformity", "url": "http://www.viopac.com/~kiadm/coc.html"} +{"d:Title": "Cosmopolis: The Corrs", "d:Description": "Biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://www.cosmopolis.ch/english/cosmo1/corrs.htm"} +{"d:Title": "Absolute Divas: The Corrs Tribute", "d:Description": "Contains song lyrics, pictures, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://www.absolutedivas.com/corrs/"} +{"d:Title": "The Corrs List FAQ File", "d:Description": "Frequently asked questions for the band's mailing list and Usenet newsgroup.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://corrsfaq.freeservers.com/"} +{"d:Title": "Entertainment Ave: The Corrs", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/corrs/co081196.htm"} +{"d:Title": "The Corrs", "d:Description": "A message board about the Irish band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://thecorrs.suddenlaunch2.com/"} +{"d:Title": "AskMen.com: The Corrs", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://www.askmen.com/celebs/women/singer/41_the_corrs.html"} +{"d:Title": "All Music Guide: The Corrs", "d:Description": "Includes profile, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://www.allmusic.com/artist/p199804"} +{"d:Title": "MTV: The Corrs", "d:Description": "Album reviews, news, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The", "url": "http://www.mtv.com/artists/the-corrs/"} +{"d:Title": "AskMen.com - Andrea Corr", "d:Description": "Includes a biography and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Andrea", "url": "http://www.askmen.com/celebs/women/singer_200/221_andrea_corr.html"} +{"d:Title": "Haste to Caroline", "d:Description": "Information, facts, pictures and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Caroline", "url": "http://www.angelfire.com/ca4/hastetocaroline/"} +{"d:Title": "Caroline Corr Mailing List", "d:Description": "A mailing list for fans of the Corrs' drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Caroline", "url": "http://groups.yahoo.com/group/carolinecorrlist"} +{"d:Title": "Caroline Corr Rules", "d:Description": "Fan site with images, biography, links, drumming information and free e-mail.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Caroline", "url": "http://www.angelfire.com/music2/carolinerules/mainpage.html"} +{"d:Title": "Give It All Up 4 Jim Corr", "d:Description": "Message board, biography, quotes and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Jim", "url": "http://www.angelfire.com/celeb2/jimcorr/"} +{"d:Title": "Becky's Jim Corr Site", "d:Description": "Biography, image gallery and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Jim", "url": "http://beckylovesjim.5u.com/"} +{"d:Title": "Sharon Corr Mailing List", "d:Description": "A Yahoo based mailing list for fans to talk and share news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Sharon", "url": "http://groups.yahoo.com/group/sharoncorrlist"} +{"d:Title": "Talk on Corners with Sharon Corr", "d:Description": "Fan site with profile, images, fan list, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Corr,_Sharon", "url": "http://www.angelfire.com/stars2/sharoncorr/"} +{"d:Title": "TheCorrs.it", "d:Description": "Italian site which is also in English. Includes quiz, cartoons, downloads and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.thecorrs.it/"} +{"d:Title": "Meg's Corrs Page", "d:Description": "Includes pictures, band information, and music videos for download.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.angelfire.com/nh/corrs/index.html"} +{"d:Title": "Shane's Corrs Page", "d:Description": "MIDI files, photos, tour dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.iol.ie/~shane/index.html"} +{"d:Title": "The CorrBoard", "d:Description": "Corrs message board, endorsed by Jim Corr. Site also includes news, information and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.corrboard.com/"} +{"d:Title": "Corrs over the Years", "d:Description": "With links, band and album profiles, pictures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://saltyla32.tripod.com/corrs.htm"} +{"d:Title": "The Corrs Community", "d:Description": "Discography, fan listings, mailing list and a links page.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.thecorrs.org/"} +{"d:Title": "Waterfalls", "d:Description": "Includes biography, discography, latest news. message board, polls and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.geocities.co.jp/MusicStar/2373/corrs.htm"} +{"d:Title": "The 4 Corrs", "d:Description": "Descriptive site with pictures, biographies, quotes, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.angelfire.com/music2/the4corrs/"} +{"d:Title": "Corropolis", "d:Description": "News, profiles, discography, image gallery, downloads, tour dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.corropolis.8k.com/"} +{"d:Title": "Corrnucopia", "d:Description": "Articles, profiles of the band, image gallery, multimedia, links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.corrnucopia.net/"} +{"d:Title": "Celtic Corrs", "d:Description": "A collection of quotes and interviews, news and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://celtic_corrs.tripod.com/"} +{"d:Title": "Dreams: A Corrs Page", "d:Description": "Includes an image gallery, lyrics, profiles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://dreamcorr.deep-ice.com/"} +{"d:Title": "No Frontiers With The Corrs", "d:Description": "A collection of picture galleries, information and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Corrs,_The/Fan_Pages", "url": "http://www.nofrontiers.4mg.com/"} +{"d:Title": "Julian Coryell.net", "d:Description": "MP3s, tour dates, mailing list and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coryell,_Julian", "url": "http://www.juliancoryell.net/"} +{"d:Title": "Performing Songwriter: Julian Coryell", "d:Description": "Magazine interview about Coryell's switch from jazz to pop music.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coryell,_Julian", "url": "http://www.debbiekruger.com/writer/freelance/coryell_perfsong.html"} +{"d:Title": "Nikka Costa", "d:Description": "Official site includes pictures, videos, tour information, news, message board and mailing list. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costa,_Nikka", "url": "http://www.nikkacosta.com/"} +{"d:Title": "Sirens of Song: Nikka Costa", "d:Description": "Pictures, sound clips, concert reviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costa,_Nikka", "url": "http://www.sirensofsong.com/nikka.htm"} +{"d:Title": "Elvis Costello is King", "d:Description": "Fan's song-by-song analysis of Costello's greatest recordings and compositions.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis", "url": "http://www.morethings.com/music/costello/"} +{"d:Title": "The Elvis Costello Home Page", "d:Description": "News, bibliography, biography, articles, frequently asked questions, pictures, trading board, lyrics and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis", "url": "http://www.elviscostello.info/"} +{"d:Title": "Elvis Costello", "d:Description": "Official site with Island Records includes news, biography, sound and video clips, appearance schedule, \"Ask Elvis\" feature, message board and desktop wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis", "url": "http://www.elviscostello.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Elvis Costello and the Attractions", "d:Description": "Detailed biography of the 2003 inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis", "url": "http://rockhall.com/inductees/elvis-costello-the-attractions/"} +{"d:Title": "MTV: Elvis Costello", "d:Description": "Includes album reviews, news, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis", "url": "http://www.mtv.com/artists/elvis-costello/"} +{"d:Title": "Salon Brilliant Careers: Elvis Costello", "d:Description": "The king of the unforgiving is the rock star who never was.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis/Articles_and_Interviews", "url": "http://www.salon.com/1999/09/21/costello/"} +{"d:Title": "Glorious Noise: Now I Try to be Amused", "d:Description": "Positive review of Elvis Costello and the Imposters' concert at the Freedom Hill Amphitheater in Sterling Heights, Michigan.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis/Reviews", "url": "http://www.gloriousnoise.com/arch/000913.php"} +{"d:Title": "Metroactive Music: Elvis Costello", "d:Description": "Nicky Baxter's review: \"Elvis Costello wants to be taken seriously as a singer as opposed to a musician, but on his latest album, full of ballads and almost entirely devoid of balls-out rock, he misses his goal.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis/Reviews/All_This_Useless_Beauty", "url": "http://www.metroactive.com/papers/metro/06.20.96/costello-9625.html"} +{"d:Title": "Salon.com: Elvis and His Idols", "d:Description": "Joyce Millman's review: \"Its very lack of cohesion gives it a strangely persistent allure; it keeps calling you back, like the last two clues you can't figure out in the New York Times Sunday crossword puzzle.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis/Reviews/All_This_Useless_Beauty", "url": "http://www.salon.com/1996/05/05/music960513_2/"} +{"d:Title": "New Musical Express: When I Was Cruel", "d:Description": "John Mulvey's review. \"Through all his dalliances with opera singers, string quartets and Burt Bacharach, Costello has musically obscured most of his bile with the trappings of high art. But as 'When I Was Cruel' proves, he's much better at squeezing his considerable rants into the skinny format of rock'n'roll.\" Rated 6 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Costello,_Elvis/Reviews/When_I_Was_Cruel", "url": "http://www.nme.com/reviews/6291"} +{"d:Title": "TrouserPress.com: Josie Cotton", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cotton,_Josie", "url": "http://www.trouserpress.com/entry.php?a=josie_cotton"} +{"d:Title": "Josie Cotton - She Could Be the One", "d:Description": "Biography, discography, wish list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cotton,_Josie", "url": "http://notsorted.tripod.com/josiecotton"} +{"d:Title": "All Music Guide: Josie Cotton", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cotton,_Josie", "url": "http://www.allmusic.com/artist/josie-cotton-p25178"} +{"d:Title": "Danny Couch's Official Web Site", "d:Description": "Includes discography, show information and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Couch,_Danny", "url": "http://dannycouch.com/"} +{"d:Title": "Jonathan Coulton", "d:Description": "Official website. Offers blog, store for purchasing music and merchandise, photos, discussion forum, upcoming shows, and \"JoCoPedia\".", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://jonathancoulton.com/"} +{"d:Title": "Wikipedia: Jonathan Coulton", "d:Description": "Encyclopedia summary of career, biography, discography, and influence.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://en.wikipedia.org/wiki/Jonathan_Coulton"} +{"d:Title": "Popular Science: The Future of The Body: The Soundtrack", "d:Description": "Songs commissioned by Popular Science to accompany their \"The Future of the Body\" issue. Downloads, background, and a CD jewel case insert.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.popsci.com/scitech/article/2005-08/future-body-soundtrack"} +{"d:Title": "NPR: Witty Tunes Are Jonathan Coulton's 'Thing'", "d:Description": "Weekend Edition Sunday profile.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.npr.org/templates/story/story.php?storyId=6603466"} +{"d:Title": "Planet Money: NPR - An Internet Rock Star Tells All", "d:Description": "All Things Considered profile of success without record companies.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.npr.org/blogs/money/2011/05/14/136279162/an-internet-rock-star-tells-all"} +{"d:Title": "FRED Entertainment: Jonathan Coulton interview", "d:Description": "Interview discussing the end of \"Think A Week\".", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.asitecalledfred.com/2006/09/28/quick-stop-interview-jonathan-coulton/"} +{"d:Title": "Myspace: Jonathan Coulton", "d:Description": "News, downloads, tour dates, and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "https://myspace.com/jonathancoulton"} +{"d:Title": "IMDb: Jonathan Coulton", "d:Description": "Profile with filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.imdb.com/name/nm1637037/"} +{"d:Title": "Jawbone #93", "d:Description": "Podcast interview.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://jawboneradio.blogspot.com/2006/01/jawbone-93-wonderfully-magical.html"} +{"d:Title": "The Merlin Show", "d:Description": "Podcast interview. (Feb. 26, 2007)", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.themerlinshow.com/ep/002-interview-jonathan-coulton"} +{"d:Title": "Spiffworld", "d:Description": "Creates YouTube videos using Jonathan Coulton songs and World of Warcraft imagery.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.spiffworld.com/"} +{"d:Title": "Twitter: Jonathan Coulton", "d:Description": "Official Twitter account.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "https://twitter.com/jonathancoulton"} +{"d:Title": "New York Times Magazine: Sex, Drugs and Updating Your Blog", "d:Description": "Profile by Clive Thompson on Jonathan Coulton's early career and the relationship with his fans.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.nytimes.com/2007/05/13/magazine/13audience-t.html"} +{"d:Title": "About Creativity: An Interview with Jonathan Coulton", "d:Description": "Interview covering \"Thing A Week\" and creativity.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://about-creativity.com/an_interview_with_jonathan_coulton/"} +{"d:Title": "GeekDad: Jonathan Coulton and TMBG's John Flansburgh to Collaborate on New Record", "d:Description": "Information on the collaboration.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coulton,_Jonathan", "url": "http://www.wired.com/2010/06/jonathan-coulton-and-tmbgs-john-flansburgh-to-collaborate-on-new-record/"} +{"d:Title": "Counting Crows", "d:Description": "Official site includes sound bites, interviews, news, touring information, trader network, online store, and images.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Counting_Crows", "url": "http://www.countingcrows.com/"} +{"d:Title": "AdamDuritz.com", "d:Description": "Detail oriented fan site of counting crows lead singer. extensive lists, pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Counting_Crows", "url": "http://adamduritz.com/"} +{"d:Title": "Counting Crows News: Topix", "d:Description": "News about Counting Crows continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Counting_Crows", "url": "http://www.topix.com/who/counting-crows"} +{"d:Title": "RollingStone.com: Counting Crows", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Counting_Crows", "url": "http://www.rollingstone.com/music/artists/counting-crows"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Counting Crows", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Counting_Crows", "url": "http://sfloman.com/countingcrows.html"} +{"d:Title": "MTV: Counting Crows", "d:Description": "Features news, biography, tour dates, audio clips, pictures, bulletin boards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Counting_Crows", "url": "http://www.mtv.com/artists/counting-crows/"} +{"d:Title": "Count Zero", "d:Description": "Official site with biography, show dates, press, song clips, lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Count_Zero", "url": "http://www.count-zero.com/"} +{"d:Title": "Tina Cousins Unofficial Site", "d:Description": "Fan site with discography, lyrics, biography, multimedia clips, articles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cousins,_Tina", "url": "http://www.angelfire.com/wy/euromusic/"} +{"d:Title": "All Music Guide: Tina Cousins", "d:Description": "Offers a biography and discography, plus links to artists Tina has worked with.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cousins,_Tina", "url": "http://www.allmusic.com/artist/tina-cousins-mn0000928971"} +{"d:Title": "Yahoo Groups: The Aussie Tina Cousins Club", "d:Description": "Club for Australian fans of Tina Cousins, with a photo gallery, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cousins,_Tina/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/theaussietinacousinsclub/"} +{"d:Title": "Yahoo Groups: Tina Cousins - Adelaide Fan Club", "d:Description": "Despite its name, this club is for anyone who has an interest in Tina Cousins, with a message board, photo gallery, links and news on offer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cousins,_Tina/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/tinacousinsadelaidefanclub/"} +{"d:Title": "Yahoo Groups: Tina Cousins The Club", "d:Description": "Fan club with message board and chat room, photos, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cousins,_Tina/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/tinacousinstheclub/"} +{"d:Title": "David Coverdale", "d:Description": "Official site offers a discography, lyrics, interviews, videos, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coverdale,_David", "url": "http://davidcoverdale.com/"} +{"d:Title": "Whitesnake and David Coverdale Shrine", "d:Description": "Greek fan site with discography, pictures, wallpapers, MP3s, polls, chat and Winamp skins.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coverdale,_David", "url": "http://www.angelfire.com/80s/whitesnake/index.html"} +{"d:Title": "Cowboy Junkies", "d:Description": "Official site of the band includes photo gallery, tour itinerary, and links to a web discussion board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies", "url": "http://www.cowboyjunkies.com/"} +{"d:Title": "Trinity", "d:Description": "Yahoo Groups e-mail list for fans to discuss the band's body of work, music and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies", "url": "http://groups.yahoo.com/group/trinity/"} +{"d:Title": "Guitar Notes: Cowboy Junkies", "d:Description": "Listing of guitar tablatures for several songs.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies", "url": "http://www.guitarnotes.com/tabs/tabsearch.cgi?tab=on&pro=on&crd=on&btab=on&query=COWBOY+JUNKIES"} +{"d:Title": "Fredrik's Cowboy Junkies Site", "d:Description": "Fan site with discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies", "url": "http://home.swipnet.se/~w-52364/artister/cjunkies.html"} +{"d:Title": "Cowboy Junkies Site, Unofficial (yet Complete)", "d:Description": "Fan site contains a band history, discography, links, lyrics, guitar tabs, pictures, and a song database.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies", "url": "http://www.junkiesfan.com/"} +{"d:Title": "Metacritic: Open", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies", "url": "http://www.metacritic.com/music/open/cowboy-junkies"} +{"d:Title": "SHN List for Cowboy Junkies", "d:Description": "Listing of Cowboy Junkies in a database of shows distributed within a community committed to providing the highest quality live concerts in a lossless, downloadable format.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies/Sound_Files", "url": "http://db.etree.org/shnlist.php?artist=1010&year=2000"} +{"d:Title": "KCRW's Morning Becomes Eclectic", "d:Description": "RealAudio stream of a live performance.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Junkies/Sound_Files", "url": "http://www.kcrw.com/music/programs/mb/mb960403cowboy_junkies"} +{"d:Title": "Cowboy Mouth", "d:Description": "Official site with audio and video clips, discography, photos, interviews, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Mouth", "url": "http://www.cowboymouth.com/"} +{"d:Title": "The Unofficial Cowboy Mouth Pic-Page", "d:Description": "Pictures from a 1998 concert in Syracuse, New York.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Mouth", "url": "http://members.tripod.com/~cbmouth/welcome.html"} +{"d:Title": "Paul Sanchez", "d:Description": "Official site includes discography, news, shows and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowboy_Mouth", "url": "http://www.paulsanchez.com/"} +{"d:Title": "The Cowsills", "d:Description": "Pictures, sound bytes, discography, message board, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowsills,_The", "url": "http://cowsill.com/home.html"} +{"d:Title": "All Music Guide: The Cowsills", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cowsills,_The", "url": "http://www.allmusic.com/artist/the-cowsills-mn0000784760"} +{"d:Title": "Deborah Cox", "d:Description": "Official site with biography, news, pictures, message board, audio samples, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cox,_Deborah", "url": "http://www.deborahcox.com/"} +{"d:Title": "AskMen.com: Deborah Cox", "d:Description": "Interview and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cox,_Deborah", "url": "http://www.askmen.com/toys/interview_60/63_deborah_cox_interview.html"} +{"d:Title": "All Music Guide: Deborah Cox", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cox,_Deborah", "url": "http://www.allmusic.com/artist/deborah-cox-p165869"} +{"d:Title": "AskMen.com: Deborah Cox", "d:Description": "Pictures, biography, commentary and links on the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cox,_Deborah", "url": "http://www.askmen.com/celebs/women/singer_60/87_deborah_cox.html"} +{"d:Title": "The Temple of Graham Coxon", "d:Description": "Quotes, lyrics, pictures and wallpapers for your desktop.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coxon,_Graham", "url": "http://members.tripod.com/Calig/"} +{"d:Title": "The Unofficial Graham Coxon Website", "d:Description": "Provides news, biography, discography, press articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coxon,_Graham", "url": "http://www.grahamcoxon.com/"} +{"d:Title": "Rock Stars Are Not Cool", "d:Description": "Fan site with news, quotes, pictures, sounds, videos, fictions, lyrics, articles, mailing list and links. In English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Coxon,_Graham", "url": "http://lethargy.free.fr/"} +{"d:Title": "David Crosby/CPR", "d:Description": "Official site features news, reviews, tour dates, biography and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/CPR", "url": "http://www.crosbycpr.com/"} +{"d:Title": "Jeff Pevar", "d:Description": "Official site for the band's guitarist. News, gigs, background and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/CPR", "url": "http://www.pevar.com/"} +{"d:Title": "CrackerWeb", "d:Description": "Information, complete discographies, official photographs, lyrics, tablature, and MP3s from Cracker and related bands.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cracker", "url": "http://www.crackerweb.f9.co.uk/"} +{"d:Title": "Entertainment Ave: Cracker and The Cranberries", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cracker", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/cracker/cr081596.htm"} +{"d:Title": "Cracker", "d:Description": "The official site for the band, with tour dates, news, reviews, photo gallery, discography and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cracker", "url": "http://www.crackersoul.com/"} +{"d:Title": "ThisIs.CrackerSoul.org", "d:Description": "Fan site with reviews, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cracker", "url": "http://thisis.crackersoul.org/"} +{"d:Title": "I Play The Drums", "d:Description": "Personal site of Frank Funaro, current drummer for Cracker and former member of The Del-Lords.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cracker", "url": "http://www.iplaythedrums.com/"} +{"d:Title": "Entertainment Ave: Cracker", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cracker", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/cracker.htm"} +{"d:Title": "Interview", "d:Description": "Report of a conversation with Gian Pyres.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth", "url": "http://www.ram.org/music/articles/cradleinterview.html"} +{"d:Title": "Rockmagic.net: Cradle of Filth", "d:Description": "Presents lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth", "url": "http://lyrics.rockmagic.net/lyrics/cradle_of_filth/"} +{"d:Title": "Cradle of Filth Official Website", "d:Description": "Features news, biography, discography, member profiles, picture galleries, multimedia downloads, questions to the band and their answers, tour dates, tour diary, merchandise, screensaver and wallpapers, fan art and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth", "url": "http://www.cradleoffilth.com/"} +{"d:Title": "Satan Stole My Teddybear: Cradle of Filth", "d:Description": "Features album reviews and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth", "url": "http://www.ssmt-reviews.com/artist/cradle.html"} +{"d:Title": "MusicMight: Cradle of Filth", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth", "url": "http://www.musicmight.com/artist/united+kingdom/suffolk/ipswich/cradle+of+filth"} +{"d:Title": "Cradle of Filth", "d:Description": "Interviews, downloads, discography and lyrics with album sleeve pictures, and a VRML gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Fan_Pages", "url": "http://www.users.globalnet.co.uk/~jasen01/warsongs/cradle.htm"} +{"d:Title": "\u00c5berg's Cradle of Filth Unofficial Homepage", "d:Description": "Features a biography of the band, picture galleries, a discography, guitar tablatures, lyrics and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Fan_Pages", "url": "http://gamma.nic.fi/~cradle/samples.html"} +{"d:Title": "The Red Rose of the Devil's Whore", "d:Description": "Lyrics, discography, biography, pictures, and quite a few links to other black and death metal bands.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Fan_Pages", "url": "http://www.angelfire.com/va2/cradleoffilth/index.html"} +{"d:Title": "Two Lovers at Death", "d:Description": "Includes discography, pictures, biography, lyrics, tablatures, and audio/video files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Fan_Pages", "url": "http://www.angelfire.com/music/cradleoffilth/"} +{"d:Title": "Hrusht and Dario's Cradle of Filth Page", "d:Description": "Features the official biography of the band and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Fan_Pages", "url": "http://klub.posluh.hr/metal/cof/cof.htm"} +{"d:Title": "Damnation and a Day", "d:Description": "News, biography, member profiles, discography, lyrics, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Fan_Pages", "url": "http://www.angelfire.com/goth2/damnationandaday/"} +{"d:Title": "The Dani Filth Shrine", "d:Description": "Presents various photo galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Filth,_Dani", "url": "http://www.chaoticworks.com/dani/"} +{"d:Title": "Dani Filth Gallery", "d:Description": "Offers three photo galleries exclusively dedicated to the lead singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Filth,_Dani", "url": "http://www.angelfire.com/in2/Vampyres/danigallery.html"} +{"d:Title": "Death: Tablatures", "d:Description": "For a number of the band's songs along with some for Dimmu Borgir, Immortal, Marduk, Mayhem, and Old Man's Child.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cradle_of_Filth/Tablatures", "url": "http://members.tripod.com/withoutjudgement/id19.htm"} +{"d:Title": "All Music Guide: The Cramps", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cramps,_The", "url": "http://www.allmusic.com/artist/p3978"} +{"d:Title": "Absolute Divas: Dolores O'Riordan", "d:Description": "Includes pictures, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The", "url": "http://www.absolutedivas.com/dolores/"} +{"d:Title": "Drop-D Magazine: Cranberries Leave Bitter Aftertaste", "d:Description": "Review of a show by The Cranberries and Cracker at the GM Place in Vancouver, British Columbia.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The", "url": "http://dropd.com/issue/19/Cranberries/"} +{"d:Title": "Entertainment Ave: Cracker and the Cranberries", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/cracker/cr081596.htm"} +{"d:Title": "Cranberries.com", "d:Description": "Official site features news, biography, pictures, discography, contacts and tour news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The", "url": "http://www.cranberries.com/"} +{"d:Title": "Mono Band", "d:Description": "Official website of the Cranberries guitarist Noel Hogan's new band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The", "url": "http://www.monoband.co.uk/"} +{"d:Title": "MTV: The Cranberries", "d:Description": "Features news, profile, and discography with sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The", "url": "http://www.mtv.com/artists/the-cranberries/"} +{"d:Title": "JAM: The Cranberries", "d:Description": "Collection of news articles from Canada, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The", "url": "http://jam.canoe.com/Music/Artists/C/Cranberries/"} +{"d:Title": "Cranberries by Cranfan93", "d:Description": "Reports the experience of being a cranberries fan.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The/Fan_Pages", "url": "http://cranfan93.tripod.com/"} +{"d:Title": "The Cranberries Intermission", "d:Description": "Several Windows downloads, including wallpapers, screensavers, icons, Winamp skins, and a font.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The/Fan_Pages", "url": "http://www.angelfire.com/va/shabbee/"} +{"d:Title": "Wake Up and Smell the Coffee", "d:Description": "Discography, news, pictures, wallpapers, polls and a section dedicated to Dolores' hair.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The/Fan_Pages", "url": "http://lingerforthecrans.tripod.com/thecranberries/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Cranberries", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranberries,_The/Fan_Pages", "url": "http://sfloman.com/cranberries.html"} +{"d:Title": "Starblood - Cranes on the Web", "d:Description": "Features FAQs, discography, lyrics, concert information, media reaction, archived mailing lists, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranes", "url": "http://www.starblood.org/"} +{"d:Title": "Leonard's Lair: Future Songs", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranes", "url": "http://www.leonardslair.co.uk/cranes.htm"} +{"d:Title": "Loved: Fons36' Cranes Page", "d:Description": "Links, pictures, interviews and tape trading.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranes", "url": "http://www.fons36.com/cranes/cranes.htm"} +{"d:Title": "Cranes Fan Forum", "d:Description": "Mailing list, updates, tour diary, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranes", "url": "http://www.cranes-fan.com/"} +{"d:Title": "All Music Guide: Cranes", "d:Description": "Discography, band information, influences and similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cranes", "url": "http://www.allmusic.com/artist/p12620"} +{"d:Title": "Crashed", "d:Description": "Fan site, with discography, biography, news and events, lyrics, reviews, chat and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/CrashPalace", "url": "http://members.tripod.com/crashpalace/"} +{"d:Title": "Crash Test Dummies", "d:Description": "Official site. Features pictures, sound bytes, lyrics, and tablatures.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crash_Test_Dummies,_The", "url": "http://www.crashtestdummies.com/"} +{"d:Title": "Crash Test Dummies Webring", "d:Description": "Collection of inter-connected CTD websites.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crash_Test_Dummies,_The", "url": "http://members.tripod.com/crashtestdummies/webring.htm"} +{"d:Title": "Crash Test Dummies Fan Club", "d:Description": "Yahoo! Club offering archived messages and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crash_Test_Dummies,_The", "url": "http://groups.yahoo.com/group/crashtestdummiesfanclub/"} +{"d:Title": "MTV.com: Crash Test Dummies", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crash_Test_Dummies,_The", "url": "http://www.mtv.com/artists/crash-test-dummies/"} +{"d:Title": "Crash Test Dummies", "d:Description": "News articles from the Canadian Online Explorer (Canoe).", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crash_Test_Dummies,_The", "url": "http://jam.canoe.com/Music/Artists/C/Crash_Test_Dummies/"} +{"d:Title": "Canoe.ca: Crash Test Dummies", "d:Description": "Provides a detailed biography, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crash_Test_Dummies,_The", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Crash_Test_Dummies.html"} +{"d:Title": "DaveMcNally.com: Crazy Town", "d:Description": "Lyrics to the album \"The Gift of Game.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crazy_Town", "url": "http://www.davemcnally.com/Lyrics/CrazyTown/"} +{"d:Title": "MTV: Crazy Town", "d:Description": "Features music video clips, real audio files and interviews", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crazy_Town", "url": "http://www.mtv.com/artists/crazy-town/"} +{"d:Title": "Rock Publication", "d:Description": "Interview with Epic.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crazy_Town/Articles_and_Interviews", "url": "http://www.rockpublication.com/crazytown2.htm"} +{"d:Title": "Rock Publication", "d:Description": "Interview with Shifty.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crazy_Town/Articles_and_Interviews", "url": "http://www.rockpublication.com/crazytown.htm"} +{"d:Title": "Heavy Cream", "d:Description": "Images, history of the band and reunion speculation.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cream", "url": "http://www.jackbruce.com/cream/"} +{"d:Title": "Eddy's Cream Page", "d:Description": "An extensive biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cream", "url": "http://www.angelfire.com/ca/oldtimers/"} +{"d:Title": "RockMagic.net: Cream", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cream", "url": "http://lyrics.rockmagic.net/lyrics/cream/"} +{"d:Title": "Rock and Roll Hall of Fame: Cream", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cream", "url": "http://rockhall.com/inductees/cream/"} +{"d:Title": "RollingStone.com: Cream", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cream", "url": "http://www.rollingstone.com/music/artists/cream"} +{"d:Title": "Matt's Music Page: Creed", "d:Description": "Includes images, chat, forum, videos, lyrics, guitar tablatures, sound clips, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed", "url": "http://www.mattsmusicpage.com/ncreed.htm"} +{"d:Title": "RocknWorld: Creed", "d:Description": "Provides album reviews, album information, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed", "url": "http://www.rocknworld.com/specials/yckrr/creed/index.shtml"} +{"d:Title": "cMusicWeb.com: Creed", "d:Description": "Discography, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed", "url": "http://cmusicweb.com/hardrock/creed/index.shtml"} +{"d:Title": "Creed News: Topix", "d:Description": "News about Creed continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed", "url": "http://www.topix.com/who/creed"} +{"d:Title": "RollingStone.com: Creed", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed", "url": "http://www.rollingstone.com/music/artists/creed"} +{"d:Title": "MTV.com: Creed", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed", "url": "http://www.mtv.com/artists/CREED"} +{"d:Title": "All-Reviews.com : Human Clay", "d:Description": "MichaelT's review: \"The album is thought provoking, yet never preachy.\" Four stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Articles_and_Interviews", "url": "http://www.all-reviews.com/music/human-clay.htm"} +{"d:Title": "Entertainment Ave: Creed", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/creed.htm"} +{"d:Title": "Creed Concert Page", "d:Description": "Fan review and pictures of a concert in Madison, Wisconsin.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Articles_and_Interviews", "url": "http://webpages.charter.net/funkmaster/creed.htm"} +{"d:Title": "Yahoo Groups: Arms Wide Open to Creed", "d:Description": "E-mail list for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Chats_and_Forums", "url": "http://www.groups.yahoo.com/group/armswideopentocreed/"} +{"d:Title": "Creed Web", "d:Description": "Includes lyrics, tablatures, and audio/video files.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Fan_Pages", "url": "http://www.angelfire.com/tx3/Creed/opening.html"} +{"d:Title": "CreedFeed.com", "d:Description": "Features discography, fan submissions, downloads, message board, news, web rings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Fan_Pages", "url": "http://www.creedfeed.com/"} +{"d:Title": "What If This Is The End", "d:Description": "Contains biographies, polls, awards, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Fan_Pages", "url": "http://www.angelfire.com/rock/whatifthisistheend/"} +{"d:Title": "Creed's Prison", "d:Description": "Contains a biography, photographs, tour dates, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Fan_Pages", "url": "http://www.angelfire.com/ab/creedsprison/"} +{"d:Title": "Arms Wide Open to Creed", "d:Description": "Provides biographies, discography, photographs, facts, video and sound samples, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Fan_Pages", "url": "http://creed_lover03.tripod.com/armswideopentocreed/"} +{"d:Title": "Steph's Creed Page", "d:Description": "Includes lyrics, pictures, sound files, tablatures, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Fan_Pages", "url": "http://creeduniverse.tripod.com/"} +{"d:Title": "I Am a Creed Fan", "d:Description": "Bulletin board, chat room, news, humor, pictures, polls, and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Fan_Pages", "url": "http://www.angelfire.com/rock2/iamacreedfan/"} +{"d:Title": "Matt's Music Page: Creed", "d:Description": "Contains lyrics in alphabetical order.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Lyrics", "url": "http://www.mattsmusicpage.com/creed_lyrics.html"} +{"d:Title": "The Unofficial Scott Stapp Site", "d:Description": "Includes quotes, pictures, fan art, and quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Stapp,_Scott", "url": "http://members.tripod.com/thescottstappsite/index.htm"} +{"d:Title": "Ode: The Unofficial Scott Stapp Site", "d:Description": "Includes profile, pictures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Stapp,_Scott", "url": "http://www.angelfire.com/celeb/ScottStapp/"} +{"d:Title": "The Official Scott Stapp Website", "d:Description": "Official website from Wind-Up. News, media, tour and publicity dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Stapp,_Scott", "url": "http://www.scottstapp.com/"} +{"d:Title": "MarkTremonti.net", "d:Description": "News, biography, discography, audio and video clips, pictures, lyrics, tablature, chat and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creed/Tremonti,_Mark", "url": "http://www.marktremonti.net/"} +{"d:Title": "Creedence Clearwater Revival Fanpage", "d:Description": "Photos, discography, news, and solo project information. In German and English.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://ccrfanpage.com/"} +{"d:Title": "RockMagic.net: Creedence Clearwater Revival", "d:Description": "Chords and guitar and bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://www.rockmagic.net/guitar-tabs/creedence-clearwater-revival/"} +{"d:Title": "The River Rising", "d:Description": "Creedence Clearwater Revival and John Fogerty fan site. Home of The River Rising mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://members.tripod.com/riverising/"} +{"d:Title": "Creedence Clearwater Revisited", "d:Description": "Official site of the original band's reincarnation project. Provides concert dates, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://www.creedence-revisited.com/"} +{"d:Title": "Matt's Creedence Clearwater Revival Page", "d:Description": "Includes images, chat, forum, sound clips and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://www.mattsmusicpage.com/ncreede.htm"} +{"d:Title": "Swamp River Days", "d:Description": "Belgian fan's tribute to Creedence Clearwater Revival with news, tour dates, timelines, pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://users.skynet.be/ccrfan/"} +{"d:Title": "CCR Songbook", "d:Description": "Lyrics to songs performed by Creedence Clearwater Revival.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://www.kriechhammer.at/music/ccr.htm"} +{"d:Title": "The Creedence OnLine", "d:Description": "Includes history, discography, lyrics, tablatures, MIDIs, online chart, forum and images.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://creedence-online.net/"} +{"d:Title": "Ramble Tamble", "d:Description": "Dutch tribute with the band's history, a biography of John Fogerty, albums, singles, pictures and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://home.kpn.nl/fransen1/"} +{"d:Title": "Rock and Roll Hall of Fame: Creedence Clearwater Revival", "d:Description": "Biography and timeline for the 2001 inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://rockhall.com/inductees/creedence-clearwater-revival/"} +{"d:Title": "MTV.com: Creedence Clearwater Revival", "d:Description": "Includes a biography, album reviews, news, discography, links, and discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://www.mtv.com/artists/creedence-clearwater-revival/"} +{"d:Title": "Songsterr: Creedence Clearwater Revival", "d:Description": "Bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://www.songsterr.com/a/wsa/creedence-clearwater-revival-tabs-a158?inst=bass"} +{"d:Title": "Creedence Discography", "d:Description": "Discography that includes versions in many different countries.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival", "url": "http://www.freewebs.com/creedence/"} +{"d:Title": "John Fogerty", "d:Description": "Official site includes news, discography, mailing list and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival/Fogerty,_John", "url": "http://www.johnfogerty.com/"} +{"d:Title": "Entertainment Ave: John Fogerty", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival/Fogerty,_John", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/f/john_fogerty/jf072698.htm"} +{"d:Title": "Oldie Lyrics: John Fogerty", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival/Fogerty,_John", "url": "http://www.oldielyrics.com/j/john_fogerty.html"} +{"d:Title": "RollingStone.com: John Fogerty", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival/Fogerty,_John", "url": "http://www.rollingstone.com/music/artists/john-fogerty"} +{"d:Title": "Creagles Productions", "d:Description": "American band performing tributes to the Eagles as \"Heartache Tonight\" and Creedence Clearwater Revival as \"Bad Moon Rising.\" Includes biographies and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival/Tribute_Bands", "url": "http://www.creagles.com/"} +{"d:Title": "Creedence Again", "d:Description": "Chicago based tribute band; song list, photos, member profiles, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival/Tribute_Bands", "url": "http://www.creedenceagain.com/"} +{"d:Title": "Randy Linder", "d:Description": "Provides a biography, photos, sound files, and show dates for the Seattle-based tribute artist.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creedence_Clearwater_Revival/Tribute_Bands", "url": "http://randylinder.com/"} +{"d:Title": "Creeper Lagoon", "d:Description": "Official site with news, MP3s, merchandise and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Creeper_Lagoon", "url": "http://www.creeperlagoon.com/"} +{"d:Title": "The Marshall Crenshaw Page", "d:Description": "Featuring news, articles and information about this singer, songwriter and musician.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crenshaw,_Marshall", "url": "http://www.marshallcrenshaw.com/"} +{"d:Title": "The Onion AV Club: Marshall Crenshaw", "d:Description": "Interview with the great popsmith (and former Beatlemania! cast member) on his career as a musician, author, and performer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crenshaw,_Marshall", "url": "http://www.avclub.com/articles/marshall-crenshaw,13623/"} +{"d:Title": "Crime and the City Solution Discography", "d:Description": "A detailed discography of the Australian/British/German band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crime_and_the_City_Solution", "url": "http://home.iae.nl/users/maes/crime/discog.html"} +{"d:Title": "TrouserPress.com: Crime and the City Solution", "d:Description": "Review of the band's recordings and career.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crime_and_the_City_Solution", "url": "http://www.trouserpress.com/entry.php?a=crime_and_the_city_solution"} +{"d:Title": "Jim Croce", "d:Description": "Official website run by his family. Biography, discography, images, periodicals and memories.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Croce,_Jim", "url": "http://www.jimcroce.com/"} +{"d:Title": "Crocketts Tab", "d:Description": "Guitar and bass tablatures for the band, with explanation. Also offers pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crocketts,_The", "url": "http://angelfire.com/bc2/crockstab/"} +{"d:Title": "Barncard Pages", "d:Description": "Noted producer and Crosby and Nash compatriot Stephen Barncard's web site chronicles his career, including his work on CSNY-related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://www.barncard.com/"} +{"d:Title": "CSN World Headquarters", "d:Description": "Mailing list, tour dates and reviews, credits and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://www.crosbystillsnash.com/"} +{"d:Title": "4 Way Site", "d:Description": "Crosby, Stills, Nash and Young site with news and rumours, downloadables (screen savers, wallpapers and MP3) and FAQ lists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://www.4waysite.com/"} +{"d:Title": "Entertainment Ave: Crosby, Stills,&Nash and Chicago", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/csn/cs072796.htm"} +{"d:Title": "Robert Altman Communications", "d:Description": "Renowned photographer Robert Altman's website includes photos of Crosby, Stills and Nash and related (and unrelated) artists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://www.altmanphoto.com/"} +{"d:Title": "Crosby, Stills, Nash, and Young", "d:Description": "Official site with biography, family tree, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://csny.com/"} +{"d:Title": "RollingStone.com: Crosby, Stills, Nash and Young", "d:Description": "Includes a brief biography, discography, photographs, articles and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://www.rollingstone.com/music/artists/crosby-stills-and-nash"} +{"d:Title": "Rock and Roll Hall of Fame: Crosby, Stills and Nash", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://rockhall.com/inductees/crosby-stills-and-nash/"} +{"d:Title": "MTV: Crosby, Stills, Nash, and Young", "d:Description": "News, full biographies, musical influences, audio clips, photos, music videos, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crosby,_Stills,_Nash,_and_Young", "url": "http://www.mtv.com/artists/crosby-stills-nash/"} +{"d:Title": "David Cross and Noisy Records", "d:Description": "Official site for King Crimson violinist David Cross. Band biographies, discography, CD information and purchasing, lyrics, sample songs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cross,_David", "url": "http://www.david-cross.com/"} +{"d:Title": "Cross My Heart", "d:Description": "Official site offers a biography, discography, audio files and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cross_My_Heart", "url": "http://www.deepelm.com/bands/index_cross.html"} +{"d:Title": "Sheryl Crow", "d:Description": "Official site features tour dates, photos, tour journals, audio and video, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl", "url": "http://www.sherylcrow.com/"} +{"d:Title": "Topix: Sheryl Crow News", "d:Description": "Links from various media sources.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl", "url": "http://www.topix.com/who/sheryl-crow"} +{"d:Title": "Topix: Sheryl Crow", "d:Description": "News about Sheryl Crow, collected from various sources on the web", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl", "url": "http://www.topix.com/rss/who/sheryl-crow.xml"} +{"d:Title": "Artist Direct: Sheryl Crow", "d:Description": "Comprehensive resource with news, photos, biography, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,418906,00.html"} +{"d:Title": "Wikipedia: Sheryl Crow", "d:Description": "Detailed information about the American musician's career and personal life, plus discography, honors, and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl", "url": "http://en.wikipedia.org/wiki/Sheryl_Crow"} +{"d:Title": "Askmen.com: Sheryl Crow", "d:Description": "Collection of pictures, biography, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl", "url": "http://www.askmen.com/celebs/women/singer/12_sheryl_crow.html"} +{"d:Title": "MTV: Sheryl Crow", "d:Description": "Includes news, biography, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl", "url": "http://www.cmt.com/artists/sheryl-crow/"} +{"d:Title": "Sheryl-Fan.de", "d:Description": "German fan page with biography, discography, background information, wallpaper, picture gallery, video, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Fan_Pages", "url": "http://www.sheryl-fan.de/"} +{"d:Title": "Sheryl Crow - I Make The Rules Up As I Go", "d:Description": "Find photos, discography, links, and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Fan_Pages", "url": "http://onlyrnroll1.tripod.com/"} +{"d:Title": "Shrine to Sheryl", "d:Description": "Discography, lyrics, fan biography, quiz, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Fan_Pages", "url": "http://cusswords.tripod.com/shrine.html"} +{"d:Title": "Unrated Magazine: Sheryl Crow - C'mon C'mon", "d:Description": "Anthony Kuzminski's review: \"has in turn created a near perfect pop record.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Reviews/C'mon_C'mon", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Features/index.cfm&Article_ID=19"} +{"d:Title": "AMG All Music Guide: C'mon C'mon", "d:Description": "Stephen Thomas Erlewine's review: \"It's Sheryl Crow at her best, delivering music that is firmly rooted in the past, yet recorded and performed with a modern feel and flair...\" 4.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Reviews/C'mon_C'mon", "url": "http://www.allmusic.com/album/cmon-cmon-r581704"} +{"d:Title": "MusicCritic.com: Sheryl Crow - The Globe Sessions", "d:Description": "Bill Aicher's review: \"It is quality work, much more musical than her early stuff.\" Rated 4.5 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Reviews/Globe_Sessions,_The", "url": "http://www.music-critic.com/rock/sherylcrow_globesessions.htm"} +{"d:Title": "Music-Critic.com", "d:Description": "Peter Naldrett's review: \"Crow's pocketful of hits and over-confidant cow-girl attitude culminate in a performance that is not worthy of the names Clapton and Hynde.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Reviews/Live_in_Central_Park", "url": "http://www.music-critic.com/rock/sherylcrow_friends.htm"} +{"d:Title": "AMG All Music Guide: Live in Central Park", "d:Description": "Stephen Thomas Erlewine's review: \"Live in Central Park doesn't work as a record, even though it does seem like being in the audience would have been fun.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Reviews/Live_in_Central_Park", "url": "http://www.allmusic.com/album/sheryl-crow-and-friends-live-in-central-park-r447007"} +{"d:Title": "Canoe.ca: Sheryl Crow - Sheryl Crow", "d:Description": "Paul Cantin's review: \"the main weapon in her arsenal is her voice, which seems to move from a sweet rock 'n roll growl to a ragged, heartrending ballad purr within a single verse.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Reviews/Sheryl_Crow", "url": "http://jam.canoe.com/Music/Artists/C/Crow_Sheryl/AlbumReviews/1996/09/29/770639.html"} +{"d:Title": "AMG All Music Guide", "d:Description": "Stephen Thomas Erlewine's review: \"Crow manages to create an identity for herself - a classic rocker at heart but with enough smarts to stay contemporary.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Reviews/Tuesday_Night_Music_Club", "url": "http://www.allmusic.com/album/tuesday-night-music-club-r190846"} +{"d:Title": "The Sheryl Crow Webring", "d:Description": "Collection of fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crow,_Sheryl/Web_Rings", "url": "http://www.webring.org/hub?ring=scpage"} +{"d:Title": "Crowbar", "d:Description": "Official site offers a band history, member biographies, photos and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowbar", "url": "http://www.stormpages.com/crowbar/"} +{"d:Title": "CanadianBands.com: Crowbar", "d:Description": "Biography and illustrated discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowbar", "url": "http://www.canadianbands.com/Crowbar.html"} +{"d:Title": "Canoe.ca: Crowbar", "d:Description": "Includes a detailed biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowbar", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Crowbar.html"} +{"d:Title": "AllMusic.com: Crowbar", "d:Description": "Includes discography and brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowbar", "url": "http://www.allmusic.com/artist/crowbar-mn0001578048"} +{"d:Title": "Wikipedia: Crowded House", "d:Description": "Information about the band, their musical influences, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowded_House", "url": "http://en.wikipedia.org/wiki/Crowded_House"} +{"d:Title": "Crowded House Album Reviews", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowded_House", "url": "http://sfloman.com/crowdedhouse.html"} +{"d:Title": "Crowded House", "d:Description": "Official fan club. Find information on the band, club membership and a discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowded_House", "url": "http://www.frenz.com/crowdedhouse/"} +{"d:Title": "Finndex", "d:Description": "Alphabetized listing of all things Finn, including discography, interview transcripts, and tours.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowded_House", "url": "http://www.somethingsofinn.com/finndex.html"} +{"d:Title": "Allmusic: Crowded House", "d:Description": "Guide to the band's songs, discography, charts, and awards. Also find biography, and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowded_House", "url": "http://www.allmusic.com/artist/p3998"} +{"d:Title": "Crowded Scouse", "d:Description": "Crowded House tribute band based in the UK. Find details on upcoming gigs, photos and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowded_House/Tribute_Bands", "url": "http://www.crowdedscouse.co.uk/"} +{"d:Title": "Rodney Crowell", "d:Description": "The official Rodney Crowell website with sample song clips, biography, news, discography, touring schedule and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowell,_Rodney", "url": "http://www.rodneycrowell.com/"} +{"d:Title": "All Music Guide: Rodney Crowell", "d:Description": "Biography of the Texas singer/songwriter with musical influences, a comprehensive discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crowell,_Rodney", "url": "http://www.allmusic.com/artist/rodney-crowell-p1570"} +{"d:Title": "The Honeymoon is Over", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cruel_Sea,_The", "url": "http://annette.net/albums/cruel.html"} +{"d:Title": "San Francisco Weekly: Trouble Funk", "d:Description": "Article by Greg Doherty.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crumar,_Phil", "url": "http://www.sfweekly.com/2000-09-27/music/trouble-funk"} +{"d:Title": "Sugar and Spice", "d:Description": "Official site with concert dates, chat, interviews and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cryan'_Shames,_The", "url": "http://www.cryanshames.com/"} +{"d:Title": "The Crystal Method", "d:Description": "Official site with tour dates, news, photos, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crystal_Method", "url": "http://www.thecrystalmethod.com/"} +{"d:Title": "Metacritic: Crystal Method", "d:Description": "Links to reviews of the band's release \"Tweekend\".", "topic": "Top/Arts/Music/Bands_and_Artists/C/Crystal_Method", "url": "http://www.metacritic.com/music/tweekend/the-crystal-method"} +{"d:Title": "Tweekitten: Cub", "d:Description": "Brennan Sang's review of a show in Kalamazoo, Michigan.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cub", "url": "http://www.tweekitten.com/tk/articles/pansy.division.oct30.95.html"} +{"d:Title": "MetroActive Music: Cub Hits", "d:Description": "Article by Todd S. Inoue with quotes and a brief history.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cub", "url": "http://www.metroactive.com/papers/metro/11.16.95/cub-9546.html"} +{"d:Title": "Mint Records: Cub", "d:Description": "Message from the band and images.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cub", "url": "http://mintrecs.com/index.php?component=artists&action=profile&tag=cub"} +{"d:Title": "BlueRodeo.com: Jim Cuddy", "d:Description": "Profile offers a biography, audio samples, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cuddy,_Jim", "url": "http://www.bluerodeo.com/about/jimCuddy.aspx#subtitle_profile"} +{"d:Title": "The-Cult.com", "d:Description": "Unofficial site for The Cult with discography, rarities, news, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cult,_The", "url": "http://www.the-cult.com/"} +{"d:Title": "Taokow's Love Removal Machine", "d:Description": "Tribute to The Cult.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cult,_The", "url": "http://taokow.tripod.com/"} +{"d:Title": "The Cult Homepage", "d:Description": "Includes discography, tour dates, tablature, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cult,_The", "url": "http://www.cultcentral.com/"} +{"d:Title": "Southern Death Cult", "d:Description": "Early 80s post punk/goth rock band. Before the Cult, there was the Southern Death Cult.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cult,_The", "url": "http://www.deathrock.com/southerndeathcult"} +{"d:Title": "Beggar's Banquet: The Cult", "d:Description": "From the band's UK label.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cult,_The", "url": "http://www.beggarsgroupusa.com/cult/"} +{"d:Title": "Culture Club", "d:Description": "Official site features Boy George and the band's latest news, photos, audio, videos, biographies, tour dates and merchandise. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Culture_Club", "url": "http://www.culture-club.co.uk/"} +{"d:Title": "The Devil in Sister George", "d:Description": "News and features about Boy George and Culture Club, including George's weekly column for The Express.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Culture_Club", "url": "http://www.boy.george.net/"} +{"d:Title": "Boy George: An Awesome Talent", "d:Description": "Fan site includes news, photos, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Culture_Club", "url": "http://members.tripod.com/boygeorgefan/"} +{"d:Title": "Boy George Web", "d:Description": "News about the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Culture_Club", "url": "http://boygeorgeweb.blogg.org/"} +{"d:Title": "Burton Cummings and Guess Who Fan Forums", "d:Description": "Message boards with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cummings,_Burton", "url": "http://network54.com/group/2540"} +{"d:Title": "Yahoo! Groups", "d:Description": "Mailing list for fans of Burton Cummings and The Guess Who.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cummings,_Burton", "url": "http://groups.yahoo.com/group/burton_cummings"} +{"d:Title": "Jam Music Database: Burton Cummings", "d:Description": "Several newspaper articles.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cummings,_Burton", "url": "http://jam.canoe.com/Music/Artists/C/Cummings_Burton/"} +{"d:Title": "Canadian Music Encyclopedia: Burton Cummings", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cummings,_Burton", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/C/Cummings_Burton.html"} +{"d:Title": "The Night Owl", "d:Description": "Review of Up Close and Alone.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cummings,_Burton/Reviews", "url": "http://www.thenightowl.com/reviews/burton.htm"} +{"d:Title": "Another Cupcakes Fan Page", "d:Description": "Profiles, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cupcakes", "url": "http://www.angelfire.com/band/cupcakesfan/"} +{"d:Title": "The Cure", "d:Description": "The official Cure webpage. Includes news, show dates, photos, discography, video downloads, and a message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The", "url": "http://www.thecure.com/"} +{"d:Title": "The Cure Concerts Guide", "d:Description": "Overview of the band's concerts with setlists organized by year.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The", "url": "http://www.cure-concerts.de/"} +{"d:Title": "XGuitar.com: The Cure", "d:Description": "Guitar tablatures sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The", "url": "http://www.xguitar.com/guitar-tabs/the_cure/"} +{"d:Title": "MTV.com: The Cure", "d:Description": "Includes album reviews, music news, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The", "url": "http://www.mtv.com/artists/the-cure/"} +{"d:Title": "Songsterr: Cure", "d:Description": "Bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The", "url": "http://www.songsterr.com/a/wsa/the-cure-tabs-a47?inst=bass"} +{"d:Title": "Three Imaginary Boys: The Site", "d:Description": "Survey, lyrics, pictures, articles, discography, fonts and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://imaginaryboys.altervista.org/"} +{"d:Title": "Curiosity - The Cure Anomalies", "d:Description": "Contains downloads, games, images, and information relating to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://www.curiosity.de/frame.htm"} +{"d:Title": "Fons36's Cure", "d:Description": "Tape trading page, links to other sites, links to other traders and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://members.tripod.com/~fons36/index.html"} +{"d:Title": "Golden Haze", "d:Description": "Beautiful Cure artwork, discography, videography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://web.tiscali.it/fuchsia/curemain.html"} +{"d:Title": "Matt's Cure Page", "d:Description": "Images, lyrics, sound clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://www.mattsmusicpage.com/ncure.htm"} +{"d:Title": "On Fiction: An Improbable Collection", "d:Description": "Illustrated discography of the band's albums and rarities, as well as those distributed by their label, Fiction Records.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://www.on-fiction.com/"} +{"d:Title": "Stiff As Toys and Tall As Men", "d:Description": "Contains information about the band such as a discussion board, tour setlists, and bootleg reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://www.musicfanclubs.org/cure/"} +{"d:Title": "Dancing on a Beach of Stone", "d:Description": "Lyrics, discography, photographic gallery, and artwork relating to The Cure.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Fan_Pages", "url": "http://digilander.libero.it/beachofstone/"} +{"d:Title": "Entertainment Ave: The Cure", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/the_cure/cu072096.htm"} +{"d:Title": "Musicfolio: The Cure Album Reviews", "d:Description": "Discography and album reviews, with ratings and recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews", "url": "http://www.musicfolio.com/modernrock/thecure.html"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Cure", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews", "url": "http://www.sfloman.com/cure.html"} +{"d:Title": "Music-Critic.com: Bloodflowers", "d:Description": "Peter Naldrett's review: \"this is a tight new release from The Cure and while it's difficult to see where any hit singles are going to come from, the album is a credit to their realism and creativity.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Bloodflowers", "url": "http://www.music-critic.com/rock/cure_bloodflowers.htm"} +{"d:Title": "Rolling Stone: Bloodflowers", "d:Description": "Arion Berger's review: \"The album's soft, chewy center, five songs' worth, never varies in rhythm or pace and depends mostly on hard strumming for propulsion.\" 2.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Bloodflowers", "url": "http://www.rollingstone.com/music/albumreviews/bloodflowers-20000302"} +{"d:Title": "AMG All Music Guide: Bloodflowers", "d:Description": "Stephen Thomas Erlewine's review.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Bloodflowers", "url": "http://www.allmusic.com/album/bloodflowers-r465158"} +{"d:Title": "Canoe: Bloodflowers", "d:Description": "Jane Stevenson's review: \"while the general consensus is that these gloom rockers haven't reached the same musical heights in the '90s as they did in their '80s heyday, their gloriously melancholy and pretty goth-pop sound still works for me.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Bloodflowers", "url": "http://jam.canoe.com/Music/Artists/C/Cure/AlbumReviews/2000/02/13/770649.html"} +{"d:Title": "Metacritic: Bloodflowers", "d:Description": "Multiple critic and user reviews for Bloodflowers by The Cure.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Bloodflowers", "url": "http://www.metacritic.com/music/bloodflowers/the-cure"} +{"d:Title": "Rolling Stone: Disintegration", "d:Description": "Michael Azerrad's review: \"Despite the title, Disintegration hangs together beautifully, creating and sustaining a mood of thoroughly self-absorbed gloom.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Disintegration", "url": "http://www.rollingstone.com/music/albumreviews/disintegration-19890713"} +{"d:Title": "AMG All Music Guide: Disintegration", "d:Description": "Stephen Thomas Erlewine's review: \"It's a hypnotic, mesmerizing record, comprised nearly entirely of epics..\" 4.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Disintegration", "url": "http://www.allmusic.com/album/disintegration-r4933"} +{"d:Title": "AMG All Music Guide: Galore", "d:Description": "Stephen Thomas Erlewine's review.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Galore", "url": "http://www.allmusic.com/album/galore-the-singles-1987-1997-main-entry-r315457"} +{"d:Title": "AMG All Music Guide: Kiss Me Kiss Me Kiss Me", "d:Description": "Stephen Thomas Erlewine's review.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Kiss_Me_Kiss_Me_Kiss_Me", "url": "http://www.allmusic.com/album/kiss-me-kiss-me-kiss-me-main-entry-r4931"} +{"d:Title": "AMG All Music Guide: Mixed Up", "d:Description": "Stephen Thomas Erlewine's review: \"There are enough oddities and rare tracks on Mixed Up to make it necessary for Cure fans, but it's too specialized for casual listeners.\" 2 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Mixed_Up", "url": "http://www.allmusic.com/album/mixed-up-r4923"} +{"d:Title": "Rolling Stone: Pornography", "d:Description": "J.D. Considine's review: \"Backed by music that relies less on melody than thick slabs of heavily treated sound, Pornography comes off as the aural equivalent of a bad toothache.\" 2 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Pornography", "url": "http://www.rollingstone.com/music/albumreviews/pornography-19820902"} +{"d:Title": "AMG All Music Guide: Pornography", "d:Description": "Stewart Mason's review.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Pornography", "url": "http://www.allmusic.com/album/pornography-mw0000199022"} +{"d:Title": "Rolling Stone: Wild Mood Swings", "d:Description": "Anthony DeCurtis' review: \"Robert Smith continues looking for the perfect love, swooning when he thinks he's found it, collapsing wrecked when (inevitably) he's disappointed.\" 2 stars", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Wild_Mood_Swings", "url": "http://www.rollingstone.com/music/albumreviews/wild-mood-swings-19980202"} +{"d:Title": "AMG All Music Guide: Wild Mood Swings", "d:Description": "Stephen Thomas Erlewine's review: \"the variety of sounds and strength of performance offers enough surprises to make Wild Mood Swings more than just another Cure record.\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Wild_Mood_Swings", "url": "http://www.allmusic.com/album/wild-mood-swings-mw0000646830"} +{"d:Title": "Rolling Stone: Wish", "d:Description": "James Hunter's review: \"this outstanding album, like all the Cure's best music, runs on its own brash logic, making a virtue of its emotional polarities.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Wish", "url": "http://www.rollingstone.com/music/albumreviews/wish-19920421"} +{"d:Title": "AMG All Music Guide: Wish", "d:Description": "Stephen Thomas Erlewine's review: \"the even-handed production makes the record sound very similar, so it is less compelling than it might have been, but there are a handful of gems...\" 2.5 stars", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Reviews/Wish", "url": "http://www.allmusic.com/album/wish-r4934"} +{"d:Title": "Curse, The", "d:Description": "Southern Californian Cure tribute band; includes upcoming show information and setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Tribute_Bands", "url": "http://www.thecurseband.com/"} +{"d:Title": "Cured, The", "d:Description": "Southern California tribute band. Includes news, song list, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cure,_The/Tribute_Bands", "url": "http://www.thecured.net/"} +{"d:Title": "Cosmopolis: Shannon Curfman", "d:Description": "Short biography and information about her debut album \"Loud Guitars, Big Suspicions.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Curfman,_Shannon", "url": "http://www.cosmopolis.ch/english/cosmo3/curfman.htm"} +{"d:Title": "Shannon Curfman -- Loud Guitars, Big Suspicions", "d:Description": "Official site featuring a biography, news, articles, tour dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Curfman,_Shannon", "url": "http://www.shannoncurfman.com/"} +{"d:Title": "Brainwashed: Current 93", "d:Description": "Official site: news, discography, and concert archive.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Current_93", "url": "http://brainwashed.com/c93/"} +{"d:Title": "The Apocalyptic Folk", "d:Description": "Current 93 concert photos and the online \"The Apocolyptic Folk\" comic book.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Current_93", "url": "http://brainwashed.com/malachai/c93.html"} +{"d:Title": "Gneurosis: Interview with David Tibet of Current 93", "d:Description": "\"Imperium\"-era interview including discussion of magick and spirituality.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Current_93", "url": "http://www.uncarved.org/music/OOOc93.html"} +{"d:Title": "Draco's Cypress Hill Fan Site", "d:Description": "Fan site includes concert dates, pictures and history.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cypress_Hill", "url": "http://www.angelfire.com/band/cypresshill34/index.html"} +{"d:Title": "Cypress Hill Online", "d:Description": "Official site includes news, tour information, real soldier stories from the band, music, photographs, chats, message boards, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cypress_Hill", "url": "http://www.cypresshill.com/"} +{"d:Title": "MTV: Cypress Hill", "d:Description": "Features music video clips, album reviews and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cypress_Hill", "url": "http://www.mtv.com/artists/cypress-hill"} +{"d:Title": "Songsterr: Cypress Hill", "d:Description": "Collection of bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cypress_Hill", "url": "http://www.songsterr.com/a/wsa/cypress-hill-tabs-a1397?inst=bass"} +{"d:Title": "Entertainment Ave: Cypress Hill", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cypress_Hill/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/cypress_hill.htm"} +{"d:Title": "Canoe: Cypress Hill - Live at the Fillmore", "d:Description": "Darryl Sterdan's review: \"while it has its highs and lows, when it all comes together, Live at the Fillmore is a smokin' joint.\"", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cypress_Hill/Reviews/Live_at_the_Fillmore", "url": "http://jam.canoe.com/Music/Artists/C/Cypress_Hill/AlbumReviews/2000/12/01/770652.html"} +{"d:Title": "Music-Critic.com: Cypress Hill - Skull and Bones", "d:Description": "Peter Naldrett's review: \"Even the more liberal music lover would be hard stretched to find anything on Skull and Bones to impress...\" Rated 2 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/C/Cypress_Hill/Reviews/Skull_and_Bones", "url": "http://www.music-critic.com/urban/cypresshill_skullandbones.htm"} +{"d:Title": "Our Spanish Flys", "d:Description": "Fan site with biographies and schedules.", "topic": "Top/Arts/Music/Bands_and_Artists/C/C_Note", "url": "http://www.angelfire.com/ga2/CNoteFeelsSoGood/"} +{"d:Title": "1969 Band, The", "d:Description": "Nashville horn band covering songs from James Brown to Van Morrison. Includes sound files, song list, and schedule. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/1", "url": "http://the1969band.com/"} +{"d:Title": "2XL", "d:Description": "Live music duo and disco for functions. Biography, testimonials, audio samples, song list, pictures and prices.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/2", "url": "http://www.2xlmusic.com/"} +{"d:Title": "2 Bad", "d:Description": "Rock band from Knoxville, Tennessee specializing in 60s hippy rock. Now booking for corporate events, reunions, and private parties. Includes member profiles and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/2", "url": "http://personalpages.tds.net/~tbivens/"} +{"d:Title": "3 BarNone", "d:Description": "Richmond, Virginia duo playing classic and acoustic rock. Includes show dates and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/3", "url": "http://3barnone.tripod.com/"} +{"d:Title": "3-Wheel Drive", "d:Description": "Columbus, Ohio dance party band providing audio samples, forum and a booking form.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/3", "url": "http://www.3wheeldrive.com/"} +{"d:Title": "3 Way Split", "d:Description": "Band from Sydney, Australia. Services, song list, testimonials, demo and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/3", "url": "http://www.3waysplit.com.au/"} +{"d:Title": "30 Pack Lite", "d:Description": "Scranton, Pennsylvania, cover duo performing songs from the '60s to the present. Calendar, history, set list and venues.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/3", "url": "http://www.30packlite.com/"} +{"d:Title": "5th Avenue Function Band", "d:Description": "Music from the '60s to today. Available for weddings, corporate events, and other occasions. Profiles, pictures, and song lists.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/5", "url": "http://www.5thavenue-band.co.uk/"} +{"d:Title": "60s Invasion", "d:Description": "A Boston based group that plays music from the 60s. Includes member biographies, audio clips, reviews, photos and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/6", "url": "http://www.sixtiesinvasion.com/"} +{"d:Title": "76 Juliet", "d:Description": "Live dance music from the 70s, 80s, 90s and today. Includes individual biographies, schedule, guest-book and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/7", "url": "http://www.76juliet.com/"} +{"d:Title": "700 South", "d:Description": "Plays popular rock favorites in bars and events around Atlanta, Georgia. Includes gigs, biography, sound files and video clips, set list, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/7", "url": "http://www.700south.com/"} +{"d:Title": "9 South", "d:Description": "New Jersey party band playing modern and classic rock. Schedule, video and audio clips, pictures and list of artists covered.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/9", "url": "http://www.9south.net/"} +{"d:Title": "Alchemy", "d:Description": "Function band providing live rock and pop music for wedding receptions and corporate events. Profiles, demos, repertoire, gig guide and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.alchemy-theband.co.uk/"} +{"d:Title": "Acoustic Blue", "d:Description": "Acoustic group from San Diego, available as a duo or trio. Song list, calendar, pictures and riders.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.twofromblue.com/"} +{"d:Title": "Alibi", "d:Description": "Plays 80s and 90s rock, from Southern Minnesota. Includes photographs, gig information and requests.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.freewebs.com/alibi/"} +{"d:Title": "Afterglow", "d:Description": "Five-piece band from Yorkshjre, England. Includes set list and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.livebandyorkshire.co.uk/"} +{"d:Title": "A-Listers, The", "d:Description": "Party band from London. Videos, song list, lineups, FAQs and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.the-a-listers.com/"} +{"d:Title": "Azure", "d:Description": "London wedding and function quartet. Videos, services, repertoire, venues and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.azurefunctionband.com/"} +{"d:Title": "A-Side Soul", "d:Description": "Wedding and function band from Glasgow, Scotland. Services, song list, venues, testimonials and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.a-sidesoul.com/"} +{"d:Title": "Audiomatic", "d:Description": "Chicago wedding and club band. Gigs, videos, song list, pictures and services.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://www.audiomaticband.com/"} +{"d:Title": "All Tuned Up", "d:Description": "Philadelphia and South Jersey area horn band playing for weddings, private parties, corporate events and other special occasions.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/A", "url": "http://alltunedupband.com/"} +{"d:Title": "Bruzzler", "d:Description": "Has been on stage as opener for Jon Bon Jovi, Ten Years After and others with his show in the style of ZZTop.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bruzzler.com/"} +{"d:Title": "Boston Tea Party", "d:Description": "Holland band covering old and new dance classics, some Motown, and a touch of Rhythm and Blues. Includes news, biography, repertoire, sound and video files, pictures, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bostonteaparty.nl/"} +{"d:Title": "Back Of Beyond", "d:Description": "A classic rock covers band for hire in the north west of England.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://backofbeyond.tripod.com/"} +{"d:Title": "Bad Hair Day", "d:Description": "Cover band who play songs from the 80's. Includes biography, band news, schedule, contact details, and MP3 audio.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://bhdrocks.com/"} +{"d:Title": "Birdman", "d:Description": "Orange County cover band. Includes member profiles and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.dmgnet.com/birdman/"} +{"d:Title": "Back2Back", "d:Description": "A covers band in the UK playing songs from the sixties through to the present day. Includes member profiles and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://b2b_uk.tripod.com/"} +{"d:Title": "Blended Budgies", "d:Description": "A Sydney, Australia based covers band playing predominantly 80's music and \"one hit wonders\" with just a smattering of current Top 40. Gig dates, member profiles, song list, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://budgies.netro.com.au/"} +{"d:Title": "Bad Ace", "d:Description": "Classic and southern rock band from Virginia. Performance schedule and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.badace.com/"} +{"d:Title": "Blenner, M.R. and the Machine", "d:Description": "Classic rock and roll cover band based in Virginia. Band history, biographies, discography, photographs and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.angelfire.com/ma4/mrbmachine/"} +{"d:Title": "Blue Side Out", "d:Description": "Acoustic Cheshire, UK, covers band. Contains profile, upcoming shows, lyrics, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://bluesideout.tripod.com/"} +{"d:Title": "BC and Company", "d:Description": "General Business band specializing in weddings, corporate functions as well as nightclubs covering Massachusetts, Vermont, New Hampshire, Rhode Island, Maine, New York and Connecticut.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bcandcompany.com/"} +{"d:Title": "Buddy Holly Live", "d:Description": "Band reproducing 50s music, concentrating on Buddy Holly and the Crickets, Ritchie Valens, Elvis Presley, and Eddie Cochran. Includes a gig calendar, pictures, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.angelfire.com/music/emergencymemories/bhlive.html"} +{"d:Title": "Badge", "d:Description": "Atlanta area rock and roll cover band. Playing favorites from the 60s through today.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://badgeband.tripod.com/"} +{"d:Title": "Blind Lemon", "d:Description": "Rock band based in Scotland. Biography, news, and zipped MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://fadetoblack6661.tripod.com/fadetoblackofficialwebsite/"} +{"d:Title": "Billy McIsaac Band", "d:Description": "Professional Scottish wedding, function and events band. Profiles, song list, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.billymcisaacband.co.uk/"} +{"d:Title": "Backstreet, The Band", "d:Description": "Keyboard and guitar duo available with up to eight pieces for weddings, parties, and conventions. Song list, online quote request. Located in the Orlando Metro, Florida.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://backstreet-theband.com/"} +{"d:Title": "Blues For Breakfast", "d:Description": "Blues, rhythm and blues, jam, and reggae band from Vermont. Biography, calendar, song list, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.gmavt.net/~mrcharlie/"} +{"d:Title": "Blues Buzzards, The", "d:Description": "Blues, funk, and soul band from Los Angeles, California. Playlist, gigs, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://bluesbuzzards.8m.com/"} +{"d:Title": "Break the Chain", "d:Description": "Rock covers band from Margate, Kent, UK. Profiles, gig dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://website.lineone.net/~baz_jac/"} +{"d:Title": "Berkeley Broadway Singers", "d:Description": "Vocal performances of songs from Broadway shows and movie musicals, as well as standards, jazz, Latin, doo-wop, and blues. History, repertoire, show dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.berkeleybroadwaysingers.org/"} +{"d:Title": "Big Stuff", "d:Description": "Nine-piece funk and soul band, based on the south coast of England. Pictures and gig list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.creative-studios.com/bigstuff"} +{"d:Title": "Badness", "d:Description": "UK revival band performing music from the 2Tone ska era. History, news, playlist, member profiles, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.badnessaka.co.uk/"} +{"d:Title": "Beer for Breakfast", "d:Description": "Four-piece band, who play traditional and contemporary Irish music. Includes reviews, details of recordings, profiles, audio samples and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.beerforbreakfast.supanet.com/"} +{"d:Title": "Big Funk Corporation", "d:Description": "London based covers band formerly know as The Below Average White Band, led by Andy Mitchell.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bigfunkcorporation.com/"} +{"d:Title": "Bellowtones, The", "d:Description": "Based in Winnipeg, Manitoba, Canada. Profile, concert dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.mts.net/~dmclean2/Bellowtones/"} +{"d:Title": "BackBeat Village", "d:Description": "London-based 10 piece function band. Includes song list, MP3 files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.backbeatvillage.com/"} +{"d:Title": "B.A. Baracus Band", "d:Description": "Ontario-based band covering 80's songs using only a guitar, djembe and kazoos. Includes news, tour dates, photos, audio samples, set lists, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.babaracus.com/"} +{"d:Title": "Blind Vision", "d:Description": "Western New York cover rock band performing alternative and rock and roll tunes. Site contains band biography, songlist and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.blindvisionband.com/"} +{"d:Title": "Band Diego", "d:Description": "San Diego-based events band. Includes online booking, prices, and music styles and lists.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.banddiego.com/"} +{"d:Title": "Barrelhouse", "d:Description": "Eclectic rock band from Ottawa. Includes tour dates, booking information, song list and band member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://barrelhouse.us/"} +{"d:Title": "Blackbird", "d:Description": "Acoustic indie/rock duo from Plymouth, Devon, England. Biography, gigs, videos, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.blackbird-band.co.uk/"} +{"d:Title": "Bare Faced Cheek", "d:Description": "Wedding and function band based in West Yorkshire, England. Audio samples, pictures and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.barefacedcheek.com/"} +{"d:Title": "Bootie and the Ho Fish", "d:Description": "Six-piece disco and funk band from Bath, Somerset, England. Video, pictures and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bootieandthehofish.co.uk/"} +{"d:Title": "Bluestone", "d:Description": "Blues band from Holland. Contains pictures, audio files and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bluestoneblues.nl/"} +{"d:Title": "Band Camp", "d:Description": "'80s rock/pop band from the San Francisco Bay Area. Audio, member profiles, repertoire, photos and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bandcamprocks.com/"} +{"d:Title": "Blind Dog Hopkins", "d:Description": "Classic rock band in the Milwaukee area. Set list, pictures, calendar and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://blinddoghopkins.com/"} +{"d:Title": "Big Tuna", "d:Description": "Wedding/party band from Scotland. History, audio, video, gigs, set list, pictures and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bigtuna.co.uk/"} +{"d:Title": "Beats Working", "d:Description": "Five-piece band from Surrey, England. Video, audio, song list, band prifiles, pictures and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.beats-working.co.uk/"} +{"d:Title": "Blue Breeze Band, The", "d:Description": "Los Angeles-based band performs Motown, R&B, soul, funk, jazz and blues. Wedding and corporate services, videos, song list, calendar, testimonials and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bluebreezeband.com/"} +{"d:Title": "Big Floppy Disk", "d:Description": "Rock band from Connecticut. Audio and video samples, gigs, pictures and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/B", "url": "http://www.bigfloppydisk.com/"} +{"d:Title": "Coming Up Brass", "d:Description": "North Carolina based nine-piece band playing Carolina beach, soul, rock, and rhythm and blues oldies. Photographs, song list, upcoming performances, audio samples, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.comingupbrass.com/"} +{"d:Title": "Cold Turkey", "d:Description": "Edinburgh covers band with a repertoire that stretches from the jazz era to the present day.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.coldturkey.org.uk/"} +{"d:Title": "Cease and Desist", "d:Description": "Vancouver, British Columbia based. Contains photographs, news, biography, song list, audio samples, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.ceaseanddesistband.com/"} +{"d:Title": "Circles, The", "d:Description": "Britpop cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.thecircles.co.uk/"} +{"d:Title": "Code Blue", "d:Description": "Seven-piece Rhythm and Blues cover band from Penetang, Ontario, Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.angelfire.com/mt/codeblue/index1.html"} +{"d:Title": "Censored", "d:Description": "Knoxville, Tennessee based rock cover band. Contains member profiles, shows schedule, gear list, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.angelfire.com/band2/censored/"} +{"d:Title": "Circus", "d:Description": "Official site of the 80's hair glam rock cover band available worldwide for booking.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://circusrocks.tripod.com/intro.html"} +{"d:Title": "Connexion Band", "d:Description": "Dance and wedding band specializing in playing favorite songs. Orchestra of professional musicians and vocalists work wedding receptions and corporate events. Located in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.connexionband.com/"} +{"d:Title": "Complete Desire", "d:Description": "Perform music from the 1940's through today. Posts song list, contact, schedules, and band information. Located in Riverdale, Georgia, United States.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.completedesire.com/"} +{"d:Title": "Cherry Hill Band, The", "d:Description": "Alton-based rock and roll band that features photos, schedule and guestbook. Located in Illinois, United States.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://cherryhill.tvheaven.com/"} +{"d:Title": "Chadwicks, The", "d:Description": "Audition this Arizona cover band with MP3 and MPEG video files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.thechadwicksband.com/"} +{"d:Title": "Crossfire Band, The", "d:Description": "Dance band from Texas. Schedule, song list and pictures. Includes booking and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://crossfire-band.tripod.com/"} +{"d:Title": "Corvettes, The", "d:Description": "San Diego-based eight piece doo wop and Motown show band, playing the hits of the fifties and sixties. Includes biography, photos, performance schedule, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.thecorvettes.com/"} +{"d:Title": "Colorblind", "d:Description": "Classic rock party band located in Tri-Cities, Washington playing hits from the 60's through the present. Includes band profile, performance schedule, song list, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.musicbycolorblind.com/"} +{"d:Title": "Cactus Jack and the Cadillacs", "d:Description": "Old time rock and roll with five to eight pieces including optional horns, playing resorts in the Disney area and across Florida.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.bopper.com/"} +{"d:Title": "Cool Band Luke", "d:Description": "Based in San Diego, they cover the pop, rock, funk, blues and soul music from the 60s to today. Includes sound files, images, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://coolbandluke.com.hostbaby.com/"} +{"d:Title": "Coverstar", "d:Description": "Seven-piece band based in London, playing hits and classics from the 1960s to now. Profile and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.coverstarband.co.uk/"} +{"d:Title": "Cafe Society Jazz Band", "d:Description": "A four-piece band playing jazz and popular music from the twenties and thirties.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/C", "url": "http://www.1920sjazz.co.uk/"} +{"d:Title": "Dangerous Martini", "d:Description": "San Francisco Bay area jazz quartet. History, sound files, schedule, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.dangerousmartini.com/"} +{"d:Title": "Daytona", "d:Description": "Band specializing in 50's and 60's rock music. Biographies, set list sample, tour and contact information included.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.daytonas.20fr.com/"} +{"d:Title": "Delicious Fishes", "d:Description": "Acoustic pop trio from the St. Louis, Missouri area. Contains tour information, photographs, song list and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.deliciousfishes.com/"} +{"d:Title": "Dirt, Phil and the Dozers", "d:Description": "Nostalgic rock and roll band touring nationally. Includes band history, member profiles, audio clips, news, upcoming schedule and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.phildirt.com/"} +{"d:Title": "Dog Soldier", "d:Description": "Italian southern rock cover band. History, pictures, play list, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://spazioinwind.libero.it/dogsoldier/"} +{"d:Title": "Djars", "d:Description": "Rock band playing covers in the southeast of England. Includes photos, gigs, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://home.btconnect.com/djars/"} +{"d:Title": "Denice Given Band", "d:Description": "New York band playing music from swing and latin through to modern-day hits for corporate and private events. Includes biographies, printed articles, song list, calendar of events and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://denicegivenband.homestead.com/"} +{"d:Title": "Duke Baxter Band", "d:Description": "Available for weddings, bar mitzvahs, corporate parties and other special events in the Metro New York area. Includes news, pictures, MP3s, and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.dukebaxterband.com/"} +{"d:Title": "Dv8", "d:Description": "Southern New England rock cover band. Includes schedule, photos, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.angelfire.com/band2/dv8/"} +{"d:Title": "The Direct Energy Band", "d:Description": "Ohio-based horn-line rock band doing covers of music from the 1960s, 1970s and 1980s. Offers schedules, photos, songlists, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.directenergyband.net/"} +{"d:Title": "Don't Look Now", "d:Description": "Three piece pop/rock band based in Berkshire, England. Gig guide, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://dontlooknow.co.uk/"} +{"d:Title": "Devils Due", "d:Description": "Cincinnati band playing glam/hair rock from the '80s. News, gigs, pictures, audio and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/D", "url": "http://www.devilsduecincy.com/"} +{"d:Title": "English Rogues", "d:Description": "Classic rock band from the southeast of England. News, gig list, and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/E", "url": "http://www.englishrogues.co.uk/"} +{"d:Title": "EggMen, The", "d:Description": "Sound clips and information on this band from Texas featuring music from the Beatles, Kinks, Who, Rolling Stones, and other groups from the 1960s.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/E", "url": "http://www.eggmen.com/"} +{"d:Title": "Eclipse", "d:Description": "Five piece band from Michigan features fast, slow and Italian music. Play list, sample video and audio clips, testimonials and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/E", "url": "http://www.eclipsemusic.info/"} +{"d:Title": "eightwatts", "d:Description": "Rock/punk/alternative cover band in Hoboken, New Jersey. News, history, profiles, song list, upcoming gigs, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/E", "url": "http://www.eightwatts.com/"} +{"d:Title": "Exhibit A", "d:Description": "Rock, pop, and dance music for parties, and weddings. Based in Milwaukee, US. Includes pictures, schedule, and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/E", "url": "http://www.exhibitausa.com/"} +{"d:Title": "Empire State Band", "d:Description": "Based in London, England, playing 60s and 70s soul, funk and disco covers. Upcoming gigs, pictures, song list, audio files, and comments from clients.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/E", "url": "http://www.empirestateband.com/"} +{"d:Title": "El Rey and the Night Beats", "d:Description": "Since 1957, Waukesha Wisconsin based Rock and Roll group. Member profiles, schedule, and history with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/E", "url": "http://www.elreyandthenightbeats.com/"} +{"d:Title": "Fat Cats", "d:Description": "Cover band based in Melbourne, Australia. Song list with sound files, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.fatcats.com.au/"} +{"d:Title": "Fortune", "d:Description": "Plays music from the 1940s through today. Includes biography, song list, CD information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.voice1000.com/"} +{"d:Title": "Fidgety Feet, The", "d:Description": "Dixieland fun band from Leicester, England. Includes member profiles, sound samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.fidgetyfeet.co.uk/"} +{"d:Title": "Funking Barstewards, The", "d:Description": "English band successfully recreating the sounds of the 70s with style and fun. Member profiles, reviews, and gig list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.thefunkingbarstewards.co.uk/"} +{"d:Title": "Far Kings, The", "d:Description": "Classic/modern rock three piece cover band from the Greater New Haven/Fairfield areas of Connecticut. History, pictures, song list, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://farkings.tripod.com/"} +{"d:Title": "Frank the Cat", "d:Description": "Ten piece soul funk dance band, based in London. Include band information, video, MP3 files and gig list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.frankthecat.com/"} +{"d:Title": "Freespirit", "d:Description": "4-6 piece show band based in London, UK, performing at corporate events and private parties.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.aliveband.co.uk/"} +{"d:Title": "Fabulous Feedback Band, The", "d:Description": "Londons covers band playing rock, popular and independent music from all eras.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.feedbackband.co.uk/"} +{"d:Title": "Funk City", "d:Description": "Party and wedding band from Barkingside, London. Includes audio, testimonials and online booking form.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.funkcitypartyband.co.uk/"} +{"d:Title": "Fastlane", "d:Description": "U.K. rock band for weddings, functions and parties. Profile of members, gigs and demo.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/F", "url": "http://www.fastlanegroovers.com/"} +{"d:Title": "Gurley, Tommy Ray", "d:Description": "Performing a 50s and 60s music show in Georgia. Includes reviews, resume, and show calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/G", "url": "http://tgurley119.homestead.com/"} +{"d:Title": "Grooves, The", "d:Description": "Hot band based in Austin, Texas, plays a variety of music for any occasion. Schedule, photos, song list, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/G", "url": "http://www.thegrooves.net/"} +{"d:Title": "Group Therapy", "d:Description": "Cover band from Wilmington, playing songs from the 1960's to current. Song list, biographies and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/G", "url": "http://www.gtband.com/"} +{"d:Title": "Grand Central", "d:Description": "South-East England band providing cover versions of popular songs from the last four decades. Includes member profiles, MP3 samples, photos, song list, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/G", "url": "http://www.grandcentral-theband.co.uk/"} +{"d:Title": "Garyatrics", "d:Description": "Five guys from southern Sweden who play rock and roll. Includes pictures, member profiles, and play list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/G", "url": "http://www.garyatrics.se/index_en.htm"} +{"d:Title": "Good Clean Fun Band", "d:Description": "New York, New Jersey, and Connecticut wedding and corporate function show band. Site features audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/G", "url": "http://goodcleanfunband.com/"} +{"d:Title": "Hot Sex Band, The", "d:Description": "Alternative music played by people other than the original artists in the Tampa Bay area. Show dates, pictures, member profiles, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://www.angelfire.com/fl5/thehotsexband/"} +{"d:Title": "Hat Guys, The", "d:Description": "Chicago based tight groove pop band. Features gigs, pictures and photos of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://www.thehatguys.com/"} +{"d:Title": "Hamyzfear", "d:Description": "Singapore power metal band. Includes news, member profiles, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://www.members.tripod.com/~hamyzfear/"} +{"d:Title": "Harrington, Tim and Big Giant Head", "d:Description": "Plays dancable classic to current rock and original music. Includes biographies, gigs, and song list. Located in Lafayette, Indiana.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://www.tim-harrington-band.com/"} +{"d:Title": "HotHouse", "d:Description": "Northeast USA 5 to 7 piece variety band available for weddings and corporate events. Play list, past venues, sound clips, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://www.hothouseband.com/"} +{"d:Title": "Heater", "d:Description": "Atlanta band; includes sound files, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://heaterband.tripod.com/"} +{"d:Title": "Hall\u00e9 Rock", "d:Description": "Photos and song list with sound clips, from a cover band made up mainly of members of UK's oldest professional orchestra, The Hall\u00e9 of Manchester.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://www.hallerock.co.uk/"} +{"d:Title": "Half Tempted", "d:Description": "Classic rock band based in Boals Creek, Pennsylvania. Profiles, schedule, song list, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/H", "url": "http://webpages.charter.net/fbooth/"} +{"d:Title": "Immigrant Band, The", "d:Description": "Swedish cover band. Profile, pictures, gig dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/I", "url": "http://home.swipnet.se/theimmigrantband/"} +{"d:Title": "Impulse", "d:Description": "Classic rock and dance band from Southwest Michigan. Gig schedule, band member profiles, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/I", "url": "http://www.lindseylane.com/"} +{"d:Title": "Indian Summer", "d:Description": "Blues/rock/reggae band on the Jersey Shore. MP3s, calendar, and biographies available.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/I", "url": "http://www.indiansummerband.com/"} +{"d:Title": "Incredible Vegetables, The", "d:Description": "Swiss cover band. Includes show dates, photos, and a video clip.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/I", "url": "http://www.vegetables.ch/"} +{"d:Title": "Itchycoo Park", "d:Description": "New Zealand band playing music of sixties British bands. News, profiles, gigs, instruments, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/I", "url": "http://www.itchycoopark.co.nz/"} +{"d:Title": "Joker's Wild", "d:Description": "Contact information for the country, blues, and early rock band from Webb City/Joplin, Missouri.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://jokerswild.freehosting.net/"} +{"d:Title": "John Silva Experience", "d:Description": "From Houston, Texas a group dedicated to playing B-side tunes of popular music. Includes history, set lists, and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.angelfire.com/music4/jse/"} +{"d:Title": "Johnny Bling", "d:Description": "Based in Maryland US. Show dates, sound files, venues, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.johnnybling.com/"} +{"d:Title": "Jam Sandwich", "d:Description": "London UK-based four to eight piece rock and funk band. Includes photos, playlist, gig guide, audio files, booking and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.jamsandwich.biz/"} +{"d:Title": "Johnsons Band", "d:Description": "From Milwaukee, consists of a five musician line-up performing, pop radio rock. Contains performance dates, play list, photos, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.johnsonrock.com/"} +{"d:Title": "Justus", "d:Description": "Playing in and around Michiana, USA. Playing songs from Creed, Weezer, Cheap-Trick, AC/DC, Kiss. Some original songs are included in their set.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://angelfire.com/ultra/justus"} +{"d:Title": "Junction 34", "d:Description": "From Sheffield, UK, a four piece band playing music spanning the sixties to the present day, with some original songs. Features; venues, photos and information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.junction34.net/"} +{"d:Title": "Junco Partners", "d:Description": "Formed in 1964, took over from the Animals at the famous \"Club A'Gogo\" and \"Downbeat Club\" in Newcastle and Sunderland's \"Blue Note\". Now returned to their rhythm and blues roots.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://juncopartners.com/"} +{"d:Title": "Juicy Fruit", "d:Description": "Based in Sydney, Australia and adaptable in number and style to suit various public and private functions. Repertoire, audio files, band profile, and client list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.larryfriedberg.com/"} +{"d:Title": "Jury's Out", "d:Description": "Plays hits from the 70's, 80's, 90's, and today.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.jurysout.com/"} +{"d:Title": "jimmy mac and the koolkats", "d:Description": "For conventions and corporate parties in Hawaii. Performing for almost every major national and international corporation. Playing an average of 140 or more dates a year. Includes; songlist, photos, client list and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.jimmymacandthekoolkats.com/"} +{"d:Title": "Jagged Edge", "d:Description": "containing pictures of gigs, gig listings, band biography, band members, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://angelfire.com/rock3/jaggededge/"} +{"d:Title": "Jamtastic", "d:Description": "wedding entertainment, corporate entertainment, wedding band", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://jamtastic.net/"} +{"d:Title": "joose loosener", "d:Description": "Joose loosener is a live band. You will sing and dance till your feet hurt. Available for weddings, birthday parties, pubs, corporate events and business functions. Based on the north island, New Zealand.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.jooloo.co.nz/"} +{"d:Title": "Johnny Vegas and the High Rollers", "d:Description": "Jazz/lounge/rockabilly/swing/classic rock/Motown/disco/funk/pop band based in Fairfax, California. Includes audio samples, setlist and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.johnnyvegaslive.com/"} +{"d:Title": "Jimmy's Down", "d:Description": "Plays upbeat, danceable rock, pop and rap covers around Boston, Ma - Manchester, NH and New England.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.jimmysdown.com/"} +{"d:Title": "Job\u00fc", "d:Description": "JobuRock, based in New Jersey, USA. Featuring; news, profile, schedule, song list, and multimedia files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.joburocks.com/"} +{"d:Title": "Jumpstreet Band", "d:Description": "Boston wedding and corporate band. Profile, song list, MP3s, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.jumpstreet-band.com/"} +{"d:Title": "Joe Public", "d:Description": "A UK six piece covers band with brass section playing music from the 50's to today. Includes biography, music samples, song list and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.joepublic.webeden.co.uk/"} +{"d:Title": "Jimmy Willan Connection, The", "d:Description": "UK (Nottingham and Derby) function band. Pictures, career highlights, MP3 files, play list, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/J", "url": "http://www.jimmywillan.co.uk/"} +{"d:Title": "Karma", "d:Description": "Florida based rock cover band. Features audio and video files, and a song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/K", "url": "http://www.coolmusiccentral.com/"} +{"d:Title": "Kabang", "d:Description": "Rock band playing at Pleasure Island in Orlando, Florida. Profiles, schedule, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/K", "url": "http://kabangatpi.tripod.com/"} +{"d:Title": "Kicks, The", "d:Description": "Punk and new wave covers. Photos, show dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/K", "url": "http://www.freewebs.com/thekicks/"} +{"d:Title": "Losing Grace", "d:Description": "New Zealand cover band playing in the lower South Island. Style is contemporary rock in acoustic style suitable for many different venues and events.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/L", "url": "http://losinggrace.tripod.com/losinggrace/"} +{"d:Title": "LoCommotion", "d:Description": "A soul, rock, pop and disco band based in Derby, UK. Includes news, gig schedule, profiles, photographs, booking information, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/L", "url": "http://locommotion.tripod.com/"} +{"d:Title": "Louisiana Red", "d:Description": "News, tour details, image gallery, and booking information. Southern Louisiana, United States.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/L", "url": "http://www.louisianared.com/"} +{"d:Title": "Little Black Dress", "d:Description": "Contains song lists, MP3 demos and live recordings, floorshow styles and vocalist profiles, performance history, photo gallery and contact details. Located in Perth Western Australia.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/L", "url": "http://www.littleblackdress.com.au/"} +{"d:Title": "Live'N'Kickin", "d:Description": "Kent, UK hard rock band. Includes photos, member profiles, and show dates. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/L", "url": "http://www.livenkickin.co.uk/"} +{"d:Title": "Loveshack", "d:Description": "Las Vegas based 80's cover band. Band history, song list and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/L", "url": "http://www.loveshack.tv/"} +{"d:Title": "Latitude", "d:Description": "Biography, news, pictures, song list, and event dates for the Myrtle Beach band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/L", "url": "http://www.tomandmichellemusic.com/"} +{"d:Title": "Maco Station", "d:Description": "Cover band from Wilmington, North Carolina, playing classic and new rock. Includes pictures, gigs, song list, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://macostation.tripod.com/"} +{"d:Title": "Mad Cows, The", "d:Description": "A cover band from Los Angeles.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://members.tripod.com/~mcows/"} +{"d:Title": "Men-Machines", "d:Description": "80s cover band from Montreal. Includes news and gig information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://menmachines.tripod.com/"} +{"d:Title": "Mahoney Brothers, The", "d:Description": "Perform paying tribute to Rock legends Buddy Holly, Jerry Lee Lewis, Elvis Presley, The Beatles, The Beach Boys, and Neil Diamond. Includes schedule and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.jukeboxheroeslive.com/"} +{"d:Title": "Mustard Smile", "d:Description": "Six-piece rock band from Edmonton, Alberta. Gig schedule, profiles, song list, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.mustardsmile.com/"} +{"d:Title": "Most Valuable Players, The", "d:Description": "Southern California based band, all music styles. Biography, calendar, pictures, audio files, and client list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.themvps.net/"} +{"d:Title": "Michael Brooks Band, The", "d:Description": "St. Louis, Missouri dance band playing big band, and Dixie-style jazz. Contains band profile, song list, and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.michaelbrooks.com/"} +{"d:Title": "May, Kevin", "d:Description": "High energy saxophone rhythm and blues.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.kevinmay.net/"} +{"d:Title": "Mixed Company", "d:Description": "Atlanta, Georgia based band, specializing in convention, wedding reception, and trade show entertainment. Includes audio and video, song list, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.mixedcompany.com/"} +{"d:Title": "Mad Man Billy", "d:Description": "California cover band. Includes song list and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.madmanbilly.com/"} +{"d:Title": "Memphis Train Revue", "d:Description": "Rhythm and blues and classic soul band from Austin, Texas. Includes sound clips, show schedule, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.memphistrainrevue.com/"} +{"d:Title": "Martells, The", "d:Description": "Function band from Suffolk, England. History, gigs, song list, audio samples, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.themartellslive.com/"} +{"d:Title": "Members Lonely", "d:Description": "'80s tribute band based in Orange County, California. Song list, videos, audio and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.memberslonely.com/"} +{"d:Title": "Model Behavior", "d:Description": "Cincinnati party band performing '70s and '80s tunes. Gigs, song list and video.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://www.modelbehaviorband.com/"} +{"d:Title": "Mighty Big Band, The", "d:Description": "St. Louis-area band's site features services, song list, calendar and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://mightybigband.com/"} +{"d:Title": "Marblehead Johnson", "d:Description": "1990s Britpop tribute; includes set list, pictures, audio, video and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://marbleheadjohnson.atspace.com/"} +{"d:Title": "Morticians, The", "d:Description": "Classic rock band based in Waco, Texas. Includes history, photos, news, and show dates. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/M", "url": "http://mark408.wixsite.com/morticians-rev10-14"} +{"d:Title": "Neutral Zone", "d:Description": "Wisconsin pop/rock variety band. Member profiles, photographs, MP3 files, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/N", "url": "http://www.genevaonline.com/~erikson/"} +{"d:Title": "Newsboyz, The", "d:Description": "Austin, Texas party, wedding and club band. Includes biographies, song list, sound files, schedule, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/N", "url": "http://www.newsboyz.com/"} +{"d:Title": "No Disrespect", "d:Description": "South Wales rock/pop covers band. Forthcoming gigs, member profiles, pictures, and set list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/N", "url": "http://www.no-disrespect.com/"} +{"d:Title": "Night Groove Band", "d:Description": "Phoenix band offering a big band sound for weddings, parties or business event. Includes musicians' profiles and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/N", "url": "http://www.nightgrooveband.com/"} +{"d:Title": "Neon Nation", "d:Description": "California-based '80s tribute. Shows, biographies, news, press, booking information and fan forum.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/N", "url": "http://www.neonnation.net/"} +{"d:Title": "Night Shift", "d:Description": "Four-piece band from Monterey, Indiana, playing '50s, '60s, '70s and '80s tunes. Calendar, pictures, videos, song list and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/N", "url": "http://www.night-shift.webs.com/"} +{"d:Title": "Ordinary Men", "d:Description": "Upstate New York's premier cover band. Includes photos, schedule, and swag.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://www.angelfire.com/biz/ordmen/index.html"} +{"d:Title": "Over the Edge Band", "d:Description": "Country and rock cover band based out of lower Delaware. Contains profile, calendar, equipment list, song list and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://oteband.tripod.com/"} +{"d:Title": "Ovations, The", "d:Description": "North London based party band covering music from the sixties up to the nineties. Includes show dates, member profiles, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://www.assu75.dsl.pipex.com/index.html"} +{"d:Title": "On The Edge", "d:Description": "Experienced band playing out of Bristol UK. Includes gigs, gallery, history, reviews and booking form.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://www.on-the-edge.info/"} +{"d:Title": "Outtaline", "d:Description": "Rock and Roll party band from Missouri; includes show dates and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://lightningmo.tripod.com/"} +{"d:Title": "Out of the Shadows", "d:Description": "'60s classic pop band from Surrey, England. News, biography, song list, gigs, pictures and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://www.outoftheshadows.info/"} +{"d:Title": "On Air", "d:Description": "Sacramento, California, band playing classic rock with a horn section. Biographies, booking information and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://www.onairband.com/"} +{"d:Title": "Over Time", "d:Description": "Six-piece Massachusetts band playing songs from the '50s, '60s and '70s. Song list, profiles, gigs and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://www.overtimetheband.com/"} +{"d:Title": "Olive and the Dirty Martinis", "d:Description": "'60s and '70s rock from Marin County, California. Biography, events, song list and video.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/O", "url": "http://oatdm.com/"} +{"d:Title": "Poseur", "d:Description": "A band based in Rochester, New York, performing covers of music from 80s hair bands, including Cinderella, Tesla, and Bon Jovi.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://www.angelfire.com/band/poseurband/"} +{"d:Title": "Pop of Ages", "d:Description": "Function band playing well known pop covers 60's to present: audio clips, recommendations and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://www.popofages.co.uk/"} +{"d:Title": "Panache Band", "d:Description": "Includes band member biographies, song list, mailing list signup, and photos. Massachusetts, United States.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://www.panacheband.com/"} +{"d:Title": "PartyDown", "d:Description": "London-based party band specializing in classic dance tracks. Includes playlist and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://www.partydown.co.uk/"} +{"d:Title": "Pyramid", "d:Description": "Rock band from Galway, Ireland; includes a profile, news, details of gigs and contacts, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://www.pyramidlive.com/"} +{"d:Title": "Prototypes, The", "d:Description": "Performing covers of current music, as well as a wide and varied selection of absolute hits. Photos, video, testimonials and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://theprototypesmusic.co.uk/"} +{"d:Title": "Pianofactor", "d:Description": "Wedding party band performing top hits in their dueling pianos show. Video, audio, testimonials, FAQ and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "https://www.pianofactor.co.uk/"} +{"d:Title": "Plusminus Rocks", "d:Description": "Hardrock coverband from Holland. News, biography, videos and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://www.plusminusrocks.com/"} +{"d:Title": "Person To Person Band", "d:Description": "Delivering a wide range of musical styles including pop, R&B, jazz, and classic rock. Video, audio, images and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/P", "url": "http://www.persontopersonband.com/"} +{"d:Title": "Q2", "d:Description": "Jim and Ally Quinn. Song list, calendar, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Q", "url": "http://www.q2music.de/"} +{"d:Title": "Rumbles, The", "d:Description": "Midwest cover band formed in 1963. History, photographs, schedule, song list, MP3s, video, message board, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.rumbles.com/"} +{"d:Title": "Ronnie Raygun and The Big 80s", "d:Description": "The website of this 80s dance party band has a group line-up, photographs, MP3s, playlist and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.ronnieraygun.com/"} +{"d:Title": "Retrobution", "d:Description": "UK-based rock and soul covers band. Features a calendar of forthcoming gigs and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.retrobution.co.uk/"} +{"d:Title": "Red Shed", "d:Description": "5 piece show band. Contains members profiles, play list, photos and booking list. Derbyshire, England.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.redshed.org.uk/"} +{"d:Title": "R&B, The", "d:Description": "Indonesia based duo covering ballads and pop songs. Site contains song list and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://batamusik.tripod.com/"} +{"d:Title": "R-Gang", "d:Description": "Chicago, Illinois-based band playing Motown, and rhythm and blues. Includes profiles and photographs, calendar, song list, news, bookings and services.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://r-gang.com/"} +{"d:Title": "RazzMaJazz", "d:Description": "Greenville, South Carolina quartet playing lively jazz, modern pop, and dance tunes. Includes promotional information, biography, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://razzmajazz.tripod.com/"} +{"d:Title": "Reload Band", "d:Description": "A 5-piece, guitar-based rock band in England, providing live music entertainment for corporate functions, weddings, balls and private parties. Music from the last 5 decades. Stage show includes our own professional sound engineer. Music demos and CD purchases are available through this site.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.reloadtheband.co.uk/"} +{"d:Title": "The Reason", "d:Description": "A four-piece cover band from Leeds, UK playing rock, pop and soul music.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.thereason.net/"} +{"d:Title": "Redline", "d:Description": "Six-piece rock band based in Cleveland Ohio performing live dance music at clubs, weddings, private events. Cover music from the past 4 decades.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.onredline.com/"} +{"d:Title": "Rhythm Method Band Milwaukee", "d:Description": "Deeply rooted in the Milwaukee music community, this band covers classic rock from the 70's and 80's. Specializing in large outdoor-style concert venues with a huge fan following.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.rmrocks.com/"} +{"d:Title": "Redwood Band, The", "d:Description": "Versatile acoustic covers band based in Essex playing in local pubs and clubs. Includes gig list and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.theredwoodband.co.uk/"} +{"d:Title": "Royz Band, The", "d:Description": "Toronto wedding, dance and party band. Contains biographies, sound samples, client list and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/R", "url": "http://www.royzband.com/"} +{"d:Title": "Spiff", "d:Description": "High energy cover band from New Jersey. Show dates, links, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://members.tripod.com/Spiffrock/"} +{"d:Title": "Superfly", "d:Description": "Performing music from the 50s to the present day. Includes song list, downloads, pictures, news and links. From Hertsfordshire, England.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.superflymusic.co.uk/"} +{"d:Title": "Satelites, The", "d:Description": "Long Island, New York dance party band. History, audio and video files, schedule, song list, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thesatelites.com/"} +{"d:Title": "Spitballs of Fire", "d:Description": "Member biographies, pictures and show dates for the New York cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.angelfire.com/stars/ThyMoonlightGoddess/sof/homepage.html"} +{"d:Title": "Southern Edition", "d:Description": "News and information related to the country band from West Tennessee.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.angelfire.com/country/southernedition/"} +{"d:Title": "Shine", "d:Description": "UK cover band playing London. Contains band biography, gig list, venue information and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.shineband.co.uk/"} +{"d:Title": "Strange Highway", "d:Description": "Long Island, NY cover band. Profiles, song list, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.angelfire.com/band/strangehighwayrocksu/"} +{"d:Title": "Stormy", "d:Description": "Based in Baton Rouge, Louisiana. Profiles, song list, and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.stormyband.com/"} +{"d:Title": "Spirits of '69", "d:Description": "Classic rock band based in Tel Aviv, Israel. Includes schedule, photos, guestbook, and contests for free tickets.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://spiritsof69.tripod.com/"} +{"d:Title": "Sequel", "d:Description": "Cover band from Tampa Bay, Florida, with over 15 years of experience. History, song list, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.sequelband.net/"} +{"d:Title": "Screaming Nudes", "d:Description": "New Jersey cover band. Bios, photos, tour information", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.screamingnudes.com/"} +{"d:Title": "Secret Service Band", "d:Description": "Philadelphia cover band. Includes bios, tour dates and contact information", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.secretserviceonline.com/"} +{"d:Title": "Stiff Kitty", "d:Description": "Classic rock band from north-central West Virginia.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.angelfire.com/wv/stiffkitty/"} +{"d:Title": "Silver Streaks Band", "d:Description": "Located in East Norwalk, Connecticut. Includes song list, contact information and downloads", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.silverstreaksband.com/"} +{"d:Title": "The Sixties", "d:Description": "Cover band from Israel.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thesixties.co.il/"} +{"d:Title": "Southbound", "d:Description": "Band from Long Island. Playing country and classic rock.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.southbound.li/"} +{"d:Title": "The Stirr", "d:Description": "New England cover band. Band information available", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thestirr.com/"} +{"d:Title": "StreetCorner", "d:Description": "South East Michigan singing group. Bio and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.street-corner.com/"} +{"d:Title": "Scooby Snax Band", "d:Description": "Boston based cover band. Website includes songlists, club dates, photos and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thescoobysnaxband.com/"} +{"d:Title": "Smokin' Bone", "d:Description": "Southern rock band from Nashville,TN. Tour information and pictures available.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://smokinbone.tripod.com/"} +{"d:Title": "Soul Patrol, The", "d:Description": "Nine piece band playing classic atlantic soul, seventies disco and funk. Profile and MP3 samples. Cambridgeshire, England. [requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://thesoulpatrol.com/"} +{"d:Title": "SoHo Band", "d:Description": "Colorado based acoustic duo.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.sohoband.com/"} +{"d:Title": "StingRays", "d:Description": "Chicago Illinois based rock cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thestingrays.com/"} +{"d:Title": "Stone Beats", "d:Description": "UK based cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thestonebeats.co.uk/"} +{"d:Title": "Sundown", "d:Description": "Cover band based in Burlington NC.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://sundown.1colony.com/"} +{"d:Title": "Street Party", "d:Description": "Australian cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.streetparty.com.au/"} +{"d:Title": "Sweetwater Orchestras", "d:Description": "New York based cover band. Specializing in weddings, and corporate events.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.sweetwaterorchestras.com/"} +{"d:Title": "Suzie Q Dance Band", "d:Description": "Cover band based in Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.suzieq.com/"} +{"d:Title": "Sons and Lovers", "d:Description": "Nottingham, UK based '60s and '70s cover band. History, audio files, photos, gig list, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.sonsandlovers.co.uk/"} +{"d:Title": "Steppin Out Band", "d:Description": "New England/New York based band specializing in wedding and corporate functions.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.steppinoutonline.com/"} +{"d:Title": "Spectors, The", "d:Description": "Oldies show band from Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.spectors.com/"} +{"d:Title": "Spy Candy", "d:Description": "English six piece live tribute band to the Austin Powers and Charlie's Angels movies. Member profiles, photos, and set list with sound files. Formerly known as Robbie's Angels.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.spycandy.co.uk/"} +{"d:Title": "Shanghai Woolies, The", "d:Description": "Hot jazz band based in Portland, Oregon. Contains biography, member profiles, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.shanghaiwoolies.com/"} +{"d:Title": "Soul Incision", "d:Description": "Rock and Roll band from Nashville comprised of Vanderbilt University employees. Includes member profiles, song list, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.soulincision.com/"} +{"d:Title": "Satisfaction", "d:Description": "Providing live dance music for concerts, festivals, family celebrations, company functions, and community events throughout the Los Angeles area.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.satisfactionband.com/"} +{"d:Title": "Scamm", "d:Description": "Hertfordshire based cover band playing rock and pop covers.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://home.btconnect.com/muf/tgh/"} +{"d:Title": "Stella and the Stanleys", "d:Description": "Virginia based variety band performing concerts, corporate events, weddings and private parties in the mid Atlantic region of the US.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://bromfields.net/stella/index.htm"} +{"d:Title": "Shaw, Diane", "d:Description": "UK Soul Singer specialising in Motown, Northern and Modern Soul. Gig guide, photographs, reviews, audio downloads, message board, biography and gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.dianeshaw.co.uk/"} +{"d:Title": "Scam 69", "d:Description": "Punk rock cover band from the UK.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.scam69.com/"} +{"d:Title": "Second Wind", "d:Description": "Cincinnati, Ohio, cover band. Includes band information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.secondwind-band.com/"} +{"d:Title": "Savior Faire Band", "d:Description": "Find song lists, testimonials, audio/video clips and services from the San Francisco band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://savoirfaireband.com/"} +{"d:Title": "Silver Moon", "d:Description": "Big band and swing music from Northern California. Profile and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://silvermoonbigband.com/"} +{"d:Title": "Slushpuppies, The", "d:Description": "Boston, MA based rock cover band. Band history, show list, images, contact information, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.slushpuppies.com/"} +{"d:Title": "Space Rockers, The", "d:Description": "Superhero-themed party band from Austin, Texas. Shows, member profiles, videos, pictures, song list and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thespacerockers.com/"} +{"d:Title": "Superlicks, The", "d:Description": "Party band from Yorkshire, England. Services, repertoire, picture, audio, video, booking information and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.partycoversband.co.uk/"} +{"d:Title": "Solid Gold Seventies", "d:Description": "Scottish tribute to the music of the 1970s. Biographies, photos, video, pictures, packages, tech specifications and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.thankyouforthe70s.co.uk/"} +{"d:Title": "Shore, John", "d:Description": "Singer and guitarist from Seacoast, New Hampshire. Gigs, song list, sound files and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://www.openwindowmusic.com/"} +{"d:Title": "Slingshot", "d:Description": "Southern California based cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/S", "url": "http://jojamss.wix.com/slingshot"} +{"d:Title": "Tribe", "d:Description": "Official site for the northeast Florida band includes gig dates, club information and phone numbers, pictures, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.angelfire.com/music3/Tribe/"} +{"d:Title": "Tainted Love", "d:Description": "1980s cover band from San Francisco. Includes member biographies, audio/video, show schedule, song list and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.taintedlove.com/"} +{"d:Title": "Twisted Humor", "d:Description": "Southeast Minnesota band plays cover songs from the 70s through today's hits. Features history, gig dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.angelfire.com/rock2/TwistedHumor/"} +{"d:Title": "Tone Salad", "d:Description": "New Hampshire based rock cover band. Site contains biographies, photos, setlist, audio samples, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.tonesalad.homestead.com/tshomepage.html"} +{"d:Title": "Trailer Park Trash", "d:Description": "Rock band from upstate South Carolina who love to party. Photos, calendar, and sound file.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.tptrash.com/"} +{"d:Title": "Taylor Brothers Band", "d:Description": "Repertoire includes country/western, classic rock and roll, and a variety of Tejano music. Includes new, photos, biographies and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.angelfire.com/tx3/TaylorBrothersBand/"} +{"d:Title": "Time Warp", "d:Description": "Hard rock cover band from the metro north area of Boston, Massachusetts. Show dates, play list with sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.timewarprocks.com/"} +{"d:Title": "Tara Tuma", "d:Description": "Dance band based in Canada. Site contains biography, discography, show dates,", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.taratuma.com/"} +{"d:Title": "Tastes Like Chicken", "d:Description": "New York City band playing 1950s to 2000s rock music. Upcoming shows, song list, audio files, and wedding FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.tasteslikechicken.info/"} +{"d:Title": "Towers, The", "d:Description": "History, pictures, and news for the pop/punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.thetowers.8m.com/"} +{"d:Title": "Trilogy", "d:Description": "Pop band from Michigan. Profile, schedule, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.trilogy-music.com/"} +{"d:Title": "Third Time Thru", "d:Description": "Band based in North Carolina, playing a variety of rock songs from the 1960s to 2000. Site provides a biography, song list, lyrics, guitar chords, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://citron.tripod.com/thirdtim.htm"} +{"d:Title": "Turner, Twanna", "d:Description": "California based rhythm and blues, funk, and rock vocalist. Contains biography, schedule, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://twannaturner.com/"} +{"d:Title": "Take2", "d:Description": "Six-member classic rock cover band from Tyler, Texas; includes member biographies and sample playlist.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.take2band.com/"} +{"d:Title": "Tuxedo Junction", "d:Description": "Boston based five piece band available for weddings, corporate functions and parties in Massachusetts, Maine, New Hampshire, and Rhode Island.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://www.newenglandmusic.com/"} +{"d:Title": "Thunder Canyon", "d:Description": "Country band based in central New York. History, schedule, song list, audio files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://thundercanyonband.net/"} +{"d:Title": "Trip, The", "d:Description": "Orange County, California based high-energy band performing modern and classic rock, 80s hits, and rhythm and blues. Gig schedule, MP3 files, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://tripband.com/"} +{"d:Title": "Touched", "d:Description": "Five-piece band based in Central Massachusetts playing live 80's Rock.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/T", "url": "http://touched.bandzoogle.com/"} +{"d:Title": "Undercover, The", "d:Description": "4 piece classic rock cover band from the city of Newark, Ohio with pictures, biographies, and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/U", "url": "http://members.tripod.com/undercover01/"} +{"d:Title": "UUU", "d:Description": "Fan club site dedicated to the party band. Includes biographies, news, competitions and multimedia downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/U", "url": "http://www.angelfire.com/band2/uuu/"} +{"d:Title": "Underwater People", "d:Description": "Chicago area band for all occasions. Profiles, show dates, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/U", "url": "http://www.underwaterpeople.com/"} +{"d:Title": "UUU", "d:Description": "Official site includes news, schedule, sound clips, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/U", "url": "http://uuuband.com/"} +{"d:Title": "Uptown Soundz", "d:Description": "10-piece group based in Milwaukee, Wisconsin. History, pictures, songlist, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/U", "url": "http://www.freewebs.com/uptownsoundz/"} +{"d:Title": "Vinyls, The", "d:Description": "Vocal duo from Hertfordshire, England. Contains profile, show schedule and a pop music quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/V", "url": "http://www.singingduo.co.uk/"} +{"d:Title": "Wood, Mark", "d:Description": "Theme oriented bands for corporate events, private parties and weddings. Includes extensive list of artists covered and sample downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://markwoodentertainment.com/"} +{"d:Title": "Where's Brian?", "d:Description": "Six piece function band, playing 1940s to 1990s music. Audio clips, photographs and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.wheresbrian.co.uk/"} +{"d:Title": "The Whole Hog", "d:Description": "Rock and blues band from Somerset, United Kingdom. Performance schedule, member profiles, history, images, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.thewholehog.net/"} +{"d:Title": "Walker, Debi Sander", "d:Description": "Performs popular tunes and does impersonations. Song list, video clips of performances, biography, and CD-ROM ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.debisanderwalker.com/"} +{"d:Title": "Who Knows Band, The", "d:Description": "Classic rock band based in the Pocono Mountains. Profile, schedule, song list, audio files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.whoknowsband.com/"} +{"d:Title": "Wreck", "d:Description": "Rock/pop cover band from Nassau County, Long Island, New York. Profiles, calendar, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.wreckband.com/"} +{"d:Title": "Walton Stout Band, The", "d:Description": "Nine-member dance band from the Dallas area. Calendar, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.thewaltonstoutband.com/"} +{"d:Title": "Whiskey's Quicker", "d:Description": "Hard rock cover band in the Phoenix area. Member profiles, events and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.whiskeysquicker.com/"} +{"d:Title": "Whiskey Bottles, The", "d:Description": "Americana and alt-country band from Boulder, Colorado. Shows, music, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/W", "url": "http://www.thewhiskeybottles.com/"} +{"d:Title": "Yellow Brick Road", "d:Description": "Classic rock tribute band based in Las Vegas, performing hits from groups of the 70s and 80s. Site contains biographies, gig schedule, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Y", "url": "http://www.ybrband.com/"} +{"d:Title": "Y3K", "d:Description": "Dance band which plays music from the 80s, 90s, and today. Pictures, song list, audio clips, and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Y", "url": "http://www.y3kband.com/"} +{"d:Title": "Zoid", "d:Description": "Guitarist, vocalist, and solo performer in the Midwest, playing Jimmy Buffett, as well as classic rock from 50s through 90s.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Z", "url": "http://www.z9design.com/zoid/eye.html"} +{"d:Title": "Zig Zag", "d:Description": "80's and 90's rock cover band from northern New Jersey. Includes booking information, pictures and song excerpts.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Z", "url": "http://www.angelfire.com/nj2/ZIGZAG/"} +{"d:Title": "Zeed", "d:Description": "A Yugoslavian cover band who formed in 1984. Features pictures, a biography, mailing list and projects.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Z", "url": "http://areaillusions.com/zeed.htm"} +{"d:Title": "Zig Zag Band", "d:Description": "European band. Biography, MP3s, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Z", "url": "http://www.zigzagband.com/"} +{"d:Title": "Zippers, The", "d:Description": "Pop/rock covers band based in the Midlands, England. Set list, demo MP3s and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Cover_Bands/Z", "url": "http://www.thezippers.co.uk/"} +{"d:Title": "Death SS", "d:Description": "Italian metal band. Includes a biography, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://digilander.libero.it/death55/deathss/"} +{"d:Title": "Deine Lakaien", "d:Description": "Official site including discography, tour dates, news, sound samples, and images. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.deine-lakaien.com/"} +{"d:Title": "Destruction", "d:Description": "German thrash band. Concert dates, photos, lyrics, tabs, audio samples and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.destruction.de/"} +{"d:Title": "Dalaras, George", "d:Description": "Official site of this Greek artist. Site includes biography, discography, press archives, and latest tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dalaras.gr/"} +{"d:Title": "Dunne, Christopher", "d:Description": "Guitarist and vocalist. Solo act audio samples and links to historical information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://christopherdunne.com/"} +{"d:Title": "Dunn, Robyne", "d:Description": "One of Australia's most critically acclaimed singer songwriters. All her CDs are available for purchase. Streaming audio is included on site.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.robynedunn.com.au/"} +{"d:Title": "Dubb, Kirk", "d:Description": "Seattle rapper's official web site.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.kirkdubb.com/"} +{"d:Title": "Discipline", "d:Description": "Sound samples, photos and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.strungoutrecords.com/"} +{"d:Title": "Dichotic", "d:Description": "The official page of the death metal band. Bio, news, sample songs, discography, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dichotic.com/"} +{"d:Title": "Division", "d:Description": "Heavy metal band from the USA.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.division-usa.com/"} +{"d:Title": "Diamond Head", "d:Description": "British rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.diamond-head.net/"} +{"d:Title": "Dano's Island Sounds", "d:Description": "Steel drums and calypso music in San Diego, California. Includes brief history of Calypso, events, MP3 audio samples, photos, and Calypso music forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.danosislandsounds.com/"} +{"d:Title": "Dr Feelgood", "d:Description": "Rhythm and blues band from Essex, UK. News, tour dates, gigs archive, and complete history of the band presented in words, photos, and multimedia files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.drfeelgood.de/index.htm"} +{"d:Title": "Double Shot Band, The", "d:Description": "A southern rock group from Savannah, Georgia. Background information, song clips, lyrics, photos, show dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/ga/doubleshot/"} +{"d:Title": "De W\u00e2ldsang", "d:Description": "Includes news, history, and CD information for the brass band from the Netherlands.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dewaldsang.nl/"} +{"d:Title": "D'Rivera, Paquito", "d:Description": "Musician and composer of jazz and traditional Cuban music. Biography, discography, photos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.paquitodrivera.com/"} +{"d:Title": "Dead Poets Society", "d:Description": "Official site of the synthpop band features news, biographical information, pictures, streaming music, MP3 downloads, and online album purchasing.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedeadpoets.org/"} +{"d:Title": "Daddario, Joe E.", "d:Description": "Includes audio samples, merchandise, reviews, an interview, discography and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://songman.com/"} +{"d:Title": "DarkStar", "d:Description": "A hard hitting rock band with a classic touch.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://darkstar-inc.com/"} +{"d:Title": "Dane, Damian", "d:Description": "Dedicated in his memory.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/me/ladyrichards/"} +{"d:Title": "Dun", "d:Description": "Irish music group from Britanny. Traditional and contemporary songs and tunes, arranged for acoustic instruments with fresh and dynamic style.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/Dun/"} +{"d:Title": "DeRosa, Chris", "d:Description": "Freelance drummer/musician/composer.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.chrisderosa.com/"} +{"d:Title": "Doll Factory", "d:Description": "Official site this Seattle alternative band. Song clips and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dollfactory.org/"} +{"d:Title": "Dean, Tom", "d:Description": "Official site of the singer/songwriter includes biography, schedule, lyrics, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://tomdeansongs.com/"} +{"d:Title": "Duffecy, Chris", "d:Description": "Listen to selections from the CD, \"The Milky Way\".", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.browseweb.com/PretendMusic/listen.htm"} +{"d:Title": "Donati, Virgil", "d:Description": "News, biography, discography, sound files, tour dates, and pictures for the drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.virgildonati.com/"} +{"d:Title": "Diament, Rob", "d:Description": "Male singer from UK.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.robdiament.com/"} +{"d:Title": "Dumpster Juice", "d:Description": "Minneapolis band recording on Spanish Records.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.tt.net/spanishfly/juice.html"} +{"d:Title": "Django Haskins and the Regulars", "d:Description": "Calendar, biography, photos, RealAudio sound clips and press clippings", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.djangohaskins.com/"} +{"d:Title": "dreamSTATE", "d:Description": "Toronto based ambient electronic artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dreamstate.to/"} +{"d:Title": "Dime to Destiny", "d:Description": "Homepage of a young Christian rockband from South Germany - with sound examples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dimetodestiny.de/"} +{"d:Title": "Day, Otis and the Knights", "d:Description": "Includes biography, band history, audio and video clips, upcoming schedule and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://otisdayandtheknights.com/"} +{"d:Title": "Dragon Ash", "d:Description": "Profile, discography, and pictures of the Japanese band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dragonash.co.jp/"} +{"d:Title": "Dru, Rebecca", "d:Description": "Singer/songwriter from Los Angeles, California. Includes a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.rebecca-dru.com/"} +{"d:Title": "D Baba Project", "d:Description": "Acid jazz band. Gig schedule, profiles, and streaming audio. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dbaba.com/"} +{"d:Title": "Dell, Dennis", "d:Description": "A singer/entertainer with many different voices and styles. Artist information, show dates, booking information, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dennisdell.com/"} +{"d:Title": "Dave's Planet", "d:Description": "Includes band member biographies, song list and upcoming show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.davesplanetlive.com/"} +{"d:Title": "Disharmonic Orchestra", "d:Description": "Experimental metal band Germany. Discography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.disharmonic.com/"} +{"d:Title": "Derellicts, The", "d:Description": "Profiles, lyrics, and sound files for the independent underground band solely founded on improvisational music.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/tn/thederellicts/"} +{"d:Title": "Dead T.V.", "d:Description": "Contains news, profile, discography, photographs, articles and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.voskat.net/deadtv/"} +{"d:Title": "Doc Jazz", "d:Description": "Netherlands-based jazz and pop artist. Includes biography, audio files, CD information, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.docjazz.com/"} +{"d:Title": "Dirty Penny", "d:Description": "Pop/Rock band from Miami.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/dirtypenny/index.html"} +{"d:Title": "Dijkstra, Jorrit", "d:Description": "Musician/composer from Amsterdam, Netherlands. Profile, news, discography, and press quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.jorritdijkstra.com/"} +{"d:Title": "Double", "d:Description": "Official site of the Swiss duo.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.doublecity.com/"} +{"d:Title": "Dallas Orbiter", "d:Description": "Low-gravity noise pop from Minneapolis, Minnesota. News, biography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dallasorbiter.com/"} +{"d:Title": "Daniels, Zak and the One Eyed Snakes", "d:Description": "This official site for this Los Angeles based rock and roll group; includes biographies, MP3 files, photos and CD reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.zakdaniels.com/"} +{"d:Title": "Dancing Cigarettes", "d:Description": "Pictures, reviews and recordings of this Midwestern avant-pop group and related bands from the copious archives of G. Don Trubey.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://gdon.home.mindspring.com/dancing/cigarettes.html"} +{"d:Title": "Dropkick Murphys", "d:Description": "Official web site. Biography, audio, discography, lyrics, tablatures, trivia, pictures, merchandise, guestbook, F.A.Q. and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dropkickmurphys.com/"} +{"d:Title": "Dublin City Ramblers", "d:Description": "Playing Irish music, including ballads and pub songs, for over 25 years. Band history, CD information and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dublincityramblers.com/"} +{"d:Title": "Drive-In", "d:Description": "Find out everything about the Amsterdam-based easy tune duo and Drive-in Productions.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.xs4all.nl/~drive/"} +{"d:Title": "Daniel, Adam", "d:Description": "Official site features song samples (Realplayer), photos, bio, news and reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.adamdaniel.com/"} +{"d:Title": "Droogs, The", "d:Description": "Official site of the California garage rock band includes biography, discography, reviews, news, video file, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedroogs.com/"} +{"d:Title": "Dupree, Robbie", "d:Description": "Singer/songwriter. Includes biography, discography, news, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.robbiedupree.com/"} +{"d:Title": "Danielle", "d:Description": "Official site of the recording/performance artist. Includes news, tour dates, clips and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/music/danielleonline/index.htm"} +{"d:Title": "Decibels Band", "d:Description": "News, photos, profiles and music of blues, rock, funk and jazz artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://decibels.freeservers.com/"} +{"d:Title": "Deception of Dreams", "d:Description": "An all girl band playing in the 80s metal ballad style. Band information, audio clips, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/me2/deceptionofdreams/index.html"} +{"d:Title": "Death", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://lyrics.rockmagic.net/lyrics/death/"} +{"d:Title": "Digital Retro", "d:Description": "Audio files and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.digitalretro.com/"} +{"d:Title": "Dreyer, Craig", "d:Description": "A tenor saxophone/vocalist in New York City with has two bands available for clubs, private parties, wedding bands and functions. Biography, band information, show dates, reviews and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.craigdreyer.com/"} +{"d:Title": "Dyer, Robert", "d:Description": "Guitarist site with biography, release information, merchandise and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://robertdyer.fanspace.com/"} +{"d:Title": "Daudier, Jean Michel", "d:Description": "Haitian dance world beat music.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.calypsoconsulting.com/jmd.html"} +{"d:Title": "Duo Guardabarranco", "d:Description": "Nicaraguan duo consisting of brother and sister Salvador and Katia Cardenal. History, discography, tour information, lyrics, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.duoguardabarranco.com/"} +{"d:Title": "Davincis Kradle", "d:Description": "Blends influences from the past three decades. Includes a biography, photos and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.members.tripod.com/davincisk27406/index.htm"} +{"d:Title": "Doctor Midnite", "d:Description": "A rock, blues and country blend. Biography, song clips and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://drmidnite.com/"} +{"d:Title": "Dashboard Hulas, The", "d:Description": "A 4-piece all-girl rock band out of Pittsburgh, Pennsylvania. Band information, show dates, CD information, song clips and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dashboardhulas.tripod.com/"} +{"d:Title": "Discorde", "d:Description": "Pop/rock band with violin. Site with news, bio, mp3, real audio, full songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.discorde.com/"} +{"d:Title": "Doran, David", "d:Description": "With links to concerts, CDs, management, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.drums4life.com/"} +{"d:Title": "DDT", "d:Description": "Pictures, reviews, interviews, links and news on Canadian band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/on2/ddtfanpage/"} +{"d:Title": "Douglas, Keaton", "d:Description": "Profile and audio samples for the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.cyberjaz.com/keaton/"} +{"d:Title": "Desensitised", "d:Description": "Dutch death/grinders.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://desensitised.tripod.com/index.html"} +{"d:Title": "Divided", "d:Description": "Information, soundclips, and gig dates for the alternative band from Essex, UK.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/divided/index.html"} +{"d:Title": "Demby, Constance", "d:Description": "Symphonic sacred space music. Biography, discography, news and upcoming events, and information on the instruments played by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.constancedemby.com/"} +{"d:Title": "DSP Global", "d:Description": "Sound files and CD information for the tribal trance dance outfit.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dspglobal.co.uk/"} +{"d:Title": "DeMott, Steve", "d:Description": "Official site includes audio samples, photos, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.stevedemott.com/"} +{"d:Title": "Dogbowl", "d:Description": "Includes upcoming shows, poems, information about his albums and novel, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dogbowl.com/"} +{"d:Title": "DogTown Road", "d:Description": "Official page contains MP3s, news, pictures, schedule, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dogtownroad.com/"} +{"d:Title": "Distant Mirrors", "d:Description": "Band member profiles, lyrics, news, song samples, and writings.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/nh/distantmirrors/"} +{"d:Title": "Denton, Bobby", "d:Description": "The official site for the \"Singing Senator\" from Alabama.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.bobbydenton.com/"} +{"d:Title": "Di Gojim", "d:Description": "Klezmer band from the Netherlands. Profile, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.digojim.nl/"} +{"d:Title": "Deane, Debbie", "d:Description": "Official homepage of the New York based singer/songwriter includes biography, show dates, CD information, photos, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.debbiedeane.com/"} +{"d:Title": "Dawson, Brandon", "d:Description": "CD information, performance dates, and reviews for the Boise, Idaho based singer songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.brandondawson.net/"} +{"d:Title": "Desensitized", "d:Description": "Official site includes history, show dates, profiles, sound file, and pictures for the Massachusetts band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://desensitizedma.tripod.com/"} +{"d:Title": "Dale and Grace", "d:Description": "History, concert dates, and CD information for the Christian music duo.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.daleandgrace.com/"} +{"d:Title": "Dead Red Elk, The", "d:Description": "Includes profiles and equipment information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/music/aimar/"} +{"d:Title": "Dangerous Dogs Act", "d:Description": "Gig information, MP3s, set lists, biographies, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.kewley.demon.co.uk/dda.htm"} +{"d:Title": "Desultary", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://lyrics.rockmagic.net/lyrics/desultary/"} +{"d:Title": "Digger", "d:Description": "Four-piece, power-pop/punk band from Allentown, Pennsylvania.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/punk/powerbait/"} +{"d:Title": "Dark Marbles", "d:Description": "Touring and performing garage and power-pop rock and roll band from New York, with listing of upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://darkmarbles.com/"} +{"d:Title": "Descarga", "d:Description": "A Spanish rock band, from Chicago, Illinois. Band profile, pictures, news, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/il2/descarga/"} +{"d:Title": "Destination Earth", "d:Description": "Band combining elements of the spy, surf, garage, punk and indie rock genres. Includes MP3 samples, merchandise, tour log, member information, reviews, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://destinationearth.htmlplanet.com/"} +{"d:Title": "Dan Emery Mystery Band, The", "d:Description": "New York City's alternative folk-punk-rock-indie artists; on Home Office Records.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.web-ho.com/Mystery/"} +{"d:Title": "Deishovida", "d:Description": "Features information, images, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.deishovida.com/"} +{"d:Title": "Dalglish, Malcolm", "d:Description": "Music of this composer, singer and dulcimer wiz, and Ooolitic Music.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.oooliticmusic.com/"} +{"d:Title": "Daugherty, Paul", "d:Description": "Profile of the saxophonist and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.ultrablue.com/paul/"} +{"d:Title": "Di-Rect", "d:Description": "A Dutch funk-rock band form The Hague. News, gig dates, a biography, member profiles, MP3 demos, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.di-rect.com/"} +{"d:Title": "DeLaCruz, Valerie", "d:Description": "Pop and country singer/songwriter. News, discography, song clips, reviews, show schedule, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.valeriedelacruz.com/"} +{"d:Title": "Dehmel, J\u00fcrgen", "d:Description": "Profile, discography, lyrics, and pictures of the German bass player and songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://jurgendehmel.tripod.com/index.html"} +{"d:Title": "D'Leon, Oscar", "d:Description": "Biography of the salsa and latin music artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.cosmopolis.ch/english/cosmo4/dleon.htm"} +{"d:Title": "Day Action Band, The", "d:Description": "Official site for the pop band from Chapel Hill, NC includes history, profiles, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dayactionband.com/"} +{"d:Title": "Dead Carp", "d:Description": "Lyrics, wav files and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/deadcarp/"} +{"d:Title": "Disciples of Spess", "d:Description": "Pop rock band from Mold, North Wales. Site includes information, news, audio files, and a fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.disciplesofspess.com/"} +{"d:Title": "Daze, The", "d:Description": "Blues/rock band from Langhorne, PA. Show schedule and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/rock/thedaze/"} +{"d:Title": "Dry", "d:Description": "News, sound files, history, gig dates, photos, and CD information for the Illinois rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dryband.com/"} +{"d:Title": "Dismal Euphony", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://lyrics.rockmagic.net/lyrics/dismal_euphony/"} +{"d:Title": "Delicious Water", "d:Description": "Jam band and rock music. News, history and MP3s included.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dwwpwahl.tripod.com/dwwp/"} +{"d:Title": "Drummond, Pat", "d:Description": "Australian folk singer/songwriter. His music presents a composite picture of Australia and her people.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.patdrummond.net/"} +{"d:Title": "Digit", "d:Description": "From Bakersfield, California. Includes tour dates, Mp3s, lyrics and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://digit.itgo.com/"} +{"d:Title": "Dayton, Jesse", "d:Description": "Americana singer/songwriter from Austin, Texas. Audio clips, biography, news and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.jessedayton.com/"} +{"d:Title": "Downtime", "d:Description": "Pictures, band information, show dates, merchandise and song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/downtime/"} +{"d:Title": "Drovers, The", "d:Description": "Irish/folk rock band based out of Chicago, Illinois. Biographies, pictures, song downloads and merchandise information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedrovers.com/"} +{"d:Title": "Del Forno, Anton", "d:Description": "Guitarist and composer. Biography, reviews and comments, discography, interviews, compositions, appearances, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.antondelforno.com/"} +{"d:Title": "Daily, Kane", "d:Description": "New York-based singer, songwriter, and guitarist. Includes bio, photos, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://kanedaily.20fr.com/"} +{"d:Title": "Dystopia", "d:Description": "Dark misanthropic band from California. Contains interviews, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/pe/nausea/index.html"} +{"d:Title": "Dutra, Phil", "d:Description": "From Atlanta, Georgia. News, photos, MP3 files and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.phildutra.com/"} +{"d:Title": "Death Disco", "d:Description": "Metal band from Birmingham, England.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://members.tripod.com/death_disco/index.htm"} +{"d:Title": "Drug Store Cowboys", "d:Description": "Austin based hard rock band. Contains tour schedule, photographs and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://drugstorecowboys.8m.com/"} +{"d:Title": "Deceased", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://lyrics.rockmagic.net/lyrics/deceased/"} +{"d:Title": "Deadman", "d:Description": "Texas based alt country band. Includes news, biography and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.deadmanonline.com/"} +{"d:Title": "Darkside", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://lyrics.rockmagic.net/lyrics/darkside/"} +{"d:Title": "Dickson, Barbara", "d:Description": "News, information, photos, concert dates and discography relating to the Scottish singer, songwriter and actress.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.barbaradickson.net/"} +{"d:Title": "Dweebs, The", "d:Description": "Variety rock band from the midwest. Includes schedule, booking information, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedweebs.com/"} +{"d:Title": "Dumfounded", "d:Description": "Includes biographies, links, MP3, concert dates, merchandise, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dumfounded6.tripod.com/Dumfounded/"} +{"d:Title": "Dauphine Street Blues", "d:Description": "Vintage photos, news, original members and Real Audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dsblues.com/"} +{"d:Title": "Diamond, Laura", "d:Description": "Official website of the Three Wishes Records recording artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.lauradiamond.com/"} +{"d:Title": "Dunn, Jackie", "d:Description": "Fiddler from Lower South River, Nova Scotia. Includes a biography, discography, photos, articles, tour dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.islandviewcreations.com/jackiedunn/"} +{"d:Title": "Dyed Emotions", "d:Description": "Offers band news, gig dates, press reviews, audio files and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dyedemotions.com/"} +{"d:Title": "Dry Heavers", "d:Description": "Offers band history and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/music/dryheavers/"} +{"d:Title": "Die Kostume", "d:Description": "Offers a biography, original poetry and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://diekostume.tripod.com/listen.html"} +{"d:Title": "Dangerous Age", "d:Description": "Rock band from Berkshire, UK. History, biography, photographs, and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dangerousage.moonfruit.com/"} +{"d:Title": "DTP", "d:Description": "Rock band site, with pictures, news, show and merchandise information, and recommended links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/ny4/DTP/"} +{"d:Title": "Dereistic", "d:Description": "Hardcore band from Houston. Site features a biography, sound samples, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dereistic.tripod.com/"} +{"d:Title": "Decoded Feedback", "d:Description": "German/American electronic music band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.decodedfeedback.com/"} +{"d:Title": "Darling, Gregory", "d:Description": "Singer/songwriter's official site offers photos, discography, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://darlingfan.tripod.com/main.html"} +{"d:Title": "Dysrhythmia", "d:Description": "Oostburg, Wisconsin heavy metal band. Biography, merchandise and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/wi/dysrhythmia/"} +{"d:Title": "Davidson, George", "d:Description": "Recording and performance artist from the Cayman Islands. Includes news, reviews, photos, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.georgedavidson.com/"} +{"d:Title": "Dudes Named Fred", "d:Description": "Philadelphia area band, including show dates and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://chuck-haney.tripod.com/"} +{"d:Title": "Dutch Courage", "d:Description": "1980's punk rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/DutchCourage/"} +{"d:Title": "Dainis", "d:Description": "Features photos, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/indie/dainiscreations/"} +{"d:Title": "Don't Know Dick", "d:Description": "Rock group from Berwick, Pennsylvania. Includes a playlist, show dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/dontknowdick/"} +{"d:Title": "Dunbar, Aynsley", "d:Description": "Official site of the drummer who has played with Journey, Jeff Beck, John Mayall, David Bowie, UFO, and Eric Burdon and the New Animals.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.aynsleydunbar.com/"} +{"d:Title": "Dowdall, Leslie", "d:Description": "Female singer and songwriter from Ireland.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.lesliedowdall.com/"} +{"d:Title": "Dirt Nap Underground", "d:Description": "McKenzie, Tennessee based. Includes pictures, discography, show reviews, news and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dirtnapunderground.tripod.com/dirtnapunderground/"} +{"d:Title": "Douglass, Gregory", "d:Description": "Burlington, Vermont based singer/songwriter. Contains lyrics, music, show schedule, news, biography and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.gregorydouglass.com/"} +{"d:Title": "Deacon Steve", "d:Description": "East coast alternative band. Contains song list, biographies, show schedule and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://deacon_steve.tripod.com/"} +{"d:Title": "Dynamite Blues Family", "d:Description": "Includes a brief description, upcoming events and MP3 samples for this blues and rhythm and blues band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dynamitebluesfamily.tripod.com/"} +{"d:Title": "Daized", "d:Description": "Punk rock band from Morristown, New Jersey. Contains biography, past shows, audio samples, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/myband2/Daized/"} +{"d:Title": "Dead Hippie Society", "d:Description": "Detroit area classic and modern rock and roll band. Features biography, calendar, song list, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/dhsociety/"} +{"d:Title": "Dodd, Patrick", "d:Description": "Offers streaming audio from the political singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/indie/PatrickDodd/"} +{"d:Title": "DrJazz", "d:Description": "Danish jazz band. Contains profile, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://janerikh.tripod.com/"} +{"d:Title": "Dumpy's Rusty Nuts", "d:Description": "Rock and blues band with tour dates, history, images and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dumpysrustynuts.net/"} +{"d:Title": "Dirty Knobs, The", "d:Description": "A fan site for this rock band from southern California. Biography, photographs, forum and band-related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.wildbluegator.com/dirtyknobs/"} +{"d:Title": "Double S", "d:Description": "Punk/alternative rock band from Columbus, Ohio. News, member profiles, icons, scheduled shows.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/punk3/dubls/INTRO.html"} +{"d:Title": "Deasy, Mike", "d:Description": "One of the most recorded guitarist in rock and roll history. Historical and current project information. photographs, guitar tips, newsletter, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.mikedeasy.com/"} +{"d:Title": "Douglass, Julia", "d:Description": "Official website for this New York City singer/songwriter and acoustic guitarist. Pictures, lyrics, reviews, album ordering, scheduled shows.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.juliadouglass.com/"} +{"d:Title": "Dynamite Jive", "d:Description": "Hip hop duo from California. Biography, MP3 clips, message board, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dynamitejive.com/"} +{"d:Title": "Diffuser", "d:Description": "New York City rock band. News, links, tour dates, magazine articles, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/il3/diffuser/"} +{"d:Title": "Dears, The", "d:Description": "Pop rock band from Montreal. Audio and video clips, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedears.org/"} +{"d:Title": "Downing, Will", "d:Description": "Rhythm and blues and jazz singer. Includes biography, discography, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.willdowning.com/"} +{"d:Title": "Distillery", "d:Description": "Site contains history and sound clips of this West Coast country/rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://distilleryonline.tripod.com/"} +{"d:Title": "Dead Brain Cells", "d:Description": "Thrash speed metal band from Montreal Quebec.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dbcuniverse.com/"} +{"d:Title": "Diojee", "d:Description": "Acoustic rock band from southern Maryland. News, MP3s, gear, pictures, lyrics, biographies and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.diojee.com/"} +{"d:Title": "Dopes, The", "d:Description": "Rock band based in Michigan. Biography and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedopes.com/"} +{"d:Title": "Dennis, Patrick", "d:Description": "Official site containing tour dates, discography, biography, downloads, and Truckee Brothers' news.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.patrickdennis.com/"} +{"d:Title": "Darkseed", "d:Description": "German gothic metal band. Includes the history, photos, lyrics, reviews and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.darkseed.com/"} +{"d:Title": "Down", "d:Description": "Official site containing news, tour dates, photos, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.down-nola.com/"} +{"d:Title": "Dewey, Lisa", "d:Description": "Official site of folk/rock female artist. Includes biography, photos, audio samples, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.lisadewey.com/"} +{"d:Title": "Diehl, Will", "d:Description": "Singer and songwriter from Boston providing melodies ranging from folk to alternative.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.willdiehl.com/"} +{"d:Title": "Devotee", "d:Description": "Hardcore band based in New York. News, biography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://angelfire.com/freak/kunt/dtv.html"} +{"d:Title": "Dispatch", "d:Description": "Funk and reggae packed rock band. Biography, news, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dispatchmusic.com/"} +{"d:Title": "Dapper Jones", "d:Description": "An indie band from the northwest United States. Band member information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dapperjones.com/"} +{"d:Title": "Dakini", "d:Description": "Grateful Dead influenced jam band from Valley View, Pennsylvania. Includes information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dakini.indiegroup.com/"} +{"d:Title": "Disciple", "d:Description": "Heavy metal band based in Knoxville, Tennessee. News, biography, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.disciplerocks.com/"} +{"d:Title": "Denhert, K.J.", "d:Description": "Biography, schedule, discography, and reviews for the urban folk and jazz artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.kjdenhert.com/"} +{"d:Title": "Dictatortots, The", "d:Description": "Rock band based in Athens, Georgia. News, gig dates, photos, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dictatortots.com/"} +{"d:Title": "DeGraw, Gavin", "d:Description": "New York based rock artist. Site contains biography, show dates, photos, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.gavindegraw.com/"} +{"d:Title": "Damgaard, Scott", "d:Description": "Personal site of a musician: biography, lyrics, mp3, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.scottdamgaard.com/"} +{"d:Title": "Dare, Billy and The Pumps", "d:Description": "Camp comedy show band based out of Nashua, New Hampshire. News, pictures, audio samples and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.billydare.com/"} +{"d:Title": "Dunfield, Ruth", "d:Description": "Folk music singer/songwriter from St. Andrews, New Brunswick, Canada. Biography, show dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://ruthdunfield.com/"} +{"d:Title": "Domino, Floyd", "d:Description": "Grammy winner country music artist based in Texas. Biography and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.floyddomino.com/"} +{"d:Title": "Dipstone", "d:Description": "Pop/rock band based in Stockholm, Sweden. News, audio samples, and gig information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dipstone.com/"} +{"d:Title": "Dunne, Andy", "d:Description": "Pop-rock entertainer and songwriter from Cork City, Ireland. Biography, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://andydunne.com/"} +{"d:Title": "dysfunctional", "d:Description": "Westminster punk rock band. Includes band profile and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dysfunctionalmusic.0catch.com/"} +{"d:Title": "Dahlgren, Eva", "d:Description": "Information about the Swedish singer and songwriter, with discography, biography, pictures and downloads. Also features information about her wife, singer and jewelry designer Efva Attling.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dahlgren-attling.net/"} +{"d:Title": "David's Confession", "d:Description": "Features member profiles (Mel Botes, Andries Botha, Philip Botha, Conrad Botha), lyrics, gig guide and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.davidsconfession.freeservers.com/index.htm"} +{"d:Title": "Dimbodius", "d:Description": "Profile, sound files, lyrics, and reviews for the Swedish musician.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dimbodius.com/"} +{"d:Title": "Dar Gnawa", "d:Description": "From Morocco. Biography, contact information and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dargnawa.org/"} +{"d:Title": "Double Cross", "d:Description": "Central Florida rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.doublecross.net/"} +{"d:Title": "Damn Glad", "d:Description": "Biography, audio samples, shows, pictures, reviews and message board from the New York City rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.damnglad.com/"} +{"d:Title": "Deep Release", "d:Description": "MP3s and show dates from the band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.deeprelease.com/"} +{"d:Title": "Dolzani, Brian", "d:Description": "Audio samples, lyrics, biography, press, pictures and tour dates for the guitarist and singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.briandolzani.com/"} +{"d:Title": "Dick Twang Band", "d:Description": "Canadian musical comedy and satire from a unique perspective.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dicktwang.com/"} +{"d:Title": "Doctor Rubber", "d:Description": "Stockholm based band. Contains history, pictures, and audio samples. [Swedish and English]", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.doctor-rubber.com/english.htm"} +{"d:Title": "DNA Strings", "d:Description": "Three piece instrumental hybrid group in an easy listening world music format playing Afro-Spanish rhythms and melodies with Irish leanings.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dnastrings.com/"} +{"d:Title": "Daggett, Steve", "d:Description": "Contains news, biography, reviews, show schedule, merchandise and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.stevedaggett.co.uk/"} +{"d:Title": "Davis, John Keith", "d:Description": "Baritone, classical guitar and recorder soloist and composer. Booking information, schedule, MP3, CD, and live performances available.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.pantinghart.org/"} +{"d:Title": "Deonda", "d:Description": "Official site. Information, pictures and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.deondaltd.com/"} +{"d:Title": "Doucette, Jerry", "d:Description": "Rock artist based in Canada. Biography, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.jerrydoucette.ca/"} +{"d:Title": "Dr. Theopolis", "d:Description": "Portland based rap/hip-hop/funk band. Biography, photos, and audio/video samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.oldschoolhouserock.com/drtheop/"} +{"d:Title": "Dmitriev, Alexander", "d:Description": "A Russian classical bayanist. Artist information, song clips and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.musicforaccordion.com/inform/alexanderdm/"} +{"d:Title": "Darkroom", "d:Description": "Official site containing news, profiles, discography, audio samples and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.darkroomtheband.net/"} +{"d:Title": "Donadi, Dani", "d:Description": "Italian singer's official website. Offers Real Audio, tour dates and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://danidonadi.com/"} +{"d:Title": "Dressler, Anton", "d:Description": "A Russian clarinet player. Background information, music samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.antondressler.com/"} +{"d:Title": "Dovells, The", "d:Description": "Official page containing biographies, discography, photographs, upcoming shows, merchandise, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://thedovells.com/"} +{"d:Title": "Dr. Feelgood", "d:Description": "British rhythm and blues band from Canvey Island, Essex. Contains news, discography, photographs, lyrics, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.drfeelgood.ch/"} +{"d:Title": "Darkane", "d:Description": "Music, pictures and other information about the metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.darkane.com/"} +{"d:Title": "Drew Nelson Band", "d:Description": "An Ottawa blues band. Provides pictures, biography, discography, booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.drewnelson.ca/"} +{"d:Title": "Dice", "d:Description": "Scandinavian progressive rock band. Official site includes history, reviews, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dicemusic.se/"} +{"d:Title": "Deadites, The", "d:Description": "Electronic musicians performing dark electronica to fund their monster hunting endeavors. Images, biographies, lyrics, and a members section that allows you to become a monster hunter and have your information posted online.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedeadites.com/"} +{"d:Title": "Davis and Dow", "d:Description": "South Florida based jazz duo Julie Davis and Kelly Dow: pictures, bio and sound clips in RealAudio and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.davisanddow.com/"} +{"d:Title": "Diamonds, The", "d:Description": "Brief history of \"The Diamonds.\" Album covers, song listings, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.min7th.com/diamonds"} +{"d:Title": "d.a.d.o.", "d:Description": "New York City-based latin rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dadorock.tripod.com/"} +{"d:Title": "Dickenson, Cindy", "d:Description": "Official homepage of Cindy Dickenson. Emerging new singer-songwriter with powerful vocals, blending folk, rock and blues for her own unique soulful sound.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://venusmuse.tripod.com/"} +{"d:Title": "Double Standard", "d:Description": "A Punk/Ska-Core band from New York. News, sample song, lyrics, photos, show dates, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://doublestandard.tripod.com/"} +{"d:Title": "Drifter", "d:Description": "Industrial rock band. Includes photos and contact information. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://questrocks.tripod.com/"} +{"d:Title": "DNR", "d:Description": "Funky rock band based in San Antonio, Texas playing original music with funny lyrics about subtle sexual themes.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://cgmarshall.tripod.com/dnr/"} +{"d:Title": "Downer", "d:Description": "A grunge band from Toronto.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://nevrmind240.tripod.com/start.html"} +{"d:Title": "Diamond Blow, Paul", "d:Description": "Site of punk/hard rock artist and his band Ace Diamond Bimbos.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://paulblow.tripod.com/grid1.html"} +{"d:Title": "Dan Adams Band", "d:Description": "Indie band from Georgia. Formerly known as 1401.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.danadamsband.com/"} +{"d:Title": "ArtistDirect: DJ Shadow", "d:Description": "Discography, links to websites, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.artistdirect.com/artist/dj-shadow/550985"} +{"d:Title": "Dupri, Jermaine", "d:Description": "Atlanta based rapper. News, biography, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.global14.com/"} +{"d:Title": "DeLuca, Gina", "d:Description": "Schedule, playlist and contact information for the Baltimore guitarist and singer.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.ginadeluca.net/"} +{"d:Title": "Detonators, The", "d:Description": "Australian roots band; audio samples, photos, tour info, and a members section.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.detonators.com.au/"} +{"d:Title": "Dream Weaver", "d:Description": "Power metal band from Greece. Includes biography, pictures, MP3s, lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dreamweaver.com.gr/"} +{"d:Title": "Dowd, Eamonn and the Racketeers", "d:Description": "Country-rock band from Ireland. Official site includes news, tour information, song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.eamonndowd.com/"} +{"d:Title": "Deathriders", "d:Description": "Former lead vocalist for Anthrax. Biography, discography, news, pictures, MP3s, and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.deathriders.net/"} +{"d:Title": "Drum Ecstasy", "d:Description": "Featuring three drummers and one bassist. News, biography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.drumecstasy.com/"} +{"d:Title": "Drool Brothers", "d:Description": "Eclectic lo-fi funk band. Offers a biography, sound clips and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.droolbrothers.com/"} +{"d:Title": "Downright", "d:Description": "Band from Birmingham, Alabama. Pictures, MP3 downloads, scheduled shows, chat, merchandise, biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://downrightmusic.net/"} +{"d:Title": "Dancing Light", "d:Description": "Acoustic soul duo of Kiki and Greg Webb from Gainesville, Florida. Biographies, media kit, MP3s, art and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dancinglight.us/"} +{"d:Title": "Dane, Marshall", "d:Description": "Biography, pictures and links for the Ontario artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.marshalldane.com/"} +{"d:Title": "Desert Wind", "d:Description": "Light jazz and worldbeat music. Pictures, events, discography, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.desertwindmusic.com/"} +{"d:Title": "Dawson's Rejects", "d:Description": "Pop-punk band from Oklahoma City; includes overview and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.dawsonsrejects.com/"} +{"d:Title": "Djangirov, Eldar", "d:Description": "New York-based pianist and composer. Tour dates, videos, pictures, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.eldarmusic.com/"} +{"d:Title": "Dunnett, Stephen", "d:Description": "Swing and Rat Pack-style singer in Essex, England. Audio samples, song list and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.stephendunnett.co.uk/"} +{"d:Title": "Dolmen, The", "d:Description": "Medieval/folk-rock band from Dorset, England. Biography, gigs and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.thedolmen.com/"} +{"d:Title": "DeltaTango7", "d:Description": "Biography, artists covered, and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://deltatango7.webs.com/"} +{"d:Title": "Dupree, Simon and The Big Sound", "d:Description": "1960s British pop band's biography, anecdotes, pictures, discography and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://homepages.ihug.co.nz/~peterkin/"} +{"d:Title": "Damh the Bard", "d:Description": "British pagan folk singer-songwriter. Biography, information on his works, concerts, blog, writings and information on druidry.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.paganmusic.co.uk/"} +{"d:Title": "Davis, Timothy", "d:Description": "Contemporary Christian singer-songwriter and evangelist. Biography, blog, pictures and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.timothydavis.org/"} +{"d:Title": "Davis, Andy", "d:Description": "Nashville-based singer-songwriter; includes biography, news and show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.andydavisonline.com/"} +{"d:Title": "Duke Spirit,The", "d:Description": "News, tour dates, film, photos, and discography with audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://thedukespirit.com/"} +{"d:Title": "Dinnertodance", "d:Description": "Offers live music in the New York/New Jersey area. Audio samples, services and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dinnertodance.com/"} +{"d:Title": "Doctor Squid", "d:Description": "Rock/pop band from Athens, Georgia. News, audio, video, discography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.squidrock.com/"} +{"d:Title": "DJ Puzzle", "d:Description": "Chicago house DJ/producer's site features gigs, biography, discography, images, audio, video and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.djpuzzle.com/"} +{"d:Title": "Down County Boys", "d:Description": "Bluegrass band from the U.K. History, pictures, biographies, discography and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://www.downcountyboys.com/"} +{"d:Title": "Dizzee Rascal", "d:Description": "Official site for the English rapper and MC.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://dizzeerascal.co.uk/"} +{"d:Title": "Die Nakse Bananen", "d:Description": "Biography, photos and downloads for the Dutch band.", "topic": "Top/Arts/Music/Bands_and_Artists/D", "url": "http://ddk69.home.xs4all.nl/DieNakseBananen/"} +{"d:Title": "D'Angelo's Voudou", "d:Description": "Dedicated to showcasing pictures of the finest man in Soul music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'Angelo", "url": "http://www.angelfire.com/ky2/DangelosVoudou/index.html"} +{"d:Title": "MTV: D'Angelo", "d:Description": "Features music video clips and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'Angelo", "url": "http://www.mtv.com/artists/dangelo/"} +{"d:Title": "Electric Preacher", "d:Description": "Detailed original analysis of the greatest records and compositions of Terence Trent D'Arby.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'arby,_Terence_Trent", "url": "http://www.morethings.com/music/ttd/"} +{"d:Title": "AskMen.com: Terence Trent Darby Interview", "d:Description": "Interview suggested by Nilesh P. Includes a biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'arby,_Terence_Trent", "url": "http://www.askmen.com/toys/interview_100/111_terence_trent_darby_interview.html"} +{"d:Title": "Sananda Maitreya", "d:Description": "Official site of Terence Trent D'arby aka Sananda Maitreya.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'arby,_Terence_Trent", "url": "http://www.sanandamaitreya.com/"} +{"d:Title": "Joe D'Urso and Stone Caravan", "d:Description": "Official page containing tour schedule, history, biography, news, photographs and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'Urso,_Joe_and_Stone_Caravan", "url": "http://www.jdcaravan.com/"} +{"d:Title": "Jackie's Joe D'Urso and Stone Caravan Fan Site", "d:Description": "Including a fan page, pictures, links, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'Urso,_Joe_and_Stone_Caravan", "url": "http://rocklandjdsc.tripod.com/"} +{"d:Title": "All Music Guide: Joe d'Urso&Stone Caravan", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D'Urso,_Joe_and_Stone_Caravan", "url": "http://www.allmusic.com/artist/joe-durso--stone-caravan-p373041"} +{"d:Title": "The Official D12 Site", "d:Description": "The official D12 site. Contains audio files, biographies, videos, and beats.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/D-12", "url": "http://www.d12online.com/"} +{"d:Title": "D12", "d:Description": "Contains news, biographies, photographs and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D-12", "url": "http://www.angelfire.com/az3/D12/index.html"} +{"d:Title": "D12 HQ", "d:Description": "Contains lyrics, wallpapers, screen savers, and WinAmp skins.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D-12", "url": "http://www.angelfire.com/emo/d12hq/"} +{"d:Title": "MTV: D12", "d:Description": "Includes band info, music videos, live performances, news, albums and previews, photos, ringtones, tour dates, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D-12", "url": "http://www.mtv.com/artists/d12/"} +{"d:Title": "Drop D: D.O.A.", "d:Description": "Interview with band member Joe Keithley, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D.O.A.", "url": "http://dropd.com/issue/91/DOA/"} +{"d:Title": "All Music Guide: D.O.A.", "d:Description": "Provides a biography, discography, member profiles, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D.O.A.", "url": "http://www.allmusic.com/artist/doa-p12664"} +{"d:Title": "Canoe.ca: D.O.A.", "d:Description": "Offers a detailed biography, discography, and the band's various line-up changes.", "topic": "Top/Arts/Music/Bands_and_Artists/D/D.O.A.", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/D/DOA.html"} +{"d:Title": "dada", "d:Description": "Biography, tour archives, audio and video clips, newsletter and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dada", "url": "http://dadatheband.com/"} +{"d:Title": "Daft Punk", "d:Description": "Official website. News, sounds, and downloads. Uses Shockwave.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daft_Punk", "url": "http://www.daftpunk.com/"} +{"d:Title": "Daft Punk at The Showbox", "d:Description": "Review from ElectronicMusic.com.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daft_Punk", "url": "http://www.electronicmusic.com/features/reviews/events/dftpnkshwbx.html"} +{"d:Title": "ArtistDirect: Daft Punk", "d:Description": "Contains biography, links, message board, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daft_Punk", "url": "http://www.artistdirect.com/artist/daft-punk/537532"} +{"d:Title": "Rollingstone.com: Daft Punk", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daft_Punk", "url": "http://www.rollingstone.com/music/artists/daft-punk"} +{"d:Title": "MTV: Daft Punk", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daft_Punk", "url": "http://www.mtv.com/artists/daft-punk/"} +{"d:Title": "Metacritic: Daft Punk - Discovery", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daft_Punk", "url": "http://www.metacritic.com/music/discovery/daft-punk"} +{"d:Title": "Daisy Chainsaw - Everything Is Weird", "d:Description": "News on the band and Queen Adreena (a band featuring former members), plus reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daisy_Chainsaw", "url": "http://www.angelfire.com/hi3/ifeelinsane/index.html"} +{"d:Title": "Whomanfoursays", "d:Description": "Information about Dalbello's 1984 album \"whomanfoursays\". With cover photo, track list, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dalbello,_Lisa", "url": "http://home.swipnet.se/~w-54404/whomanfoursays.htm"} +{"d:Title": "Ectophiles' Guide - Dalbello", "d:Description": "Career and album information, plus a collection of individual listeners' and fans' comments.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dalbello,_Lisa", "url": "http://ectoguide.org/guide.cgi?search=&source=initialnames&type=all&pw=1&for=Dalbello"} +{"d:Title": "MusicFolio: Dalis Car", "d:Description": "Includes an album review and quotes from both band members.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dalis_Car", "url": "http://www.musicfolio.com/modernrock/daliscar.html"} +{"d:Title": "All Music Guide: Dali's Car", "d:Description": "Profile, and review of their album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dalis_Car", "url": "http://www.allmusic.com/artist/dalis-car-mn0000567294"} +{"d:Title": "IMDb: Roger Daltrey", "d:Description": "Filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daltrey,_Roger", "url": "http://www.imdb.com/name/nm0002032/"} +{"d:Title": "MTV: Roger Daltrey", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Daltrey,_Roger", "url": "http://www.mtv.com/artists/roger-daltrey/"} +{"d:Title": "Vinnie and Dime.com", "d:Description": "News, band member profiles, and information on related groups.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damageplan", "url": "http://www.angelfire.com/band2/wolvie/enter.html"} +{"d:Title": "MusicMight: Damageplan", "d:Description": "Profile of the band and review of their album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damageplan", "url": "http://www.musicmight.com/artist/usa/arlington/damageplan"} +{"d:Title": "Dirt Junior's Damageplan Pics", "d:Description": "Galleries of photographs taken at House of Blues concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damageplan", "url": "http://www.dirtjunior.com/damageplan.html"} +{"d:Title": "Yahoo Groups: DY", "d:Description": "Free e-mail discussion group with message archives, chat, and file-sharing.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damn_Yankees", "url": "http://groups.yahoo.com/group/DY/"} +{"d:Title": "It's Just Those Damn Yankees", "d:Description": "A fan page with band and member information, pictures and discography of the band and its members.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damn_Yankees", "url": "http://lockgen.com/damnyankees/"} +{"d:Title": "MTV.com: Damn Yankees", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damn_Yankees", "url": "http://www.mtv.com/artists/damn-yankees/"} +{"d:Title": "DamonandNaomi.com", "d:Description": "Official band site for Damon and Naomi with latest news, tour dates, release information, interviews", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damon_and_Naomi", "url": "http://www.damonandnaomi.com/"} +{"d:Title": "A Head Full of Wishes", "d:Description": "Full discography, FAQ, and regularly updated news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damon_and_Naomi", "url": "http://www.fullofwishes.co.uk/"} +{"d:Title": "Metacritic: Damon and Naomi With Ghost", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Damon_and_Naomi", "url": "http://www.metacritic.com/music/with-ghost/damon-naomi"} +{"d:Title": "DHC-The Live SIte", "d:Description": "news, top 10 DHC sites, message boards", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dance_Hall_Crashers", "url": "http://ateens411.tripod.com/dhc/"} +{"d:Title": "Dance Hall Crashers [official site]", "d:Description": "Concert photos, current tour dates, live video clips, streaming audio and MP3.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dance_Hall_Crashers", "url": "http://www.dancehallcrashers.com/"} +{"d:Title": "Dandy Warhols", "d:Description": "Official site includes news, biography, tour dates, discography, pictures, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dandy_Warhols", "url": "http://www.dandywarhols.com/"} +{"d:Title": "Metacritic: Thirteen Tales From Urban Bohemia", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dandy_Warhols", "url": "http://www.metacritic.com/music/thirteen-tales-from-urban-bohemia/dandy-warhols"} +{"d:Title": "Danger Danger", "d:Description": "Pictures, profiles, news, band history, a message board and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danger_Danger", "url": "http://www.dangerdanger.com/"} +{"d:Title": "D2 Crew", "d:Description": "A site for fans of Danger Danger to meet, have a good time and support the band. With band information and fan pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danger_Danger", "url": "http://d2crew.tripod.com/"} +{"d:Title": "The Band: Richard Clare Danko", "d:Description": "Biography, discography, photos and a videography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danko,_Rick", "url": "http://theband.hiof.no/band_members/rick.html"} +{"d:Title": "Woodstock Records: Rick Danko", "d:Description": "Official label biography, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danko,_Rick", "url": "http://www.woodstockrecords.com/breezehill.html"} +{"d:Title": "Canoe.ca: Rick Danko", "d:Description": "Includes a detailed biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danko,_Rick", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/D/Danko_Rick.html"} +{"d:Title": "Ron Dante Online", "d:Description": "Official site featuring audio/video clips of Ron's records and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dante,_Ron", "url": "http://www.rondante.com/"} +{"d:Title": "PopEntertainment: Ron Dante", "d:Description": "Interview with Jay S. Jacobs about a long career singing and producing pop music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dante,_Ron", "url": "http://www.popentertainment.com/dante.htm"} +{"d:Title": "Laura's Ron Dante Fan Pages", "d:Description": "Artist-approved fan page for the lead singer of The Archies and The Cuff Links. Contains biography, discography, tour schedule, pictures, message board and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dante,_Ron", "url": "http://lpintop.tripod.com/laurasrondantefanpages/"} +{"d:Title": "Rockmagic.net: Danzig", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danzig", "url": "http://lyrics.rockmagic.net/lyrics/danzig/"} +{"d:Title": "Beyond Buff", "d:Description": "Fan site describing just how buff Danzig is, including the foods and movies that make Danzig buff, and a discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danzig", "url": "http://www.angelfire.com/dc/danzig/"} +{"d:Title": "UnRated Magazine", "d:Description": "Event details on the Blackest of Black performance at the House of Blues, Chicago, IL.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danzig", "url": "http://www.unratedmagazine.com/articles/archive/2005/danzig.cfm"} +{"d:Title": "XGuitar.com: Danzig", "d:Description": "Guitar and bass tabs indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danzig", "url": "http://www.xguitar.com/guitar-tabs/danzig/"} +{"d:Title": "The 7th House", "d:Description": "Official fan site with articles, photos of the band, tour dates, multimedia, and live chat.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Danzig", "url": "http://www.the7thhouse.com/"} +{"d:Title": "The Dan Band", "d:Description": "Official site offering profile, tour dates, discography, audio samples, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dan_Band,_The", "url": "http://www.thedanband.com/"} +{"d:Title": "Gosse's Dare Pages", "d:Description": "News and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dare", "url": "http://www.angelfire.com/hi/gosdare/"} +{"d:Title": "Darren Wharton and Dare", "d:Description": "Interview with Darren focuses on several Dare albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dare", "url": "http://www.melodicrock.com/interviews/dareinterview.html"} +{"d:Title": "All Music Guide: Dario G", "d:Description": "Brief information about projects Dario G have worked on.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dario_G", "url": "http://www.allmusic.com/artist/dario-g-p294646"} +{"d:Title": "Darklings", "d:Description": "Message board for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darkness,_The", "url": "http://darklings.suddenlaunch.com/"} +{"d:Title": "The Dan Hawkins Site", "d:Description": "Fansite dedicated to Dan Hawkins contains band news, a biography, pictures, FAQ, wallpaper, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darkness,_The", "url": "http://www.freewebs.com/danhawkins/"} +{"d:Title": "Yahoo! Groups: Black Shuck", "d:Description": "A message board with available downloads. [Membership required.]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darkness,_The", "url": "http://launch.groups.yahoo.com/group/Black_Shuck/"} +{"d:Title": "XGuitar.com: The Darkness", "d:Description": "Guitar and bass tablatures sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darkness,_The", "url": "http://www.xguitar.com/guitar-tabs/darkness/"} +{"d:Title": "UnRated Magazine: The Darkness", "d:Description": "Review of \"Permission to Land\" by Jeff Cambron.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darkness,_The", "url": "http://www.unratedmagazine.com/Document.cfm?Page=CDReviews/index.cfm&CD_Review_ID=46"} +{"d:Title": "The Darling Buds Discography", "d:Description": "Includes albums, singles, EPs, videos, compilations, and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darling_Buds,_The", "url": "http://dirkh.tripod.com/db-intro.htm"} +{"d:Title": "All Music Guide: The Darling Buds", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darling_Buds,_The", "url": "http://www.allmusic.com/artist/the-darling-buds-p12689"} +{"d:Title": "Darling Nikkie", "d:Description": "The artist's official site, with biography, discography, song clips, photos, interviews, diary, and store.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Darling_Nikkie", "url": "http://www.darlingnikkie.com/"} +{"d:Title": "Dashboard Confessional", "d:Description": "Official site. Includes a list of tour dates, news, a discography, lyrics, photographs, and a forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dashboard_Confessional", "url": "http://www.dashboardconfessional.com/"} +{"d:Title": "Dashboard Confessional Lyrics", "d:Description": "Site features lyrics from all four albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dashboard_Confessional", "url": "http://www.softcom.net/users/twolter/dashboardconfessional.html"} +{"d:Title": "XGuitar.com: Dashboard Confessional", "d:Description": "Contains guitar and bass tablatures by album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dashboard_Confessional", "url": "http://www.xguitar.com/guitar-tabs/dashboard_confessional/"} +{"d:Title": "Bandwidth - When is an Emo-punk not an Emo-punk?", "d:Description": "Article discussing Chris Carrabba, and his music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dashboard_Confessional", "url": "http://www.browardpalmbeach.com/2001-01-25/bandwidth/bandwidth/"} +{"d:Title": "Sonic Boom Interview: Das Ich", "d:Description": "Interview by Jester with Bruno via e-mail.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Das_Ich", "url": "http://www.sonic-boom.com/interview/das.ich.interview.html"} +{"d:Title": "Dave Clark Five", "d:Description": "Includes a collection of songs for online play. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Clark_Five", "url": "http://www.daveclarkfive.com/"} +{"d:Title": "Rock and Roll Hall of Fame: The Dave Clark Five", "d:Description": "Biography, career timeline and video of the band's 2008 induction.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Clark_Five", "url": "http://rockhall.com/inductees/the-dave-clark-five/"} +{"d:Title": "Music Olympus: Dave Mattews Band", "d:Description": "Contains discography, biography, lyrics, pictures, downloads and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.musicolympus.com/davematthewsband/"} +{"d:Title": "CostumeGallery.com: Dave Matthews Band", "d:Description": "Behind-the-scene look at the costumes in the video \"Stay\".", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.costumegallery.com/Dave_Matthews/Costumes.html"} +{"d:Title": "UnRated Magazine: Dave Matthews Band", "d:Description": "Review of the band's concert at the Tweeter Center in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=44"} +{"d:Title": "Rock on the Net: Dave Matthews Band", "d:Description": "Dedicated to the group and their music. Provides a history and timeline for the group, birthdays of band members, discography with chart rankings and the year and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.rockonthenet.com/artists-m/davematthewsband_main.htm"} +{"d:Title": "Dave Matthews Band News: Topix", "d:Description": "News about Dave Matthews Band continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.topix.com/who/dave-matthews-band"} +{"d:Title": "Dave Matthews Band", "d:Description": "The official website for the group. Includes a band history, tour schedule, latest news and articles, video and audio clips, lyrics and an online store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.davematthewsband.com/"} +{"d:Title": "AskMen.com", "d:Description": "Includes a biography, pictures, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.askmen.com/celebs/men/entertainment_150/169_dave_matthews.html"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Dave Matthews Band", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.sfloman.com/dmb.html"} +{"d:Title": "Rolling Stone: Dave Matthews Band", "d:Description": "Includes a brief biography, discography, photographs, articles, video and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.rollingstone.com/music/artists/dave-matthews-band"} +{"d:Title": "Setlist.fm: Dave Matthews Band", "d:Description": "User-maintained collection of show set lists and song statistics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.setlist.fm/setlists/dave-matthews-band-43d6e713.html"} +{"d:Title": "MTV: Dave Matthews Band", "d:Description": "Features the video TV site for the group. Offers a biography, discography and reviews, chat, photos, news and archives and the latest album profile.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.mtv.com/artists/dave-matthews-band/"} +{"d:Title": "Metacritic: Dave Matthews Band: Everyday", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band", "url": "http://www.metacritic.com/music/everyday/dave-matthews-band"} +{"d:Title": "Dave Matthews Band MP3s", "d:Description": "Selection of MP3s. Includes a link to an FTP server with gigabytes of MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Downloads", "url": "http://www.angelfire.com/md/landofme/mp3frame.html"} +{"d:Title": "FreeDMB", "d:Description": "Over 100 MP3s abvailable for download and 20 shows to trade for.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Downloads", "url": "http://www.angelfire.com/music/freedmb/"} +{"d:Title": "Big Beaver's DMB Page", "d:Description": "Large collection of RealAudio recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Downloads", "url": "http://bigbeaver.tripod.com/"} +{"d:Title": "Dave Matthews Band Site #41", "d:Description": "Lyrics, tablature, downloads, mp3, biographies, tape trading, pictures, experiences, setlists, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.angelfire.com/mt/dmb/"} +{"d:Title": "Lie In Our Graves", "d:Description": "Contains lyrics, guitar tablatures, pictures, biographies, MP3s and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.angelfire.com/music/graves/"} +{"d:Title": "Dave Matthews Band Lounge, The", "d:Description": "MP3s, pictures, video and tablatures for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.dmblounge.freeservers.com/"} +{"d:Title": "41 Grey Street", "d:Description": "Contains news, band member profiles, concert reviews, Davespeak archive, tour dates, discography, trivia, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://41greystreet.tripod.com/welcome.html"} +{"d:Title": "Nattyspedd's Dave Matthews Band Page", "d:Description": "Includes pictures, biographies, lyrics and information on the album \"Everyday\".", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.angelfire.com/rock2/Nattyspedd/"} +{"d:Title": "Moosey's DMB Palace", "d:Description": "Live Dave Matthews Band mp3s and a tape trading list with over 500 hours of concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.musicfanclubs.org/davematthews/"} +{"d:Title": "Cafe Fabe", "d:Description": "Contains pictures of the band, lyrics and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://obifabe.tripod.com/CafeFabe/"} +{"d:Title": "Antsmarching.org", "d:Description": "Dedicated to the band and their music. Offers a forum, message board, set list archives, lyrics, tablatures, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.antsmarching.org/"} +{"d:Title": "Weekly Davespeak", "d:Description": "A weblog with band quotes and opinions. Contains media downloads, games, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.weeklydavespeak.com/"} +{"d:Title": "Dave Matthews Band Central", "d:Description": "Features lyrics, polls, links, and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.angelfire.com/ny4/davematthews/"} +{"d:Title": "DMB Tattoo", "d:Description": "Collection of pictures of Dave Matthews Band related tattoos, tattoo templates, pictures and a newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://www.freewebs.com/dmbtattoo/"} +{"d:Title": "Carolyn's DMB Castle", "d:Description": "Includes news, interviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Fan_Pages", "url": "http://dancinnanci.tripod.com/dmbpage.html"} +{"d:Title": "DMB Photos", "d:Description": "Large collection of photos from several of the groups concerts and shows.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Image_Galleries", "url": "http://dmbphotosite.tripod.com/"} +{"d:Title": "The Spotlight", "d:Description": "An archive of the group's guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Tablature", "url": "http://thespotlight.8k.com/"} +{"d:Title": "Accurate Dave Matthews Band Guitar Tab", "d:Description": "Tablature done by sight not ear.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Tablature", "url": "http://www.dmbtabs.com/"} +{"d:Title": "XGuitar.com", "d:Description": "Guitar and bass tablatures. Indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Tablature", "url": "http://www.xguitar.com/guitar-tabs/dave_matthews_band/"} +{"d:Title": "Dave Matthews Trading", "d:Description": "Offers a trading list. Also contains photographs, and quotations.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Trading", "url": "http://www.angelfire.com/myband2/seekup/"} +{"d:Title": "Seek Up: Unofficial DMB Tape Traders Page", "d:Description": "Tape list for shows of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Trading", "url": "http://bootztradr.tripod.com/"} +{"d:Title": "#41 Dave Matthews Cover Band", "d:Description": "Tribute band from Italy. Offers show dates, band members names, photo gallery and contact numbers for booking.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Tribute_Bands", "url": "http://digilander.libero.it/41band/indexUS.html"} +{"d:Title": "Trippin Billies, The", "d:Description": "Tribute band based in the Northeast USA. Includes show dates, photos and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dave_Matthews_Band/Tribute_Bands", "url": "http://www.trippinbillies.com/"} +{"d:Title": "Craig David Lyrics", "d:Description": "Lyrics for the 'Born To Do It' album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/David,_Craig", "url": "http://www.davemcnally.com/Lyrics/CraigDavid/"} +{"d:Title": "Craig David the unofficial site", "d:Description": "Fan site with biography, discography, song reviews, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/David,_Craig", "url": "http://craig-david.freewebspace.com/"} +{"d:Title": "BBC Southampton - Craig David", "d:Description": "Offers interviews and pictures of Southampton's rhythm and blues hero. Includes information about his home town.", "topic": "Top/Arts/Music/Bands_and_Artists/D/David,_Craig", "url": "http://www.bbc.co.uk/southampton/features/craig_david/index.shtml"} +{"d:Title": "Craig David", "d:Description": "Official site includes music, competitions and live webcasts.", "topic": "Top/Arts/Music/Bands_and_Artists/D/David,_Craig", "url": "http://www.craigdavid.com/"} +{"d:Title": "All Music Guide: David&David", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/David_&_David", "url": "http://www.allmusic.com/artist/david-david-mn0000528023"} +{"d:Title": "The Dave Davies Shrine", "d:Description": "One fans tribute to Dave Davies.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davies,_Dave", "url": "http://www.angelfire.com/sd/villagegreen/"} +{"d:Title": "The Dave Davies Website", "d:Description": "The official Dave Davies website.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davies,_Dave", "url": "http://www.davedavies.com/"} +{"d:Title": "OldieLyrics.com", "d:Description": "Includes lyrics sorted by album. Also includes album artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davies,_Dave", "url": "http://www.oldielyrics.com/d/dave_davies.html"} +{"d:Title": "Raving Dave Fans Unite", "d:Description": "Includes FAQs and detailed history.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davies,_Dave", "url": "http://www.ravingdavefans.com/"} +{"d:Title": "OldieLyrics.com", "d:Description": "Contains lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davies,_Ray", "url": "http://www.oldielyrics.com/r/ray_davies.html"} +{"d:Title": "Unofficial Alana Davis Page", "d:Description": "Contains biography, discography, articles, lyrics, photographs and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davis,_Alana", "url": "http://members.tripod.com/~alana_davis/"} +{"d:Title": "PopEntertainment.com", "d:Description": "An interview by Jay S. Jacobs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davis,_Alana", "url": "http://www.popentertainment.com/alanadavis.htm"} +{"d:Title": "Jamelia", "d:Description": "Official site with news, biography, discography, audio and video, photographs, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davis,_Jamelia", "url": "http://www.jamelia.com/"} +{"d:Title": "AskMen.com: Jamelia", "d:Description": "Includes pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davis,_Jamelia", "url": "http://www.askmen.com/celebs/women/singer_200/247_jamelia.html"} +{"d:Title": "Infoplease: Mac Davis", "d:Description": "Brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davis,_Mac", "url": "http://www.infoplease.com/ipea/A0760738.html"} +{"d:Title": "Dream Usher", "d:Description": "Offers album information, news, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davis,_Stuart", "url": "http://www.dreamusher.com/"} +{"d:Title": "Stuart Davis", "d:Description": "Official site. Includes biography, CD information, tour information, and writings.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Davis,_Stuart", "url": "http://www.stuartdavis.com/"} +{"d:Title": "Howie Day", "d:Description": "Official site. Biography, tour dates, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Day,_Howie", "url": "http://www.howieday.com/"} +{"d:Title": "What Time is It?", "d:Description": "Fan page with news, history, lineups, member profiles, discographies, song database, lyrics, bootleg list and shows.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Day,_Morris_and_the_Time", "url": "http://www.purplemusic.mynetcologne.de/"} +{"d:Title": "Trouser Press: The Time", "d:Description": "Biography with critiques of the band's albums and Day's solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Day,_Morris_and_the_Time", "url": "http://www.trouserpress.com/entry.php?a=time"} +{"d:Title": "New Power Network: The Time", "d:Description": "Brief biography, members, discography and video list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Day,_Morris_and_the_Time", "url": "http://www.angelfire.com/rnb/jleroue/musicpages/minneapolis/thetime/time.html"} +{"d:Title": "All Music Guide: The Time", "d:Description": "Biography, discography and links to related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Day,_Morris_and_the_Time", "url": "http://www.allmusic.com/artist/the-time-p5661"} +{"d:Title": "Taylor Dayne", "d:Description": "Official site offers a picture gallery, fan reviews, message board, lyrics and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dayne,_Taylor", "url": "http://www.taylordayne.com/"} +{"d:Title": "AskMen.com: Taylor Dayne", "d:Description": "Pictures, biography, commentary and links on the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dayne,_Taylor", "url": "http://www.askmen.com/celebs/women/singer_100/125_taylor_dayne.html"} +{"d:Title": "Todd Whitener", "d:Description": "A site dedicated to the guitarist of Days of the New.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Days_of_the_New", "url": "http://www.angelfire.com/ky/toddwhitener/"} +{"d:Title": "The Shelf in the Room", "d:Description": "Includes articles, reviews, pictures, lyrics, tabs, and message board for Days of the New.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Days_of_the_New", "url": "http://www.angelfire.com/biz3/shelfintheroom/"} +{"d:Title": "Da Brat Pack", "d:Description": "News, biography, photos, articles, chat room, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Da_Brat", "url": "http://www.angelfire.com/hiphop2/dabratpack/"} +{"d:Title": "Da Brat Page", "d:Description": "Includes pictures, audio/video clips, biography, news, lyrics, message board, polls and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Da_Brat", "url": "http://www.angelfire.com/il2/dabratpage/"} +{"d:Title": "Da Virtual Brat", "d:Description": "Includes interviews, articles, pictures, lyrics, video/audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Da_Brat", "url": "http://www.angelfire.com/ab/virtualbrat/"} +{"d:Title": "AskMen.com", "d:Description": "An interview with biography, quotes, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Da_Brat", "url": "http://www.askmen.com/celebs/interview_60/98_da_brat_interview.html"} +{"d:Title": "ArtistDirect: Da Brat", "d:Description": "Features links to websites, mp3, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Da_Brat", "url": "http://www.artistdirect.com/artist/da-brat/419797"} +{"d:Title": "MTV: Da Brat", "d:Description": "Features music video clips, album reviews and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Da_Brat", "url": "http://www.mtv.com/artists/da-brat/"} +{"d:Title": "Dead Can Dance Italian Site", "d:Description": "Fan site with photographs, lyrics, translations, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance", "url": "http://www.dead-can-dance.com/"} +{"d:Title": "Dead Can Dance", "d:Description": "Official site is a portal to information about the current projects of past members.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance", "url": "http://www.deadcandance.com/"} +{"d:Title": "Dead Can Dance Within", "d:Description": "Contains biography, links, information, news, updates, discography, and resources. There is also information for CD-R and MP3 trading, and music to download.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance", "url": "http://www.dcdwithin.com/"} +{"d:Title": "Brendan Perry", "d:Description": "News, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance", "url": "http://www.brendan-perry.com/"} +{"d:Title": "A Little Dead Can Dance Site", "d:Description": "Features a discography including bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance", "url": "http://www.deadcandance.info/"} +{"d:Title": "Eyesore - Dead Can Dance", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance", "url": "http://quimby.gnus.org/html/group/deadcandance.html"} +{"d:Title": "Dead Can Dance Library", "d:Description": "Discography featuring bootleg and obscure recordings and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance", "url": "http://www.deadcandancelibrary.com/"} +{"d:Title": "Eyesore: Lisa Gerrard", "d:Description": "Discography with cover images of her solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance/Gerrard,_Lisa", "url": "http://quimby.gnus.org/html/group/gerrardlisa.html"} +{"d:Title": "Lisa Gerrard: Duality", "d:Description": "Her official site contains information on all of her projects. Includes sound files (some exclusive), discography, photos, history, and reviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance/Gerrard,_Lisa", "url": "http://www.lisagerrardmusic.com/"} +{"d:Title": "4AD: Lisa Gerrard", "d:Description": "Profile, images, video clip, and discography from her record company.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance/Gerrard,_Lisa", "url": "http://www.4ad.com/artists/lisagerrard/"} +{"d:Title": "Eyesore: Lisa Gerrard", "d:Description": "Discography with images of her work.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance/Gerrard,_Lisa", "url": "http://eyesore.no/html/group/gerrardlisa.html"} +{"d:Title": "IMDb: Lisa Gerrard", "d:Description": "Filmography and listing of her soundtrack work.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Can_Dance/Gerrard,_Lisa", "url": "http://www.imdb.com/name/nm0314713/"} +{"d:Title": "Eyes Like Flames", "d:Description": "Dead Cel Factor fansite includes lyrics, band information and fan forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Cel_Factor", "url": "http://thebassangel.tripod.com/"} +{"d:Title": "Dead Milkmen", "d:Description": "Official site offers news, FAQ, photos, links and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Milkmen", "url": "http://www.deadmilkmen.com/"} +{"d:Title": "The dead Milkmen", "d:Description": "Information, photos and other things related to the Dead Milkmen and the new bands the former musicians have started.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Milkmen", "url": "http://www.deadmilkmen.freeservers.com/"} +{"d:Title": "The Onion AV Club", "d:Description": "Joe Genaro interview about his old band, his new band, and the battle between winsome and wacky.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_Milkmen", "url": "http://www.avclub.com/articles/butterfly-joe,13645/"} +{"d:Title": "All Music Guide: D.O.A. (Dead on Arrival)", "d:Description": "Biography, discography, and line-up.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_on_Arrival", "url": "http://www.allmusic.com/artist/doa-dead-on-arrival-p476615"} +{"d:Title": "Wikipedia : Dead or Alive", "d:Description": "Entry for the band in the online encyclopedia. Includes history, band members and a timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_or_Alive", "url": "https://en.wikipedia.org/wiki/Dead_or_Alive_(band)"} +{"d:Title": "BBC News: Pete Burns: Dead or Alive singer dies aged 57", "d:Description": "An article about the lead singer's sudden death from a cardiac arrest. With tributes from his friends and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_or_Alive", "url": "http://www.bbc.co.uk/news/uk-37755445"} +{"d:Title": "SetList.fm: Dead or Alive Concert Setlists&Tour Dates", "d:Description": "A collaborative database of times, days and venues of live appearances. Provides information from 1979 to 1996, including songs performed.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_or_Alive", "url": "http://www.setlist.fm/setlists/dead-or-alive-6bd6b6a2.html"} +{"d:Title": "SongLyrics: Dead or Alive Lyrics", "d:Description": "A discography sorted by popularity with links to the track's words.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dead_or_Alive", "url": "http://www.songlyrics.com/dead-or-alive-lyrics/"} +{"d:Title": "Larry O. Dean", "d:Description": "The singer-songwriter and poet's web site, featuring news, biography, tour and gig information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dean,_Larry_O.", "url": "http://www.larryodean.com/"} +{"d:Title": "Death Cab For Cutie", "d:Description": "Provides news, band journal entries, show list, photographs, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Death_Cab_for_Cutie", "url": "http://www.deathcabforcutie.com/"} +{"d:Title": "Wikipedia - Death Cab for Cutie", "d:Description": "Encyclopedia articles provides information on the records labels for the band, discography, filmography and links to music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Death_Cab_for_Cutie", "url": "http://en.wikipedia.org/wiki/Death_Cab_for_Cutie"} +{"d:Title": "Ultimate-Guitar.Com - Death Cab For Cutie", "d:Description": "Collection of tabs and chords including \"I Will Follow You Into The Dark\", \"Lack Of Color\" and \"Crooked Teeth\".", "topic": "Top/Arts/Music/Bands_and_Artists/D/Death_Cab_for_Cutie", "url": "http://www.ultimate-guitar.com/tabs/death_cab_for_cutie_tabs.htm"} +{"d:Title": "Standard Time - Death Cab for Cutie Finally Catch Fire", "d:Description": "Concert Review - Opera House, Boston, November 2, 2006. By Jeffrey B. Remz.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Death_Cab_for_Cutie", "url": "http://www.countrystandardtime.com/d/stconcertreview.asp?xid=140&c=111"} +{"d:Title": "Death Cab for Cutie Guitar Tabs", "d:Description": "Collection of tablatures and chords", "topic": "Top/Arts/Music/Bands_and_Artists/D/Death_Cab_for_Cutie", "url": "http://www.gtabs.org/author/Death%20Cab%20for%20Cutie"} +{"d:Title": "No Ripcord - Death Cab For Cutie", "d:Description": "Interview with the band by Mark Mason. 17/09/2002", "topic": "Top/Arts/Music/Bands_and_Artists/D/Death_Cab_for_Cutie", "url": "http://www.noripcord.com/features/death-cab-for-cutie-interview"} +{"d:Title": "The Decemberists", "d:Description": "Official site with biography, discography, contact information, tour dates, photos, press, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Decemberists,_The", "url": "http://www.decemberists.com/"} +{"d:Title": "Glorious Noise: History: Not Just for Nerds Anymore", "d:Description": "Review of the Decemberists show at Maxwells in Hoboken, New Jersey.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Decemberists,_The", "url": "http://www.gloriousnoise.com/articles/2004/decemberists-11-16.php"} +{"d:Title": "Pitchfork: The Decemberists", "d:Description": "Review of The Tain EP.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Decemberists,_The", "url": "http://pitchfork.com/reviews/albums/2242-the-tain-ep/"} +{"d:Title": "Kill Rock Stars: The Decemberists Factsheet", "d:Description": "Member list, discography, MP3s and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Decemberists,_The", "url": "http://www.killrockstars.com/artists/the-decemberists"} +{"d:Title": "Official Kiki Dee Website", "d:Description": "Includes biography, discography, articles, reviews, song samples, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dee,_Kiki", "url": "http://www.kikiandcarmelo.com/"} +{"d:Title": "Rock Around the World: Kiki Dee", "d:Description": "Article by Dusti Rhodes.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dee,_Kiki", "url": "http://www.ratw.com/issues/13/dee.htm"} +{"d:Title": "Yahoo Groups: Kiki_Dee", "d:Description": "A mailing list for Kiki Dee fans to discuss her music and career.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dee,_Kiki", "url": "http://groups.yahoo.com/group/kiki_dee/"} +{"d:Title": "Lady Kier", "d:Description": "The official site of the former lead singer, co-writer, co-producer and butt shaker for Deee-lite. Contains discography, audio samples, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deee-lite", "url": "http://www.ladykier.com/"} +{"d:Title": "Synsound Recording Studios", "d:Description": "Home of former Deep Forest member Dan Lacksman, with news, a biography and technical information on his studio.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Forest", "url": "http://www.synsound.be/"} +{"d:Title": "Kimm's Deep Forest Page", "d:Description": "A fan site with information on the group as well as translations to several of their songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Forest", "url": "http://deepforest.freeservers.com/old-index.html"} +{"d:Title": "Deep in the Jungle - DeepForestMusic.com", "d:Description": "Includes discography, news, FAQ, lyrics, photos, interviews, reviews, biography and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Forest", "url": "http://www.deep-forest.fr/"} +{"d:Title": "All Music Guide: Deep Forest", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Forest", "url": "http://www.allmusic.com/artist/deep-forest-mn0000229693"} +{"d:Title": "RockMagic.net: Deep Purple", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://lyrics.rockmagic.net/lyrics/deep_purple/"} +{"d:Title": "The Highway Star", "d:Description": "The original fan site. Includes news, tour information, music, lyrics, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://www.thehighwaystar.com/"} +{"d:Title": "Deep Purple Fan Pages", "d:Description": "Band details, tour information, lyrics, and links dedicated to the great band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://angelfire.com/music5/dp_live/"} +{"d:Title": "Thames Talent Ltd Presents Deep Purple", "d:Description": "Official site. Includes news and merchandise for fans as well as information and images for press and promoters.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://www.deep-purple.com/"} +{"d:Title": "Deep Purple", "d:Description": "Chronological study of the formation and various alignments for the group.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://www.classicbands.com/deeppurple.html"} +{"d:Title": "Deep Purple Tourdate and Live Recording", "d:Description": "Tour dates and live recording history (official and unofficial) from 1968 to the present day.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://www.deeppurpleliveindex.com/"} +{"d:Title": "Deep Purple/Rainbow", "d:Description": "Includes pictures, links, music, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://blackmir.tripod.com/home.html"} +{"d:Title": "MTV: Deep Purple", "d:Description": "News, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple", "url": "http://www.mtv.com/artists/deep-purple/"} +{"d:Title": "Cry Free", "d:Description": "Based in Hungary. Includes member profiles, discography, gigophraphy, photos, news, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.cryfree.hu/"} +{"d:Title": "Pure Purple", "d:Description": "Based in England. Includes, gig listings, video, photographs, biography, comments, links, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.purepurple.org/"} +{"d:Title": "Purple Sucker", "d:Description": "Based in Italy. Features band biography, photographs, past and future gigs, repertoire, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.purplesucker.com/"} +{"d:Title": "Deep Purple Revival", "d:Description": "Based in Czech Republic. Includes information, concerts, repertoire, photographs, audio and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.deeppurplebrno.cz/intro.htm"} +{"d:Title": "Highway Star", "d:Description": "Based in Canada. Includes repertoire, gig listings, contact details, band biography, photographs, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.deeppurpletribute.com/"} +{"d:Title": "Speed King", "d:Description": "Based in France. Includes, band biography, photographs, audio, gig listings, press pack, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.speedking.fr/en/index.htm"} +{"d:Title": "Purpendicular", "d:Description": "Based in Europe. Includes gig listings audio, video, photographs, band biography, news and reviews, guest book and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.purpendicular.eu/"} +{"d:Title": "Purple Zeppelin", "d:Description": "Based in England. Tribute to Deep Purple and Led Zeppelin. Includes gig listings, biography, photographs, video, guestbook, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deep_Purple/Tribute_Bands", "url": "http://www.purplezeppelin.com/"} +{"d:Title": "Free Williamsburg: Deerhoof", "d:Description": "Interview and image.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deerhoof", "url": "http://www.freewilliamsburg.com/june_2004/deerhoof.html"} +{"d:Title": "Pitchfork: Apple O'", "d:Description": "Review of the release.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deerhoof", "url": "http://pitchfork.com/reviews/albums/2252-apple-o/"} +{"d:Title": "Deerhoof", "d:Description": "Official site with tour dates, discography, MP3s, videos, photos, press, interviews, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deerhoof", "url": "http://deerhoof.net/"} +{"d:Title": "The DeFranco Family", "d:Description": "Yahoo! club for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeFranco_Family,_The", "url": "http://launch.groups.yahoo.com/group/thedefrancofamily/"} +{"d:Title": "Canadian Music Encyclopedia: DeFranco Family", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeFranco_Family,_The", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/D/DeFranco_Family.html"} +{"d:Title": "Deftones", "d:Description": "Includes bio, lyrics, pictures, tour dates, news, audio, video, mp3 links, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.angelfire.com/biz3/deftones01/index.html"} +{"d:Title": "www.7words.net", "d:Description": "Deftones webzine. News, audio and Mp3s, videos, biographies, a forum, interviews, and press releases.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.7words.net/"} +{"d:Title": "DeftoniC", "d:Description": "Pictures, lyrics, news, a mailing list, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://angelfire.com/band/deftonic/DeftoniC"} +{"d:Title": "Deftones", "d:Description": "Includes pictures, tabs, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://deftones500.tripod.com/"} +{"d:Title": "Deftones World", "d:Description": "News, tour dates, reviews, side projects, discography, videography, interviews, lyrics, song meanings and secrets, guitar tabs, pictures, media downloads, poems, message board, mailing list, online store.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.deftonesworld.com/"} +{"d:Title": "Deftones Worldwide", "d:Description": "Information about tour dates, current events, and merchandise including a message board and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.deftones.com/"} +{"d:Title": "Emo[Deftones]", "d:Description": "Canadian site with MP3s, videos, tablatures, skins, MIDIs, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.angelfire.com/emo/moreno/"} +{"d:Title": "Rock Lyrics: Deftones", "d:Description": "Deftones song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://lyrics.rockmagic.net/lyrics/deftones/"} +{"d:Title": "Headup", "d:Description": "News, lyrics, pictures, polls, forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://web.vip.hr/noway.vip/"} +{"d:Title": "Dave's Deftones Page", "d:Description": "News, discography, biographies, pictures, audio, message board, links, and Deftones poll.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.angelfire.com/music/metal34/index2.html"} +{"d:Title": "Around The Pony - A Deftones Tribute", "d:Description": "News, biographies, pictures, lyrics, tabs, audio, video, chat room, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://passbud2u.tripod.com/defpage.html"} +{"d:Title": "Entertainment Ave: Deftones", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/deftones/de120496.htm"} +{"d:Title": "Entertainment Ave: Deftones", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/deftones/de102196.htm"} +{"d:Title": "Deftones News: Topix", "d:Description": "News about Deftones continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.topix.com/who/deftones"} +{"d:Title": "Deftones: Sacto, CA", "d:Description": "Fan page from their home town. Includes pictures, lyrics, article database and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://defjef.tripod.com/deftonepage.htm"} +{"d:Title": "MTV: Deftones", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Deftones", "url": "http://www.mtv.com/artists/deftones/"} +{"d:Title": "Def Leppard Home", "d:Description": "The official site, providing confirmed news, updates from the band, multimedia files, lyrics, discography, tour dates, and an active forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://www.defleppard.com/"} +{"d:Title": "Rockmagic.net: Def Leppard Lyrics", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://lyrics.rockmagic.net/lyrics/def_leppard/"} +{"d:Title": "AskMen.com - Def Leppard", "d:Description": "Interview with bassist Rick Savage.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://www.askmen.com/toys/interview/48_def_leppard_interview.html"} +{"d:Title": "Oldielyrics: Def Leppard", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://www.oldielyrics.com/d/def_leppard.html"} +{"d:Title": "MusicMight.com: Def Leppard", "d:Description": "Biography, discography, charts, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://www.musicmight.com/artist/united+kingdom/south+yorkshire/sheffield/def+leppard"} +{"d:Title": "ArtistDirect: Def Leppard", "d:Description": "MP3s, lyrics, pictures, biography, video and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://www.artistdirect.com/artist/def-leppard/421802"} +{"d:Title": "RollingStone.com: Def Leppard", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://www.rollingstone.com/music/artists/def-leppard"} +{"d:Title": "MTV: Def Leppard", "d:Description": "News, biographies, musical influences, concert tour dates, audio clips, photos, music videos, bulletin board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard", "url": "http://www.mtv.com/artists/def-leppard/"} +{"d:Title": "Darren's Def Leppard Site", "d:Description": "UK based, with tour history, discography, biography, TV/Radio appearances, photos, and videography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://www.angelfire.com/home/defleppdaz/index.html"} +{"d:Title": "Def Leppard Rocks", "d:Description": "Pictures, photos, lyrics, audio, discography, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://www.angelfire.com/de2/DefLeppardRocks/index.html"} +{"d:Title": "The Def Leppard Domain", "d:Description": "Biographies, news, Steve Clark tribute, discography, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://www.papersun.8m.com/"} +{"d:Title": "Too Loud For Boys", "d:Description": "Discography, news, gossip, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://phillyschili.tripod.com/tooloudforboys/"} +{"d:Title": "Papazol's Page of Def Leppard", "d:Description": "Lyrics, newsletter, midi, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://defleppard.narod.ru/"} +{"d:Title": "Def Leppard Heaven", "d:Description": "Fan site with photos, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://www.angelfire.com/rock3/joeslep/index2.html"} +{"d:Title": "Rick Allen: Thunder God", "d:Description": "Fan site for drummer. Includes news, biography, photos, message board, fan experiences and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://www.angelfire.com/band/rickallenthundergod/"} +{"d:Title": "The Def Leppard Atomic Mess", "d:Description": "News, pictures, band history, sound archive, lyrics, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://defleppard.snaggledworks.com/"} +{"d:Title": "Def Leppard", "d:Description": "Fan's concert photos from the 2008 Songs From the Sparkle Lounge tour and the 2007 Downstage Thrust tour.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://def-leppard.blogspot.com/"} +{"d:Title": "Love Bites - The Archipeligus Def Leppard Page", "d:Description": "Biography, discography, articles, and midi.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Fan_Pages", "url": "http://archipeligus.tripod.com/"} +{"d:Title": "Leppard Club", "d:Description": "Another Def Leppard mailing list on egroups, listing is allowed.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Mailing_Lists", "url": "http://groups.yahoo.com/group/LeppardClub/"} +{"d:Title": "Sweet Savage", "d:Description": "A moderated mailing list for fans of Def Leppard's bass player, Rick Savage.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Mailing_Lists", "url": "http://groups.yahoo.com/group/sweetsavage/"} +{"d:Title": "LepNet", "d:Description": "Home of the very first online mailing list, created in 1993.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/LepNet/"} +{"d:Title": "UnRated Magazine: Def Leppard", "d:Description": "Live review and photos from UIC Pavilion concert on March 28, 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=97"} +{"d:Title": "Rolling Stone", "d:Description": "J.D. Considine's review: \"There's no overriding concept to the album, no sense of the group's confronting its demons or wrestling with the problems of the world; instead, what we get is a seemingly unending string of energetic, hook-heavy, gosh-we-luv-'em songs about girls. A perfect Def Leppard album, in other words.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Adrenalize", "url": "http://www.rollingstone.com/music/albumreviews/adrenalize-19920416"} +{"d:Title": "AMG All Music Guide", "d:Description": "Steve Huey's review: \"Adrenalize sounds somewhat tired, formulaic, and bland, qualities absent from the band's best pop-metal work.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Adrenalize", "url": "http://www.allmusic.com/album/adrenalize-mw0000073073"} +{"d:Title": "All-Reviews.com", "d:Description": "Stormy's review: \"The fans demanded a return to that anthemic sound and the Leps gave the fans what they wanted. \"Euphoria\" proves Def Leppard won't be going anywhere but up!\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Euphoria", "url": "http://www.all-reviews.com/music/euphoria.htm"} +{"d:Title": "Rolling Stone", "d:Description": "Greg Kot's review: \"they've abandoned the more introspective tone struck on Slang, a commercial flop, to return to their Eighties metal-for-the-malls formula..\" 2 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Euphoria", "url": "http://www.rollingstone.com/music/albumreviews/euphoria-19990708"} +{"d:Title": "AMG All Music Guide", "d:Description": "Steve Huey's review: \"While still opting for a controlled musical attack and melodies as big-sounding and stadium-ready as possible, the band opens up its arrangements a bit more on High 'N' Dry.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/High_'n_Dry", "url": "http://www.allmusic.com/album/high-n-dry-mw0000189626"} +{"d:Title": "Rolling Stone", "d:Description": "Kurt Loder's review: \"This album sounds terrific. Every track sparkles and burns. There is no filler.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Hysteria", "url": "http://www.rollingstone.com/music/albumreviews/hysteria-20061101"} +{"d:Title": "AMG All Music Guide", "d:Description": "Steve Huey's review: \"The strong pop hooks and \"perfect\"-sounding production of Hysteria may not appeal to diehard heavy metal fans, but it isn't heavy metal - it's pop-metal, and arguably the best pop-metal ever recorded.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Hysteria", "url": "http://www.allmusic.com/album/hysteria-mw0000650061"} +{"d:Title": "AMG All Music Guide", "d:Description": "Steve Huey's review: \"a collection of working-class hard rock anthems informed by the big, glittering hooks of glam-rock.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/On_Through_The_Night", "url": "http://www.allmusic.com/album/on-through-the-night-mw0000652946"} +{"d:Title": "Rolling Stone", "d:Description": "David Fricke's review: \"Def Leppard may not be highly original, but they mean what they play, and Pyromania puts some much-needed fire back on the radio.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Pyromania", "url": "http://www.rollingstone.com/music/albumreviews/pyromania-19830331"} +{"d:Title": "AMG All Music Guide", "d:Description": "Steve Huey's review: \"Leppard's quest for huge, transcendent hard rock perfection on Pyromania was surprisingly successful; their reach never exceeded their grasp, which makes the album an enduring (and massively influential) classic.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Pyromania", "url": "http://www.allmusic.com/album/pyromania-mw0000189739"} +{"d:Title": "Rolling Stone", "d:Description": "Paul Evans' review: \"their chief strength has always been the songs they write, and Retro Active underscores that appeal.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Retro_Active", "url": "http://www.rollingstone.com/music/albumreviews/retro-active-19931125"} +{"d:Title": "AMG All Music Guide", "d:Description": "Ed Rivadavia's review: \"While casual fans might find it confusing, Leppard fanatics will revel in its diversity and informative liner notes.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Retro_Active", "url": "http://www.allmusic.com/album/retro-active-mw0000103043"} +{"d:Title": "Rolling Stone", "d:Description": "Jon Wiederhorn's review: \"Slang rarely rocks; Def Leppard seem too consumed with sounding hip to let loose any real dynamic guitar squalor.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Slang", "url": "http://www.rollingstone.com/music/albumreviews/slang-19980202"} +{"d:Title": "AMG All Music Guide", "d:Description": "Stephen Thomas Erlewine's review: \"Not all of the experiments work, but Def Leppard sounds revitalized, particularly when they attack a straightforward rocker.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/Slang", "url": "http://www.allmusic.com/album/slang-mw0000171913"} +{"d:Title": "NME.com", "d:Description": "Andy Capper's review: \"Def Leppard improve with age: they lost their guitarist through drugs, their drummer had his arm amputated and, even though they're all in their 40s, they've just made the best rock album since Andrew WK's 'I Get Wet'.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/X", "url": "http://www.nme.com/reviews/6596"} +{"d:Title": "All Music Guide", "d:Description": "Stephen Thomas Erlewine's review: \"the slick production and self-conscious maturity make X a leaden affair.\" (2.5 out of 5 stars)", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Reviews/X", "url": "http://www.allmusic.com/album/x-mw0000221333"} +{"d:Title": "Pyromania", "d:Description": "Gig list, audio samples, pictures, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Tribute_Bands", "url": "http://www.defleppardtribute.com/"} +{"d:Title": "The Def Leppard Ring of Fans", "d:Description": "Over 80 sites listed. Founded July 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Web_Rings", "url": "http://www.webring.org/hub?ring=dlfans"} +{"d:Title": "Def Leppard Ring Of Fire", "d:Description": "The very first Def Leppard webring, created September 27, 1996. Over 100 sites in the ring.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Def_Leppard/Web_Rings", "url": "http://www.webring.org/hub?ring=deflep"} +{"d:Title": "RootsWorld: Geno Delafose", "d:Description": "Interview discussing topics including accordions and influences, by Bill Nevins.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Delafose,_Geno", "url": "http://www.rootsworld.com/rw/feature/delafose.html"} +{"d:Title": "All Music Guide: Geno Delafose", "d:Description": "Provides a brief biography, discography, photo, song highlights, and a list of similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Delafose,_Geno", "url": "http://www.allmusic.com/artist/geno-delafose-mn0000197890"} +{"d:Title": "Ilse DeLange - The Official Homepage", "d:Description": "Home of this country singer. Biography, discography, media references, photos, band information, fan club information, merchandise and links. Some content in English and Dutch.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeLange,_Ilse", "url": "http://www.ilsedelange.com/"} +{"d:Title": "The Ultimate Unofficial Ilse DeLange Fanpage", "d:Description": "A fan page with lyrics, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeLange,_Ilse", "url": "http://ilse-delange.tripod.com/"} +{"d:Title": "Delerium", "d:Description": "Features a brief history and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Delerium", "url": "http://www.swerquin.net/delerium.htm"} +{"d:Title": "Interview: Bill Leeb", "d:Description": "'In a Sacred State of Mind' is an article and interview written shortly after the release of Karma.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Delerium", "url": "http://dropd.com/issue/56/Delerium/"} +{"d:Title": "Musicfolio: Delerium", "d:Description": "Discography, album reviews and rating, and a short biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Delerium", "url": "http://www.musicfolio.com/modernrock/delerium.html"} +{"d:Title": "Yahoo! Groups : Into Cathy Dennis", "d:Description": "Message board, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dennis,_Cathy", "url": "http://groups.yahoo.com/group/intocathydennis/"} +{"d:Title": "All Music Guide: Cathy Dennis", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dennis,_Cathy", "url": "http://www.allmusic.com/artist/cathy-dennis-p23950"} +{"d:Title": "Raven's Child's Photo Gallery Tribute", "d:Description": "A photo and text tribute to John Denver.He was here to help us realize and enjoy the wonders of the world.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.angelfire.com/mi2/RavensChild/rememberingJohn.html"} +{"d:Title": "Rocky Mountain High", "d:Description": "Home of The John Denver Internet Fan Club. An extensive research on the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.shellworld.net/~emily/"} +{"d:Title": "Greg's Little John Denver Page", "d:Description": "Large visual discography of commercial and hard-to-find albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.math.hmc.edu/~levin/JD/denver.html"} +{"d:Title": "John Denver - A voice of no regrets", "d:Description": "Fan page from Holland.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.tenbrug.nl/JohnDenver"} +{"d:Title": "RollingStone.com: John Denver", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.rollingstone.com/music/artists/john-denver"} +{"d:Title": "All Music Guide: John Denver", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.allmusic.com/artist/p4070"} +{"d:Title": "John Denver Ring", "d:Description": "Listing websites pertaining to his life, works, music and memory.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.webring.org/hub/jdfriends"} +{"d:Title": "bteJD/Calypso-John Denver Earth Family", "d:Description": "Webring of sites related to John Denver and his causes.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John", "url": "http://www.webring.org/hub/jdrcalypso"} +{"d:Title": "Rich, Ron", "d:Description": "Tribute artist intending to keep John's music and memories alive.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Denver,_John/Tribute_Acts", "url": "http://cabinsong.com/"} +{"d:Title": "Depeche Mode", "d:Description": "Official site containing news, a discography, lyrics, tour information, pictures, audio/video files, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.depechemode.com/"} +{"d:Title": "Music Olympus: Depeche Mode", "d:Description": "Discography, lyrics, gallery, guitar tablature, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.musicolympus.com/depechemode/"} +{"d:Title": "Matt's Music Page: Depeche Mode", "d:Description": "Images, MIDIs, videos, chat, forum, lyrics, sound clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.mattsmusicpage.com/ndepeche.htm"} +{"d:Title": "Depeche Mode Live", "d:Description": "Discography of live audio and video recordings, and a directory of traders.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "https://depechemode-live.com/"} +{"d:Title": "AskMen.com", "d:Description": "Exclusive interview with Depeche Mode.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.askmen.com/celebs/interview/9_depeche_mode_interview.html"} +{"d:Title": "AskMen.com - Depeche Mode", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.askmen.com/celebs/men/entertainment/56_depeche_mode.html"} +{"d:Title": "RollingStone.com: Depeche Mode", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.rollingstone.com/music/artists/depeche-mode"} +{"d:Title": "Depeche Mode Covers", "d:Description": "Database of other bands' officially released versions of Depeche Mode songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.depechemodecovers.com/"} +{"d:Title": "MTV.com: Depeche Mode", "d:Description": "News, album reviews, downloads, biography, discography, bulletin board, and links to fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode", "url": "http://www.mtv.com/artists/depeche-mode/"} +{"d:Title": "Depeche Mode - The Estonian Web", "d:Description": "Latest news, upcoming live gigs, interviews, fan stories, lyrics and discography archives.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.depechemode.ee/"} +{"d:Title": "DMviolator's Depeche Mode Page", "d:Description": "Fan site containing a band history, discography, pictures, MP3s, MIDIs, polls, animations, links, and ICQ skins.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.angelfire.com/md/depechemode/"} +{"d:Title": "Feels Like Home", "d:Description": "Depeche Mode fan page featuring pictures, sound files, profiles, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.angelfire.com/electronic/depeche17/index.html"} +{"d:Title": "Halo's Depeche Mode Page", "d:Description": "Includes news, information, photo gallery, audio, video, lyrics, newsgroup, mailing lists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.depeche-mode.com/"} +{"d:Title": "Hugo Fernbom's Depeche Mode page", "d:Description": "Biography, discography, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.synt.nu/depeche/"} +{"d:Title": "Pimpf's Depeche Mode World In My Eyes", "d:Description": "Pictures, biography, audio, videos, forum, chat, FAQ, remixes, artwork, skins, history, news, and events.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.pimpfdm.com/"} +{"d:Title": "Strange-Love", "d:Description": "Weekly rare Depeche Mode remixes in MP3 format - some unreleased / self-composed.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.dmpire.com/strangelove/depeche.html"} +{"d:Title": "The Depeche Mode Links Page", "d:Description": "Directory of web sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://mackan.pp.se/dm/main.php"} +{"d:Title": "Indigo's Depeche Mode Page", "d:Description": "Contains band news, pictures, midi files, live MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Fan_Pages", "url": "http://www.depeche-mode-world.de/"} +{"d:Title": "Martin L. Gore - Counterfeit\u00b2", "d:Description": "Official site includes audio and video clips, news, photos, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Gore,_Martin", "url": "http://www.martingore.com/"} +{"d:Title": "Depeche Mode: Musicfolio Album Reviews", "d:Description": "Discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews", "url": "http://www.musicfolio.com/modernrock/depeche.html"} +{"d:Title": "Entertainment Ave: Depeche Mode", "d:Description": "Review of a concert at The Tweeter Center in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/depeche_mode/dm062201.htm"} +{"d:Title": "IMDb: 101", "d:Description": "Documentary about the preparation of rock group Depeche Mode for their final concert during a tour.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews", "url": "http://www.imdb.com/title/tt0094590/"} +{"d:Title": "Canoe: Exciter", "d:Description": "Jane Stevenson's review: \"Lyrically dark and the perfect blend of warm acoustic sounds and cold electronica...\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews/Exciter", "url": "http://jam.canoe.com/Music/Artists/D/Depeche_Mode/AlbumReviews/2001/05/13/770719.html"} +{"d:Title": "AMG All Music Guide: Exciter", "d:Description": "Ned Raggett's review: \"It's rare to find bands capable of keeping their own best qualities to the fore while trying something new each time out, but Depeche Mode demonstrate that balance in full on the marvelous Exciter.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews/Exciter", "url": "http://www.allmusic.com/album/exciter-mw0000000268"} +{"d:Title": "AMG All Music Guide: Songs of Faith and Devotion", "d:Description": "Ned Raggett's review: \"Songs of Faith&Devotion continues the Depeche Mode winning streak.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews/Songs_of_Faith_and_Devotion", "url": "http://www.allmusic.com/album/songs-of-faith-and-devotion-r183222"} +{"d:Title": "AMG All Music Guide: Speak and Spell", "d:Description": "Ned Raggett's review: \"Depeche Mode's debut is at once both a conservative, functional pop record and a groundbreaking release.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews/Speak_and_Spell", "url": "http://www.allmusic.com/album/speak--spell-r5502"} +{"d:Title": "AMG All Music Guide: Ultra", "d:Description": "Ned Raggett's review: \"The most immediate change was Gahan's singing; for the first time ever, he took singing lessons beforehand, and his new control and projection simply shines...\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews/Ultra", "url": "http://www.allmusic.com/album/ultra-r259676"} +{"d:Title": "AMG All Music Guide: Violator", "d:Description": "Ned Raggett's review: \"Goth without ever being stupidly hammy, synth without sounding like the clinical stereotype of synth music, rock without ever sounding like a \"rock\" band, Depeche here reached astounding heights indeed.\" 4.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Depeche_Mode/Reviews/Violator", "url": "http://www.allmusic.com/album/violator-r5510"} +{"d:Title": "Dervish", "d:Description": "Official site includes history, profiles, discography, sound files, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dervish", "url": "http://www.dervish.ie/"} +{"d:Title": "FolkWorld Live Review: Dervish in Sevenum", "d:Description": "Concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dervish", "url": "http://www.folkworld.de/3/dervish.html"} +{"d:Title": "RootsWorld: Dervish", "d:Description": "Review of 'Live in Palma'.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dervish", "url": "http://www.rootsworld.com/reviews/dervish.html"} +{"d:Title": "Dervish: Music for Fun and Profit", "d:Description": "Interview from Rambles Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dervish", "url": "http://rambles.net/dervish.html"} +{"d:Title": "Roddy's Irish Music Show: Dervish", "d:Description": "Interview with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dervish", "url": "http://www.almostfabulous.com/rc/interviews/dervish.php"} +{"d:Title": "Wilson and Alroy's Record Reviews: Des'ree", "d:Description": "Short reviews of four albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Des'ree", "url": "http://www.warr.org/desree.html"} +{"d:Title": "ArtistDirect: Destiny's Child", "d:Description": "Includes a biography, tour dates, message board and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child", "url": "http://www.artistdirect.com/artist/dr-dre/424045"} +{"d:Title": "Destiny's Child", "d:Description": "Official site with news, tour dates, biography, audio and video clips, e-mail list, and a message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child", "url": "http://www.destinyschild.com/"} +{"d:Title": "AskMen.com: Destiny's Child", "d:Description": "Includes pictures, biography and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child", "url": "http://www.askmen.com/celebs/women/singer/49_destinys_child.html"} +{"d:Title": "All Music Guide: Destiny's Child", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child", "url": "http://www.allmusic.com/artist/destinys-child-p278369"} +{"d:Title": "MTV: Destiny's Child", "d:Description": "Features music video clips, album reviews and MTV online web exclusives.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child", "url": "http://www.mtv.com/artists/destinys-child/"} +{"d:Title": "Iz DC Still Loved?", "d:Description": "Links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Directories", "url": "http://www.angelfire.com/band/izdcloved/"} +{"d:Title": "Destiny's Child", "d:Description": "Includes pictures, biographies and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://www.angelfire.com/hiphop/destinyschildhq/index.htm"} +{"d:Title": "Destiny's Child : Hot", "d:Description": "Polls, photos, links, biographies, message board, audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://www.angelfire.com/dc/3online/"} +{"d:Title": "Destiny's Child", "d:Description": "A fan page with news, biographies, singles and album information, lyrics, tour dates, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://www.angelfire.com/az/destinypower/"} +{"d:Title": "Destiny Web", "d:Description": "A fan site with news, group history and member profiles, pictures, videos, interviews, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://www.users.globalnet.co.uk/~wdhay/standard.htm"} +{"d:Title": "Destiny's Child Plaza", "d:Description": "Fan site with group history, member profiles, photo galleries, lyrics, and links to media clips.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://www.angelfire.com/mn/fourdestinys/"} +{"d:Title": "Heart Of Destiny", "d:Description": "Fan site dedicated to the original DC; includes pictures, music, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://www.angelfire.com/music2/destinyluv/"} +{"d:Title": "Yahoo! Groups: Kelly-Michelle-Beyonce", "d:Description": "A mailinglist for fans of Destiny's Child.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://groups.yahoo.com/group/Kelly-Michelle-Beyonce"} +{"d:Title": "Jon Blaze Destiny's Child", "d:Description": "Fan site with biographies, photos, sound clips, polls, lyrics, tour dates, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Fan_Pages", "url": "http://www.angelfire.com/ny4/rnb/"} +{"d:Title": "Beyonc\u00e9", "d:Description": "The official site of the singer offers a biography, hit list, videos, photographs, news and events calendar.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Knowles,_Beyonc\u00e9", "url": "http://www.beyonceonline.com/"} +{"d:Title": "All Music Guide: Beyonc\u00e9 Knowles", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Knowles,_Beyonc\u00e9", "url": "http://www.allmusic.com/artist/beyonc-p349078"} +{"d:Title": "IMDb: Beyonc\u00e9 Knowles", "d:Description": "Includes filmography, awards, mini-biography, trivia, photos, articles, and upcoming television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Knowles,_Beyonc\u00e9", "url": "http://www.imdb.com/name/nm0461498/"} +{"d:Title": "MusicCritic.com: Beyonce - Dangerously In Love", "d:Description": "John Reed's review: \"it is apparent that she does need a lot of partnerships (e.g. Jay Z, Sean Paul, Luther) to help fill the menu that is Beyonce.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Knowles,_Beyonc\u00e9/Reviews", "url": "http://www.music-critic.com/pop/beyonce_dangerouslyinlove.htm"} +{"d:Title": "CANOE: Beyonce - Dangerously In Love", "d:Description": "Darryl Sterdan's [Winnipeg Sun] review: \"despite her impressive vocal chops, Knowles sometimes seems to have trouble standing out amid all the starpower and fireworks.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Knowles,_Beyonc\u00e9/Reviews", "url": "http://jam.canoe.com/Music/Artists/B/Beyonce/AlbumReviews/2003/07/04/770388.html"} +{"d:Title": "DaveMcNally.com: Destiny's Child Lyrics", "d:Description": "Words to the popular songs from their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Lyrics", "url": "http://www.davemcnally.com/Lyrics/DestinysChild/"} +{"d:Title": "The Writing's on the Wall", "d:Description": "Lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Lyrics", "url": "http://www.angelfire.com/va2/destinyschild/"} +{"d:Title": "Kelly Rowland - The Official Website", "d:Description": "Official label site includes biography, news, video, tour dates, photos, discography, lyrics, downloads, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Rowland,_Kelly", "url": "http://www.kellyrowlandonline.com/"} +{"d:Title": "All Music Guide: Kelly Rowland", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Rowland,_Kelly", "url": "http://www.allmusic.com/artist/kelly-rowland-p353991"} +{"d:Title": "AskMen.com - Kelly Rowland", "d:Description": "Includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Rowland,_Kelly", "url": "http://www.askmen.com/celebs/women/singer_200/202_kelly_rowland.html"} +{"d:Title": "All Music Guide: Michelle Williams", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Destiny's_Child/Williams,_Michelle", "url": "http://www.allmusic.com/artist/michelle-williams-p350914"} +{"d:Title": "Marcella Detroit", "d:Description": "Official site of the former member of Shakespear's Sister. MP3s, a remix page, news, fan club, biography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Detroit,_Marcella", "url": "http://www.marcella-detroit.com/"} +{"d:Title": "The Unofficial dEUS Home Page", "d:Description": "Archive information, discography of official releases as well as bootlegs, concert information and reviews - no longer updated.", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://www.xs4all.nl/~huubs/deus.htm"} +{"d:Title": "Nothing Shocking", "d:Description": "Fanpages featuring an interview with Klaas Janzoons, live photos and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://www.angelfire.com/oh/nothingshocking/deus.html"} +{"d:Title": "NY Rock - dEUS Interview", "d:Description": "Tom Barman interviewed in 1999, with a RealVideo clip of 'Instant Street' for download.", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://www.nyrock.com/interviews/deus_int.htm"} +{"d:Title": "Black Session : dEUS", "d:Description": "Black and white photographs of the band by Laurent Orseau.", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://lorseau.hinah.com/gallery.php?c=pzic&s=session&g=deus"} +{"d:Title": "The Belgian Pop And Rock Archives: dEUS", "d:Description": "Biography illustrated with sound clips, forum, and links to related Belgian bands.", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://houbi.com/belpop/groups/deus.htm"} +{"d:Title": "In a Bar(man) Under the Sea", "d:Description": "Fan-pages featuring biography and many photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://www.mandiapple.com/dEUSintro1.html"} +{"d:Title": "dEUS.be", "d:Description": "Official site featuring community message boards, chat, mailing list, news, links, concert recordings and interviews for download, streaming video, fan club, archival material, resources and free e-mail.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://www.deus.be/"} +{"d:Title": "Pocket Revolution", "d:Description": "A weblog providing news and information about dEUS.", "topic": "Top/Arts/Music/Bands_and_Artists/D/dEUS", "url": "http://pocketrevolution.blogspot.com/"} +{"d:Title": "Halley DeVestern", "d:Description": "The Internet home of New York's blues-rock queen Halley DeVestern", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeVestern,_Halley", "url": "http://halleydevestern.com/"} +{"d:Title": "Empty Records: Devil Dogs", "d:Description": "Image, introduction, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Devil_Dogs,_The", "url": "http://www.emptyrecords.com/empty/bands/band_detail.asp?intbandid=9"} +{"d:Title": "Grunnen Rocks: The Devil Dogs", "d:Description": "Discography, member history, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Devil_Dogs,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/d/devildogs.htm"} +{"d:Title": "Dexter Freebish Online", "d:Description": "Includes lyrics, biographies, pictures, and guitar tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dexter_Freebish", "url": "http://dexter-freebish.tripod.com/"} +{"d:Title": "Dexys Midnight Runners", "d:Description": "Band history and a discography including liner notes and images of each release.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dexy's_Midnight_Runners", "url": "http://oldlady1.tripod.com/dexys/index.html"} +{"d:Title": "Dexy's Midnight Runners News: Topix", "d:Description": "News about Dexy's Midnight Runners continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dexy's_Midnight_Runners", "url": "http://www.topix.com/who/dexys-midnight-runners"} +{"d:Title": "All Music Guide: Dexy's Midnight Runners", "d:Description": "Provides a biography, discography, photo, song highlights, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dexy's_Midnight_Runners", "url": "http://www.allmusic.com/artist/dexys-midnight-runners-p17004"} +{"d:Title": "Yahoo Groups : Don't Let It End", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls. Restricted membership.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis", "url": "http://groups.yahoo.com/group/DontLetItEnd/"} +{"d:Title": "Yahoo Groups: Dennis DeYoung", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis", "url": "http://groups.yahoo.com/group/DennisDeYoung/"} +{"d:Title": "Yahoo Groups: Dennis DeTalk", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis", "url": "http://groups.yahoo.com/group/Dennis_Detalk/?yguid=47745984"} +{"d:Title": "The Official Dennis DeYoung Web", "d:Description": "Tour information, album information, and news about the founder and former frontman for Styx.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis", "url": "http://dennisdeyoung.com/"} +{"d:Title": "Grand Illusions: An Exclusive Interview with Dennis DeYoung", "d:Description": "Transcript of a candid telephone interview discussing DeYoung's strained relations with other members of Styx, the status of his Hunchback of Notre Dame musical, his Ultimate Collection CD, and the strange illness preventing him from touring.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis/Articles_and_Interviews", "url": "http://www.styxcollector.com/ddyint99.html"} +{"d:Title": "Songwriter Magazine: Writing the Hits for Styx", "d:Description": "Article and interview by Rob Sanford with a brief history of Styx and discussion of the songwriting process and the stories behind several songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis/Articles_and_Interviews", "url": "http://www.racerrecords.com/Styx/SWIntDDY.html"} +{"d:Title": "Keyboard Magazine: Dennis DeYoung - Road Report", "d:Description": "Reprint of the article and interview by Allan Hirt discussing the Styx, past and present, with a detailed discussion of DeYoung's keyboard equipment and technical set-up on the 1996 tour.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis/Articles_and_Interviews", "url": "http://www.styxcollector.com/ddyart96.html"} +{"d:Title": "MelodicRock.com: Dennis DeYoung", "d:Description": "Interview discussing the Brave New World album and tour by Styx, Dennis DeYoung's solo tour with a live orchestra, and the internal conflicts in Styx.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DeYoung,_Dennis/Articles_and_Interviews", "url": "http://www.melodicrock.com/interviews/dennisdeyoung.html"} +{"d:Title": "The Official Chris de Burgh Site", "d:Description": "Contains everything there is to know about Chris de Burgh.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://www.cdeb.com/"} +{"d:Title": "Chris de Burgh Fan Site", "d:Description": "Fan site including a biography, albums, tour dates, downloads, chords, lyrics and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://www.th-marschall.de/"} +{"d:Title": "The Unofficial Chris de Burgh Site", "d:Description": "Reference site including complete album discography, along with sound clips, lyrics and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://www.chris-de-burgh.co.uk/"} +{"d:Title": "Chris de Burgh - Benefit for Volendam", "d:Description": "The Volendam Concert DVD and Video - an emotional concert Chris performed for the victims of the New Year's Eve disaster of 1st Jan 2001 in Volendam. More information and details on ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://www.chris-de-burgh.co.uk/volendam/"} +{"d:Title": "Chris de Burgh Fan Tribute CD 2002 - A Way Across The Universe", "d:Description": "Songs recorded by Internet fans worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://tribute2002.chris-de-burgh.co.uk/"} +{"d:Title": "Chrisdeburgh.net", "d:Description": "A fan site with biography, discography, lyrics, tour dates, photos and other items.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://www.chrisdeburgh.net/"} +{"d:Title": "Chris de Burgh Fan Tribute CD 2003 - One Planet One Love", "d:Description": "Songs recorded by Internet fans worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://tribute2003.chris-de-burgh.co.uk/"} +{"d:Title": "Inspired Stories: Chris High - Untrained Melodies", "d:Description": "Chris High writes Untrained Melodies, 12 short stories inspired by the songs of Chris de Burgh.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://www.chrishigh.com/"} +{"d:Title": "The Essential Chris de Burgh Site", "d:Description": "Large collection of guitar chords, discography, tour dates and reviews and photos from concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://de.chris-de-burgh.co.uk/"} +{"d:Title": "Chris de Burgh Record and Merchandising", "d:Description": "The official online store for all future audio releases and merchandising from Chris de Burgh's Ferryman Productions label.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://www.cdeb.net/"} +{"d:Title": "Chris de Burgh Fan Tribute CD 2004 - Living In The World", "d:Description": "Songs recorded by Internet fans worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://tribute2004.chris-de-burgh.co.uk/"} +{"d:Title": "Chris de Burgh Fan Tribute CD 2001 - All For One", "d:Description": "Songs recorded by Internet fans worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Burgh,_Chris", "url": "http://tribute2001.chris-de-burgh.co.uk/"} +{"d:Title": "Wikipedia: Cosima De Vito", "d:Description": "Brief biography about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Vito,_Cosima", "url": "http://en.wikipedia.org/wiki/Cosima_De_Vito"} +{"d:Title": "Yahoo! Groups : Cosima De Vito", "d:Description": "Fan community. Includes group description, news, and upcoming appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/D/De_Vito,_Cosima", "url": "http://au.groups.yahoo.com/group/cosimadevito_2004/"} +{"d:Title": "The Neil Diamond Ring", "d:Description": "Webring of sites dedicated to Neil Diamond. Search the current list of sites or submit your Neil site for inclusion in the ring.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.soultones.com/neildring.html"} +{"d:Title": "The Original Neil Diamond Home Page", "d:Description": "Biographical information, news, tour dates, lyrics, concert reviews, pictures, a discography and Neil message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.neildiamondhomepage.com/"} +{"d:Title": "Diamondville", "d:Description": "The official Neil Diamond home page by Neil and the band. Frequent updates of the band's tour schedule, their doings and a 'Diamondville Diary' which includes recent photographs.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.diamondville.com/"} +{"d:Title": "Neil Diamond", "d:Description": "Official site from Columbia Records features tour dates, store, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.neildiamond.com/"} +{"d:Title": "I Am, I Said", "d:Description": "An archive and tribute. Includes a biography, a discography, quizzes, photographs, message boards, sheet music, lyrics, and a newsletter. Membership required for additional media content.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://iaisnd.com/indexmain.cfm"} +{"d:Title": "ArtistDirect: Neil Diamond", "d:Description": "Includes a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.artistdirect.com/artist/neil-diamond/423153"} +{"d:Title": "RollingStone.com: Neil Diamond", "d:Description": "Includes a biography, discography, photos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.rollingstone.com/music/artists/neil-diamond"} +{"d:Title": "All Music Guide: Neil Diamond", "d:Description": "Biography, related artists, labels, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.allmusic.com/artist/neil-diamond-p4083"} +{"d:Title": "Rock and Roll Hall of Fame: Neil Diamond", "d:Description": "Biography, career timeline, photos and video for the 2011 inductee.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://rockhall.com/inductees/neil-diamond/"} +{"d:Title": "MTV.com: Neil Diamond", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil", "url": "http://www.mtv.com/artists/neil-diamond/"} +{"d:Title": "Entertainment Ave: Neil Diamond", "d:Description": "Review of a concert at The Gund Arena in Cleveland, Ohio.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/neil_diamond/nd101401.htm"} +{"d:Title": "Entertainment Ave: Neil Diamond", "d:Description": "Review of a concert at The Milwaukee Arena.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/neil_diamond/nd103198.htm"} +{"d:Title": "Entertainment Ave: Neil Diamond", "d:Description": "Review of a concert at The United Center in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/neil_diamond/nd091596.htm"} +{"d:Title": "In My Lifetime", "d:Description": "Discussion of Neil Diamond and his music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Chats_and_Forums", "url": "http://www.network54.com/Hide/Forum/30169"} +{"d:Title": "Neil Diamond Music", "d:Description": "Message board for discussion of Neil Diamond's music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Chats_and_Forums", "url": "http://www.network54.com/Forum/26365"} +{"d:Title": "Bev's Classy Forum", "d:Description": "Forum created for discussion of Neil Diamond, his shows and his music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Chats_and_Forums", "url": "http://www.network54.com/Forum/25812"} +{"d:Title": "Diamondhead Mind", "d:Description": "Fully archived Neil Diamond message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Chats_and_Forums", "url": "http://www.soultones.com/diamind/index.html"} +{"d:Title": "The Neil Diamond Forum", "d:Description": "Message board concerning Neil Diamond and his fans.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Chats_and_Forums", "url": "http://disc.yourwebapps.com/Indices/6694.html"} +{"d:Title": "Neil Diamond Online", "d:Description": "Links to Neil Diamond sites, personal fans photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Fan_Pages", "url": "http://www.soultones.com/ndiamond.htm"} +{"d:Title": "Neil Diamond Fotos", "d:Description": "Pictures and site created and produced by Neil's cousin Len Rapoport.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Image_Galleries", "url": "http://mtigrp.com/ndfotos/home.html"} +{"d:Title": "Pictures Of Neil Diamond", "d:Description": "Extensive collection of Neil photographs from concerts over the years.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Image_Galleries", "url": "http://www.soultones.com/photos.html"} +{"d:Title": "Cordeiro, Randy", "d:Description": "Also known as \"The Surreal Neil\", and \"Super Diamond\" and his band. Includes merchandise, press clippings and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://www.superdiamond.com/"} +{"d:Title": "Gray, Johnny", "d:Description": "Impersonator who uses Neil Diamond songs from the sixties through the present day in his act.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://www.johnnygray.com.au/index.html"} +{"d:Title": "Garrett, Rob", "d:Description": "The king Of Neil Diamond impersonators; based in Las Vegas, Nevada. Features biography, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://neildiamondlookalike.com/"} +{"d:Title": "Pierce, Rick", "d:Description": "Photographs, biography, resume and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://www.angelfire.com/ok/rickp/"} +{"d:Title": "Diamond, Denny", "d:Description": "Chicago based Neil Diamond impersonator. Includes news, biography, schedule, photos, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://www.dennydiamond.com/"} +{"d:Title": "David J. Sherry", "d:Description": "Performs a show called Diamond Is Forever. Provides a biography, press release, photographs, video, and contact information. Based in Escondido, CA.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://davidjsherryproductions.com/"} +{"d:Title": "Classique Productions", "d:Description": "Information on Neil Diamond impersonators hired through this company.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://www.classique-productions.com/pages/PAGE540.HTM"} +{"d:Title": "Eskin, Ron", "d:Description": "A member of Starfire Entertainment, this artist performs his own vocals while impersonating Neil Diamond.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Impersonators", "url": "http://www.neildiamondtributeshow.com/"} +{"d:Title": "Lyrics For Neil Diamond Songs", "d:Description": "Words to a number of Neil Diamond songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Lyrics", "url": "http://www.angelfire.com/ca/NeilDiamond/lyrics.html"} +{"d:Title": "Diamondbag", "d:Description": "Texas based Neil Diamond tribute band. News, journal, member profiles, photos, MP3 files, and set lists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Tribute_Bands", "url": "http://diamondbag.tripod.com/"} +{"d:Title": "Begum, Fawzia", "d:Description": "An instrumental tribute to Neil Diamond by a fan turned musician. Includes biography and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Tribute_Bands", "url": "http://www.solitarysong.com/"} +{"d:Title": "Solitary Man", "d:Description": "Promotes the Solitary Man tribute to Neil Diamond. Includes album covers and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Tribute_Bands", "url": "http://www.freewebs.com/timhunt/"} +{"d:Title": "Fantastic Diamond: Live Tribute to the Music of Neil Diamond", "d:Description": "Provides an events schedule, band biography, photographs, and audio samples. Based in Oak Park, CA.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Tribute_Bands", "url": "http://www.fantasticdiamond.com/"} +{"d:Title": "Diamond Forever A Tribute To Neil Diamond", "d:Description": "Offers a song list, photographs, and contact information. Located in Cranbrook, BC.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Tribute_Bands", "url": "http://www.diamondforever.ca/"} +{"d:Title": "AZ Diamond", "d:Description": "Based in Scottsdale, Arizona. Show dates, audio, press and show list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diamond,_Neil/Tribute_Bands", "url": "http://www.azdiamondband.com/"} +{"d:Title": "Bruce Dickinson", "d:Description": "Photos, interviews, wallpaper, artwork, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dickinson,_Bruce", "url": "http://mariseb0.tripod.com/Images/index.html"} +{"d:Title": "All Music Guide: Bruce Dickinson", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dickinson,_Bruce", "url": "http://www.allmusic.com/artist/bruce-dickinson-mn0000527024"} +{"d:Title": "Bo Diddley - The Originator", "d:Description": "History, discography, lyrics, reviews, news, tributes, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diddley,_Bo", "url": "http://members.tripod.com/~Originator_2/index.html"} +{"d:Title": "PopEntertainment.com: Bo Diddley", "d:Description": "Zoran Misitec talks with the blues rock pioneer about music, civil rights, the state of radio and making hamburgers in Europe.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diddley,_Bo", "url": "http://www.popentertainment.com/bodiddley.htm"} +{"d:Title": "Wikipedia: Bo Diddley", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diddley,_Bo", "url": "http://en.wikipedia.org/wiki/Bo_Diddley"} +{"d:Title": "New York Times: Bo Diddley, Who Gave Rock His Beat, Dies at 79", "d:Description": "Biographical article, photos, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diddley,_Bo", "url": "http://www.nytimes.com/2008/06/03/arts/music/03diddley.html"} +{"d:Title": "Mississippi Writers and Musicians Project: Bo Diddley", "d:Description": "Includes list of songs, timeline, biographies, and bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diddley,_Bo", "url": "http://www.mswritersandmusicians.com/musicians/bo-diddley.html"} +{"d:Title": "New York Times: Pioneer of a Beat Is Still Riffing for His Due", "d:Description": "Article focusing on the lack of appreciation of Diddley's impact on rock and roll.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diddley,_Bo", "url": "http://www.nytimes.com/2003/02/16/arts/pioneer-of-a-beat-is-still-riffing-for-his-due.html"} +{"d:Title": "Rock and Roll Hall of Fame: Bo Diddley", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Diddley,_Bo", "url": "http://rockhall.com/inductees/bo-diddley/"} +{"d:Title": "Ectophiles' Guide: Dido", "d:Description": "Features comments and reviews, biography, and titles of released singles.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido", "url": "http://ectoguide.org/artists/dido"} +{"d:Title": "Wikipedia: Dido", "d:Description": "Has biography, career, personal life, awards, and references.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido", "url": "http://en.wikipedia.org/wiki/Dido_(singer)"} +{"d:Title": "Dido", "d:Description": "Official site includes photo gallery, discography with lyrics, tour diary, videos, and desktop themes.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido", "url": "http://www.didomusic.com/"} +{"d:Title": "All Music: Dido", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido", "url": "http://www.allmusic.com/artist/dido-p70992"} +{"d:Title": "AskMen.com: Dido", "d:Description": "Includes pictures, biography, background information, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido", "url": "http://www.askmen.com/celebs/women/singer_60/68_dido.html"} +{"d:Title": "MTV.com: Dido", "d:Description": "Features album reviews, music news, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido", "url": "http://www.mtv.com/artists/dido/"} +{"d:Title": "Dido - Queen of Song", "d:Description": "Profile, biography, lyrics, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido/Fan_Pages", "url": "http://www.angelfire.com/stars2/queenofsong/"} +{"d:Title": "All Dido", "d:Description": "Forum, chat room, biography, reviews, discography, lyrics, quotes, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido/Fan_Pages", "url": "http://www.alldido.co.uk/"} +{"d:Title": "No Angel: A Tribute to Dido", "d:Description": "Features biography, lyrics, pictures, discography, links, a message board, and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido/Fan_Pages", "url": "http://www.angelfire.com/rock/2dido/noangel.html"} +{"d:Title": "All You Want", "d:Description": "Biography, tour dates, lyrics, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido/Fan_Pages", "url": "http://www.angelfire.com/music4/didoa/"} +{"d:Title": "Sirens of Song: Dido", "d:Description": "Features photos, sound clips, concert reviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido/Fan_Pages", "url": "http://www.sirensofsong.com/dido.htm"} +{"d:Title": "Hunter", "d:Description": "Includes biography and quotes, appearances, lyrics and pictures, discography, guestbook, and desktop images.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido/Fan_Pages", "url": "http://www.angelfire.com/folk/dido/"} +{"d:Title": "Yahoo! Dido2", "d:Description": "Member maintained photos, links, calendars, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dido/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/dido2/"} +{"d:Title": "Die Antwoord", "d:Description": "Official site of the South African rap group offers news, images, videos, lyrics and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Die_Antwoord", "url": "http://www.dieantwoord.com/"} +{"d:Title": "Wikipedia: Die Antwoord", "d:Description": "Offers a history of the band, discography, list of members and links to news and resources.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Die_Antwoord", "url": "http://en.wikipedia.org/wiki/Die_Antwoord"} +{"d:Title": "Fokk Yeah Die Antwoord", "d:Description": "A photo/video blog of official images and fan created art.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Die_Antwoord", "url": "http://fokkyeah-dieantwoord.tumblr.com/"} +{"d:Title": "Zef to Death", "d:Description": "Photo blog of all things Die Antwoord.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Die_Antwoord", "url": "http://zeftodeath.tumblr.com/"} +{"d:Title": "Die Form Audio Visual Infosite", "d:Description": "The official information source for Die Form. Features the latest news and MP3 clips.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Die_Form", "url": "http://www.dieform.net/"} +{"d:Title": "Metropolis Records: Die Form", "d:Description": "Record label page includes RealAudio and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Die_Form", "url": "http://www.metropolis-records.com/?artists/dieform.html"} +{"d:Title": "Ani DiFranco Lyrics", "d:Description": "A fan's dedicated rendering of Ani lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DiFranco,_Ani", "url": "http://www.danah.org/Ani/"} +{"d:Title": "Righteous Babe Records", "d:Description": "The official site for Ani DiFranco and her record label.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DiFranco,_Ani", "url": "http://righteousbabe.com/"} +{"d:Title": "OnHerOwn.net", "d:Description": "searchable lyrics, discography, message board, tour dates, downloads, bootlegs, quotes, links, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DiFranco,_Ani", "url": "http://www.onherown.net/"} +{"d:Title": "MTV: Ani DiFranco", "d:Description": "news, album reviews, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DiFranco,_Ani", "url": "http://www.mtv.com/artists/ani-difranco/"} +{"d:Title": "Metacritic: Revelling/Reckoning", "d:Description": "Multiple critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DiFranco,_Ani", "url": "http://www.metacritic.com/music/revellingreckoning/ani-difranco"} +{"d:Title": "Wilson and Alroy's Record Reviews", "d:Description": "Reviews of Digital Underground albums Sex Packets, This Is An E.P. Release, Sons Of The P, The Body-Hat Syndrome, future rhythm, and Who Got The Gravy?", "topic": "Top/Arts/Music/Bands_and_Artists/D/Digital_Underground", "url": "http://www.warr.org/digital.html"} +{"d:Title": "Doowutchyalike", "d:Description": "Information on all the members, past and present, tour dates, pictures of the group, lyrics to their songs, interviews, message boards, and late-breaking Digital Underground news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Digital_Underground", "url": "http://suicyco.tripod.com/digital.htm"} +{"d:Title": "Troy Dillinger", "d:Description": "Official site. Includes news, tour dates, audio, discography, lyrics, biography, band profile, photos, press, desktop wallpaper, and merchandise store.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dillinger,_Troy", "url": "http://www.troydillinger.com/"} +{"d:Title": "Dino", "d:Description": "Biography, discography, lyrics, photos, news, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dino", "url": "http://www.angelfire.com/indie/mysmallcorner/"} +{"d:Title": "All Music Guide: Dino", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dino", "url": "http://www.allmusic.com/artist/dino-p38410"} +{"d:Title": "The Dinosaur Jr Audio/Visual Archive", "d:Description": "Official Fan Site for Dinosaur Jr with live and rare mp3 downloads, and streaming video.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dinosaur_Jr.", "url": "http://freakscene.net/"} +{"d:Title": "Rollingstone.com: Dinosaur Jr", "d:Description": "Includes a biography, discography, photos, articles, videos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dinosaur_Jr.", "url": "http://www.rollingstone.com/music/artists/dinosaur-jr"} +{"d:Title": "Tapio's Ronnie James Dio Pages", "d:Description": "Fan site featuring lyrics, photos, news, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dio,_Ronnie_James", "url": "http://www.dio.net/"} +{"d:Title": "The Austrian Dio Pages", "d:Description": "Pictures and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dio,_Ronnie_James", "url": "http://www.evilsyde.org/dio/english/intro.htm"} +{"d:Title": "Rockmagic.net: Dio", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dio,_Ronnie_James", "url": "http://lyrics.rockmagic.net/lyrics/dio/"} +{"d:Title": "Ronnie James Dio", "d:Description": "2002 live review from Chicago House of Blues in Unrated Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dio,_Ronnie_James", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=63"} +{"d:Title": "Oldielyrics: Dio", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dio,_Ronnie_James", "url": "http://www.oldielyrics.com/d/dio.html"} +{"d:Title": "Dio Message Board", "d:Description": "Topics include Dio, Rainbow, Black Sabbath.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dio,_Ronnie_James", "url": "http://www.diomessageboard.com/"} +{"d:Title": "Dion", "d:Description": "The official site of this 50s and 60s teen idol includes a photo gallery, gig and tour schedule, biography, discography with purchasing information, and an insight into his spiritual journey.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://diondimucci.com/"} +{"d:Title": "Dion DiMucci and the Belmonts", "d:Description": "Provides photographs and biography of this successful white doo-wop act, songs and chart rankings.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://www.history-of-rock.com/dion_dimucci.htm"} +{"d:Title": "The Wanderer", "d:Description": "Drummer Frank Funaro, formerly of the Del-Lords, talks about one of his favorite drum tracks. One could say that he is pleased to be playing in the Little Kings with Dion.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://www.iplaythedrums.com/wanderer.htm"} +{"d:Title": "Dion&the Belmonts", "d:Description": "Two-part story on local guys from the Bronx who made it big. Covers their recording careers together, apart, reunited. Also information on two live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://home.earthlink.net/~jaymar41/belmonts.html"} +{"d:Title": "Return to the Church", "d:Description": "Hourlong interview from \"The Journey Home\" in which Dion DiMucci talks about his Christian faith and fields viewers' questions. [RealAudio.]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://www.ewtn.com/vondemand/audio/resolve.asp?audiofile=jh_9984.mp3"} +{"d:Title": "Rock and Roll Hall of Fame: Dion", "d:Description": "Information on the 1989 inductee.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://rockhall.com/inductees/dion"} +{"d:Title": "All Music Guide: Dion", "d:Description": "Biography, discography, links to related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://www.allmusic.com/artist/dion-mn0000265456"} +{"d:Title": "Box Set Shows Range of Dion", "d:Description": "Review of \"King of the New York Streets.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion", "url": "http://jam.canoe.com/Music/Artists/D/Dion/AlbumReviews/2001/02/16/770738.html"} +{"d:Title": "Passion Celine Dion", "d:Description": "Lyrics and translations for all of C\u00e9line's songs in several different languages as well as news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line", "url": "http://www.celine-dion.net/"} +{"d:Title": "Romwell.com: Celine Dion", "d:Description": "Biography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line", "url": "http://www.romwell.com/books/music/dion.htm"} +{"d:Title": "Celine Dion Channel", "d:Description": "Includes a gallery, forum, webchat, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line", "url": "http://www.celinechannel.com/"} +{"d:Title": "Power Of The Music", "d:Description": "Celine Dion discography site including tracks, albums, singles and duets.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line", "url": "http://www.powerofthemusic.com/"} +{"d:Title": "Celine Dion", "d:Description": "Official site includes news, biography, photos, discography, lyrics, audio and video, performance dates, and FAQ. [English and French]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line", "url": "http://www.celinedion.com/"} +{"d:Title": "All Music Guide: Celine Dion", "d:Description": "Includes profile, biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line", "url": "http://www.allmusic.com/artist/celine-dion-mn0000186185"} +{"d:Title": "MTV: Celine Dion", "d:Description": "Includes news, biography, musical influences, MP3 song samples, pictures, videos, album reviews, bulletin board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line", "url": "http://www.mtv.com/artists/celine-dion/"} +{"d:Title": "Celine's World", "d:Description": "Pictures and lyrics from both English and French Albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Fan_Pages", "url": "http://www.angelfire.com/biz4/celine/"} +{"d:Title": "Queer in Your Ear: C\u00e9line Dion:", "d:Description": "Editorial on Celine Dion.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Fan_Pages", "url": "http://www.joeclark.org/QiYE23.html"} +{"d:Title": "Celine Dion : Let's Talk About Her", "d:Description": "A Celine Fan Site with loads about her and her music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Fan_Pages", "url": "http://www.angelfire.com/al/Cdion/"} +{"d:Title": "C\u00e9line Dion Talks To You", "d:Description": "Includes biography, discography, and information on her theatrical show. [English, French, Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Fan_Pages", "url": "http://celinedion.t2u.free.fr/"} +{"d:Title": "Entertainment Ave: Celine Dion", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/celine_dion/cd081196.htm"} +{"d:Title": "CANOE: \"Queen Of Vegas\"", "d:Description": "Jane Stevenson reviews her show at Caesars Palace in Las Vegas.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/ConcertReviews/2004/09/30/650067.html"} +{"d:Title": "CANOE: \"Diva's Bright New Day\"", "d:Description": "Denis Armstrong reviews the TV taping at Molson Centre in Montreal.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/ConcertReviews/2004/09/30/650068.html"} +{"d:Title": "CANOE: \"Celine Proves Power Of Pop\"", "d:Description": "Kieran Grant reviews the concert at Molson Amphitheatre in Toronto.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/ConcertReviews/2004/09/30/650074.html"} +{"d:Title": "CANOE: \"Delightful Dion\"", "d:Description": "Anika Van Wyk reviews the concert at Canadian Airlines Saddledome in Calgary.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/ConcertReviews/2004/09/30/650073.html"} +{"d:Title": "CANOE: \"Diva Is A Dynamo\"", "d:Description": "Joshua Ostroff reviews the concert at Corel Centre in Ottawa.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/ConcertReviews/2004/09/30/650072.html"} +{"d:Title": "CANOE: \"Celine's Concert Perfect Rendition\"", "d:Description": "Jane Stevenson reviews the concert at Molson Amphitheatre in Toronto.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/ConcertReviews/2004/09/30/650076.html"} +{"d:Title": "CANOE: \"Tearful Celine Goes On\"", "d:Description": "Jane Stevenson reviews the concert at Air Canada Centre in Toronto.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/ConcertReviews/2004/09/30/650069.html"} +{"d:Title": "CANOE: Celine Dion - All The Way: A Decade Of Song", "d:Description": "Jane Stevenson's review: \"It's this same-sounding, conveyor belt-like aspect to songwriting these days that's one of the biggest problems in music.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/AlbumReviews/1999/12/19/770734.html"} +{"d:Title": "CANOE: Celine Dion - A New Day Has Come", "d:Description": "Mike Ross' review: \"a fully loaded Hindenburg of bombast, melodrama and soaring love ballads indistinguishable from anything she's ever done.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews/New_Day_Has_Come,_A", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/AlbumReviews/2002/03/26/770735.html"} +{"d:Title": "CANOE: Celine Dion - One Heart", "d:Description": "Darryl Sterdan's review: \"her most superficial and soulless album yet\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dion,_C\u00e9line/Reviews/One_Heart", "url": "http://jam.canoe.com/Music/Artists/D/Dion_Celine/AlbumReviews/2003/03/28/770737.html"} +{"d:Title": "Rockway Fanzine", "d:Description": "News and subscription information. In English and Spanish.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits", "url": "http://members.tripod.com/~rockaway/index.htm"} +{"d:Title": "Dire Straits - Lyrics", "d:Description": "Lyrics to a range of their songs, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits", "url": "http://www.davemcnally.com/Lyrics/DireStraits/"} +{"d:Title": "Rockmagic.net: Dire Straits", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits", "url": "http://lyrics.rockmagic.net/lyrics/dire_straits/"} +{"d:Title": "Dire Straits News: Topix", "d:Description": "News about Dire Straits continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits", "url": "http://www.topix.com/who/dire-straits"} +{"d:Title": "On Every Bootleg", "d:Description": "Live recordings of Dire Straits, Mark Knopfler and The Notting Hillbillies.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits", "url": "http://www.oneverybootleg.nl/"} +{"d:Title": "RollingStone.com: Dire Straits", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits", "url": "http://www.rollingstone.com/music/artists/dire-straits"} +{"d:Title": "MTV.com: Dire Straits", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits", "url": "http://www.mtv.com/artists/dire-straits/"} +{"d:Title": "Neck and Neck", "d:Description": "News, newspaper articles, pictures, discographies.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Knopfler,_Mark", "url": "http://www.neck-and-neck.com/"} +{"d:Title": "Mark Knopfler News (Official)", "d:Description": "Tour dates, releases, reviews, interviews, picture gallery, associated artists and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Knopfler,_Mark", "url": "http://www.mark-knopfler-news.co.uk/"} +{"d:Title": "Knopfler, Mark", "d:Description": "Wikipedia article, with a biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Knopfler,_Mark", "url": "http://en.wikipedia.org/wiki/Mark_Knopfler"} +{"d:Title": "Metacritic: Sailing to Philadelphia", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Knopfler,_Mark", "url": "http://www.metacritic.com/music/sailing-to-philadelphia/mark-knopfler"} +{"d:Title": "Dire Straits and Mark Knopfler Tab page", "d:Description": "Collection of tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Tablatures", "url": "http://gokayers.tripod.com/tabs/mark.htm"} +{"d:Title": "RockMagic.net: Dire Staits", "d:Description": "Guitar tablatures and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Tablatures", "url": "http://www.xguitar.com/guitar-tabs/dire_straits/"} +{"d:Title": "Guitar Page", "d:Description": "Ingo Raven's page featuring guitars, amps, strings and effect used by the band. Interviews, \"DS pic of the month\", mp3 and licks.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Tablatures", "url": "http://ds.mk-guitar.com/"} +{"d:Title": "Money for Nothing", "d:Description": "English tribute band; includes member profiles, sound files, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Tribute_Bands", "url": "http://www.money-for-nothing.co.uk/"} +{"d:Title": "Dire Fakes", "d:Description": "British tribute band; news, gigs and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dire_Straits/Tribute_Bands", "url": "http://www.direfakes.com/"} +{"d:Title": "Dirty Vegas Unofficial Fansite", "d:Description": "News, appearances, lyrics, pictures, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dirty_Vegas", "url": "http://dirtyvegas.tripod.com/"} +{"d:Title": "The Dirty Vegas Files", "d:Description": "Contains pictures, lyrics, and links to related sites about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dirty_Vegas", "url": "http://www.angelfire.com/music4/dirtyvegas/"} +{"d:Title": "MTV: Dirty Vegas", "d:Description": "Contains news articles, interviews, video clip, message board, and discography information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dirty_Vegas", "url": "http://www.mtv.com/artists/dirty-vegas/"} +{"d:Title": "Dishwalla", "d:Description": "An interview with guitarist Rodney Browning from popentertainment.com.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dishwalla", "url": "http://www.popentertainment.com/dishwalla.htm"} +{"d:Title": "MTV.com: Dishwalla", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dishwalla", "url": "http://www.mtv.com/artists/dishwalla/"} +{"d:Title": "Dismemberment Plan", "d:Description": "The band's official site. Includes news, show dates, discography, song clips, pictures, and a press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dismemberment_Plan,_The", "url": "http://www.dismembermentplan.com/"} +{"d:Title": "ArtistDirect: The Disposable Heroes of Hiphoprisy", "d:Description": "Biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Disposable_Heroes_of_HipHoprisy", "url": "http://www.artistdirect.com/artist/disposable-heroes-of-hiphoprisy/423750"} +{"d:Title": "The Distillers Online", "d:Description": "Site offers biographies, concert photos, reviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Distillers,_The", "url": "http://www.angelfire.com/punk/distillers/"} +{"d:Title": "The Distillers News: Topix", "d:Description": "News about The Distillers continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Distillers,_The", "url": "http://www.topix.com/who/the-distillers"} +{"d:Title": "Disturbed", "d:Description": "Official site containing MP3s, lyrics, images, biographies, upcoming shows, message board, tour journal, chat room and a mailing list.. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Disturbed", "url": "http://www.disturbed1.com/"} +{"d:Title": "UnRated Magazine: Disturbed", "d:Description": "Review and photos of the band's appearance at the Vic Theater in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Disturbed", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=39"} +{"d:Title": "Disturbed Voices", "d:Description": "Fan site with discography, downloads, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Disturbed", "url": "http://www.angelfire.com/goth/disturbedvoices/front.html"} +{"d:Title": "Black Sessions", "d:Description": "Laurent Orseau's black-and-white photography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Divine_Comedy,_The", "url": "http://lorseau.hinah.com/"} +{"d:Title": "The Liberator", "d:Description": "News, gallery, lyrics and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Divine_Comedy,_The", "url": "http://www.thedivinecomedy.com/"} +{"d:Title": "Roddy's Irish Music Show: The Divine Comedy", "d:Description": "Interview with Neil Hannon.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Divine_Comedy,_The", "url": "http://www.almostfabulous.com/rc/interviews/divinecomedy.php"} +{"d:Title": "The Divine Neil Hannon", "d:Description": "By Fiona Carter.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Divine_Comedy,_The", "url": "http://members.tripod.com/~alyssum/int.html"} +{"d:Title": "Atomicduster: Divine Comedy", "d:Description": "An interview with Bryan Mills. Includes photo.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Divine_Comedy,_The", "url": "http://www.atomicduster.com/interviews/divinecomedy/"} +{"d:Title": "Fin de Siecle", "d:Description": "Review of album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Divine_Comedy,_The", "url": "http://members.tripod.com/thepages/findesiecle.html"} +{"d:Title": "TDC Music", "d:Description": "A resource centre including chords, lyrics, scores and other insights into the music of this band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Divine_Comedy,_The", "url": "http://www.thedivinecomedy.talktalk.net/"} +{"d:Title": "DJ BoBo", "d:Description": "Unofficial U.S. web site. Includes biography, discography, news and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_BoBo", "url": "http://www.eurodancehits.com/djbobo.html"} +{"d:Title": "Wikipedia: DJ BoBo", "d:Description": "Article covers the life and career of the Swiss singer, songwriter, dancer and music producer.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_BoBo", "url": "http://en.wikipedia.org/wiki/DJ_BoBo"} +{"d:Title": "DJ Logic Online", "d:Description": "Official site includes news, audio/video files, tour dates, desktop wallpaper, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Logic", "url": "http://www.djlogic.com/"} +{"d:Title": "Jazz Weekly", "d:Description": "Interview with DJ Logic about his music, his life, and his work with MMW.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Logic", "url": "http://www.jazzweekly.com/interviews/logic.htm"} +{"d:Title": "The Boston Phoenix", "d:Description": "Concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Logic", "url": "http://www.bostonphoenix.com/archive/music/00/02/03/REX/DJ_LOGIC.html"} +{"d:Title": "DJ Logic's New Projects", "d:Description": "Interview from JamBands.com.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Logic", "url": "http://www.jambands.com/features/1999/10/14/dj-logic-s-new-projects"} +{"d:Title": "DJ Shadow (Official)", "d:Description": "Official site with tour information, biography, discography, images, audio/video, news, merchandise, and mailing list. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Shadow", "url": "http://www.djshadow.com/"} +{"d:Title": "DJ Shadow", "d:Description": "Fan site offers music samples, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Shadow", "url": "http://www.angelfire.com/hi2/djshadow/"} +{"d:Title": "DJ Shadow aka Josh Davis", "d:Description": "Fan site includes news, audio clips, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Shadow", "url": "http://dj-shadow.8m.com/"} +{"d:Title": "DJ Shadow Interview", "d:Description": "Reprinted from 'Techno Online'.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Shadow", "url": "http://www.cmd.uu.se/AcidJazz/FAQ/Techno/"} +{"d:Title": "Salon: The Shadow Sheds Light", "d:Description": "Adam Heimlich's interview with Josh Davis about the evolution of hip-hop and his work with UNKLE. [Sept. 23, 1998]", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Shadow", "url": "http://www.salon.com/1998/09/23/23int_html/"} +{"d:Title": "PROP$ Magazine - DJ Yella Interview", "d:Description": "DJ Yella talks about the rise and fall of NWA. He gives the behind the scenes scoop.", "topic": "Top/Arts/Music/Bands_and_Artists/D/DJ_Yella", "url": "http://www.daveyd.com/yella.html"} +{"d:Title": "Melanie Doane", "d:Description": "Official home page for the Nova Scotia pop artist, with news, biography, audio clips, album and contact information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doane,_Melanie", "url": "http://www.melaniedoane.com/"} +{"d:Title": "Melanie Doane", "d:Description": "Archive of articles at Canoe.ca.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doane,_Melanie", "url": "http://jam.canoe.com/Music/Artists/D/Doane_Melanie/"} +{"d:Title": "Metacritic: Dogstar - Happy Ending", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dogstar", "url": "http://www.metacritic.com/music/happy-ending/dogstar"} +{"d:Title": "ArtistDirect: Julie Doiron", "d:Description": "Includes a biography, discussion forum and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doiron,_Julie", "url": "http://www.artistdirect.com/artist/julie-doiron/424211"} +{"d:Title": "Subpop: Julie Doiron", "d:Description": "Official label site with a biography, photos and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doiron,_Julie", "url": "http://www.subpop.com/artists/julie_doiron"} +{"d:Title": "Bad Subjects: Julie Doiron and the Wooden Stars", "d:Description": "Review of the self-titled album, by Aaron Shuman.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doiron,_Julie/Reviews", "url": "http://bad.eserver.org/reviews/1999/1999-11-15-7.02PM.html"} +{"d:Title": "Pitchfork: Julie Doiron and the Wooden Stars", "d:Description": "Review of the self-titled album, by Nick Mirov.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doiron,_Julie/Reviews", "url": "http://pitchfork.com/reviews/albums/2408-julie-doiron-and-the-wooden-stars/"} +{"d:Title": "Luna Kaf\u00e9: Julie Doiron", "d:Description": "Review of \"Will You Still Love Me?\", by Shawn Despres.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doiron,_Julie/Reviews", "url": "http://www.lunakafe.com/moon33/ca33.php"} +{"d:Title": "Jeff Pilson", "d:Description": "Bass player's official site. Biography, discography, photo album, forum, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dokken", "url": "http://www.jeffpilson.com/"} +{"d:Title": "UnRated Magazine: Dokken", "d:Description": "Live concert review from Allstate Arena in Chicago, Illinois on February 28, 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dokken", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=71"} +{"d:Title": "Entertainment Ave: Dokken", "d:Description": "Review of a concert at The House of Blues in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dokken", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/dokken/do122996.htm"} +{"d:Title": "Dokken Central", "d:Description": "News and information about Dokken and George Lynch. Includes the \"Breaking The Chains\" newsletter, photos, sounds, and everything Dokken.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dokken", "url": "http://www.dokkencentral.com/"} +{"d:Title": "MTV.com: Dokken", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dokken", "url": "http://www.mtv.com/artists/dokken/"} +{"d:Title": "The Unofficial Dokken Website", "d:Description": "Includes information about one of the largest collections of Dokken memorabilia around, along with rare and live photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dokken", "url": "http://dokken.pagesperso-orange.fr/"} +{"d:Title": "Official Joe Dolan Website", "d:Description": "Contains his story, discography, gallery, tour dates, and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dolan,_Joe", "url": "http://www.joedolan.com/"} +{"d:Title": "Tribute to the Dolly Dots", "d:Description": "Fan site for the Dutch girl group with information, profiles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dolly_Dots", "url": "http://members.tripod.com/karin979/"} +{"d:Title": "Dolly Varden", "d:Description": "Official website, with biography, discography, photos, tour dates, reviews, lyrics and mp3 clips.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dolly_Varden", "url": "http://www.dollyvarden.com/"} +{"d:Title": "All Music Guide: Dolly Varden", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dolly_Varden", "url": "http://www.allmusic.com/artist/dolly-varden-p293823"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Domino,_Fats", "url": "http://rockhall.com/inductees/fats-domino/"} +{"d:Title": "The Fats Domino Pages", "d:Description": "Fan page features discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Domino,_Fats", "url": "http://fatsonline.nl/"} +{"d:Title": "Howard Donald World Mailing List", "d:Description": "Mailing list about the star.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donald,_Howard", "url": "http://launch.groups.yahoo.com/group/HowardDonaldWorld/"} +{"d:Title": "'Skiffle king' Donegan Dies", "d:Description": "Report from BBC News about the death of Lonnie Donegan. Includes a brief biography, and tributes from other musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donegan,_Lonnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/2400229.stm"} +{"d:Title": "Lonnie Donegan and His Skiffle Group", "d:Description": "Brief biography from Tom Simon.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donegan,_Lonnie", "url": "http://www.tsimon.com/donegan.htm"} +{"d:Title": "Lonnie Donegan", "d:Description": "Biography, and 1950s and 1960s 45rpm UK discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donegan,_Lonnie", "url": "http://www.45-rpm.org.uk/dirl/lonnied.htm"} +{"d:Title": "Storyboard to Tanya's career", "d:Description": "A series of pages detailing her history, nicely written, with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donelly,_Tanya", "url": "http://www.woodland.org/jfortier/"} +{"d:Title": "Slumberland", "d:Description": "The official (non-record company) Tanya Donelly web site. Photos, tour history, Tanya's personal weblog, discography, lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donelly,_Tanya", "url": "http://www.tanyadonelly.com/"} +{"d:Title": "Tanya Donelly.co.uk", "d:Description": "News, tour dates, photographs, free webmail.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donelly,_Tanya", "url": "http://www.kenickie.com/tanya/"} +{"d:Title": "Stew's Tanya Donelly Site", "d:Description": "Photos, posters, interviews, reviews, tour information, and the 'Tanya Trading Post'.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donelly,_Tanya", "url": "http://www.grogmeister.f2s.com/"} +{"d:Title": "4AD: Tanya Donelly", "d:Description": "News, biography, and discography from her record label.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donelly,_Tanya", "url": "http://www.4ad.com/artists/tanyadonelly/"} +{"d:Title": "Tanya Donelly - Website for Underdogs", "d:Description": "Offering news, discography, press coverage, photos, tour news, and a timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donelly,_Tanya", "url": "http://bellytanya.is-great.org/"} +{"d:Title": "The Donnas: Infested", "d:Description": "Biographies and tour dates supplied by their label, Lookout.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://members.tripod.com/~lookout_records/"} +{"d:Title": "Rock and Roll Riot", "d:Description": "Photos, information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.rockandrollriot.com/donnas.html"} +{"d:Title": "The Donnas - Rock 'n' Roll Machines", "d:Description": "This fan site includes lots of articles and reviews on the band, a complete discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.idmonsters.com/donnas/"} +{"d:Title": "Donnaholics", "d:Description": "A Yahoo! group.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://groups.yahoo.com/group/donnaholics/"} +{"d:Title": "The Donnas The Fansite", "d:Description": "Features a picture gallery, news, biography and member profiles, song lyrics, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.the-donnas.com/"} +{"d:Title": "It's Not Easy Being Nice", "d:Description": "Article by Michelle Goldberg.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.metroactive.com/papers/metro/06.24.99/cover/donnas-9925.html"} +{"d:Title": "Rosy-Fingered Donnas", "d:Description": "Article by Gina Arnold", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.metroactive.com/papers/sfmetro/01.98/music-98-1.html"} +{"d:Title": "All Music Guide: The Donnas", "d:Description": "Includes biography, discography, reviews, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.allmusic.com/artist/the-donnas-p279006"} +{"d:Title": "Shark Sandwich: The Donnas Interview", "d:Description": "The Donnas discuss topics such as their beginnings, Kurt Cobain, touring, and future plans.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.idmonsters.com/donnas/press/sharkinterview.htm"} +{"d:Title": "MTV.com: The Donnas", "d:Description": "Includes news, audio and video, photos, biography, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The", "url": "http://www.mtv.com/artists/the-donnas/"} +{"d:Title": "Salon: The Donnas - American Teenage Rock-N-Roll Machine", "d:Description": "Natasha Stovall's review: \"capture the sparks that fly off the spinning wheels of teendom like nobody's business.\" (Feb. 10, 1998)", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The/Reviews/American_Teenage_Rock-N-Roll_Machine", "url": "http://www.salon.com/1998/02/10/sharps_96/"} +{"d:Title": "Consumable Online: The Donnas - Get Skintight", "d:Description": "Jason Cahill's review: \"an onslaught of old school punk with major nods to both Kiss and the Ramones.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The/Reviews/Get_Skintight", "url": "http://www.westnet.com/consumable/1999/07.29/revdonna.html"} +{"d:Title": "Anne Carlini - Exclusive Magazine: The Donnas - Spend The Night", "d:Description": "Review: \"Every track rocks hard with great choruses and smokin' guitar solos\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The/Reviews/Spend_The_Night", "url": "http://www.annecarlini.com/ex_cd.php?id=22"} +{"d:Title": "Forbis The Mighty: The Donnas - Turn 21", "d:Description": "Wil Forbis' review: \"Everyone from 45 year old metal heads holding out for a hard rock resurgence and 13 year punkettes primping their Mohawks amidst their first ovulations would do well to pick it up.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The/Reviews/Turn_21", "url": "http://www.forbisthemighty.com/pages/the_donnas.htm"} +{"d:Title": "Pitchfork: The Donnas - Turn 21", "d:Description": "John Dark's review: \"Every song drips with bawdy attempts at sexually shocking the listener.\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The/Reviews/Turn_21", "url": "http://pitchfork.com/reviews/albums/2419-turn-21/"} +{"d:Title": "Metacritic: The Donnas Turn 21", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donnas,_The/Reviews/Turn_21", "url": "http://www.metacritic.com/music/the-donnas-turn-21/the-donnas"} +{"d:Title": "They Call Him Mellow Yellow", "d:Description": "That said Donovan's career is substantial and enduring, with some 23 albums under his belt.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donovan", "url": "http://www.isbuc.co.uk/People/Donova.htm"} +{"d:Title": "Donovan", "d:Description": "Biography of the folk singer.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donovan", "url": "http://www.classicbands.com/donovan.html"} +{"d:Title": "Overview of Donovan", "d:Description": "Folk rock singer and song-writer, uncomfortably badged as Britain's answer to Bob Dylan.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donovan", "url": "http://www.scottish-places.info/people/famousfirst1086.html"} +{"d:Title": "All Music Guide: Donovan", "d:Description": "Comprehensive biography and facts about singer Donovan.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donovan", "url": "http://www.allmusic.com/artist/donovan-mn0000799053"} +{"d:Title": "The Graham Weekly Album Review", "d:Description": "A review of Donovan's album called Sutras.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Donovan", "url": "http://georgegraham.net/donovan.html"} +{"d:Title": "Pitchfork: Don Caballero - American Don", "d:Description": "Matt LeMay's review: \"does little to change Don Caballero's status as the greatest band in the world for intense, convulsive head-nodding and the like.\" Rated 7.5.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Don_Caballero/Reviews/American_Don", "url": "http://pitchfork.com/reviews/albums/2414-american-don/"} +{"d:Title": "DoobFan.com", "d:Description": "Fan site: history of the band, lyrics, fan club, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doobie_Brothers,_The", "url": "http://www.doobfan.com/"} +{"d:Title": "The Official Doobie Brothers Home Page", "d:Description": "Profile, news, discography, merchandise, and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doobie_Brothers,_The", "url": "http://www.doobiebros.com/"} +{"d:Title": "The Doors", "d:Description": "Official site. Bright Midnight Records, biographies of Jim Morrison, Ray Manzarek, Robby Krieger, and John Densmore, discography, galleries, multimedia, and forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.thedoors.com/"} +{"d:Title": "The Doors Collectors Magazine", "d:Description": "Memorabilia, newsletter, magazine, articles, auctions, audio, video, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.doors.com/"} +{"d:Title": "Doors Quarterly Online Magazine", "d:Description": "Unofficial fan club. City guides, interviews, magazine, forum, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.angelfire.com/de/doors4ly/"} +{"d:Title": "Michael McClure and Ray Manzarek", "d:Description": "Official site. Solo and collaboration projects, publications, performances, readings, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://mcclure-manzarek.com/"} +{"d:Title": "Matt's Doors Page", "d:Description": "Photos, lyrics, bass and guitar tablature, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.mattsmusicpage.com/ndoors.htm"} +{"d:Title": "The Phantom Collector", "d:Description": "News, memorabilia, music, magazines, videos, and books.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.doorsinfo.com/"} +{"d:Title": "The Doors Online", "d:Description": "Biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.thedoors.8k.com/"} +{"d:Title": "The Doors Robby Krieger", "d:Description": "Current news on Riders on the Storm, and solo projects. Discography, tour schedule, gallery, multimedia, and forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.robbykrieger.com/"} +{"d:Title": "Mike's Doors Page", "d:Description": "Gallery, articles, excerpts from the Miami trial.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://brandicootjr.tripod.com/home.htm"} +{"d:Title": "The Doors", "d:Description": "Photographs, biographies, and bootleg list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.thedoorsmusic.net/"} +{"d:Title": "Rock and Roll Hall of Fame - The Doors", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://rockhall.com/inductees/the-doors/"} +{"d:Title": "Rarebird's Rock and Roll Rarity Reviews: The Doors", "d:Description": "Reviews of rare and out-of-print albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://rarebird9.net/doors.html"} +{"d:Title": "The Doors Interactive Chronological History", "d:Description": "Timeline of the band's career.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The", "url": "http://www.doorshistory.com/"} +{"d:Title": "Rainer Moddemann, Editor of The Doors Quarterly Magazine", "d:Description": "Article.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Fan_Pages", "url": "http://www.angelfire.com/rock/jamesdouglasmorrison/index.html"} +{"d:Title": "The Tribal Soul Kitchen", "d:Description": "E-zine and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Fan_Pages", "url": "http://www.tribalsoulkitchen.com/"} +{"d:Title": "Visions of the Electric Poet", "d:Description": "Original artwork and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Fan_Pages", "url": "http://www.doors-of-perception.com/"} +{"d:Title": "First Flash of Eden", "d:Description": "Italian fan club site features discography, promos, cover bands, sale and wish lists, chat and forum. [English and Italian]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Fan_Pages", "url": "http://www.doorscollectors.com/"} +{"d:Title": "The Doors - Lyrics", "d:Description": "Album songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Lyrics_and_Tablatures", "url": "http://www.davemcnally.com/Lyrics/TheDoors/"} +{"d:Title": "Rockmagic.net Lyrics - The Doors", "d:Description": "Album songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Lyrics_and_Tablatures", "url": "http://lyrics.rockmagic.net/lyrics/doors/"} +{"d:Title": "Rockmagic.net Tablatures - The Doors", "d:Description": "Guitar, bass, and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Lyrics_and_Tablatures", "url": "http://www.rockmagic.net/guitar-tabs/the_doors/"} +{"d:Title": "Chordie - The Doors", "d:Description": "Collection of guitar chords.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Lyrics_and_Tablatures", "url": "http://chordie.com/song.php/songartist/Doors/index.html"} +{"d:Title": "The Ancient Gallery", "d:Description": "Lyrics, gallery, and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Lyrics_and_Tablatures", "url": "http://thedoors1.tripod.com/"} +{"d:Title": "The Freedom Man", "d:Description": "Live audio.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Trading", "url": "http://thefreedomman.com/"} +{"d:Title": "Soft Parade, The", "d:Description": "Based in the New Jersey, news, biography, photos, merchandise, live audio, reviews, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Tribute_Bands", "url": "http://www.thesoftparade.com/"} +{"d:Title": "Peace Frog", "d:Description": "Based in California, calendar, video, photos, news, and set list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Tribute_Bands", "url": "http://www.peacefrogband.com/"} +{"d:Title": "Back Doors, The", "d:Description": "Based in Ireland, biography, set list, photos, and live audio.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Tribute_Bands", "url": "http://homepage.eircom.net/~thebackdoors/"} +{"d:Title": "Mojo Risin", "d:Description": "Doors tribute band based in Cleveland, Ohio. Includes tour dates, photographs, song list, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Tribute_Bands", "url": "http://www.doorstribute.com/"} +{"d:Title": "Unknown Soldiers, The", "d:Description": "Based in Vancouver Canada; show dates, photos, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doors,_The/Tribute_Bands", "url": "http://theunknownsoldiers.info/"} +{"d:Title": "Mommy's Little Felons: Dope", "d:Description": "Fan site with pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dope", "url": "http://www.angelfire.com/va2/pirategrrlliz/dope.html"} +{"d:Title": "BleedingMetal.com - Dope", "d:Description": "Contains lyrics, pictures, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dope", "url": "http://www.bleedingmetal.com/dope/"} +{"d:Title": "Pig Society", "d:Description": "Dope fan page offers tabs, tour dates, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dope", "url": "http://www.angelfire.com/rock/pigsociety/"} +{"d:Title": "Official Dope Website", "d:Description": "Official website for the band. Includes biographies, tour dates, music, video, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dope", "url": "http://www.dopearmy.com/"} +{"d:Title": "Centrohd.com: Biography", "d:Description": "Summation of her work, her hit, and what she is doing now.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dore,_Charlie", "url": "http://www.centrohd.com/biogra/d1/charlie_dore_this_country.htm"} +{"d:Title": "Charlie Dore", "d:Description": "Official site - Charlie Dore has looked at the songwriter's art from both sides now.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dore,_Charlie", "url": "http://www.charliedore.com/"} +{"d:Title": "Wikipedia: Charlie Dore", "d:Description": "User editable encyclopedia article about the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dore,_Charlie", "url": "http://en.wikipedia.org/wiki/Charlie_Dore"} +{"d:Title": "Yahoo! Groups: Pollyanna's Fan Club Argentina", "d:Description": "To post messages, see pictures and chat with members and administrators of the FC.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dorough,_Pollyanna", "url": "http://ar.groups.yahoo.com/group/pollyannadargentinianfanclub/"} +{"d:Title": "Pollyanna Online", "d:Description": "Fansite including news, biography, pictures, song clips, articles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dorough,_Pollyanna", "url": "http://members.tripod.com/pollyanna_dorough/"} +{"d:Title": "Polly's Dolly", "d:Description": "Fan site offering pictures, bio, information on Oscar and links", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dorough,_Pollyanna", "url": "http://howiesgel.tripod.com/pollysdolly/index.html"} +{"d:Title": "Pollyanna's Place", "d:Description": "Fan site offering lyrics, pictures, news and links", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dorough,_Pollyanna", "url": "http://members.tripod.com/claramonteiro/Pollyanna_Place/index.htm"} +{"d:Title": "Pollyanna.net", "d:Description": "Official site with news, lyrics, reviews and galleries of pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dorough,_Pollyanna", "url": "http://www.pollyanna.net/"} +{"d:Title": "Rambles: Michael Doucet", "d:Description": "Review of the artist's \"Le Hoogie Boogie: Louisiana French Music for Children\" release, by Donna Scanlon.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doucet,_Michael", "url": "http://rambles.net/doucet_hoogie.html"} +{"d:Title": "All Music Guide: Michael Doucet", "d:Description": "Provides a brief biography, discography, photo, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doucet,_Michael", "url": "http://www.allmusic.com/artist/michael-doucet-p726"} +{"d:Title": "Wikipedia: Mike Doughty", "d:Description": "Encyclopedia entry including biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doughty,_Mike", "url": "http://en.wikipedia.org/wiki/Mike_Doughty"} +{"d:Title": "Mike Doughty", "d:Description": "Official site provides news, biography, tour dates, lyrics, sample songs, store, mailing list, photo album, weblog, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doughty,_Mike", "url": "http://www.mikedoughty.com/"} +{"d:Title": "ATO Records: Mike Doughty", "d:Description": "Includes biography, photos, music samples, music videos, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doughty,_Mike", "url": "http://www.atorecords.com/?title=artists&aid=13&what=bio"} +{"d:Title": "allmusic: Mike Doughty", "d:Description": "Contains biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Doughty,_Mike", "url": "http://www.allmusic.com/artist/p490194"} +{"d:Title": "All Music Guide: Dovetail Joint", "d:Description": "Discography and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dovetail_Joint", "url": "http://www.allmusic.com/artist/dovetail-joint-p321009"} +{"d:Title": "Brian Downey and Blues Up Front", "d:Description": "Interview with Downey about his new band and his time with Thin Lizzy.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Downey,_Brian", "url": "http://www.almostfabulous.com/rc/interviews/briandowney.php"} +{"d:Title": "All Music Guide: Brian Downey", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Downey,_Brian", "url": "http://www.allmusic.com/artist/p72034"} +{"d:Title": "Coke Machine Glow", "d:Description": "Official site of musician/poet Gord Downie. Includes audio samples, song/studio notes and articles.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Downie,_Gordon", "url": "http://www.wienerart.net/"} +{"d:Title": "Globebooks.com: Gordon Downie", "d:Description": "\"Ample and grateful\", interview with Dominic Patten.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Downie,_Gordon/Articles_and_Interviews", "url": "http://www.theglobeandmail.com/arts/books/?tf=book/news/AP/fullBookStory&cf=book/news/AP/config-neutral&slug=BKDOWN&date=20010317"} +{"d:Title": "Canoe.ca", "d:Description": "\"Downie steps into solo limelight\", live review by Jeff Peters.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Downie,_Gordon/Reviews", "url": "http://jam.canoe.com/Music/Artists/D/Downie_Gord/ConcertReviews/2004/09/30/650093.html"} +{"d:Title": "All Music Guide: Downy Mildew", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Downy_Mildew", "url": "http://www.allmusic.com/artist/downy-mildew-mn0000805644"} +{"d:Title": "Down to the Bone", "d:Description": "Official site of the funk/soul/jazz band. Site includes news, band history, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Down_to_the_Bone", "url": "http://www.downtothebone.com/"} +{"d:Title": "GRP Records: Down To The Bone", "d:Description": "Information on the band with comments from band member Stuart Wade.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Down_to_the_Bone", "url": "http://www.vervemusicgroup.com/downtobone"} +{"d:Title": "Dreday", "d:Description": "Lyrics, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://homepage.internet.lu/benphilippe/drdre/index.htm"} +{"d:Title": "Dr.Dre 2001", "d:Description": "Pictures, biography, audio and video downloads, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://www.angelfire.com/ky2/drdre609/"} +{"d:Title": "Dr. Dre Lyrics", "d:Description": "Lyrics to selected Dr. Dre songs, solo and with NWA.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://wwnet.fi/users/llcoolj/lyrics/drdre.htm"} +{"d:Title": "ArtistDirect: Dr. Dre", "d:Description": "Includes photographs, audio clips, biography, album information, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://www.artistdirect.com/artist/dr-dre/424045"} +{"d:Title": "DonMega: Dr. Dre", "d:Description": "Photo gallery, movies, biographies, and information on the second Chronic album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://www.donmega.com/dr-dre.html"} +{"d:Title": "RollingStone.com: Dr. Dre", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://www.rollingstone.com/music/artists/dr-dre"} +{"d:Title": "All Music Guide: Dr. Dre", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://www.allmusic.com/artist/p26119"} +{"d:Title": "MTV: Dr. Dre", "d:Description": "Features music video clips, album reviews, exclusive online interviews and live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dr._Dre", "url": "http://www.mtv.com/artists/dr-dre/"} +{"d:Title": "Crucify Me: Drain STH", "d:Description": "A fan's collection of pictures from Riverfest and other live shows.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://www.angelfire.com/de/crucifyme/DrainSTH.html"} +{"d:Title": "Martina Axen, Drum Goddess", "d:Description": "Fan page for the Drain STH drummer. With pictures, reviews, links, and a poll.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://www.angelfire.com/biz5/axen/"} +{"d:Title": "Drain S.T.H. Discussion List", "d:Description": "An e-mail discussion list for Drain STH fans. Hosted by Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://groups.yahoo.com/group/drainrulz/"} +{"d:Title": "Jason's Drain STH Page", "d:Description": "Drain STH pictures, sounds, interviews, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://members.tripod.com/~RoushJ/Drain.html"} +{"d:Title": "Martina Axen's Disciples", "d:Description": "A Yahoo based fan club for Drain STH and Superfix's Martina Axen.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://groups.yahoo.com/group/martinaaxensdisciples/"} +{"d:Title": "Ice Witches: Drain STH", "d:Description": "Fanpage with discography, WAV samples, pictures, tablatures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://rzr.online.fr/drain.htm"} +{"d:Title": "Drain", "d:Description": "Fan site with member profiles, band history, biographies, discography, lyrics, audio clips, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://mbcerbere.free.fr/menua.htm"} +{"d:Title": "Drain S.T.H. WebRing", "d:Description": "A web ring that connects sites and fan pages.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drain_STH", "url": "http://www.webring.org/hub?ring=drainring"} +{"d:Title": "La Luna Rosa", "d:Description": "Includes biography, discography, lyrics, and photos. [English and Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drake,_Nick", "url": "http://www.lalunarosa.com/"} +{"d:Title": "Nick Drake Nature's Son", "d:Description": "Fan site with news, discography, sounds, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drake,_Nick", "url": "http://whichwill.tripod.com/"} +{"d:Title": "Nick Drake", "d:Description": "Featuring album guide, message boards, biography and an online book exploring the music and guitar techniques of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drake,_Nick", "url": "http://www.nickdrake.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Nick Drake", "d:Description": "Reviews and analysis of five albums.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drake,_Nick", "url": "http://sfloman.com/nickdrake.html"} +{"d:Title": "Yahoo Music: Nick Drake", "d:Description": "Interviews and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drake,_Nick", "url": "http://new.music.yahoo.com/nick-drake/"} +{"d:Title": "Pink Moon Rising: A dead English folk singer, a dreamy VW commercial, and a phenomenon", "d:Description": "Article from the San Francisco Gate. (Oct. 13, 2000)", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drake,_Nick", "url": "http://www.sfgate.com/entertainment/morford/article/Pink-Moon-Rising-A-dead-English-folk-singer-a-2734177.php"} +{"d:Title": "Satan Stole My Teddybear: Dramarama", "d:Description": "Discography and reviews of Cinema Verite, Box Office Bomb, Stuck in Wonderama Land, Vinyl, and Hi-Fi Sci-Fi.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dramarama", "url": "http://www.ssmt-reviews.com/artist/drama.html"} +{"d:Title": "Dread Zeppelin", "d:Description": "Imagine Led Zeppelin tunes with a Reggae beat and an Elvis impersonator on vocals. Pictures, tour dates, band member biographies, merchandise, and ShockWave sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dread_Zeppelin", "url": "http://www.dreadzeppelin.com/"} +{"d:Title": "DreamTeam", "d:Description": "RealAudio and information about the street team promoting the band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream", "url": "http://www.angelfire.com/pop/dreamteam/"} +{"d:Title": "DaveMcNally.com: Dream", "d:Description": "Song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream", "url": "http://www.davemcnally.com/Lyrics/Dream/"} +{"d:Title": "Perfect Dream", "d:Description": "Unofficial UK site. Includes news, photo gallery, lyrics, tour dates, links, chatroom and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream", "url": "http://www.perfect-dream.20m.com/"} +{"d:Title": "Dream Net", "d:Description": "A fansite. Includes news, lyrics, pictures, biography, articles, interviews, tour dates, audio and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream", "url": "http://www.angelfire.com/my/dreamnet/"} +{"d:Title": "I Don't Like Anyone But Diana", "d:Description": "Includes pictures, biography, and buddy icons.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream", "url": "http://dreamerz5.tripod.com/"} +{"d:Title": "All Music Guide: Dream", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream", "url": "http://www.allmusic.com/artist/dream-p441701"} +{"d:Title": "AskMen.com: Dream", "d:Description": "Pictures, profile, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream", "url": "http://www.askmen.com/celebs/women/singer_60/79_dream.html"} +{"d:Title": "Dream Street Miami Crew", "d:Description": "News, tour dates, lyrics, biography, photos, reviews, fan fiction, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream_Street", "url": "http://www.angelfire.com/ny5/dsmcrewfl/"} +{"d:Title": "Satisfaction", "d:Description": "News, fan fiction, biography, pictures, lyrics, discography, videos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream_Street", "url": "http://www.angelfire.com/boybands/thedreamstreetsite/enter.html"} +{"d:Title": "Gotta Get DS", "d:Description": "Pictures, lyrics, biography, quiz, wallpapers, sounds, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream_Street", "url": "http://www.angelfire.com/pop2/gottagetds/"} +{"d:Title": "Gettin Funky With Dream Street", "d:Description": "Pictures, lyrics, biography, wallpapers, fan encounters, contests, buddy icons, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream_Street", "url": "http://www.angelfire.com/music4/dreamstreet13/"} +{"d:Title": "Dream Street Sheep", "d:Description": "Fan fiction, news, encounters, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream_Street", "url": "http://www.angelfire.com/boybands/dssheep/index.html"} +{"d:Title": "Dream Street Kingdom", "d:Description": "News, biography, discography, lyrics, article, review, fan fiction, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dream_Street", "url": "http://www.angelfire.com/boybands/dreamstreetkingdom/"} +{"d:Title": "Kayasuma", "d:Description": "Articles, audio, biography, discography, interviews, lyrics, links, photos, polls, reviews, tablature, tour dates, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dredg", "url": "http://kayasuma.tripod.com/dredg/MAIN.HTM"} +{"d:Title": "Review: UnRated Magazine", "d:Description": "\"Performance Art You Can Rock To\"", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dredg", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=32"} +{"d:Title": "Dredg Official Site", "d:Description": "Official site offers news, videos, art gallery, poetry, tour info, links, merchandise, and sound clips", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dredg", "url": "http://www.dredg.com/"} +{"d:Title": "Seth's Dredg Page", "d:Description": "Movies, bio, discography, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dredg", "url": "http://www.angelfire.com/band/dredg/"} +{"d:Title": "Dredg Yahoo Club", "d:Description": "Join the Dredg Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dredg", "url": "http://groups.yahoo.com/group/dedicatedtodredg/"} +{"d:Title": "Lechium", "d:Description": "News, reviews, tour information, biography, tabs, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dredg", "url": "http://lechium07.tripod.com/dredg/"} +{"d:Title": "Dredgmusic.com", "d:Description": "News, Interviews, Discography, Tour dates...lots of information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dredg", "url": "http://angelfire.com/band2/dredgmusic/index.html/"} +{"d:Title": "The Dresden Dolls", "d:Description": "Official site provides a group calendar and diary, forums, photographs, media downloads, biographies, and fan pages.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dresden_Dolls,_The", "url": "http://www.dresdendolls.com/"} +{"d:Title": "TheShadowBox.net", "d:Description": "A community forum.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dresden_Dolls,_The", "url": "http://www.theshadowbox.net/ddbb/"} +{"d:Title": "Bill Pinkney's Original Drifters", "d:Description": "News and sound files, presented by the sole surviving member of The Drifters.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drifters,_The", "url": "http://www.originaldrifters.com/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Drifters,_The", "url": "http://www.rockhall.com/inductees/the-drifters/"} +{"d:Title": "Droge.com", "d:Description": "Official site with news, merchandise, MP3s, tour dates, snapshots and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Droge,_Pete", "url": "http://www.droge.com/"} +{"d:Title": "Rock Publication: Pete Droge", "d:Description": "Interview about the album \"Spacey and Shakin\" and film scoring.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Droge,_Pete", "url": "http://www.rockpublication.com/droge.htm"} +{"d:Title": "All Music Guide: Pete Droge", "d:Description": "Biography, discography, credits and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Droge,_Pete", "url": "http://www.allmusic.com/artist/pete-droge-mn0000261623"} +{"d:Title": "Dropkick Murphys", "d:Description": "Official site featuring a biography, audio clips, discography, lyrics, tablatures, trivia, pictures, merchandise, guestbook, F.A.Q. and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dropkick_Murphys", "url": "http://www.dropkickmurphys.com/"} +{"d:Title": "Oi! Oi! Oi!", "d:Description": "Fansite contains biography, discography, lyrics, tour dates, pictures, reviews, news, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dropkick_Murphys", "url": "http://www.angelfire.com/pa2/oioioi/"} +{"d:Title": "MTV.com - Dropkick Murphys", "d:Description": "Biography, discography, reviews, audio and video downloads and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dropkick_Murphys", "url": "http://www.mtv.com/artists/dropkick-murphys/"} +{"d:Title": "Luvin Dru 24 Hours A Day", "d:Description": "Biography, audio, pictures,news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dru_Hill", "url": "http://www.angelfire.com/mn2/luvindru/index.html"} +{"d:Title": "007's Dru Hill Site", "d:Description": "Creating the Dru World Order in the year 2000: member profiles and band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dru_Hill", "url": "http://www.angelfire.com/hiphop/druhillforever/"} +{"d:Title": "MTV: Dru Hill", "d:Description": "Features music video clips, album reviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dru_Hill", "url": "http://www.mtv.com/artists/dru-hill/"} +{"d:Title": "Yahoo! Groups: Deliciously Dubstarry Delights", "d:Description": "Mailing group to discuss the band with other fans.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dubstar", "url": "http://groups.yahoo.com/group/dubstarden/"} +{"d:Title": "ArtistDirect: Dubstar", "d:Description": "Contains biography, related links, merchandise and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dubstar", "url": "http://www.artistdirect.com/artist/dubstar/536965"} +{"d:Title": "Dub Narcotic Sound System", "d:Description": "Line up, links, and discography for albums and singles.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dub_Narcotic_Sound_System", "url": "http://www.grunnenrocks.nl/index.html?bands/d/dubnarcs.htm"} +{"d:Title": "Candy Dulfer", "d:Description": "Official homepage of the Dutch alto-saxophonist, with news, tourdates, message board, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dulfer,_Candy", "url": "http://www.candydulfer.nl/"} +{"d:Title": "Unofficial Candy Dulfer", "d:Description": "Dutch fan's site includes discography, interviews, articles, photos, screen captures, and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dulfer,_Candy", "url": "http://web.inter.nl.net/hcc/A.R.Rondeel/basiseng.htm"} +{"d:Title": "Cosmopolis: Candy Dulfer", "d:Description": "A biography and concert review of this saxophonist.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dulfer,_Candy", "url": "http://www.cosmopolis.ch/english/cosmo1/dulfer.htm"} +{"d:Title": "Dum Dums", "d:Description": "Official site containging news, images, lyrics, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dum_Dums", "url": "http://www.dumdums.com/"} +{"d:Title": "NetRangers: Dune", "d:Description": "Fan site with lyrics, links, and a gallery with tens of pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dune", "url": "http://www.pixcom.dk/dune/"} +{"d:Title": "Dance Artist Info: Dune", "d:Description": "Biography, history, and discography with pictures of the artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dune", "url": "http://www.danceartistinfo.com/dune.htm"} +{"d:Title": "Francis Dunnery", "d:Description": "Official site includes news, tour dates, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dunnery,_Francis", "url": "http://www.francisdunnery.com/"} +{"d:Title": "Yahoo Groups : FrancisDunnery", "d:Description": "E-mail list for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dunnery,_Francis", "url": "http://groups.yahoo.com/group/francisdunnery/"} +{"d:Title": "Buzz Pics", "d:Description": "Photographs from Dunnery's concert at Penn's Landing, Philadelphia.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dunnery,_Francis", "url": "http://www.buzzpics.com/weekend/dunnery/"} +{"d:Title": "All Music Guide: Francis Dunnery", "d:Description": "Biography, discography, album reviews, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dunnery,_Francis", "url": "http://www.allmusic.com/artist/francis-dunnery-mn0000191224"} +{"d:Title": "Musicfolio: Duran Duran", "d:Description": "Discography with album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran", "url": "http://www.musicfolio.com/modernrock/duranduran.html"} +{"d:Title": "The Duran Duran Timeline", "d:Description": "Compilation of data on the band, including history, concert and release dates, chart rankings and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran", "url": "http://duranduran.wikia.com/wiki/Timeline:Index"} +{"d:Title": "DuranDuran.com", "d:Description": "The band's official site. Discography, interactive fan forum, message board and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran", "url": "http://duranduran.warnereprise.com/"} +{"d:Title": "The Lava Room", "d:Description": "News, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://eletricb.tripod.com/"} +{"d:Title": "New Wave Syd's 1980s Time Machine", "d:Description": "Photos of John Taylor, Duran Duran, concerts, DuranCon98. Links to other Duran and JT related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://members.tripod.com/~NewWaveSyd/"} +{"d:Title": "Too Much Information", "d:Description": "John Taylor and Duran Duran Links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.angelfire.com/pa/toomuchinformation/index.html"} +{"d:Title": "The Duran Duran Directory", "d:Description": "Duran Duran Information, lyrics, concert dates/reviews, band member pages/tributes, history, links, Nick Rhodes tribute.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.angelfire.com/pop/durandurandirectory/"} +{"d:Title": "The Lovely Blue Planet of There", "d:Description": "Archive of Duran Duran fan created fiction, poetry, art and music.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://there.indyramp.com/"} +{"d:Title": "My Own Duran Duran Page", "d:Description": "Video and audio collection for swap, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.angelfire.com/pop2/duran2/index.htm"} +{"d:Title": "Silence Follows Rain", "d:Description": "Includes pictures, wallpaper and text about Duran Duran.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.angelfire.com/ma3/silencefollowsrain/"} +{"d:Title": "Matt's Duran Duran Page", "d:Description": "Has images, midi, videos, chat, lyrics, forum, wav, sound clips, bio and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.mattsmusicpage.com/nduran.htm"} +{"d:Title": "Therumrunner5's Duran Duran Site", "d:Description": "Original photographs taken by a professional photographer both during concerts and personal encounters with band members.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.therumrunner5.8m.com/"} +{"d:Title": "Kristen's Duran Duran Scrapbook", "d:Description": "News, pictures, and articles from her own scrapbook between 1999 and 2002.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.angelfire.com/music3/DuranDuran/"} +{"d:Title": "Princess Starr's Duran Homepage", "d:Description": "Dedicated to Duran Duran. Not browser friendly.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.angelfire.com/nj3/PrincessStarr/index.html"} +{"d:Title": "Private Collection", "d:Description": "Russian Duran Duran fan site. Includes news on band and ex- members, reviews, complete discography, bootlegography, and buy/sale/trade board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.duran2.net/"} +{"d:Title": "Duran Collection", "d:Description": "Fan site containing discography, lyrics, and photos from appearances in Spain.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.durancollection.com/"} +{"d:Title": "Duranduran.co.uk", "d:Description": "Features the band's history, interviews, video and tour programs, chat room, music downloads, photo gallery, rare magazines, biography, discography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://www.duranduran.co.uk/"} +{"d:Title": "The Dutch Go Duran", "d:Description": "A selection of articles from the magazine that was in print for 16 years, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Fan_Pages", "url": "http://jheller.home.xs4all.nl/tdgd/"} +{"d:Title": "Elemental Tribute to Simon LeBon", "d:Description": "Modest but tasteful tribute to Simon LeBon.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Le_Bon,_Simon", "url": "http://members.tripod.com/~Nerys/simon.html"} +{"d:Title": "Rhodeszilla", "d:Description": "Nick Rhodes photos, stories, and fun.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Rhodes,_Nick", "url": "http://rhodeszilla.tripod.com/"} +{"d:Title": "Wham!Duran", "d:Description": "England based tribute band, performing the music of both Wham! and Duran Duran.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Tribute_Bands", "url": "http://www.whamduran.com/"} +{"d:Title": "Planet Earth", "d:Description": "American Duran Duran tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Tribute_Bands", "url": "http://www.planetearth.iwarp.com/"} +{"d:Title": "Burning the Ground", "d:Description": "Canadian tribute to the music of Duran Duran.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Tribute_Bands", "url": "http://www.burningtheground.com/"} +{"d:Title": "Duran2", "d:Description": "UK tribute band provides audio and video recordings, news, photographs, and scheduled shows.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Duran_Duran/Tribute_Bands", "url": "http://durandurantributeband.co.uk/"} +{"d:Title": "(FAC 2.26) The Durutti Column", "d:Description": "Fan site includes FAQ, images, audio, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Durutti_Column,_The", "url": "http://users.rcn.com/rpsweb/durutti-column/"} +{"d:Title": "TrouserPress: Durutti Column", "d:Description": "Review of the band's recording career.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Durutti_Column,_The", "url": "http://www.trouserpress.com/entry.php?a=durutti_column"} +{"d:Title": "The Durutti Column", "d:Description": "Official site of Manchester's Factory Records artist. Includes news, history, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Durutti_Column,_The", "url": "http://www.thedurutticolumn.com/"} +{"d:Title": "All Music Guide: The Durutti Column", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Durutti_Column,_The", "url": "http://www.allmusic.com/artist/the-durutti-column-mn0000129308"} +{"d:Title": "Ian Dury and the Blockheads", "d:Description": "Official website of the late rock singer and actor Ian Dury.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dury,_Ian", "url": "http://www.iandury.co.uk/"} +{"d:Title": "Ian Dury and The Blockheads - Official Site", "d:Description": "Rare pictures, concert dates and news, email the band, and latest news on the continuing British institution that is The Blockheads.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dury,_Ian", "url": "http://www.theblockheads.com/"} +{"d:Title": "BBC News | Entertainment | Dury's reasons to be cheerful", "d:Description": "Veteran rock star Ian Dury, who is suffering from cancer, tells the BBC he has come to terms with death - because he knows the money he has made from his career will look after his family.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dury,_Ian", "url": "http://news.bbc.co.uk/1/hi/entertainment/455691.stm"} +{"d:Title": "BBC News | Entertainment | Ian Dury and the Blockheads", "d:Description": "It is a rare treat to hear a master craftsman at work. Intelligent, funny and frequently foul-mouthed, Ian Dury is now back with his old sparring partners for the first time in 17 years.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dury,_Ian", "url": "http://news.bbc.co.uk/1/hi/entertainment/120934.stm"} +{"d:Title": "BBC News | Entertainment | Return of Mr Love Pants", "d:Description": "BBC Radio 2 is devoting an evening to one of the most admired and influential characters in the UK music industry, Ian Dury.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dury,_Ian", "url": "http://news.bbc.co.uk/1/hi/entertainment/337837.stm"} +{"d:Title": "BBC News | Entertainment | Gold Badge honour for Dury", "d:Description": "Veteran rocker Ian Dury is among the stars to be honoured at the annual Gold Badge awards of the British Academy of Composers and Songwriters.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dury,_Ian", "url": "http://news.bbc.co.uk/1/hi/entertainment/473491.stm"} +{"d:Title": "Dust Brothers", "d:Description": "Discography and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dust_Brothers", "url": "http://www.dustbrothers.com/"} +{"d:Title": "BobDylan.com", "d:Description": "Official site includes discography, lyrics, sound files, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.bobdylan.com/"} +{"d:Title": "Things Twice", "d:Description": "Archives for rec.music.dylan.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.edlis.org/twice/"} +{"d:Title": "EDLIS Parties and Gatherings", "d:Description": "Worldwide meeting places and dates for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://edlis.org/"} +{"d:Title": "Expecting Rain", "d:Description": "Bob Dylan, his influences, lyrics, records, and concert reviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.expectingrain.com/"} +{"d:Title": "Positively 24th Street", "d:Description": "A Pilgrim's Guide to Hibbing, is a site dedicated to helping travelers making the trip to Bob Dylan's hometown. The site contains directions to Hibbing, information on local sites of interest to Dylan fans, advice on places to stay, and other relevant information.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://home.earthlink.net/~daberrys/hibbing/index.html"} +{"d:Title": "FAQ: rec.music.dylan", "d:Description": "Frequently asked questions about Bob Dylan, his music, and the discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.faqs.org/faqs/music/dylan-faq/part1/"} +{"d:Title": "Conclusions on the Wall", "d:Description": "EDLIS Dylan bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.taxhelp.com/index.shtml#bibliography"} +{"d:Title": "TV Talkin'", "d:Description": "Screenshots and video clips of Dylan's film and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.tvtalkin.com/"} +{"d:Title": "Bob Dylan Isis Magazine", "d:Description": "Established since 1985, ISIS is now the longest running Bob Dylan magazine still in print. Aiming to provide Dylan enthusiasts with an up-to-date information service regarding all aspects of Dylan's life and work.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.bobdylanisis.com/"} +{"d:Title": "The Bridge", "d:Description": "Subscription information and articles from the UK based magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.two-riders.co.uk/"} +{"d:Title": "The Unofficial Bob Dylan Free Tape Library", "d:Description": "Bootleg dubbing service.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://freelib.org/dylan/freelib.html"} +{"d:Title": "BBC Music - Bob Dylan Season on the BBC", "d:Description": "An in-depth guide to Bob Dylan on the BBC, featuring profiles and biographies, timeline, video and pictures, competitions and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.bbc.co.uk/music/bobdylan/"} +{"d:Title": "Bob Dylan News: Topix", "d:Description": "News about Bob Dylan continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.topix.com/who/bob-dylan"} +{"d:Title": "Film Dialogue in the Lyrics of Bob Dylan", "d:Description": "Compilation of the references to particular films and fragments of film dialogue which are scattered throughout the lyrics of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://dylanfilm.atspace.com/index.html"} +{"d:Title": "PBS - American Masters: Bob Dylan", "d:Description": "Accompanying site for Martin Scorcese's film biography \"No Direction Home\" includes additional footage, timeline, Scorcese interview, influences map and fan concert map.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.pbs.org/wnet/americanmasters/episodes/bob-dylan/about-the-film/574/"} +{"d:Title": "Twenty Pounds of Headlines", "d:Description": "Bibliography of magazine and journal articles.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.wsu.edu/~scales/dylan/"} +{"d:Title": "RollingStone.com: Bob Dylan", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.rollingstone.com/music/artists/bob-dylan"} +{"d:Title": "Rock and Roll Hall of Fame: Bob Dylan", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://rockhall.com/inductees/bob-dylan/"} +{"d:Title": "IMDb: Bob Dylan", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.imdb.com/name/nm0001168/"} +{"d:Title": "Biography.com: Bob Dylan", "d:Description": "Features a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.biography.com/people/bob-dylan-9283052"} +{"d:Title": "MTV: Bob Dylan", "d:Description": "Includes discography, tour dates, biography, influences, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob", "url": "http://www.mtv.com/artists/bob-dylan/"} +{"d:Title": "Bob Dylan at 60", "d:Description": "Article by Brian W. Fairbanks from Paris Woman Journal in honor of Bob Dylan's 60th birthday.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Articles_and_Interviews", "url": "http://www.angelfire.com/oh2/writer/bobdylanat60.html"} +{"d:Title": "Wikipedia: Bob Dylan", "d:Description": "A series of articles on the songwriter's career and notable albums and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Bob_Dylan"} +{"d:Title": "Renaldo and Clara", "d:Description": "Synopsis of the film, written and directed by Dylan.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Articles_and_Interviews", "url": "http://www.litkicks.com/Films/RenaldoAndClara.html"} +{"d:Title": "Leonard Cohen and Bob Dylan: Poetry and the Popular Song", "d:Description": "Article by Frank Davey.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Articles_and_Interviews", "url": "http://www.edlis.org/twice/threads/leonard_cohen.html"} +{"d:Title": "Small Talk at the Wall", "d:Description": "A Yahoo Club/Chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Chats_and_Forums", "url": "http://groups.yahoo.com/group/smalltalkatthewall/"} +{"d:Title": "Bob Dylan: Inner Vision", "d:Description": "Webring for Bob Dylan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Directories", "url": "http://www.angelfire.com/on/dylan/web.html"} +{"d:Title": "The Bob Dylan Web Ring", "d:Description": "Webring for Bob Dylan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Directories", "url": "http://www.trevormidgley.com/BobDylanWebring.html"} +{"d:Title": "Bob Links", "d:Description": "Provides links to Bob Dylan related internet resources.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Directories", "url": "http://boblinks.com/"} +{"d:Title": "Bob Dylan", "d:Description": "Fan site includes pictures, biography, lyrics, interviews and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://ilovemuggsy.tripod.com/BobDylan/"} +{"d:Title": "Bob Dylan: The Icon", "d:Description": "Rated discography, biography, and concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.angelfire.com/on/dylan/"} +{"d:Title": "Bread Crumb Sins", "d:Description": "Includes interviews, pictures, articles, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.interferenza.com/bcs/"} +{"d:Title": "Bringing It All Back", "d:Description": "Includes articles, reviews, pictures, interviews, FAQ, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.punkhart.com/dylan/"} +{"d:Title": "New Pony", "d:Description": "Includes vital statistics, anecdotes, searchable timeline, filmography, and a chronology of the clothing Dylan has worn onstage.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.new-pony.com/"} +{"d:Title": "Dylan Atlas", "d:Description": "Encyclopedia of place names that are mentioned in the lyrics of Bob Dylan or have some significant meaning to fans of his work.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.sdsc.edu/~jeff/Atlas/atlas.html"} +{"d:Title": "The Bob Dylan Starting Point", "d:Description": "CD, video, and book guide. Also includes a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.schoneveld.com/bd/"} +{"d:Title": "Searching for a Gem", "d:Description": "A list-in-progress of officially released but elusive recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.searchingforagem.com/"} +{"d:Title": "Tangled Up in Jews", "d:Description": "Bob Dylan's life and music from a Jewish perspective.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.radiohazak.com/Dylan.html"} +{"d:Title": "Tangled Up In Blue", "d:Description": "Bob Dylan fan site includes album information, lyrics, and discussion of his works.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://members.tripod.com/knuget/"} +{"d:Title": "Aviv Rosenfeld's Home Page", "d:Description": "A collection of chords, lyrics, sound files, pictures, articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.angelfire.com/ok4/DYLAN/"} +{"d:Title": "My Back Pages - A Closer Look at the Music of Bob Dylan", "d:Description": "Chords, lyrics, commentaries, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://www.dylanchords.com/"} +{"d:Title": "Church of Bob", "d:Description": "Overview of the \"church\", including history, list of saints, annual feasts, and liturgical regulations.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Fan_Pages", "url": "http://dylanchords.info/churchofbob/"} +{"d:Title": "Bob Dylan Images", "d:Description": "Four decades of images, including rare family snapshots, live pictures, artwork, album covers, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Image_Galleries", "url": "http://bobdylanimages.8k.com/"} +{"d:Title": "LandyVision", "d:Description": "Features Dylan (and other) photos from the photographer who did the art for Nashville Skyline.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Image_Galleries", "url": "http://www.landyvision.com/"} +{"d:Title": "Bob Dylan 2000 Picture Galleries", "d:Description": "Bob Dylan picture site. Other features include discography, links to lyrics, audio, tour dates, other Dylan sites, desktop wallpaper, career statistics.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Image_Galleries", "url": "http://www.angelfire.com/de/dylanite/"} +{"d:Title": "Photos by Rob Cohn", "d:Description": "Visit the Bob Dylan photo gallery at Purple Moon Design.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Image_Galleries", "url": "http://www.purplemoon.com/bobdylan.html"} +{"d:Title": "Dylan Live", "d:Description": "Review of Dylan's concert at the Hartford Sports Center.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Reviews", "url": "http://www.nyrock.com/dylan.htm"} +{"d:Title": "Metacritic: Bob Dylan: Love&Theft", "d:Description": "Multiple reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Reviews", "url": "http://www.metacritic.com/music/love-and-theft/bob-dylan"} +{"d:Title": "Al Diesan", "d:Description": "Italy based tribute performer. [English and Italian]", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Tribute_Acts", "url": "http://www.al-diesan.it/"} +{"d:Title": "Highway 61 Revisited", "d:Description": "California based tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Tribute_Acts", "url": "http://www.highway61revisited.com/"} +{"d:Title": "Blood on the Tracks", "d:Description": "Chicago-area tribute band. Features band biography, upcoming shows and contact info.", "topic": "Top/Arts/Music/Bands_and_Artists/D/Dylan,_Bob/Tribute_Acts", "url": "http://www.bloodonthetracks.net/"} +{"d:Title": "Engel, Claude", "d:Description": "References, biography, discography, and music from the French guitarist and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.claude-engel.com/"} +{"d:Title": "Echo Janes", "d:Description": "An all-female rock, pop, folk, and blues band from Connecticut. Includes band history, profiles, gig dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/indie/echojanes/"} +{"d:Title": "Ella Blame", "d:Description": "Official site offers biography, news, image gallery, wallpapers, audio samples, reviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ella-blame.com/"} +{"d:Title": "erikm", "d:Description": "Biography, show dates, pictures, free MP3 and audio samples for the experimental artist.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.erikm.com/"} +{"d:Title": "Efofex", "d:Description": "Breakbeat and downtempo electronica band. Contains reviews, MP3s, news, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.efofex.net/"} +{"d:Title": "Euthanasia", "d:Description": "Pictures of the rock band from Punjab, India.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.euthanasia.tvheaven.com/"} +{"d:Title": "Exit 46", "d:Description": "Punk rock band from Reno, Nevada. Member profiles, show dates, lyrics, song clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/punk/exit46/"} +{"d:Title": "Ensemble Galilei", "d:Description": "Ensemble of five women with a Celtic/folk sound. Includes biography, discography, tour dates, booking information, and guest book.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.egmusic.com/"} +{"d:Title": "Except-2", "d:Description": "A country, folk, bluegrass, Cajun band from Holland. Includes history of the group, discography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.xs4all.nl/~except2/indexgb.html"} +{"d:Title": "Ensemble Elektra", "d:Description": "\"Avantraditional World Beat Fusion.\" Biography, sound clips, events and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://elektrak.tripod.com/"} +{"d:Title": "Executive Override Inc.", "d:Description": "Atmospheric drum and bass act from Ottawa, Canada. News, history, equipment list, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.override.org/"} +{"d:Title": "Edge Of Dawn", "d:Description": "Solo project of Mario Schumacher. Includes biography, news, press reviews, audio clips, and current projects.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.edgeofdawn.de/"} +{"d:Title": "Ellis, Sydney", "d:Description": "Blues, classic jazz, gospel and spirituals.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.sydneyellis.com/"} +{"d:Title": "Everett, Eric", "d:Description": "\"Funky Folk-Rock\" music.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ericeverett.com/"} +{"d:Title": "Electric Earl", "d:Description": "Official site for the singer/songwriter. Includes Earl's Jukebox, Electric Earl's Music Archive, a Webcam view of the L.A. skyline, and thousands of links.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.electricearl.com/"} +{"d:Title": "Effigies, The", "d:Description": "Punk band from Chicago. Includes history of the group, discography, photographs, lineups, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://effigies.com/"} +{"d:Title": "Earthboys Effigy", "d:Description": "Ambient and experimental group from Wisconsin, US. Includes details of members, media reviews, and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.earthboys.com/"} +{"d:Title": "Evans, Paul", "d:Description": "50's and 60's hit recordings. Biography, Discography, \"Inside\" stories and articles, midi and RealAudio files.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.paulevans.com/"} +{"d:Title": "Exit303", "d:Description": "Five-piece rock band from Edmonton, Alberta performing covers and originals. Gig schedule, photographs, MP3 files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.exit303.com/"} +{"d:Title": "Epigene", "d:Description": "Intelligent multi-faceted pop/rock act from Seattle. Gigs, lyrics, biographies, sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.epigenemusic.com/"} +{"d:Title": "Electric Blue Net", "d:Description": "Tour information, merchandise, pictures, and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.electricblue.net/"} +{"d:Title": "Eclypse", "d:Description": "News, show dates, profiles, lyrics, and photos for the band out of Centerville, Ohio.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/ok/Eclypse/"} +{"d:Title": "Essiet, Chief Udoh", "d:Description": "Nigerian singer, composer, and master of African rhythm plays West African Highlife for an international audience. Sound samples available.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://sherry.free.fr/"} +{"d:Title": "El\u00e4kel\u00e4iset", "d:Description": "Official, with music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.humppa.com/"} +{"d:Title": "Ensemble di Musiche Possibili", "d:Description": "Trio from Italy performing a mixture of chamber music, rock, tango, and street music.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.accordions.com/ensemble/"} +{"d:Title": "Errico, Mike", "d:Description": "Rock and soul performer based in New York. Site contains biography, audio samples, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://errico.com/"} +{"d:Title": "Ewald, Bryan", "d:Description": "Annapolis, Maryland based musician. Show dates, audio samples, and information on the various bands the artist is part of.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.bryanewald.com/"} +{"d:Title": "Elevators, The", "d:Description": "Electric blues band based in England. Biography, news, gig dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://elevatorsweb.homestead.com/"} +{"d:Title": "Escape", "d:Description": "Alternative rock band from Toronto, Canada. Profile, news, and sound file.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://chrismason7.tripod.com/escape/index.htm"} +{"d:Title": "Eye Rhyme", "d:Description": "Toronto area-based rock trio. Official site includes biography, gig dates, audio and video files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eyerhyme.com/"} +{"d:Title": "Epiphany", "d:Description": "A site about the band Epiphany, whose sound can be classified as \"folk, rock, experimental, and New Age.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://epiphany.8m.com/"} +{"d:Title": "Ebb Tide", "d:Description": "A group based in Portland, Oregon, US. Includes biographies, pictures, lyrics, booking details, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://ebb-tide.tripod.com/"} +{"d:Title": "Edges of Seven", "d:Description": "Techno industrial band. Includes profile, news, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.edgesofseven.com/"} +{"d:Title": "Ephemera's Party", "d:Description": "Heavy rock from Rheinfelden. Discography, band history, concerts, photos, contact information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ephemerasparty.de/"} +{"d:Title": "East Coast Avengers", "d:Description": "Pop-punk band from the Lehigh Valley, Pennsylvania, US. Includes photographs of the band, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/pa3/ecavengers/"} +{"d:Title": "eighty9colt", "d:Description": "Rock and roll band from Virginia beach, Virginia, US. Includes photographs, biographies, and details of roadies/staff.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://eighty9colt.tripod.com/"} +{"d:Title": "Edwards, Terry", "d:Description": "UK based multi-instrumentalist. News, discography, audio samples, and a sessions catalog.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.terryedwards.co.uk/"} +{"d:Title": "Entrain", "d:Description": "Soundbites and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.entrain.com/"} +{"d:Title": "Eckert, Frank", "d:Description": "Recording information, contact details, and solo performance dates for the bassist.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/music/frankeckert/index.html"} +{"d:Title": "Eat A Peach", "d:Description": "A classic southern rock band. Band information, show dates, sound clips, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/il2/eatapeach/"} +{"d:Title": "Emerald Rose", "d:Description": "Home of this four-man band from North Georgia playing original and traditional Celtic music. Band information, show dates, sample songs, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.emeraldrose.com/"} +{"d:Title": "Ernst, Jon", "d:Description": "Information on this actor/musical director/musician, song clips, show dates, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.jonernst.com/"} +{"d:Title": "Essihos, George", "d:Description": "Biography of the jazz pianist and piano instructor from Victoria, BC; descriptions of his two independently produced CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.islandnet.com/~jshandro/essihos.html"} +{"d:Title": "The Endless", "d:Description": "Official site for the rock band includes news, concert dates, photos, biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.theendlessband.com/"} +{"d:Title": "Elliot, Richard", "d:Description": "Smooth jazz and rhythm and blues tenor saxophonist; news, biography, concerts, timeline, album information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.richardelliot.com/"} +{"d:Title": "Enveloop", "d:Description": "Electronic music group signed to Runa Records. 'Arguments' was their debut album. Includes music samples, news, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.envelooponline.com/"} +{"d:Title": "Emily's ToyBox", "d:Description": "Neo-punk band from the Mid-Atlantic region; biography, discography, fan club information, diary, pictures, musician jokes and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.emilystoybox.com/"} +{"d:Title": "Ebsen, Kiki", "d:Description": "Singer/keyboardist from California. Biography, reviews, gig list, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.kikiebsen.com/"} +{"d:Title": "Esplin, Kyle", "d:Description": "Scottish boogie-woogie singer and pianist; includes biography, song samples, scrapbook, influences and show details.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.kyleesplin.com/"} +{"d:Title": "Electric Eels", "d:Description": "Seventies Cleveland proto-punk band. Profiles, discography, press and autobiography excerpts.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.electriceels.com/"} +{"d:Title": "Embre'o", "d:Description": "News, pictures and show dates for the alt-rock/pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/emo/embreo/"} +{"d:Title": "Exposure", "d:Description": "Band from South Yorkshire, UK; biographies, MP3 samples, pictures, gigs and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.exposure.8m.com/"} +{"d:Title": "Esteban", "d:Description": "Guitarist and composer, born in Pittsburgh, US. Includes biography, photographs, discography, and upcoming performances.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://estebanmusic.com/"} +{"d:Title": "Electrolyte Foundation, The", "d:Description": "Space-rock/ambient jam group from Springfield, Missouri, US. Includes a flyer, set list, and MP3 audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://electrolyte.freeservers.com/"} +{"d:Title": "Elektradrive", "d:Description": "Italian band: news, biography, audio samples, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.elektradrive.com/"} +{"d:Title": "Europa String Choir", "d:Description": "Quartet of a violinist and three guitarists.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.europastringchoir.com/"} +{"d:Title": "Edwards, Dennis", "d:Description": "Information on the former member of the Temptations.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/music/DennisEdwards/"} +{"d:Title": "Empty Pockets", "d:Description": "This Illinois based alternative rock band's site features a gig schedule and sample MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/band/emptypockets/"} +{"d:Title": "Egan, Shannan and the Shapdoinkle Day Singers", "d:Description": "A quasi-punk kazoo band. Includes member biographies and photographs, and gig information.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/ma2/shpadoinkleday/"} +{"d:Title": "Edguy", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/edguy/"} +{"d:Title": "ExFactor", "d:Description": "Rock band from Illinois. Profiles, images, sound samples and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.members.tripod.com/exfactor_rock/"} +{"d:Title": "Ever Had", "d:Description": "Artist information and downloads from this electronic experimental, breakbeat and ambient group.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.saunalahti.fi/~ppiirto/everhad/"} +{"d:Title": "Elegy", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/elegy/"} +{"d:Title": "Eightfold Death", "d:Description": "Three-piece metal band. Includes biographies of members, news, chat room, lyrics, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://eightfold_death.tripod.com/"} +{"d:Title": "Edge of Sanity", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/edge_of_sanity/"} +{"d:Title": "Empyrium", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/empyrium/"} +{"d:Title": "Exit-13", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/exit_13/"} +{"d:Title": "Embracing", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/embracing/"} +{"d:Title": "Euphoria", "d:Description": "A UK rock band. MP3 downloads, gig guide, biographies, road diary, and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.euphoria1.free-online.co.uk/"} +{"d:Title": "Every Damn Day", "d:Description": "Official site of the rock band from New Jersey includes FAQ, discography, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.everydamnday.com/"} +{"d:Title": "Evereve", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/evereve/"} +{"d:Title": "Evol", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/evol/"} +{"d:Title": "Evil Jake", "d:Description": "New York City-based alternative power-pop/rock band. Includes free music, MP3s, photos, tablatures, biography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eviljake.com/"} +{"d:Title": "Eisenbeil, Bruce", "d:Description": "Jazz guitarist from New York City. Biography, reviews, discography, performance schedule, and interview.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eisenbeil.com/"} +{"d:Title": "Elend", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/elend/"} +{"d:Title": "Epoch of Unlight", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/epoch_of_unlight/"} +{"d:Title": "Ellman, Liberty", "d:Description": "Biography, sound files, pictures, and performance dates of the guitarist/composer.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.libertyellman.com/"} +{"d:Title": "E-met", "d:Description": "Progressive rock band from Slovenia; biography, history, pictures, MP3s and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.newmoralizm.com/"} +{"d:Title": "Eternal Tears of Sorrow", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/eternal_tears_of_sorrow/"} +{"d:Title": "Eriksen, Mads", "d:Description": "Biography, news, reviews, and discography of the guitarist and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.madseriksen.com/"} +{"d:Title": "Esoteric", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/esoteric/"} +{"d:Title": "Eskimo Blonde", "d:Description": "UK guitar band. News, contact information and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eskimoblonde.com/"} +{"d:Title": "Esposito, Pasquale", "d:Description": "Performer of Italian music, based in California, US. Includes biography, audio, schedule, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.italianmusicman.com/"} +{"d:Title": "Exceptions, The", "d:Description": "Live group that play at parties, weddings, and other events. Includes biography, booking information, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.exceptions.com/"} +{"d:Title": "Every Little Sake", "d:Description": "News, pictures and merchandise from the Iowa band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/rock3/everylittlesake/"} +{"d:Title": "End of the Grey", "d:Description": "Fan site for the Pennsylvania band with news, pictures, show dates and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/band2/endofthegrey/"} +{"d:Title": "esteem33", "d:Description": "Band from Belleville, Ontario; pictures, MP3s and news.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/rock3/esteem33band/"} +{"d:Title": "Easley, Mark", "d:Description": "Background, album information, MP3s and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.goldhat.net/"} +{"d:Title": "Eunomy", "d:Description": "English-speaking pop-rock band from Omsk, Siberia. Profiles, history, MP3s, lyrics, wallpapers and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://eunomy.narod.ru/e-main.html"} +{"d:Title": "Erik the Red", "d:Description": "Musician blending electronic and medieval sounds; includes audio samples and descriptions.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://erik2300.tripod.com/odin.html"} +{"d:Title": "Exit-16", "d:Description": "New Jersey-based alternative band; news, biographies, fan club, message board and gigs. [May not work in all browsers.]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/indie/exit16/"} +{"d:Title": "Exodus", "d:Description": "Song lyrics indexed by album and alphabetically. At Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://lyrics.rockmagic.net/lyrics/exodus/"} +{"d:Title": "Elemeno", "d:Description": "Lyrics, MP3s, contest and pictures from the Vancouver rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www3.telus.net/elemeno/index.html"} +{"d:Title": "Emaciation", "d:Description": "Missouri-based heavy band with classical and metal influences; includes biography, show dates and pictures. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://emaciationweb.tripod.com/"} +{"d:Title": "Eppi", "d:Description": "Top 40 rock band from Wooster, Ohio. Biographies, events, pictures and downloads. [Requires Flash}", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://eppi1.tripod.com/"} +{"d:Title": "Everybody in the House", "d:Description": "Discography, history, biographies and events for the Arizona band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.everybodyinthehouse.com/"} +{"d:Title": "Eastern Sunz", "d:Description": "Biographies, pictures, lyrics, samples, news and tour dates for the underground hip-hop group.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.easternsunz.com/"} +{"d:Title": "Elaine Dark Band, The", "d:Description": "Rhythm and blues/blues/pop band from Toronto, Ontario. Profile, show dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://elainedarkband.tripod.com/"} +{"d:Title": "Elaine and Kindred Spirit", "d:Description": "News, show dates, projects, tablatures, biographies and album information for the Celtic rock group.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.elainesamuels.co.uk/"} +{"d:Title": "Early Evening, The", "d:Description": "Rock group from Nashville, US. Includes biographies, MP3s, lyrics, discography, audio clips, photographs, and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.theearlyevening.com/"} +{"d:Title": "Endless Endgame", "d:Description": "Hungarian metal band; biography, discography, lyrics, pictures and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.freeweb.hu/endlessendgame/"} +{"d:Title": "Elsewhere", "d:Description": "New York City soft rock band's site with biography, MP3s, videos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.infodarkness.com/elsewhere/"} +{"d:Title": "Eason, Paul", "d:Description": "San Marcos, Texas singer-songwriter; pictures, biography, tour dates and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.pauleason.com/"} +{"d:Title": "Emfada, Mair\u00e9ad", "d:Description": "Female solo Pop artist from Ireland; pictures, reviews, biography, shows, videos, artwork and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.emfada.co.uk/"} +{"d:Title": "English, Nikki Elizabeth", "d:Description": "Singer/songwriter from Ontario. Biography, discography, lyrics, schedule, pictures and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/on4/nikkielizabeth/"} +{"d:Title": "Endorphin", "d:Description": "Australian electronic artist. Biography, news, tour dates, pictures, forum and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.endorphinmusic.com/"} +{"d:Title": "Eichman, Carl", "d:Description": "Jazz fusion-based guitarist and composer; includes discography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://ceemusic.com/"} +{"d:Title": "Ecstasy Project", "d:Description": "Jazz band from Poland. History, biography, discography, MP3s, press, pictures, events and other projects.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ecstasyproject.com/"} +{"d:Title": "Even3 and Margo Jean", "d:Description": "Concert review with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://audiogrid.com/even3/"} +{"d:Title": "Earth Mother", "d:Description": "Anarcho-punk band from San Bernardino, California. News, shows, lyrics, biography and influences.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/band2/earthmother/"} +{"d:Title": "Elrod, Johnathan", "d:Description": "Christian praise and worship leader; booking information, schedule, testimonials, [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://johnathanelrod.com/"} +{"d:Title": "Entheogenic", "d:Description": "Ambient chill-out dance music; news, discography, samples, pictures, biography and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.entheogenic.net/"} +{"d:Title": "Emptys, The", "d:Description": "Lyrics and pictures from the '90s jazz-rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/band2/emptys/"} +{"d:Title": "Ellefson, Randy", "d:Description": "History, gear, song descriptions and streaming audio from the rock and acoustic guitar instrumentalist.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.randyellefson.com/"} +{"d:Title": "Eisley", "d:Description": "Official site for the melodic indie-rock band from Tyler, Texas, with lyrics, sound samples, photographs and biographies. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eisley.com/"} +{"d:Title": "Electric City Horns", "d:Description": "9 piece band, from Albany NY, Rhythm and Blues, Rock, Swing. Owns 24 track digital studio.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.electriccityhorns.com/"} +{"d:Title": "Eleven Eleven", "d:Description": "Press, lyrics, biography, tour dates, street team, pictures and MP3s for the Philadelphia-area dark pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eleveneleven.com/"} +{"d:Title": "Eye 2 Eye", "d:Description": "New York-based hardcore band; includes biography, MP3, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.frontiernet.net/~ftr22/eye1.htm"} +{"d:Title": "Ernst, Bob", "d:Description": "Rock guitarist from the New York City / New Jersey area. Fronts the power trio The Bob Ernst Retaliation.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.bobernst.com/"} +{"d:Title": "Eisner, James", "d:Description": "Guitarist, lutenist and singer based in Cambridge, UK. Includes his biography, information about his recordings, and a photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.jameseisner.com/"} +{"d:Title": "Exit", "d:Description": "Contains news, concert schedules, and sample clips. [Flash Required]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ryanmcintyremusic.com/"} +{"d:Title": "Erato", "d:Description": "Official site of the Belgian gothic band includes biography, concert dates, pictures, and demo information.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://users.telenet.be/erato/"} +{"d:Title": "Escorts/Do's and the Don'ts, The", "d:Description": "50s and 60s rock and roll band from Cedar Rapids, Iowa. Sound clip, pictures, schedule, and e-mail list.[English, Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.escortsdosdonts.com/"} +{"d:Title": "Efkes Anders Jasskapel", "d:Description": "Jazz streetparade band based in the Netherlands. Description, pictures, past and current shows, and repertoire. [Also in Dutch and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.dse.nl/~efkesanders/"} +{"d:Title": "Edwards, Dave", "d:Description": "Sound files, song information, and biography for the Connecticut musician.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.quietcovemusic.com/"} +{"d:Title": "Erwin, Dickie Lee", "d:Description": "Biography, audio samples and show details for the bluegrass/roots performer.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.dickieleeerwin.com/"} +{"d:Title": "Eaton, Steve", "d:Description": "Idaho-based singer-songwriter and composer; biography, schedule, credits, discography and news. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.steveeaton.net/"} +{"d:Title": "Erp, Michiel van", "d:Description": "Music producer, remixer and film composer.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.michielvanerp.com/"} +{"d:Title": "Ennis", "d:Description": "Biography, schedule, news, audio samples, road journals and pictures from the Canadian country family group.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ennismusic.com/"} +{"d:Title": "Earl, Toots and The Band That Time Forgot", "d:Description": "Profile, pictures, FAQ, gig dates, and sound files of the Plymouth UK based band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://myweb.tiscali.co.uk/saintsea/"} +{"d:Title": "Earthlings", "d:Description": "Band from Joshua Tree, California. News, band information, photos, video and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://earthlingsinfo.com/"} +{"d:Title": "AskMen.com - Eamon", "d:Description": "Feature includes picture, biography, commentary, voting pole, and multi-media.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.askmen.com/celebs/men/celeb_profiles_entertainment/4_eamon.html"} +{"d:Title": "Ectogram", "d:Description": "\"Post-tonal dronedelic avant-pop psych noiseniks\" from Wales, UK. Includes discography, press, biographies, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ectogram.com/"} +{"d:Title": "Emerson, Darren", "d:Description": "Biography of the DJ and interview. At AskMen.com.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.askmen.com/celebs/interview_60/84_darren_emerson_interview.html"} +{"d:Title": "Erase My Brain", "d:Description": "Indie rock band from Texas. Show dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://emb21.tripod.com/pages/"} +{"d:Title": "Eddie and the Tide", "d:Description": "Late '80s-early '90s power pop/rock band from the San Francisco Bay Area. Discography, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eddieandthetide.com/"} +{"d:Title": "Eskobar", "d:Description": "Site by the Swedish band's drummer with photos, lyrics, videos, tablatures, tour dates and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://eskobarofficial.wordpress.com/"} +{"d:Title": "Epsom and Ewell Silver Band", "d:Description": "Information about the band, its members, engagements and player vacancies. How to contact the band.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.eesb.org.uk/"} +{"d:Title": "Emley Brass Band", "d:Description": "Based in West Yorkshire, UK. News, members, photographs and engagements.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.emleybrassband.co.uk/"} +{"d:Title": "Egge, Ana", "d:Description": "Brooklyn-based singer-songwriter. Biography, discography, shows, pictures, videos and press.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.anaegge.com/"} +{"d:Title": "Eck, Michael", "d:Description": "Roots-rock artist based in Albany, New York. Includes album details, gigs calendar and booking details.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.michaeleck.com/"} +{"d:Title": "Elektra 2.51", "d:Description": "Greek electronic/rock band; profile, news, concerts, MP3s, photos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.elektra251.gr/"} +{"d:Title": "Eternal Tears of Sorrow", "d:Description": "Finnish metal band. News, releases, history, member profiles, lyrics, MP3s, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://eternaltears.fi/"} +{"d:Title": "Everyday Prophets", "d:Description": "\"Reggaetronic rock\" band from Portland, Oregon. Schedule and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.everydayprophets.com/"} +{"d:Title": "Ernie and the Automatics", "d:Description": "Rock band from Boston. Biographies and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.ernieandtheautomatics.com/"} +{"d:Title": "Evans, Monika", "d:Description": "Polish singer-songwriter and pianist living in Wales. News, album information, videos, biography, press, pictures and \"fan zone.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.monikaevans.com/"} +{"d:Title": "Edison, Harry Sweets", "d:Description": "Interview of, biography with and photos of the jazz trumpeter.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.cosmopolis.ch/english/music/107/harry_edison_e000107.htm"} +{"d:Title": "Ellis, Rory", "d:Description": "Australian alt-country singer-songwriter. Shows, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.roryellis.com/"} +{"d:Title": "Elusion", "d:Description": "Biography and audio/video files of the rhythm and blues group.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.soundclick.com/bands/default.cfm?bandID=3422"} +{"d:Title": "Empire, Alec", "d:Description": "Review of the Atari Teenage Riot founder's album \"Intelligence and Sacrifice.\" At Contactmusic.com.", "topic": "Top/Arts/Music/Bands_and_Artists/E", "url": "http://www.contactmusic.com/pages/alecx17x04x02"} +{"d:Title": "L and M's Eagles Fastlane", "d:Description": "Includes news, links, features, photos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.eaglesfans.com/"} +{"d:Title": "The Eagles", "d:Description": "Guitar tablature and chords, and bass tablature, for songs by The Eagles.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.rockmagic.net/guitar-tabs/eagles/"} +{"d:Title": "Jack Tempchin, Eagles Songwriter", "d:Description": "Songwriter who's written hits for The Eagles and others. Includes biography, discography, cover art, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.tempchin.com/"} +{"d:Title": "Matt's Eagles Page", "d:Description": "Images, chat, forum, audio clips, lyrics, biography, guitar tablature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.mattsmusicpage.com/neagles.htm"} +{"d:Title": "Desperado", "d:Description": "Tribute to the Eagles. Includes history, lyrics, interviews, image gallery, and related books.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.eaglesmusic.com/"} +{"d:Title": "The Eagles - Music Reviews", "d:Description": "Reviews and ratings of some of the group's albums. By J Alroy.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.warr.org/eagles.html"} +{"d:Title": "The Eagles - Lyrics", "d:Description": "Words to songs listed by album", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.davemcnally.com/Lyrics/TheEagles/"} +{"d:Title": "One Of These Nights", "d:Description": "News, gossip, photo gallery, concert reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.angelfire.com/mb/nowww/eagles.html"} +{"d:Title": "Hell Freezes Over -Concert Review", "d:Description": "Review and photographs for the concert.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.ram.org/music/reviews/hell_freezes_over.html"} +{"d:Title": "Rockmagic.net: The Eagles Lyrics", "d:Description": "The Eagles song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://lyrics.rockmagic.net/lyrics/eagles/"} +{"d:Title": "Eagles Official Site", "d:Description": "Includes news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.eaglesband.com/"} +{"d:Title": "Dansm's Eagles Page", "d:Description": "Contains photos, information about band members, guitar tabs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://scenicnewengland.net/guitar/eagles.htm"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Eagles", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://sfloman.com/eagles.html"} +{"d:Title": "Is the Hotel California in the Eagles' song located in Todos Santos, Mexico?", "d:Description": "Dispels the myth that this Eagles song was written about an hotel in Todos Santos, Mexico.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.todossantos-baja.com/todos-santos/eagles/hotel-california.htm"} +{"d:Title": "RollingStone.com: The Eagles", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.rollingstone.com/music/artists/eagles"} +{"d:Title": "All Music Guide: The Eagles", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.allmusic.com/artist/eagles-p4153"} +{"d:Title": "Rock and Roll Hall of Fame: The Eagles", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://rockhall.com/inductees/the-eagles/"} +{"d:Title": "L&M's Eagles Fastlane", "d:Description": "News, message board, pictures, discography, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://eaglesfans.squarespace.com/"} +{"d:Title": "MTV: Eagles", "d:Description": "Includes news, biographies, musical influences, audio clips, photos, music videos, bulletin boards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.mtv.com/artists/eagles/"} +{"d:Title": "Songsterr: The Eagles", "d:Description": "Collection of bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.songsterr.com/a/wsa/the-eagles-tabs-a18?inst=bass"} +{"d:Title": "The Straight Dope", "d:Description": "The Straight Dope: What does 'colitas' mean in 'Hotel California'?", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The", "url": "http://www.straightdope.com/columns/read/1053/in-the-song-hotel-california-what-does-colitas-mean"} +{"d:Title": "AllExperts.Com - The Eagles", "d:Description": "Ask questions about the band here, or read other users' questions and answers.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The/Chats_and_Forums", "url": "http://www.allexperts.com/browse.cgi?catLvl=3&catID=449"} +{"d:Title": "Eagles Email Discussion List", "d:Description": "General information about the list, and subscription instructions.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The/Chats_and_Forums", "url": "http://lists.topica.com/lists/eagle/"} +{"d:Title": "Talon", "d:Description": "British tribute band; features tour dates, show description, member profiles, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The/Tribute_Bands", "url": "http://www.talonmusic.co.uk/"} +{"d:Title": "Hotel California", "d:Description": "Includes history, photos, audio/video files, song list and tour dates for the California-based tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The/Tribute_Bands", "url": "http://www.hotelcal.com/"} +{"d:Title": "Creagles Productions", "d:Description": "American band performing tributes to the Eagles as \"Heartache Tonight\" and Creedence Clearwater Revival as \"Bad Moon Rising.\" Includes biographies and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eagles,_The/Tribute_Bands", "url": "http://www.creagles.com/"} +{"d:Title": "Fatea: Stacey Earle", "d:Description": "Two page interview by Neil King, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey", "url": "http://www.fatea-records.co.uk/CAMBRID/cam99/Stacey1.html"} +{"d:Title": "Stacey Earle is Making Up For Lost Time", "d:Description": "Article from the K-W Record.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey", "url": "http://www.octopusmediaink.com/StaceyEarle.html"} +{"d:Title": "Little Sister: Stacey Earle Follows in Footsteps of Her Famous Brother Steve", "d:Description": "Article from MetroActive Music.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey", "url": "http://www.metroactive.com/papers/sonoma/12.02.99/earle-9948.html"} +{"d:Title": "Topica", "d:Description": "Stacey Earle discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey", "url": "http://lists.topica.com/lists/stacey-list/"} +{"d:Title": "MTV: Stacey Earle", "d:Description": "Profile, news, sound clips, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey", "url": "http://www.cmt.com/artists/stacey-earle/"} +{"d:Title": "Jam Showbiz: Stacey Earle", "d:Description": "Several newspaper articles and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey", "url": "http://jam.canoe.com/Music/Artists/E/Earle_Stacey/"} +{"d:Title": "Ink Nineteen: Dancin' With Them That Brung Me", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey/Reviews/Dancin'_With_Them_That_Brung_Me", "url": "http://www.ink19.com/issues_F/00_05/wet_ink/music_df/stacey_earle.shtml"} +{"d:Title": "Whatzup: Stacey Earle", "d:Description": "Review of Dancin' With Them That Brung Me.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey/Reviews/Dancin'_With_Them_That_Brung_Me", "url": "http://www.whatzup.com/Music/cd092100c.html"} +{"d:Title": "Ink Nineteen: Simple Gearle", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Stacey/Reviews/Simple_Gearle", "url": "http://www.ink19.com/issues_F/99_06/wet_ink/music_de/078_stacey_earle.shtml"} +{"d:Title": "Steve Earle Photo Gallery", "d:Description": "Concert pictures from around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://www.angelfire.com/ca/trainacomin/"} +{"d:Title": "The Original Unofficial Steve Earle Site", "d:Description": "Discography, lyrics, guitar tablature, bibliography, tour information, upcoming television appearances, photos and mailing list. Also includes some information on causes Steve supports.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://www.steveearle.net/"} +{"d:Title": "Yahoo Groups: Exit0_2", "d:Description": "Discussion group for fans of the musician.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://launch.groups.yahoo.com/group/Exit0_2/"} +{"d:Title": "Steve Earle News: Topix", "d:Description": "News about Steve Earle continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://www.topix.com/who/steve-earle"} +{"d:Title": "All Music Guide: Steve Earle", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://www.allmusic.com/artist/steve-earle-p4154"} +{"d:Title": "RollingStone.com: Steve Earle", "d:Description": "Includes biography, discography, pictures, articles, video files, trivia, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://www.rollingstone.com/music/artists/steve-earle"} +{"d:Title": "CMT.com: Steve Earle", "d:Description": "Biography and selected discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://www.cmt.com/artists/az/earle_steve/artist.jhtml"} +{"d:Title": "MTV: Steve Earle", "d:Description": "News, audio clips, reviews, biography, discography, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve", "url": "http://www.mtv.com/artists/steve-earle/"} +{"d:Title": "The Music Box: Steve Earle", "d:Description": "Reviews of several concerts and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve/Reviews", "url": "http://www.musicbox-online.com/earle.html"} +{"d:Title": "Consumable Online: Steve Earle", "d:Description": "Tracey Bleile's review: \"El Corazon doesn't display the splashy strength and evenness of his 1995 comeback I Feel Alright, but just as the title suggests, it's got lots o' heart.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve/Reviews/El_Corazon", "url": "http://www.westnet.com/consumable/1997/10.28/revearle.html"} +{"d:Title": "All-Reviews.com: Steve Earle - El Corazon", "d:Description": "LarryG's review: \"El Corazon shows Earle's skill at working in all kinds of idioms.\" 3\u00bd stars out of 4.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve/Reviews/El_Corazon", "url": "http://www.all-reviews.com/music/elcorazon.htm"} +{"d:Title": "RootsWorld: Steve Earle", "d:Description": "Review by Bill Nevins: \"He's picked a fight he feels is worth fighting; a battle for the soul of this country, and perhaps the future of the world. Jerusalem is a rousing opening salvo.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve/Reviews/Jerusalem", "url": "http://www.rootsworld.com/reviews/earle-jer.shtml"} +{"d:Title": "The Music Box: 9/11 in Song", "d:Description": "John Metzger's review: \"Jerusalem is still a superb effort with some of the finest songs of Earle's distinguished career.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve/Reviews/Jerusalem", "url": "http://www.musicbox-online.com/9-11.html"} +{"d:Title": "All-Reviews.com: Steve Earle - Transcendental Blues", "d:Description": "LarryG's review: \"it's a rich and varied work, overflowing with great rockers, folk music and ballads.\" 4 stars out of 4.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Earle,_Steve/Reviews/Transcendental_Blues", "url": "http://www.all-reviews.com/music/transcendental-blues.htm"} +{"d:Title": "Yahoo Groups: MitchEasterLetsActive", "d:Description": "Discussion forum for Easter and his former band, Let's Active.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easter,_Mitch", "url": "http://groups.yahoo.com/group/mitcheasterletsactive/"} +{"d:Title": "Mitch Easter Production Credit List", "d:Description": "Chronological list of albums that he has produced.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easter,_Mitch", "url": "http://orangefox.svs.com/rem/other/mitch-easter.txt"} +{"d:Title": "Every Word Means Mitch", "d:Description": "Includes photographs, profile, press, news, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easter,_Mitch", "url": "http://www.angelfire.com/celeb/mitcheaster/"} +{"d:Title": "SheenaEaston.com", "d:Description": "Her official website.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easton,_Sheena", "url": "http://www.sheenaeaston.com/"} +{"d:Title": "SheenaNews", "d:Description": "An unofficial Sheena Easton site featuring the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easton,_Sheena", "url": "http://www.sheenaeaston.co.uk/"} +{"d:Title": "All Music Guide: Sheena Easton", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easton,_Sheena", "url": "http://www.allmusic.com/artist/sheena-easton-p4159"} +{"d:Title": "In Music We Trust : Tim Easton", "d:Description": "Interview by Alex Steininger about the 2003 album Break Your Mother's Heart.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easton,_Tim", "url": "http://www.inmusicwetrust.com/articles/60h10.html"} +{"d:Title": "Tim Easton", "d:Description": "Official site includes news, tour dates, discography with reviews and online ordering, audio, and press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easton,_Tim", "url": "http://www.timeaston.com/"} +{"d:Title": "New West Records:Tim Easton", "d:Description": "Profile, news articles, photo gallery, and online ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easton,_Tim", "url": "http://www.newwestrecords.com/TimEaston"} +{"d:Title": "CMT: Tim Easton", "d:Description": "Profile, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easton,_Tim", "url": "http://www.cmt.com/artists/tim-easton/"} +{"d:Title": "Do You Know Where Your Kids Are?", "d:Description": "Profiles, gig report with photos, a list of ways to tell you're obsessed with the band and a recipe for Easyworld biscuits.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Easyworld", "url": "http://sublimemagic.5u.com/easy.html"} +{"d:Title": "DonMega: Eazy-E", "d:Description": "Biographies of Eazy, as well as photos, lyrics, and movies.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eazy-E", "url": "http://www.donmega.com/eazye.htm"} +{"d:Title": "Eazy-E", "d:Description": "Biography and discography of the \"Godfather of Gangsta Rap\".", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eazy-E", "url": "http://www.angelfire.com/bc/stacks/page23.html"} +{"d:Title": "Surefire", "d:Description": "Econoline Crush lyrics, discography, articles, web ring, and the Crush-fan index.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Econoline_Crush", "url": "http://members.tripod.com/~the_EconolineCrush/"} +{"d:Title": "Surefire's Lounge", "d:Description": "Econoline Crush site with pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Econoline_Crush", "url": "http://surefire.8m.com/"} +{"d:Title": "Acts Of Sin", "d:Description": "Fan site for Vancouver's Econoline Crush.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Econoline_Crush", "url": "http://e_crush.tripod.com/"} +{"d:Title": "Duane Eddy Page", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eddy,_Duane", "url": "http://www.tsimon.com/eddy.htm"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eddy,_Duane", "url": "http://rockhall.com/inductees/duane-eddy/"} +{"d:Title": "All Music Guide: Duane Eddy", "d:Description": "Biography, discography and record reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eddy,_Duane", "url": "http://www.allmusic.com/artist/p4166"} +{"d:Title": "Rockin' Country Style: Duane Eddy", "d:Description": "Detailed singles discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eddy,_Duane", "url": "http://rcs-discography.com/rcs/artist.php?key=eddy1000"} +{"d:Title": "Duane Eddy Tribute Page", "d:Description": "Fan site features news, tabs, lyrics, photos, chart history, discography, RealAudio and MIDI.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eddy,_Duane", "url": "http://tony50.tripod.com/deddy-1.html"} +{"d:Title": "Duane Eddy Circle", "d:Description": "Official international fan club for the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eddy,_Duane", "url": "http://www.duaneeddycircle.com/"} +{"d:Title": "Yahoo Groups: Eden's Crush Fans Online", "d:Description": "Features message board, links, photos, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eden's_Crush", "url": "http://groups.yahoo.com/group/edenscrushfansonline2/"} +{"d:Title": "All Music Guide: Eden's Crush", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eden's_Crush", "url": "http://www.allmusic.com/artist/edens-crush-p477851"} +{"d:Title": "AskMen.com: Eden's Crush", "d:Description": "Contains pictures, a biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eden's_Crush", "url": "http://www.askmen.com/celebs/women/singer_60/85_edens_crush.html"} +{"d:Title": "Ana Maria Fans Online", "d:Description": "A fan site for Eden Crush's Ana Maria Lombo. With news, pictures, wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eden's_Crush/Lombo,_Ana_Maria", "url": "http://anamariafans.tripod.com/"} +{"d:Title": "Linda Eder", "d:Description": "Official site includes tour schedule and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eder,_Linda", "url": "http://www.lindaeder.com/"} +{"d:Title": "All Music Guide: Linda Eder", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eder,_Linda", "url": "http://www.allmusic.com/artist/linda-eder-p12855"} +{"d:Title": "Ink 19: Edna's Goldfish", "d:Description": "Interview with lead singer Brian Diaz and trumpet player David A. Galea, by Julio Diaz.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Edna's_Goldfish", "url": "http://www.ink19.com/issues_F/99_10/ink_spots/006_ednas_goldfish.shtml"} +{"d:Title": "Meredith Edwards Central", "d:Description": "Includes biography, articles, pictures, multimedia, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Edwards,_Meredith", "url": "http://www.angelfire.com/me4/meredithec/"} +{"d:Title": "Her Voice Came Shining Through", "d:Description": "Contains a biography, audio, video, galleries, articles, transcripts, tour details, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Edwards,_Meredith", "url": "http://mississippi_star.tripod.com/"} +{"d:Title": "Edwin Alive", "d:Description": "Fan site with biography, audio clips, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Edwin", "url": "http://edwinalive.tripod.com/"} +{"d:Title": "Eels Tabs", "d:Description": "Guitar tablatures for album and non-album tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://www.pietmarcus.com/eelstabs/"} +{"d:Title": "Eels FAQ Addendum", "d:Description": "News and a supplement to the FAQs list on 3Speed.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://hkn.eecs.berkeley.edu/~calbear/eels.html"} +{"d:Title": "3 Speed", "d:Description": "Includes news, tablatures, lyrics, and sound files. [No longer updated.]", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://3speed.bykr.org/index2.htm"} +{"d:Title": "Eels the Discography", "d:Description": "Information on albums, singles, compilations and promotional items.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://www.eelsthediscography.co.uk/"} +{"d:Title": "Eels: Official Site", "d:Description": "News, FAQs, biography, discography, audio and video, tour dates, lyrics, columns, and store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://www.eelstheband.com/"} +{"d:Title": "The Eels Track Database", "d:Description": "Includes song and origin searches.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://galaxy.nielsch.be/"} +{"d:Title": "BassMasta: Eels", "d:Description": "Collection of bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://www.songsterr.com/a/wsa/eels-tabs-a2110?inst=bass"} +{"d:Title": "Discography at Discogs", "d:Description": "Listing of releases and marketplace to buy, sell, and trade.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels", "url": "http://www.discogs.com/artist/74395-Eels"} +{"d:Title": "BBC News: My Music - Eels", "d:Description": "E takes BBC News Online through his musical heaven and hell.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1575830.stm"} +{"d:Title": "Salon.com Politics: A campaign's dog days", "d:Description": "Article touching on the GOP's criticism of the distribution of \"Daisies of the Galaxy\" at a Democratic Party event. (Sept. 20, 2000)", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Articles_and_Interviews", "url": "http://www.salon.com/2000/09/21/bush_73/"} +{"d:Title": "In Music We Trust: Electro-Shock Blues Show", "d:Description": "Alex Steininger's review: \"A seventy-three minute set of The Eels at their best.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Reviews", "url": "http://www.inmusicwetrust.com/articles/51r10.html"} +{"d:Title": "Pop Matters: The Eels", "d:Description": "Kirstie Shanley's review of a 2010 concert in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Reviews", "url": "http://www.popmatters.com/post/131708-eels/"} +{"d:Title": "The Eels | PopMatters", "d:Description": "David Medsker's review of a 2002 show in Chicago", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Reviews", "url": "http://www.popmatters.com/review/eels-020330/"} +{"d:Title": "Pop Matters: Daisies of the Galaxy", "d:Description": "Fred Kovey's review: \"A fine pop record in an era that seems uninterested in pop unless it's marketed with dance steps and a quickie bio.\" Rated 8.0.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Reviews/Daisies_of_the_Galaxy", "url": "http://www.popmatters.com/review/eels-daisies/"} +{"d:Title": "Poetry Hi-Fi: The Eels' Electro-Shock Blues - The Phone Call in the Middle of the Night", "d:Description": "Dale Simms' review: \"Less hook-laden than 'Beautiful Freak,' less syrupy than the string arrangements on E's solo stuff, 'Electro-Shock Blues' is neither bluesy (no improvisation/no emotion) nor shocking (no affect). But like the mousy girl with no makeup who parts her mouth just slightly at your glance, that may be the album's ultimate charm.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Reviews/Electro-Shock_Blues", "url": "http://www.poetryhifi.com/round3/reviews/eels.html"} +{"d:Title": "NME: Oh What a Beautiful Morning", "d:Description": "Victoria Segal's review: \"It's a sparse format that highlights the ugly world in which Eels exist, dug into the grim defensive irony of the permanently disappointed.\" Rating of 7.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Reviews/Oh_What_a_Beautiful_Morning", "url": "http://www.nme.com/reviews/eels/3835"} +{"d:Title": "In Music We Trust: Eels - Souljacker", "d:Description": "Alex Steininger's review, grade of A: \"This is quality music that could save pop.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eels/Reviews/Souljacker", "url": "http://www.inmusicwetrust.com/articles/45r18.html"} +{"d:Title": "Planet 65", "d:Description": "Contains chart positions, news, lyrics, photo galleries, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eiffel_65", "url": "http://www.angelfire.com/music4/eiffel65page/"} +{"d:Title": "Eiffel 65's Silicon World", "d:Description": "Includes pictures, movie clips, sound clips, biography, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eiffel_65", "url": "http://www.eiffel65.8k.com/index.html"} +{"d:Title": "Eiffel 65: the \"Blue\" Trio", "d:Description": "Fan site for the European pop/electro group. Biographies, poems, photo galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eiffel_65", "url": "http://saffy65.free.fr/"} +{"d:Title": "Eiffel 65", "d:Description": "Biographies, pictures and member list.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eiffel_65", "url": "http://eiffel65.g2gm.com/"} +{"d:Title": "Eiffel65 Planet", "d:Description": "Official site with news, tour dates and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eiffel_65", "url": "http://www.eiffel65.com/"} +{"d:Title": "Rockmagic.net: Einherjer", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Einherjer", "url": "http://lyrics.rockmagic.net/lyrics/einherjer/"} +{"d:Title": "Einherjer", "d:Description": "Official site includes biography, news, discography, pictures, interviews, concert dates, sound files, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Einherjer", "url": "http://www.einherjer.com/"} +{"d:Title": "Einst\u00fcrzende Neubauten", "d:Description": "Band history, interviews, lyrics. Much of the site is in German, but there are some sections in both German and English, and English interviews. Sometimes you need to scroll down on a page to see the English text.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Einst\u00fcrzende_Neubauten", "url": "http://www.neubauten.org/"} +{"d:Title": "Audio Mixing Board", "d:Description": "Virtual audio mixing board where you can mix music in the style of early Einsturzende Neubauten.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Einst\u00fcrzende_Neubauten", "url": "http://www.davidk.net/portfolio/interactive/interactive_audio.html"} +{"d:Title": "Blixa Bargeld", "d:Description": "Official site for the band's lead singer with news, events, biography, projects, discography and promo pictures. [English and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/E/Einst\u00fcrzende_Neubauten", "url": "http://www.blixa-bargeld.com/"} +{"d:Title": "Eisbrecher", "d:Description": "German electro-trip-rock band; includes news and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eisbrecher", "url": "http://www.eis-brecher.com/"} +{"d:Title": "Matador Records: Mark Eitzel", "d:Description": "News, biography, discography, and sound files from his record label.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eitzel,_Mark", "url": "http://www.matadorrecords.com/mark_eitzel/"} +{"d:Title": "PopEntertainment.com: Mark Eitzel", "d:Description": "Jay S. Jacobs' favorable reviews of the albums \"Music for Courage and Confidence\" and \"West.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eitzel,_Mark", "url": "http://www.popentertainment.com/eitzel.htm"} +{"d:Title": "Salon: Caught in a Trap and I Can't Back Out Because I Love You Too Much, Baby", "d:Description": "Review of the album by Jerry Dannemiller. \"Given Eitzel's previous torchy, troubadour leanings, it's a pleasant surprise to see him make such a raw album.\" (Jan. 15, 1998)", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eitzel,_Mark", "url": "http://www.salon.com/1998/01/15/sharps_46/"} +{"d:Title": "All Music Guide: Mark Eitzel", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eitzel,_Mark", "url": "http://www.allmusic.com/artist/mark-eitzel-mn0000286355"} +{"d:Title": "Lisa Ekdahl", "d:Description": "Official site includes news, biography, discography, lyrics, pictures, audio, and video. [Flash required] [English and Swedish]", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekdahl,_Lisa", "url": "http://www.lisaekdahl.com/"} +{"d:Title": "Cosmopolis", "d:Description": "Biography and review of a concert featuring the album, \"Lisa Ekdahl sings Salvadore Poe.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekdahl,_Lisa", "url": "http://www.cosmopolis.ch/english/cosmo17/lisa_ekdahl.htm"} +{"d:Title": "Lisa Ekdahl", "d:Description": "Fan site dedicated to the singer and the Peter Nordahl Trio. With album information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekdahl,_Lisa", "url": "http://www.angelfire.com/jazz/lisaekdahl/index.html"} +{"d:Title": "All Music Guide: Lisa Ekdahl", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekdahl,_Lisa", "url": "http://www.allmusic.com/artist/lisa-ekdahl-p276277"} +{"d:Title": "Hookahville", "d:Description": "Bi-annual festival; general information, dates, ticket details, and directions.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah", "url": "http://www.hookahville.com/"} +{"d:Title": "Ekoostik Hookah", "d:Description": "Official site: pictures, sound files, message board, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah", "url": "http://www.ekoostik.com/"} +{"d:Title": "Ekoostik Hookah Scrapbook", "d:Description": "Includes pictures, quotes, riddles, CD-R list, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah", "url": "http://www.da-3.com/"} +{"d:Title": "Hookah Family", "d:Description": "Includes mailing lists, fan club, photographs, news, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah", "url": "http://www.hookahfamily.com/"} +{"d:Title": "Hookahheads", "d:Description": "Photos, setlists, bulletin boards, tour information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah", "url": "http://www.hookahheads.com/"} +{"d:Title": "Destination: Hookahville", "d:Description": "Fan's account and photographs of the festival.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah/Articles_and_Interviews", "url": "http://www.mrlee.com/journal/journal43.html"} +{"d:Title": "Wasted Away Again Down in Hookahville", "d:Description": "Chad White's experience at the group's bi-annual festival.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah/Articles_and_Interviews", "url": "http://www.theroc.org/roc-mag/textarch/roc-19/roc1922b.htm"} +{"d:Title": "An Interview with Cliff Starbuck", "d:Description": "Interview with the group's bass player, includes photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah/Articles_and_Interviews", "url": "http://members.tripod.com/bottom-feeder/BOTTOM/cliff.htm"} +{"d:Title": "15 Questions with Ekoostik Hookah", "d:Description": "Interview with the group by Todd Justus of JamBands.com.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ekoostik_Hookah/Articles_and_Interviews", "url": "http://www.jambands.com/features/1999/04/15/15-questions-with-ekoostik-hookah"} +{"d:Title": "Our Quick Chat with Elastica", "d:Description": "Interview with Elastica from \"(Waiting for the) Ghost Train\".", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elastica", "url": "http://members.tripod.com/~fritzh/Music/Elastica.htm"} +{"d:Title": "ArtistDirect: Elastica", "d:Description": "Contains a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elastica", "url": "http://www.artistdirect.com/artist/elastica/427064"} +{"d:Title": "Pop Matters: Elastica: The Menace", "d:Description": "Review by Sarah Zupko.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elastica", "url": "http://www.popmatters.com/pm/review/elastica-menace2/"} +{"d:Title": "Metacritic: Elastica: The Menace", "d:Description": "Multiple critic and user reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elastica", "url": "http://www.metacritic.com/music/the-menace/elastica"} +{"d:Title": "Crud Magazine: Elbow", "d:Description": "Review of an October 2001 gig at the London Astoria.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elbow", "url": "http://www.2-4-7-music.com/newsitems/oct01/elbow.asp"} +{"d:Title": "Elbow", "d:Description": "Official site with news, pictures, audio and video clips, releases, gigs, biography, lyrics and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elbow", "url": "http://www.elbow.co.uk/"} +{"d:Title": "Rock Around The World: ELO", "d:Description": "Newspaper article from December, 1976.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra", "url": "http://www.ratw.com/issues/7/elo.htm"} +{"d:Title": "Face The Music Germany", "d:Description": "Official German fan club for ELO and its former members. Membership information, along with information on band and solo projects, conventions and tour dates. Site in English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra", "url": "http://www.face-the-music.de/"} +{"d:Title": "Yahoo Groups: ELO and ELO Part II", "d:Description": "For the distribution of news, opinions and gossip about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra", "url": "http://groups.yahoo.com/group/eloandelopartii/"} +{"d:Title": "Face the Music", "d:Description": "Fanzine and information service for the band and related artists. News, biography, mailing lists and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra", "url": "http://www.ftmusic.com/"} +{"d:Title": "Rockaria", "d:Description": "Discography withlyrics, images of ELO memorabilia, and soundclips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra", "url": "http://www.rockaria.com/"} +{"d:Title": "Discovery", "d:Description": "Discography of bootlegs, covers and tribute bands. Clips from TV shows and commercials using Jeff Lynne or ELO's music.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra", "url": "http://www.elodiscovery.com/"} +{"d:Title": "ELO Webring", "d:Description": "Lists sites in the Electric Light Orchestra's ring.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra", "url": "http://www.webring.org/hub?ring=elo"} +{"d:Title": "Showdown - The ELO Mailing List", "d:Description": "Private e-mail list for discussion of ELO, Jeff Lynne, Orchestra (formerly ELO Part II), and other projects these artists worked on.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra/Chats_and_Forums", "url": "http://www.eskimo.com/~noanswer/showdown.html"} +{"d:Title": "The Orchestra", "d:Description": "Over the years Ken Latta has taken a number of Photos of ELO Part II in concert. This site Ken's created gives him the chance to share these memories with you.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Light_Orchestra/Electric_Light_Orchestra_Part_II", "url": "http://www.theorchestra.net/"} +{"d:Title": "ElectricSoftParade", "d:Description": "News, articles, pictures, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electric_Soft_Parade", "url": "http://electricsoftparade.org.uk/"} +{"d:Title": "Metacritic: Twisted Tenderness", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Electronic", "url": "http://www.metacritic.com/music/twisted-tenderness/electronic"} +{"d:Title": "Filmtracks: Danny Elfman Tribute", "d:Description": "A nicely constructed tribute to Danny Elfman.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elfman,_Danny", "url": "http://www.filmtracks.com/composers/elfman.shtml"} +{"d:Title": "Danny Elfman, Film and TV Composer", "d:Description": "Overview of his career and work and his musical style and influences, with filmography and CD recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elfman,_Danny", "url": "http://www.mfiles.co.uk/Composers/Danny-Elfman.htm"} +{"d:Title": "ScoreSheet Soundtrack Reviews: Sleepy Hollow", "d:Description": "A detailed review and track-by-track analysis for Elfman's Sleepy Hollow score.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elfman,_Danny", "url": "http://scoresheet.tripod.com/Reviews/sleepy_hollow.html"} +{"d:Title": "All Music Guide: Alecia Elliott", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Alecia", "url": "http://www.allmusic.com/artist/alecia-elliott-p380306"} +{"d:Title": "All Missy", "d:Description": "News, pictures, lyrics, audio, video, wallpapers and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.angelfire.com/hiphop3/allmissy/"} +{"d:Title": "Rock On The Net: Missy Elliott", "d:Description": "Rock on the Net includes daily news updates, information on your favorite artists, and a weekly compilation of major music charts.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.rockonthenet.com/artists-e/missyelliott_main.htm"} +{"d:Title": "Missy Elliott News: Topix", "d:Description": "News about Missy Elliott continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.topix.com/who/missy-elliott"} +{"d:Title": "Topix: Missy Elliott", "d:Description": "News about Missy Elliott, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.topix.com/rss/who/missy-elliott.xml"} +{"d:Title": "Missy-Elliot.com", "d:Description": "Official site with news, biography, discography and screensaver. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.missy-elliott.com/"} +{"d:Title": "All Music Guide: Missy Elliott", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.allmusic.com/artist/missy-elliott-p212097"} +{"d:Title": "AskMen.com: Missy Elliott", "d:Description": "Pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.askmen.com/celebs/women/singer_100/105_missy_elliott.html"} +{"d:Title": "MTV: Missy Elliott", "d:Description": "Includes a biography, discography and reviews, audio and video, music downloads, photos, interviews and a news archive.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.mtv.com/artists/missy-elliott"} +{"d:Title": "Yahoo Music: Missy Elliott", "d:Description": "Includes news, concert information, and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "https://music.yahoo.com/artist/missy-elliott/"} +{"d:Title": "Metacritic: Miss E So Addictive", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy", "url": "http://www.metacritic.com/music/miss-e-so-addictive/missy-elliott"} +{"d:Title": "Missy Elliot - Miss E - So Addictive", "d:Description": "Brian Kahn's review of the DVD-Audio version: \"I enjoyed the majority of this alternative, techno-infused R&B hip-hop album.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Elliott,_Missy/Reviews/Miss_E_...So_Addictive", "url": "http://www.avrev.com/music-disc-reviews/dvd-audio/missy-misdemeanor-elliot-so-addictive.html"} +{"d:Title": "Sophie Ellis-Bextor Gallery", "d:Description": "Screen captures from television shows she has appeared on, organized by program.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ellis-Bextor,_Sophie", "url": "http://www.koby.freeuk.com/sophie.html"} +{"d:Title": "Sophie Ellis Bextor Official Site", "d:Description": "Features biography, journal, tour dates, lyrics, audio and video clips, discography, forum and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ellis-Bextor,_Sophie", "url": "http://www.sophieellisbextor.net/"} +{"d:Title": "Sophie Ellis-Bextor News: Topix", "d:Description": "News about Sophie Ellis-Bextor continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ellis-Bextor,_Sophie", "url": "http://www.topix.com/who/sophie-ellis-bextor"} +{"d:Title": "All Music Guide: Sophie Ellis-Bextor", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ellis-Bextor,_Sophie", "url": "http://www.allmusic.com/artist/sophie-ellis-bextor-p509747"} +{"d:Title": "ELP Digest", "d:Description": "Fan-oriented site is devoted to accurate and up-to-date information about the past, present, and future group and/or solo projects of Keith Emerson, Greg Lake, and Carl Palmer.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://www.brain-salad.com/"} +{"d:Title": "ELP-Disc", "d:Description": "Homepage for the ELP-DISC mailing list. Anything ELP is the topic.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://www.angelfire.com/rock/MarkyDee/index.html"} +{"d:Title": "The Official ELP Global Web Site", "d:Description": "Emerson, Lake and Palmer - Official site of the classic rock trio featuring Keith Emerson, Greg Lake and Carl Palmer. Includes news, information, pictures, discographies, sound clips and an online gift shop.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://www.emersonlakepalmer.com/"} +{"d:Title": "RockMagic.net lyrics", "d:Description": "Emerson, Lake and Palmer song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://lyrics.rockmagic.net/lyrics/emerson_lake_and_palmer/"} +{"d:Title": "Emerson, Lake and Palmer - Lyrics", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://www.davemcnally.com/Lyrics/EmersonLakeandPalmer/"} +{"d:Title": "Covers at an Exhibition", "d:Description": "ELP bootleg cover artwork and tourlist.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://www.covers-at-an-exhibition.de/"} +{"d:Title": "Ladies of the Lake", "d:Description": "A Greg Lake tribute site containing a photo gallery, news and information, trivia, chat and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://ladiesofthelake.com/"} +{"d:Title": "Emerson Lake and Palmer Downunder", "d:Description": "Australian fan page, containing discography, concert listing, unofficial audio recordings; official and unofficial video recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/E/ELP", "url": "http://fetherston.tripod.com/index.html"} +{"d:Title": "Letter to Laredo", "d:Description": "Official Joe Ely site. Features history, newsletter, friends, tour information, lyrics, discography, reviews, article, online store, contact information, and a gallery of Joe's art.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ely,_Joe", "url": "http://www.ely.com/"} +{"d:Title": "Virtual Lubbock: Joe Ely", "d:Description": "An interview by Chris Ogelsby with the artist about his hometown - Lubbock, Texas.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ely,_Joe", "url": "http://www.virtualubbock.com/intJoeEly.html"} +{"d:Title": "The Austin Chronicle: Joe Ely", "d:Description": "Newspaper interview and article.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ely,_Joe", "url": "http://www.austinchronicle.com/issues/vol18/issue05/music.joeely.html"} +{"d:Title": "Joe Ely News: Topix", "d:Description": "News about Joe Ely continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ely,_Joe", "url": "http://www.topix.com/who/joe-ely"} +{"d:Title": "Joe Ely Has Found Gold Mine in Panhandle", "d:Description": "Newspaper article in the Amarillo Globe-News.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ely,_Joe", "url": "http://www.amarillo.com/stories/062200/ent_joeely.shtml"} +{"d:Title": "ArtistDirect: Joe Ely", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Ely,_Joe", "url": "http://www.artistdirect.com/artist/joe-ely/427533"} +{"d:Title": "Kazem's World", "d:Description": "Includes music in Real Audio format, biography, image gallery, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/E/El_Saher,_Kazem", "url": "http://kazem.8k.com/"} +{"d:Title": "Launch Kadim Al-Sahir Group", "d:Description": "Message board with chat and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/El_Saher,_Kazem", "url": "http://launch.groups.yahoo.com/group/kadimalsaheriraqisingerfc/"} +{"d:Title": "Mexican Elvis has 'G.I. Ay Ay Blues'", "d:Description": "Review of the artist's live show and how to contact him.", "topic": "Top/Arts/Music/Bands_and_Artists/E/El_Vez", "url": "http://www.musichead.com/01interviews/96-elvez.html"} +{"d:Title": "El Vez", "d:Description": "Collection of reviews of the Chicano Elvis impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/E/El_Vez", "url": "http://www.rockabillyhall.com/ElVez.html"} +{"d:Title": "Keith Emerson", "d:Description": "Official site includes biography, autobiography, Tech Talk, Emo Gear, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Emerson,_Keith", "url": "http://www.keithemerson.com/"} +{"d:Title": "Keith Emerson", "d:Description": "Includes art projects, images, musical quote source list and fingering chart of popular riffs. At the ELP Digest web site.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Emerson,_Keith", "url": "http://www.brain-salad.com/Emerson/emerson.html"} +{"d:Title": "Keith Emerson World", "d:Description": "A fan page with profile, autobiography, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Emerson,_Keith", "url": "http://keithemersonworld.tripod.com/"} +{"d:Title": "Keith Emerson's Synth Rig", "d:Description": "Keyboard tech Will Alexander reveals the secrets behind the legendary keyboardist's live rack.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Emerson,_Keith", "url": "http://www.popeye-x.com/tech/willalexander.htm"} +{"d:Title": "Keyboard Legend Keith Emerson Dead At 71", "d:Description": "Huffington Post article reporting Emerson's death on March 10, 2016.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Emerson,_Keith", "url": "http://www.huffingtonpost.com/entry/keith-emerson-dies-dead-lake-palmer_us_56e32e5be4b0b25c9181f300"} +{"d:Title": "The Unbelievable EMF", "d:Description": "Official site. MP3s, tablatures, discography, tour dates, images, information about band members' recent projects.", "topic": "Top/Arts/Music/Bands_and_Artists/E/EMF", "url": "http://www.emf-theband.com/"} +{"d:Title": "Shady Records", "d:Description": "Official News, downloads, tour dates and artist information for the record label run by Eminem. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem", "url": "http://www.shadyrecords.com/"} +{"d:Title": "Eminem.com", "d:Description": "Official site, includes news, audio/video, photos, discography, merchandise, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem", "url": "http://www.eminem.com/"} +{"d:Title": "Bettybowers.com: Eminem - Born Again!", "d:Description": "Satirized interview with Eminem.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Articles_and_Interviews", "url": "http://www.bettybowers.com/eminem.html"} +{"d:Title": "Topix:- Eminem", "d:Description": "News about the rapper continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Articles_and_Interviews", "url": "http://www.topix.com/who/eminem"} +{"d:Title": "Topix - Eminem", "d:Description": "Items related to the rapper, in news feed.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Articles_and_Interviews", "url": "http://www.topix.com/rss/who/eminem.xml"} +{"d:Title": "The Smoking Gun: Slim Shady", "d:Description": "Copy of the defamation lawsuit filed by Debbie Mathers-Briggs against Eminem.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Articles_and_Interviews", "url": "http://www.thesmokinggun.com/documents/crime/shady-louie-and-sleazy-0"} +{"d:Title": "Metacritic: The Marshall Mathers LP", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Articles_and_Interviews", "url": "http://www.metacritic.com/music/the-marshall-mathers-lp/eminem"} +{"d:Title": "MTV News Archive: Eminem", "d:Description": "Over 250 articles about the rapper, dating back to 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Articles_and_Interviews", "url": "http://www.mtv.com/artists/eminem/news/"} +{"d:Title": "Eminem Web Board", "d:Description": "Discussion forum for the rapper's fans. Requires registration to post messages.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Chats_and_Forums", "url": "http://www.eminemweb.com/board/"} +{"d:Title": "Shady Community", "d:Description": "Female orientated discussion forum on the rapper.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Chats_and_Forums", "url": "http://eminemkim.proboards.com/"} +{"d:Title": "Celebrity Spider: Eminem", "d:Description": "Links to news articles and websites.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Directories", "url": "http://www.celebrityspider.com/eminem.html"} +{"d:Title": "About.com: Eminem", "d:Description": "Collection of annotated links, and original articles.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Directories", "url": "http://top40.about.com/od/artistsdk/p/eminem.htm"} +{"d:Title": "Eminem Web", "d:Description": "Photos, audio/video, biography, discography, quotes, lyrics, and concert details.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Fan_Pages", "url": "http://www.eminemweb.com/"} +{"d:Title": "Eminem", "d:Description": "Photographs of the rapper and his family, quotes, trivia, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Fan_Pages", "url": "http://slimzch1c.tripod.com/"} +{"d:Title": "Eminem 24-7", "d:Description": "Lyrics, pictures, discography, biography, interviews and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Fan_Pages", "url": "http://www.eminem24-7.net/"} +{"d:Title": "TRshady.com", "d:Description": "Features a full discography, biography, gallery, downloads, latest news and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Fan_Pages", "url": "http://www.trshady.com/"} +{"d:Title": "Eminem Net", "d:Description": "Covers a wide variety of content on Eminem and has an active forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Fan_Pages", "url": "http://www.eminem.net/"} +{"d:Title": "All Eminem", "d:Description": "Offers gallery, filmography and information on other aspects of Eminems career.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Fan_Pages", "url": "http://eminemweb.yaia.com/"} +{"d:Title": "Eminem", "d:Description": "Offers history, rumor clarification, music samples, photos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Fan_Pages", "url": "http://www.angelfire.com/sc/arnoldj/frames.html"} +{"d:Title": "Eric's Eminem Lyrics", "d:Description": "Lyrics from every album released by the artist. Also features links to D12 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Lyrics", "url": "http://www.angelfire.com/hiphop/edog/eminemlyrics.html"} +{"d:Title": "Eminem Rocks: Lyrics", "d:Description": "Over 120 songs, subdivided by album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Lyrics", "url": "http://www.angelfire.com/ma3/eminemrocks/"} +{"d:Title": "Any Song Lyrics: Eminem", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Lyrics", "url": "http://www.anysonglyrics.com/lyrics/e/eminem.htm"} +{"d:Title": "Lyrics Freak: Eminem", "d:Description": "Alphabetical listing of lyrics from the Slim Shady and Marshall Mathers LPs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Lyrics", "url": "http://www.lyricsfreak.com/e/eminem/"} +{"d:Title": "ourLyrics.net: Eminem", "d:Description": "Lyrics organised by album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Lyrics", "url": "http://ourlyrics.net/artist_eminem"} +{"d:Title": "Abstracts.net: Eminem", "d:Description": "Picture gallery, biography, news, TV appearances, discography, games, and quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.abstracts.net/eminem/"} +{"d:Title": "Music Olympus: Eminem", "d:Description": "Lyrics, pictures, music, wallpaper, screensaver, winamp skins, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.musicolympus.com/eminem/"} +{"d:Title": "ArtistDirect: Eminem", "d:Description": "Photos, audio clips, biography, album information, tour dates, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.artistdirect.com/artist/eminem/715132"} +{"d:Title": "RollingStone.com: Eminem", "d:Description": "Biography, photo gallery, news, discography, album reviews, audio video, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.rollingstone.com/music/artists/eminem"} +{"d:Title": "AskMen.com: Eminem", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.askmen.com/celebs/men/entertainment/50_eminem.html"} +{"d:Title": "All Music Guide: Eminem", "d:Description": "Biography which discusses his influences, a discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.allmusic.com/artist/p347307"} +{"d:Title": "MTV.com: Eminem", "d:Description": "Videos, exclusive interviews, features, and record reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.mtv.com/artists/eminem/"} +{"d:Title": "Songsterr: Eminem", "d:Description": "Bass guitar tablature for Eminem songs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eminem/Resources", "url": "http://www.songsterr.com/a/wsa/eminem-tabs-a2257?inst=bass"} +{"d:Title": "Rik Emmett Network", "d:Description": "Official site. Includes interviews, photos, videos, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Emmett,_Rik", "url": "http://www.rikemmett.com/"} +{"d:Title": "MelodicRock.com: Rik Emmett", "d:Description": "Interview about his solo work and his time with Triumph.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Emmett,_Rik", "url": "http://www.melodicrock.com/interviews/rikemmett.html"} +{"d:Title": "UnRated Magazine: Endo", "d:Description": "Review and photos from the band's Chicago Ozzfest performance on July 20, 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Endo", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=123"} +{"d:Title": "Roddy's Irish Music Show: Engine Alley", "d:Description": "Interview with Brian and Canice Kenealy.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Engine_Alley", "url": "http://www.almostfabulous.com/rc/interviews/enginealley.php"} +{"d:Title": "Enigmamusic.com", "d:Description": "News, samples, videos, a chat room, message boards, and even a web radio station playing only Enigma.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enigma", "url": "http://www.enigmamusic.com/"} +{"d:Title": "Joar's Enigma Site", "d:Description": "News, a discography, a picture gallery, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enigma", "url": "http://www.five.no/enigma/"} +{"d:Title": "Michael Cretu", "d:Description": "Biography, lyrics, information, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enigma", "url": "http://www.michaelcretu.com/"} +{"d:Title": "The Enigma Collectors Discography", "d:Description": "A resource dedicated to collectors. Information, message board, and information on the rarity of various albums and singles.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enigma", "url": "http://www.angelfire.com/il/enigma/"} +{"d:Title": "Son of the Cretu Machine", "d:Description": "News and information about the German producer Michael Cretu and his music.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enigma", "url": "http://www.enigma-fanclub.com/"} +{"d:Title": "Yahoo Groups: Brian Eno", "d:Description": "Mailing list of approximately 55 members with a small number of links and other resources.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian", "url": "http://groups.yahoo.com/group/brianeno2/"} +{"d:Title": "Eno World", "d:Description": "Brian Eno fan pages including news, fan stories, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian", "url": "http://www.ibiblio.org/mal/MO/eno/"} +{"d:Title": "EnoWeb", "d:Description": "Extensive site with biography, discography and bibliography of Brian Eno's music. Also includes articles, lyrics, photo gallery, album art, interviews and links to other resources.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian", "url": "http://music.hyperreal.org/artists/brian_eno"} +{"d:Title": "More Dark Than Shark", "d:Description": "Fan pages with information on Brian Eno and links to other resources.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian", "url": "http://www.moredarkthanshark.org/"} +{"d:Title": "EDGE 3rd Culture: A Talk with Brian Eno", "d:Description": "Edge magazine interview covering culture, society and art.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Articles_and_Interviews", "url": "https://www.edge.org/3rd_culture/eno/eno_p1.html"} +{"d:Title": "Wire Interview: Strategies for Making Sense", "d:Description": "Interview with Brian Eno discussing art and philosophy of composition.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Articles_and_Interviews", "url": "http://music.hyperreal.org/artists/brian_eno/interviews/wire95.html"} +{"d:Title": "In Motion Magazine Article: Brian Eno", "d:Description": "Transcript of Brian Eno discussing Generative Music at the Imagination Conference in San Francisco, June 8, 1996.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Articles_and_Interviews", "url": "http://www.inmotionmagazine.com/eno1.html"} +{"d:Title": "Fourth Door Research - Ambient Lightworks", "d:Description": "Article by Kevin Eden discussing Brian Eno's use of video in installation art.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Articles_and_Interviews", "url": "http://www.fourthdoor.co.uk/unstructured/unstructured_01/eno1.html"} +{"d:Title": "Oblique Strategies Garden", "d:Description": "Strange version that places the quotes in groups randomly on the page.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Oblique_Strategies", "url": "http://www.urticator.net/work/6/643.html"} +{"d:Title": "Oblique Strategies Web Site", "d:Description": "Fan pages devoted to history of the Oblique Strategies that includes links to online versions.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Oblique_Strategies", "url": "http://www.rtqe.net/ObliqueStrategies/"} +{"d:Title": "Ambience for the Masses: Brian Eno reviews", "d:Description": "Eclectic reviews of solo and collaborative CDs. Includes some audio file samples.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.sleepbot.com/ambience/page/eno.html"} +{"d:Title": "Tentative Review: Kevin Ayers, Brian Eno, Nico&John Cale - June 1, 1974", "d:Description": "Review by Christopher Currie originally posted to alt.music.yes. \"Perhaps the concert experience itself was more exciting than the product which was eventually released.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.tranglos.com/marek/yes/tr_43.html"} +{"d:Title": "All Music Guide: Eno Box II: Vocals", "d:Description": "Review by Lindsay Planer.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/eno-box-ii-vocals-mw0000105002"} +{"d:Title": "All Music Guide: Brian Eno - Dali's Car", "d:Description": "Review by David Ross Smith of the live Eno collaboration with 801 and the Winkies. Score 4 of 5. \"The recording picked up the buzz and excitement in the crowd...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/dalis-car-mw0001882848"} +{"d:Title": "All Music Guide: Brian Eno, Dieter Moebius, Hans-Joachim Roedelius and Conrad Plank - Begegnungen II", "d:Description": "Review by David Ross Smith, score 4 of 5. \"...an appealing mix of artsy electronic ambiance and progressive Krautrock.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/begegnungen-ii-mw0000189819"} +{"d:Title": "All Music Guide: Brian Eno - Sonora Portraits", "d:Description": "Review of this compilation CD by David Ross Smith, 1.5 of 5 stars. \"an odd selection of Eno's ambient work\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/sonora-portraits-brian-eno-mw0000668924"} +{"d:Title": "All Music Guide: Brian Eno and Robert Fripp - No Pussyfooting", "d:Description": "Review by Ted Mills, 4.5 stars. \"...guitar and synth elements building on top of each other, the music slowly evolving, and Fripp ending the piece with low dive-bombing feedback that swoops over the soundscape, bringing the piece to its conclusion.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/no-pussyfooting-mw0000650170"} +{"d:Title": "All Music Guide: Dieter Moebius, Hans-Joachim Roedelius and Brian Eno - After the Heat", "d:Description": "Reviewed by William Ruhlmann, 3 stars. \"...consists of slow-moving instrumentals full of repeated synthesizer sound patterns and sustained guitar notes in the ambient style...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/after-the-heat-reissue-mw0000180809"} +{"d:Title": "All Music Guide: Brian Eno - The Shutov Assembly", "d:Description": "Review by William Ruhlmann, score 3 of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/the-shutov-assembly-mw0000093058"} +{"d:Title": "All Music Guide: Brian Eno - Ali Click [EP]", "d:Description": "Review by David Ross Smith, 3 of 5 stars. \"Eno's sound-over-sense lyrics, presented in rap-like rhymes, heighten the composition's appeal.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/ali-click-mw0000242232"} +{"d:Title": "All Music Guide: Brian Eno - Fractal Zoom [EP, 11 track version]", "d:Description": "Reviews by David Ross Smith, 3 of 5 stars. \"There is some refreshing and even unlikely material here.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/fractal-zoom-mw0000616777"} +{"d:Title": "All Music Guide: Brian Eno and Various Artists - Music for Films, Vol. 3 [Warner]", "d:Description": "Review by Ted Mills, 3 of 5 stars. \"This third installment is more a label sampler...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/music-for-films-vol-3-warner-mw0000652466"} +{"d:Title": "All Music Guide: Laraaji - Ambient 3: Day of Radiance", "d:Description": "Rating of the 3rd record of Brian Eno's Ambient series. Rated 3 of 5, no review comments listed.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/ambient-3-day-of-radiance-mw0000190727"} +{"d:Title": "All Music Guide: Brian Eno and David Byrne - My Life in the Bush of Ghosts", "d:Description": "Review by John Bush, score 4.5 of 5. \"A pioneering work for countless styles ...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/my-life-in-the-bush-of-ghosts-mw0000651183"} +{"d:Title": "All Music Guide: More Blank Than Frank (Desert Island Selection)", "d:Description": "Review by Stewart Mason.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/more-blank-than-frank-desert-island-selection-mw0000263010"} +{"d:Title": "All Music Guide: Brian Eno - One Word X 2 CD Single", "d:Description": "Review by William Ruhlmann, 3 of 5 stars. \"...the disc makes a good, short sampler of Eno's (and Cale's) pop work of the late '80s and early '90s.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/one-word-x-2-mw0000840115"} +{"d:Title": "All Music Guide: Jon Hassell and Brian Eno - Fourth World, Vol. 1: Possible Musics", "d:Description": "Review by William Ruhlmann, 3 stars. \"Typical of both musicians, the music is slow and trance-like, and typical of Middle Eastern music, it has an odd, often wailing tonality...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/fourth-world-vol-1-possible-musics-mw0000193720"} +{"d:Title": "All Music Guide: Brian Eno, Conrad Plank, Dieter Moebius, Hans-Joachim Roedelius - Begegnungen", "d:Description": "Review by David Ross Smith, score 4 of 5. \"...an eclectic mix of progressive Krautrock and artistic, ambient electronica, is the best of its genre.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/begegnungen-mw0000194063"} +{"d:Title": "All Music Guide: Brian Eno and Robert Fripp - Headcandy", "d:Description": "Review of this out of print CD-ROM by Roch Parisien, score 2 of 5. \"...trippy light shows of the psychedelic '60s.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/headcandy-mw0000121656"} +{"d:Title": "All Music Guide: Brian Eno - Imaginary Landscapes", "d:Description": "David Ross Smith reviews the 1989 video. 2 of 5 stars. \"...eye-opening for those familiar with him only as a producer for popular bands like Talking Heads and U2.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews", "url": "http://www.allmusic.com/album/imaginary-landscapes-mw0001890752"} +{"d:Title": "Musthear: Brian Eno - Ambient 1- Music for Airports", "d:Description": "Review by Joseph Buck. \"It's an album about listening. It's an album about hearing.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Ambient_1_-_Music_for_Airports", "url": "http://www.musthear.com/reviews/musicforairports.html"} +{"d:Title": "The Ward Against Silence: Brian Eno and Bang on a Can Allstars - Music for Airports", "d:Description": "CD reviews of the Brian Eno and Bang on a Can All-stars recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Ambient_1_-_Music_for_Airports", "url": "http://www.furia.com/twas/twas0186.html"} +{"d:Title": "All Music Guide: Brian Eno - Ambient 1: Music for Airports", "d:Description": "Review by Linda Kohanov. 5 stars. \"They can hang in the background and add to the atmosphere of the room, yet the music also rewards close attention with a sonic richness absent in standard types of background or easy-listening music.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Ambient_1_-_Music_for_Airports", "url": "http://www.allmusic.com/album/ambient-1-music-for-airports-mw0000193717"} +{"d:Title": "Almost Cool: Brian Eno - Ambient 1- Music For Airports", "d:Description": "Fan site review. Rating 8.25. \"...whether you like it or not depends on your tolerance of both repetitive-ness and the quiet nature of the music itself.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Ambient_1_-_Music_for_Airports", "url": "http://www.almostcool.org/mr/394/"} +{"d:Title": "Q Music: Brian Eno - Ambient 4 - On Land", "d:Description": "4 of 5 stars. \"Music for mammals.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Ambient_4_-_On_Land", "url": "http://www.q4music.com/nav?page=q4music.review.redirect&fixture_review=118173&resource=118173&fixture_artist=142194"} +{"d:Title": "All Music Guide: Brian Eno - Ambient 4: On Land", "d:Description": "Review by Linda Kohanov, score 4 of 5. \"Eno's most masterful ambient effort...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Ambient_4_-_On_Land", "url": "http://www.allmusic.com/album/ambient-4-on-land-mw0000189816"} +{"d:Title": "Tentative Review: Brian Eno - Another Green World", "d:Description": "Review by Christopher Currie originally posted to alt.music.yes. \"Another Green World is frequently regarded as the apex of Brian Eno's career.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Another_Green_World", "url": "http://www.tranglos.com/marek/yes/tr_131.html"} +{"d:Title": "All Music Guide: Brian Eno - Another Green World", "d:Description": "Review by Steve Huey, 5 of 5 stars. \"...the perfect introduction to his achievements even for those who find ambient music difficult to enjoy.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Another_Green_World", "url": "http://www.allmusic.com/album/another-green-world-mw0000650120"} +{"d:Title": "All Music Guide: Brian Eno, Daniel Lanois and Roger Eno - Apollo: Atmospheres&Soundtracks", "d:Description": "Review by Matthew Greenwald, score 4.5 of 5. \"An exquisite experiment, Apollo takes Eno's spacescapes....and arranges them with some heavenly pedal steel guitar by Daniel Lanois.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Apollo_-_Atmospheres_and_Soundtracks", "url": "http://www.allmusic.com/album/apollo-atmospheres-soundtracks-mw0000189935"} +{"d:Title": "All Music Guide: Brian Eno - Before and After Science", "d:Description": "Review by David Ross Smith, 5 of 5 stars. \"...the most essential Eno material.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Before_and_After_Science", "url": "http://www.allmusic.com/album/before-and-after-science-mw0000193718"} +{"d:Title": "All Music Guide: Eno Box I: Instrumentals", "d:Description": "Review by Lindsay Planer.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Box_Set_1_-_Instrumental", "url": "http://www.allmusic.com/album/eno-box-i-instrumentals-mw0000111338"} +{"d:Title": "All Music Guide: Brian Eno - Discreet Music", "d:Description": "Review by Sean Westergaard.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Discreet_Music", "url": "http://www.allmusic.com/album/discreet-music-mw0000196896"} +{"d:Title": "Guardian Newspaper: Brian Eno and J Peter Schwalm - Drawn From Life", "d:Description": "Review page with review by John Aizlewood. 3 stars. \"...for the most part Drawn from Life is beguiling.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Drawn_From_Life", "url": "http://www.theguardian.com/lifeandstyle/2001/apr/27/shopping.culture2"} +{"d:Title": "All Music Guide: Brian Eno - The Drop", "d:Description": "Review by Stephen Thomas Erlewine, score 2.5 of 5. \"...illustrates that ambient doesn't all sound the same - it can be soothing and scary, sometimes both at once...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Drop,_The", "url": "http://www.allmusic.com/album/the-drop-mw0000026143"} +{"d:Title": "All Music Guide: Brian Eno - Here Come the Warm Jets", "d:Description": "Five star review by Steve Huey. \"...coaxes otherworldly noises and textures from the treated guitars and keyboards, layering them in complex arrangements or bouncing them off one another in a weird cacophony. Avant-garde yet very accessible...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Here_Come_the_Warm_Jets", "url": "http://www.allmusic.com/album/here-come-the-warm-jets-mw0000650121"} +{"d:Title": "All Music Guide: Brian Eno - Music for Films", "d:Description": "Review by Jason Ankeny, 3 of 5 stars. \"...moody, instrumental electronic pieces intended as soundtrack material for imaginary motion pictures.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Music_for_Films", "url": "http://www.allmusic.com/album/music-for-films-mw0000189936"} +{"d:Title": "Almost Cool: Brian Eno - Music For Films", "d:Description": "Fan pages review, score 8.5 of 10. \"...his early work proves that he has always been important as a musician and innovator.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Music_for_Films", "url": "http://www.almostcool.org/mr/397/"} +{"d:Title": "All Music Guide: Brian Eno - Neroli", "d:Description": "Review by Keir Langley.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Neroli", "url": "http://www.allmusic.com/album/neroli-mw0000101881"} +{"d:Title": "All Music Guide: Brian Eno - Nerve Net", "d:Description": "Review by Rick Anderson, score 2.5 of 5. \"Overall, this album is quite fun but nothing to get too awfully excited about.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Nerve_Net", "url": "http://www.allmusic.com/album/nerve-net-mw0000084408"} +{"d:Title": "All Music Guide: Brian Eno - Taking Tiger Mountain (By Strategy)", "d:Description": "Reviewed by Steve Huey, 5 stars. \"...richly layered arrangements juxtapose very different treated sounds, yet they blend and flow together perfectly...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Taking_Tiger_Mountain_By_Strategy", "url": "http://www.allmusic.com/album/taking-tiger-mountain-by-strategy-mw0000193719"} +{"d:Title": "All Music Guide: Brian Eno - Thursday Afternoon", "d:Description": "Review by Jason Ankeny.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Thursday_Afternoon", "url": "http://www.allmusic.com/album/thursday-afternoon-mw0000189818"} +{"d:Title": "All Music Guide: Brian Eno and John Cale - Wrong Way Up", "d:Description": "Review by William Ruhlmann 4.5 of 5 stars. \"Eno comes as close to the mainstream....and Cale is as catchy as he's been...\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Wrong_Way_Up", "url": "http://www.allmusic.com/album/wrong-way-up-mw0000690543"} +{"d:Title": "All Music Guide: Brian Eno - Words and Music from Wrong Way Up", "d:Description": "Review of the rare interview promotional recording. 2.5 of 5 stars. Includes a brief description of the subject matter of the interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eno,_Brian/Reviews/Wrong_Way_Up", "url": "http://www.allmusic.com/album/words-and-music-from-wrong-way-up-mw0001124661"} +{"d:Title": "Rockmagic.net: Entombed Lyrics", "d:Description": "Entombed song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Entombed", "url": "http://lyrics.rockmagic.net/lyrics/entombed/"} +{"d:Title": "Remnants of Reason: Entombed", "d:Description": "Reviews, cover art, and track listings for the group's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Entombed", "url": "http://www.remnantsofreason.com/legacy/entombed.html"} +{"d:Title": "The Walnut Street Gallery: John Entwistle", "d:Description": "Serigraphs of his artwork available for purchase.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Entwistle,_John", "url": "http://www.walnutst.com/cart/artist.php?category=24"} +{"d:Title": "John Entwistle", "d:Description": "filmography for John Entwistle", "topic": "Top/Arts/Music/Bands_and_Artists/E/Entwistle,_John", "url": "http://www.imdb.com/name/nm0258200/"} +{"d:Title": "Z'nuff Web", "d:Description": "Fan site including reviews, games, chat, trivia, a discussion board, band discography, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enuff_Z'Nuff", "url": "http://www.znuff.8m.com/"} +{"d:Title": "Enuff Z'Nuff Online", "d:Description": "Official site with news, tour dates, the band's discography, member information, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enuff_Z'Nuff", "url": "http://www.enuffznuff.com/"} +{"d:Title": "Peachfuzz", "d:Description": "Band biography, lyrics, multimedia, guitar tablature, concert reviews, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enuff_Z'Nuff", "url": "http://www.peachfuzz.org/"} +{"d:Title": "Official Enuff Z'Nuff Fan Club", "d:Description": "News, mailing list information, tour dates, and how to join the club.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enuff_Z'Nuff", "url": "http://www.angelfire.com/on/eznfanclub/index.html"} +{"d:Title": "MusicMight: Enuff Z'Nuff", "d:Description": "Biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enuff_Z'Nuff", "url": "http://www.musicmight.com/artist/united+states/illinois/blue+island/enuff+z+27nuff"} +{"d:Title": "MTV: Enuff Z'nuff", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enuff_Z'Nuff", "url": "http://www.mtv.com/artists/enuff-znuff/"} +{"d:Title": "The Official Enya Website", "d:Description": "Features news, audio, video, discography, FAQs, forum and competitions.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya", "url": "http://www.enya.com/"} +{"d:Title": "(6433) Enya", "d:Description": "Information on the minor planet named for the singer, which is in a 3.7-year elliptical orbit around the sun ranging in distance from 280 million km.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0006433.html"} +{"d:Title": "Enya.sk", "d:Description": "Includes news, biography, discography, lyrics, liner notes, articles, videos, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya", "url": "http://enya.sk/"} +{"d:Title": "Book of Days", "d:Description": "Collection of articles and interview transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya", "url": "http://enyabookofdays.com/"} +{"d:Title": "Enya - Translations and Lyrics", "d:Description": "Translations and corrections of Enya song lyrics. Annotated translation of Enya songs not in English. Also lyrics for songs that are incorrect or absent in album printings.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya", "url": "http://www.pathname.com/enya/"} +{"d:Title": "All Music Guide: Enya", "d:Description": "Features biography, history, timeline, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya", "url": "http://www.allmusic.com/artist/enya-mn0000988471"} +{"d:Title": "Metacritic: A Day Without Rain", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya", "url": "http://www.metacritic.com/music/a-day-without-rain/enya"} +{"d:Title": "Unity", "d:Description": "Official Enya forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Chats_and_Forums", "url": "http://unity.enya.com/"} +{"d:Title": "Marble Halls: An Enya Forum", "d:Description": "Forum to discuss Enya's music, Ireland and Celtic culture.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Chats_and_Forums", "url": "http://enyaforum.proboards.com/"} +{"d:Title": "Enya Home Page", "d:Description": "Collection of MIDIs, image galleries and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Fan_Pages", "url": "http://www.angelfire.com/ny5/davesworld56/EnyaHomePage.html"} +{"d:Title": "Essence of Eithne", "d:Description": "A digital art gallery of Enya portraits.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Fan_Pages", "url": "http://www.eoe.telligys.com/"} +{"d:Title": "Ebudae", "d:Description": "Provides biography, discography, photos, and various downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Fan_Pages", "url": "http://www.ebudae.ru/english/bio.html"} +{"d:Title": "Enya by Stein Veger", "d:Description": "Fan page featuring MIDI music, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Fan_Pages", "url": "http://www.sysrq.info/~stv/enya/index.html"} +{"d:Title": "Enya Lyrics", "d:Description": "Features song lyrics and translations, biography, discography and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Fan_Pages", "url": "http://enyaone.com/"} +{"d:Title": "Music of Dreams", "d:Description": "Contains biography, picture archive, fan test, lyrics with translations, and fansite club.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Enya/Fan_Pages", "url": "http://lesien.free.fr/"} +{"d:Title": "En Vogue Street Team: Yahoo Group", "d:Description": "features message board, photos, links, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/E/En_Vogue", "url": "http://groups.yahoo.com/group/envoguestreetteam"} +{"d:Title": "AskMen.com: En Vogue", "d:Description": "Pictures, biography, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/En_Vogue", "url": "http://www.askmen.com/celebs/women/singer/35_en_vogue.html"} +{"d:Title": "MTV: En Vogue", "d:Description": "Includes news, bio, message board, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/En_Vogue", "url": "http://www.mtv.com/artists/en-vogue/"} +{"d:Title": "All Music Guide: En Vogue", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/En_Vogue", "url": "http://www.allmusic.com/artist/en-vogue-mn0000160690"} +{"d:Title": "En Vogue: Funky Divas", "d:Description": "Fan site features pictures, discography, videography, lyrics, downloads, and information about Terry Ellis' solo career.", "topic": "Top/Arts/Music/Bands_and_Artists/E/En_Vogue", "url": "http://jonjonson.tripod.com/EnVogue.html"} +{"d:Title": "Epica", "d:Description": "Official site of the Dutch gothic metal band. News, biography, discography, audio samples, reviews, show dates, tour diary, photos, wallpapers, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Epica", "url": "http://www.epica.nl/"} +{"d:Title": "All Music Guide: Epica", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Epica", "url": "http://www.allmusic.com/artist/epica-mn0000741385"} +{"d:Title": "Reverb Central: The Complete Episode Six", "d:Description": "A review of the band's compilation album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Episode_Six", "url": "http://www.reverbcentral.com/reviews/e/episode2156.html"} +{"d:Title": "ArtistDirect: Episode Six", "d:Description": "Profile, photograph, links, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Episode_Six", "url": "http://www.artistdirect.com/artist/episode-six/427877"} +{"d:Title": "Erasurette", "d:Description": "Features news, exclusive pictures, interviews and articles, audio and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://erasurette.com/"} +{"d:Title": "Onge's Erasure Page", "d:Description": "Contains discography, lyrics, downloads, rare MP3s, MIDI files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://www.onges-erasure-page.co.uk/"} +{"d:Title": "Musicfolio: Erasure", "d:Description": "Discography with capsule album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://musicfolio.com/modernrock/erasure.html"} +{"d:Title": "AskMen.com - Erasure", "d:Description": "Exclusive interview with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://www.askmen.com/toys/interview_60/74_erasure_interview.html"} +{"d:Title": "Erasure Information Service", "d:Description": "Official site offering news, interviews, forums, photos, and a discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://www.erasureinfo.com/"} +{"d:Title": "Twelfth of Never Productions", "d:Description": "Contains lyrics collection, rare music and video archives, and tribute projects.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://ergh.org/twelfth/"} +{"d:Title": "Erasure Gig-ography", "d:Description": "Offers tour and performance schedules.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://www.erasuregig.com/"} +{"d:Title": "Erasure.tk", "d:Description": "Contains pictures, MP3s, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://erasure.macbay.de/"} +{"d:Title": "Hugo Fernbom's Erasure page", "d:Description": "Fan site includes history and an album discography with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://www.synt.nu/erasure/"} +{"d:Title": "Erasure FAQ", "d:Description": "Information about Erasure's history and recordings, related bands, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://www.thunderguy.com/erasure/"} +{"d:Title": "Erasure - Mute Records", "d:Description": "Erasure's record label's website.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://mute.com/artists/erasure"} +{"d:Title": "MTV: Erasure", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erasure", "url": "http://www.mtv.com/artists/erasure/"} +{"d:Title": "Roky Erickson Online", "d:Description": "Official site includes a discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erickson,_Roky", "url": "http://www.erickson.com/"} +{"d:Title": "Roky Erickson FAQ", "d:Description": "Includes a discography and chronology of his career; from Perfect Sound Forever.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erickson,_Roky", "url": "http://www.furious.com/perfect/roky.html"} +{"d:Title": "All That May Do My Rhyme - Roky Erickson", "d:Description": "Review of his most recent album from Network Audio Bits Electronic Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Erickson,_Roky", "url": "http://maine.edu/~n-audio/Roky.html"} +{"d:Title": "Pilgrim's Perspective", "d:Description": "Article concerning King Errisson and other related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Errisson,_King", "url": "http://niceup.com/writers/pilgrim/perspective"} +{"d:Title": "ArtistDirect: King Errisson", "d:Description": "Includes a message board and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Errisson,_King", "url": "http://www.artistdirect.com/artist/king-errisson/428053"} +{"d:Title": "All Music Guide: Escape Club", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Escape_Club,_The", "url": "http://www.allmusic.com/artist/escape-club-p4200"} +{"d:Title": "Alejandro Escovedo Home Page", "d:Description": "Official site includes audio, video, tour dates, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Escovedo,_Alejandro", "url": "http://www.alejandroescovedo.com/"} +{"d:Title": "Onion AV Club: Alejandro Escovedo", "d:Description": "Interview with No Depression's artist of the decade.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Escovedo,_Alejandro", "url": "http://www.avclub.com/article/alejandro-escovedo-13519"} +{"d:Title": "Espiritu's World", "d:Description": "Fan site includes track listings, singles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Espiritu", "url": "http://www.angelfire.com/yt/espiritu/"} +{"d:Title": "Gloria Estefan - Destiny's Page", "d:Description": "Fan site includes news, pictures, biography, discography, awards, filmography, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Estefan,_Gloria", "url": "http://users.skynet.be/gloriaestefan"} +{"d:Title": "All Music Guide: Gloria Estefan", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Estefan,_Gloria", "url": "http://www.allmusic.com/artist/gloria-estefan-p4204"} +{"d:Title": "MTV: Gloria Estefan", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Estefan,_Gloria", "url": "http://www.mtv.com/artists/gloria-estefan/"} +{"d:Title": "All Music Guide: Toni Estes", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Estes,_Toni", "url": "http://www.allmusic.com/artist/toni-estes-mn0000517676"} +{"d:Title": "AskMen.com: Esthero", "d:Description": "Includes images, biography, commentary, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Esthero", "url": "http://www.askmen.com/celebs/women/singer_60/90_esthero.html"} +{"d:Title": "All Music Guide: Esthero", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Esthero", "url": "http://www.allmusic.com/artist/esthero-mn0000166604"} +{"d:Title": "Melissa Etheridge Interview", "d:Description": "Melissa talked to Jay S. Jacobs soon after her \"Yes I Am\" album was released.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://www.popentertainment.com/etheridge.htm"} +{"d:Title": "Melissa Etheridge Club", "d:Description": "For fans of Melissa Etheridge. Includes discussion board, and chat abilities with online members.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://groups.yahoo.com/group/melissaetheridge2/"} +{"d:Title": "Melissa Etheridge Meeting Place", "d:Description": "Offers discussion board, chat with members.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://groups.yahoo.com/group/melissaetheridgemeetingplace/"} +{"d:Title": "Melissa Etheridge Information Network", "d:Description": "Official site with news, biography, tour and club information, discography, and free e-mail.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://www.melissaetheridge.com/"} +{"d:Title": "Melissa Etheridge News: Topix", "d:Description": "News about Melissa Etheridge continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://www.topix.com/who/melissa-etheridge"} +{"d:Title": "Topix: Melissa Etheridge", "d:Description": "News about Melissa Etheridge, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://www.topix.com/rss/who/melissa-etheridge.xml"} +{"d:Title": "Melissa Etheridge Rocks", "d:Description": "A large collection of wallpapers devoted to the rocker.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://onek.8m.com/index.html"} +{"d:Title": "All Music Guide: Melissa Etheridge", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://www.allmusic.com/artist/melissa-etheridge-p4205"} +{"d:Title": "MTV: Melissa Etheridge", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa", "url": "http://www.mtv.com/artists/melissa-etheridge/"} +{"d:Title": "Melissa Etheridge at Internet Music Links", "d:Description": "Links, sheet music, and rock collectibles.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa/Fan_Pages", "url": "http://members.tripod.com/link_home/melissaetheridge.html"} +{"d:Title": "Melissa Lou Etheridge", "d:Description": "Biography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa/Fan_Pages", "url": "http://www.angelfire.com/mi/wojtkiewicz/etheridge.html"} +{"d:Title": "Melissa Etheridge, the One and Only", "d:Description": "Includes audio samples, pictures, video clips and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa/Fan_Pages", "url": "http://www.angelfire.com/tx/MelissaE/"} +{"d:Title": "Speak True", "d:Description": "A site for fans who are not satisfied with the official fan club and representation.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etheridge,_Melissa/Fan_Pages", "url": "http://austringer.tripod.com/SpeakTrue.html"} +{"d:Title": "Wikipedia: Ruth Etting", "d:Description": "Biography with links to her major projects.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etting,_Ruth", "url": "http://en.wikipedia.org/wiki/Ruth_Etting"} +{"d:Title": "IMDb on Ruth Etting", "d:Description": "Short bio and filmography for Ruth Etting.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etting,_Ruth", "url": "http://www.imdb.com/name/nm0262190/"} +{"d:Title": "Ruth Etting: America's Sweetheart of Song", "d:Description": "Maintained by the granddaughter of one of her cousins. Guide to her albums, songs, movies and Broadway work, along with pictures, news and a blog.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etting,_Ruth", "url": "http://www.ruthetting.com/"} +{"d:Title": "The Jazz Age: Ruth Etting", "d:Description": "Image gallery with movie posters, sheet music, and publicity photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etting,_Ruth", "url": "http://www.jazzage1920s.com/ruthetting/ruthetting.php"} +{"d:Title": "All Music Guide: Ruth Etting", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etting,_Ruth", "url": "http://www.allmusic.com/artist/ruth-etting-p10513"} +{"d:Title": "University of Nebraska's Ruth Etting Display", "d:Description": "Rare photos from her childhood and early career in Chicago, New York, and Hollywood.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Etting,_Ruth", "url": "http://unllib.unl.edu/ettingdis/"} +{"d:Title": "All Music Guide: Eurogliders", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurogliders", "url": "http://www.allmusic.com/artist/eurogliders-p25201"} +{"d:Title": "Yahoo Groups: europefanclub", "d:Description": "Online message board for fan-to-fan communication.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Europe", "url": "http://groups.yahoo.com/group/europefanclub/"} +{"d:Title": "Rasikh's Europe Page", "d:Description": "Including tour dates of the band members, guitar tablatures, and a list of fan clubs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Europe", "url": "http://www.angelfire.com/nj/europepage/"} +{"d:Title": "Europe - Lyrics", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Europe", "url": "http://www.davemcnally.com/Lyrics/Europe/"} +{"d:Title": "MTV: Europe", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Europe", "url": "http://www.mtv.com/artists/europe/"} +{"d:Title": "Eurythmics Fan Web", "d:Description": "For fans of Eurythmics, Annie Lennox and Dave Stewart. News, photos, songs, videos, lyrics, features, links, fan-art, and the fan convention. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.ethrill.net/"} +{"d:Title": "Eurythmics - Lyrics", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.davemcnally.com/Lyrics/Eurythmics/"} +{"d:Title": "Alex's Eurythmics Revival", "d:Description": "Fansite with biographies and pictures of Annie Lennox and Dave Stewart, as well as lyrics, discography, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.angelfire.com/80s/eurythmics/"} +{"d:Title": "Sweet Dreams", "d:Description": "A humble page dedicated to music's greatest duo Dave and Annie who together formed Eurythmics.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://eurythmics4us.tripod.com/"} +{"d:Title": "Eurythmics - Annie Lennox - David A. Stewart - Discography", "d:Description": "Listing of all Eurythmics, Annie Lennox, David A. Stewart, The Tourists, The Catch, Longdancer releases. Also info on their videos, magazine covers and fanclub items.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.dnafiles.net/dna"} +{"d:Title": "Eurythmics News: Topix", "d:Description": "News about Eurythmics continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.topix.com/who/eurythmics"} +{"d:Title": "Eurythmistan", "d:Description": "News, lyrics, reviews, tour dates, past concert information, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.vibber.dk/eurythmistan/"} +{"d:Title": "David's Eurythmics Collection", "d:Description": "Record collection includes 1279 items: 7,10,12 inch vinyl; LPs; postcard singles; CD singles; CDs; cassettes; Videos; DVDs; VCDs and promotional items.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.freewebs.com/ddearin/"} +{"d:Title": "MTV: Eurythmics", "d:Description": "News, full biographies, musical influences, audio clips, photos, music videos, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.mtv.com/artists/eurythmics/"} +{"d:Title": "Ultimate Eurythmics", "d:Description": "Covers Dave Stewart&Annie Lennox of Eurythmics. Features discography, gigography, reviews, magazine articles, interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eurythmics", "url": "http://www.eurythmics-ultimate.com/"} +{"d:Title": "Evanescence", "d:Description": "Official site with audio and video clips, tour dates, an interview, biographies, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.evanescence.com/"} +{"d:Title": "Wikipedia: Evanescence", "d:Description": "The free encyclopedia entry for the band. With a short history of it.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://en.wikipedia.org/wiki/Evanescence"} +{"d:Title": "Yahoo! Groups : Evanescence", "d:Description": "Mailing List where Evanescence fans can share information with each other.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://groups.yahoo.com/group/evanescence/"} +{"d:Title": "Evanescence@Sgt. Detrius", "d:Description": "Fan site includes biography, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.angelfire.com/rock3/detrius/"} +{"d:Title": "Amy Lee Goddess of Music", "d:Description": "Large collection of Amy Lee Photos. There's her bio , groups, chat, lyrics, diary.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.angelfire.com/freak2/amylee/"} +{"d:Title": "Presence of the Fallen", "d:Description": "A site for Evanescence fans. Pictures, bio, etc.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://evanescencegurl77.tripod.com/presenceofthefallen/"} +{"d:Title": "Evanescence is Not A Christian Band.com", "d:Description": "Discography/lyrics, pictures, screen captures, concert reviews, and side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.notachristianband.com/"} +{"d:Title": "Topix - Evanescence", "d:Description": "Headline links from media sources worldwide", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.topix.com/who/evanescence"} +{"d:Title": "Topix: Evanescence", "d:Description": "News about Evanescence, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.topix.com/rss/who/evanescence.xml"} +{"d:Title": "All Music Guide: Evanescence", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.allmusic.com/artist/evanescence-p554863"} +{"d:Title": "AskMen.com: Amy Lee", "d:Description": "Feature includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.askmen.com/celebs/women/singer_200/231_amy_lee.html"} +{"d:Title": "MTV.com: Evanescence", "d:Description": "Featuring exclusive live videos, music, news, pictures and a short biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evanescence", "url": "http://www.mtv.com/artists/evanescence/"} +{"d:Title": "Faith Evans Fansite", "d:Description": "Fan site with news, biography and discography, song clips, tour dates, photos, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evans,_Faith", "url": "http://www.angelfire.com/mn/faithevans/"} +{"d:Title": "ArtistDirect: Faith Evans", "d:Description": "Includes a biography, links, pictures, a message board, and a listening room with song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evans,_Faith", "url": "http://www.artistdirect.com/artist/faith-evans/522695"} +{"d:Title": "All Music Guide: Faith Evans", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evans,_Faith", "url": "http://www.allmusic.com/artist/faith-evans-p151651"} +{"d:Title": "MTV: Faith Evans", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evans,_Faith", "url": "http://www.mtv.com/artists/faith-evans/"} +{"d:Title": "Crazy About These Guys", "d:Description": "Evan and Jaron fan site, includes biography, image gallery, discography, multimedia, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Evan_and_Jaron", "url": "http://evanandjaron.4t.com/"} +{"d:Title": "Eve's Plum Fan Site", "d:Description": "Lyrics, pictures, biography, discography and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve's_Plum", "url": "http://www.evesplum.i8.com/"} +{"d:Title": "MTV: Eve's Plum", "d:Description": "Source of Eve's Plum information, with daily music news, biography, links, RealAudio clips, and music videos in RealVideo.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve's_Plum", "url": "http://www.mtv.com/artists/eves-plum/"} +{"d:Title": "Learning 2 Smile", "d:Description": "Biographies, lyrics, pictures and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear", "url": "http://www.learning2smile.4t.com/"} +{"d:Title": "UnRated Magazine: Everclear", "d:Description": "Review of an April 2003 concert in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=99"} +{"d:Title": "MTV: Everclear", "d:Description": "Audio and video clips of songs, news, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear", "url": "http://www.mtv.com/artists/everclear/"} +{"d:Title": "Metacritic: Songs From An American Movie, Vol. 2", "d:Description": "Directory of reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear", "url": "http://www.metacritic.com/music/songs-from-an-american-movie-vol-2-good-time-for-a-bad-attitude/everclear"} +{"d:Title": "DropD: Everclear Grows Finer Over Time", "d:Description": "Review of the Everclear, Hagfish, and Triplefastaction concert at The Commodore Ballroom, Vancouver, British Columbia.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Articles_and_Interviews", "url": "http://www.dropd.com/issue/2/Everclear/"} +{"d:Title": "Atomduster: Everclear Interview", "d:Description": "Craig Montoya talks about the two-volume set \"Songs From an American Movie.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Articles_and_Interviews", "url": "http://www.atomicduster.com/interviews/everclear/everclear.htm"} +{"d:Title": "Entertainment Ave: Everclear", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/everclear.htm"} +{"d:Title": "Entertainment Ave: Everclear", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/everclear.htm"} +{"d:Title": "The Synthesis: Everclear - Success and Evolution", "d:Description": "Greg Eklund talks about \"So Much for the Afterglow\" and jobs before the band.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Articles_and_Interviews", "url": "http://www.angelfire.com/ca7/everclear2everyone/NewsI%26R7.html"} +{"d:Title": "Everclear Fan Club", "d:Description": "Yahoo message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Chats_and_Forums", "url": "http://groups.yahoo.com/group/everclearfanclub/"} +{"d:Title": "Sparkle and Fade Club: Everclear", "d:Description": "Yahoo group. Almost 200 members.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Chats_and_Forums", "url": "http://groups.yahoo.com/group/everclearsparkleandfadeclub/"} +{"d:Title": "Everclear", "d:Description": "News, lyrics, and links for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.angelfire.com/sd/cocaentertainment/everclear.html"} +{"d:Title": "Everclear", "d:Description": "Pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://members.tripod.com/Kipli161/"} +{"d:Title": "Everclear 007", "d:Description": "Band information, discography, images, music, videos and links. [Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://members.tripod.com/everclr007/h.html"} +{"d:Title": "White Lightning: Everclear", "d:Description": "News, tour information, concert chronology, FAQ, discography, memorabilia, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.whitelightning.org/"} +{"d:Title": "Hungry and Hollow: Everclear", "d:Description": "Studio news, solo appearances, RIAA status, biographies, chronology, MP3s, video clips, desktop themes, skins, and covers of the band's music.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.hungryandhollow.com/"} +{"d:Title": "Local God: An Everclear Page", "d:Description": "Pictures, movies, sounds, lyrics, and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://members.tripod.com/~local_god/"} +{"d:Title": "Crazy With an Evil Streak: Everclear", "d:Description": "Includes lyrics, biographies, frequently asked questions, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.angelfire.com/rock3/everclearonl/"} +{"d:Title": "Here We Go Again: Everclear", "d:Description": "Includes news, tour dates, media events, photo gallery, polls, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.angelfire.com/rock/herewego/"} +{"d:Title": "Everclear 2 Everyone", "d:Description": "Pictures, biographies, quotes, trivia, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.angelfire.com/ca7/everclear2everyone/"} +{"d:Title": "Matt's Music Page: Everclear", "d:Description": "Images, sounds, lyrics, videos, banners, and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.mattsmusicpage.com/neverclea.htm"} +{"d:Title": "Pale Green Strawberries: Everclear", "d:Description": "Includes news, calendar, Craig Montoya fan club, guide to lyrical vocabulary, and fan encounters.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.angelfire.com/me2/zazu/index2.htm"} +{"d:Title": "Craig's Everclear Site", "d:Description": "Discography, band information, photos, lyrics section, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://cgvalentine.tripod.com/everclear/"} +{"d:Title": "Songsterr: Everclear Bass Tabs", "d:Description": "Tablature for the band's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everclear/Fan_Pages", "url": "http://www.songsterr.com/a/wsa/everclear-tabs-a102?inst=bass"} +{"d:Title": "Whitey's Crib", "d:Description": "Includes news, pictures, music videos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everlast", "url": "http://www.angelfire.com/music3/whiteyscrib/"} +{"d:Title": "House of Everlast", "d:Description": "Information-filled site with biography, chat, multimedia, lyrics, tablatures, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everlast", "url": "http://www.house-of-everlast.8m.com/index2.html"} +{"d:Title": "LyricsFreak: Everlast", "d:Description": "Lyrics sorted by album and song.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everlast", "url": "http://www.lyricsfreak.com/e/everlast/"} +{"d:Title": "The Seventh Realm: Everlast", "d:Description": "Review of the album \"Whitey Ford Sings the Blues.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everlast", "url": "http://www.angelfire.com/planet/fallenempiretrendy/everlast.html"} +{"d:Title": "MTV: Everlast", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everlast", "url": "http://www.mtv.com/artists/everlast/"} +{"d:Title": "Metacritic: Eat at Whitey's", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everlast", "url": "http://www.metacritic.com/music/eat-at-whiteys/everlast"} +{"d:Title": "Everything But The Girl", "d:Description": "Big official site with news, biography, discography, and writing by Ben and Tracey.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everything_but_the_Girl", "url": "http://www.ebtg.com/"} +{"d:Title": "PopEntertainment.com: Everything but the Girl", "d:Description": "Review of the greatest hits collection \"Like the Deserts Miss the Rain.\"", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everything_but_the_Girl", "url": "http://www.popentertainment.com/ebtg.htm"} +{"d:Title": "Entertainment Ave: Everything But the Girl", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everything_but_the_Girl", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/e/ebtg/eb112796.htm"} +{"d:Title": "TrouserPress.com: Everything but the Girl", "d:Description": "Review of the duo's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everything_but_the_Girl", "url": "http://www.trouserpress.com/entry.php?a=everything_but_the_girl"} +{"d:Title": "All Music Guide: Everything But the Girl", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everything_but_the_Girl", "url": "http://www.allmusic.com/artist/everything-but-the-girl-p4209"} +{"d:Title": "Salon: Being Everything But the Girl", "d:Description": "Interview with Ben Watt on spiritual music, moving the dance floor and the subtle variations of house. (Sept. 28, 1999)", "topic": "Top/Arts/Music/Bands_and_Artists/E/Everything_but_the_Girl", "url": "http://www.salon.com/1999/09/28/everything/"} +{"d:Title": "Every Little Thing", "d:Description": "News, profile, discography, mp3s, lyrics, videos and links. Popular Japanese group.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Every_Little_Thing", "url": "http://elzardt.8m.com/"} +{"d:Title": "Tomobiki.com J-Pop/J-Rock Guide: Every Little Thing", "d:Description": "Biography, pictures, reviews, audio clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Every_Little_Thing", "url": "http://www.furinkan.com/tomobiki/wagaku/artists/elt.htm"} +{"d:Title": "Eve 6", "d:Description": "Official site includes news, biography, discography, tour dates, audio/video files, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve_6", "url": "http://www.eve6.com/"} +{"d:Title": "Matt's Music Page: Eve 6", "d:Description": "Images, chat, tablature, lyrics, videos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve_6", "url": "http://www.mattsmusicpage.com/neve6.htm"} +{"d:Title": "Eve6 : Small Town Trap", "d:Description": "Features Eve 6 photographs, concert reviews, tour dates, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve_6", "url": "http://www.angelfire.com/pa/mikeysize/main.html"} +{"d:Title": "Entertainment Ave: Eve 6", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve_6", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/eve6.htm"} +{"d:Title": "Entertainment Ave: Eve 6", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve_6", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/eve_6.htm"} +{"d:Title": "ArtistDirect: Eve 6", "d:Description": "Profile of the band, concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve_6", "url": "http://www.artistdirect.com/artist/eve-6/661419"} +{"d:Title": "MTV: Eve 6", "d:Description": "Includes sound files, biography, news, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eve_6", "url": "http://www.mtv.com/artists/eve-6/"} +{"d:Title": "The Exies Yahoo Group", "d:Description": "Fan run message board with occasional posts and chats with the band members. Available for everyone to read; join to post and view photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Exies", "url": "http://groups.yahoo.com/group/theexies/"} +{"d:Title": "Exit to Windsor", "d:Description": "Official site includes news, photographs, audio clips, concert dates, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Exit_to_Windsor", "url": "http://exittowindsor.tripod.com/"} +{"d:Title": "Exit to Windsor", "d:Description": "Contains news, biography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Exit_to_Windsor", "url": "http://www.angelfire.com/mi2/ExittoWindsor/"} +{"d:Title": "The Expose' Epistle", "d:Description": "Online and print newsletter. Issues, photos, faq, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Expos\u00e9", "url": "http://www.expose-epistle.org/"} +{"d:Title": "All Music Guide: Expos\u00e9", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Expos\u00e9", "url": "http://www.allmusic.com/artist/expos-p4214"} +{"d:Title": "DaveMcNally.com: Extreme", "d:Description": "Lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Extreme", "url": "http://www.davemcnally.com/Lyrics/Extreme/"} +{"d:Title": "MTV: Extreme", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Extreme", "url": "http://www.mtv.com/artists/extreme/"} +{"d:Title": "Songsterr: Extreme", "d:Description": "Collection of bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Extreme", "url": "http://www.songsterr.com/a/wsa/extreme-tabs-a2073?inst=bass"} +{"d:Title": "The Eyeliners", "d:Description": "Official site with news, tour dates, message board, discography, pictures, MP3s, reviews, artwork and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/E/Eyeliners,_The", "url": "http://www.theeyeliners.com/"} +{"d:Title": "Fang, Liu", "d:Description": "Montreal, Canada pipa and guzheng player. Biography, discography, reviews, press, and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.philmultic.com/"} +{"d:Title": "Fisher, Rebekka", "d:Description": "Songwriter based out of Minneapolis. Biography, concert schedule, news, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.rebekkafisher.com/"} +{"d:Title": "Fisk, Donna and Michael Cristian", "d:Description": "Country singer/songwriters from Australia. Includes a biography, lyrics and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fiskandcristian.com/"} +{"d:Title": "Forever", "d:Description": "Teen girl duo. Includes news, profile, discography, audio clips, pictures, lyrics, guestbook, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/pop/foreversofficialsite/"} +{"d:Title": "Five Man Electrical Band", "d:Description": "Official site for on the Canadian rock group from the '70s.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fivemanelectricalband.ca/"} +{"d:Title": "Film", "d:Description": "Official website. Reviews, MP3s, audio and video clips, tickets, tour dates and where to buy cds online.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.planetfilm.com/"} +{"d:Title": "Fur Betty Shakes", "d:Description": "Three piece rock band from the Gold Coast in Australia. Biography, news, tour dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/la/bettyshakes/"} +{"d:Title": "Friedman, Dean", "d:Description": "Singer/songwriter from New York. Biography, concert schedule, and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://deanfriedman.com/"} +{"d:Title": "Fedorov, Leonid", "d:Description": "Rock guitarist and composer from Russia: biography, discography, photos, reviews, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.leonidfedorov.ru/index_e.html"} +{"d:Title": "Flynn, John", "d:Description": "Singer/songwriter from Pennsylvania. Concert schedule, biography and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.johnflynn.net/"} +{"d:Title": "Filterheadz", "d:Description": "Official site of the techno band from Belgium. Biography, discography, and remixography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.filterheadz.com/"} +{"d:Title": "Feel Free", "d:Description": "German rock band. Media, links and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.feelfreeband.de/"} +{"d:Title": "Freak Kitchen", "d:Description": "Swedish rock band. Discography, news, contact information and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.freakkitchen.com/"} +{"d:Title": "Felt", "d:Description": "Tribute to the band from the 1980's. Discography, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://felt.planetaclix.pt/"} +{"d:Title": "Flashcats, The", "d:Description": "Rhythm and blues band from Philadelphia.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.flashcats.com/"} +{"d:Title": "Fenwicks, The", "d:Description": "The world's only ska-funk-folk-punk amalgamation.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thefenwicks.com/"} +{"d:Title": "Flung", "d:Description": "Home of Flung comics and the band of the same name. Online comix stories, downloadable songs, other amusements.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://flung.net/"} +{"d:Title": "Fantom Star", "d:Description": "Vietnamese pop music band. RealAudio and MP3.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.vienxu.com/fantomstar/"} +{"d:Title": "Freedman, Mike", "d:Description": "Singer/songwriter from Toronto, Ontario. Biography, contact information, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.mikefreedman.com/"} +{"d:Title": "Fairchild, Amy", "d:Description": "Singer/songwriter from New York City. Includes a biography, tour dates, photos, links and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.amyfairchild.com/"} +{"d:Title": "French, Harry", "d:Description": "Musician, vocalist and harmonica player. Performs in the Florida keys and in Cape Cod, Massachusetts.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.harryfrench.com/"} +{"d:Title": "Fig, Anton", "d:Description": "Late Show with David Letterman drummer. Offers news, biography, discography, and a concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.antonfig.com/"} +{"d:Title": "Flip-side", "d:Description": "Mix one quart pop-punk, one cup of ska, emo, and 50's, a dash of hardcore, and you've got this up and coming, NY band's sound.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.lewr.com/secret/"} +{"d:Title": "Fuzzbox", "d:Description": "Official website of the Singapore band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/mb/fuzzbox/"} +{"d:Title": "Fidler, Jim", "d:Description": "Folk/Celtic artist from Newfoundland. Biography, reviews and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.jimfidler.com/"} +{"d:Title": "Fire Alley", "d:Description": "Arizona hard rock and blues band. Biography, audio downloads and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.firealley.com/"} +{"d:Title": "Flin", "d:Description": "An up-and-coming alternative rock band out of Danbury, CT.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/myband/flin/"} +{"d:Title": "Fry that Boot", "d:Description": "Alternative Punk band based in Montreal. Includes discography, audio clips, photos, show dates, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://frythatboot.tripod.com/"} +{"d:Title": "Fuktifino", "d:Description": "Profile, lyrics, and demo information for the Galway, Ireland based band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk2/fuktifino/index.html"} +{"d:Title": "First Call", "d:Description": "Fan site for the contemporary Christian vocal group.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://kevin_haynes.tripod.com/first_call_page.html"} +{"d:Title": "Fisher, Roger", "d:Description": "Solo music from the former guitarist of the bands Heart and Alias.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.rogerfisher.com/"} +{"d:Title": "FHG", "d:Description": "Minnesota-based alternative rock band. Biographies, concert information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/mn2/fhg/index.html"} +{"d:Title": "Fujita Piano Trio", "d:Description": "London-based piano trio by three award-winning Japanese sisters. Includes biography, photos, and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fujita.worldonline.co.uk/"} +{"d:Title": "Franson, Scott", "d:Description": "Acoustic rock artist from North Carolina. Biography, samples and CD order form.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.scottfranson.com/"} +{"d:Title": "Funky Munkyz", "d:Description": "Band from Davie, Florida. Includes tour information, photos, history, weblog, and e-mail list.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.funkymunkyz.com/"} +{"d:Title": "FireBush", "d:Description": "Lawrence-based rock band with web polls and personal member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/myband/FireBush9/"} +{"d:Title": "Froglick", "d:Description": "Utah-based band playing punk to funk, ska to metal, jazz to psycho-billy, and hip-hop to hardcore.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://froglick.com/"} +{"d:Title": "Fontana, Richie", "d:Description": "Biography, lyrics, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.richiefontana.com/"} +{"d:Title": "Fornever", "d:Description": "Gothic band. Biography, news, audio samples, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/rock/fornever/"} +{"d:Title": "Fetner, Ron", "d:Description": "Virginia-based contemporary folk songwriter. Discography, concert schedule and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.ronfetner.com/"} +{"d:Title": "Flannagan, Andy", "d:Description": "Christian rock artist from Luton, England. Song lyrics, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.andyflan.com/"} +{"d:Title": "Foster, Bruce", "d:Description": "Biography, links, and information. Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.brucefoster.com/"} +{"d:Title": "Fula", "d:Description": "Fast making waves in the venues of Manchester, and are gathering a following further afield. Their CD 'Dark Matter' is now available.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fula.co.uk/"} +{"d:Title": "FitzGerald, Karen", "d:Description": "Contemporary instrumental pianist/composer. Includes biography, reviews, sound clips, and performance calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.karenfitzgerald.com/"} +{"d:Title": "Fisk, Eliot", "d:Description": "Photographs and information on the classical guitarist and his performances, recordings, guitar festival, and teaching.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.eliotfisk.com/"} +{"d:Title": "Franklin, Dayna", "d:Description": "Drummer from Louisiana. Biography, audio downloads and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.daynafranklin.com/"} +{"d:Title": "Feng-Shui", "d:Description": "A metal band. Photos, news, song sample, band information, show dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/tx3/fengshuisucks/"} +{"d:Title": "Frederick, Robin", "d:Description": "Los Angeles-based singer. News, biography, and downloadable MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.robinfrederick.com/"} +{"d:Title": "Five Minute Freakshow", "d:Description": "Punk band from Reading, Pennsylvania. Biographies, concert schedule and song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk2/fiveminutefreakshow/"} +{"d:Title": "Fire and Ice", "d:Description": "Girl group from Marietta, Georgia. Biographies, news and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/myband/FireAndIce/"} +{"d:Title": "Full Throttle Aristotle", "d:Description": "Music, news, links to other great bands and comedy from the New York City-based band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/ny2/fta/"} +{"d:Title": "Fowley, Kim", "d:Description": "Official site features world wide chart highlights of composing, producing, publishing and performing credits.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.kimfowley.net/"} +{"d:Title": "Flutations", "d:Description": "Flute choir with bass and percussion based in Pennsylvania. Includes news and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.flutations.com/"} +{"d:Title": "Front Seat Failure", "d:Description": "Punk band from New York. Includes lyrics, biography, news, MP3s and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/band2/fsf/"} +{"d:Title": "Five Pointe O", "d:Description": "Audio clips, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/ns/fivepointe0/"} +{"d:Title": "Frozensouls", "d:Description": "Swedish band with information about their debut CD Burned.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://home.swipnet.se/~w-81250/"} +{"d:Title": "Fireking", "d:Description": "Rock duo. Includes biography, news, RealAudio samples, CD ordering details, live show information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.firekings.com/"} +{"d:Title": "Free For All", "d:Description": "Christian rock band from Hamilton, MI that is committed to spreading God's message through their music.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/mi2/freeforall/"} +{"d:Title": "Fiascos, The", "d:Description": "Christian punk band from York, Pennsylvania, US. Includes profile of the group, lyrics, tour dates, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://thefiascos.tripod.com/"} +{"d:Title": "Freshly Squeezed", "d:Description": "Orlando's newest pop punk band. See pictures and hear songs.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk/freshlysqueezed/"} +{"d:Title": "Foursidecircle", "d:Description": "The official site for the post-progressive-power-pop band from Texas. Includes news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://foursidecircle.indiegroup.com/"} +{"d:Title": "FrodoCPU", "d:Description": "MP3s and clips from the new CD for sale now; lyrics, photos and information about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.frodocpu.com/"} +{"d:Title": "Fox, Mimi", "d:Description": "Female jazz guitarist's official site offers a biography, itinerary, and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.mimifoxjazzguitar.com/"} +{"d:Title": "Farpoint", "d:Description": "Contains a biography of the band, current news, event and show information, song lyrics, photographs, and links to download songs.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.farpointband.com/"} +{"d:Title": "Friendly Indians", "d:Description": "Pop band from Orange County, California.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.friendlyindians.com/"} +{"d:Title": "Full Fledged", "d:Description": "The official site for the CT hard/punk/classic rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/ct2/fullfledged/index.html"} +{"d:Title": "Fugular Comority", "d:Description": "Band from Laurel/Columbia, Maryland playing heavy alternative music. Includes show listings, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/md/fugularcomority/"} +{"d:Title": "Five Star", "d:Description": "The place to be for all die hard fans of \"the royal family of pop.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://halley71.tripod.com/"} +{"d:Title": "Frogg Cafe", "d:Description": "New York based band, formerly a Frank Zappa tribute. Includes news, MP3s, releases, pictures and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.froggcafe.com/"} +{"d:Title": "Fabulous Hubcaps, The", "d:Description": "Band playing 50' and 60's music. Includes news, schedule, pictures, audio samples and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thehubcaps.com/"} +{"d:Title": "First Impression Band", "d:Description": "Wedding band from Indianapolis. Offers background and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.firstimpressionband.com/"} +{"d:Title": "Five Stairsteps", "d:Description": "History and fan comments about the soul group.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.soul-patrol.com/soul/five.htm"} +{"d:Title": "Flapping, Flapping", "d:Description": "Pop band from Santa Barbara.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.householdink.com/flapping.htm"} +{"d:Title": "Fordham, Julia", "d:Description": "British singer/songwriter. Includes images, news, interviews, articles, and bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://juliafordham.tripod.com/"} +{"d:Title": "Foxtrot Zulu", "d:Description": "Resource page for fans of the jam band, including setlists, tapetree, live recording archive, fan sites, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/music/foxtrotzulu/"} +{"d:Title": "Falling Down Stairs", "d:Description": "Local ska band from Townson, Maryland.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://falling.down.stairs.tripod.com/Fallindownstairs.htm"} +{"d:Title": "Felpeyu", "d:Description": "Asturian Celtic folk band. Biographies, discography, and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.felpeyu.com/ingles/"} +{"d:Title": "Fifth Year Crush", "d:Description": "A modern rock band from Gainesville. Biography, photos, song samples, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fifthyearcrush.com/"} +{"d:Title": "Forbert, Steve", "d:Description": "Official site of the singer/songwriter includes biography, discography, tour dates, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.steveforbert.com/"} +{"d:Title": "Fjellestad, Hans", "d:Description": "Composer/improviser.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.hansfjellestad.com/"} +{"d:Title": "Faraquet", "d:Description": "Discography, pictures, and interview with the Washington, DC band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://faraquet.5u.com/"} +{"d:Title": "Fulbright, Bill", "d:Description": "Hot Jazz and Blues player for over 38 years; sound file, buiography, live performance schedule and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://hotguitar.tripod.com/"} +{"d:Title": "Fighting Cocks, The", "d:Description": "London UK Gypsy rock band. News, live gigs, discography, photos and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.f-cocks.demon.co.uk/"} +{"d:Title": "Fireman, The", "d:Description": "Website devoted to the ambient-dance project made up of Paul McCartney and Youth.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/electronic/fireman/"} +{"d:Title": "Freire, Lea", "d:Description": "Brazilian flutist/composer. Short profile with RealAudio.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://brazilianmusic.com/leafreire/"} +{"d:Title": "Fox, Tom", "d:Description": "Rhythm and blues singer from Liverpool, England.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/rnb/tomfox/"} +{"d:Title": "Fancy", "d:Description": "German dance-pop vocalist and musician. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fancy-online.net/"} +{"d:Title": "Fiftywatthead", "d:Description": "Indie rock group from Ontario, US. Includes biography, pictures, show dates, discography, and press.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/indie/fiftywatthead/"} +{"d:Title": "Fellner, Deirdre", "d:Description": "Vocalist and musician who interprets the great Jazz, Blues, Soul, and rhythm and blues legends.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fixintowail.com/"} +{"d:Title": "Four Piece Suit", "d:Description": "Home page of the critically acclaimed Boston band. Features MP3s, RealAudio, photos, and gig listings.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fourpiecesuit.com/"} +{"d:Title": "Fake Ideal", "d:Description": "Official site of Doncaster, England 1999 Battle of the Bands champions.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/in2/fakeideal/"} +{"d:Title": "Fabulous Harmonaires, The", "d:Description": "Official site of the doo-wop group from Dallas, Texas. Profiles, show dates, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fabulousharmonaires.com/"} +{"d:Title": "Ferrer, Ibrahim", "d:Description": "Description of his discography, tour information, and work with Buena Vista Social Club.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.afrocubaweb.com/IbrahimFerrer.htm"} +{"d:Title": "Forsaken Malta", "d:Description": "News, biographies, photographs, lyrics, gigs, news, and MP3s for the doom and progressive metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://forsaken_malta.tripod.com/"} +{"d:Title": "Friss, Bobby", "d:Description": "Southeast rock artist's official site includes photos, press reviews, biography and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.bobbyfriss.com/"} +{"d:Title": "Faithfield, Rory", "d:Description": "Alternative organic pop artist. Contains music downloads, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.faithfield.com/"} +{"d:Title": "Fusty Luggs", "d:Description": "Punk band from Tucson, Arizona. Lyrics, pictures, news and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/az2/fustyluggs/"} +{"d:Title": "F.O.A.D.", "d:Description": "Punk-rock group from Austin, Texas. Includes pictures, show dates, lyrics and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.f.o.a.d.20m.com/"} +{"d:Title": "Fahres, John", "d:Description": "Baltimore area acoustic rock singer and songwriter. Includes tour schedule, audio files, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/md/johnfahres/"} +{"d:Title": "Four Months Gone", "d:Description": "Official site of the four-piece pop/rock group. Includes band profile, news, audio clips, guestbook, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fourmonthsgone.4mg.com/"} +{"d:Title": "Fraggles, The", "d:Description": "A New Orleans based ska/punk/rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thefraggles.net/"} +{"d:Title": "Flamin' Dick and the Hot Rods", "d:Description": "A '50s and '60s rock band playing from 1955 through 1968. Based out of Reading, Pa. area.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://flamindickandthehotrods.homestead.com/Home.html"} +{"d:Title": "Flatline", "d:Description": "Hardcore-metal trio from Wisconsin.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/wi/CosticSwitch/"} +{"d:Title": "Faded Black", "d:Description": "Metal band from Chatham, Ontario, Canada. Includes band information, audio, video, lyrics, links, and fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fadedblacksite.tripod.com/"} +{"d:Title": "Fenix Rising", "d:Description": "Official site of the jazz/rhythm and blues group. Includes line up, calendar, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fenix_rising.tripod.com/"} +{"d:Title": "Freedom", "d:Description": "Alternative rock band from South Jersey. Concert schedule, news and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/indie/thefreedomexperience/"} +{"d:Title": "Fetal Genius", "d:Description": "Grunge/metal band from mid-state Illinois. Discography, biography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/band/fetalgenius/"} +{"d:Title": "Fastest Turbo Fire Engine", "d:Description": "Minneapolis-based hard rock band. News, concert information and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/rock2/ftfe/"} +{"d:Title": "Fifth Avenue", "d:Description": "Contemporary rock band from Australia. Calendar, song reviews and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fifthavemplace.tripod.com/5thave/"} +{"d:Title": "Funk Conspiracy", "d:Description": "Wedding and function band from Newcastle upon Tyne, England. Live funk, soul and disco music. Includes photos, repetoire and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.funkconspiracy.com/"} +{"d:Title": "Fuzeboxx", "d:Description": "Metal band from Arkansas. News, concert schedule and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fuzeboxx.tripod.com/fuzeboxx/"} +{"d:Title": "Freudian Press", "d:Description": "Five piece cover band from Peoria, Illinois. Press, concert schedule, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://freudianpress.indiegroup.com/"} +{"d:Title": "Faith By Promise", "d:Description": "Christian punk band from Pennsylvania. Biographies, concert schedule and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk3/faithbypromise/"} +{"d:Title": "Faded", "d:Description": "Four piece rock/metal band from Perth, Scotland. Links, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://faded2012ad.tripod.com/"} +{"d:Title": "Flip Kings, The", "d:Description": "Rock band from Manchester, Connecticut. News, photos and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.theflipkings.freewebspace.com/"} +{"d:Title": "Fiascos, The", "d:Description": "Rock band from Columbus, Ohio. Concert schedule, discography and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fiascosohio.tripod.com/"} +{"d:Title": "Furniture Music", "d:Description": "Alternative rock band from New York City. Concert schedule, biography, and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.furnituremusic.org/"} +{"d:Title": "Foored", "d:Description": "Emo acoustic duo from Iowa. Biographies, lyrics and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://floored_theband.tripod.com/"} +{"d:Title": "Fauntleroy", "d:Description": "Alternative rock trio from Huntington Beach, California. Biographies, concert schedule, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fauntleroyband.tripod.com/"} +{"d:Title": "Fingerett, Sally", "d:Description": "Chicago-based singer/songwriter and member of the \"Four Bitchin' Babes\". Tour schedule, discography, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.sallyfingerett.com/"} +{"d:Title": "Falcon, Adam", "d:Description": "New York singer/songwriter. Biography, reviews and cds with audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.adamfalcon.com/"} +{"d:Title": "Fuchs, Henning", "d:Description": "German musician. Biography, discography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.henningfuchs.com/"} +{"d:Title": "Finlay, Morgan", "d:Description": "Indie rock artist from Toronto, Ontario. Audio downloads, pictures, biography, and a web journal.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.morganfinlay.com/"} +{"d:Title": "Four Bitchin' Babes", "d:Description": "Singer-songwriter quartet. Includes biographies, press kit, tour dates, MP3s, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fourbitchinbabes.com/"} +{"d:Title": "Firegoat", "d:Description": "Rock band from the Netherlands. Profile, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.firegoat.com/"} +{"d:Title": "Flowers For Breakfast", "d:Description": "Former Belgian alternative band. Features biography, discography, lyrics and sound, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.flowersforbreakfast.com/"} +{"d:Title": "Franke, Denice", "d:Description": "Official page for the Texas folk/country musician. Includes photos, reviews, audio clips, tour dates, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.denicefranke.com/"} +{"d:Title": "Formula, The", "d:Description": "A young four piece rock/funk quartet from the Detroit, Michigan area.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/mi/originalfourmula/"} +{"d:Title": "Freeland, Jon", "d:Description": "Florida-based musician. News, concerts, music, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.jonfreeland.com/"} +{"d:Title": "Faded", "d:Description": "Metal band from Austin, Texas. Audio downloads, biography, links, and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://angelfire.com/music4/fadedmusic"} +{"d:Title": "Foti, Keith", "d:Description": "Los Angeles based singer-songwriter. News, tour dates, audio downloads, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.keithfoti.com/"} +{"d:Title": "Fashion Flesh", "d:Description": "Electronic/experimental audio artist.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fashionflesh.com/"} +{"d:Title": "Fleetwoods, The", "d:Description": "Rock and Roll band from the 1950's. Photos, lyrics and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thefleetwoods.us/"} +{"d:Title": "Fox, Neal", "d:Description": "Pop-rock singer-songwriter. Biography, discography, song samples, studio information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.wireduck.com/"} +{"d:Title": "Funkservice International", "d:Description": "Swedish music project based on jazz, soul and world music.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.funkservice.com/"} +{"d:Title": "Fumble", "d:Description": "British Rock'n'Roll revival band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fumbleontheweb.com/"} +{"d:Title": "Faully", "d:Description": "Band from Mankato, Minnesota.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.faully.com/"} +{"d:Title": "First Night", "d:Description": "Close harmony cabaret group. Reviews, profile, samples and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.firstnight-cabaret.co.uk/"} +{"d:Title": "Fox, Allie", "d:Description": "Scottish singer-songwriter and acoustic guitarist. Concert dates, reviews, lyrics and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.vixenrecords.com/"} +{"d:Title": "Flud Forty", "d:Description": "Biographies, lyrics, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://capacitymusic.tripod.com/home.htm"} +{"d:Title": "Free Beer", "d:Description": "Michigan band's site with gigs, pictures, profiles, song list and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.freebeernet.com/"} +{"d:Title": "Fuzzy Carrot Nipples", "d:Description": "Rock band from Colorado Springs, Colorado. Includes biographies, photos, press releases, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fuzzycarrotnipples.com/"} +{"d:Title": "Franck and Caesar", "d:Description": "Electronic pop duet. Includes facts, news, downloadable songs and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://ngerges.free.fr/"} +{"d:Title": "Fishheads", "d:Description": "Island style party band from Nebraska. Includes schedule, booking information, and a band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fishheads.com/"} +{"d:Title": "Fox, Tom", "d:Description": "Singer/songwriter from London, England.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.tomfox.net/"} +{"d:Title": "Force of Nature", "d:Description": "Rock band from Eureka, California. News, concert schedule and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.humboldtmusic.com/webpage/index.cfm?id=855"} +{"d:Title": "Fat Slinky", "d:Description": "Rock band from Sydney. Biography, audio samples and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/band2/fatslinky/fatslinky.html"} +{"d:Title": "Fetish 69", "d:Description": "Official site includes discography, history, lyrics, MP3 files, press and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://members.chello.at/christianfuchs/fetish69/"} +{"d:Title": "F.O.N.", "d:Description": "Pop punk band from Chula Vista, California. Biography, pictures, links and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk4/fonpage/index.html"} +{"d:Title": "Frankenstein", "d:Description": "Los Angeles-based punk/death rock/psychobilly band. Show dates, news, song samples, images, biographies, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.frankensteintheband.com/"} +{"d:Title": "Fifty Grit", "d:Description": "Official website of the Seattle roots/rock band. Includes show schedule, member information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fiftygrit.com/"} +{"d:Title": "Fetisch", "d:Description": "Yahoo club for fans of the underground band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://launch.groups.yahoo.com/group/whatsyourfetisch/"} +{"d:Title": "Fabulous Oldies But Goodies Band, The", "d:Description": "Show band from Lansing, Michigan.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fabulousoldiesbutgoodies.com/"} +{"d:Title": "Fallen Stars, The", "d:Description": "California-based rock group. Offers member profiles, audio samples, performance calendar, reviews and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thefallenstars.com/"} +{"d:Title": "Feckless Beast", "d:Description": "MP3s, press, member profiles and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fecklessbeast.com/"} +{"d:Title": "Fryed Brothers Band, The", "d:Description": "Schedule, description and pictures of a biker band, who play Country to Rock'n'Roll to Rhythm and Blues.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fryedbrothersband.com/"} +{"d:Title": "Francis, John", "d:Description": "Guitar and voice music. Site offers news, music samples and dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thejohnfrancis.com/"} +{"d:Title": "Furious George", "d:Description": "Official site. All the information you could ever want about the punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.georgetabb.com/"} +{"d:Title": "Freaky DNA", "d:Description": "Electronic group based simultaneously in Vancouver and Munich. Includes news, profiles, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.freakydna.com/"} +{"d:Title": "Fascination", "d:Description": "Original accordion duo. Site offers a biography, overview, MP3 files, press reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://members.chello.nl/~s.stamhuis/"} +{"d:Title": "Force, The", "d:Description": "A four piece Rock band from the North East of England. Gig, member, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.theforce.org.uk/"} +{"d:Title": "Flying Other Brothers Band, The", "d:Description": "Gig information, pictures, biography, articles, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fob.com/"} +{"d:Title": "Fogarty, Philip", "d:Description": "Soundbytes, up and coming gigs, updates, lyrics and sheep news; all the latest on this solo artist from Clare.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.philipfogarty.com/"} +{"d:Title": "Fort, Anat", "d:Description": "Discography, biographical and booking information for the international pianist.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://anatfort.com/"} +{"d:Title": "FastForward", "d:Description": "Lafayette, Indiana area based rock band. Concert information, links and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.fastforwardrock.com/"} +{"d:Title": "Forest Green", "d:Description": "Celtic music. Band information, picture gallery, and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.forestgreenmusic.com/"} +{"d:Title": "Fryd, Peter", "d:Description": "Rock artist from Helsinki, Finland. News, discography and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.peterfryd.com/"} +{"d:Title": "Fourth World", "d:Description": "Jazz band. Biography, discography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.melt.co.za/index.php?main_page=page&id=27&chapter=0"} +{"d:Title": "Faith Healers, The", "d:Description": "British band. Includes pictures, discography, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://homepage.univie.ac.at/horst.prillinger/healers/"} +{"d:Title": "Froggatt, Raymond", "d:Description": "UK-based country music singer and songwriter. Biography, photos, merchandise, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.raymond-froggatt.com/"} +{"d:Title": "Filmpalast", "d:Description": "Includes history, audio, and band reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.filmpalast.co.uk/"} +{"d:Title": "Freeflow", "d:Description": "Profiles and pictures of the Florida rapcore group.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://freeflow-music.tripod.com/"} +{"d:Title": "Flattus", "d:Description": "Funk band. Contains history, a list of past band members, biographies, discography, audio and video samples, photographs, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.mentalafro.com/flattus/"} +{"d:Title": "Finlin, Jeff", "d:Description": "Singer/songwriter based in Nashville, Tennessee. Biography, news, tour dates, discography, reviews, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://jefffinlin.com/"} +{"d:Title": "Georgie Fame Blog", "d:Description": "Georgie Fame concert dates, news, reviews and information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://georgie-fame.blogspot.com/"} +{"d:Title": "Face-the-Gap", "d:Description": "Homepage of the melodic acoustic rock-pop band based in England.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://home.arcor.de/facethegap/"} +{"d:Title": "Falling Through", "d:Description": "English rock band. Concert schedule, photo gallery and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fallingthrough.tripod.com/Band/Index.htm"} +{"d:Title": "Frederiksen, Fergie", "d:Description": "Official site of the ex-Toto, Trillion and LeRoux vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fergiefrederiksen.wordpress.com/"} +{"d:Title": "Fahl, Mary", "d:Description": "Official site of singer/songwriter Mary Fahl. Includes news, a biography, tour schedule and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.maryfahl.com/"} +{"d:Title": "Funkabilly Playboys, The", "d:Description": "Florida-based party band. Includes concert schedule and band photos", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.funkabillyplayboys.com/"} +{"d:Title": "Franco, Lisa Lynne", "d:Description": "Celtic harpist from Germany. News, photos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.lisalynne.com/"} +{"d:Title": "Firedaze", "d:Description": "Indie folk-rock band from Warwickshire, England. Include history, profiles of musicians, albums, video, photos from gigs, contact and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.firedaze.co.uk/"} +{"d:Title": "Fieger, Doug", "d:Description": "Information about the frontman for The Knack. News, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://dougfieger.com/"} +{"d:Title": "Farzad", "d:Description": "Multi-genre violinist and composer. Includes biography, performance schedule, reviews, and discography with audio samples and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://farzadmusic.com/"} +{"d:Title": "Farrant, Stuart Menzies", "d:Description": "U.K. singer/songwriter. Biography, news, reviews and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.stuartmenziesfarrant.com/"} +{"d:Title": "Field, Ken", "d:Description": "Saxophonist/flautist/composer. Biography, discography, news, articles, schedule, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://kenfield.org/"} +{"d:Title": "Frail", "d:Description": "Straight edge band from Pennsylvania. Discography, audio downloads and image galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.frail.aplusjimages.com/"} +{"d:Title": "Floating Men, The", "d:Description": "Nashville alt-country band. Biography, discography, pictures, videos and discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.floatingmen.com/"} +{"d:Title": "Friction Farm", "d:Description": "Modern folk duo. Includes album information, show dates, blog and press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://frictionfarm.com/"} +{"d:Title": "Flew, Shane", "d:Description": "Australian singer/songwriter. Biography, image gallery and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.shaneflew.com/"} +{"d:Title": "Flagg, Egor", "d:Description": "Rock&roll drummer from the '60s. Lyrics, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.egor.ws/"} +{"d:Title": "Fagan, Scott", "d:Description": "Virgin Islands singer-songwriter; includes interview, discography, pictures, and information on the rock opera \"Soon.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://scottfagan.com/"} +{"d:Title": "Flight Crash Companion", "d:Description": "News, music downloads, show dates, lyrics and blog for the New York \"anti-band.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://flightcrashcompanion.com/"} +{"d:Title": "Flesheaters, The", "d:Description": "Combines punk rock with rockabilly and death rock. Band history and information.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.deathrock.com/flesheaters"} +{"d:Title": "Full Tilt Band, The", "d:Description": "Northern California-based live dance music party band. Testimonials, song list and samples, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://thefulltiltband.org/"} +{"d:Title": "Flora, Ross", "d:Description": "Singer-songwriter and guitarist from Southwest Virginia. Profile, pictures and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.rossflora.com/"} +{"d:Title": "Fratellis, The", "d:Description": "Indie-rock band from Glasgow, Scotland. News, gigs, discography, videos, forums and members-only section.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thefratellis.com/"} +{"d:Title": "Fleet, Nathan", "d:Description": "A solo singer/songwriter from Hamilton, Ontario. Artist information, CD information and ordering and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://nathanfleet.com/"} +{"d:Title": "Fernhill", "d:Description": "Welsh folk band. Band introduction, gig dates, pictures, links, news, album information, lyrics, and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://fernhillmusic.tumblr.com/"} +{"d:Title": "Foals", "d:Description": "English rock band's site features tour dates, video and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.foals.co.uk/"} +{"d:Title": "Four Legged Faithful, The", "d:Description": "New England quartet using bluegrass instruments. Band overview, shows, audio, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.thefourleggedfaithful.com/"} +{"d:Title": "Feckless Boy, A", "d:Description": "Audio, writing, gigs and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.afecklessboy.co.uk/"} +{"d:Title": "Fine Times", "d:Description": "Pop-rock duo from Vancouver, Canada. A blog with videos, photos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://finetimes.ca/"} +{"d:Title": "Felix, Julie", "d:Description": "Singer, songwriter and peace protester. Site contains gig, recording and appearance news.", "topic": "Top/Arts/Music/Bands_and_Artists/F", "url": "http://www.juliefelix.co.uk/"} +{"d:Title": "Fabba", "d:Description": "The live Abba tribute band who tour worldwide, performing at events, with numerous television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fabba", "url": "http://www.fabba.com/"} +{"d:Title": "Lara Fabian", "d:Description": "Official site with biography, song samples, video clips, lyrics, discography, and pictures. [English and French]", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fabian,_Lara", "url": "http://www.larafabian.com/"} +{"d:Title": "AskMen.com: Lara Fabian", "d:Description": "Pictures, biography, ratings and links on the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fabian,_Lara", "url": "http://www.askmen.com/celebs/women/singer/44_lara_fabian.html"} +{"d:Title": "All Music Guide: Lara Fabian", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fabian,_Lara", "url": "http://www.allmusic.com/artist/lara-fabian-mn0000779599"} +{"d:Title": "Fabulous Thunderbirds", "d:Description": "Official site includes biography, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fabulous_Thunderbirds,_The", "url": "http://www.fabulousthunderbirds.com/"} +{"d:Title": "Preston Hubbard", "d:Description": "Official site of the Thunderbirds' former bassist includes detailed biography, partial discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fabulous_Thunderbirds,_The", "url": "http://prestonhubbard.com/"} +{"d:Title": "Macspages", "d:Description": "Mac was the piano and organ player for Small Faces and the Faces. He has also played with The Rolling Stones, Bonnie Raitt and Bob Dylan.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faces,_The", "url": "http://www.macspages.com/"} +{"d:Title": "Five Guys Walk Into a Bar . . .", "d:Description": "Official site features biographies, discography, photos, lyrics, sounds and chords.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faces,_The", "url": "http://www.the-faces.com/"} +{"d:Title": "Ronnie Lane: The Texas Years", "d:Description": "Detailed description of the work of Ronnie Lane from 1984 to 1994, by Kent H. Benjamin.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faces,_The/Lane,_Ronnie", "url": "http://www.furious.com/perfect/ronnielane.html"} +{"d:Title": "Lane, Ronnie", "d:Description": "News, biography, discography, lyrics, tabs and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faces,_The/Lane,_Ronnie", "url": "http://www.angelfire.com/home/telleveryone/"} +{"d:Title": "Room for Ravers: Ronnie Lane", "d:Description": "Obituaries from various newspapers, following Ronnie's death on 4th june 1997.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faces,_The/Lane,_Ronnie", "url": "http://www.makingtime.co.uk/rfr/ronnieob.htm"} +{"d:Title": "Plonk: A Ronnie Lane Resource", "d:Description": "Discography, interviews and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faces,_The/Lane,_Ronnie", "url": "http://www.the-faces.com/lane/"} +{"d:Title": "Face To Face", "d:Description": "Official site containing the latest news, audio and video files, tour dates, links, and band merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Face_to_Face", "url": "http://facetofacemusic.com/"} +{"d:Title": "14 Left", "d:Description": "Fan site for the band. Includes pictures, lyrics, tour information, concert experiences, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Factory_81", "url": "http://www.angelfire.com/band/14left/"} +{"d:Title": "Sludge: A Factory 81 Fansite", "d:Description": "Features biography, member profiles, images, interviews, articles, tablature, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Factory_81", "url": "http://www.angelfire.com/band/sludge/"} +{"d:Title": "Autobahn Project: Fad Gadget Lyrics", "d:Description": "Lyrics from three of his albums.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fad_Gadget", "url": "http://www.anos80.com.br/Fad_Gadget/Lyrics.html"} +{"d:Title": "The Official Fad Gadget/Frank Tovey Homepage", "d:Description": "Includes biography, discography, photo gallery, news, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fad_Gadget", "url": "http://www.fadgadget.co.uk/"} +{"d:Title": "Donald Fagen Words and Music Promo", "d:Description": "Interview in RealAudio format.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fagen,_Donald", "url": "http://www.broberg.pp.se/df_words_music.htm"} +{"d:Title": "TrouserPress.com: Fairground Attraction", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fairground_Attraction", "url": "http://www.trouserpress.com/entry.php?a=fairground_attraction"} +{"d:Title": "Remembering Fairground Attraction", "d:Description": "Fan site includes news, history, discographies, reviews, articles, and photos. In English and Japanese.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fairground_Attraction", "url": "http://www006.upp.so-net.ne.jp/rocknsoul/fa/fair_top_e.html"} +{"d:Title": "Fairport Convention", "d:Description": "Official site offering band information, tour dates, biographies and mp3 music.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fairport_Convention", "url": "http://www.fairportconvention.com/"} +{"d:Title": "The Marianne Faithfull Experience", "d:Description": "Pictures, biography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faithfull,_Marianne", "url": "http://brianjonesy.tripod.com/dreaminmydreams"} +{"d:Title": "Faithless Site", "d:Description": "Includes biographies, discography, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faithless", "url": "http://www.inet.hr/~skocijan/Faithless/"} +{"d:Title": "Official site of Faithless", "d:Description": "Includes news, biography, discography, community forum, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faithless", "url": "http://www.faithless.co.uk/"} +{"d:Title": "MTV: Faithless", "d:Description": "Includes album reviews, news, audio, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faithless", "url": "http://www.mtv.com/artists/faithless/"} +{"d:Title": "The Mercyground", "d:Description": "Official site of Faith and the Muse. News, biography, discography, interviews, photos, lyrics, tour dates, and multimedia files.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_and_the_Muse", "url": "http://www.mercyground.com/"} +{"d:Title": "Metropolis Records: Faith and the Muse", "d:Description": "Profile with sound files from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_and_the_Muse", "url": "http://www.metropolis-records.com/?/artists/?artist=faith"} +{"d:Title": "Musicfolio: Faith&The Muse", "d:Description": "Biography, discography, album reviews and ratings, with links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_and_the_Muse", "url": "http://musicfolio.com/modernrock/faithandthemuse.html"} +{"d:Title": "Chain DLK: Faith and the Muse", "d:Description": "Interview with Monica and Richard.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_and_the_Muse", "url": "http://www.chaindlk.com/interviews/index.php?interview=FaithAndTheMuse"} +{"d:Title": "AllMusic: Faith and the Muse", "d:Description": "Biography, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_and_the_Muse", "url": "http://www.allmusic.com/artist/p212191"} +{"d:Title": "fnm.com", "d:Description": "Includes news, FAQ, discography, lyrics tabs, TV appearances, biography, audio, video, pictures, trading resources and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_No_More", "url": "http://www.fnm.com/"} +{"d:Title": "Rockmagic.net: Faith No More", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_No_More", "url": "http://lyrics.rockmagic.net/lyrics/faith_no_more/"} +{"d:Title": "Stripsearch: Faith No More", "d:Description": "Includes biography, audio samples, lyrics, and survey.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_No_More", "url": "http://www.angelfire.com/pa3/fnm/FNMindex.html"} +{"d:Title": "Faith No More Does Not Smell", "d:Description": "Another fan site. Includes biography, discography, tablature, and information on each member's current projects.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_No_More", "url": "http://www.angelfire.com/music/faithnomore/index.html"} +{"d:Title": "Faith No More", "d:Description": "Fan page containing news, downloads, biography, photographs, lyrics, interviews and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_No_More", "url": "http://members.tripod.com/~jimmorrison_3/index.htm"} +{"d:Title": "RollingStone.com: Faith No More", "d:Description": "Includes a biography, discography, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_No_More", "url": "http://www.rollingstone.com/music/artists/faith-no-more"} +{"d:Title": "MTV: Faith No More", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faith_No_More", "url": "http://www.mtv.com/artists/faith-no-more/"} +{"d:Title": "A Tribute to Falco", "d:Description": "Features a condolence registry and press links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falco", "url": "http://www.emotional.de/"} +{"d:Title": "Falco World", "d:Description": "Offers pictures, press, bio, lyrics, news, sounds and desktop downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falco", "url": "http://www.falcoworld.net/"} +{"d:Title": "Falco - Wikipedia", "d:Description": "Article covering his life and death, his successes and full discograhpy.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falco", "url": "http://en.wikipedia.org/wiki/Falco_(musician)"} +{"d:Title": "MTV: Falco", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falco", "url": "http://www.mtv.com/artists/falco-2-00/"} +{"d:Title": "Billy Falcon", "d:Description": "Forum, discography, MP3s, mailing list and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falcon,_Billy", "url": "http://www.billyfalcon.com/"} +{"d:Title": "Chorus and Verse: Billy Falcon", "d:Description": "Interview with Matt Mrowicki, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falcon,_Billy", "url": "http://www.chorusandverse.com/content/200309/20030922_BillyFalcon.htm"} +{"d:Title": "RoseFalcon.com", "d:Description": "Official site. News, tour dates, message boards, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falcon,_Rose", "url": "http://www.rosefalcon.com/"} +{"d:Title": "Ink 19: Rose Falcon", "d:Description": "Review of the album \"Breakable\" by Stein Haukland.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falcon,_Rose", "url": "http://www.ink19.com/issues/july2002/musicReviews/musicF/roseFalcon.html"} +{"d:Title": "JasonFalkner.net", "d:Description": "News, photographs, sound clips, interviews, articles, biography, and song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Falkner,_Jason", "url": "http://www.jasonfalkner.net/"} +{"d:Title": "A Gentle Introduction to the Wonderful and Frightening World of The Fall", "d:Description": "Discography, history, FAQ and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.dcs.ed.ac.uk/home/cxl/fall/"} +{"d:Title": "Perfect Sound Forever: The Fall", "d:Description": "A tribute which includes an interview with former members of the band and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.furious.com/perfect/fall/fall.html"} +{"d:Title": "Random Fall Quotes", "d:Description": "Serves up random lyrics, sleeve notes, and quotes about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://members.tripod.com/~GColeman/quote.html"} +{"d:Title": "Shoreshop", "d:Description": "Fan site with reviews and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://members.tripod.com/shoreshop/"} +{"d:Title": "The Fall - Frequently Asked Questions", "d:Description": "Includes the band's history and reviews of their live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.dcs.ed.ac.uk/home/cxl/fall/fallnet/faq"} +{"d:Title": "FallNet", "d:Description": "A resource for the FallNet discussion list; features gig reviews, comics and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.pipeline.com/~biv/FallNet/index.html"} +{"d:Title": "The Fall Online", "d:Description": "Includes the Lyrics Parade, gigography, discography, and weekly news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.visi.com/fall/"} +{"d:Title": "Yahoo Groups: Bombast", "d:Description": "Archives and joining information for the e-mail discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://groups.yahoo.com/group/Bombast/"} +{"d:Title": "Good Evening, We Are Not the Fall", "d:Description": "Cover tracks, available for download in MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.derekerdman.com/hexenductionhour/fall_covers/fall_covers.htm"} +{"d:Title": "NME: The Fall", "d:Description": "Articles, reviews, photos, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.nme.com/artists/fall"} +{"d:Title": "The Fall : Discography", "d:Description": "Information about the majority of The Fall's albums and singles, including format and release date.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.dcs.ed.ac.uk/home/cxl/fall/discography"} +{"d:Title": "Prindle Record Reviews - The Fall", "d:Description": "Reviews of the Fall's albums by the site's author and visitors.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.markprindle.com/falla.htm"} +{"d:Title": "Beggars Banquet", "d:Description": "A discography from a past record label of the band. Includes pictures of album sleeves.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.beggarsgroupusa.com/fall/"} +{"d:Title": "MTV: The Fall", "d:Description": "Includes news, biography, links, real audio clips, and music videos in real video.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.mtv.com/artists/the-fall-1/"} +{"d:Title": "All Music Guide: The Fall", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The", "url": "http://www.allmusic.com/artist/the-fall-mn0000051376"} +{"d:Title": "The Fall - The Frenz Experiment", "d:Description": "Robert W. Getz's review: \"Not a completely satisfying affair coming after the powerhouse trilogy that preceded it\".", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The/Reviews/Frenz_Experiment,_The", "url": "http://www.furious.com/perfect/fall/frenz.html"} +{"d:Title": "Architectural Dance Society: He Was a Handyman", "d:Description": "Jeff Norman's review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The/Reviews/Light_User_Syndrome,_The", "url": "http://www.uwm.edu/~jenor/LUSrev.html"} +{"d:Title": "The Fall - Light User Syndrome", "d:Description": "Rich Kidd's opinion of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall,_The/Reviews/Light_User_Syndrome,_The", "url": "http://www.furious.com/perfect/fall/lightusersyndrome.html"} +{"d:Title": "Wikipedia: Fall Out Boy", "d:Description": "Hyperlinked encyclopedia article with history, discography, awards and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall_Out_Boy", "url": "http://en.wikipedia.org/wiki/Fall_Out_Boy"} +{"d:Title": "Fall Out Boy", "d:Description": "A fan site with band profile, music, lyrics, video, photo gallery and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall_Out_Boy", "url": "http://www.falloutboyforum.com/"} +{"d:Title": "Fall Out Boy", "d:Description": "Official artist site. Includes weblog, discography, forum, tour information, and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fall_Out_Boy", "url": "http://www.falloutboyrock.com/"} +{"d:Title": "Institute for the Musical Arts", "d:Description": "Nonprofit teaching, performing, and recording facility for women and girls. Includes information about programs, concerts, and the facility.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fanny", "url": "http://www.ima.org/"} +{"d:Title": "Fanny", "d:Description": "Site produced by original band members, with history, news, discography, photographs, and music sales.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fanny", "url": "http://www.fannyrocks.com/"} +{"d:Title": "You've Got A Home: June Millington's Lifelong Journey In Rock", "d:Description": "Article from NPR Music interviewing founding member June Millington.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fanny", "url": "http://www.npr.org/sections/therecord/2015/11/19/456581427/youve-got-a-home-june-millingtons-lifelong-journey-in-rock"} +{"d:Title": "Fanny", "d:Description": "Wikipedia article covering the band's history, with related links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fanny", "url": "https://en.wikipedia.org/wiki/Fanny_(band)"} +{"d:Title": "How Discrimination Kept Fanny from Being Recognized as Rock Pioneers", "d:Description": "From Pitchfork, an interview with June Millington.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fanny", "url": "http://pitchfork.com/thepitch/938-how-discrimination-kept-fanny-from-being-recognized-as-rock-pioneers/"} +{"d:Title": "June Millington", "d:Description": "The guitarist's profile, photographs, media links, and information about workshops.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fanny", "url": "http://www.junemillington.com/"} +{"d:Title": "Fantastic Plastic Machine Official Site", "d:Description": "Includes news, profile, discography, and chat room. [English/Japanese]", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fantastic_Plastic_Machine", "url": "http://www.fpmnet.com/"} +{"d:Title": "Metacritic: Beautiful", "d:Description": "Links to several reviews of the Fantastic Plastic Machine album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fantastic_Plastic_Machine", "url": "http://www.metacritic.com/music/beautiful/fantastic-plastic-machine"} +{"d:Title": "Chris Farlowe", "d:Description": "Unofficial site includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farlowe,_Chris", "url": "http://personal.inet.fi/private/tapani.taka/chris_farlowe.htm"} +{"d:Title": "Farlowe, Chris", "d:Description": "Fan site containing discography, biography, picture gallery and guest book.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farlowe,_Chris", "url": "http://chris_farlowe.tripod.com/"} +{"d:Title": "A Tribute to The Farm", "d:Description": "News, reviews and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farm,_The", "url": "http://markbrad0.tripod.com/"} +{"d:Title": "Myl\u00e8ne Farmer - Les Pages Sans Logique", "d:Description": "A fan page with English translations of some of her lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farmer,_Myl\u00e8ne", "url": "http://www.isazone.com/mylene/"} +{"d:Title": "M.F. International", "d:Description": "English-language forum dedicated to Myl\u00e8ne Farmer, her proteg\u00e9 Aliz\u00e9e, and French music in general.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farmer,_Myl\u00e8ne", "url": "http://www.mf-international.com/"} +{"d:Title": "AskMen.com: Mylene Farmer", "d:Description": "Includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farmer,_Myl\u00e8ne", "url": "http://www.askmen.com/celebs/women/singer_200/224_mylene_farmer.html"} +{"d:Title": "Joop's Myl\u00e8ne Farmer Pages", "d:Description": "Fan page with profile and timeline, discography, videography, pictures, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farmer,_Myl\u00e8ne", "url": "http://www.joce.nl/farmerworld"} +{"d:Title": "Mylene Farmer Web Ring, The", "d:Description": "Connecting several fan sites and pages.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farmer,_Myl\u00e8ne", "url": "http://www.webring.org/hub?ring=mylene"} +{"d:Title": "Myl\u00e8ne Farmer", "d:Description": "Wallpapers, Winamp skins, lyrics, and CD sleeves.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farmer,_Myl\u00e8ne", "url": "http://wouf.chez.com/English/sommaire.htm"} +{"d:Title": "John Farnham.info", "d:Description": "A fan site. Includes news, biography, discography, photos, concerts, band information, chat, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farnham,_John", "url": "http://www.johnfarnham.info/"} +{"d:Title": "All Music Guide: John Farnham", "d:Description": "Includes biography, discography, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Farnham,_John", "url": "http://www.allmusic.com/artist/john-farnham-mn0000811388"} +{"d:Title": "The Onion A.V. Club: Fastbacks", "d:Description": "Interview with songwriter and producer Kurt Bloch.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fastbacks", "url": "http://www.avclub.com/articles/fastbacks,13627/"} +{"d:Title": "Sub Pop: Fastbacks", "d:Description": "Introduction, songs, photos, tour information, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fastbacks", "url": "http://www.subpop.com/artists/fastbacks"} +{"d:Title": "Matt's Fastball Page", "d:Description": "Fan page includes images, chat, forum, videos, lyrics, guitar tablatures, sound clips and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fastball", "url": "http://www.mattsmusicpage.com/nfastball.htm"} +{"d:Title": "Entertainment Ave: Fastball", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fastball", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/fastball.htm"} +{"d:Title": "Fastball - The Official Site", "d:Description": "Official site run by the band. Features news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fastball", "url": "http://fastballtheband.com/"} +{"d:Title": "MTV: Fastball", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fastball", "url": "http://www.mtv.com/artists/fastball/"} +{"d:Title": "Metacritic: The Harsh Light of Day", "d:Description": "Links to several reviews of the Fastball album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fastball", "url": "http://www.metacritic.com/music/the-harsh-light-of-day/fastball"} +{"d:Title": "Kamm's Fatboy Slim Page", "d:Description": "Includes MP3 and RealAudio downloads, videos, lyrics, live setlists, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim", "url": "http://www.angelfire.com/electronic2/fatboyslim/"} +{"d:Title": "Fatboy Slim 303", "d:Description": "Fatboy Slim Yahoo club.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim", "url": "http://groups.yahoo.com/group/fatboyslim303/"} +{"d:Title": "RollingStone.com: Fatboy Slim", "d:Description": "Includes a biography, discography, photos, articles, videos, links and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim", "url": "http://www.rollingstone.com/music/artists/fatboy-slim"} +{"d:Title": "Astralwerks: Fatboy Slim", "d:Description": "Official site from his record label. Features news, biography, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim", "url": "http://www.astralwerks.com/artist/fatboy-slim/"} +{"d:Title": "MTV: Fatboy Slim", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim", "url": "http://www.mtv.com/artists/fatboy-slim/"} +{"d:Title": "Release Magazine: Fatboy Slim: Halfway Between the Gutter and the Stars", "d:Description": "Mattais Huss' review: \"Overall, the record is very schizophrenic.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim/Reviews/Halfway_Between_the_Gutter_and_the_Stars", "url": "http://www.releasemagazine.net/Onrecord/orfatboyslimhbtgats.htm"} +{"d:Title": "Pitchfork: Fatboy Slim - Halfway Between the Gutter and the Stars", "d:Description": "Richard M. Juzwiak's review: \"So, Slim gave it a third shot and ended up with a lackluster-- spotty at best-- finished product.\" Rated 4.2.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim/Reviews/Halfway_Between_the_Gutter_and_the_Stars", "url": "http://pitchfork.com/reviews/albums/3008-halfway-between-the-gutter-and-the-stars/"} +{"d:Title": "Metacritic: Halfway Between the Gutter and the Stars", "d:Description": "Links to several critic and user reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim/Reviews/Halfway_Between_the_Gutter_and_the_Stars", "url": "http://www.metacritic.com/music/halfway-between-the-gutter-and-the-stars/fatboy-slim"} +{"d:Title": "Music Critic: Fatboy Slim - You've Come a Long Way, Baby", "d:Description": "Bill Aicher's review: \"Overall this album is perfect. I mean not everyone is going to like it, but for electronic mixing - Norman Cook is a living god.\" 5 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim/Reviews/You've_Come_a_Long_Way,_Baby", "url": "http://www.music-critic.com/electronica/fatboyslim_ycalwb.htm"} +{"d:Title": "All-Reviews.com: You've Come a Long Way Baby", "d:Description": "DjBatman's review: \"End-of-the-millennium pop music in its purest form.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatboy_Slim/Reviews/You've_Come_a_Long_Way,_Baby", "url": "http://www.all-reviews.com/music/youvecomealong.htm"} +{"d:Title": "DJ Blue's Fatima Mansions Page", "d:Description": "Includes history, discography, lyrics, and information about the 'Keep Music Evil' email list.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatima_Mansions,_The", "url": "http://evilmusic.tripod.com/"} +{"d:Title": "The Fatima Mansions' Evil Music Page", "d:Description": "Includes a complete discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fatima_Mansions,_The", "url": "http://eyevocal.ottawa-anime.org/fatimamansions/"} +{"d:Title": "Faze4 Live", "d:Description": "Pictures from live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faze4", "url": "http://www.angelfire.com/celeb/Faze4Live/index.html"} +{"d:Title": "All 4 Faze4", "d:Description": "Fan site with biographies, show details, photographs, lyrics, fan art, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Faze4", "url": "http://www.angelfire.com/music2/Faze4ever/"} +{"d:Title": "The Unofficial Fear Factory Site", "d:Description": "Collection of tablatures, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fear_Factory", "url": "http://members.tripod.com/~fearf/"} +{"d:Title": "Self Bias Resistor", "d:Description": "A growing Fear Factory fansite with biography, discography, some audio, tablatures, links, chatroom, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fear_Factory", "url": "http://selfbias.freeservers.com/"} +{"d:Title": "BleedingMetal.com - Fear Factory", "d:Description": "Includes a large image gallery, lyrics for all songs, detailed discography and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fear_Factory", "url": "http://www.bleedingmetal.com/fearfactory/"} +{"d:Title": "Rockmagic.net: Fear Factory", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fear_Factory", "url": "http://lyrics.rockmagic.net/lyrics/fear_factory/"} +{"d:Title": "Digital Mortality", "d:Description": "Biography, pictures, tour information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fear_Factory", "url": "http://deathblooms2.tripod.com/digitalmortality/"} +{"d:Title": "FearFactory.com", "d:Description": "Official site. Album information, wallpaper, news and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fear_Factory", "url": "http://www.fearfactory.com/"} +{"d:Title": "MTV: Fear Factory", "d:Description": "News, audio downloads, biographies, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fear_Factory", "url": "http://www.mtv.com/artists/fear-factory/"} +{"d:Title": "Live Nirvana: Fake Fecal Matter Demo", "d:Description": "How the fake was uncovered and how it's known to be a fake.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fecal_Matter", "url": "http://www.livenirvana.com/fakes/invented.php#fecal"} +{"d:Title": "Feeder Web", "d:Description": "The official website, with tour information, links, mailing list, forum and release dates.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Feeder", "url": "http://www.feederweb.com/"} +{"d:Title": "Feeder", "d:Description": "Fan site features discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Feeder", "url": "http://electohippie637478.tripod.com/"} +{"d:Title": "The Feeder Mecca", "d:Description": "Long running fansite includes news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Feeder", "url": "http://www.disko.co.uk/feeder/"} +{"d:Title": "Hole In My Head", "d:Description": "Discography, lyrics, reviews and a quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Feeder", "url": "http://www.holeinmyhead.co.uk/archive/feedermenu.html"} +{"d:Title": "FeelersFansOnline", "d:Description": "Personal page with several pictures and fan contacts.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Feelers,_The", "url": "http://members.tripod.com/~FeelersFansOnline/"} +{"d:Title": "Feinstein's At The Regency", "d:Description": "Michael Feinstein's nightclub at the Regency Hotel in New York, presenting cabaret style shows.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Feinstein,_Michael", "url": "http://www.feinsteinsattheregency.com/"} +{"d:Title": "Michael Feinstein", "d:Description": "Official site. Features biography, schedule, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Feinstein,_Michael", "url": "http://www.michaelfeinstein.com/"} +{"d:Title": "Don Felder Discography", "d:Description": "Albums, singles, and guest appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Felder,_Don", "url": "http://www.eaglesfans.com/don-felder-solo-discography/"} +{"d:Title": "Freddy Fender", "d:Description": "The official Freddy Fender site; with a biography, a listing of awards that he has won, a concert schedule, and a shopping area.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fender,_Freddy", "url": "http://www.freddyfender.com/"} +{"d:Title": "The Austin Chronicle Music: Wasted Days, Wasted Nights", "d:Description": "The story of the Soap Creek Bombers, and the night Doug Sahm brought Freddy Fender to Soap Creek in early 1974, rekindling his musical career.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fender,_Freddy", "url": "http://www.austinchronicle.com/music/1999-11-26/74808/"} +{"d:Title": "Angie's Fenix TX Site", "d:Description": "Tour dates, biography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/FENIXtx", "url": "http://members.tripod.com/agrc0/index.htm"} +{"d:Title": "Melissa Ferrick", "d:Description": "Official site with tour dates, road journal, pictures, and detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ferrick,_Melissa", "url": "http://www.melissaferrick.com/"} +{"d:Title": "Melissa Ferrick Fans", "d:Description": "A Yahoo based club with message board, chat room, image galleries, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ferrick,_Melissa", "url": "http://groups.yahoo.com/group/melissaferrickfans/"} +{"d:Title": "W.A.R.: Melissa Ferrick", "d:Description": "Includes tour dates, discography, biography, lyrics, images, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ferrick,_Melissa", "url": "http://www.whatarerecords.com/"} +{"d:Title": "Fields of the Nephilim - Gehenna to Elizium", "d:Description": "Original essays, information, photos and links of the Goth icons.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://www.spookhouse.net/angelynx/nephilim/neph.html"} +{"d:Title": "Nefilim Reborn: Zoon", "d:Description": "On the mythology behind the album Zoon.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://echoes.devin.com/zoon.html"} +{"d:Title": "Sumerland", "d:Description": "Provides news and resources about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://sumerland.devin.com/"} +{"d:Title": "Rockmagic.net: Fields of the Nephilim", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://lyrics.rockmagic.net/lyrics/fields_of_the_nephilim/"} +{"d:Title": "MusicFolio.com: Fields of the Nephilim", "d:Description": "Discography and album reviews, ratings and recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://www.musicfolio.com/modernrock/nephilim.html"} +{"d:Title": "Fields of the Nephilim", "d:Description": "Official site. Includes news, discography with audio, FAQ, lyrics, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://www.fields-of-the-nephilim.com/"} +{"d:Title": "Fields of the Nephilim by Semjaaza", "d:Description": "An unofficial fan site containing lyrics, discography and related art and philosophy.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://www.semjaaza.com/"} +{"d:Title": "Fields Of The Nephilim Collector's Guide", "d:Description": "Featuring items from 25 different countries and illustrated with images, a companion for fans of Fields Of The Nephilim and related bands such as The Nefilim, Rubicon, Last Rites and NFD.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://www.fieldsofthenephilim.com/"} +{"d:Title": "Artistdirect - Fields of the Nephilim", "d:Description": "Includes free downloads, music videos, biographies, discography and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fields_of_the_Nephilim", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,430231,00.html"} +{"d:Title": "NPR: Fiery Furnaces in Concert with Man Man", "d:Description": "Streaming and downloadable audio of music and an interview recorded in Washington, D.C., originally webcast live.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fiery_Furnaces,_The", "url": "http://www.npr.org/templates/story/story.php?storyId=5515829"} +{"d:Title": "Pitchfork: Fiery Furnaces: EP", "d:Description": "Rated 8.9 out of 10 by Rob Mitchum. \"Comes off like a quick appendix to the band's work so far, concentrating their strengths in parts while elsewhere lovingly dumping the stray ideas that may not fit into their next conceptual flight.\" (Jan. 12, 2005)", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fiery_Furnaces,_The/Reviews", "url": "http://pitchfork.com/reviews/albums/3273-ep/"} +{"d:Title": "Pitchfork: Fiery Furnaces: Rehearsing My Choir", "d:Description": "Rated 4.0 out of 10 by Amanda Petrusich. \"A sprawling piano opera starring Matthew and Eleanor Friedberger's grandmother, Olga Sarantos, and it's every bit as terrible and fantastic as it sounds.\" (Oct. 24, 2005)", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fiery_Furnaces,_The/Reviews", "url": "http://pitchfork.com/reviews/albums/3274-rehearsing-my-choir/"} +{"d:Title": "Pitchfork: Fiery Furnaces: Bitter Tea", "d:Description": "Rated 7.6 out of 10 by Mark Richardson. \"Nothing else going sounds like the Fiery Furnaces' carefully considered babble.\" (April 17, 2006)", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fiery_Furnaces,_The/Reviews", "url": "http://pitchfork.com/reviews/albums/3275-bitter-tea/"} +{"d:Title": "All Music Guide: Fighting Gravity", "d:Description": "Includes biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fighting_Gravity", "url": "http://www.allmusic.com/artist/fighting-gravity-p200502"} +{"d:Title": "Filter", "d:Description": "Official site for Filter. Includes band email and links, image gallery, music, news, message board, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter", "url": "http://www.officialfilter.com/"} +{"d:Title": "Entertainment Ave: Filter", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/f/filter/fi062896.htm"} +{"d:Title": "Entertainment Ave: Filter", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/filter.htm"} +{"d:Title": "MTV Online: Filter", "d:Description": "Profile, downloads, interviews, and news items.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter", "url": "http://www.mtv.com/artists/filter/"} +{"d:Title": "Filter Discussion Group", "d:Description": "Online discussion group for the rock band Filter.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter", "url": "http://fdgv20.proboards.com/"} +{"d:Title": "Title of Page", "d:Description": "Includes news, weekly chats, guitar tablature, lyrics, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter/Fan_Pages", "url": "http://filterpage.tripod.com/"} +{"d:Title": "Short Bus Station", "d:Description": "Lyrics, band profile, song meanings, album details, guestbook, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter/Fan_Pages", "url": "http://www.angelfire.com/electronic/filter/"} +{"d:Title": "Get Filtered", "d:Description": "News, biography, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Filter/Fan_Pages", "url": "http://www.angelfire.com/wi/filter/"} +{"d:Title": "All Music: Fine Young Cannibals", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fine_Young_Cannibals", "url": "http://www.allmusic.com/artist/p4247"} +{"d:Title": "Finger Eleven", "d:Description": "Official site includes news, pictures, reviews, tour dates, lyrics, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finger_Eleven", "url": "http://www.fingereleven.com/"} +{"d:Title": "Broken Words", "d:Description": "Finger Eleven fansite has pictures, audio, video, faq, links and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finger_Eleven", "url": "http://www.angelfire.com/band/brokenwords/index.html"} +{"d:Title": "Finger Eleven Tabs And Pics", "d:Description": "Fan site offers tablatures, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finger_Eleven", "url": "http://www.angelfire.com/band/isf11/"} +{"d:Title": "Frenz.com: Neil Finn", "d:Description": "Discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn,_Neil", "url": "http://www.frenz.com/neilfinn"} +{"d:Title": "Finndex", "d:Description": "Alphabetized listing of all things Finn, including discography, interview transcripts, and tours.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn,_Neil", "url": "http://www.somethingsofinn.com/finndex.html"} +{"d:Title": "All Music Guide: Neil Finn", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn,_Neil", "url": "http://www.allmusic.com/artist/neil-finn-mn0000393768"} +{"d:Title": "Frenz.com", "d:Description": "Discography, gallery, merchandise, forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn,_Tim", "url": "http://www.frenz.com/"} +{"d:Title": "Tim Finn - Crescendo", "d:Description": "News, tour dates, biography, articles, sounds, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn,_Tim", "url": "http://www.mediatones.com/crescendo.html#"} +{"d:Title": "Finndex", "d:Description": "Alphabetized listing of all things Finn, including discography, interview transcripts, and tours.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn,_Tim", "url": "http://www.somethingsofinn.com/finndex.html"} +{"d:Title": "All Music Guide: Tim Finn", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn,_Tim", "url": "http://www.allmusic.com/artist/p4248"} +{"d:Title": "Frenz.com", "d:Description": "Forums, resources and merchandise covering the Finn Brothers, Crowded House, and Split Enz. Also covers related projects from former members of Split Enz and Crowded House.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Finn_Brothers", "url": "http://www.frenz.com/"} +{"d:Title": "The Tod Hole", "d:Description": "A site dedicated to Tod Ashley's Firewater and Cop Shoot Cop, as well as other related bands.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Firewater", "url": "http://home.earthlink.net/~thetodhole/"} +{"d:Title": "The official Fish site", "d:Description": "News, store, media downloads and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fish", "url": "http://fish-thecompany.com/"} +{"d:Title": "Rockmagic.net: Fishbone", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fishbone", "url": "http://lyrics.rockmagic.net/lyrics/fishbone/"} +{"d:Title": "Atomic Underground", "d:Description": "A Fishbone fan site with tour dates, discography, pictures, lyrics, clips, tablatures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fishbone", "url": "http://www.efn.org/~cschatz/fishbone.html"} +{"d:Title": "Fishbone Dot Net", "d:Description": "Fan site with news, forum, biographies, articles, interviews, tour dates, clips, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fishbone", "url": "http://www.fishbone.net/"} +{"d:Title": "FishboneLive", "d:Description": "Tour dates and show archive for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fishbone", "url": "http://www.fishbonelive.org/"} +{"d:Title": "Fisher", "d:Description": "Official site offers news, tour dates, multimedia and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fisher", "url": "http://fishertheband.com/"} +{"d:Title": "Fisher Central", "d:Description": "Features a biography, band information, photos and news archive.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fisher", "url": "http://www.digitalsound.net/"} +{"d:Title": "I Love 5ive", "d:Description": "Lots of news, photos, links and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://www.angelfire.com/tx2/ilove5ive/index.html"} +{"d:Title": "Five-cool", "d:Description": "Russian site about five hot boys, with information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://five-cool.narod.ru/"} +{"d:Title": "The 5ive Fanclub", "d:Description": "Fan site includes pictures, information and gossip.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://www.angelfire.com/la/5ive2/"} +{"d:Title": "USA 5ive", "d:Description": "American fan site for the British group, with news updates and many pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://usa5ive.freeservers.com/"} +{"d:Title": "The Invincible 5ive", "d:Description": "Contains information about the band, such as news, interactive stuff, reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://www.angelfire.com/celeb/theinvincible5ive/"} +{"d:Title": "Dimension 5ive", "d:Description": "Site by a Swedish 5ive fan. Includes stills from TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://www.angelfire.com/on2/dimension5/index.html"} +{"d:Title": "Five live at World Bowl 2000", "d:Description": "Five live concert at the NFL Europe World Bowl 2000 in Frankfurt.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://www.web62.com/five.html"} +{"d:Title": "5ive Fly Guys", "d:Description": "American fanpage featuring pictures, fan fiction, poll, message board and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://www.angelfire.com/pop/5flyguys/frames.html"} +{"d:Title": "Peder's 5ive Webpage", "d:Description": "Contains pictures, facts, biographies, games, chat rooms, links, and desktop backgrounds.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five", "url": "http://www.angelfire.com/nc/ABS5ive/index.html"} +{"d:Title": "The Aussie Abs Club", "d:Description": "A club based in Australia dedicated to promoting UK recording artist Abs Breen ex-member of Five.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five/Breen,_Richard", "url": "http://aussie_absclub.tripod.com/"} +{"d:Title": "Yahoo!: Five Have Got The Feelin", "d:Description": "Offers pictures, chat and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five/Fan_Clubs", "url": "http://groups.yahoo.com/group/fivehavegotthefeelin/"} +{"d:Title": "Yahoo!: 5ive rocks our world", "d:Description": "Nice club, contains pictures and messageboard.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five/Fan_Clubs", "url": "http://groups.yahoo.com/group/5iverocksourworld/"} +{"d:Title": "Yahoo! Groups: The 5iveweb Club", "d:Description": "Offers pictures, news, calendar, chat and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five/Fan_Clubs", "url": "http://launch.groups.yahoo.com/group/the5ivewebclub/"} +{"d:Title": "The 5ive Club", "d:Description": "A large group with many members.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five/Fan_Clubs", "url": "http://launch.groups.yahoo.com/group/theofficial5iveclub/"} +{"d:Title": "Five For Fighting", "d:Description": "Official site from Columbia Records. News, FAQ, sound files, tour dates, photos, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five_for_Fighting", "url": "http://www.fiveforfighting.com/"} +{"d:Title": "The Penalty Box", "d:Description": "Five For Fighting street team. Includes lyrics, band biography, fan directory, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five_for_Fighting", "url": "http://www.angelfire.com/band2/FiveForFighting/"} +{"d:Title": "Five for Fighting", "d:Description": "Fan page, with photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five_for_Fighting", "url": "http://bandaid502.tripod.com/iamstillstuckonbandaids/id6.html"} +{"d:Title": "MTV: Five For Fighting", "d:Description": "Biography, interview, audio and video, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Five_for_Fighting", "url": "http://www.mtv.com/artists/five-for-fighting/"} +{"d:Title": "Wilson and Allroy's Record Reviews: Roberta Flack", "d:Description": "Short reviews of most of her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flack,_Roberta", "url": "http://www.warr.org/flack.html"} +{"d:Title": "All Music Guide: Roberta Flack", "d:Description": "Biography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flack,_Roberta", "url": "http://www.allmusic.com/artist/roberta-flack-mn0000290072"} +{"d:Title": "Joe's Flaming Lips Page", "d:Description": "Coverage of the latest happenings from the band, plus information on the Parking Lot Experiments and Boom Box shows.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flaming_Lips,_The", "url": "http://janecek.com/flaminglips1.html"} +{"d:Title": "Flaming Lips, The", "d:Description": "Homepage of the Oklahoma psychedelic alternative rock band the Flaming Lips. Includes information on the band's feature film \"Christmas on Mars\" as well as the EP \"Ego Tripping at the Gates of Hell\" and a streaming version of \"Yoshimi Battles the Pink Robots\".", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flaming_Lips,_The", "url": "http://www.flaminglips.com/"} +{"d:Title": "The Flaming Lips News: Topix", "d:Description": "News about The Flaming Lips continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flaming_Lips,_The", "url": "http://www.topix.com/who/the-flaming-lips"} +{"d:Title": "The Flaming Lips on \"Morning Becomes Eclectic\" on KCRW (Santa Monica)", "d:Description": "The band plays selections from \"Yoshimi Battles the Pink Robots\" as well as Kylie Minogue and Radiohead during an August interview.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flaming_Lips,_The", "url": "http://www.kcrw.com/music/programs/mb/mb020808the_flaming_lips"} +{"d:Title": "Flaming Lips Album Reviews", "d:Description": "Reviews and analysis of the band's albums by Scott Floman.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flaming_Lips,_The", "url": "http://sfloman.com/flaminglips.html"} +{"d:Title": "RollingStone.com: Flaming Lips", "d:Description": "Includes a biography, discography, photos, articles, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flaming_Lips,_The", "url": "http://www.rollingstone.com/music/artists/the-flaming-lips"} +{"d:Title": "PETA2", "d:Description": "Info on the band's relationship with People for the Ethical Treatment of Animals (PETA) and Wayne Coyne why they have those crazy animal mascots dancing onstage.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flaming_Lips,_The", "url": "http://www.peta2.com/heroes/meet-the-flaming-lips/"} +{"d:Title": "SugarMegs Audio", "d:Description": "Fleck and Flecktones cuts in various formats plus many others including Phish, Grateful Dead, and other bands.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleck,_B\u00e9la", "url": "http://www.sugarmegs.org/"} +{"d:Title": "B\u00e9la Fleck and the Flecktones", "d:Description": "Official site with tour dates and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleck,_B\u00e9la", "url": "http://www.flecktones.com/"} +{"d:Title": "Fleetwood Mac Ledgies' Homepages", "d:Description": "A webring of homepages to frequent visitors of the Ledge discussion boards at The Penguin website.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.angelfire.com/pa/ledgering/index.html"} +{"d:Title": "The Penguin", "d:Description": "News about the band and solo members, pictures, discussion forums, concert reviews and information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.fleetwoodmac.net/"} +{"d:Title": "Enchanted Love", "d:Description": "Will the Mac ever tour again? Lyrics, pictures and opinions.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.angelfire.com/ri/enchantedlove/"} +{"d:Title": "Matt's Fleetwood Mac Page", "d:Description": "Page features images, chat forum, guitar tablature, lyrics, sound clips, biography, RealAudio, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.mattsmusicpage.com/nfleet.htm"} +{"d:Title": "Rock On The Net: Fleetwood Mac", "d:Description": "Facts, biography, discography, and links to lyrics and online album purchases.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.rockonthenet.com/artists-f/fleetwoodmac_main.htm"} +{"d:Title": "One Together", "d:Description": "An early history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.fleetwoodmac.net/penguin/onetogether/index.html"} +{"d:Title": "Jeremy Spencer", "d:Description": "Official site of Fleetwood Mac's Jeremy Spencer, one of the World's best slide/blues guitarists.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://jeremyspencer.com/"} +{"d:Title": "Fleetwood Mac - Lyrics", "d:Description": "Words to Mac songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.davemcnally.com/Lyrics/FleetwoodMac/"} +{"d:Title": "Fleetwood Mac Fan Club Fraud Petition", "d:Description": "Personal opinion about the operations and mission of the Official fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://fan_club_fraud.tripod.com/index.html"} +{"d:Title": "Fleetwood Mac Official Site", "d:Description": "Offers band updates, tickets, exclusive news, photographs, video, lyrics, audio, discography, official tour merchandise, links, and articles.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.fleetwoodmac.com/"} +{"d:Title": "RollingStone.com: Fleetwood Mac", "d:Description": "Includes a biography, discography, photos, articles, video, links and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.rollingstone.com/music/artists/fleetwood-mac"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://rockhall.com/inductees/fleetwood-mac/"} +{"d:Title": "MTV: Fleetwood Mac", "d:Description": "Contains news, full biographies, musical influences, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac", "url": "http://www.mtv.com/artists/fleetwood-mac/"} +{"d:Title": "Fleetwood Bac", "d:Description": "The UK's original Fleetwood Mac tribute band, approved by Mick Fleetwood.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac/Tribute_Bands", "url": "http://www.fleetwoodbac.com/"} +{"d:Title": "Fleetwood Max", "d:Description": "Fleetwood Mac/Stevie Nicks tribute band based in Tampa, Florida. Show dates and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleetwood_Mac/Tribute_Bands", "url": "http://www.fleetwoodmax.com/"} +{"d:Title": "Fleming and John", "d:Description": "Official site includes tour dates, sound and photo galleries and an online store.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleming_and_John", "url": "http://www.flemingandjohn.com/"} +{"d:Title": "Fleming and John", "d:Description": "A UK based site dedicated to the works of Fleming and John. Includes tours, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleming_and_John", "url": "http://flemingandjohn.8m.com/"} +{"d:Title": "A List Called Love", "d:Description": "Fan run email list.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleming_and_John", "url": "http://groups.yahoo.com/group/AListCalledLove/"} +{"d:Title": "All Music Guide - Fleming and John", "d:Description": "Includes a discography, related artists and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fleming_and_John", "url": "http://www.allmusic.com/artist/fleming--john-p182827"} +{"d:Title": "What the Folk", "d:Description": "Site provides FAQ, reviews, updates, pictures, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flight_of_the_Conchords", "url": "http://www.whatthefolk.net/"} +{"d:Title": "NPR Music: Flight of the Conchords: Hilariously Deadpan", "d:Description": "Interview and in-studio performance from WXPN's \"World Cafe.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flight_of_the_Conchords", "url": "http://www.npr.org/templates/story/story.php?storyId=11753727"} +{"d:Title": "BBC Radio 2: Flight of the Conchords", "d:Description": "Overview of and background on the six-part 2005 radio mockumentary series following the band's adventures in London, along with pictures and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flight_of_the_Conchords", "url": "http://www.bbc.co.uk/radio2/comedy/conchords.shtml"} +{"d:Title": "HBO: Flight of the Conchords", "d:Description": "Official site for the TV show features an overview, cast and crew, episode guide, video clips, news, and Mel's video blog.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flight_of_the_Conchords", "url": "http://www.hbo.com/conchords/"} +{"d:Title": "Sub Pop Records: Flight of the Conchords", "d:Description": "Label site features biography, album information, downloads, tour dates, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flight_of_the_Conchords", "url": "http://www.subpop.com/artists/flight_of_the_conchords"} +{"d:Title": "Gelf Magazine: Invasion of Kiwi Comics", "d:Description": "Article by Keith Huang about a show in New York.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flight_of_the_Conchords", "url": "http://www.gelfmagazine.com/archives/invasion_of_kiwi_comics.php"} +{"d:Title": "Acid Logic: Flipper", "d:Description": "A look at the career of the San Francisco punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flipper", "url": "http://www.acidlogic.com/im_flipper.htm"} +{"d:Title": "Musicfolio: A Flock of Seagulls", "d:Description": "Profile, and short album reviews with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flock_of_Seagulls,_A", "url": "http://musicfolio.com/modernrock/aflockofseagulls.html"} +{"d:Title": "A Flock Of Seagulls", "d:Description": "U.S. fan club site. Band member biographies, audio files, discography, videos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flock_of_Seagulls,_A", "url": "http://www.afosfanclub.com/"} +{"d:Title": "First European Flogging Molly Fanpage", "d:Description": "European fanpage with news, tour dates, concert reviews, pictures and forum. German, Italian and English versions.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flogging_Molly", "url": "http://floggingmolly.de/"} +{"d:Title": "Flogging Molly", "d:Description": "Official site offering profile, tour dates, discography, audio samples, photographs, and a music video.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flogging_Molly", "url": "http://www.floggingmolly.com/"} +{"d:Title": "OC Weekly: Molly Mondays, Tub Tuesdays", "d:Description": "Review of their gig at Linda's Doll Hut in Orange County, California.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flogging_Molly/Articles_and_Interviews", "url": "http://www.ocweekly.com/2000-02-17/music/molly-mondays-tub-tuesdays/1/"} +{"d:Title": "Rockmagic.net: Flotsam and Jetsam", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flotsam_and_Jetsam", "url": "http://lyrics.rockmagic.net/lyrics/flotsam_and_jetsam/"} +{"d:Title": "Flotsam and Jetsam", "d:Description": "Official site with band news, discography, biography, multimedia, interviews, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flotsam_and_Jetsam", "url": "http://www.flotsam-and-jetsam.com/"} +{"d:Title": "MTV: Flotsam&Jetsam", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flotsam_and_Jetsam", "url": "http://www.mtv.com/artists/flotsam-and-jetsam/"} +{"d:Title": "NME: Flowered Up", "d:Description": "Discography, news stories, photos and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flowered_Up", "url": "http://www.nme.com/artists/flowered-up"} +{"d:Title": "The 69th Best Single Of The 90s", "d:Description": "Freaky Trigger analyses the single Weekender, placing it at number 69 in their top 100.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flowered_Up", "url": "http://freakytrigger.co.uk/ft/1999/09/69-flowered-up-weekender/"} +{"d:Title": "Canoe.ca: Fludd", "d:Description": "Includes band line up, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fludd", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/F/Fludd.html"} +{"d:Title": "Cheap", "d:Description": "Includes discography, articles, pictures, tablatures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fluffy", "url": "http://members.tripod.com/~XON_/index.html"} +{"d:Title": "DaveUK.net: Fluffy", "d:Description": "Includes news, articles, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fluffy", "url": "http://www.daveuk.net/fluffy/index.html"} +{"d:Title": "Moldovan Rock'n'Roll Band The Flying Postmen", "d:Description": "Lyrics, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flying_Postmen,_The", "url": "http://www.angelfire.com/fl2/flyingpostmen/index.html"} +{"d:Title": "Matt's Flys Page", "d:Description": "Includes images, videos, chat, forum, lyrics, sound clips, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flys", "url": "http://www.mattsmusicpage.com/nflys.htm"} +{"d:Title": "From The Heart Of Cali... The Flys", "d:Description": "All about the band. Lyrics, exclusive pictures and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flys", "url": "http://www.angelfire.com/la/TheFlys/index.html"} +{"d:Title": "MTV: The Flys", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Flys", "url": "http://www.mtv.com/artists/the-flys/"} +{"d:Title": "Foetus", "d:Description": "Officially sanctioned web entity with oodles of information. Includes news, discography, audio and video files, photos, biographies, merchandise sales, lyrics, reviews, interviews, and articles.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus", "url": "http://www.foetus.org/"} +{"d:Title": "Chingada", "d:Description": "Discussion list for all things Foetus -- the many musical projects of Jim Thirlwell, aka Clint Ruin.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus", "url": "http://groups.yahoo.com/group/chingada/"} +{"d:Title": "Birdman Records: Foetus", "d:Description": "Profile from his new record label.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus", "url": "http://www.birdmanrecords.com/foetus.html"} +{"d:Title": "All Music Guide: Foetus", "d:Description": "Entry about Jim \"Foetus\" Thirlwell.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus", "url": "http://www.allmusic.com/artist/foetus-p17605"} +{"d:Title": "Free Williamsburg: Foetus", "d:Description": "Interview by Alexander Laurence.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Articles_and_Interviews", "url": "http://www.freewilliamsburg.com/august_2001/foetus.html"} +{"d:Title": "Sonic Boom Interview with Foetus", "d:Description": "By Skot Kirkwood.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Articles_and_Interviews", "url": "http://www.sonic-boom.com/interview/foetus-3.interview.html"} +{"d:Title": "Sonic Boom Interview with Foetus", "d:Description": "By Jester of Sonic Boom.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Articles_and_Interviews", "url": "http://www.sonic-boom.com/interview/foetus-2.interview.html"} +{"d:Title": "Sonic Boom Interview with Foetus", "d:Description": "By Gene Hopstetter, Jr. of WTUL (Tulane University).", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Articles_and_Interviews", "url": "http://www.sonic-boom.com/interview/foetus-1.interview.html"} +{"d:Title": "The Mick Sinclair Archive", "d:Description": "Feature article published in Sounds magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Articles_and_Interviews", "url": "http://micksinclair.com/sounds/foetus.html"} +{"d:Title": "Legends Magazine: Interview: Foetus", "d:Description": "By Daryl Litts, regarding \"Flow\" and \"Blow.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Articles_and_Interviews", "url": "http://www.legendsmagazine.net/111/foetus.htm"} +{"d:Title": "Diskant: Jim Thirlwell", "d:Description": "Interview by Sandra Kay with Mr. Thirlwell about his many musical projects.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Articles_and_Interviews", "url": "http://www.diskant.net/features/jim-thirlwell-foetus/"} +{"d:Title": "Ink Nineteen: You've Got Foetus on Your Breath", "d:Description": "Review of the ressues of 'Deaf' and 'Ache.'", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://www.ink19.com/issues_F/98_03/wet_ink/music_tz/135_youve_got_foetus_nf.html"} +{"d:Title": "The Mick Sinclair Archive: Ache", "d:Description": "A review of the album by You've Got Foetus On Your Breath, published in Sound magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://micksinclair.com/sounds/foetuslp.html"} +{"d:Title": "Legends Magazine: Foetus: Flow", "d:Description": "Positive review of the new studio album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://www.legendsmagazine.net/115/foetus.htm"} +{"d:Title": "Legends Magazine: Manorexia: Volvox Turbo", "d:Description": "Positive review of the instrumental album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://www.legendsmagazine.net/115/manorex.htm"} +{"d:Title": "Legends Magazine: Foetus: Blow", "d:Description": "Positive review of the collection of remixes from \"Flow.\"", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://www.legendsmagazine.net/122/foetus.htm"} +{"d:Title": "Foetus: London SE1 Royal Festival Hall", "d:Description": "Concert review from NME.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://www.nme.com/reviews/foetus/3117"} +{"d:Title": "Almost Cool: Foetus: Flow", "d:Description": "Ranked 7 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://www.almostcool.org/mr/437/"} +{"d:Title": "Almost Cool: Foetus: Blow", "d:Description": "Ranked 6.25 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foetus/Reviews", "url": "http://www.almostcool.org/mr/438/"} +{"d:Title": "The Living Legacy", "d:Description": "Fan site with tour dates, discography, biography, news articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fogelberg,_Dan", "url": "http://www.thelivinglegacy.net/"} +{"d:Title": "Dan Fogelberg", "d:Description": "Official site with news, lyrics, biography, photos, discography, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fogelberg,_Dan", "url": "http://www.danfogelberg.com/"} +{"d:Title": "Fold", "d:Description": "Official site for the guitar-based band from Aberdeen, Scotland. Includes news, gigs, biography and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fold", "url": "http://www.thefold.co.uk/"} +{"d:Title": "Yahoo! Groups: suefoleyfans", "d:Description": "Message board about the Canadian blues performer.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foley,_Sue", "url": "http://groups.yahoo.com/group/suefoleyfans/"} +{"d:Title": "Jam! Music: Sue Foley", "d:Description": "Articles from JAM! Showbiz and the CanWest newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foley,_Sue", "url": "http://jam.canoe.com/Music/Artists/F/Foley_Sue/"} +{"d:Title": "CNN: Folk Implosion outward: One band's road to the record stores", "d:Description": "Interview about One Part Lullaby with audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Folk_Implosion", "url": "http://www.cnn.com/SHOWBIZ/Music/9910/01/music.folk.implo/"} +{"d:Title": "VH1: Folk Implosion", "d:Description": "Biography, discography, audio clips, news, reviews, chat transcript, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Folk_Implosion", "url": "http://www.vh1.com/artists/az/folk_implosion/artist.jhtml"} +{"d:Title": "The Folk Implosion", "d:Description": "Interview and review, by Eric Broome, of Dare to be Surprised.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Folk_Implosion/Reviews/Dare_to_Be_Surprised", "url": "http://home.earthlink.net/~elbroome/articles/folk_implosion.html"} +{"d:Title": "Luna Kaf\u00e9: Folk Implosion: Dare To Be Surprised", "d:Description": "Review of the \"quite good, and really charming\" CD.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Folk_Implosion/Reviews/Dare_to_Be_Surprised", "url": "http://lunakafe.com/moon8/usma8.php"} +{"d:Title": "Salon: Folk Implosion's Lou Barlow changes his tune", "d:Description": "A review of their \"most accessible project to date.\" (Sept. 14, 1999)", "topic": "Top/Arts/Music/Bands_and_Artists/F/Folk_Implosion/Reviews/One_Part_Lullaby", "url": "http://www.salon.com/1999/09/14/implosion/"} +{"d:Title": "Canoe.ca: A Foot in Coldwater", "d:Description": "Profile provides a biography, discography, photo and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foot_in_Coldwater,_A", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/F/Foot_In_Coldwater.html"} +{"d:Title": "FooFighters.com", "d:Description": "Official site. News, touring information, store, multimedia, concert chronology, articles, interviews, discography, image gallery, and a discussion board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.foofighters.com/"} +{"d:Title": "Cheap Beer, Cold Pretzels and the Foo Fighters", "d:Description": "NY Rock review of a show at the Roseland Ballroom by Otto Luck.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.nyrock.com/reviews/foofighters.htm"} +{"d:Title": "The Foo Fighters' Secret Message", "d:Description": "Article with a RealAudio clip from NY Rock, by Cook Young.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.nyrock.com/foo.htm"} +{"d:Title": "Entertainment Ave: Foo Fighters", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/foo_fighters.htm"} +{"d:Title": "Entertainment Ave: Foo Fighters", "d:Description": "Brief review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/foo_fighters.htm"} +{"d:Title": "Foo Fighters News: Topix", "d:Description": "News about Foo Fighters continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.topix.com/who/foo-fighters"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Foo Fighters", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.sfloman.com/foofighters.html"} +{"d:Title": "All Music Guide: Foo Fighters", "d:Description": "Includes a band profile and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.allmusic.com/artist/foo-fighters-p144725"} +{"d:Title": "RollingStone.com: Foo Fighters", "d:Description": "Includes a biography, discography, photos, articles, videos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.rollingstone.com/music/artists/foo-fighters"} +{"d:Title": "Yahoo Music: Foo Fighters", "d:Description": "Includes news, concert information and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://new.music.yahoo.com/foo-fighters/"} +{"d:Title": "MTV: Foo Fighters", "d:Description": "Site features music video clips, album reviews and MTV Online Web exclusives.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters", "url": "http://www.mtv.com/artists/foo-fighters/"} +{"d:Title": "The Foo Fighters Postboard", "d:Description": "Official Foo Fighters message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Discussion_Boards", "url": "http://bbs.foofighters.com/"} +{"d:Title": "For All The Cows", "d:Description": "Pictures of the band, lyrics and a screensaver.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://www.users.globalnet.co.uk/~amsgd/index.htm"} +{"d:Title": "New Way Home", "d:Description": "Fan page with news, band history, lyrics, links, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://www.angelfire.com/biz3/foo/"} +{"d:Title": "Stacked Actors", "d:Description": "Fan site with discography, pictures, audio downloads, and buddy icons.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://www.angelfire.com/rock/foonet/"} +{"d:Title": "Shiny Objects", "d:Description": "Clear layout, some interviews, some MP3s, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://members.tripod.com/foochick141/"} +{"d:Title": "My Heroes- The Foo Fighters", "d:Description": "Pictures, band profile, album information, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://thefoofighters0.tripod.com/"} +{"d:Title": "My Hero", "d:Description": "Fan site with photo gallery, lyrics and guitar tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://www.angelfire.com/ia/foofighters/"} +{"d:Title": "The Wattershed: Foo Fighters", "d:Description": "Includes articles, biography, lyrics, member profiles, picture gallery, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://members.tripod.com/~foohead/index1.htm"} +{"d:Title": "Rock-on.8k.com", "d:Description": "Fan site includes history, albums, singles, lyrics, RealAudio, RealVideo, gallery and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://rock-on.8k.com/"} +{"d:Title": "The Ultimate Foo Fighters Page", "d:Description": "Provides album release information, track listings, lyrics, and a list of the group's appearances. Includes bootlegs and demos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://members.tripod.com/~ultim8_foof/"} +{"d:Title": "Enough Space: Foo Fighters Web", "d:Description": "Band biography, image gallery, audio downloads and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://www.angelfire.com/sd/foo/"} +{"d:Title": "FooArchive.com", "d:Description": "Collection of news articles, radio interviews, and magazine covers.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://www.fooarchive.com/"} +{"d:Title": "Foo Fighters Rock", "d:Description": "Fan Site featuring lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://foofightersrock.tripod.com/"} +{"d:Title": "Craig's Foo Fighters Site", "d:Description": "Discography, lyrics, photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Fan_Pages", "url": "http://cgvalentine.tripod.com/ff/"} +{"d:Title": "Lyrics Freak: Foo Fighters", "d:Description": "Sorted by song title and album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Lyrics", "url": "http://www.lyricsfreak.com/f/foo+fighters/"} +{"d:Title": "Foo Fighter Guitar Tabs Archive", "d:Description": "Guitar tablature for many songs.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Tablature", "url": "http://www.globaltabs.50megs.com/FooFighters/Foo-Fighters.htm"} +{"d:Title": "Ultimate-Guitar.Com: Foo Fighters", "d:Description": "Over 200 tabs. Sorted by song.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Tablature", "url": "http://www.ultimate-guitar.com/tabs/foo_fighters_tabs.htm"} +{"d:Title": "XGuitar.com: Foo Fighters", "d:Description": "Guitar and bass tabs indexed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Tablature", "url": "http://www.xguitar.com/guitar-tabs/foo_fighters/"} +{"d:Title": "Guitare Tab: Foo Fighters", "d:Description": "Guitar tabs sorted by song.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Tablature", "url": "http://www.guitaretab.com/f/foo-fighters/"} +{"d:Title": "Songsterr: Foo Fighters", "d:Description": "A collection of bass tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foo_Fighters/Tablature", "url": "http://www.songsterr.com/a/wsa/foo-fighters-tabs-a50?inst=bass"} +{"d:Title": "VH1.com: Lita Ford", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Lita", "url": "http://www.vh1.com/artists/az/ford_lita/artist.jhtml"} +{"d:Title": "T. Model Ford", "d:Description": "Tour dates, interviews, and discography at Fat Possum Records.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_T._Model", "url": "http://www.fatpossum.com/artists/t-model-ford"} +{"d:Title": "Ernieford.com", "d:Description": "The official address for Tennessee Ernie Ford, America's number one peapicker.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Tennessee_Ernie", "url": "http://www.ernieford.com/"} +{"d:Title": "Grave of \"Tennessee Ernie\" Ernest Jennings Ford", "d:Description": "Picture of his grave and directions for visiting it in person.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Tennessee_Ernie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3806"} +{"d:Title": "Country Music Hall of Fame: Tennessee Ernie Ford", "d:Description": "Inducted in 1990. Biography with pictures and selected discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Tennessee_Ernie", "url": "http://countrymusichalloffame.org/Inductees/InducteeDetail/tennessee-ernie-ford"} +{"d:Title": "IMDb: Tennessee Ernie Ford", "d:Description": "Listing of his film and television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Tennessee_Ernie", "url": "http://www.imdb.com/name/nm0285892/"} +{"d:Title": "Willa Ford Connection", "d:Description": "Fan site includes profile, news, schedule, discography, audio, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Willa", "url": "http://amanda-willa-ford.tripod.com/"} +{"d:Title": "Willa Ford Fans", "d:Description": "Includes lyrics, photos, contests with prizes, and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Willa", "url": "http://willafordfans.tripod.com/"} +{"d:Title": "AskMen.com: Willa Ford", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Ford,_Willa", "url": "http://www.askmen.com/celebs/women/singer_60/98_willa_ford.html"} +{"d:Title": "Entertainment Ave: Foreigner", "d:Description": "Review of a concert with REO Speedwagon and Peter Frampton at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foreigner", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/r/reo_speedwagon/rs080196.htm"} +{"d:Title": "Official Foreigner Site", "d:Description": "Features news, biographies, discography, tour dates, interviews with Lou Gramm and Mick Jones and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foreigner", "url": "http://www.foreigneronline.com/"} +{"d:Title": "DaveMcnally.com: Foreigner", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foreigner", "url": "http://www.davemcnally.com/Lyrics/Foreigner/"} +{"d:Title": "4eigner.net", "d:Description": "News, tour dates, band history, and member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foreigner", "url": "http://www.4eigner.net/"} +{"d:Title": "Head Games", "d:Description": "New York City Foreigner tribute band; includes photos, sound files, show dates, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foreigner/Tribute_Bands", "url": "http://www.headgamesnyc.net/"} +{"d:Title": "Wikipedia - The Format", "d:Description": "Encyclopedia article discusses the history of the band and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Format,_The", "url": "http://en.wikipedia.org/wiki/The_Format"} +{"d:Title": "Theformat's Journal", "d:Description": "Live Journal entries, music videos and visitor comments.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Format,_The", "url": "http://theformat.livejournal.com/"} +{"d:Title": "LAist", "d:Description": "Interview with Nate of The Format. November 30, 2006.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Format,_The", "url": "http://www.laist.com/archives/2006/11/30/interview_time_with_nate_of_the_format.php"} +{"d:Title": "The Format Lyrics", "d:Description": "Lyrics from \"EP\" (2003), \"Interventions and Lullabies\" (2003) and \"Dog Problems\" (2006).", "topic": "Top/Arts/Music/Bands_and_Artists/F/Format,_The", "url": "http://www.azlyrics.com/f/format.html"} +{"d:Title": "The Format", "d:Description": "Includes band member biographies, tour schedule, downloads, interviews and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Format,_The", "url": "http://www.theformat.com/"} +{"d:Title": "All Music Guide: Robert Forster", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Forster,_Robert", "url": "http://www.allmusic.com/artist/robert-forster-mn0000287066"} +{"d:Title": "Guy Forsyth Band Official Website", "d:Description": "News, MP3 downloads, live show dates, biography, music and merchandise, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Forsyth,_Guy", "url": "http://www.guyforsyth.com/"} +{"d:Title": "Miss Gien: Guy Forsyth Band", "d:Description": "Fan site. Brief Biography, photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Forsyth,_Guy", "url": "http://www.missgien.net/misc/gfb/index.html"} +{"d:Title": "History of Rock: Fabian", "d:Description": "Biography of the fifties teen idol.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Forte,_Fabian", "url": "http://www.history-of-rock.com/fabian.htm"} +{"d:Title": "Fabian", "d:Description": "Official site for the late '50s-early '60s teen idol features biography, news, tour dates, pictures and information on his albums, movies and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Forte,_Fabian", "url": "http://www.fabianforte.net/"} +{"d:Title": "AllMusic.com: For Real", "d:Description": "Discography, biographies, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/For_Real", "url": "http://www.allmusic.com/artist/for-real-p142783"} +{"d:Title": "Kill the Birds", "d:Description": "Fans site with profile, guitar tablature, lyrics, pictures, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/For_Squirrels", "url": "http://members.tripod.com/forsquirrelstab/index.html"} +{"d:Title": "See What You Want To See", "d:Description": "Official site with everything for fans of singer-songwriter Radney Foster.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foster,_Radney", "url": "http://www.radneyfoster.com/"} +{"d:Title": "Fountains of Wayne", "d:Description": "Official site features exclusive MP3 files, videos, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fountains_of_Wayne", "url": "http://www.fountainsofwayne.com/"} +{"d:Title": "H2so4 Magazine: How Cool is That?", "d:Description": "Article including thoughts about the band and nostalgia, by Avia Midons.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fountains_of_Wayne", "url": "http://h2so4.net/politics/cool.html"} +{"d:Title": "utopiaparkway", "d:Description": "Discussion group for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fountains_of_Wayne", "url": "http://groups.yahoo.com/group/utopiaparkway/"} +{"d:Title": "PopEntertainment.com: Fountains of Wayne - Bright Future In Record Sales", "d:Description": "Lead singer Chris Collingwood of New Jersey's great pop rock hope opens up to Jay S. Jacobs about making the leap from cult fave to hit band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fountains_of_Wayne", "url": "http://www.popentertainment.com/fountains.htm"} +{"d:Title": "Fountains of Wayne News: Topix", "d:Description": "News about Fountains of Wayne continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fountains_of_Wayne", "url": "http://www.topix.com/who/fountains-of-wayne"} +{"d:Title": "MTV: Fountains of Wayne", "d:Description": "News, streaming video, and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fountains_of_Wayne", "url": "http://www.mtv.com/artists/fountains-of-wayne/"} +{"d:Title": "FourPlay", "d:Description": "Sydney, Australia based indie rock band who happen to be an electric string quartet.", "topic": "Top/Arts/Music/Bands_and_Artists/F/FourPlay", "url": "http://www.fourplay.com.au/"} +{"d:Title": "Waterfalls", "d:Description": "Fourplay fan site includes biography, discography, poll and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/FourPlay", "url": "http://www.geocities.co.jp/MusicStar/2373/fourplay.htm"} +{"d:Title": "Backstage: The Four Tops", "d:Description": "History of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Four_Tops,_The", "url": "http://www.angelfire.com/co/helper/fourtops.html"} +{"d:Title": "Wilson and Alroy's Record Reviews: The Four Tops", "d:Description": "Reviews of most of the albums by the Motown vocal group.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Four_Tops,_The", "url": "http://www.warr.org/fourtops.html"} +{"d:Title": "The History of Rock and Roll: The Four Tops", "d:Description": "History of the band with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Four_Tops,_The", "url": "http://www.history-of-rock.com/four_tops.htm"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Four_Tops,_The", "url": "http://rockhall.com/inductees/the-four-tops/"} +{"d:Title": "Samantha Fox On-Line", "d:Description": "Official site with news, diary, gallery and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fox,_Samantha", "url": "http://www.samfox.com/"} +{"d:Title": "Samantha Fox FAQ", "d:Description": "Frequently Asked Questions from the Sam Fox usenet group.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fox,_Samantha", "url": "http://www.faqs.org/faqs/personalities/samantha-fox/"} +{"d:Title": "Wascure's Samantha Fox Corner", "d:Description": "Yahoo Club for singer/model Sam Fox.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fox,_Samantha", "url": "http://launch.groups.yahoo.com/group/wascuressamanthafoxcorner/"} +{"d:Title": "All Music Guide: Samantha Fox", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fox,_Samantha", "url": "http://www.allmusic.com/artist/samantha-fox-p4301"} +{"d:Title": "AskMen.com: Samantha Fox", "d:Description": "Pictures, biography, commentary, and links on the singer/model.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fox,_Samantha", "url": "http://www.askmen.com/celebs/women/singer_60/95_samantha_fox.html"} +{"d:Title": "John Foxx : The Quiet Man", "d:Description": "A fan page dedicated to John Foxx, formerly of Ultravox. Gives an extensive history of Foxx's career along with album reviews and information on upcoming CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foxx,_John", "url": "http://members.tripod.com/coleman66/foxx.html"} +{"d:Title": "Patterns Scarring", "d:Description": "A fan site with discography, album reviews, biography, art, lyrics, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foxx,_John", "url": "http://members.tripod.com/~pattscar_030/Index.htm"} +{"d:Title": "Metamatic", "d:Description": "John Foxx's official site. Discography, information on upcoming releases and concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foxx,_John", "url": "http://www.metamatic.com/"} +{"d:Title": "Quiet Citu: The Music of John Foxx", "d:Description": "Fan site containing album reviews, a biography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Foxx,_John", "url": "http://www.quietcity.co.uk/"} +{"d:Title": "About.com: Fragma and Coco", "d:Description": "Article from April 2000 about Fragma's second release \"Toca's Miracle\".", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fragma", "url": "http://uktop40.about.com/?once=true&"} +{"d:Title": "Yahoo Clubs: Fragma Toca's Miracle", "d:Description": "Message board and photo gallery devoted to Fragma.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fragma", "url": "http://groups.yahoo.com/group/fragmatocasmiracle/"} +{"d:Title": "All Music Guide: Fragma", "d:Description": "Listing of releases by Fragma.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fragma", "url": "http://www.allmusic.com/artist/fragma-mn0000189468"} +{"d:Title": "Frames, The", "d:Description": "Official site for this Dublin-based band includes song samples, guitar tabs, CD sales and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frames,_The", "url": "http://www.theframes.ie/"} +{"d:Title": "NPR Music: The Frames", "d:Description": "Artist page includes archive of reviews, interviews, features and video performances.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frames,_The", "url": "http://www.npr.org/templates/story/story.php?storyId=15197845"} +{"d:Title": "ANTI: The Frames", "d:Description": "Reviews and album details for The Cost, Burn The Maps, and Set List.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frames,_The", "url": "http://www.anti.com/artists/the-frames/"} +{"d:Title": "Entertainment Ave: Peter Frampton", "d:Description": "Review of a concert with REO Speedwagon and Foreigner at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frampton,_Peter", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/r/reo_speedwagon/rs080196.htm"} +{"d:Title": "Official Peter Frampton Website", "d:Description": "News, history, equipment, discography, show dates, and audio and video clips from the singer, guitarist and songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frampton,_Peter", "url": "http://www.frampton.com/"} +{"d:Title": "The Incomparable Miss Connie Francis", "d:Description": "Fan site includes a biography, cover scans, interview, concert dates, lyrics, photos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Francis,_Connie", "url": "http://www.freewebs.com/conniefrancis/"} +{"d:Title": "A Vision in a Dream", "d:Description": "Illustrated history of the group, member profiles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frankie_Goes_to_Hollywood", "url": "http://frankie_only.tripod.com/"} +{"d:Title": "DaveMcNally.com: Frankie Goes to Hollywood", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frankie_Goes_to_Hollywood", "url": "http://www.davemcnally.com/Lyrics/FrankieGoesToHollywood/"} +{"d:Title": "VH1.com: Frankie Goes To Hollywood", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frankie_Goes_to_Hollywood", "url": "http://www.vh1.com/artists/az/frankie_goes_to_hollywood/artist.jhtml"} +{"d:Title": "Aretha Franklin News: Topix", "d:Description": "News about Aretha Franklin continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franklin,_Aretha", "url": "http://www.topix.com/who/aretha-franklin"} +{"d:Title": "Rock and Roll Hall of Fame: Aretha Franklin", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franklin,_Aretha", "url": "http://rockhall.com/inductees/aretha-franklin/"} +{"d:Title": "Rollingstone.com: Aretha Franklin", "d:Description": "Includes a biography, discography, photos, articles, links and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franklin,_Aretha", "url": "http://www.rollingstone.com/music/artists/aretha-franklin"} +{"d:Title": "MTV: Aretha Franklin", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franklin,_Aretha", "url": "http://www.mtv.com/artists/aretha-franklin/"} +{"d:Title": "Yahoo Groups: FarrahFranklinFans", "d:Description": "Message board and chat room for fans to communicate.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franklin,_Farrah", "url": "http://groups.yahoo.com/group/farrahfranklinfans/"} +{"d:Title": "Yahoo Groups: Get at Farrah", "d:Description": "Discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franklin,_Farrah", "url": "http://groups.yahoo.com/group/getatfarrah/"} +{"d:Title": "Farrahs Lounge", "d:Description": "Fan site includes biography, news, photos, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franklin,_Farrah", "url": "http://farrahslounge.4t.com/"} +{"d:Title": "Michael Franks", "d:Description": "Personal website with notes, photos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franks,_Michael", "url": "http://michaelfranks.com/"} +{"d:Title": "All Music Guide: Michael Franks", "d:Description": "Profile, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franks,_Michael", "url": "http://www.allmusic.com/artist/p6526"} +{"d:Title": "Setanta: The Frank and Walters", "d:Description": "Record label site includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frank_and_Walters,_The", "url": "http://www.setantarecords.com/php/biog.php?art_id=12"} +{"d:Title": "Franz Ferdinand", "d:Description": "Official site includes news, biography, discography, gig dates, and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franz_Ferdinand", "url": "http://www.franzferdinand.co.uk/"} +{"d:Title": "Domino: Franz Ferdinand", "d:Description": "Record label site includes biography, discography, sound files, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franz_Ferdinand", "url": "http://www.dominorecordco.com/artists/franz-ferdinand/"} +{"d:Title": "Pitchfork Review: Franz Ferdinand: Franz Ferdinand", "d:Description": "Review by Brent DiCrescenzo, rated 9.1 out of 10. \"Like all lasting records, Franz Ferdinand steps up to the plate and boldly bangs on the door to stardom.\" (March 9, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/F/Franz_Ferdinand", "url": "http://pitchfork.com/reviews/albums/3279-franz-ferdinand/"} +{"d:Title": "Brita Maia's Freakwater Page", "d:Description": "FAQ, photos, discography, links, lyrics, downloads, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Freakwater", "url": "http://freakwater.tripod.com/"} +{"d:Title": "Grunnen Rocks: Freakwater", "d:Description": "Links, discography, member information and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Freakwater", "url": "http://www.grunnenrocks.nl/index.html?bands/f/freakwat.htm"} +{"d:Title": "Billions: Freakwater", "d:Description": "News, tour dates, RealAudio samples, and member information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Freakwater", "url": "http://billions.com/freakwater"} +{"d:Title": "Salon: Freakwater", "d:Description": "Introduction and review of Springtime.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Freakwater", "url": "http://www.salon.com/1998/01/23/sharps_49/"} +{"d:Title": "Marie Fredriksson Online", "d:Description": "Fan site with news, biography, discography, audio clips, lyrics, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frediksson,_Marie", "url": "http://www.mariefredriksson.net/"} +{"d:Title": "Michael Fredo Galaxy", "d:Description": "A fan page on the singer from Elmira, New York who toured with Britney Spears. Artist information, photos, lyrics, links and chat transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fredo,_Michael", "url": "http://www.angelfire.com/ms/michaelfredo/"} +{"d:Title": "This Time Around - Introducing Michael Fredo", "d:Description": "A fan site dedicated to a great musical artist. Includes pictures, chat, message boards, and quizzes.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fredo,_Michael", "url": "http://www.angelfire.com/pop/michaelfredo/index.html"} +{"d:Title": "Free - Bad Company - Paul Rodgers Fan Web Site", "d:Description": "All the latest on gigs, CDs, reviews and chatroom.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Free", "url": "http://www.allrightnow.com/fws/"} +{"d:Title": "Free at Last", "d:Description": "Based in the UK. Includes gig listings, audio samples, contact details, band biography, reviews, links, photographs, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Free/Tribute_Bands", "url": "http://www.freeatlast.info/"} +{"d:Title": "Freeway", "d:Description": "Based in the UK. Includes information about the band, news, reviews, gig listings, photographs, links, contact details and past gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Free/Tribute_Bands", "url": "http://www.freeway.webeden.co.uk/"} +{"d:Title": "Fire&Water", "d:Description": "Based in the UK, tribute to Free, Bad Company, and Led Zeppelin. Includes gig listings, band information, photography, audio samples, video, reviews, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Free/Tribute_Bands", "url": "http://www.note-music.co.uk/fireandwater.html"} +{"d:Title": "Freight Hopper Photos", "d:Description": "Photos of the band and friends at the '98 Clifftop Appalachian String Band Festival.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Freight_Hoppers,_The", "url": "http://freighthopperfan.homestead.com/"} +{"d:Title": "Frente Discography", "d:Description": "Complete discography of Australian band, including albums, singles, bootlegs and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frente", "url": "http://www.sabaisabai.org/whirled/"} +{"d:Title": "Entertainment Ave: Frente", "d:Description": "Review of a concert at Schuba's Tavern in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frente", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/f/frente/fr072296.htm"} +{"d:Title": "Here's Frenzal Rhomb", "d:Description": "Band information, discography with song clips, photos, lyrics, tour dates and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frenzal_Rhomb", "url": "http://members.tripod.com/~Frenzal_Rhomb/"} +{"d:Title": "MTV: Frenzel Rhomb", "d:Description": "Audio clips, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frenzal_Rhomb", "url": "http://www.mtv.com/artists/frenzal-rhomb/"} +{"d:Title": "Glenn Frey Discography", "d:Description": "List of song titles, albums, related artists, and sound tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frey,_Glenn", "url": "http://www.eaglesfans.com/glenn-frey-discography/"} +{"d:Title": "The World According to Gavin Friday", "d:Description": "The official homepage of Irish singer Gavin Friday.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Friday,_Gavin", "url": "http://www.gavinfriday.com/"} +{"d:Title": "Yahoo! Group: gavskitchen", "d:Description": "Site allows members to discuss the musician's work. [Free registration required.]", "topic": "Top/Arts/Music/Bands_and_Artists/F/Friday,_Gavin", "url": "http://groups.yahoo.com/group/gavskitchen/"} +{"d:Title": "TrouserPress.com: Gavin Friday", "d:Description": "Reviews of his recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Friday,_Gavin", "url": "http://www.trouserpress.com/entry.php?a=gavin_friday"} +{"d:Title": "Bill Frisell Discography, The", "d:Description": "Complete listing of all the recordings that the guitarist has appeared on.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frisell,_Bill", "url": "http://www.bryanaaker.net/discog.html"} +{"d:Title": "Nonesuch", "d:Description": "Official label's website", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frisell,_Bill", "url": "http://www.nonesuch.com/"} +{"d:Title": "Bill Frisell", "d:Description": "Official web page of the contemporary jazz guitarist, with history, discography, links, reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frisell,_Bill", "url": "http://www.billfrisell.com/"} +{"d:Title": "Songline/Tonefield Productions: Bill Frisell", "d:Description": "Representation and management. Biography, band information, albums, tour information, and film listings.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frisell,_Bill", "url": "http://www.songtone.com/artists/Frisell/main.html"} +{"d:Title": "Metacritic: Blues Dream", "d:Description": "Links to multiple critic and user reviews of the Bill Frisell album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frisell,_Bill", "url": "http://www.metacritic.com/music/blues-dream/bill-frisell"} +{"d:Title": "Blossom Dearie and Dave Frishberg at the Tribeca Performing Arts Center", "d:Description": "AllAboutJazz.com review of a New York show posted April 21, 2005.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frishberg,_Dave", "url": "http://www.allaboutjazz.com/php/article.php?id=17346"} +{"d:Title": "NPR Intersections: Reviving the Art of the Witty Lyric", "d:Description": "Interviewer Ketzel Levine met with the jazz composer in 2004, in which he credits the influence of Broadway legend Frank Loesser. Audio with text summary.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frishberg,_Dave", "url": "http://www.npr.org/templates/story/story.php?storyId=1835436"} +{"d:Title": "A Conversation with Dave Frishberg", "d:Description": "Interview by Phillip D. Atteberry, as originally published in the \"Mississippi Rag\" in April, 1996. Gives details of the artist's early life and career, and he expounds on the modern decline of musical quality.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frishberg,_Dave", "url": "http://www.pitt.edu/~atteberr/jazz/articles/FRISHBER.html"} +{"d:Title": "Baseball Almanac: Van Lingle Mungo", "d:Description": "Biographies and lifetime batting and fielding statistics for Mungo and all the other major league ballplayers in the lyrics of Frishberg's most famous song.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frishberg,_Dave", "url": "http://www.baseball-almanac.com/poetry/vanlinglemungo.shtml"} +{"d:Title": "Austin Chronicle Music: Steven Fromholz", "d:Description": "Article and interview with the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fromholz,_Steven", "url": "http://www.austinchronicle.com/issues/vol18/issue02/music.fromholz.html"} +{"d:Title": "The Onion AV Club: Mitchell Froom", "d:Description": "Interview with producer and musician on his many collaborations and his brief stint scoring porn films.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Froom,_Mitchell", "url": "http://www.avclub.com/articles/mitchell-froom,13535/"} +{"d:Title": "Allmusic: Mitchell Froom", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Froom,_Mitchell", "url": "http://www.allmusic.com/artist/p78050"} +{"d:Title": "Coordinates: Frou Frou", "d:Description": "Interview to Guy Sigsworth and Imogen Heap about the 'Breathe In' single. With audio and video streams in Real Media.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frou_Frou", "url": "http://www.2-4-7-music.com/newsitems/june02/froufrou.asp"} +{"d:Title": "NY Rock.com: The Californication of John Frusciante", "d:Description": "Interview with John and the other members of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frusciante,_John", "url": "http://www.nyrock.com/interviews/rhcp_int.htm"} +{"d:Title": "The John Frusciante Tour Diary", "d:Description": "Photo gallery, discography, articles, equipment information.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frusciante,_John", "url": "http://rhcprock.free.fr/john_frame.htm"} +{"d:Title": "Invisible Movement", "d:Description": "A fan site containing news, audio, video, photo galleries, an archive of articles and reviews, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frusciante,_John", "url": "http://www.invisible-movement.net/"} +{"d:Title": "JohnFrusciante.com", "d:Description": "Official site. News, pictures, lyrics and a FAQ.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frusciante,_John", "url": "http://www.johnfrusciante.com/"} +{"d:Title": "John Frusciante Tablature Archive", "d:Description": "Tablature, lyrics and Real Audio samples for all solo albums.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frusciante,_John", "url": "http://jftab.com/"} +{"d:Title": "Jam! Showbiz: John Frusciante", "d:Description": "Various articles about John Frusciante.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Frusciante,_John", "url": "http://jam.canoe.com/Music/Artists/F/Frusciante_John/"} +{"d:Title": "DaveMcNally.com: Fuel", "d:Description": "Lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fuel", "url": "http://www.davemcnally.com/Lyrics/Fuel/"} +{"d:Title": "Fuel", "d:Description": "Official site includes news, music samples, biography, photos, tour dates and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fuel", "url": "http://www.fuelrocks.com/"} +{"d:Title": "MTV: Fuel", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fuel", "url": "http://www.mtv.com/artists/fuel/"} +{"d:Title": "Dischord Records", "d:Description": "The label started by Ian MacKaye of Fugazi. This indie label puts out all Fugazi releases and this page contains news about Fugazi tours and releases.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugazi", "url": "http://www.dischord.com/"} +{"d:Title": "Fugazi Forum", "d:Description": "A discussion forum for Fugazi with easy navigating procedure.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugazi", "url": "http://forums.delphiforums.com/n/main.asp?webtag=fugaziforum&nav=start"} +{"d:Title": "World of Fugazi", "d:Description": "An informative and interactive site that is put together and maintained by a group of Fugazi fans from around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugazi", "url": "http://members.shaw.ca/toddcjohnson/"} +{"d:Title": "The Onion AV Club: Ian MacKaye", "d:Description": "Interview with the man from Fugazi on the secrets of success outside the mainstream.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugazi", "url": "http://www.avclub.com/articles/ian-mackaye,13598/"} +{"d:Title": "RollingStone.com: Fugazi", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugazi", "url": "http://www.rollingstone.com/music/artists/fugazi"} +{"d:Title": "MTV: Fugazi", "d:Description": "Includes news, album reviews, audio downloads, biographies, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugazi", "url": "http://www.mtv.com/artists/fugazi/"} +{"d:Title": "Original Hip-Hop Lyrics Archive: Fugees", "d:Description": "Non official lyrics to fugees albums, singles and b-sides. Also includes lyrics for the group members solo projects.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugees", "url": "http://ohhla.com/YFA_fugees.html"} +{"d:Title": "Fugees Online - Lauryn Hill, Wyclef Jean, Pras", "d:Description": "News about fugees members. Old bootlegs, interviews, videos, pictures and comments.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugees", "url": "http://fugees-online.blogspot.com/"} +{"d:Title": "RollingStone.com: Fugees", "d:Description": "Includes a biography, discography, photos, articles, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugees", "url": "http://www.rollingstone.com/music/artists/fugees"} +{"d:Title": "Hip Online: artists: the fugees", "d:Description": "Biography", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugees", "url": "http://hiponline.com/1363/fugees.html"} +{"d:Title": "Refugee Camp Soundz", "d:Description": "In RealAudio", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fugees/Fan_Pages", "url": "http://members.tripod.com/~IronLion/soundz.html"} +{"d:Title": "A Wax Box", "d:Description": "Review from Satan Stole My Teddybear.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Full_Devil_Jacket", "url": "http://www.ssmt-reviews.com/artist/full_d.html"} +{"d:Title": "All-Music Guide: Fun-Da-Mental", "d:Description": "Discography, and short biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fun-Da-Mental", "url": "http://www.allmusic.com/artist/fun-da-mental-mn0000185618"} +{"d:Title": "Planet Fun Lovin' Criminals", "d:Description": "Kat's Crims fansite, including FLC-endorsed drinking game, news, dates and messageboard.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fun_Lovin'_Criminals", "url": "http://www.angelfire.com/ks2/planetkat/"} +{"d:Title": "Partners In Crime WebRing", "d:Description": "A ring that connects Fun Lovin' Criminals websites from around the web.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fun_Lovin'_Criminals", "url": "http://www.webring.org/hub?ring=partnersincrime"} +{"d:Title": "Songsterr: Fun Lovin' Criminals", "d:Description": "Selection of FLC bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fun_Lovin'_Criminals", "url": "http://www.songsterr.com/a/wsa/fun-lovin-criminals-tabs-a2378?inst=bass"} +{"d:Title": "The Legendary Harvey Fuqua", "d:Description": "Online chat transcript from SoulPatrol.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fuqua,_Harvey_and_the_Moonglows", "url": "http://www.soul-patrol.com/soul/fuqua.htm"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography for The Moonglows.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fuqua,_Harvey_and_the_Moonglows", "url": "http://rockhall.com/inductees/the-moonglows/"} +{"d:Title": "Richie Furay", "d:Description": "Official site for the singer-songwriter features blog, tour dates, biography, gear details, band profiles and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furay,_Richie", "url": "http://richiefuray.com/"} +{"d:Title": "Wikipedia: Richie Furay", "d:Description": "Biography and career overview.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furay,_Richie", "url": "http://en.wikipedia.org/wiki/Richie_Furay"} +{"d:Title": "Richie Furay Concert Database", "d:Description": "Chronology of concerts solo and with his bands, including memorabilia and set lists.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furay,_Richie", "url": "http://www.angelfire.com/rock3/deliverin/Furay/FurayConcerts.htm"} +{"d:Title": "Brilliant Minds", "d:Description": "Detailed Furniture biography. Also includes an interview, image gallery and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furniture", "url": "http://gilest.org/furniture.html"} +{"d:Title": "Furslide", "d:Description": "A fan site with band biography, pictures, lyrics, and links to Real Audio clips from the debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furslide", "url": "http://www.angelfire.com/ca3/Furslide/"} +{"d:Title": "Nelly Furtado", "d:Description": "Includes album information, biography, picture gallery and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly", "url": "http://www.nellyfurtado.com/"} +{"d:Title": "Rollingstone: Nelly Furtado", "d:Description": "Includes biography, photo gallery, news, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly", "url": "http://www.rollingstone.com/music/artists/nelly-furtado"} +{"d:Title": "Yahoo Music: Nelly Furtado", "d:Description": "Background information, pictures, album information, news, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly", "url": "http://new.music.yahoo.com/nelly-furtado/"} +{"d:Title": "AskMen.com: Nelly Furtado", "d:Description": "Pictures, biography, ratings and links.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly", "url": "http://www.askmen.com/celebs/women/singer/59_nelly_furtado.html"} +{"d:Title": "MTV.com: Nelly Furtado", "d:Description": "Includes multimedia, news, discography, reviews, photos, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly", "url": "http://www.mtv.com/artists/nelly-furtado/"} +{"d:Title": "All Music Guide: Nelly Furtado", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly", "url": "http://www.allmusic.com/artist/nelly-furtado-mn0000861687"} +{"d:Title": "Canoe: Nelly Furtado", "d:Description": "Offers a collection of news articles on the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/F/Furtado_Nelly/"} +{"d:Title": "Nelly Furtado Fan Club", "d:Description": "A Yahoo Club, provides members a place to talk about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/nellyfurtadofanclub/"} +{"d:Title": "Nelly Furtado: Online Street Team", "d:Description": "Place to discuss about singer-songwriter-producer Nelly Furtado.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/nellyfurtado/"} +{"d:Title": "Nelly Furtado Whoa Nelly", "d:Description": "A Yahoo group where members can interact with each other and post links and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/nellyfurtadowhoanelly/"} +{"d:Title": "Turn Off The Light", "d:Description": "Biography, fans reviews, news, tour dates, lyrics, pictures, video, links, icons and desktop media.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Fan_Pages", "url": "http://www.angelfire.com/amiga/NellyFurtado/"} +{"d:Title": "Nelly Furtado Music", "d:Description": "Featuring pictures, news, biography, lyrics, tour dates, links, downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Fan_Pages", "url": "http://www.nellyfurtadomusic.com/"} +{"d:Title": "AmIRight.com: Nelly Furtado", "d:Description": "Corrects misconceptions about artists lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Lyrics", "url": "http://www.amiright.com/misheard/artist/furtadonelly.shtml"} +{"d:Title": "Nelly Furtado - ourlyrics.net", "d:Description": "Lyrics organised by singers albums.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Lyrics", "url": "http://ourlyrics.net/artist_nelly_furtado"} +{"d:Title": "Lyrics Planet: Nelly Furtado", "d:Description": "Features lyrics from the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Furtado,_Nelly/Lyrics", "url": "http://www.lyricsplanet.com/index.php3?style=artist&searchstring=Nelly_Furtado"} +{"d:Title": "Further Seems Forever Interview", "d:Description": "An interview with the band by Jonas Mattebo.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Further_Seems_Forever", "url": "http://www.decapolis.com/musicreviews/interviews/further.shtml"} +{"d:Title": "Further Seems Forever - a Review of The Phantom Tollbooth", "d:Description": "Reviews of \"The Moon is Down\", and a history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Further_Seems_Forever", "url": "http://www.tollbooth.org/2001/reviews/furtherseems.html"} +{"d:Title": "TheFish.com - The Moon is Down", "d:Description": "Review of the album, along with track listing and audio samples in RealAudio format.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Further_Seems_Forever", "url": "http://www.thefish.com/music/reviews/11618399/"} +{"d:Title": "Billy Fury", "d:Description": "Includes biography, discography, filmography, fan clubs and news.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fury,_Billy", "url": "http://www.nic.fi/~nallew/fury.html"} +{"d:Title": "Billy Fury - British Ballads and Rock 'n' Roll", "d:Description": "Biography of the rockabilly singer.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fury,_Billy", "url": "http://www.rockabilly.nl/artists/bfury.htm"} +{"d:Title": "Billy Fury - The Story", "d:Description": "Fan site for the English singer. Includes biography, full discography, filmography, sound and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fury,_Billy", "url": "http://www.billyfury.com/"} +{"d:Title": "Billy Fury Worldwide Discography", "d:Description": "Complete international listing of Fury's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fury,_Billy", "url": "http://peterbaldia.tripod.com/"} +{"d:Title": "Feelin' Just Fine: Official Home of Fu Manchu", "d:Description": "Includes news, biography, lyrics, pictures, reviews and a garage sale.", "topic": "Top/Arts/Music/Bands_and_Artists/F/Fu_Manchu", "url": "http://www.fu-manchu.com/"} +{"d:Title": "Georgie Pie", "d:Description": "Tokyo based electric blues band; contains biography, discography, and show schedule. In English and Japanese.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.georgiepie.com/"} +{"d:Title": "Gerson, Ruth", "d:Description": "Independent singer/songwriter. Reviews, audio and video clips, pictures, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.ruthgerson.com/"} +{"d:Title": "Grace, Janice", "d:Description": "Singer/songwriter and producer from New York. Includes pictures, biography, song clips, lyrics, and remixes.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://janicegrace.com/"} +{"d:Title": "Germain, Jane", "d:Description": "Independent Australian artist. Pictures, song samples, and background information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/rock/janegermain/"} +{"d:Title": "Garbutt, Vin", "d:Description": "Biography, new, reviews, photographs, tour dates and bookings.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.vingarbutt.com/"} +{"d:Title": "Glamour Puss", "d:Description": "With the release of their second CD, \"Blues du Jour\", Glamour Puss continues with the tradition of great blues, blues-rock, Zydeco and Cajun sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.glamourpuss.ca/"} +{"d:Title": "Gogo, David", "d:Description": "Biography of the blues guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.davidgogo.org/"} +{"d:Title": "Glass Harp", "d:Description": "Youngstown, Ohio based power rock band known for their work during the 1960-1970s. History, audio and video clips, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.glassharp.net/"} +{"d:Title": "Guzm\u00e1n, Viviana", "d:Description": "Featuring her tour schedule and CDs: Teleman Flute Fantasies, and Planet Flute.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.viviana.org/"} +{"d:Title": "Gregory, Mike", "d:Description": "Keyboardist, composer and arranger.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.taliesyn.com/mike/"} +{"d:Title": "Goffee, Terry Lee", "d:Description": "The official fan club for the country artist. Bio, show dates, merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.terryleegoffee.com/"} +{"d:Title": "Gilewitz, Richard", "d:Description": "Tampa Bay, Florida 6 and 12-string fingerstyle guitarist and composer. Includes tour schedules, travel photo logs, biography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.richardgilewitz.com/"} +{"d:Title": "GoldenFire", "d:Description": "A band from Kentucky. Newsletter and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/ky/goldenfire/"} +{"d:Title": "Grey, Darrell", "d:Description": "A professional drummer now in the Seattle area.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.seattledrummer.com/darrellgrey/dgindex.html"} +{"d:Title": "Garbo Swag", "d:Description": "An unsigned rock band from Chicago, IL. News, information, concert listings, photos and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.garboswag.com/"} +{"d:Title": "Glister", "d:Description": "Up to date information on this Australian rock band. Show dates, photos, lyrics, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/ma/glister/"} +{"d:Title": "Gordon, Billy and The Blue Rockers", "d:Description": "Includes profile, reviews, repertoire, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.motorcycleentertain.com/"} +{"d:Title": "Greenhaw, Art", "d:Description": "Official international fan club site includes biography, news, schedule, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.artgreenhaw.com/"} +{"d:Title": "Garden Weazol", "d:Description": "Includes performance dates, band biography, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/pa/gardenweazol/home3.html"} +{"d:Title": "Geezerbird", "d:Description": "Official site of the pop-rock group includes news, profiles, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.geezerbird.com/"} +{"d:Title": "Gavin", "d:Description": "California-based alternative folk singer/songwriter. Includes a biography, photos and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gavinsound.com/"} +{"d:Title": "Galvanized Jazz Band", "d:Description": "Traditional jazz band based in Connecticut. Band news, appearance and recordings information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://galvanizedjazz.com/"} +{"d:Title": "Godless", "d:Description": "Gig dates, discography, pictures, and press clippings for the Canadian band.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://mypage.direct.ca/g/godless/"} +{"d:Title": "Grayson, Donna", "d:Description": "An independent pop/rock singer/songwriter. Lyrics, song clips, bio, CD ordering and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.donnagrayson.com/"} +{"d:Title": "Gold Blade", "d:Description": "Punk rock soul power revolutionary R'n'R combo. MP3s, film clips, gig information, up to date news and sloganeering.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.goldblade.com/"} +{"d:Title": "Green Machinery", "d:Description": "Official site for the Atlanta band includes profiles, gig information, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/myband/GreenMachinery/Try.html"} +{"d:Title": "Grundler, James", "d:Description": "The music of James Grundler and Palo Alto (signed to American Recordings), formerly of the Din Pedals.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/ca3/jamesgrundler/index.html"} +{"d:Title": "Gits, The", "d:Description": "Official website maintained by members of the Seattle punk band fronted by the late Mia Zapata. Photos, posters, catalog and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.thegits.com/"} +{"d:Title": "Glenn, Teddy", "d:Description": "The King of Crock = Country + Rock + Bad Attitude. Based in Nashville, this singer/songwriter/guitarist is an original uncompromising artist. Signed to Wild Oats Records.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://teddyglenn.freeservers.com/"} +{"d:Title": "Gajraj, Terry", "d:Description": "Chutney / Soca / Reggae singer and musician from the Caribbean.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.terrygajraj.com/"} +{"d:Title": "Goldspot", "d:Description": "Official site of Los Angeles based Rock band. Includes news, history, photos, audio, video, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.goldspot.net/"} +{"d:Title": "Gifted Children", "d:Description": "News, information, member profiles and photos for the Buffalo/Rochester indie-rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.thegiftedchildren.com/"} +{"d:Title": "Groove Krickets, The", "d:Description": "Contains biographies, reviews, interviews, photographs, a link to their music on MP3.com and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.groovekrickets.8m.com/"} +{"d:Title": "Gabriel Mann Situation", "d:Description": "Official homepage for the Gabriel Mann Situation, a pop/rock band based in the Los Angeles area.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gabrielmann.com/"} +{"d:Title": "G-Funk Allstars", "d:Description": "A popular band based in Lexington, Kentucky.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/ky2/gfunk/"} +{"d:Title": "Grande LA Frente", "d:Description": "An intense, new Power Rock band from Minneapolis, MN.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/mn2/grandelafrente/"} +{"d:Title": "Grimes, Tiny", "d:Description": "Biographic sketch of the jazz guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://interservicesnetwork.tripod.com/thedailysquid/people/tinygrimes.html"} +{"d:Title": "Giltrap, Gordon", "d:Description": "With gig guide and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.giltrap.co.uk/"} +{"d:Title": "Grillo, Carmen", "d:Description": "A world class professional guitarist, composer, producer, songwriter. Former guitarist with the legendary group Tower Of Power, released his first solo CD \"Both Sides Of The Coin\".", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.carmengrillo.com/"} +{"d:Title": "Gravity Tree", "d:Description": "News, MP3 files, and CD information for the progressive, alternative band from the San Francisco, CA area.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gravitytree.com/"} +{"d:Title": "Grant, Lana", "d:Description": "Newfoundland-born singer/songwriter. Sound and video clips, photographs, merchandise, tour dates, email list, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.lanagrant.com/"} +{"d:Title": "Gawen, Phil", "d:Description": "Six songs from his new CD \"ABC\" are streamed in RealAudio for your listening pleasure.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.philgawen.com/"} +{"d:Title": "Gramercy Arts Ensemble", "d:Description": "Unique flute, violin and cello ensemble available for weddings and other occasions in the NJ NY metro area. Includes sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gramercyarts.com/"} +{"d:Title": "Gutbucket", "d:Description": "Punk/jazz kerzoom music. Gig dates, MP3s, and RealAudio files.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gutweb.com/"} +{"d:Title": "Grand Champeen", "d:Description": "Review and contact information for the Austin, Texas rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.grandchampeen.com/"} +{"d:Title": "Garry Anthony Band, The", "d:Description": "Deux Montaignes, Quebec based band. Contains biographies, audio samples, discography, photographs, and show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/myband/thegarryanthonyband/"} +{"d:Title": "Greedy Pig", "d:Description": "Official site for the Finnish punk band. Includes history, and member details.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.greedypig.net/"} +{"d:Title": "Goldberg, Aaron", "d:Description": "Jazz pianist and composer. Biography, discography, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.aarongoldberg.com/"} +{"d:Title": "Guty&Simone", "d:Description": "Guitarist/singers, composers, from Castiglione del Lago, Perugia, Umbria, Italy.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.ilbagatto.com/gutyesimone/index_uk.html"} +{"d:Title": "Glitter 'N Gold", "d:Description": "Mardi Gras music production featuring Mardi Gras indians, showgirls and a seasoned professional band.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.members.tripod.com/GLITTER_N_GOLD/index.html"} +{"d:Title": "Gelon", "d:Description": "Guitarist, singer/songwriter. Photos, contact information, gigs and dates. Offering guitar lessons.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://angelfire.com/music/gelon"} +{"d:Title": "Gotti 13", "d:Description": "Fan site with photos, show information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/fl3/gottixiii/index.html"} +{"d:Title": "Gruv Union", "d:Description": "Heavy, progressive band from Salisbury, NC. Pictures, song clips, and the band's biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/myband/gruvunion/index.html"} +{"d:Title": "Geesin, Ron", "d:Description": "A composer, performer and sound architect. Geesin co-wrote Atom Heart Mother with Pink Floyd. Biography, CD-ROM and tape ordering, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.rongeesin.com/"} +{"d:Title": "Guano", "d:Description": "Netherlands based band. Contains news, audio samples, biography, discography, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.guano.nl/"} +{"d:Title": "Gliders, The", "d:Description": "Band information, song clips, photos and CD ordering from this UK based acoustic rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.thegliders.co.uk/"} +{"d:Title": "Getz, Kerry", "d:Description": "Biography, gig listings, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.kerry-getz.com/"} +{"d:Title": "Guitard, Rocio", "d:Description": "Includes biography, pictures, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.rocioguitard.com/"} +{"d:Title": "Gere, Jeanne", "d:Description": "News, pictures, free music downloads, concert and product information about the Nashville vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.jeannegere.20m.com/"} +{"d:Title": "Graham, Melissa", "d:Description": "Official site of the ex-Solid HarmoniE singer.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.melissagraham.com/"} +{"d:Title": "Graudins, Ingrid", "d:Description": "Singer/songwriter. Contains news, upcoming events, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.ingridg.com/"} +{"d:Title": "Gerard Edery Ensemble, The", "d:Description": "Sephardic and traditional Jewish music, flamenco guitar music, opera, and storytelling. Biography, reviews, concert dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gerardedery.com/"} +{"d:Title": "Gargiulo, Paul", "d:Description": "Blues and jazz singer/songwriter. Biography, sound files, calendar, pictures, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.paulgargiulo.com/"} +{"d:Title": "Gilberto, Jo\u00e3o", "d:Description": "Biography and CD review of the co-inventor of the Bossa Nova style.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.cosmopolis.ch/english/cosmo8/joaogilberto.htm"} +{"d:Title": "Grasshopper Takeover", "d:Description": "High-energy rock trio from L.A. Biography, news, tour dates, discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.grasshoppertakeover.com/"} +{"d:Title": "Galla, Tony", "d:Description": "Blues singer's biography, discography and performance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.tonygalla.com/"} +{"d:Title": "Gee, Deborah", "d:Description": "Events calendar, MP3 downloads, reviews, interviews, lyrics, pictures and sales.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.deborahgee.com/"} +{"d:Title": "Gerling", "d:Description": "News, discography, biography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://gerlinggerl.tripod.com/gerling/"} +{"d:Title": "Graves Brothers Deluxe", "d:Description": "San Francisco based, biography, news and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gravesbrothers.com/"} +{"d:Title": "Gunning, Dave", "d:Description": "Official site includes biography, lyrics, news, photos and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.davegunning.com/"} +{"d:Title": "Greene, Patrick", "d:Description": "Jazz composer and guitarist. Biography, upcoming shows, album and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://patrickgreene.com/"} +{"d:Title": "Guest, Joe", "d:Description": "Biography and pictures of the country/rock/blues singer and poet.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.joeguest.4t.com/"} +{"d:Title": "Grim Reaper", "d:Description": "Tribute site with biography, discography, reviews, interviews, and sound files. Also includes information on Onslaught and Lionsheart.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/band/GrimReaper/"} +{"d:Title": "Gaia Consort", "d:Description": "Makes music that celebrates the living earth. Includes biography, audio, reviews, essays on sustainable culture, schedule, CD ordering information, news, online diary, and press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gaiaconsort.com/"} +{"d:Title": "Gumbo", "d:Description": "Funk band from Montreal and Toronto in Canada. Includes news, MP3s, biography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.musicgumbo.com/"} +{"d:Title": "Gust, Gary", "d:Description": "Soft rock vocals written by th author.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://members.shaw.ca/glgust/"} +{"d:Title": "Graffix 420", "d:Description": "Fan site, with biographies, news, pictures, tour dates, reviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/band/graffix420fans/"} +{"d:Title": "Gladstone, Neal and Company", "d:Description": "Corvallis, Oregon based musical group that plays humorous upbeat songs and romantic ballads. Site contains biography, discography, show dates, lyrics, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.nealgladstone.com/"} +{"d:Title": "Goldstein, Teddy", "d:Description": "New York City based singer and songwriter performing sensitive and comic songs. Site contains biography, reviews, tour schedule, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.teddygoldstein.com/"} +{"d:Title": "Goldenhour, The", "d:Description": "Indie rock band based in Glasgow, Scotland. Site contains news, gig dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://thegoldenhour.tripod.com/"} +{"d:Title": "Green Room, The", "d:Description": "Blues rock band. Site contains member profiles, show dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.thegreenroomband.com/"} +{"d:Title": "Gosh, Bobby", "d:Description": "Composer and singer of various movie and commercial music. Site contains biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.bobbygosh.com/"} +{"d:Title": "Greenwood, Mick", "d:Description": "Soft rock singer and songwriter. Site contains biography, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.mickgreenwood.com/"} +{"d:Title": "Good Humor Band, The", "d:Description": "'70s and '80s rock band based in Richmond, Virginia, that occasionally reunites. Site contains band's history and member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.goodhumorband.com/"} +{"d:Title": "Gomango Invasion", "d:Description": "Consortium of Latin, Brazilian, Afro-Cuban and jazz musicians from San Diego, California. Site contains their story, audio samples, show dates, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gomangoinvasion.com/"} +{"d:Title": "Gilday, Leela", "d:Description": "Yellowknife, Northwest Territories, Canada based Aboriginal singer and songwriter of folk-rock music. Site contains biography, photos, audio samples, and information on selected performances.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.leelagilday.com/"} +{"d:Title": "GANGgajang", "d:Description": "Australian pop rock band. Site contains news, tour dates, history, discography, lyrics, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.ganggajang.com/"} +{"d:Title": "Giants, The", "d:Description": "Rock band from Australia. Profiles, appearance dates, discography, sound files, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.thegiants.com.au/"} +{"d:Title": "Gal", "d:Description": "Official site for the composer and sound artist includes news, upcoming events, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.bernhardgal.com/"} +{"d:Title": "Gorey, Jon", "d:Description": "Biography, discography, show dates, and audio samples of the Boston area musician .", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://jon_gorey.tripod.com/music.html"} +{"d:Title": "Goodrich, Dave", "d:Description": "History, news, show dates, and discography of the rock singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.davegoodrich.com/"} +{"d:Title": "Gabay, Marcos", "d:Description": "Bass musician and composer of classic and folk music. News and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://marcos.gabay.free.fr/"} +{"d:Title": "Gary, John", "d:Description": "Features the career and accomplishments of the Irish tenor.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.johngary.com/"} +{"d:Title": "Gatto Marte", "d:Description": "Italian quartet performing original compositions with classical influence and jazz improvisation.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gattomarte.com/"} +{"d:Title": "Gripweeds, The", "d:Description": "Four-part band from New Jersey. Biography, discography, tour details, mailing list and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.gripweeds.com/"} +{"d:Title": "Guns 4 Hire", "d:Description": "Four piece country/rock band based in Winnipeg. Offers member profiles, photos and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://members.shaw.ca/vancemasters/guns4hire.html"} +{"d:Title": "Greene, Graham", "d:Description": "Official site of guitarist from Western Australia. Includes biography, mp3s, photo gallery, and links", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.grahamgreene.com.au/"} +{"d:Title": "Gallagher, Ian", "d:Description": "Singer of traditional Irish folk tunes and contemporary music including blues, rock, jazz, country and soul. Located in New Jersey, available for performances.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.iangallagher.com/"} +{"d:Title": "Glowbead", "d:Description": "Official home page includes a schedule, member profiles, and an archive of MP3s and photos from shows.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.glowbead.com/"} +{"d:Title": "Get 2 You", "d:Description": "Husband-and-wife duo from Stockholm, Sweden. Audio samples, lyrics, press and news. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.schelander.net/"} +{"d:Title": "Grand Wazoo", "d:Description": "Australian soul/funk group. Profile and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.grandwazoo.com.au/"} +{"d:Title": "Grange, Joshua", "d:Description": "Official site for the musician. Includes discography, tour dates, gear list.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.joshuagrange.com/"} +{"d:Title": "Garapolo, Scott", "d:Description": "New York City drummer. Contains audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.iamadrummer.com/"} +{"d:Title": "Gurvitz, Paul", "d:Description": "Biography, discography, audio samples, and photos of the rock artist famous for his work during the 1970s.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.paulgurvitz.com/"} +{"d:Title": "Green, Eldin", "d:Description": "Multi-instrumentalist and producer. Biography, discography, sound files, performance dates, reviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.humboldtmusic.com/"} +{"d:Title": "Goodman, Dave", "d:Description": "Canadian singer, songwriter and guitarist. Sound samples, photos, biography, discography, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.dave-goodman.info/"} +{"d:Title": "Gloff, Jeremy", "d:Description": "Includes news, MP3 files, biography, photos, lyrics and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.jeremygloff.com/"} +{"d:Title": "Gibbs, Otis", "d:Description": "Official website of the singer-songwriter from Wanamaker, Indiana. Includes press kits, music, online store, schedule, photographs, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.otisgibbs.com/"} +{"d:Title": "Gabriele, Michael", "d:Description": "A look at the musician's work with the Robert Black Band, and various other solo and collaborative projects.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.michaelgabriele.com/"} +{"d:Title": "Goo Goo Cluster", "d:Description": "Groove-rock band from Paris. [In French and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://googoocluster.free.fr/"} +{"d:Title": "Guessmen", "d:Description": "Newcastle, UK based blues/electronica band. Includes band history, profiles, and influences, news, photo gallery, tour dates, MP3s, CD information, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.guessmen.co.uk/"} +{"d:Title": "Gadgets, The", "d:Description": "News, audio, pictures and lyrics for the rock quartet based in Athens, Georgia.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://gadgets2.tripod.com/"} +{"d:Title": "Grindey, John", "d:Description": "Guitar composer, player, and teacher. Includes RealAudio samples, biography, and gig details..", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://johngrindey.tripod.com/"} +{"d:Title": "Gonzalez, Jose", "d:Description": "Puerto Rican guitarist/virtuoso Cuatro player presents his recordings, concerts and his music ensembles.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://lilor.tripod.com/index.htm"} +{"d:Title": "Goldberger, Steve", "d:Description": "News, reviews, discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.stevegoldberger.com/"} +{"d:Title": "Gary Starling Jazz Bands", "d:Description": "Jazz group led by university guitar professor in the Jacksonville, Florida, area. Photo album, sound samples and repertoire lists.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.garystarling.com/"} +{"d:Title": "Gant, Michael", "d:Description": "Awarding winning Australian country music singer songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://mikegant.com/"} +{"d:Title": "Griffin, Sid", "d:Description": "London-based musician's site features biography, discography, blog, pictures, lyrics and press for his solo work and information on his bluegrass band, the Coal Porters.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.sidgriffin.com/"} +{"d:Title": "Gillette, Krystal", "d:Description": "Country/classical/pop singer. Photos, profile, performance schedule, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://krystalgillettenet.ipage.com/"} +{"d:Title": "Glass Onions", "d:Description": "Rock band plays original songs in the style of British Invasion groups of the mid-60s. Includes band information and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://glassonions.com/"} +{"d:Title": "Gregory, Raymond", "d:Description": "Southern California pop artist and worship leader. Song lyrics and samples, news, tour dates and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.raymondgregory.com/"} +{"d:Title": "Gift, Das", "d:Description": "Little Rock, Arkansas-based solo project by Adrian James of Evanescence. Includes press and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.dasgift.com/"} +{"d:Title": "Gabriel, Mychael", "d:Description": "Minneapolis musician known for his \"Triptych\" projects. Biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.mychaelgabriel.com/"} +{"d:Title": "Gheran, Chris", "d:Description": "\"Hard folk\" singer-songwriter from Calgary, Alberta, Canada. News, biography, press, calendar, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.chrisgheran.com/"} +{"d:Title": "Green, Sam", "d:Description": "Freelance drummer and tutor in Surrey, England. Biography, information on lessons, pictures and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.drumzen.com/"} +{"d:Title": "Grizzly Bear", "d:Description": "Indie-rock band from Brooklyn. News, show dates, discography, videos and press.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://grizzly-bear.net/"} +{"d:Title": "Gaubatz, Lynn", "d:Description": "Washington, D.C., bassoonist's site offers biography, reviews, press releases and contact info.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://www.adoptalibrary.org/gaubatz.html"} +{"d:Title": "Grand Orchestre Gerard Hever", "d:Description": "The French official orchestra of amateur and professional dancing events. Booking information, sound samples and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G", "url": "http://g.hever.pagesperso-orange.fr/"} +{"d:Title": "Peter Gabriel's Official Website", "d:Description": "Contains music, video, biography, discography, FAQ, tour information and all the official information from Real World.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://www.petergabriel.com/"} +{"d:Title": "DaveMcNally.com: Peter Gabriel", "d:Description": "Song lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://www.davemcnally.com/Lyrics/PeterGabriel/"} +{"d:Title": "The Gabriel Room", "d:Description": "Fan site features discography, biography, news, reviews and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://thegabrielroom.free.fr/"} +{"d:Title": "Unrated Magazine: Peter Gabriel", "d:Description": "Review from the Chicago show, by Anthony Kuzminski.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=155"} +{"d:Title": "Rollingstone.com: Peter Gabriel", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://www.rollingstone.com/music/artists/peter-gabriel"} +{"d:Title": "The Making of Peter Gabriel's 'UP'", "d:Description": "Offers details about the album to be released in the near future.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://home.arcor.de/mozo-is-here/makingofup.htm"} +{"d:Title": "MTV: Peter Gabriel", "d:Description": "News, audio clips, biography, reviews, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://www.mtv.com/artists/peter-gabriel/"} +{"d:Title": "IMDb: Peter Gabriel", "d:Description": "Includes filmography, awards, mini-biography, trivia, and upcoming television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://www.imdb.com/name/nm0300272/"} +{"d:Title": "All Music Guide: Peter Gabriel", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabriel,_Peter", "url": "http://www.allmusic.com/artist/peter-gabriel-mn0000842802"} +{"d:Title": "All Music Guide: Gabrielle", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabrielle", "url": "http://www.allmusic.com/artist/gabrielle-p168633"} +{"d:Title": "Gabrielle - Official Web Site", "d:Description": "Includes news, tour dates, biography, audio and video clips, and an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gabrielle", "url": "http://www.gabrielle.co.uk/"} +{"d:Title": "Evening Star", "d:Description": "A Greta Gaines fan page with lyrics, trivia, stories, fan art, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaines,_Greta", "url": "http://www.angelfire.com/rock/gretagaines/"} +{"d:Title": "The Jeffrey Gaines Cafe", "d:Description": "Pictures, Q&A, guitar stories, articles, lyrics and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaines,_Jeffrey", "url": "http://jgcafe.tripod.com/"} +{"d:Title": "Rosie Gaines", "d:Description": "Official site with news, biography and mail order.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaines,_Rosie", "url": "http://www.rosiegaines.com/"} +{"d:Title": "Serge Gainsbourg: The Obscurity of Fame", "d:Description": "Biography, information about covers of his songs, and a bibliography. By Bart Plantenga.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gainsbourg,_Serge", "url": "http://www.wfmu.org/~bart/sg.html"} +{"d:Title": "Serge Gainsbourg Discography", "d:Description": "With some album reviews - part of Slipcue e-Zine French Music Guide.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gainsbourg,_Serge", "url": "http://www.slipcue.com/music/pop/france/gainsbourg.html"} +{"d:Title": "Yahoo! Groups : Gainsbourg", "d:Description": "Discussion group, mostly in English.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gainsbourg,_Serge", "url": "http://groups.yahoo.com/group/gainsbourg/"} +{"d:Title": "Gainsbourg Dance Remixes", "d:Description": "Stef and Marijke present the Serge Gainsbourg Party archive - MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gainsbourg,_Serge", "url": "http://users.telenet.be/stefaan.janssens/ListenToGainsbourg/"} +{"d:Title": "Galactic Online", "d:Description": "Official site for the New Orleans band features news, gig dates, discography, biographies, pictures, and audio/video files.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Galactic", "url": "http://www.galacticfunk.com/"} +{"d:Title": "Fog City Records: Galactic", "d:Description": "Biography, album information, gig dates, press kit, clippings, taping information, setlists, road reports, FAQ, and information about the Galactic Syncopage email list.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Galactic", "url": "http://www.fogworld.com/galactic/"} +{"d:Title": "DiamandaGalas.com", "d:Description": "Her official site, with recent news, biography, tour schedule, discography, press items and bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gal\u00e1s,_Diamanda", "url": "http://www.diamandagalas.com/"} +{"d:Title": "Brainwashed: Diamanda Gal\u00e1s", "d:Description": "News, tour information, works, press and photos for the avant guard vocalist and performance artist.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gal\u00e1s,_Diamanda", "url": "http://brainwashed.com/diamanda/"} +{"d:Title": "Diamanda Galas: The Dark Side of the New Musics", "d:Description": "Article from Amazing Sounds by Emma Dors.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gal\u00e1s,_Diamanda", "url": "http://www.amazings.com/articles/article0022.html"} +{"d:Title": "FAQ", "d:Description": "The Galaxie 500 and related artists FAQ", "topic": "Top/Arts/Music/Bands_and_Artists/G/Galaxie_500", "url": "ftp://rtfm.mit.edu/pub/usenet-by-group/alt.music.galaxie-500/Galaxie_500_and_related_bands_FAQ"} +{"d:Title": "A Head Full of Wishes", "d:Description": "Discography, FAQs, and news; also home to the Galaxie 500 mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Galaxie_500", "url": "http://www.grange85.co.uk/galaxie/"} +{"d:Title": "ArtistDirect: Galaxie 500", "d:Description": "Includes biography, links, sounds files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Galaxie_500", "url": "http://www.artistdirect.com/artist/galaxie-500/433766"} +{"d:Title": "All Music Guide: Galaxie 500", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Galaxie_500", "url": "http://www.allmusic.com/artist/galaxie-500-mn0000189678"} +{"d:Title": "Lennie Gallant Official Website", "d:Description": "Maritime singer/songwriter; includes a biography, tour dates, audio samples and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gallant,_Lennie", "url": "http://www.lenniegallant.com/"} +{"d:Title": "Gamine's Website", "d:Description": "Official site of English sixties-influenced sophistipop group, designed and produced by the band themselves. Contains images, music, info and fragments of their own imaginative world-view.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gamine", "url": "http://www.gamine.net/"} +{"d:Title": "Andy Gill Music", "d:Description": "Site for this member of Gang of Four includes sound files and an interview from 1995.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gang_of_Four", "url": "http://www.gillmusic.com/"} +{"d:Title": "Rollingstone.com: Gang of Four", "d:Description": "Includes a biography, discography, photos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gang_of_Four", "url": "http://www.rollingstone.com/music/artists/gang-of-four"} +{"d:Title": "Gang Of Four: Not Great Men", "d:Description": "Fan site includes profiles, discography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gang_of_Four", "url": "http://www.notgreatmen.com/"} +{"d:Title": "MTV.com: Gang Starr", "d:Description": "Audio clips, news, biography, features, reviews, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gang_Starr", "url": "http://www.mtv.com/artists/gang-starr/"} +{"d:Title": "All Music Guide: Gang Starr", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gang_Starr", "url": "http://www.allmusic.com/artist/gang-starr-mn0000193601"} +{"d:Title": "Garbage.com", "d:Description": "The band's official site with news, tour dates, photos, e-mail service, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage", "url": "http://www.garbage.com/"} +{"d:Title": "Garbage Discography", "d:Description": "Detailed information on promotional items, releases, bootlegs, and compilations.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage", "url": "http://www.garbage-discography.co.uk/"} +{"d:Title": "Entertainment Ave: Garbage", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/garbage.htm"} +{"d:Title": "Garbage Base", "d:Description": "A collection of setlists, organized by year.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage", "url": "http://www.garbagebase.com/"} +{"d:Title": "Garbage MIDI Files", "d:Description": "A fan's collection of MIDI files covering Garbage's studio albums as well as B-sides and soundtrack recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage", "url": "http://www.midialternative.com/garbage/"} +{"d:Title": "All Music Guide: Garbage", "d:Description": "Includes band biography, member information, discography, relations, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage", "url": "http://www.allmusic.com/artist/garbage-mn0000194246"} +{"d:Title": "MTV Online: Garbage", "d:Description": "Features music video clips, album reviews, and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage", "url": "http://www.mtv.com/artists/garbage/"} +{"d:Title": "Absolute Divas: Shirley Manson", "d:Description": "Includes Shirley's biography and discography, a picture gallery, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Band_Members/Manson,_Shirley", "url": "http://www.absolutedivas.com/shirley/"} +{"d:Title": "AskMen.com: Shirley Manson", "d:Description": "Includes background information, biography, pictures, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Band_Members/Manson,_Shirley", "url": "http://www.askmen.com/celebs/women/singer_60/62_shirley_manson.html"} +{"d:Title": "Facebook: Shirley Manson", "d:Description": "Her official Facebook page with personal information, photos, and a music player.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Band_Members/Manson,_Shirley", "url": "https://www.facebook.com/shirleymanson"} +{"d:Title": "Wikipedia: Shirley Manson", "d:Description": "Includes biographical and career information, discography, acting credits, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Band_Members/Manson,_Shirley", "url": "https://en.wikipedia.org/wiki/Shirley_Manson"} +{"d:Title": "Garbage Receptacle", "d:Description": "Midi files, discography, pictures, lyrics, articles, biography, and fonts.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.afn.org/~afn01455/"} +{"d:Title": "Garbage X Forever", "d:Description": "Pictures, articles, reviews of B-sides, and the band's likes and dislikes.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.users.globalnet.co.uk/~terese/garbage/"} +{"d:Title": "Torn Apart 2.0", "d:Description": "Garbage site with timeline, discography, articles, tablature, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.musicfanclubs.org/garbage/index.html"} +{"d:Title": "Taking Out the Trash", "d:Description": "A Garbage page with links, lyrics, Real Audio music clips, and an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.angelfire.com/journal/takingoutthetrash/index.html"} +{"d:Title": "Matt's Garbage Page", "d:Description": "Images, audio and video clips, lyrics, guitar tablature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.mattsmusicpage.com/ngarbage.htm"} +{"d:Title": "Smiling in the Dark", "d:Description": "Articles, biography, discography, links, lyrics, merchandise, reviews, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.angelfire.com/fl2/garbage/"} +{"d:Title": "Garbage Info", "d:Description": "Fan site with pictures, band history, guitar tablature, lyrics, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.garbage.0catch.com/"} +{"d:Title": "Garbage Online", "d:Description": "Includes news, biographies, photos, discography, lyrics, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.garbage-online.com/"} +{"d:Title": "Garbage Disco Box", "d:Description": "Includes news archives, tour dates, image galleries, a detailed discography, lyrics, links, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://www.garbagediscobox.com/"} +{"d:Title": "Garbage - Beta Version", "d:Description": "Includes album information, hit list, song clips, lyrics, a picture gallery, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garbage/Fan_Pages", "url": "http://betaversion.tripod.com/"} +{"d:Title": "Garfunkel, Art", "d:Description": "Website dedicated to career of Art Garfunkel. Concert updates, interviews, and latest writings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garfunkel,_Art", "url": "http://www.artgarfunkel.com/"} +{"d:Title": "Art Garfunkel News: Topix", "d:Description": "News about Art Garfunkel continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garfunkel,_Art", "url": "http://www.topix.com/who/art-garfunkel"} +{"d:Title": "Rollingstone.com: Art Garfunkel", "d:Description": "Includes a biography, discography, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garfunkel,_Art", "url": "http://www.rollingstone.com/music/artists/art-garfunkel"} +{"d:Title": "Teacher Feature: Hank Garland", "d:Description": "Two guitar lessons by Garland with audio.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garland,_Hank", "url": "http://www.visionmusic.com/garland.html"} +{"d:Title": "Garmarna.com", "d:Description": "Official site. Features information about the band members, discography, contact information and a newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garmarna", "url": "http://www.garmarna.se/"} +{"d:Title": "All Music Guide: Garmarna", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garmarna", "url": "http://www.allmusic.com/artist/garmarna-mn0000196468"} +{"d:Title": "Laurent Garnier", "d:Description": "Official site includes biography, photos, sound files, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garnier,_Laurent", "url": "http://www.laurentgarnier.com/"} +{"d:Title": "Metacritic: Unreasonable Behavior", "d:Description": "Multiple critic and user reviews for Unreasonable Behavior by Laurent Garnier.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garnier,_Laurent", "url": "http://www.metacritic.com/music/unreasonable-behavior/laurent-garnier"} +{"d:Title": "Bright Orange Folder", "d:Description": "MP3 archive of Twang Twang Shock-A-Boom and rare Dav\u00edd Garza songs", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garza,_David", "url": "http://www.wiseup.org/twang/"} +{"d:Title": "Dav\u00edd Garza", "d:Description": "Official website. Biography, music and merchandise, video and MP3 downloads, tour dates, press, photographs, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garza,_David", "url": "http://www.davidgarza.com/"} +{"d:Title": "All Music Guide: Dav\u00edd Garza", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Garza,_David", "url": "http://www.allmusic.com/artist/davd-garza-p302851"} +{"d:Title": "Jared's Pick: Gastr Del Sol, \"Camoufleur \"", "d:Description": "Review of the duo's swan song.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gastr_Del_Sol", "url": "http://www.angelfire.com/nh/jaredspick/gastr.html"} +{"d:Title": "Gas Giants", "d:Description": "Another brief fan page with articles, lyrics, tablature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gas_Giants", "url": "http://www.angelfire.com/az2/gasgiants/start.html"} +{"d:Title": "BBC News: Pop Idol's Gareth is number one", "d:Description": "Teenager Gareth Gates pushes Pop Idol rival Will Young off the top spot in the charts with his cover of Unchained Melody.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gates,_Gareth", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1891053.stm"} +{"d:Title": "Gareth Gates", "d:Description": "Official site. News, discography, lyrics, factfile, photos and diary.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gates,_Gareth", "url": "http://www.garethgates.com/"} +{"d:Title": "Mary Gauthier", "d:Description": "Official site. Photos, reviews, press information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gauthier,_Mary", "url": "http://www.marygauthier.com/"} +{"d:Title": "Ink 19: Mary Gauthier", "d:Description": "David Whited in conversation with Texas singer / songwriter Mary Gauthier.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gauthier,_Mary", "url": "http://www.ink19.com/issues/august2002/interviews/maryGauthier.html"} +{"d:Title": "All Music Guide: Mary Gauthier", "d:Description": "Artist profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gauthier,_Mary", "url": "http://www.allmusic.com/artist/mary-gauthier-p366516"} +{"d:Title": "Winnipeg Sun: Mary Gauthier", "d:Description": "Rocky road - Songwriter Mary Gauthier reworks her dark past into mesmerizing country music.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gauthier,_Mary", "url": "http://jam.canoe.com/Music/Artists/G/Gauthier_Mary/2003/07/11/745316.html"} +{"d:Title": "OldieLyrics.com: Marvin Gaye", "d:Description": "Lyrics organized by album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaye,_Marvin", "url": "http://www.oldielyrics.com/m/marvin_gaye.html"} +{"d:Title": "The Marvin Gaye Page", "d:Description": "Fan site includes discography, TV apprearances, and wallpaper images.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaye,_Marvin", "url": "http://www.marvingayepage.net/"} +{"d:Title": "Marvin Gaye News: Topix", "d:Description": "News about Marvin Gaye continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaye,_Marvin", "url": "http://www.topix.com/who/marvin-gaye"} +{"d:Title": "Rock and Roll Hall of Fame: Marvin Gaye", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaye,_Marvin", "url": "http://rockhall.com/inductees/marvin-gaye/"} +{"d:Title": "Rollingstone.com: Marvin Gaye", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gaye,_Marvin", "url": "http://www.rollingstone.com/music/artists/marvin-gaye"} +{"d:Title": "Crud Music Magazine: Geggy Tah", "d:Description": "Interview with Tommy Jordan about the album \"Into the Oh.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Geggy_Tah", "url": "http://www.2-4-7-music.com/newsitems/oct01/geggytah.asp"} +{"d:Title": "Dave Wakeling", "d:Description": "Official site of the band's vocalist, currently touring under the name General Public. Includes history, interview, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/General_Public", "url": "http://www.davewakeling.com/"} +{"d:Title": "All Music Guide: General Public", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/General_Public", "url": "http://www.allmusic.com/artist/general-public-p4350"} +{"d:Title": "Yahoo! Groups: Bobbie Gentry", "d:Description": "Fan club. Includes a message board, chat room and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gentry,_Bobbie", "url": "http://groups.yahoo.com/group/bobbiegentry/"} +{"d:Title": "All Music Guide: Bobbie Gentry", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gentry,_Bobbie", "url": "http://www.allmusic.com/artist/bobbie-gentry-mn0000065397"} +{"d:Title": "Sydney Morning Herald: George", "d:Description": "George in the Jungle, article with photo.", "topic": "Top/Arts/Music/Bands_and_Artists/G/George", "url": "http://www.smh.com.au/articles/2002/03/28/1017206135188.html"} +{"d:Title": "Harbour Agency, The: George", "d:Description": "Includes biography, and photo.", "topic": "Top/Arts/Music/Bands_and_Artists/G/George", "url": "http://www.theharbouragency.com/artist-profile/george"} +{"d:Title": "George", "d:Description": "Official site. Includes news, discography, lyrics, band history and profiles, photos, downloads, tour dates, journal, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G/George", "url": "http://www.george.net.au/"} +{"d:Title": "All Music Guide: The Georgia Satellites", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Georgia_Satellites", "url": "http://www.allmusic.com/artist/the-georgia-satellites-p4355"} +{"d:Title": "MTV: Georgia Satellites", "d:Description": "Biography, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Georgia_Satellites", "url": "http://www.mtv.com/artists/the-georgia-satellites/"} +{"d:Title": "Eyesore: Lisa Germano", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Germano,_Lisa", "url": "http://quimby.gnus.org/html/group/germanolisa.html"} +{"d:Title": "Lisa Germano", "d:Description": "Official site with news, biography, discography, pictures, song downloads and videos. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/G/Germano,_Lisa", "url": "http://www.lisagermano.com/"} +{"d:Title": "Sycophant", "d:Description": "Lisa Germano mail list.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Germano,_Lisa", "url": "http://smoe.org/lists/sycophant.info"} +{"d:Title": "4AD: Lisa Germano", "d:Description": "Label site with biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Germano,_Lisa", "url": "http://www.4ad.com/artists/lisagermano"} +{"d:Title": "Ferry 'Cross The Mersey", "d:Description": "Fan site for Gerry and the Pacemakers includes news, discography, history, filmography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gerry_and_the_Pacemakers", "url": "http://ian.speers.angelfire.com/"} +{"d:Title": "All Music Guide: Gerry&the Pacemakers", "d:Description": "Includes profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gerry_and_the_Pacemakers", "url": "http://www.allmusic.com/artist/gerry-the-pacemakers-mn0000541125"} +{"d:Title": "All Music Guide: The Getaway People", "d:Description": "Contains a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Getaway_People,_The", "url": "http://www.allmusic.com/artist/the-getaway-people-mn0000076405"} +{"d:Title": "Yahoo Groups: Amanda Ghost E-Streetteam", "d:Description": "Online forum for a group of fans working to promote the singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Ghost,_Amanda", "url": "http://groups.yahoo.com/group/amandaghostE-streetteam/"} +{"d:Title": "All Music Guide: Amanda Ghost", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Ghost,_Amanda", "url": "http://www.allmusic.com/artist/amanda-ghost-mn0001065345"} +{"d:Title": "Melodicrock: Dann Huff", "d:Description": "1998 interview with lead singer.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Giant", "url": "http://www.melodicrock.com/interviews/dannhuff.html"} +{"d:Title": "All Music Guide: Giant", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Giant", "url": "http://www.allmusic.com/artist/giant-p4361"} +{"d:Title": "Tribute to Andy Gibb", "d:Description": "Biography, discography, photos, and memorial page.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibb,_Andy", "url": "http://www.brothersgibb.org/andygibb.html"} +{"d:Title": "Deborah Gibson", "d:Description": "Audio, video, pictures, articles, lyrics, forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibson,_Deborah", "url": "http://www.deb-ski.com/"} +{"d:Title": "Debbie Gibson Shocks Your Mama", "d:Description": "The former teen queen tells Jay S. Jacobs that she's not mama's little girl any more.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibson,_Deborah", "url": "http://www.popentertainment.com/gibson.htm"} +{"d:Title": "Martin's Deborah Gibson Page", "d:Description": "A brief fan page with song samples, album reviews, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibson,_Deborah", "url": "http://homepages.iol.ie/~mjbyrne/debpage.htm"} +{"d:Title": "IMDb: Deborah Gibson", "d:Description": "Includes filmography, mini-biography, trivia, photos, and upcoming TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibson,_Deborah", "url": "http://www.imdb.com/name/nm0316933/"} +{"d:Title": "All Music Guide: Debbie Gibson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibson,_Deborah", "url": "http://www.allmusic.com/artist/debbie-gibson-p4363"} +{"d:Title": "AskMen.com - Deborah Gibson", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibson,_Deborah", "url": "http://www.askmen.com/celebs/women/singer_100/113_deborah_gibson.html"} +{"d:Title": "Gibson, Debbie", "d:Description": "Official site. News, events, blog, biography, discography, photos, videos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gibson,_Deborah", "url": "http://debbiegibsonofficial.com/"} +{"d:Title": "TrouserPress.com: Gigolo Aunts", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gigolo_Aunts", "url": "http://www.trouserpress.com/entry.php?a=gigolo_aunts"} +{"d:Title": "The Official Site of Kevin Gilbert", "d:Description": "Musician, singer, producer, and songwriter. Site includes lyrics, sound bytes, purchase information, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.kevingilbert.com/"} +{"d:Title": "The Late, Great Kevin Gilbert", "d:Description": "Featuring magazine articles and reviews for Kevin Gilbert and Toy Matinee.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.angelfire.com/ca/bewtifulfreak/kevin.html"} +{"d:Title": "Giant Tracks: Suit Canon - Fugue of the A&R Staff", "d:Description": "The inside story by Jon Rubin on Kevin Gilbert's Suit Fugue from \"The Shaming Of The True.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.blazemonger.com/GT/suit.canon.html"} +{"d:Title": "The Kevin Gilbert Page", "d:Description": "Discography of Kevin Gilbert projects.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://zimmer.csufresno.edu/~robb/KevinGilbert.html"} +{"d:Title": "In memory of Kevin M. Gilbert", "d:Description": "Information on contributions to the Kevin M. Gilbert Foundation for music.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.mothersea.com/kg/"} +{"d:Title": "P\u00e4r's Kevin Gilbert Tribute", "d:Description": "Tribute by Par, personal friend of Kevin Gilbert", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://zimmer.csufresno.edu/~robb/Frontline2.html"} +{"d:Title": "Metroactive: All Rocked Out - Kevin Gilbert Obituary", "d:Description": "Richard Sine's expose on Gilbert's death, ex-girlfriend Sheryl Crow and The Tuesday Night Music Club.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.metroactive.com/papers/metro/08.01.96/gilbert-obit-9631.html"} +{"d:Title": "Night Owl Review: Kevin Gilbert and Thud Live", "d:Description": "Steve Marshall's review of Kevin Gilbert's live CD at The Troubadour.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.thenightowl.com/reviews/thudlive.htm"} +{"d:Title": "Kevin Gilbert Triumphs With Posthumous `Shaming'", "d:Description": "Joel Selvin reviews The Shaming of The True, Kevin Gilbert's magnum opus.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.sfgate.com/music/article/Kevin-Gilbert-Triumphs-With-Posthumous-Shaming-2803378.php"} +{"d:Title": "More Than The Piano Player: Dumped by Sheryl Crow After Propelling Her To Success", "d:Description": "Joel Selvin's article discusses how Gilbert helped Sheryl Crow's career and died before finding his own success.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilbert,_Kevin", "url": "http://www.sfgate.com/bayarea/article/More-Than-The-Piano-Player-Dumped-by-Sheryl-2966770.php"} +{"d:Title": "Gilberto, Bebel", "d:Description": "Official site. Contains a biography, tour dates, new release information, lyrics, press articles, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilberto,_Bebel", "url": "http://www.bebelgilberto.com/"} +{"d:Title": "AudioRevolution.com: Bebel Gilberto - Tanto Tempo", "d:Description": "Jerry Del Colliano reviews the album and rates it 8.5 out of 10. \"It is dripping with style and wonderfully recorded.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilberto,_Bebel", "url": "http://www.avrev.com/music-disc-reviews/audio-cd/bebel-gilberto-tanto-tempo.html"} +{"d:Title": "AskMen.com: Bebel Gilberto", "d:Description": "Features a biography and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilberto,_Bebel", "url": "http://www.askmen.com/celebs/women/singer_60/88_bebel_gilberto.html"} +{"d:Title": "MTV: Bebel Gilberto", "d:Description": "News, tour dates, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilberto,_Bebel", "url": "http://www.mtv.com/artists/bebel-gilberto/"} +{"d:Title": "Wikipedia: Bebel Gilberto", "d:Description": "Encyclopedia entry for Brazilian bossa nova singer.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilberto,_Bebel", "url": "http://en.wikipedia.org/wiki/Bebel_Gilberto"} +{"d:Title": "Nick Gilder Online", "d:Description": "News, articles, biography, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilder,_Nick", "url": "http://members.shaw.ca/llcb/nickgilder/"} +{"d:Title": "CanConRox: Nick Gilder", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilder,_Nick", "url": "http://www.canadianbands.com/Nick%20Gilder.html"} +{"d:Title": "All Music Guide: Nick Gilder", "d:Description": "Discography, related artists, and brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilder,_Nick", "url": "http://www.allmusic.com/artist/p17858"} +{"d:Title": "Canadian Pop Music Encyclopedia: Nick Gilder", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilder,_Nick", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/G/Gilder_Nick.html"} +{"d:Title": "Ian Gillan - Caramba!", "d:Description": "Ian Gillan, singer with Deep Purple, welcomes you to his Caramba! web site", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gillan,_Ian", "url": "http://www.gillan.com/"} +{"d:Title": "MelodicRock.com: Ian Gillan", "d:Description": "Interview about his solo career and Deep Purple.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gillan,_Ian", "url": "http://www.melodicrock.com/interviews/iangillan.html"} +{"d:Title": "Jimmie Dale Gilmore", "d:Description": "The official site of the country musician with discography, schedule, forum and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmore,_Jimmie_Dale", "url": "http://www.jimmiegilmore.com/"} +{"d:Title": "Ectophiles' Guide - Thea Gilmore", "d:Description": "Concert review, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmore,_Thea", "url": "http://ectoguide.org/genre/alternative/gilmore.thea"} +{"d:Title": "Thea Gilmore", "d:Description": "Official site, with biography, album details and samples, tour dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmore,_Thea", "url": "http://www.theagilmore.net/"} +{"d:Title": "All Music Guide: Thea Gilmore", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmore,_Thea", "url": "http://www.allmusic.com/artist/thea-gilmore-p512776"} +{"d:Title": "Guardian Unlimited: Thea Gilmore", "d:Description": "Interview, in which she talks about her music, and her refusal to be moulded by the music business.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmore,_Thea", "url": "http://www.theguardian.com/music/2003/oct/23/popandrock.shopping"} +{"d:Title": "Salon.com: Sad-eyed lady of the lowlands", "d:Description": "Feature article about Thea Gilmore.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmore,_Thea", "url": "http://www.salon.com/2003/09/29/gilmore_3/"} +{"d:Title": "David Gilmour", "d:Description": "Official site with news, discography, press, biography, pictures, videos, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmour,_David", "url": "http://davidgilmour.com/"} +{"d:Title": "The Blog", "d:Description": "Topic and conversation blog updated by official David Gilmour website.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmour,_David", "url": "http://www.davidgilmourblog.co.uk/"} +{"d:Title": "Gilmourish", "d:Description": "David Gilmour tone and equipment resource for any musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmour,_David", "url": "http://www.gilmourish.com/"} +{"d:Title": "David Gilmour Tour", "d:Description": "A team of writers covering the David Gilmour Tour, and his new album Rattle That Lock.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmour,_David", "url": "http://www.davidgilmourtour.com/"} +{"d:Title": "Polly Samson", "d:Description": "Official website of the wife of David Gilmour. Author, principal lyricist for Pink Floyd, and David since 1994.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gilmour,_David", "url": "http://www.pollysamson.com/"} +{"d:Title": "Wikipedia: Ginuwine", "d:Description": "Biography, filmography, discography, and awards and nominations.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Ginuwine", "url": "http://en.wikipedia.org/wiki/Ginuwine"} +{"d:Title": "MTV: Ginuwine", "d:Description": "Music video clips, album reviews and exclusive interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Ginuwine", "url": "http://www.mtv.com/artists/ginuwine/"} +{"d:Title": "Gin Blossoms", "d:Description": "A brief fan page with pictures, articles, and links to related resources.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gin_Blossoms", "url": "http://www.angelfire.com/az2/ginblossoms/"} +{"d:Title": "All Music Guide: Gin Blossoms", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gin_Blossoms", "url": "http://www.allmusic.com/artist/gin-blossoms-p57420"} +{"d:Title": "Gipsy Kings", "d:Description": "The official Gipsy Kings home page, with music, sounds, videos, discography, biographies, tour dates, publicity, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gipsy_Kings", "url": "http://www.gipsykings.com/"} +{"d:Title": "GipsyKings.Net", "d:Description": "The official site for the Gipsy Kings mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gipsy_Kings", "url": "http://www.gipsykings.net/"} +{"d:Title": "Gipsy Kings", "d:Description": "News, articles and pictures of the Gipsy Kings. Also links to other GK websites, Gypsy life, and culture.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gipsy_Kings", "url": "http://www.gypsymusique.com/"} +{"d:Title": "Gipsy Kings' Tablature", "d:Description": "Guitar tablatures and lyrics, by album, for the Gipsy Kings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gipsy_Kings", "url": "http://www.gipsykings.net/tabs/"} +{"d:Title": "Yahoo Groups: 7Seas", "d:Description": "Mailing list dedicated to GVSB.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Against_Boys", "url": "http://groups.yahoo.com/group/7Seas/"} +{"d:Title": "In Music We Trust: Girls Against Boys - You Can't Fight What You Can't See", "d:Description": "Review by Alex Steininger. Rated A-.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Against_Boys", "url": "http://www.inmusicwetrust.com/articles/53r13.html"} +{"d:Title": "PopMatters: Girls Against Boys", "d:Description": "John Young's review of a concert at the Echo Lounge in Atlanta, Georgia.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Against_Boys", "url": "http://www.popmatters.com/music/concerts/g/girls-against-boys-020319.html"} +{"d:Title": "Entertainment Ave: Girls Against Boys", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Against_Boys", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/gvsb.htm"} +{"d:Title": "All Music Guide: Girls Against Boys", "d:Description": "Biography, discography, reviews, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Against_Boys", "url": "http://www.allmusic.com/artist/girls-against-boys-p39051"} +{"d:Title": "Pitchfork: Girls Against Boys - You Can't Fight What You Can't See", "d:Description": "Review by Kevin Adickes. Rated 5.5. (Aug. 8, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Against_Boys", "url": "http://pitchfork.com/reviews/albums/3457-you-cant-fight-what-you-cant-see/"} +{"d:Title": "Daily Nexus Online: Girls Against Boys -You Can't Fight What You Can't See", "d:Description": "Review by DJ FatKid.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Against_Boys", "url": "http://www.dailynexus.com/2002-05-08/girls-against-boys-you-cant-fight-what-you-cant-see-jade-tree/"} +{"d:Title": "Girls Aloud", "d:Description": "Official site. Includes profiles of the band members, diary, news and busy message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Aloud", "url": "http://www.girlsaloud.co.uk/"} +{"d:Title": "Wikipedia: Girls Aloud", "d:Description": "Information about the formation and its career, non-musical activities, discography, tours, videography, awards and recognition.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Aloud", "url": "http://en.wikipedia.org/wiki/Girls_Aloud"} +{"d:Title": "AskMen.com - Girls Aloud", "d:Description": "Pictures, in-depth biography, and commentary with ratings on the group.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girls_Aloud", "url": "http://www.askmen.com/celebs/women/singer_150/186_girls_aloud.html"} +{"d:Title": "Girlyman", "d:Description": "Official site offering MP3 clips, tour schedule, concert photographs, and discussion board. Also includes merchandise, lyrics, and notes from the artist.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Girlyman", "url": "http://www.girlyman.com/"} +{"d:Title": "Artist Direct: Glass Harp", "d:Description": "Discography, biography, and songs clips.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://artistdirect.com/music/artist/card/0,,436067,00.html"} +{"d:Title": "Glass Harp", "d:Description": "Biography, discography, album information, song lists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.apecamp.com/music/gh/"} +{"d:Title": "Glass Harp", "d:Description": "Official site with band biographies, discography, guest book, photo galleries, press articles, mp3 downloads, concert information and videos of the band in Quicktime format.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.glassharp.net/"} +{"d:Title": "Phantom Tollbooth: Strings Attached", "d:Description": "Live concert album review by Chris MacIntosh.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.tollbooth.org/2001/reviews/glassharp.html"} +{"d:Title": "Hourglass: It's About Time", "d:Description": "Album review by Stephanie Bargenquast.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.pkfans.com/features/hourglass.shtml"} +{"d:Title": "Phantom Tollbooth: Hourglass", "d:Description": "Album review of Glass Harp's \"Hourglass\", by Chris MacIntosh.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.tollbooth.org/2003/reviews/glassharp.html"} +{"d:Title": "Wikipedia: Glass Harp", "d:Description": "History, band biographies, trivia, discography, videography, reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://en.wikipedia.org/wiki/Glass_Harp"} +{"d:Title": "Facebook: Glass Harp", "d:Description": "Official Glass Harp group page on Facebook.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.facebook.com/event.php?eid=342530080963&ref=nf#!/pages/Glass-Harp/352097582191?ref=ts"} +{"d:Title": "Blogcritics: Glass Harp", "d:Description": "Warren Kelly's review on the remastered reissue of the three original Glass Harp albums on CD.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://blogcritics.org/music/article/cd-review-glass-harp/"} +{"d:Title": "Phil Keaggy Fans Online: Glass Harp Strings Attached", "d:Description": "Album review of \"Strings Attached\" by Stephanie Bargenquast.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://pkfans.com/reviews/stringsattached.html"} +{"d:Title": "Twitter: Glass Harp", "d:Description": "Glass Harp's Twitter feed.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://twitter.com/glassharp"} +{"d:Title": "ReverbNation: Glass Harp", "d:Description": "Glass Harp's official page at Reverb Nation includes biography, streaming songs, and a blog.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.reverbnation.com/glassharp"} +{"d:Title": "All Music: Glass Harp", "d:Description": "A brief Glass Harp biography with discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glass_Harp", "url": "http://www.allmusic.com/artist/p17884"} +{"d:Title": "Teddy Glenn: The King Of Crock", "d:Description": "(Crock = Country + Rock + Bad Attitude.) Profile, photo, music clips, and information about recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glenn,_Teddy", "url": "http://www.wildoatsrecords.com/GlennPhotoLink.html"} +{"d:Title": "The Glitter Band Official Fansite", "d:Description": "Gary's backing band from the '70s, who have had several chart hits of their own. News, gig dates, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glitter,_Gary", "url": "http://www.theglitterband.co.uk/"} +{"d:Title": "All You Need is Glove", "d:Description": "Interview with Steve Severin about the side project.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glove,_The", "url": "http://imaginaryboys.altervista.org/english/cure/articles/glove.htm"} +{"d:Title": "Roger Glover", "d:Description": "Official site. Includes biography, discography, personal messages, articles, photos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glover,_Roger", "url": "http://www.rogerglover.com/"} +{"d:Title": "Roger Glover: The Unofficial Site", "d:Description": "A tribute page offering lyrics, discography, photos, interviews, articles, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Glover,_Roger", "url": "http://home.swipnet.se/rogerglover"} +{"d:Title": "All-Reviews.com: Friends of Rachel Worth", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Betweens,_The", "url": "http://www.all-reviews.com/music/friends-rachel-worth.htm"} +{"d:Title": "The Go-Betweens", "d:Description": "Fan site with news, press, discography, gig list, lyrics and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Betweens,_The", "url": "http://go-betweens.org.uk/"} +{"d:Title": "All Music Guide: The Go-Betweens", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Betweens,_The", "url": "http://www.allmusic.com/artist/the-go-betweens-p4375"} +{"d:Title": "Go-Betweens (Beggars Banquet)", "d:Description": "A page from the label responsible for re-releasing the Go-Betweens back catalogue", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Betweens,_The", "url": "http://www.beggarsgroupusa.com/gobetweens/"} +{"d:Title": "Metacritic: Friends of Rachel Worth", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Betweens,_The", "url": "http://www.metacritic.com/music/friends-of-rachel-worth/the-go-betweens"} +{"d:Title": "The Go-Go's Official Web Site", "d:Description": "Includes album information, photo gallery, biography, discography, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's", "url": "http://www.gogos.com/"} +{"d:Title": "Yahoo Groups: Go-Go's", "d:Description": "An unmoderated mailing list for the discussion of the Go-Go's music, career, and members.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's", "url": "http://groups.yahoo.com/group/gogos/"} +{"d:Title": "ArtistDirect: Go-Go's", "d:Description": "Contains biography, links, message board, and a listening room.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's", "url": "http://www.artistdirect.com/artist/the-go-go-s/436276"} +{"d:Title": "Rolling Stone: The Go-Go's", "d:Description": "Biography, discography, articles, photos, and album guide.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's", "url": "http://www.rollingstone.com/music/artists/the-go-gos"} +{"d:Title": "AMG All Music Guide: Beauty and the Beat", "d:Description": "Stephen Thomas Erlewine's review: \"one of the cornerstone albums of new wave, bristling with energy, revamped surf-rock and girl-group hooks, and an intoxicating sense of fun.\" 4.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's/Reviews/Beauty_and_the_Beat", "url": "http://www.allmusic.com/album/beauty-and-the-beat-r8312"} +{"d:Title": "AMG All Music Guide: God Bless the Go-Go's", "d:Description": "Peter Fawthrop's review: \"The fun should be that the Go-Go's fit awkwardly into their new surroundings, that they show up from out of nowhere with their silly Go-Go's music to shake up all the \"zero size\" girly singers who they poke fun at on this album.\" 2.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's/Reviews/God_Bless_the_Go-Go's", "url": "http://www.allmusic.com/album/god-bless-the-go-gos-r529368"} +{"d:Title": "AMG All Music Guide: Talk Show", "d:Description": "Stephen Thomas Erlewine's review: \"an album filled with great pop songs but undermined by its own ambition.\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's/Reviews/Talk_Show", "url": "http://www.allmusic.com/album/talk-show-r8314"} +{"d:Title": "AMG All Music Guide: Vacation", "d:Description": "Stephen Thomas Erlewine's review: \"the album had an appealing, radio-ready sound, but it was at the expense of the giddy sense of fun..\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's/Reviews/Vacation", "url": "http://www.allmusic.com/album/vacation-r8313"} +{"d:Title": "We Got The Meat", "d:Description": "The official home of Portland, Oregon's only all-male Go-Go's tribute band. Includes show dates, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Go-Go's/Tribute_Bands", "url": "http://www.wegotthemeat.com/"} +{"d:Title": "Godhead", "d:Description": "Official site featuring audio and video clips, news, contests, biographies, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godhead", "url": "http://www.godhead.com/"} +{"d:Title": "Godhead", "d:Description": "Fan site with images, audio, videos, news, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godhead", "url": "http://www.angelfire.com/nm2/godhead016/"} +{"d:Title": "Metacritic: 2000 Years of Human Error", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godhead", "url": "http://www.metacritic.com/music/2000-years-of-human-error"} +{"d:Title": "Godsmack", "d:Description": "The band's official site. News, biography, tour dates and reports from the road. Requires Flash, uses frames.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.godsmack.com/"} +{"d:Title": "Another Timebomb", "d:Description": "Fan site with tablature, lyrics, chat, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.angelfire.com/rock/godsmacktimebomb/"} +{"d:Title": "Godsmack: Coolest Band", "d:Description": "Includes a picture, concert information, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://members.tripod.com/~angie_godsmack/index.html"} +{"d:Title": "Afunk.com: Godsmack Lyrics", "d:Description": "Lyrics indexed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.afunk.com/godsmack/"} +{"d:Title": "Godsmack", "d:Description": "Fan page containing news, show reviews, a biography, and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://godsmack.4mg.com/"} +{"d:Title": "AskMen.com - Godsmack Interview", "d:Description": "One-on-one exclusive interview with the talented heavy metal band", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.askmen.com/toys/interview/19_godsmack_interview.html"} +{"d:Title": "Godsmack-Smack the Spiral", "d:Description": "Biographies, lyrics, pictures, news, multimedia, and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.angelfire.com/rock3/smackthespiral/index2.html"} +{"d:Title": "Godsmack information and pictures", "d:Description": "This site updates daily and informs you of what is going on in the Godsmack lifestyle. Pictures are updated also.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.angelfire.com/rock3/allrockca/"} +{"d:Title": "The Smack", "d:Description": "A Godsmack fan page including biography, lyrics, pictures, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.users.qwest.net/~marktuft/godsmack.html"} +{"d:Title": "UnRated Magazine: Godsmack Gallery", "d:Description": "Concert photos taken on August 27, 2004 at Chicago Allstate Arena.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.unratedmagazine.com/Document.cfm?Page=photos/Photo_Details.cfm&Photo_ID=724&Band_ID=101"} +{"d:Title": "All Music Guide: Godsmack", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.allmusic.com/artist/godsmack-p308309"} +{"d:Title": "MTV: Godsmack", "d:Description": "Video clips, reviews and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godsmack", "url": "http://www.mtv.com/artists/godsmack/"} +{"d:Title": "Godspeed You Black Emperor", "d:Description": "Official Website. Includes tour dates, discography, interviews, articles, reviews, images, and contact addresses.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor", "url": "http://www.brainwashed.com/godspeed/"} +{"d:Title": "Godspeed You! Black Emperor Concert Chronology", "d:Description": "Website logging GYBE Concerts. Includes set lists and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor", "url": "http://pages.infinit.net/etrembla/cc/97.htm"} +{"d:Title": "The Sad Mafioso", "d:Description": "Godspeed You! Black Emperor guitar tablature. Sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor", "url": "http://www.knology.net/~jwillis/sadmafioso/"} +{"d:Title": "Constellation: Godspeed You! Black Emperor", "d:Description": "GYBE site at Constellation records. Includes tour dates, reviews, releases, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor", "url": "http://www.cstrecords.com/bands/godspeed-you-black-emperor"} +{"d:Title": "All Music Guide: Godspeed You Black Emperor!", "d:Description": "Includes a band profile and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor", "url": "http://www.allmusic.com/artist/godspeed-you-black-emperor-p321063"} +{"d:Title": "Metacritic: Lift Your Skinny Fists Like Antennas to Heaven", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor", "url": "http://www.metacritic.com/music/lift-your-skinny-fists-like-antennas-to-heaven/godspeed-you!-black-emperor"} +{"d:Title": "Dooyoo: Godspeed You! Black Emperor reviews", "d:Description": "Includes reviews of several GYBE albums.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor/Reviews", "url": "http://www.dooyoo.co.uk/music-records/godspeed-you-black-emperor/"} +{"d:Title": "Leonard's Lair: Lift Your Skinny Fists Like Antennas to Heaven", "d:Description": "Leonard's Lair review: \"this is one of those landmark albums that could build bridges between the worlds of the rock and classical music fans.\" rated 4/5", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor/Reviews/Lift_Your_Skinny_Fists_Like_Antennas_to_Heaven", "url": "http://www.leonardslair.co.uk/godspeed.htm"} +{"d:Title": "h2so4 magazine: Lift Your Skinny Fists Like Antennas To Heaven", "d:Description": "DJ Theo 69X's deeply contemplative review: \"The music, as it always is, a voice from somewhere else that pierces the gelatinous coating of your being.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor/Reviews/Lift_Your_Skinny_Fists_Like_Antennas_to_Heaven", "url": "http://h2so4.net/reviews/gybe.html"} +{"d:Title": "Dusted Magazine: Yanqui U.X.O.", "d:Description": "Daniel Levin Becker's review: \"Godspeed You! Black Emperor continue to make music of immense power and beauty, no matter where they put the exclamation point.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor/Reviews/Yanqui_U.X.O.", "url": "http://www.dustedmagazine.com/reviews/470"} +{"d:Title": "BBC: Yanqui U.X.O.", "d:Description": "Peter Marsh's review: \"Godspeed have moved on to new pastures. Superb.\" Includes comments from BBC readers.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor/Reviews/Yanqui_U.X.O.", "url": "http://www.bbc.co.uk/music/reviews/z354"} +{"d:Title": "Guardian: Yanqui UXO", "d:Description": "Alexis Petridis' refreshing review: \"[The first] builds slowly from silence into immense crescendos of guitar, thunderous military drumming and swooping violin.\" 4/5", "topic": "Top/Arts/Music/Bands_and_Artists/G/Godspeed_You_Black_Emperor/Reviews/Yanqui_U.X.O.", "url": "http://www.theguardian.com/music/2002/dec/20/popandrock.artsfeatures"} +{"d:Title": "Daniel Gold Music", "d:Description": "Personal home page includes recent music and links to other film music sites.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gold,_Daniel", "url": "http://www.danielgoldmusic.com/"} +{"d:Title": "Golden Earring 1999", "d:Description": "The official site for the band", "topic": "Top/Arts/Music/Bands_and_Artists/G/Golden_Earring", "url": "http://www.goldenearring.nl/"} +{"d:Title": "Radar Love Net", "d:Description": "Albums, commercials, movies, television shows, and games which feature the song, Radar Love.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Golden_Earring", "url": "http://www.radar-love.net/"} +{"d:Title": "Golden Earring Museum", "d:Description": "Articles, pictures and discography about the band and its members.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Golden_Earring", "url": "http://golden-earring-museum.nl/"} +{"d:Title": "All Music Guide: Golden Smog", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Golden_Smog", "url": "http://www.allmusic.com/artist/golden-smog-p39087"} +{"d:Title": "Goldfinger", "d:Description": "Fan page featuring band member photographs, album lyrics, mp3 downloads and links to other bands sites.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goldfinger", "url": "http://www.angelfire.com/wi2/blacklabel/"} +{"d:Title": "Matt's Goldfinger Page", "d:Description": "Contains images, chat, forum, audio and video clips, lyrics, guitar tablatures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goldfinger", "url": "http://www.mattsmusicpage.com/ngoldfin.htm"} +{"d:Title": "Entertainment Ave: Goldfinger", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goldfinger", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/goldfinger.htm"} +{"d:Title": "Goldfinger", "d:Description": "Official site with links to the band's videos, merchandise and social media.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goldfinger", "url": "http://www.goldfingermusic.com/"} +{"d:Title": "MTV.com: Goldfinger", "d:Description": "Reviews, news, audio clip, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goldfinger", "url": "http://www.mtv.com/artists/goldfinger/"} +{"d:Title": "Crud Magazine: Goldfrapp", "d:Description": "Includes a band summary and interview with members, Alison Goldfrapp and Will Gregory.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goldfrapp", "url": "http://www.2-4-7-music.com/newsitems/june01/goldfrapp.htm"} +{"d:Title": "Goldfrappmania", "d:Description": "Fan site features news, discography, gigs, pictures, videos and community.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goldfrapp", "url": "http://www.goldfrappmania.com/"} +{"d:Title": "Near Fantastica .com", "d:Description": "A fan site for everything relating to Matthew Good and his band: news, updates, lyrics, pictures, forums.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good,_Matthew", "url": "http://www.nearfantastica.com/"} +{"d:Title": "The MG Tab Archive", "d:Description": "An archive of Matthew Good Band guitar tabs, also featuring MG equipment and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good,_Matthew", "url": "http://www.mgtabarchive.com/"} +{"d:Title": "Canoe.ca: Matthew Good", "d:Description": "Reviews and interviews from 1996 to recent date.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good,_Matthew", "url": "http://jam.canoe.com/Music/Artists/G/Good_Matthew/"} +{"d:Title": "Disorientation", "d:Description": "Photograph galleries, lyrics, biographies, articles, downloads, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good,_Matthew", "url": "http://mattgood.plastic-soldier.com/"} +{"d:Title": "Delta Goodrem", "d:Description": "Official site of Australian singer/songwriter. Includes news, biography, tour dates, audio and video, track commentary, lyrics, photos, wallpaper, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goodrem,_Delta", "url": "http://www.deltagoodrem.com/"} +{"d:Title": "All Music Guide: Delta Goodrem", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goodrem,_Delta", "url": "http://www.allmusic.com/artist/delta-goodrem-p562295"} +{"d:Title": "AskMen.com - Delta Goodrem", "d:Description": "Pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goodrem,_Delta", "url": "http://www.askmen.com/celebs/women/singer_200/200_delta_goodrem.html"} +{"d:Title": "Lindzi.com: Good Charlotte", "d:Description": "Interview with Joel Madden on touring, his high school peers and comparisons of the band with Blink 182.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte", "url": "http://www.lindzi.com/interviews/goodcharlotte.htm"} +{"d:Title": "Good Charlotte Wallpaper", "d:Description": "Offers many Good Charlotte backgrounds and desktop wallpaper available for download.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte", "url": "http://www.coolbuddy.com/wallpapers/music_band/good_charlotte_home.htm"} +{"d:Title": "Good Charlotte", "d:Description": "Includes a detailed biography of the band including influences, member listing and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte", "url": "http://www.answers.com/topic/good-charlotte/"} +{"d:Title": "Good Charlotte Review", "d:Description": "Offers a detailed review of the bands album Good Morning Revival. Also includes track listings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte", "url": "http://www.sputnikmusic.com/album.php?albumid=17234"} +{"d:Title": "All Music Guide: Good Charlotte", "d:Description": "Includes biography, discography, and reviews..", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte", "url": "http://www.allmusic.com/artist/p442724"} +{"d:Title": "PETA Spotlights Good Charlotte", "d:Description": "Video interview with Billy.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte", "url": "http://www.peta2.com/heroes/interview-with-billy-from-good-charlotte/"} +{"d:Title": "Yahoo! Groups: Good Charlotte", "d:Description": "Members site featuring message boards, photos, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Good_Charlotte_Fans/"} +{"d:Title": "Worldwide Screamers", "d:Description": "Good Charlotte message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Chats_and_Forums", "url": "http://asil.proboards.com/"} +{"d:Title": "GtotheC Guild", "d:Description": "A message board to discuss Good Charlotte, and to connect with fans across the globe.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Chats_and_Forums", "url": "http://gtothecguild.proboards.com/"} +{"d:Title": "Good Charlotte Kicks", "d:Description": "Includes show dates, information, biographies, news, lyrics, and pictures of this new punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://divalola.tripod.com/goodcharlotte/"} +{"d:Title": "Jackie and Paula's GC Site", "d:Description": "News, pictures, polls, biographies, facts and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://www.angelfire.com/fl5/goodcharlotte/"} +{"d:Title": "Good Charlotte's Web", "d:Description": "Pictures, biographies, discography, lyrics, tablature, news, humor, and fan art and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://www.angelfire.com/punk2/goodcharlottesweb/"} +{"d:Title": "Good Charlotte 5150", "d:Description": "Offers wallpaper, pictures, biographies and lyrics for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://members.tripod.com/good_charlotte5150/"} +{"d:Title": "Good Charlotte: D.C.'s Finest", "d:Description": "Pictures, biography, tour dates, link to audio and video clips, pictures and buddy icons.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://www.angelfire.com/punk4/amyf2005/enter.html"} +{"d:Title": "The GCPD", "d:Description": "Has news, articles, biographies, pictures, quotes, and desktop wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://www.fivebucksforbenji.bravepages.com/"} +{"d:Title": "Good Charlotte Roxx", "d:Description": "Includes pictures, facts, biographies, and member pages.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://gc_roxx_89.tripod.com/"} +{"d:Title": "Good Charlotte World", "d:Description": "Features biographies, pictures, discography and ringtones.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://goodcharlotteworld.8m.net/"} +{"d:Title": "Start Screamin'", "d:Description": "Contains pictures, biographies, quotes, fan listings, quizzes, and buddy icons.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://www.angelfire.com/ultra/good_charlotte/"} +{"d:Title": "Matt's Good Charlotte Page", "d:Description": "Features tablature, photos, biography, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Fan_Pages", "url": "http://www.mattsmusicpage.com/ngoodcharlotte.htm"} +{"d:Title": "Good Charlotte Lyrics", "d:Description": "Features 95 user submitted lyrics, for the bands songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Good_Charlotte/Lyrics", "url": "http://www.lyricattack.com/g/goodcharlottelyrics/"} +{"d:Title": "Goo Goo Dolls", "d:Description": "Official site includes pictures, biography, appearance dates, press releases, discography, and sound files.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls", "url": "http://www.googoodolls.com/"} +{"d:Title": "Matt's Music Page: Goo Goo Dolls", "d:Description": "Images, midi, videos, chat, forum, lyrics, tour dates, tablature, sound clips, sheet music, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls", "url": "http://www.mattsmusicpage.com/ngoo.htm"} +{"d:Title": "Launch.com: Goo Goo Dolls", "d:Description": "Pictures, audio clips, biography, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls", "url": "http://new.music.yahoo.com/goo-goo-dolls/"} +{"d:Title": "MTV: Goo Goo Dolls", "d:Description": "Video clips, album reviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls", "url": "http://www.mtv.com/artists/goo-goo-dolls/"} +{"d:Title": "The Black and White World", "d:Description": "Includes pictures, information, lyrics, audio and video, articles, and postcards.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://www.angelfire.com/bc/dizzy/"} +{"d:Title": "A Boy Named Johnny Goo", "d:Description": "Dedicated to lead singer/guitarist Johnny Rzeznik.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://www.angelfire.com/ga/gooJohnny/"} +{"d:Title": "The Corner of My Mind", "d:Description": "Fan site has pictures, biographies, lyrics, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://www.angelfire.com/ga2/googoo1/new.html"} +{"d:Title": "Dizzy Up The Boys", "d:Description": "Includes lyrics, news, quotes, pictures, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://dutb.tripod.com/"} +{"d:Title": "Hate This Place", "d:Description": "Pictures, biographies, video clips, a fan section with games, media clips, greeting cards, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://adrowningmermaid.tripod.com/hatethisplace/index.html"} +{"d:Title": "Hopelessly Devoted To Goo", "d:Description": "Includes reviews, tour dates, tablature, lyrics, and the \"How devoted to Goo are you?\" quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://www.angelfire.com/ga/devotedtogoogoodolls/"} +{"d:Title": "I'm Addicted To GGD", "d:Description": "Pictures, links, lyrics, reviews, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://www.angelfire.com/band2/ggd/index.html"} +{"d:Title": "John Rzeznik", "d:Description": "Includes news, pictures, TV listings, lyrics, links, and biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://members.tripod.com/~bishun_j/index.html"} +{"d:Title": "Mike Malinin (The Amazing Goo Skinsman)", "d:Description": "Fan site for The Goo Goo Dolls drummer features many pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://malininwoman.tripod.com/"} +{"d:Title": "Page Named Goo", "d:Description": "Includes pictures, biographies, concert reviews, polls, trivias, fans dedications, quotes, chat room and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://www.angelfire.com/hi2/googoodolls/"} +{"d:Title": "The Unofficial Goo Goo Dolls Website", "d:Description": "Includes biographies, lyrics, discography, sounds, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goo_Goo_Dolls/Fan_Pages", "url": "http://googoodolls.iwarp.com/"} +{"d:Title": "Nina Gordon", "d:Description": "Official site with news, lyrics, sound clips, tour dates, chatroom and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gordon,_Nina", "url": "http://www.ninagordon.com/"} +{"d:Title": "Lesley Gore International Fan Club", "d:Description": "Official fan club site. Includes discography, list of upcoming appearances, photo album of performances. Membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gore,_Lesley", "url": "http://www.lesleygorefanclub.com/"} +{"d:Title": "Lesley's Place", "d:Description": "Photo gallery, discography, audio/video files, reviews, news and performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gore,_Lesley", "url": "http://www.patswayne.com/lesley"} +{"d:Title": "All Music Guide", "d:Description": "Scott Gorham's discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gorham,_Scott", "url": "http://www.allmusic.com/artist/scott-gorham-p81191"} +{"d:Title": "Scott Gorham", "d:Description": "A personal biography of Scott by Supertramp's drummer, Bob Siebenberg.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gorham,_Scott", "url": "http://www.siebenberg.com.es/bob-siebenberg-more-info/bob-and-friends/61-scott-gorham.html"} +{"d:Title": "Gorillaz", "d:Description": "Official site. A virtual tour of the cartoon band's headquarters. Includes sound clips, band biography, a look inside the members computers, and various images.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gorillaz", "url": "http://www.gorillaz.com/"} +{"d:Title": "Metacritic: Gorillaz", "d:Description": "Links to multiple users and critic reviews of the band's debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gorillaz", "url": "http://www.metacritic.com/music/gorillaz/gorillaz"} +{"d:Title": "Utterly Cute", "d:Description": "Profile, images, fan art, \"Noodlefight\" download, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gorillaz/Noodle", "url": "http://www.angelfire.com/music3/noodle/"} +{"d:Title": "Gorky's Zygotic Mynci", "d:Description": "Includes pictures, details of promo recordings and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gorky's_Zygotic_Mynci", "url": "http://www.gorkys.freeserve.co.uk/gorkys/gorkys.htm"} +{"d:Title": "ArtistDirect: Gorky Park", "d:Description": "Contains a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gorky_Park", "url": "http://www.artistdirect.com/artist/gorky-park/437228"} +{"d:Title": "Rocknworld.Com", "d:Description": "Interview, photographs, album review and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie", "url": "http://www.rocknworld.com/specials/yckrr/goudie/"} +{"d:Title": "Artist Direct: Goudie", "d:Description": "Biography, discography, message board, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie", "url": "http://www.artistdirect.com/artist/goudie/1027178"} +{"d:Title": "All Music Guide: Goudie", "d:Description": "Biography, discography, album review, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie", "url": "http://www.allmusic.com/artist/goudie-p435319"} +{"d:Title": "Hip Online: Goudie", "d:Description": "Biography, interview, album review and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie", "url": "http://hiponline.com/1482/goudie.html"} +{"d:Title": "Iloveelke", "d:Description": "Mailing list dedicated to Johnny Goudie. [Yahoo! Groups]", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie,_Johnny", "url": "http://groups.yahoo.com/group/iloveelke/"} +{"d:Title": "Austin Chronicle Music: Goudie", "d:Description": "Effects of Madness album review by Christopher Gray.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie/Articles_and_Interviews", "url": "http://www.austinchronicle.com/music/2001-11-23/83734/"} +{"d:Title": "Goudie Group", "d:Description": "Mailing list. Web and email posting options, chat facilities [Yahoo Groups].", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Goudie"} +{"d:Title": "Goudie Fans Group", "d:Description": "Mailing list. Features photo files, and chat facilities.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goudie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/goudfans/"} +{"d:Title": "Ellie Goulding", "d:Description": "Official site with album information, tour dates, a newsletter, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goulding,_Ellie", "url": "http://www.elliegoulding.com/"} +{"d:Title": "Wikipedia: Ellie Goulding", "d:Description": "Includes biographical information, discography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goulding,_Ellie", "url": "https://en.wikipedia.org/wiki/Ellie_Goulding"} +{"d:Title": "Twitter: Ellie Goulding", "d:Description": "The singer's official Twitter stream.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goulding,_Ellie", "url": "https://twitter.com/elliegoulding"} +{"d:Title": "Facebook: Ellie Goulding", "d:Description": "The singer's official Facebook page with news, photos, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goulding,_Ellie", "url": "https://www.facebook.com/elliegoulding"} +{"d:Title": "Instagram: Ellie Goulding", "d:Description": "The singer's official Instagram page.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Goulding,_Ellie", "url": "https://instagram.com/elliegoulding/"} +{"d:Title": "Gov't Mule.net", "d:Description": "Official home page with news, reviews, tour dates and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gov't_Mule", "url": "http://www.mule.net/"} +{"d:Title": "Gowan", "d:Description": "Official site. News, biography, tour dates, interviews, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gowan,_Lawrence", "url": "http://www.gowan.org/"} +{"d:Title": "Gowan Fan's Page of Links", "d:Description": "Links to fan pages, articles, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gowan,_Lawrence", "url": "http://www.angelfire.com/hi2/GowanFan/"} +{"d:Title": "Yahoo Groups : Gowan", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gowan,_Lawrence", "url": "http://groups.yahoo.com/group/gowan"} +{"d:Title": "Yahoo Groups: Larry Gowan Fan Club", "d:Description": "Open membership. Message board, e-mail list, chat, calendar, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gowan,_Lawrence", "url": "http://groups.yahoo.com/group/LarryGowanFanClub/"} +{"d:Title": "Gowan", "d:Description": "Biography and discography at CanconRox.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gowan,_Lawrence", "url": "http://www.canadianbands.com/Gowan.html"} +{"d:Title": "Lawrence Gowan", "d:Description": "Sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gowan,_Lawrence", "url": "http://lgowan.tripod.com/"} +{"d:Title": "Ottawa Sun: Gowan Wins Folk Crowd With Human Touch", "d:Description": "Review by Rick Overall of Gowan's appearance at the Ottawa Folk Festival on December 6, 1997.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gowan,_Lawrence/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/G/Gowan_Lawrence/ConcertReviews/2004/10/19/676654.html"} +{"d:Title": "Jason Lytle", "d:Description": "Official site for the band's singer, songwriter and guitarist includes biography, news, tour dates, audio, video, pictures and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grandaddy", "url": "http://jasonlytle.com/"} +{"d:Title": "Metacritic: The Sophtware Slump", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grandaddy", "url": "http://www.metacritic.com/music/the-sophtware-slump/grandaddy"} +{"d:Title": "Wikipedia: Ariana Grande", "d:Description": "Offers biographical information as well as a discography, filmography, and lists awards and nominations.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grande,_Ariana", "url": "http://en.wikipedia.org/wiki/Ariana_Grande"} +{"d:Title": "Ariana Grande", "d:Description": "Official Site. Features artist news, tour dates, and videos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grande,_Ariana", "url": "http://www.arianagrande.com/"} +{"d:Title": "Ariana Grande Visuals", "d:Description": "Offers a categorized image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grande,_Ariana", "url": "http://arianapictures.com/"} +{"d:Title": "Grand Funk Railroad", "d:Description": "Official site with biographies, articles, history, timeline, tour information, discography and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.grandfunkrailroad.com/"} +{"d:Title": "Time Machine", "d:Description": "Fan site with history, articles, album reviews, pictures, biographies and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://timemachine.0catch.com/"} +{"d:Title": "Omnivoid's Grand Funk Railroad", "d:Description": "Fan site with album and CD listings, biographical history and a fan poll.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.angelfire.com/nt/omnivoid/gfr.html"} +{"d:Title": "Classic Bands: Grand Funk Railroad", "d:Description": "Portrait and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.classicbands.com/grandfunk.html"} +{"d:Title": "Ink Nineteen: Grand Funk Railroad", "d:Description": "Reunion concert review by Hal Horowitz.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.ink19.com/issues_F/98_03/live/grand_funk_railroad_nf.html"} +{"d:Title": "Pro Music News: Grand Funk Railroad", "d:Description": "Article on the original and new band lineups of Grand Funk Railroad.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.pro-music-news.com/html/09/e10124gr.htm"} +{"d:Title": "The Night Owl: Bosnia", "d:Description": "Review of Bosnia, the re-united band's first new album release.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.thenightowl.com/reviews/bosnia.htm"} +{"d:Title": "FZ Lyrics And Else: Good Singin' Good Playin'", "d:Description": "Information on this Frank Zappa produced album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://globalia.net/donlope/fz/related/Good_Singin'_Good_Playin'.html"} +{"d:Title": "Super Seventies: Grand Funk Railroad", "d:Description": "Article on \"E Pluribus Funk\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.superseventies.com/spgrandfunk3.html"} +{"d:Title": "Super Seventies: The Loco-Motion", "d:Description": "Article on the hit single.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.superseventies.com/1974_6singles.html"} +{"d:Title": "Artist Direct: Grand Funk Railroad", "d:Description": "Discography and brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://artistdirect.com/music/artist/card/0,,437668,00.html?src=search&artist=Grand+Funk+Railroad"} +{"d:Title": "The Night Owl: E Pluribus Funk / Caught In The Act", "d:Description": "Review of the remastered \"E Pluribus Funk\" and \"Caught In The Act\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.thenightowl.com/reviews/gfr.htm"} +{"d:Title": "The Night Owl: On Time / Grand Funk / Closer To Home / Live Album (Capitol)", "d:Description": "Album reviews of the first four remastered Grand Funk CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.thenightowl.com/reviews/gfr2.htm"} +{"d:Title": "The Night Owl: Shinin' On / Caught In The Act / All The Girls In The World Beware / Born To Die", "d:Description": "Review on four of the remastered Grand Funk albums.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.thenightowl.com/reviews/gfr3.htm"} +{"d:Title": "The Night Owl: 30 Years Of Funk", "d:Description": "Review of Grand Funk CD box set by Steve Marshall.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.thenightowl.com/reviews/gfrbox.htm"} +{"d:Title": "Music Tap: Grand Funk Railroad", "d:Description": "CD review of \"Live: 1971 Tour\" by Matt Rowe.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.musictap.net/Reviews/GrandFunkRailroadLiveThe1971Tour.html"} +{"d:Title": "Grand Funk Rock", "d:Description": "Fan site with lyrics, song list, guest book. In eleven languages.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.grandfunkrock.com/"} +{"d:Title": "Daily Vault: 30 Years Of Funk", "d:Description": "Box set review by Christopher Thelen.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.dailyvault.com/toc.php5?review=1907"} +{"d:Title": "GFR Web", "d:Description": "Fan site with band history, discography, lyrics, photo gallery, trading post and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://wap.parrette.net/GFR_WEB/"} +{"d:Title": "Vintage Rock: Grand Funk Railroad", "d:Description": "Del Mar, California concert review. (June 30, 1997)", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.vintagerock.com/index.php?option=com_content&view=article&id=264:grand-funk-railroad-june-30-1997-san-diego-ca&catid=5:show-reviews&Itemid=6"} +{"d:Title": "Rock Spew: Grand Funk Railroad", "d:Description": "Jeff Muendel's editorial on Grand Funk's post-Farner lineup. (August 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.maximumink.com/articles.php?articleId=55"} +{"d:Title": "All Music Guide: Grand Funk Railroad", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grand_Funk_Railroad", "url": "http://www.allmusic.com/artist/grand-funk-railroad-mn0000659517"} +{"d:Title": "The German Amy Grant Website", "d:Description": "Includes article archive, fan club information, audio and video files, news, discography, and photo album. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant,_Amy", "url": "http://www.amygrant.de/"} +{"d:Title": "Amy Grant Network", "d:Description": "Webring.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant,_Amy", "url": "http://www.amygrantnetwork.com/"} +{"d:Title": "The Mighty Mandible of Amy Grant", "d:Description": "Comic tribute to the singer's jaw.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant,_Amy", "url": "http://www.deuceofclubs.com/tunes/amy/index.htm"} +{"d:Title": "Friends of Amy On-Line", "d:Description": "The official Amy Grant fan club. Photos and general information. Online store to sign up for the fan club and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant,_Amy", "url": "http://www.amygrant.com/"} +{"d:Title": "Amy Grant FAQ", "d:Description": "From the alt.music.amy-grant newsgroup.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant,_Amy", "url": "http://www.faqs.org/faqs/music/amy-grant-faq/part1/"} +{"d:Title": "All Music Guide: Amy Grant", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant,_Amy", "url": "http://www.allmusic.com/artist/amy-grant-mn0000024944"} +{"d:Title": "Grant Lee Buffalo", "d:Description": "Official website includes band biography, history and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant_Lee_Buffalo", "url": "http://www.grantleebuffalo.com/"} +{"d:Title": "Homespun: The Unofficial Grant Lee Buffalo/Grant Lee Phillips Archive", "d:Description": "Fan site with discography, lyrics, tablature, audio downloads and streams, and Phillips' comments on his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grant_Lee_Buffalo", "url": "http://www.homespunarchive.com/"} +{"d:Title": "Grateful Dead", "d:Description": "Official site includes information about The Dead, individual band members, merchandise, the Dick's Picks series, links, pictures, almanac, message board, tickets and tour information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead", "url": "http://dead.net/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead", "url": "http://rockhall.com/inductees/the-grateful-dead"} +{"d:Title": "The Grateful Dead and the Buffalo Philharmonic Orchestra", "d:Description": "Jay Gerland's research into the infamous performance of the Grateful Dead and the Buffalo Philharmonic in March of 1970.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Articles_and_Interviews", "url": "http://www.theblackdog.org/gd-bpo/"} +{"d:Title": "Day Of The Living Dead", "d:Description": "The Grateful Dead returns as the Other Ones. It's not a reunion, really - it's a reincarnation. [TIME.com]", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Articles_and_Interviews", "url": "http://www.time.com/time/magazine/article/0,9171,1101980713-139551,00.html"} +{"d:Title": "Dead grateful for MP3 - with limits", "d:Description": "Report of the band's stance on trading digital shows. [CNET News.com]", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Articles_and_Interviews", "url": "http://news.cnet.com/2100-1023-225691.html"} +{"d:Title": "Furthur Foundation", "d:Description": "Assists progressive groups working on environmental and social issues in the Bay Area and around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Charitable_Organizations_and_Foundations", "url": "http://www.furthur.org/"} +{"d:Title": "Seva Foundation", "d:Description": "Dedicated to finding skillful means to relieve suffering in Chiapas, Guatemala, Tibet, Nepal, India, and among Native Americans using modern and indigenous means. Benefited by the \"Sing Out For Seva\" album on Grateful Dead Records.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Charitable_Organizations_and_Foundations", "url": "http://www.seva.org/"} +{"d:Title": "DrawBridge.org", "d:Description": "An arts program for homeless children, founded in San Francisco by Gloria Simoneaux. Supported by sales of a series of sandals utilizing artwork created by Jerry Garcia.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Charitable_Organizations_and_Foundations", "url": "http://www.drawbridge.org/"} +{"d:Title": "Rex Foundation", "d:Description": "Organization of the Grateful Dead family which gives grants to worthwhile causes.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Charitable_Organizations_and_Foundations", "url": "http://www.rexfoundation.org/"} +{"d:Title": "Not For Kids Only", "d:Description": "Children's music by Jerry Garcia and David Grisman. Proceeds benefit children's charities.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Charitable_Organizations_and_Foundations", "url": "http://www.acousticdisc.com/acd_html/acd9.html"} +{"d:Title": "Rainforest Testimony", "d:Description": "Testimony by various members of the Grateful Dead at a press conference at the United Nations in 1988.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Charitable_Organizations_and_Foundations", "url": "http://www.angelfire.com/fl/goodbear/rainforest.html"} +{"d:Title": "Bill Graham Foundation", "d:Description": "Assists those whose needs sometimes are not noticed or served by larger philanthropic organizations, such as groups and individuals working in the areas of music, arts, education, environmental protection, human rights, inner city social work and public health.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Charitable_Organizations_and_Foundations", "url": "http://www.billgrahamfoundation.org/"} +{"d:Title": "The Grateful Dead Conference", "d:Description": "Announcements, general discussions, and lots of playful topics. Talk about your own lives as well as about the band and other Deadhead matters.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Chats_and_Forums", "url": "http://www.well.com/conf/gd/"} +{"d:Title": "GD Forum", "d:Description": "An online community of Grateful Dead fans. Includes archives, photo albums and interviews as well as active message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Chats_and_Forums", "url": "http://www.gdforum.com/"} +{"d:Title": "Yahoo! Groups: grateful_dead", "d:Description": "Discuss the works of the Grateful Dead, chat with other fans about Jerry, Bob, Pigpen and the other band members.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/grateful_dead/"} +{"d:Title": "Yahoo! Groups: Grateful_Dead_Cafe", "d:Description": "This group is to meet other kind folks, trading, show reviews/photos, tour news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/Grateful_Dead_Cafe/"} +{"d:Title": "Yahoo! Groups: deadheadsunite", "d:Description": "A spot for all Grateful Dead fans to talk about shows, set lists and anything else about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/deadheadsunite/"} +{"d:Title": "Access Place Grateful Dead", "d:Description": "Tributes, photos, MP3s, online radio, songs, lyrics, set lists, tapes, news and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Directories", "url": "http://www.accessplace.com/grateful.htm"} +{"d:Title": "Dozin.com", "d:Description": "Grateful Dead information, MP3s, set lists, guitar tablature and lyrics, equipment lists, photos, and music news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Directories", "url": "http://www.dozin.com/"} +{"d:Title": "Gopher archive", "d:Description": "At gdead.berkeley.edu. Lyrics, set lists, site maps, tape labels.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Directories", "url": "gopher://gdead.berkeley.edu/"} +{"d:Title": "Dead101.com - Grateful Dead Time Capsule", "d:Description": "Grateful Dead event timeline, biographies, discographies, album cover art galleries, songlists, historic concerts, TV appearances, solo bands, sources for CDs, videos, DVDs and books.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Directories", "url": "http://www.dead101.com/"} +{"d:Title": "Deaducated", "d:Description": "The Grateful Dead through the eyes of librarians.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Directories", "url": "http://www.terryballard.org/deadlib/index.html"} +{"d:Title": "ArtistDirect: The Grateful Dead", "d:Description": "Contains a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Directories", "url": "http://www.artistdirect.com/artist/grateful-dead/437820"} +{"d:Title": "MTV: The Grateful Dead", "d:Description": "Contains album reviews, music news, audio downloads, biography, discography, TV appearances, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Directories", "url": "http://www.mtv.com/artists/grateful-dead/"} +{"d:Title": "Grateful Dead Time Capsule", "d:Description": "Grateful Dead event timeline, biographies, discographies, album cover art galleries, songlists, historic concerts, TV appearances, solo bands, sources for CDs, videos, DVDs and books.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Discography", "url": "http://www.dead101.com/"} +{"d:Title": "Grateful Dead Family Discography", "d:Description": "A discography of recordings by or related to the Grateful Dead, individual members of the Dead, associated groups and related record labels.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Discography", "url": "http://www.deaddisc.com/"} +{"d:Title": "Grateful Dead Live", "d:Description": "Discography sorted by singer/keyboardist. CD, video and book reviews, merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Discography", "url": "http://www.thebestofwebsite.com/Bands/Grateful_Dead/Grateful_Dead_Live.htm"} +{"d:Title": "The Compleat Grateful Dead Discography", "d:Description": "A listing of some 388 albums, 113 singles, 146 movies/TV shows/videos/ads, 104 books, and 22 song books where the band or members of the band appear.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Discography", "url": "http://tcgdd.freeyellow.com/"} +{"d:Title": "etree.org", "d:Description": "A community of FTP servers that host digital audio files of bands that allow the audio taping and trading of their shows.\u00a0Primarily, the etree deals with bands such as Phish, The Grateful Dead, and others who wish to keep their live music free and accessible.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Downloads", "url": "http://www.etree.org/"} +{"d:Title": "FTP Archive", "d:Description": "Archives of Deadhours, shows, interviews, lyrics and set lists for FTP doanload.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Downloads", "url": "ftp://gdead.berkeley.edu/pub/gdead/"} +{"d:Title": "The Music of Haight-Ashbury in the 1960s", "d:Description": "Multimedia clips from the folks that put out the CD-ROM.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Downloads", "url": "http://www.rockument.com/haimg.html"} +{"d:Title": "Jerry Garcia Birthday Festival", "d:Description": "This is an annual festival in Austin celebrating the life and music of Jerry Garcia. Festival features bands, crafts, camping, swimming and food.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Festivals", "url": "http://www.jerrygarciabdayfestival.moonfruit.com/"} +{"d:Title": "Gathering Of The Vibes", "d:Description": "Provides information on the event including bands, dates, places to stay, news, tapers, vendors, and includes pictures, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Festivals", "url": "http://www.gatheringofthevibes.com/"} +{"d:Title": "Odyssey 2001", "d:Description": "Current schedule for The Dead's 2004 Wave That Flag Summer Tour.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Festivals", "url": "http://www.philzone.com/tour_zone/index.html"} +{"d:Title": "DawgNet", "d:Description": "Site of mandolinist David \"Dawg\" Grisman and his independent record label, Acoustic Disc. Purchase the Grateful Dawg CD, as well as a CD of Garcia/Grisman sessions.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Friends", "url": "http://www.dawgnet.com/"} +{"d:Title": "Key-Z Productions", "d:Description": "Official site of Ken Kesey. Audio, video, writings, photos, profiles and memorial.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Friends", "url": "http://www.key-z.com/"} +{"d:Title": "Hazardous Media", "d:Description": "Production company for the documentary film \"Timothy Leary's Last Trip: with Ken Kesey and the Merry Pranksters\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Friends", "url": "http://hazardous.com/index2.html"} +{"d:Title": "SecondDose", "d:Description": "Explore uncharted musical territory as Grateful Dead space masters Tom Constanten and Bob Bralove lead you on a wild improvisatory ride.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Friends", "url": "http://users.rcn.com/ssight/"} +{"d:Title": "Wavy Gravy", "d:Description": "Biography, photos, art, links and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Friends", "url": "http://www.wavygravy.net/"} +{"d:Title": "The Grateful Dead", "d:Description": "Provides links to sites for fans and netheads.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.cs.cmu.edu/~mleone/dead.html"} +{"d:Title": "The Old Hippie's Grateful Dead Related Page", "d:Description": "For Netheads, Webheads and Deadheads. Find links, streaming music and webrings.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.aye.net/~hippie/hippie1.html"} +{"d:Title": "Skeletons from the Net", "d:Description": "Michael Carver's collection of stuff found mostly at rec.music.gdead. Articles, stories, newspaper clippings, and transcriptions of stage banter.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.kazart.com/bus_stop"} +{"d:Title": "Bob's Grateful Dead Page", "d:Description": "Polls, downloads, Real Audio files, Jerry Garcia and Bob Weir information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.angelfire.com/il/TheGratefulDead/"} +{"d:Title": "The DeadZone", "d:Description": "Animated GIFs, ticket stubs, photos, links, tapelist and webrings.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://members.tripod.com/The_DeadZone/index.html"} +{"d:Title": "The Wharf Rats", "d:Description": "A twelve-step program which met at shows. Find resources, meetings, discussion boards, news and contacts.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.wharfrat.org/"} +{"d:Title": "Hippies on the Web: Haight-Ashbury Music and Culture", "d:Description": "The story of Haight-Ashbury, links, stories, maps and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.rockument.com/links.html"} +{"d:Title": "Grateful Dead Forum Members", "d:Description": "Directory of members, bands, organizations and companies that are part of the forum.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.gdforum.com/hol-links.html"} +{"d:Title": "Dead Travel Tips from Joe Norris", "d:Description": "Includes travel tips for those traveling to see the Grateful Dead.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://wagnerone.com/enteract/dead/misc/joe_norris.letter.html"} +{"d:Title": "Live Grateful Dead Music.com", "d:Description": "A fan site which covers all aspects of the Grateful Dead with a particular focus on their live music.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://www.live-grateful-dead-music.com/"} +{"d:Title": "The Christie Family Grateful Pages", "d:Description": "Includes a tape list and memorial page for Jerry.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://vlewis.tripod.com/"} +{"d:Title": "Deadheads United", "d:Description": "Information about the Grateful Dead family, music, events and friends.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Heads", "url": "http://deadheadsunited.wordpress.com/"} +{"d:Title": "Shotlive Photo", "d:Description": "Live concert photography of the Grateful Dead, Ratdog and The Other Ones.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.shotlivephoto.com/"} +{"d:Title": "Mouse Studios and The Official Stanley Mouse Website", "d:Description": "Creator of many official Grateful Dead images.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.mousestudios.com/"} +{"d:Title": "Grateful Dead Related Graphics", "d:Description": "Rolling Stone covers, band and various pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://members.aye.net/~hippie/deadpics/gdpics.htm"} +{"d:Title": "Teddy GoodBear's Concert Art Gallery", "d:Description": "Images of concert posters.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.goodbear.com/concert_art/"} +{"d:Title": "Gecko Graphics", "d:Description": "Designer of the packaging for several Dead CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.geckographics.com/"} +{"d:Title": "Original Grateful Dead Inspired Art", "d:Description": "A gallery of Grateful Dead original art. The art is inspired by songs or quotes from songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://gdeaddesigns.stormpages.com/"} +{"d:Title": "Norman Sands Photo Site", "d:Description": "Concert photos by photographer Norman Sands of Ratdog, The Other Ones, Grateful Dead, Phil Lesh, David Gans, Steve Kimock, Ziggy Marley, and others.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://kingideaproductions.fws1.com/"} +{"d:Title": "Susana Millman", "d:Description": "Concert photography of the Grateful Dead, and adventure travel photography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.mamarazi.com/"} +{"d:Title": "Philm Freax: The Grateful Dead", "d:Description": "Exclusive unpublished pictures of The Grateful Dead.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.ibiblio.org/mal/MO/philm/gdead/index.html"} +{"d:Title": "Grateful Dead Photos", "d:Description": "View a wide selection of concert photographs of Jerry Garcia and the Grateful Dead from the 1970s.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.grateful-dead-photos.com/"} +{"d:Title": "Grateful Dead Shirt Archive", "d:Description": "Browse submitted photos of new and vintage Grateful Dead shirts and submit yours to the archive.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.bandshirtarchive.com/grateful-dead-shirts"} +{"d:Title": "Rabhan.com: Digital Concert Pics", "d:Description": "Featuring concert images and screen savers of RatDog, The Other Ones, Bruce Hornsby, and Phil Lesh.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://rabhan.com/"} +{"d:Title": "Grateful Dead Tickets, Passes,&Laminates", "d:Description": "The definitive online resource for Grateful Dead ticket stubs, backstage passes, and all access laminates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.psilo.com/dead/"} +{"d:Title": "Joe Ryan Photography", "d:Description": "Photos of the Grateful Dead, some of which have been featured in official calendars.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Image_Galleries", "url": "http://www.ryanfoto.com/"} +{"d:Title": "The Annotated Grateful Dead Lyrics, by David Dodd", "d:Description": "Literary, historical and biographical references present in Grateful Dead songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://arts.ucsc.edu/gdead/agdl/"} +{"d:Title": "Arcadian Real Knowledge Data Network", "d:Description": "Lyrics database, roots of the Grateful Dead and information on Haight Asbury and the 60s.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://www.rkdn.org/dead/"} +{"d:Title": "Grateful Dead Lyric And Song Finder", "d:Description": "Search for words or phrases in Grateful Dead lyrics, also includes solo projects, guest songs, originals, covers, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://www3.clearlight.com/~acsa/intro.htm"} +{"d:Title": "Grateful Dead Lyrics", "d:Description": "A collection of over one hundred song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://dannyman.toldme.com/lyrics/Grateful_Dead/"} +{"d:Title": "OldieLyrics.com: Grateful Dead", "d:Description": "Lyrics to all Grateful Dead songs ordered by albums.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://www.oldielyrics.com/g/grateful_dead.html"} +{"d:Title": "LyricsFreak.com: Grateful Dead Lyrics", "d:Description": "Browse Grateful Dead lyrics alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://www.lyricsfreak.com/g/grateful+dead/"} +{"d:Title": "Robert Hunter's Grateful Dead Lyrics", "d:Description": "Complete listing of the lyrics of Robert Hunter's Grateful Dead songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://www.hunterarchive.com/files/HandwrittenLyrics/autograph.html"} +{"d:Title": "Grateful Ramblings", "d:Description": "A Grateful Dead lyrics and chord database, along with tour stories.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://malfalfa1.tripod.com/lyrics.htm"} +{"d:Title": "Cassidy's Tale", "d:Description": "An analysis of the song Cassidy, as told by lyricist John Perry Barlow.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Lyrics", "url": "http://www.litkicks.com/BarlowOnNeal"} +{"d:Title": "Roots of the Grateful Dead", "d:Description": "Information, articles and reference material about the origins and evolution of songs that were not written by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Magazines_and_E-zines", "url": "http://www.taco.com/roots/"} +{"d:Title": "Grateful Dead Almanac", "d:Description": "The first online edition of the Grateful Dead Almanac.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Magazines_and_E-zines", "url": "http://www.well.com/user/almanac/"} +{"d:Title": "The Music Box: Grateful Dead", "d:Description": "An e-zine featuring the latest concert and album reviews, music news, tour info, interviews, streaming audio, contest giveaways.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Magazines_and_E-zines", "url": "http://www.musicbox-online.com/dead.html"} +{"d:Title": "David Gans/Truth and Fun, Inc.", "d:Description": "Radio personality and producer of various CDs in the Grateful Dead family, including the box set \"So Many Roads\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members", "url": "http://www.trufun.com/index.html"} +{"d:Title": "Candace Brightman", "d:Description": "Grateful Dead lighting guru.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members", "url": "http://www.anarchi.com/projects/gd1.html"} +{"d:Title": "Brent Mydland Tribute Page", "d:Description": "A tribute to the late Grateful Dead keyboardist, Brent Mydland. Streaming audio and video, chat.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members", "url": "http://www.brentmydland.net/"} +{"d:Title": "Bill Kreutzmann: Ocean Spirit", "d:Description": "Provides discussion forums, vibrant art work, and downloadable music files.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members", "url": "http://www.billkreutzmann.net/"} +{"d:Title": "Garcia: An American Life", "d:Description": "Biography by Blair Jackson, publisher of \"The Golden Road\" the Grateful Dead magazine. Also a critical discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members/Garcia,_Jerry", "url": "http://www.blairjackson.com/"} +{"d:Title": "The Jerry Site", "d:Description": "Resource for setlists from Garcia's non-Grateful Dead work.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members/Garcia,_Jerry", "url": "http://www.thejerrysite.com/"} +{"d:Title": "In Memoriam - Jerry Garcia", "d:Description": "Messages from friends and fans, as well as links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members/Garcia,_Jerry", "url": "http://hake.com/gordon/garcia.html"} +{"d:Title": "Information about Jerry", "d:Description": "A few links and information, as well as personal memories.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members/Garcia,_Jerry", "url": "http://www.well.com/user/gail/gd.html"} +{"d:Title": "Tributes for Jerry from WELL Deadheads", "d:Description": "WELL posts reposted from the Grateful Dead Conference with permission from their authors.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members/Garcia,_Jerry", "url": "http://www.well.com/user/gail/tributes.html"} +{"d:Title": "All Music Guide: Jerry Garcia", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members/Garcia,_Jerry", "url": "http://www.allmusic.com/artist/jerry-garcia-p4339"} +{"d:Title": "Rollingstone.com: Jerry Garcia", "d:Description": "Includes a biography, articles and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Members/Garcia,_Jerry", "url": "http://www.rollingstone.com/music/artists/jerry-garcia"} +{"d:Title": "Dead Air", "d:Description": "Grateful Dead radio show broadcasting every Friday night from 9 PM to 3 AM in Tucson AZ on KXCI and online.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Radio_Shows", "url": "http://kxci.org/deadair/"} +{"d:Title": "The Grateful Dead Hour", "d:Description": "Nationally syndicated radio show. Station listing, information on getting the GDH on your local station, merchandise, discussion area.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Radio_Shows", "url": "http://www.gdhour.com/"} +{"d:Title": "Dead to the World", "d:Description": "Airs on KPFA 94.1 Berkeley, California, Wednesdays 8-10pm.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Radio_Shows", "url": "http://dttw.gdhour.com/index.html"} +{"d:Title": "The Deadlists Project", "d:Description": "Searchable and browseable by year setlists. Also includes a bulletin board, mailing list archive and help files.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Setlists", "url": "http://www.deadlists.com/"} +{"d:Title": "FTP Listing", "d:Description": "Setlist archive at Berkeley.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Setlists", "url": "ftp://gdead.berkeley.edu/pub/gdead/set-lists/"} +{"d:Title": "Furthur Festival Information", "d:Description": "Setlists and tour information for The Dead, Furthur Festival 1996-2000, Phil Lesh and Rat Dog.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Setlists", "url": "http://www.vermontel.net/~cascade2/furthur/"} +{"d:Title": "The SetList Program", "d:Description": "Searchable database of setlists with users' comments.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Setlists", "url": "http://www.setlists.net/"} +{"d:Title": "Gopher Menu", "d:Description": "Setlist archive at Berkeley.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Setlists", "url": "gopher://gdead.berkeley.edu/11/set-lists/"} +{"d:Title": "Jerry Radio", "d:Description": "Grateful Dead Internet Radio broadcasting bootleg concerts", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Streaming_Music", "url": "http://www.jerryradio.com/"} +{"d:Title": "Dead Radio", "d:Description": "Streaming MP3s via Shoutcast.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Streaming_Music", "url": "http://yp.shoutcast.com/?s=Grateful+Dead&l=25&bitrate=any&x=32&y=6"} +{"d:Title": "gdradio.net", "d:Description": "Enjoy streaming Grateful Dead, Jerry Garcia Band, Phil and Friends, Ratdog and other bands.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Streaming_Music", "url": "http://www.gdradio.net/"} +{"d:Title": "Rockmagic.net: Grateful Dead", "d:Description": "13 guitar tablatures, 63 guitar chords and 9 bass tablatures for 79 Grateful Dead songs, including Scarlet Begonias, Loser, Dark Star, Money Honey, and China Cat Sunflower.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tablature", "url": "http://www.rockmagic.net/guitar-tabs/grateful-dead/"} +{"d:Title": "Grateful Dead Songlist", "d:Description": "Guitar tablature, lyrics and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tablature", "url": "http://www.rukind.org/"} +{"d:Title": "GuitarTab.com: Grateful Dead Tabs", "d:Description": "An alphabetized listing of Grateful Dead tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tablature", "url": "http://www.guitaretab.com/g/grateful-dead/"} +{"d:Title": "Playing in the Band: The Music of the Grateful Dead", "d:Description": "Complete guitar tablatures for the Grateful Dead. Learn the solos from Dark Star, Franklin's, China Cat, Arabia, Dew, Estimated, and Terrapin.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tablature", "url": "http://chaosjeff.tripod.com/jsl-page1.htm"} +{"d:Title": "Jerry Garcia Tabs", "d:Description": "Guitar tablature of Jerry Garcia music, everything from Grateful Dead, Old and in the Way, David Grisman and Jerry Garcia Band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tablature", "url": "http://sites.google.com/site/jerrygarciatabs/"} +{"d:Title": "Winter Oak CDR Tree", "d:Description": "A permanent Grateful Dead CDR only tree.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Trading", "url": "http://www.angelfire.com/pq/WinterOakTradingTree/"} +{"d:Title": "Dave's Phat Page", "d:Description": "Live CDR's of GD, Phish, and SCI.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Trading", "url": "http://www.angelfire.com/ny5/chutz99/"} +{"d:Title": "Kieth's CDR pages", "d:Description": "The aim is to make the covers I've made for myself available for anyone who wants 'em.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Trading", "url": "http://www.users.globalnet.co.uk/~chinacat/home.html"} +{"d:Title": "Teddy Goodbear's Audience Trees", "d:Description": "Find out all about Teddy GoodBear's Grateful Deadicated home pages here.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Trading", "url": "http://www.goodbear.com/tree.html"} +{"d:Title": "Ptchfork's CDR Trade Page", "d:Description": "Trade CDRs of Grateful Dead, JGB, Ratdog, Phil and Friends.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Trading", "url": "http://db.etree.org/ptchfork"} +{"d:Title": "DC Dead Tape Exchange", "d:Description": "DC Dead is an informal collection of individuals who have been swapping tapes since 1975. Our collection has grown from handful tapes to over 5000 hours of live music.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Trading", "url": "http://dcdead.com/"} +{"d:Title": "Xtra Ticket", "d:Description": "Audio samples and photographs. Based in Arizona.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.xtraticket.com/"} +{"d:Title": "Dark Star Orchestra", "d:Description": "Recreates Grateful Dead shows, song for song, live on stage.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.darkstarorchestra.net/"} +{"d:Title": "Bokomaru", "d:Description": "A Montreal based Grateful Dead cover band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.jam.ca/bokomaru/"} +{"d:Title": "Cosmic Charlies", "d:Description": "The Cosmic Charlies are a band playing Grateful Dead and Phish music in Europe", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://easyweb.easynet.co.uk/~billpannifer/cosmic.htm"} +{"d:Title": "Crazy Fingers", "d:Description": "Grateful Dead tribute band based in Palm Beach County and playing clubs from Miami to West Palm Beach. Calendar, MP3s, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.crazyfingers.net/"} +{"d:Title": "Cubensis", "d:Description": "Los Angeles-based cover band. Information about band members and shows.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://cubensis.com/"} +{"d:Title": "Jake's Leg", "d:Description": "A St. Louis, MO based dead cover band that has been playing and interpreting the music of the Grateful Dead for over 30 years.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.jakesleg.com/"} +{"d:Title": "Schwag, The", "d:Description": "A band out to preserve and perpetuate the vibe and music made popular by the Grateful Dead.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.theschwag.com/"} +{"d:Title": "Shakedown Street", "d:Description": "Grateful Dead cover band that has been playing The Central Rockies for fourteen years.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.shakedownstreetband.com/"} +{"d:Title": "Uncle John's Band", "d:Description": "Grateful Dead tribute band based in the Tampa Bay area. Pictures, MP3s, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.unclejohnsband.com/"} +{"d:Title": "Shakedown", "d:Description": "New England based tribute band. Schedule of shows, message board and media.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.shakedown.net/"} +{"d:Title": "Rock Creek Band", "d:Description": "Grateful Dead tribute band providing live jams for Maryland, DC, and Virginia. Deadheads with vintage Dead tunes and 70s classic rock favorites.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.rockcreekband.com/"} +{"d:Title": "Electric Waste Band, The", "d:Description": "San Diego's Grateful Dead tribute band", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://electricwasteband.4t.com/"} +{"d:Title": "Reflections", "d:Description": "Celebrates the music of the Grateful Dead's Jerry Garcia.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.reflectionsband.net/"} +{"d:Title": "HalfStep", "d:Description": "A New York City based Grateful Dead cover band with a wide repertoire of songs", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.halfstepgd.com/"} +{"d:Title": "Great Caesars Ghost", "d:Description": "Based in New York/Long Island, playing the best of \"Great American Music\" from: The Grateful Dead, The Allman Brothers Band, and many of the Blues Masters.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.greatcaesarsghost.com/"} +{"d:Title": "Playing Dead", "d:Description": "A Grateful Dead tribute band playing in Boston and the greater New England area.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.playingdead.net/"} +{"d:Title": "Lost Sailors", "d:Description": "An Ithaca, NY-based Grateful Dead tribute band. Website includes band information, photos and free MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.lostsailors.com/"} +{"d:Title": "Splintered Sunlight", "d:Description": "Grateful Dead tribute band playing in the Northeast USA, includes a sample of their music in mp3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.splinteredsunlight.com/"} +{"d:Title": "Lichen.net", "d:Description": "Grateful Dead music and music of the 1960's and 1970's", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://lichen.net/"} +{"d:Title": "Franklins Tower", "d:Description": "An International Grateful Dead site.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://easyweb.easynet.co.uk/~billpannifer/dead.htm"} +{"d:Title": "Dead Guise, The", "d:Description": "Grateful Dead Tribute from California's East Bay area.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.epicdimensions.com/Dead_Guise.shtml"} +{"d:Title": "Fennario", "d:Description": "New England based Grateful Dead tribute band delivering the authentic sound.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.fennario.us/"} +{"d:Title": "Bare Feet", "d:Description": "6 piece jam band playing in and around the Hudson Valley, NY.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://barefeetmusic.com/"} +{"d:Title": "Generic Jerry", "d:Description": "A listing of band dates, WAV files, pictures, and information on the Pocono's based band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://genericjerry.tripod.com/homepage.html"} +{"d:Title": "Shotgun Ragtime Band, The", "d:Description": "Live improvisational music inspired by the good old Grateful Dead. Occasional gigs in the UK and Europe.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://website.lineone.net/~spjohnson/srb/"} +{"d:Title": "The Deadlocks", "d:Description": "Cover band from Jackson Hole, Wyoming. Members, pictures, news, schedule, media and setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grateful_Dead/Tribute_Bands", "url": "http://www.thedeadlocks.com/"} +{"d:Title": "Entertainment Ave: Gravity Kills", "d:Description": "Review of a concert at The Vic Theater in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gravity_Kills", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/g/gravity_kills/gk110396.htm"} +{"d:Title": "Entertainment Ave: Gravity Kills", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gravity_Kills", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/g/gravity_kills/gk052296.htm"} +{"d:Title": "David Gray", "d:Description": "Official site offers news, photos, lyrics, sound files, and a message board. Also provides links to purchase albums.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://www.davidgray.com/"} +{"d:Title": "Drunken Gibberish", "d:Description": "Current news and tour dates for David Gray. Also includes a biography, discography, live reviews, and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://homepage.eircom.net/~drunken/"} +{"d:Title": "David Gray Online", "d:Description": "Includes profile, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://www.angelfire.com/pop2/davidgray/"} +{"d:Title": "Gray Days Ahead", "d:Description": "Interview with David Gray.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://www.almostfabulous.com/rc/interviews/davidgray.php"} +{"d:Title": "Trying to Make Sense of the Rain", "d:Description": "David Gray news, lyrics, interviews, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://cupantae.com/davidgray/"} +{"d:Title": "The Unofficial David Gray Web Page", "d:Description": "Includes pictures, partial discography, and tablature for \"Birds Without Wings\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://tower.tripod.com/index.html"} +{"d:Title": "BBC: David Gray", "d:Description": "Archive of news articles and a RealAudio interview.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://www.bbc.co.uk/music/artists/3e8bd859-7d82-49e0-b267-84fc46e2bf68"} +{"d:Title": "MTV: David Gray", "d:Description": "Biography, discography, reviews, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David", "url": "http://www.mtv.com/artists/david-gray/"} +{"d:Title": "Guildford Live Festival 2000", "d:Description": "Review of David Gray's performance with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews", "url": "http://www.efestivals.co.uk/festivals/guilfest/2000/review-davidgray.shtml"} +{"d:Title": "Music-Critic.com: Lost Songs 95-98", "d:Description": "Peter Naldrett's review: \"the nine songs and two instrumentals combining to make a beautiful collection of soothing songs, aided by Gray's recognisable voice\". 3.5 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/Lost_Songs_95-98", "url": "http://www.music-critic.com/rock/graydavid_lostsongs.htm"} +{"d:Title": "CLUAS: Lost Songs 95-98", "d:Description": "Niamh Grimes' review: \"Overall 'Lost Songs' is a short, sweet gem of an album, and a real treat for the fans.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/Lost_Songs_95-98", "url": "http://www.cluas.com/music/albums/dgraylostsongs.htm"} +{"d:Title": "Q4music.com: Lost Songs 95-98", "d:Description": "Dan Gennoe's review: \"As touching and beautiful as Lost Songs is, it's David Gray's admirable blind faith that leaves the lasting impression.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/Lost_Songs_95-98", "url": "http://www.q4music.com/nav?page=q4music.review.redirect&fixture_review=117745&resource=117745&fixture_artist=140921"} +{"d:Title": "Guardian Unlimited: David Gray - A New Day at Midnight", "d:Description": "Alexis Petridis's review: \"Intensely personal yet richly melodic, different without breaking new ground.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/New_Day_at_Midnight,_A", "url": "http://www.theguardian.com/music/2002/oct/18/popandrock.artsfeatures"} +{"d:Title": "David Gray: Waits-ing for Fame", "d:Description": "Review of 'Sell, Sell, Sell' by Randee Dawn Cohen of the Boston Phoenix. \"The result is stark, robust tunes that focus not on catchy hooks but on his personality.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/Sell,_Sell,_Sell", "url": "http://bostonphoenix.com/alt1/archive/music/reviews/09-26-96/REX/DAVID_GRAY.html"} +{"d:Title": "Consumable Online: Sell, Sell, Sell", "d:Description": "Reto Koradi's review: \"Bob Dyan would probably give an arm and a leg for still being able to write songs of this class. \"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/Sell,_Sell,_Sell", "url": "http://www.westnet.com/consumable/1996/07.11/revgray.html"} +{"d:Title": "Hybrid Magazine: White Ladder", "d:Description": "Tyler Jacobson's review: \"This is a damn fine album\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/White_Ladder", "url": "http://www.hybridmagazine.com/reviews/1100/dgray.html"} +{"d:Title": "All-Reviews.com", "d:Description": "LarryG's review: \"White Ladder doesn't always reach Babylon's uplifting, stark perfection but it always bares the mark of a thoughtful, genuine and imaginative artist.\" 3 stars out of 4.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/White_Ladder", "url": "http://www.all-reviews.com/music/white-ladder.htm"} +{"d:Title": "Critic Pick: David Gray", "d:Description": "Nicole Pensiero's brief review of White Ladder: \"takes the listener on an intensely personal ride through his often-tortured psyche\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_David/Reviews/White_Ladder", "url": "http://www.citypaper.net/articles/092100/cw.crit.gray.shtml"} +{"d:Title": "All Music Guide: Henry Gray", "d:Description": "Biography, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_Henry", "url": "http://www.allmusic.com/artist/henry-gray-p351"} +{"d:Title": "Official Macy Gray Site", "d:Description": "Chat, news and tour information from Sony Music.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_Macy", "url": "http://www.macygray.com/"} +{"d:Title": "AskMen.com: Macy Gray", "d:Description": "Pictures, profile, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_Macy", "url": "http://www.askmen.com/celebs/women/singer_60/80_macy_gray.html"} +{"d:Title": "MTV: Macy Gray", "d:Description": "Video clips, album reviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_Macy", "url": "http://www.mtv.com/artists/macy-gray/"} +{"d:Title": "HipOnline: Macy Gray", "d:Description": "Features a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_Macy", "url": "http://hiponline.com/1501/macy-gray.html"} +{"d:Title": "Metacritic: Macy Gray: The Id", "d:Description": "Multiple reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gray,_Macy", "url": "http://www.metacritic.com/music/the-id/macy-gray"} +{"d:Title": "Great Big Sea", "d:Description": "Official site includes audio, photos, news and fan forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_Big_Sea", "url": "http://www.greatbigsea.com/"} +{"d:Title": "MTV.com: Great Big Sea", "d:Description": "Includes biography, discography, links, tour dates and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_Big_Sea", "url": "http://www.mtv.com/artists/great-big-sea/"} +{"d:Title": "JamShowbiz: Great Big Sea", "d:Description": "Includes a biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_Big_Sea", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/G/Great_Big_Sea.html"} +{"d:Title": "KNAC.COM: Great White Live in Orlando, FL", "d:Description": "Review of a show by Jack Russell's Great White, by Mike Roy. \"The show went and sounded flawless with the exception of a small crowd.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_White", "url": "http://www.knac.com/article.asp?ArticleID=1653"} +{"d:Title": "Great White News: Topix", "d:Description": "News about Great White continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_White", "url": "http://www.topix.com/who/great-white"} +{"d:Title": "All Music Guide: Great White", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_White", "url": "http://www.allmusic.com/artist/great-white-p4401"} +{"d:Title": "The Smoking Gun: Great White", "d:Description": "Images of the band's rider from their tour.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_White", "url": "http://www.thesmokinggun.com/documents/crime/no-pyrotechnic-mention-great-whites-rider"} +{"d:Title": "MTV.com: Great White", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_White", "url": "http://www.mtv.com/artists/great-white/"} +{"d:Title": "Rick's Great White Page", "d:Description": "Quotes, interviews, photos, rarities, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Great_White/Fan_Pages", "url": "http://www.gillyon.com/greatwhite.htm"} +{"d:Title": "Al Green News: Topix", "d:Description": "News about Al Green continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green,_Al", "url": "http://www.topix.com/who/al-green"} +{"d:Title": "RollingStone.com - Al Green", "d:Description": "Includes a biography, discography, photos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green,_Al", "url": "http://www.rollingstone.com/music/artists/al-green"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green,_Al", "url": "http://rockhall.com/inductees/al-green/"} +{"d:Title": "Back From Brink, Peter Green Plays On", "d:Description": "L.A. Times article on Peter's battle with schizophrenia and return to the stage.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green,_Peter", "url": "http://www.schizophrenia.com/ami/cnsmr/fleetwood.html"} +{"d:Title": "Peter Green's Fleetwood Mac", "d:Description": "Sessionography and discography of the Peter Green era of Fleetwood Mac. From Chrome Oxide Music Collector's Pages.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green,_Peter", "url": "http://www.chromeoxide.com/green.htm"} +{"d:Title": "Peter Green in Waterford", "d:Description": "Interview from Roddy's Irish Music Show.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green,_Peter", "url": "http://www.almostfabulous.com/rc/interviews/petergreen.php"} +{"d:Title": "The Official Lee Greenwood Website", "d:Description": "Includes discography, biography, and information about his theater in Seveirville, Tennessee.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Greenwood,_Lee", "url": "http://www.leegreenwood.com/"} +{"d:Title": "Lee Greenwood News: Topix", "d:Description": "News about Lee Greenwood continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Greenwood,_Lee", "url": "http://www.topix.com/who/lee-greenwood"} +{"d:Title": "Rockmagic.net: Green Day", "d:Description": "Lyrics indexed by album and alphabetically", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://lyrics.rockmagic.net/lyrics/green_day/"} +{"d:Title": "Green Day", "d:Description": "Official site includes news, tour dates, videos and information about the green day idiot club.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.greenday.com/"} +{"d:Title": "Entertainment Ave: Green Day", "d:Description": "Review of a concert at the Aragon ballroom in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/g/green_day/gd061901.htm"} +{"d:Title": "Green Day", "d:Description": "Includes biography, lyrics, picture gallery, discography, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://greendayweb.yaia.com/"} +{"d:Title": "Azlyrics.com: Green Day", "d:Description": "Includes lyrics for all green day songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.azlyrics.com/g/greenday.html"} +{"d:Title": "Xguitar.com: Green Day", "d:Description": "Includes Guitar and bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.xguitar.com/guitar-tabs/green_day/"} +{"d:Title": "Rockmagic.net: Green Day", "d:Description": "Includes Guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.rockmagic.net/guitar-tabs/green-day/"} +{"d:Title": "Warning: Green Day", "d:Description": "Includes biographies, lyrics and guitar information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://guitar.about.com/library/weekly/aa101000a.htm"} +{"d:Title": "Wikipedia.org: Green Day", "d:Description": "Includes discography, lyrics, albums, samples, sounds and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://en.wikipedia.org/wiki/Green_Day"} +{"d:Title": "Greendaymusic.com", "d:Description": "Includes news, biography, ring tones and band updates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.greendaymusic.com/"} +{"d:Title": "Lyricsfreak.com: Green Day Lyrics", "d:Description": "Includes Green Day lyrics, albums and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.lyricsfreak.com/g/green+day/"} +{"d:Title": "Green Day News: Topix", "d:Description": "News updated from sources around the internet.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.topix.com/who/green-day"} +{"d:Title": "LyricsFind.com: Green Day", "d:Description": "Includes Green Day album lyrics, information and merchandise", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.lyricsfind.com/g/green-day/"} +{"d:Title": "RollingStone.com: Green Day", "d:Description": "Includes a biography, discography, photos, articles, and audio/video files.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.rollingstone.com/music/artists/green-day"} +{"d:Title": "Launch.com: Green Day", "d:Description": "Includes biographies, videos, photos, discography, news, reviews and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://new.music.yahoo.com/green-day/"} +{"d:Title": "Allmusic: Green Day", "d:Description": "Includes biography, discography, and lyrics to songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.allmusic.com/artist/p69310"} +{"d:Title": "MTV: Green Day", "d:Description": "Video clips, album reviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.mtv.com/artists/green-day/"} +{"d:Title": "Lyrics.com: Green Day", "d:Description": "Includes albums, release times, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.lyrics.com/greenday"} +{"d:Title": "Songsterr: Green Day Tablature", "d:Description": "Includes tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day", "url": "http://www.songsterr.com/a/wsa/green-day-tabs-a31?inst=bass"} +{"d:Title": "409 Online", "d:Description": "Site has official news and affiliations with the band, information, media, and interaction.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.greenday.net/"} +{"d:Title": "Palace of Pasalacqua", "d:Description": "Includes a biography, discography, quotes, articles, sound files and a fan list.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://members.tripod.com/~xodour_1/"} +{"d:Title": "The Green Day Project", "d:Description": "Biographies, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/band/greendayrulz/"} +{"d:Title": "GreenDay182", "d:Description": "Plenty of information and multimedia, along with comparisons of the bands Green Day and Blink-182.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/pq/green182/"} +{"d:Title": "Matt's Green Day Page", "d:Description": "Images, media, chat, a forum, lyrics, tablatures, sound clips, information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.mattsmusicpage.com/ngreenday.htm"} +{"d:Title": "The Green Day Authority", "d:Description": "Fansite features thousands of pictures, news, and media downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.greendayauthority.com/"} +{"d:Title": "All the Songs Have Been Erased", "d:Description": "Lyrics, pictures, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/ca2/GreenDayGirl/"} +{"d:Title": "Nation of Nimrods", "d:Description": "Green Day club which also has pictures, news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/ga/tcbjmd/index.html"} +{"d:Title": "I Dream in Green", "d:Description": "A band tribute with pictures, lyrics, discography, polls and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/myband/green86/"} +{"d:Title": "Green Day", "d:Description": "Fan site offers information, lyrics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/yt2/greenday/"} +{"d:Title": "Strangeland", "d:Description": "Fun content including a band quiz, amusing sound clips, videos, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/sk/strangeland/"} +{"d:Title": "Better Thank Your Lucky Stars", "d:Description": "Rare pictures, voting booth, ultimate quiz, quotes, and a wall of nimrods.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/punk2/staypunkstayfree/greenday.html"} +{"d:Title": "Hitchin' A Ride With Green Day", "d:Description": "Images, biographical information, polls, and original creative content.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/rock2/greendaypunk/"} +{"d:Title": "GDUK", "d:Description": "Detailed biographies and information, tour dates, news and a large resource of merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://gduk0.tripod.com/"} +{"d:Title": "Nimrod: Another Green Day Site", "d:Description": "Biographies, guitar tablatures, a poll, and links to videos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/punk3/thenasty/green_day.html"} +{"d:Title": "Punk Rock Mike's Green Day Page", "d:Description": "Monthly poll, pictures, biographies, news, and police reports.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/band2/greendaysk8/"} +{"d:Title": "Best Thing In Town", "d:Description": "Includes news, tour dates, TV schedule, a biography, discography, videography, quotes, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/fl5/greenday/"} +{"d:Title": "Green Day: A Green Day Website", "d:Description": "Facts, equipment information, song list and concert setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.angelfire.com/punk2/greendayband/index.html"} +{"d:Title": "GeekStinkBreath.net - Green Day Fan Site", "d:Description": "Site with Green Day games, stories, dictionary and a lot of other original content.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://geekstinkbreath.net/"} +{"d:Title": "GreenDayVideos.com", "d:Description": "Exclusive videos of concerts and TV appearances from 1989 to present, free to download.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://www.greendayvideos.com/"} +{"d:Title": "Christy's Road", "d:Description": "Extensive discography including b-sides and cover art, lyrics, band photos, links, polls and writeups.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Fan_Pages", "url": "http://guitargrl22.tripod.com/greenday1.html"} +{"d:Title": "Rock Lyrics: Green Day", "d:Description": "Band lyrics sorted alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/green_day/"} +{"d:Title": "Green Day lyrics", "d:Description": "Lyrics for every song ever recorded.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Green_Day/Lyrics", "url": "http://www.songs-lyrics.net/artist-lyrics/BC00C5299DC0F7F829/Green-Day-lyrics.html"} +{"d:Title": "Ricky Lynn Gregg (Official Website)", "d:Description": "Features biography, discography, news, booking and fan club information, music and video clips, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gregg,_Ricky_Lynn", "url": "http://www.rickylynngregg.com/"} +{"d:Title": "Patty Griffin", "d:Description": "Official site with news, biography, audio and video clips, tour dates, links, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffin,_Patty", "url": "http://www.pattygriffin.com/"} +{"d:Title": "Patty Griffin Guitar Tab Archives", "d:Description": "Tablature for most of her songs including unreleased material and covers. Plus images.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffin,_Patty", "url": "http://members.tripod.com/~Lifey/patty.html"} +{"d:Title": "Watch Her Fly", "d:Description": "Homepage of Mad-Mission, the Patty Griffin mailing list. With news, tour information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffin,_Patty", "url": "http://www.quackquack.net/pattyg/"} +{"d:Title": "Flaming Red", "d:Description": "A Patty Griffin web site with news, lyrics, press quotes, reviews, photos, and performance chronology.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffin,_Patty", "url": "http://members.tripod.com/PattyGriffin/"} +{"d:Title": "Yahoo Clubs: Patty Griffin", "d:Description": "A fan club with a message board, a chat room, photo albums, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffin,_Patty", "url": "http://groups.yahoo.com/group/pattygriffin/"} +{"d:Title": "Austin Chronicle Music, The: Patty Griffin", "d:Description": "1,000 Musical Kisses, article by Dave Marsh. (May 17, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffin,_Patty", "url": "http://www.austinchronicle.com/music/2002-05-17/86593/"} +{"d:Title": "Blue Moon", "d:Description": "Fan page gathers biography, articles, interviews, and links. Includes an archive of NanciNet messages.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffith,_Nanci", "url": "http://www.brisbin.net/Nanci/nanci.html"} +{"d:Title": "Nanci Griffith Discography", "d:Description": "Discography of all known albums and songs by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffith,_Nanci", "url": "http://www.grantguerrero.com/nanci.html"} +{"d:Title": "Nanci Griffith Guitar Chords", "d:Description": "Guitar chords and tablature for some of the singer's better-known songs.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffith,_Nanci", "url": "http://adamschneider.net/music/ng/"} +{"d:Title": "ArtistDirect: Nanci Griffith", "d:Description": "Features photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffith,_Nanci", "url": "http://www.artistdirect.com/artist/nanci-griffith/438658"} +{"d:Title": "All Music Guide: Nanci Griffith", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Griffith,_Nanci", "url": "http://www.allmusic.com/artist/nanci-griffith-p1638"} +{"d:Title": "Official Grinspoon Web Site", "d:Description": "News, gig dates, history and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grinspoon", "url": "http://www.grinspoon.com.au/"} +{"d:Title": "MTV.com: Grinspoon", "d:Description": "Sound files, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Grinspoon", "url": "http://www.mtv.com/artists/grinspoon/"} +{"d:Title": "Josh Groban", "d:Description": "Official site features news, blog, biography, album information, lyrics, videos, pictures, tour dates, message board and the Friends of Josh Groban fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh", "url": "http://www.joshgroban.com/"} +{"d:Title": "AskMen.com - Josh Groban", "d:Description": "Pictures, up-to-date commentary, and in-depth biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh", "url": "http://www.askmen.com/celebs/men/entertainment_100/112_josh_groban.html"} +{"d:Title": "Evening at Pops 2002: Biographies: Josh Groban", "d:Description": "Provides a brief biography of the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Articles_and_Interviews", "url": "http://www.pbs.org/wgbh/pops/background/bios/groban.html"} +{"d:Title": "Lindzi.com's Interview", "d:Description": "Josh discusses working with Celine Dion, his \"Ally McBeal\" appearances, and his single, \"To Where You Are.\"", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Articles_and_Interviews", "url": "http://www.lindzi.com/interviews/josh.htm"} +{"d:Title": "Josh Groban Group on Yahoo", "d:Description": "Fan based Yahoo! Group with a message board, files and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Chats_and_Forums", "url": "http://groups.yahoo.com/group/joshgrobangroup/"} +{"d:Title": "Josh Groban Chat Room", "d:Description": "An AOL chat room for fans of Josh. Can be accessed by AOL or AIM users. 3 chatrooms. Includes HTML code to add the chat room to your own web site.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Chats_and_Forums", "url": "http://www.welovesarah.com/josh/chat.html"} +{"d:Title": "Josh Groban", "d:Description": "Discussions include his appearances, his music, and a section for new fans to discuss how they discovered Josh.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Chats_and_Forums", "url": "http://joshgroban.yuku.com/"} +{"d:Title": "Simply Josh", "d:Description": "Elaine Brennan presents a fan's perspective on Josh Groban. Includes access to chat, song lyrics, and links to other fan pages.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Fan_Pages", "url": "http://joshgroban.8m.com/"} +{"d:Title": "Josh Groban Media page", "d:Description": "Includes video and audio files from past performances and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Fan_Pages", "url": "http://www.welovesarah.com/josh/"} +{"d:Title": "Jos van Geffen's Josh Groban page", "d:Description": "Describes the first time the author heard Josh sing in concert, and talks a bit about Josh in general. Includes a track list of Josh's CD, and links to other resources.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Fan_Pages", "url": "http://www.xs4all.nl/~josvg/cits/josh.html"} +{"d:Title": "Josh Groban Empire", "d:Description": "Includes pictures, a short biography, fan fiction, and author's biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Fan_Pages", "url": "http://www.angelfire.com/empire/joshgroban/"} +{"d:Title": "Jax's Josh Groban Admiration Site", "d:Description": "Includes news, appearance updates, and a personal encounter story.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Fan_Pages", "url": "http://members.tripod.com/~Jacie_Lynn/JoshGroban.html"} +{"d:Title": "Josh Groban Web Graphics", "d:Description": "Offers a collection of collages and background web graphics featuring photos of Josh Groban.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Fan_Pages", "url": "http://joshgrobangraphics.homestead.com/index.html"} +{"d:Title": "AZLyrics: Josh Groban", "d:Description": "Lyrics to Josh's debut CD.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Groban,_Josh/Lyrics", "url": "http://www.azlyrics.com/g/groban.html"} +{"d:Title": "The End", "d:Description": "An Emm Gryner fan site with discography, reviews and articles, biography, and a survey.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gryner,_Emm", "url": "http://members.tripod.com/emmgryner/"} +{"d:Title": "One for the Big Mind", "d:Description": "An Emm Gryner fan site with tour dates, pictures, discography, lyrics, and a webring.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gryner,_Emm", "url": "http://www.angelfire.com/ne/emmgryner/"} +{"d:Title": "Proud Like A God - The Guano Apes", "d:Description": "News, discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guano_Apes", "url": "http://www.angelfire.com/rock/guanoapes/"} +{"d:Title": "Guano Apes", "d:Description": "The official site of the band with news, articles, discography, audio/video clips, photos, downloads and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guano_Apes", "url": "http://www.guanoapes.com/"} +{"d:Title": "Juan Luis Guerra: Tropical Music Superstar", "d:Description": "Interview in the Berklee College of Music alumni magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guerra,_Juan_Luis", "url": "http://www.berklee.edu/bt/171/coverstory.html"} +{"d:Title": "Juan Luis Guerra - Ojala Que Llueva Cafe", "d:Description": "YouTube video of \"Let It Rain Coffee\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guerra,_Juan_Luis", "url": "http://www.youtube.com/watch?v=uJimpth-yNs"} +{"d:Title": "Wilson&Alroy's Record Reviews", "d:Description": "Brief reviews and ratings of nine Guerra albums.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guerra,_Juan_Luis", "url": "http://www.warr.org/guerra.html"} +{"d:Title": "All Music Guide: Juan Luis Guerra", "d:Description": "Brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guerra,_Juan_Luis", "url": "http://www.allmusic.com/artist/juan-luis-guerra-mn0000291502"} +{"d:Title": "Ojala Que Llueva Cafe", "d:Description": "English and Spanish lyrics of \"Let It Rain Coffee\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guerra,_Juan_Luis", "url": "http://www.colby.edu/~bknelson/SLC/ojala/index.html"} +{"d:Title": "Guerra's Music Mirrors Dominican Republic", "d:Description": "Miami Herald article discussing Guerra's career path and social message.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guerra,_Juan_Luis", "url": "http://www.colby.edu/~bknelson/SLC/ojala/jlg.html"} +{"d:Title": "Life's Sweet Sound", "d:Description": "Includes lyrics, quotes, pictures, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gufs,_The", "url": "http://www.angelfire.com/wi/lifessweetsound/"} +{"d:Title": "Entertainment Ave: The Gufs", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gufs,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/g/gufs/gu101796.htm"} +{"d:Title": "Guided By Voices", "d:Description": "The official site for the band, offering MP3 and wav files of GbV studio and live recordings, information on associated members' projects, photo albums, tour dates, and the latest GbV and Fading Captain series news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://gbv.com/"} +{"d:Title": "Guided By Robert Pollard", "d:Description": "An alternative to the official GbV site, with a separate photos section, an interview by John Chandler of Puncture magazine with Bob Pollard, and a \"Guided by Doug Gillard\" subsection with info on the guitarist/songwriter's other band experiences.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.guidedbyrobertpollard.com/"} +{"d:Title": "The War Against Silence #13", "d:Description": "TWAS, a weekly music review website, looks at 15 albums, from \"Sandbox\" to \"Alien Lanes\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.furia.com/twas/twas0013.html"} +{"d:Title": "Rockathon Records", "d:Description": "Homepage of the independent label releasing most non-Matador/TVT Guided-by-Voices-related material, including some Greg Demos material.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.rockathonrecords.com/"} +{"d:Title": "Matador Records", "d:Description": "The Matador records website for Guided by Voices, the label releasing all material up to 1997 and since 2002 for the band. Includes contest, recording, merchandise and tour info.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.matadorrecords.com/guided_by_voices/"} +{"d:Title": "Soul Shine Magazine : Time To Say Goodbye To Guided By Voices", "d:Description": "Canadian magazine talks about GbV's reported end.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://soulshine.ca/news/newsarticle.php?nid=510"} +{"d:Title": "GBVDB - Guided By Voices Database", "d:Description": "Searchable GBV discography and gigography site including side projects. Contains detailed release and song version info, images, credits, setlists, Propeller Art Gallery and other features.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.gbvdb.com/"} +{"d:Title": "Boston Phoenix", "d:Description": "Boston's self-proclaimed \"Alternative Source for Arts and Entertainment\" offers Jon Garelick's take on the band's last show in Beantown.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.bostonphoenix.com/boston/music/live/documents/04123777.asp"} +{"d:Title": "Guided by Voices News: Topix", "d:Description": "News about Guided by Voices continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.topix.com/who/guided-by-voices"} +{"d:Title": "Drowned in Sound", "d:Description": "Alex Wisgard pens a very favorable review of \"Half Smiles\" for drownedinsound.com.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://drownedinsound.com/releases/3777/reviews/10249"} +{"d:Title": "Pitchfork", "d:Description": "Eric Carr's extensive review of the latest from GbV, Earthquake Glue. (Aug. 25, 2003)", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://pitchfork.com/reviews/albums/3595-earthquake-glue/"} +{"d:Title": "Pitchfork: Half Smiles of the Decomposed", "d:Description": "Rob Mitchum gives his extensive take on GbV's final offering as well as dissecting Robert Pollard's career. (Aug. 24, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://pitchfork.com/reviews/albums/3599-half-smiles-of-the-decomposed/"} +{"d:Title": "Robert Pollard and His Soft Rock Renegades: Choreographed Man of War: Pitchfork Review", "d:Description": "Pitchfork review of the latest Rockathon/Recordhead release by Robert Pollard, featuring the former GbV mainstays Greg Demos and Jim Macpherson. Review by Matt LeMay, who gives the effort a 7.4.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://pitchfork.com/reviews/albums/6380-choreographed-man-of-war/"} +{"d:Title": "Salon: Sharps and Flats", "d:Description": "A review of the Matador release \"Mag Earwhig\" by Mark Athitakis. Includes an .aiff version of \"Bulldog Skin\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices", "url": "http://www.salon.com/1997/06/19/sharps_38/"} +{"d:Title": "Tom 7's Do-It-Yrself Guided By Voices Cover Band", "d:Description": "Solo recordings of Guided By Voices covers in MP3 format. Highlights include \"14 Cheerleader Coldfront\" and \"Cut-out Witch\".", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guided_by_Voices/Tribute_Bands", "url": "http://www.cs.cmu.edu/~tom7/gbgbv/"} +{"d:Title": "We Ain't Dead Yet Tablature Site", "d:Description": "Includes guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses", "url": "http://home.swipnet.se/~w-52838/guitar.html"} +{"d:Title": "RockMagic.net Tablatures", "d:Description": "Includes guitar tablature, bass tablature and guitar chords.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses", "url": "http://www.rockmagic.net/guitar-tabs/guns-n-roses/"} +{"d:Title": "ArtistDirect: Guns N' Roses", "d:Description": "Includes biography, sound files, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses", "url": "http://www.artistdirect.com/artist/guns-n-roses/439480"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Guns n' Roses", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses", "url": "http://sfloman.com/gunsnroses.html"} +{"d:Title": "Official Guns N' Roses Site", "d:Description": "Includes news and information on Chinese Democracy, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses", "url": "http://gunsnroses.com/"} +{"d:Title": "MTV: Guns 'N Roses", "d:Description": "Includes music video clips, album reviews, and MTV Online Web Exclusives.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses", "url": "http://www.mtv.com/artists/guns-n-roses/"} +{"d:Title": "Critical Solution", "d:Description": "Includes lyrics, pictures, MIDI files, clippings, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://www.critical-solution.com/"} +{"d:Title": "Right Next Door To Hell", "d:Description": "Includes discography, guitar tablature, and a profile of Slash.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://zackdlrocha29.tripod.com/metalmayhem/index.html"} +{"d:Title": "MYGNR", "d:Description": "Includes news, tour dates, pictures, desktop themes, MIDI files, audio files, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://www.mygnr.com/"} +{"d:Title": "Here Today... Gone To Hell", "d:Description": "Includes news, tour dates, biography, discography, pictures, audio clips, links and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://www.heretodaygonetohell.com/"} +{"d:Title": "Appetite for Gun's N' Roses", "d:Description": "Includes, discography, history and lyrics pages.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://homepage.eircom.net/~sebulbac/gnr"} +{"d:Title": "The New Guns N' Roses!", "d:Description": "Includes news, pictures, interviews, video clips, audio clips, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://www.newgnr.com/"} +{"d:Title": "Guns N' Roses New Members", "d:Description": "Includes band member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://gnrclub.tripod.com/"} +{"d:Title": "Guns N' Roses Lies", "d:Description": "Includes news, tour dates, pictures, guitar tablature, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://www.gnrlies.com/"} +{"d:Title": "Guns N' Roses Fans", "d:Description": "Blog and fan forum.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Fan_Pages", "url": "http://www.gunsnrosesfans.com/"} +{"d:Title": "Guns N' Roses Lyrics", "d:Description": "Includes lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/guns_n_roses/"} +{"d:Title": "Rocket Queens", "d:Description": "Guns n'roses tribute band based in North California.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guns_N'_Roses/Tribute_Bands", "url": "http://www.rocketqueens.com/"} +{"d:Title": "Discography of Gun Club Releases Featuring Kid Congo Powers", "d:Description": "Section of a Kid Congo discography with information about his recordings with The Gun Club.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gun_Club,_The", "url": "http://home.iae.nl/users/maes/cave/seeds/kidgc.html"} +{"d:Title": "Guster", "d:Description": "Official page for the acoustic-pop threesome. Features band history, member biographies, tour dates, multimedia, weblogs by the band, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guster", "url": "http://www.guster.com/"} +{"d:Title": "Guster.net", "d:Description": "Fan site includes lyrics, photos, set lists, show reviews, tablature, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guster", "url": "http://www.guster.net/"} +{"d:Title": "Dissolve", "d:Description": "Band biography, interview with drummer Brian Rosenworcel, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guster", "url": "http://guster.4t.com/"} +{"d:Title": "Guster News: Topix", "d:Description": "News about Guster continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guster", "url": "http://www.topix.com/who/guster"} +{"d:Title": "Gusterography", "d:Description": "Guide to all albums released by the band, including pre-Guster solo projects, singles, foreign releases, and demos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guster", "url": "http://www.vividgreen.net/guster/"} +{"d:Title": "Gus Gus", "d:Description": "Official site includes biography, MP3 audio clips, image gallery, and contact details. [IE only]", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gus_Gus", "url": "http://www.gusgus.com/"} +{"d:Title": "Gus Gus", "d:Description": "A detailed discography from the Eyesore Database.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gus_Gus", "url": "http://quimby.gnus.org/html/group/gusgus.html"} +{"d:Title": "MTV.com: Gus Gus", "d:Description": "News, audio and video clips, biography, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gus_Gus", "url": "http://www.mtv.com/artists/gusgus-00/"} +{"d:Title": "Contactmusic.com: Gus Gus \"Call of the Wild\"", "d:Description": "Review of the single.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Gus_Gus", "url": "http://www.contactmusic.com/gus-gus/music/gusgusx30x06x03"} +{"d:Title": "Arlo.Net", "d:Description": "Arlo Guthrie's official home page, with biography, concert listings, complete collection of lyrics, song samples, message boards, pictures, discography, tablature, and other news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Arlo", "url": "http://arlo.net/"} +{"d:Title": "Guthrie Center", "d:Description": "Not-for-profit interfaith foundation established by Guthrie in the former church in Stockbridge, Massachusetts, featured in the song 'Alice's Restaurant.' Photos, program information, calendar of concerts and other events, and other topics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Arlo", "url": "http://www.guthriecenter.org/"} +{"d:Title": "IMDb: Arlo Guthrie", "d:Description": "Filmography, biography, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Arlo", "url": "http://www.imdb.com/name/nm0349241/"} +{"d:Title": "Woody Guthrie and the Archive of American Folk Song: Correspondence, 1940-1950", "d:Description": "Letters between Woody Guthrie and staff of the Archive of American Folk Song at the Library of Congress.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://memory.loc.gov/ammem/wwghtml/"} +{"d:Title": "Woody Guthrie Free Folk Festival", "d:Description": "An Oklahoma folk celebration of its favorite native son. Includes event schedule, list of performers, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://www.woodyguthrie.com/"} +{"d:Title": "FolkLib Index for Woody Guthrie", "d:Description": "Index of links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://www.folklib.net/index/g/guth_woody.shtml"} +{"d:Title": "Man in The Sand - A Talk with Nora Guthrie", "d:Description": "An interview with Woody Guthrie's daughter on his life and music, and the Mermaid Avenue/Man in the Sand project.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://www.dvdtalk.com/noraguthrieinterview.html"} +{"d:Title": "The Woody Guthrie Foundation and Archives.", "d:Description": "Established to preserve and perpetuate the legacy of the folk musician and artist. Biography, discography, research materials, curriculum suggestions, organization information, news of special events, online shopping, and other topics.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://www.woodyguthrie.org/"} +{"d:Title": "Woody Guthrie News: Topix", "d:Description": "News about Woody Guthrie continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://www.topix.com/who/woody-guthrie"} +{"d:Title": "RollingStone.com: Woody Guthrie", "d:Description": "Includes a biography, discography, photos, articles, audio files, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://www.rollingstone.com/music/artists/woody-guthrie"} +{"d:Title": "Rock and Roll Hall of Fame: Woody Gutnrie", "d:Description": "Biography, career highlights and discography for the 1988 inductee.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://rockhall.com/inductees/woody-guthrie"} +{"d:Title": "Bound For Glory: The Life and Times of Woody Guthrie", "d:Description": "The Museum of Musical Instruments presents a virtual documentary exhibition devoted to Woody Guthrie, one of America's most-loved folk heroes. Offers an interactive exhibition that integrates history, music, photographs, essays, poems, letters and drawing.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthrie,_Woody", "url": "http://www.themomi.org/museum/Guthrie/index.html"} +{"d:Title": "Bruce Guthro", "d:Description": "Official site includes biography, lyrics, news, photos, and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthro,_Bruce", "url": "http://www.bruceguthro.com/"} +{"d:Title": "Bruce Guthro Fans", "d:Description": "Mailing list information.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guthro,_Bruce", "url": "http://groups.yahoo.com/group/guthrofans/"} +{"d:Title": "Official Guttermouth Page", "d:Description": "The official Guttermouth website.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guttermouth", "url": "http://www.xxx-guttermouth-xxx.com/"} +{"d:Title": "A Guy Called Gerald Unofficial Web Page", "d:Description": "Offers a biography, news and live dates.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guy_Called_Gerald,_A", "url": "http://homepages.force9.net/king1/"} +{"d:Title": "Discogs: A Guy Called Gerald", "d:Description": "Discography for Gerald Simpson.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guy_Called_Gerald,_A", "url": "http://www.discogs.com/artist/A+Guy+Called+Gerald"} +{"d:Title": "A Guy Called Gerald Official Website", "d:Description": "Includes discography, biography, gig dates, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/G/Guy_Called_Gerald,_A", "url": "http://www.guycalledgerald.com/"} +{"d:Title": "The GWAR Forum", "d:Description": "The official GWAR website, featuring tour dates, member biographies, art, news and trivia. Official GWAR merchandise is available for purchase online.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.gwar.net/"} +{"d:Title": "Larry's Gwar Page", "d:Description": "Gwar site with tablature, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://members.tripod.com/~Nitroskate/"} +{"d:Title": "Rockmagic.net: GWAR", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://lyrics.rockmagic.net/lyrics/gwar/"} +{"d:Title": "Gwar MP3s", "d:Description": "MP3 files of the shock rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.angelfire.com/dc/gwar/frames.html"} +{"d:Title": "Entertainment Ave: GWAR Review", "d:Description": "Review of a concert at The Vic Theatre in Chicago, Illinois. With photos.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/g/gwar/gw102900.htm"} +{"d:Title": "Entertainment Ave: GWAR", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/g/gwar/gw103196.htm"} +{"d:Title": "GWAR FUQ", "d:Description": "Frequently unasked questions about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.fiestafestwrestling.com/gwarfuq/"} +{"d:Title": "ArtistDirect: GWAR", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.artistdirect.com/artist/gwar/439678"} +{"d:Title": "Metalblade Records: GWAR", "d:Description": "Profile, discography, and sound files from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.metalblade.com/english/artists/gwar/bio.php"} +{"d:Title": "Seventh Realm Reviews Gwar", "d:Description": "Review of \"Scumdogs Of The Universe\" rated 5 stars out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.angelfire.com/planet/fallenempiretrendy/gwar.html"} +{"d:Title": "MTV: GWAR", "d:Description": "Includes news, biography, links, real audio clips, and music videos in real video.", "topic": "Top/Arts/Music/Bands_and_Artists/G/GWAR", "url": "http://www.mtv.com/artists/gwar/"} +{"d:Title": "Halfdan E", "d:Description": "Danish composer, site features music player interface with sample music, contact information, downloadable CV and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.halfdane.dk/"} +{"d:Title": "Horta, Lu", "d:Description": "Brasilian singer and composer. Biography, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.luhorta.com/"} +{"d:Title": "Half Past Four", "d:Description": "An innovative progressive rock band based in Toronto. Lists upcoming shows with video and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.halfpastfour.com/"} +{"d:Title": "Hamsters, The", "d:Description": "UK Blues-Rock band fast approaching their 3000th gig. They play Hendrix and ZZ Top so close to the original you'll wonder why they have a Southend accent", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehamsters.co.uk/"} +{"d:Title": "Harrison, Wendy", "d:Description": "Steel drum player, available as soloist or with band. Includes sound samples, biography, gig calendar, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.steeldrumband.net/harrison/"} +{"d:Title": "Hex", "d:Description": "Franco-American fusion music trio. Member profiles, lyrics, and audio samples. [English and French]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hexmusic.free.fr/"} +{"d:Title": "Hoffmann, Andi and B-Goes", "d:Description": "Roots-Rock from New Orleans. Features photos, tour dates, music files, video clips, reviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.bgoes.com/"} +{"d:Title": "Hate Crime", "d:Description": "South Florida anti-racist grindcore band. Images, profiles, lyrics, links, and show list.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/fl3/syn2/"} +{"d:Title": "Heaven's Daemon", "d:Description": "Photos and lyrics for the solo artist from Newport, NH.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://homepage.nhvt.net/drgnmstr/"} +{"d:Title": "Hollywood Joe", "d:Description": "Singer and guitarist. Contains biography, audio samples, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hollywoodjoe.com/"} +{"d:Title": "Hello Bert", "d:Description": "History and lyrics for the ska/rock/punk band from the suburbs of Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/il2/hellobert/"} +{"d:Title": "Hawk Project, The", "d:Description": "Traditional Native American music and instruments combined with jazz and free improvisation. Profiles and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hawkproject.com/"} +{"d:Title": "Horacio", "d:Description": "\"El Negro\" has earned a strong reputation as one of the most powerful and versatile players in the current musical scene.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.elnegro.com/"} +{"d:Title": "Honeyboy Turner Band, The", "d:Description": "The site of the Lincoln, Nebraska-based band playing traditional, contemporary and original blues includes biographies, reviews, tour schedule, photos, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.jukehouse.com/"} +{"d:Title": "Hodge, Bobby", "d:Description": "Photos and biography for the former Grand Ole Opry singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://bobbyhodge.homestead.com/"} +{"d:Title": "Hipster Daddy-O and the Handgrenades", "d:Description": "Features information, audio, schedule, photos and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hipsterdaddyo.com/"} +{"d:Title": "Henderson, Scott", "d:Description": "The home page of the Tribal Tech guitarist. Contains reviews, interview, biography, news, shopping, tour dates, and equipment information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.scotthenderson.net/"} +{"d:Title": "Henry's Eight", "d:Description": "An all-male, a cappella vocal ensemble specialising in early and contemporary music.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=henryseight"} +{"d:Title": "Hammercocks, The", "d:Description": "Official site includes history, gig dates, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hammercocks.com/"} +{"d:Title": "Hyannis Sound, The", "d:Description": "Cape Cod, MA all-male a cappella ensemble. Perform in backyards, cobblestone streets, fine dining establishments, weddings and stadiums.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hyannissound.com/"} +{"d:Title": "Hate Machine", "d:Description": "Biographies, photos, show dates, and news for the Rochester, New York based band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/in2/HateMachine/index.html"} +{"d:Title": "Headboard", "d:Description": "Download mp3s and video of the Northern California indie rock-rap band, find tour dates, biographies and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.headboard.com/"} +{"d:Title": "Hypocrisy", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://lyrics.rockmagic.net/lyrics/hypocrisy/"} +{"d:Title": "Herbal Cure", "d:Description": "Olympia, Washington based band. Contains news, discography, audio samples, biography, pictures, a message board, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://herbalcure.8m.com/"} +{"d:Title": "Hale, Ezra", "d:Description": "Site is dedicated to the music of Ezra Hale indie musician from Minneapolis Minnesota.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.ezra.com/"} +{"d:Title": "Hallway", "d:Description": "Official Website. Information, photos, concerts, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hallway.de/"} +{"d:Title": "Hotpoint", "d:Description": "Schedule, profiles, discography, and reviews for the dance band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hotpointstringband.com/"} +{"d:Title": "Her, Lee", "d:Description": "Pop/rock blend of Christian music with a touch of Hmong culture.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.leeher.com/"} +{"d:Title": "Hardin, Tim", "d:Description": "Memorial site to the 60s era folk-rock singer/songwriter. Biography, CD information and ordering, links to discography and songbook.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.zipcon.net/~highroad/hardin.htm"} +{"d:Title": "Headmess", "d:Description": "Melbourne rock band. Includes upcoming gig dates, photos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/myband/headmess/"} +{"d:Title": "Habituals, The", "d:Description": "Gainesville, Florida-based band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/fl2/habituals/"} +{"d:Title": "Hargis, Dave", "d:Description": "Southern country-rock artist. Provides a biography, reviews and music clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.davehargis.com/"} +{"d:Title": "Hiromu Hidaka", "d:Description": "Lots of MIDI files of his Piano music.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.interq.or.jp/www-user/altomu/52.html"} +{"d:Title": "Hi-Fi Youth", "d:Description": "Punk band from Rockford, IL. Profiles and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/punk/hifiyouth/"} +{"d:Title": "Hopkin, Mary", "d:Description": "Includes news, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hopkin.is.nl/"} +{"d:Title": "Horny Hogs", "d:Description": "Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.sjoki.uta.fi/~latvis/yhtyeet/horny.html"} +{"d:Title": "Hidden Sacrafice", "d:Description": "A new hardcore/political band from Coatesville, PA. Band information, lyrics, pictures, and show information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hiddensacrafice.iwarp.com/"} +{"d:Title": "Hummer", "d:Description": "With listing of national tour schedule, reviews of CD \"Premium\" and shows, pictures, fan email, band merchandise and used audio and recording gear for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hummertheband.com/"} +{"d:Title": "Hardin, Dave", "d:Description": "News, sound files, gig dates, and reviews for the singer/songwriter based in Tampa, Florida.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.vibemusic.com/"} +{"d:Title": "Hoffmann, Nicole", "d:Description": "Biography, photos and MP3 files from the folk-pop singer, songwriter and musician.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/music/nicolehoffmann/"} +{"d:Title": "House Without Neighbors, A", "d:Description": "Modern rock duo from Chattanooga, Tennessee. Includes member profiles and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/band/ahousewoneighbors/"} +{"d:Title": "Hanson, Paul", "d:Description": "A Bay Area musician and composer who is known for his unique Jazz bassoon playing. Music, information, and performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.jazzbassoon.com/"} +{"d:Title": "Hades", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://lyrics.rockmagic.net/lyrics/hades/"} +{"d:Title": "Hudson Mack", "d:Description": "News, photos and audio samples for the Victoria, British Columbia punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hudsonmack.tripod.com/"} +{"d:Title": "Head, Angie", "d:Description": "An independent singer/songwriter and acoustic guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angiehead.com/"} +{"d:Title": "Hot Response", "d:Description": "An artistic rock band from Texas. Band information, scheduled gigs, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/yt/HotResponse/index.html"} +{"d:Title": "Horde of Worms", "d:Description": "Canadian black/grind/death metal band. Biography and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://members.tripod.com/~WORM_3/index.html"} +{"d:Title": "Happenings, The", "d:Description": "Hits include \"See you in September\", \"Go Away Little Girl\" and \"I Got Rhythm\". Includes tour dates, discography, biography, polls, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehappenings.com/"} +{"d:Title": "HumansBeing", "d:Description": "Boston metal group. Images, links, news, discography, reviews and show listings.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.valatka.com/hb"} +{"d:Title": "Hess", "d:Description": "Member profiles, audio samples, interviews and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hess.4t.com/"} +{"d:Title": "Herum", "d:Description": "A Houston based band. Band information, links, photos, and sample songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/nb/herum/"} +{"d:Title": "Hensley, Sam", "d:Description": "A Greensboro NC acoustic singer/songwriter. Tour dates, CD ordering, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/nc/samhensley/"} +{"d:Title": "Hirschelman, Evan", "d:Description": "Guitarist and composer, performs in many styles including ambient, classical, jazz, and metal. Profile, sound files, pictures, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://theguitarist.net/"} +{"d:Title": "Harmon, Sally", "d:Description": "Music samples of all of her recordings. Interview, and schedule of engagements.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.sallyharmon.com/"} +{"d:Title": "Hellblinki Sextet, The", "d:Description": "Exploring the dark and beautiful rhythms of life with an unearthly mix of blues, pirate songs and calypso.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hellblinki.com/"} +{"d:Title": "Harper, Rich Blues Band", "d:Description": "With song samples and order information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.richharper.com/"} +{"d:Title": "Hansome Pete", "d:Description": "Philadelphia, Pennsylvania based band. Contains biographies and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/band/hansomepetewelcome/"} +{"d:Title": "Honest Bob and the Factory-to-Dealer Incentives", "d:Description": "Boston based band. Includes lyrics, photo gallery, show dates, CD information, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.dfan.org/honestbob/"} +{"d:Title": "Hamilton V, George", "d:Description": "Official site for George and his band, the NashVegas Nomads. Includes bio, tour dates, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.vivanashvegas.com/"} +{"d:Title": "Hoge, Will", "d:Description": "Official site includes tour dates, reviews, sound files, photos, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.willhoge.com/"} +{"d:Title": "Hayes, Meghan", "d:Description": "Folk/rock artist from Arlington, Virginia. Includes a biography, show dates, lyrics and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.meghanhayes.com/"} +{"d:Title": "Harcourt, Ed", "d:Description": "Offers a biography, audio/video clips and reviews for the Sussex artist. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.edharcourt.com/"} +{"d:Title": "Hippycrickets, The", "d:Description": "Combining sixties pop sensibilities with eighties influences to form a unique brand of guitar-fueled, power pop. Band biography, discography, sound files, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/ga/hippycrickets/"} +{"d:Title": "Hijinx", "d:Description": "Profiles, lyrics, tablatures, and pictures for the punk band from Niagara Falls, Ontario.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/yt/SHYT/"} +{"d:Title": "Hotwire", "d:Description": "Melodic rock band from Germany. Includes member profiles, photos and tour dates. [English/German]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hotwire-rock.de/"} +{"d:Title": "Hardways, The", "d:Description": "CD information and sound file for the Australian rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/me/shortmemory/index.html"} +{"d:Title": "Hawkins, Dave", "d:Description": "Biography, Real Audio samples and schedule for the contemporary acoustic artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://davehawkinsmusic.com/"} +{"d:Title": "Hap-Hazard", "d:Description": "Photos and MP3 song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/al2/notmyplace/"} +{"d:Title": "Hollow", "d:Description": "Unsigned band playing various venues in the Boston area. Music, pictures and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://angelfire.com/band/hollowhomepage"} +{"d:Title": "Harris, Barbara", "d:Description": "Formerly of 60s girl group \"The Toys\". Biography, and information about her solo CD.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.barbarastoys.net/"} +{"d:Title": "Horace Pinker", "d:Description": "History, discography, and tour dates. Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.horacepinker.com/"} +{"d:Title": "Hansen, Eric", "d:Description": "A musical storyteller, Eric's body of work includes whimsical songs about frogs, passionate songs about love, and inspirational songs asking human beings to build a bridge.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.erichansen.net/"} +{"d:Title": "Hughes, Brian", "d:Description": "Includes biography, FAQ, tour dates, and discography for the jazz guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.brianhughes.com/"} +{"d:Title": "Hybernoid", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://lyrics.rockmagic.net/lyrics/hybernoid/"} +{"d:Title": "Half Snatch", "d:Description": "Westbury, New York based punk band. Contains news, photographs, audio and video files, songs, biographies and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/ny2/halfsnatch/index.html"} +{"d:Title": "Holland, Stevie", "d:Description": "Biography, upcoming events, sound files, and CD information for the inspirational singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.stevieholland.com/"} +{"d:Title": "Heart and Stone", "d:Description": "Includes biography, photos, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.heartandstone.com/"} +{"d:Title": "Honeychurch", "d:Description": "Chicago rock band. Official site includes history, sound files, news, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.honeychurch.net/"} +{"d:Title": "Headless Household", "d:Description": "Includes biography, sound files, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.householdink.com/headless.htm"} +{"d:Title": "Heroes No More", "d:Description": "Three piece political punk band out of San Diego. Includes biography, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/punk/hometownheros/"} +{"d:Title": "Human Drama", "d:Description": "Contact information, photos, videos, articles, merchandise, show listing, discography, history and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.humandrama.net/"} +{"d:Title": "Helicopter, The", "d:Description": "Rock band from Norman, Oklahoma. News, band information, gigs, MP3s, interviews, contact information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/ok3/helicopter/"} +{"d:Title": "Hardy, Jack", "d:Description": "Biography, concert itinerary, discography, lyrics, interviews and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://jackhardy.com/"} +{"d:Title": "Haslanger, Elias", "d:Description": "Recent events/shows, samples, video clip and reviews. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.elijazz.com/"} +{"d:Title": "Holt, David", "d:Description": "Southern Appalachian Mountains musician and storyteller. Photos, links, schedule, discography and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.davidholt.com/"} +{"d:Title": "Hades Almighty", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://lyrics.rockmagic.net/lyrics/hades_almighty/"} +{"d:Title": "Helgeson, Glen", "d:Description": "Leader of world-beat jazz group Axis Mundi. Includes upcoming events, audio samples and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://glenhelgeson.com/"} +{"d:Title": "Houston, Penelope", "d:Description": "California singer-songwriter. News, contests, shows, profile, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.penelope.net/"} +{"d:Title": "Hamilton, Wayne", "d:Description": "St. Paul, MN folk and blues. Profile, lyrics, music samples, gigs and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://waynehamilton.com/"} +{"d:Title": "Helland, Scott", "d:Description": "New York City ambient folk artist. History, discography, contact information, MP3s, news, links and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.scotthelland.com/"} +{"d:Title": "Hello Sailor", "d:Description": "New Zealand rock and roll. Profiles, gigs, photos, video, contact list and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hellosailor.co.nz/"} +{"d:Title": "Hollywood Joe", "d:Description": "Multi-genre guitarist. Images and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hollywoodjoe.8m.com/"} +{"d:Title": "HighSide", "d:Description": "Dallas, Texas pop band. Profiles, news, images, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.highside.8k.com/"} +{"d:Title": "Hussain, Ustad Zakir", "d:Description": "Tabla player. Profile, contact information and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.momentrecords.com/"} +{"d:Title": "Henkel, Robin", "d:Description": "Profile, gig dates, and merchandise for the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.robinhenkel.com/"} +{"d:Title": "Hill, Cameo", "d:Description": "Singer/songwriter based in Tempe, AZ. Biography, show information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://fretjoy.tripod.com/"} +{"d:Title": "Hall, Mercedes", "d:Description": "Biography, lyrics, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.mercedeshall.com/"} +{"d:Title": "Helios Choir, The", "d:Description": "From Wilmington, North Carolina. Includes biography, pictures, shows, releases and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehelioschoir.com/"} +{"d:Title": "Heifner, Les", "d:Description": "From Dallas, Texas. Includes news, tour dates, soundclips, diary, images and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.soundscapes.8k.com/"} +{"d:Title": "Hungry Mind Review", "d:Description": "British influenced introverted pop band from North Carolina. Profile, discography, and press quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.ap3.net/HungryMindReview/home.html"} +{"d:Title": "Half-Life", "d:Description": "Offers MP3s and information on the Indiana indie band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://halflifesite.tripod.com/"} +{"d:Title": "Hobbs, Becky", "d:Description": "Singer, songwriter, and honky tonkin' piano player. Biography, pictures, discography, reviews, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://beckyhobbs.com/"} +{"d:Title": "Hagalaz", "d:Description": "Female group from Cornwall, UK. Profile, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/myband2/hagalaz/"} +{"d:Title": "Haley, Lisa and the Zydekats", "d:Description": "Cajun/zydeco group from California. Profile, events, sound files, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.bluefiddle.com/"} +{"d:Title": "Hog Mallet", "d:Description": "Based in Omaha. Profiles, show dates, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hogmallet.tripod.com/"} +{"d:Title": "Headfix", "d:Description": "Heavy rock band from Southern Illinois. Photos, lyrics, song samples, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://headfix.tripod.com/"} +{"d:Title": "Hare, Phil", "d:Description": "Guitarist, singer and songwriter from the UK. Biography, gig dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://web.onetel.net.uk/~pmchoul/"} +{"d:Title": "Hayseed Dixie", "d:Description": "Official website of the band. Features include tour dates, merchandise, news, message board and the Tasting Room which has MP3 downloads of unreleased and rare material.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hayseed-dixie.com/"} +{"d:Title": "Hasselbring, Curtis", "d:Description": "Brooklyn-based trombonist/guitarist/composer. Features include biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.curha.com/"} +{"d:Title": "Harnell, Tony", "d:Description": "Interview with the vocalist for TNT and Westworld.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.melodicrock.com/interviews/tonyharnell.html"} +{"d:Title": "Holsopple, Gina", "d:Description": "New York city based singer-songwriter. Includes biography, photos, discography, tour dates, audio, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://ginaholsopple.tripod.com/"} +{"d:Title": "Hot Soup Trio", "d:Description": "Vocal folk trio based in Maryland. Includes schedule, news, discography, pictures, and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hotsouptrio.com/"} +{"d:Title": "Horvath, Alan", "d:Description": "Acoustic rock singer-songwriter based in New Jersey; includes biography, news, pictures and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://alanhorvath.com/"} +{"d:Title": "Hamilton, Ron", "d:Description": "Progressive rock alternative country singer-songwriter guitarist from San Francisco; includes album information, audio samples and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://jrhnet.com/"} +{"d:Title": "Himalayans, The", "d:Description": "Pictures, video and audio clips, biography and timeline for Adam Duritz's pre-Counting Crows band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehimalayans.com/"} +{"d:Title": "Honey Tongue", "d:Description": "Pop rock band based in Seattle, Washington. Includes show schedule, photos, sound clips, lyrics, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.honeytongue.com/"} +{"d:Title": "Harper, Roy", "d:Description": "British folk rock artist. Site contains biography, discography, and diary.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.royharper.co.uk/"} +{"d:Title": "Hooks, Steve", "d:Description": "Germany based saxophone and flute performer originally from Los Angeles, California. Site contains show dates, biography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.stevehooks.de/"} +{"d:Title": "Haskell, Gordon", "d:Description": "Jazz singer and songwriter. Site contains biography, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.gordonhaskell.com/"} +{"d:Title": "Hustle, The", "d:Description": "British blues band performing in the northwest of England. Site contains biography and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehustle.co.uk/"} +{"d:Title": "Hinely, Donal", "d:Description": "Folk singer/songwriter, guitarist, and glass harmonica player. Site contains news, reviews, biography, audio samples, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://donalhinely.com/"} +{"d:Title": "Howard, Marcia", "d:Description": "Official site for Victoria, Australia based female vocalist. Includes lyrics, song excerpts, news, biography, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.marciahoward.com.au/"} +{"d:Title": "Hates, The", "d:Description": "Houston, Texas based punk rock band. Site contains biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehates.com/"} +{"d:Title": "Hawkes, Chesney", "d:Description": "UK based pop-rock artist. Contains news, biography, discography, gig dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.chesneyhawkes.co.uk/"} +{"d:Title": "Harem Scarem", "d:Description": "Canadian rock band. Site contains news, biography, discography, member profiles, photos, tabs, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.haremscarem.net/"} +{"d:Title": "Horner, Eric", "d:Description": "Christian country music artist. Contains event schedule, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.erichorner.com/"} +{"d:Title": "Haedt, Bruce", "d:Description": "Folk, folk-rock and world music artist. Contains discography and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.brucehaedt.com/"} +{"d:Title": "Harton, Rev. James", "d:Description": "Pennsylvania based musician specializing in Hammond organ, vintage electric piano and accordion. Biography, news, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.jamesharton.com/"} +{"d:Title": "Hollingsworth, Mark", "d:Description": "Biography and audio samples of the studio woodwind and ethnic flute player/composer.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://windshoremusic.com/"} +{"d:Title": "House Of Soul, The", "d:Description": "Project of Roberto Ventura containing urban, rock, and electronic sounds. News, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/indie/houseofsoul/"} +{"d:Title": "Haughey, Deanna", "d:Description": "Houston, Texas based acoustic rock singer/songwriter. Biography, show dates, and audio/video samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.deannahaughey.com/"} +{"d:Title": "Hennessey, Nick", "d:Description": "UK based storyteller and musician. Contains reviews and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.nickhennessey.co.uk/"} +{"d:Title": "Hoffman, Kristian", "d:Description": "Includes news, biography, gig dates, reviews, photos, audio samples and lyrics of the pop-rock/folk artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.kristianhoffman.com/"} +{"d:Title": "Hammond, Albert", "d:Description": "Biography, audio samples, and photos of the 1970-1980's folk-rock and Latin music artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.alberthammond.net/"} +{"d:Title": "Hacha", "d:Description": "California based rock band. News, biography, show dates, reviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hacha.net/"} +{"d:Title": "Helena", "d:Description": "Information, show dates, and streaming audio samples of the UK based folk/rock/jazz artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://helenaonline.com/"} +{"d:Title": "Hydraulic Woman", "d:Description": "Folk/blues/rock band of Kristen Schuldt and friends. Reviews, show dates, and link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hydraulicwoman.com/"} +{"d:Title": "Hayes, Vincent", "d:Description": "Blues guitarist and performing artist. Biography, photos, audio samples, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.vincenthayes.com/"} +{"d:Title": "Hot Tuna", "d:Description": "Rock band consisting of former Jefferson Airplane members. Biography, show dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hottuna.com/"} +{"d:Title": "Honey Brothers, The", "d:Description": "New York based country-glamrock-ukulele band. Biography, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehoneybrothers.com/"} +{"d:Title": "Hey Gringo", "d:Description": "Rhythm and blues band from Melbourne, Australia. Biography, gig dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.heygringo.net/"} +{"d:Title": "Hooper", "d:Description": "UK based band playing classic and contemporary pop covers and originals. Biography, gig dates, reviews, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hooperband.co.uk/"} +{"d:Title": "Hyman, Phyllis", "d:Description": "Fansite for the late rhythm and blues vocalist containing biography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.waliditayim.com/phyllis.htm"} +{"d:Title": "Hidden Agenda", "d:Description": "Detorit based rhythm and blues band playing covers and originals. Member profiles, show dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hiddenagendaband.com/"} +{"d:Title": "Hill, Vince", "d:Description": "News, show dates, and biography for the popular English singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.vincehill.co.uk/"} +{"d:Title": "Hepburn, Tom", "d:Description": "Pop-rock songwriter and performer from Chicago. Biography, reviews, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.tomhepburn.com/"} +{"d:Title": "Higbie, Barbara", "d:Description": "Pianist and fiddle player and composer. Biography, show dates, reviews, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.barbarahigbie.com/"} +{"d:Title": "Humphries, Jamie", "d:Description": "Biography, news, and instrument details of the rock guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.jamiehumphries.com/"} +{"d:Title": "Hollander, Lorin", "d:Description": "Features reviews, concert dates, and teaching and mentoring of the pianist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.lorinhollander.com/"} +{"d:Title": "Headley, Heather", "d:Description": "News, biography, show dates, and multimedia files of the rhythm and blues artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.heatherheadley.com/"} +{"d:Title": "Hawk Nelson", "d:Description": "Rock band originating from Ontario, Canada. News, show dates, audio/video files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hawknelson.com/"} +{"d:Title": "Highway Seven", "d:Description": "Hard-rock band from Boston. Member profiles, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://jempros.com/hw7/highwayseven.html"} +{"d:Title": "Higgins, Bertie", "d:Description": "Musician writing and performing island and pirate songs. Biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.bertiehiggins.com/"} +{"d:Title": "Hickey, Chris", "d:Description": "Los Angeles based rock singer/songwriter. Biography, discography, audio samples, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.chrishickey.net/"} +{"d:Title": "Highway J", "d:Description": "Illinois based progressive rock band. News, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.highwayj.com/"} +{"d:Title": "Huegle, Ewald", "d:Description": "German jazz and contemporary music saxophonist and composer. Biography and audio samples. [English and German]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.e-huegle.com/"} +{"d:Title": "Han, Karen", "d:Description": "Chinese Er-Hu Virtuoso, composer, and vocalist. Site contains biography, event dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.karenhan.com/"} +{"d:Title": "Hundredfold", "d:Description": "Madison, Wisconsin's progressive rock band. Matthew Kallonas, Jim Ford, Jeff Maas and Dunes create music that integrates cinematic and orchestral elements into rock.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/wi/Hundredfold/"} +{"d:Title": "Hypertonics, The", "d:Description": "New York City-based quartet. News, biography, show dates, pictures, and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehypertonics.com/hypertonics.html"} +{"d:Title": "Holloway, Steve", "d:Description": "Profile of the drummer and percussionist based in New York City.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://steveholloway.20megsfree.com/"} +{"d:Title": "Hardy, Billy", "d:Description": "Fiddle player based in Cape Cod, Massachusetts. Includes a schedule, contact information, news, streaming audio, related links, and an automated slideshow.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.billyhardy.com/"} +{"d:Title": "Hoey, Gary", "d:Description": "News, tour dates, discography, biography, photos and merchandise for the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.garyhoey.com/"} +{"d:Title": "Hakan, David", "d:Description": "Biography, lyrics, photos, and CD information for the singer/songwriter based in Kansas City, Missouri.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.davidhakan.com/"} +{"d:Title": "Halloween Night", "d:Description": "Underground rap group from Newburgh, New York. News, lyrics, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://gcarz420.tripod.com/hnight/"} +{"d:Title": "Head, Jowe", "d:Description": "Yahoo group for the former Television Personalities member with e-mail discussion, chat and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://launch.groups.yahoo.com/group/JoweHead/"} +{"d:Title": "Harlen", "d:Description": "Profiles, pictures, shows, journal and history.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/band2/guatemaharlen/"} +{"d:Title": "Heresy", "d:Description": "News, tour dates, song list and pictures for the \"new classic rock\" band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/music4/heresy/"} +{"d:Title": "Henley, Larry", "d:Description": "Singer-songwriter and former member of the Newbeats. News, biography, pictures and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.larryhenley.com/"} +{"d:Title": "HaSL", "d:Description": "Rock/punk/ska band from Livingston, Texas; includes biographies and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/band2/hasl/"} +{"d:Title": "Hassay, Gary", "d:Description": "Improvising alto saxophonist; learn about his music and CD releases, read news and listen to samples of his recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.garyhassay.com/"} +{"d:Title": "Hersch, James", "d:Description": "Official site of guitarist/singer/songwriter. Specializes in residencies at schools, hospitals and elderly care facilities. Artist profile, sound samples, information about programs.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.reachwithme.com/"} +{"d:Title": "Hiller, Ryan", "d:Description": "San Diego-based guitarist and songwriter; includes biography, gigs, lyrics and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://ryanhillermusic.com/"} +{"d:Title": "Hamilton, Danny and the Mudslingers", "d:Description": "Los Angeles-based singer-songwriter; includes biography, gigs, pictures, video clips, press and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.dannyhamilton.com/"} +{"d:Title": "Honeychuck", "d:Description": "Acoustic folk rock band from notherern Virginia. Shows, biography, sound samples, photos, journal, guestbook, mailing list, links and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.honeychuck.com/"} +{"d:Title": "Human Life Index", "d:Description": "Official message board for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.humanlifeindex.com/"} +{"d:Title": "Heyworth, Isobel", "d:Description": "Manchester-based acoustic singer-songwriter. Contains gig listings, music clips, pictures, profile, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://isobelheyworth.50webs.com/"} +{"d:Title": "Howling at the Moon", "d:Description": "Contains news, photo gallery and real audio.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hatm.co.uk/"} +{"d:Title": "Honkeyball", "d:Description": "The official home of this Boston hardcore band. Band information, news, photos, reviews, tour notes, discography, show dates, sound clips, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://honkeyball.homestead.com/main.html"} +{"d:Title": "House of Shakira", "d:Description": "Hard rock band from Sweden. Includes a biography, audio/video clips, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.houseofshakira.com/"} +{"d:Title": "Hammett-Vaughan, Kate", "d:Description": "Official site includes biography, discography, tour dates, MP3 files, and reviews for the Canadian jazz singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://katehv.com/"} +{"d:Title": "Hill, Steve", "d:Description": "Show dates, profile, audio and video clips, discography, and awards for the Canadian blues artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://stevehillmusic.com/"} +{"d:Title": "Helmet", "d:Description": "Rock band's official site features biography, news, tour dates, pictures, journal and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.helmetmusic.com/"} +{"d:Title": "Howell, Steven", "d:Description": "Independent singer/songriter. His site includes biography, performance schedule, interviews, and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.stevenhowell.com/"} +{"d:Title": "Hayden, Marcus Dean", "d:Description": "Singer/songwriter from Geelong, Australia. Acoustic Surf/Rock meets Folk/Pop with an uplifting message.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.mdhayden.com/"} +{"d:Title": "Hart, Mark", "d:Description": "Singer/songwriter, producer and member of Crowded House and Supertramp. Site features biography, MP3s, journal, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://markhartmusic.com/"} +{"d:Title": "Halestorm", "d:Description": "Official site for the Pennsylvania band includes schedule, audio and video files, bio, news, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://halestormrocks.com/"} +{"d:Title": "Hardcore Superstar", "d:Description": "Swedish band combines thrash metal and sleaze rock. News, tour dates, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hardcoresuperstar.com/"} +{"d:Title": "Hewick, Kevin", "d:Description": "Official web site of the Leicester singer-songwriter with articles, news, gigs, discography, message board and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.kevinhewick.co.uk/"} +{"d:Title": "Haggard", "d:Description": "German metal-orchestra. Discography, profile band history, articles, links and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.haggard.de/"} +{"d:Title": "Hall, John S.", "d:Description": "The Onion AV Club interviews the King Missile leader on the difficulties of being a spoken-word artist in the '90s.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.avclub.com/articles/john-s-hall,13568/"} +{"d:Title": "Hart, Grant", "d:Description": "The Onion AV Club interviews the singer, songwriter, and drummer about sex, drugs, death, and the tangled history of H\u00fcsker D\u00fc.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.avclub.com/articles/grant-hart,13669/"} +{"d:Title": "Hughes, Jody", "d:Description": "News, discography, reviews, photos and MP3s for the synth-rock artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.jodyhughes.com/"} +{"d:Title": "Hysinger, Michael", "d:Description": "Artist creating music in various styles, including world, rock, jazz, and classical. Site contains biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hysingermusic.com/"} +{"d:Title": "Hurl, Steve", "d:Description": "Boston based folk and blues guitarist and musician. Biography, reviews, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.stevehurl.com/"} +{"d:Title": "High Society", "d:Description": "Rock band that experiments with jazz, hard rock, ska, punk and even some blues.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/band/HighSociety/"} +{"d:Title": "Halpern, Steven", "d:Description": "Music for meditation, relaxation, yoga, sound healing, massage therapy and stress reduction.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.innerpeacemusic.com/"} +{"d:Title": "High Trash", "d:Description": "Official site for the Quebec punk band includes show dates, profiles, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hightrash.chez.com/"} +{"d:Title": "Highfill, George", "d:Description": "Official site with photos, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://georgehighfill.tripod.com/index.htm"} +{"d:Title": "Hinze, Chris", "d:Description": "Contains biography, discography, and merchandise. [English and Dutch]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.chrishinze.nl/"} +{"d:Title": "Hornheads, The", "d:Description": "Cappella jazz band. Contains biography, member profiles, discography, show dates, audio samples, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hornheads.com/"} +{"d:Title": "Hines, Willie", "d:Description": "Discography, lyrics and audio samples for the Jet Red lead singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://kdrew.tripod.com/willie_hines/index.html"} +{"d:Title": "Helreidh", "d:Description": "Official site of the Italian prog metal band includes history, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://helreidh.tripod.com/"} +{"d:Title": "Hunks, The", "d:Description": "Unofficial site with links, pictures, and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.angelfire.com/celeb2/hunks0/index.html"} +{"d:Title": "Hultz, Shane", "d:Description": "Country music artist. Biography, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.reverbnation.com/shanehultz"} +{"d:Title": "Hypnoises", "d:Description": "Rock band based in the Czech Republic. Contains biography, news, gig dates, audio samples, and photos. [Czech and English]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://hypnoises.sweb.cz/"} +{"d:Title": "Hale, Ed and the Transcendence", "d:Description": "Five-piece rock band based in New York. News, discography, lyrics, pictures, press and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.transcendence.com/"} +{"d:Title": "Hart, Lea", "d:Description": "Biography of the British hard/melodic rock artist with discography and links to related artists. At MusicMight.com.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.musicmight.com/artist/united+kingdom/lea+hart"} +{"d:Title": "Homunculus", "d:Description": "Pop/rock band from Cincinnati. Includes a band biography, photos, press clips and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://homunculture.com/"} +{"d:Title": "Helen Gone", "d:Description": "\"Canadian rubber soul\" band from Vancouver. Reviews, gig calendar, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://helengone.com/"} +{"d:Title": "Huerta, Antonio Estevan and Your Shrine", "d:Description": "Folk rock singer and songwriter from New York City. Site contains biography, photos, and gig history.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://antonioestevan.com/"} +{"d:Title": "Hedges, Gareth", "d:Description": "Blues, jazz, and folk guitarist and musician. Biography, audio samples, and show information.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.garethhedges.com/"} +{"d:Title": "Holt, Steve", "d:Description": "Canadian jazz pianist who has worked with Archie Shepp, Larry Coryell, and others. Includes biography, discography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.steveholtmusic.com/"} +{"d:Title": "Hope and Social", "d:Description": "Biography, sound files, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hopeandsocial.com/"} +{"d:Title": "Handy, W.C.", "d:Description": "Biography and portraits from the University of North Alabama collection.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.una.edu/library/collections/w.c.-handy---father-of-the-blues.html"} +{"d:Title": "Hilbert, Gene", "d:Description": "Atlanta musician and composer working in a variety of styles. Biography, song list, audio samples, pictures and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.darkhorseproductions.org/"} +{"d:Title": "Hollow Earth", "d:Description": "Progressive-rock tribute band from Norwich, England. History, member profiles, pictures and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.freewebs.com/hollowearthsite/"} +{"d:Title": "Hale, Ed", "d:Description": "Singer-songwriter's site features news, discography, pictures, videos, lyrics, tour dates, biography and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.edhale.com/"} +{"d:Title": "Howard, Hash", "d:Description": "Anecdotes about the New York City rock and blues drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hashhoward.com/"} +{"d:Title": "House Harkonnen, The", "d:Description": "Show dates, forum and pictures from the Dallas band.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehouseharkonnen.com/"} +{"d:Title": "Heiden, Jeremy", "d:Description": "Singer-songwriter's biography, discography and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.jeremyheiden.com/"} +{"d:Title": "Homeless Balloon", "d:Description": "Norwegian acoustic and electronic chillout project by Helge Krabye. Music downloads, biography, and art and music tips.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://homelessballoon.com/"} +{"d:Title": "Healys, The", "d:Description": "Irish and folk band from Australia. Biography, discography, image gallery, schedule, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehealys.com.au/"} +{"d:Title": "Hewitt, Anthony", "d:Description": "British classical pianist's site features biography, concert diary, albums, video, press and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.anthonyhewitt.co.uk/"} +{"d:Title": "Hut People, The", "d:Description": "Accordion-percussion world/folk duo from the U.K. Profile, news, press, videos, calendar and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehutpeople.co.uk/"} +{"d:Title": "Holmes Brothers, The", "d:Description": "Band blending gospel-style R&B and electric blues. Biography, discography, press, video, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.theholmesbrothers.com/"} +{"d:Title": "Harrison, Gavin", "d:Description": "Suffolk, England-based composer of music for TV, film and computer games. Portfolio and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.gavinharrisonsounds.com/"} +{"d:Title": "Headshine", "d:Description": "Official site for acoustic rock band from Huntington Beach, Calif., featuring national tour dates, music, merchandise, videos, fan club, social media, radio stations.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.planetsquared.com/headshine.htm"} +{"d:Title": "High Kings, The", "d:Description": "Montreal indie band; features CD information, lyrics and downloadable samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.thehighkings.com/"} +{"d:Title": "Haden, Charlie", "d:Description": "Verve Music Group site for the bassist, bandleader and composer with biography, discography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.vervemusicgroup.com/charliehaden"} +{"d:Title": "Haute Chile", "d:Description": "Show band. Includes biographies, client list and video. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.hautechile.com/"} +{"d:Title": "Holcomb, Drew, and the Neighbors", "d:Description": "Folk/rock band from Tennessee. Includes tour dates, news, and links to videos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.drewholcomb.com/"} +{"d:Title": "Homer and Jethro", "d:Description": "Country Music Hall of Fame inductee biography for the novelty duo.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://countrymusichalloffame.org/Inductees/InducteeDetail/homer-and-jethro"} +{"d:Title": "Howes, Kenny", "d:Description": "Biography, audio samples and photos for the power pop artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.reverbnation.com/kennyhowes"} +{"d:Title": "Heidrum", "d:Description": "Acoustic roots band from North East Scotland. Biography and gig list.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://www.heidrum.com/"} +{"d:Title": "Horse on Fire", "d:Description": "A Minnesota based guitar/drum duo playing noise/indie/emo music. Band information and sample songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H", "url": "http://horseonfire.stormloader.com/"} +{"d:Title": "Sammy Hagar", "d:Description": "Official site includes news, tour dates, audio and video files, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hagar,_Sammy", "url": "http://www.redrocker.com/"} +{"d:Title": "CaboZone", "d:Description": "Fan interaction, news, photos, tour countdown, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hagar,_Sammy", "url": "http://www.cabozone.com/"} +{"d:Title": "Unrated Magazine: Hallelujah", "d:Description": "Review of the 2003 live album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hagar,_Sammy", "url": "http://www.unratedmagazine.com/Document.cfm?Page=CDReviews/index.cfm&CD_Review_ID=31"} +{"d:Title": "The Number One Sammy Hagar Discography", "d:Description": "A discography with cover pictures and song lists. Includes Van Halen, Montrose, HSAS, and solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hagar,_Sammy", "url": "http://www.redrockerdiscography.com/"} +{"d:Title": "MTV.com: Sammy Hagar", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hagar,_Sammy", "url": "http://www.mtv.com/artists/sammy-hagar/"} +{"d:Title": "Luke Haines", "d:Description": "Official site includes news, audio files, and setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haines,_Luke", "url": "http://www.lukehaines.co.uk/"} +{"d:Title": "Leonard's Lair: Haircut 100", "d:Description": "Review of \"Pelican West\".", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haircut_100", "url": "http://www.leonardslair.co.uk/haircut.htm"} +{"d:Title": "TrouserPress.com: Haircut One Hundred", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haircut_100", "url": "http://trouserpress.com/entry.php?a=haircut_one_hundred"} +{"d:Title": "Bill Haley and his Comets", "d:Description": "A celebration of the Rock and Roll pioneers. Biography, discography, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haley,_Bill_and_the_Comets", "url": "http://www.billhaley.co.uk/"} +{"d:Title": "Rockabilly Hall of Fame: Bill Haley", "d:Description": "Artist \"firsts\", facts and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haley,_Bill_and_the_Comets", "url": "http://www.rockabillyhall.com/BillHaley.html"} +{"d:Title": "Bill Haley Picture Gallery", "d:Description": "Biography with many pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haley,_Bill_and_the_Comets", "url": "http://freespace.virgin.net/c.gardner/haley/gallery.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Bill Haley", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haley,_Bill_and_the_Comets", "url": "http://rockhall.com/inductees/bill-haley/"} +{"d:Title": "Bill Haley and His Comets Revival", "d:Description": "Tribute band based in Germany.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haley,_Bill_and_the_Comets/Tribute_Bands", "url": "http://www.billhaley.de/"} +{"d:Title": "Indie-Music.com: Half Looking", "d:Description": "Favorable review of the band's first CD, \"Tip of My Tongue.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Half_Looking", "url": "http://indie-music.com/modules.php?name=News&file=article&sid=286"} +{"d:Title": "Half Man Half Biscuit", "d:Description": "Brief review of their live performance at the Edinburgh Attic in 1997. With photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Half_Man_Half_Biscuit", "url": "http://www.vacant.org.uk/live/hmhb.html"} +{"d:Title": "The Half Man Half Biscuit Home Page", "d:Description": "Includes news, show dates, discography with lyrics and references, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Half_Man_Half_Biscuit", "url": "http://cobweb.businesscollaborator.com/hmhb/"} +{"d:Title": "Tribute to Geri Halliwell", "d:Description": "Fan page; news, pictures, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Halliwell,_Geri", "url": "http://www.angelfire.com/id/tribute2Geri/"} +{"d:Title": "MTV.com: Geri Halliwell", "d:Description": "Music news, album reviews, audio clips, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Halliwell,_Geri", "url": "http://www.mtv.com/artists/geri-halliwell/"} +{"d:Title": "Daryl Hall and John Oates German Fan Website", "d:Description": "Includes a complete discography, lyrics, chat, message board, news, trading, concerts, sound clips, charts, and picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hall_and_Oates", "url": "http://www.hallandoates.de/"} +{"d:Title": "Hallandoates.com", "d:Description": "Official site run by Rock and Soul International. Includes music and video downloads, concert news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hall_and_Oates", "url": "http://www.hallandoates.com/"} +{"d:Title": "Daryl Hall and John Oates Web Page in Japan", "d:Description": "Concise website, also available in English, run by Japanese fans of Hall and Oates. Includes photos, discography, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hall_and_Oates", "url": "http://www2s.biglobe.ne.jp/~h_and_o/english.htm"} +{"d:Title": "Entertainment Ave: Hall and Oates", "d:Description": "Review of a concert with Chicago and Billy Mann at The Rosemont Theatre in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hall_and_Oates", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/c/chicago/ch062198.htm"} +{"d:Title": "Glorious Nosie - Sweet Soul Music: Hall&Oates Do It For Love", "d:Description": "Overview of the duo, and a review of the album \"Do It For Love.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hall_and_Oates", "url": "http://www.gloriousnoise.com/arch/000776.php"} +{"d:Title": "Dreamtime - Daryl Hall Fanpage", "d:Description": "Interview, pictures, and survey. (English, Japanese)", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hall_and_Oates", "url": "http://www.geocities.co.jp/MusicStar-Guitar/5090/"} +{"d:Title": "The Halo Benders", "d:Description": "History of their line up, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Halo_Benders", "url": "http://www.grunnenrocks.nl/index.html?bands/h/halobend.htm"} +{"d:Title": "AsiaFinest: Ayumi Hamasaki", "d:Description": "Biography, likes and dislikes, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hamasaki,_Ayumi", "url": "http://www.asiafinest.com/japanese/ayumi.htm"} +{"d:Title": "Ayu-mi-llennium", "d:Description": "Contains news, lyrics, reviews, music samples, message boards, discography, a fan section, and video downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hamasaki,_Ayumi", "url": "http://ayumi.yoll.net/"} +{"d:Title": "Ayumi - Nerea", "d:Description": "Profile, discography, gallery, fan section, ayumi desktop, links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hamasaki,_Ayumi", "url": "http://ayumi13.tripod.com/"} +{"d:Title": "Divine Ayu", "d:Description": "News, profile, discography, downloads, gallery, CD and booklet scans, wallpaper, skins, lyrics, midi.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hamasaki,_Ayumi", "url": "http://ayumi.primenova.com/"} +{"d:Title": "A Best Ayumi Hamasaki Sekai", "d:Description": "Providing promotional, commercial and live videos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hamasaki,_Ayumi", "url": "http://www.angelfire.com/crazy/ayumusic/"} +{"d:Title": "Ayumi Hamasaki WebRing", "d:Description": "Listing of sites related to the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hamasaki,_Ayumi", "url": "http://www.webring.org/hub?ring=ayumihamasaki1"} +{"d:Title": "The Official Jan Hammer Web Site", "d:Description": "Features biography, photos, discography, MP3 song clips and recording credits.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hammer,_Jan", "url": "http://www.janhammer.com/"} +{"d:Title": "The Rosebud Agency: John Hammond", "d:Description": "Profile, news, tour dates, and discography from a booking agency.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hammond,_John", "url": "http://www.rosebudus.com/hammond/"} +{"d:Title": "John Paul Hammond Discography", "d:Description": "Listing of albums with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hammond,_John", "url": "http://www.wirz.de/music/hammofrm.htm"} +{"d:Title": "Butch Hancock", "d:Description": "A member of the legendary Flatlanders. Features pictures, wav files, and articles about this prolific songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hancock,_Butch", "url": "http://www.angelfire.com/mi/jeffmiller/"} +{"d:Title": "The Austin Chronicle Music: Butch Hancock", "d:Description": "Newspaper article about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hancock,_Butch", "url": "http://www.austinchronicle.com/issues/vol17/issue36/music.hancock.html"} +{"d:Title": "Not Your Perfect Model", "d:Description": "A fan-built site containing news, pictures, band member biographies, lyrics, and desktop wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Handsome_Devil", "url": "http://www.angelfire.com/tx5/handsome_devil/"} +{"d:Title": "Interview with Michael Monroe (ex-Hanoi Rocks)", "d:Description": "Interview from Kaos2000 about the band's history and his current projects.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanoi_Rocks", "url": "http://www.kaos2000.net/interviews/michaelmonroe/"} +{"d:Title": "MTV: Hanoi Rocks", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanoi_Rocks", "url": "http://www.mtv.com/artists/hanoi-rocks/"} +{"d:Title": "Starlink: Hanson", "d:Description": "Profiles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson", "url": "http://www.kcweb.com/superm/main_hanson.htm"} +{"d:Title": "MTV: Hanson", "d:Description": "Music video clips, reviews, online interviews, and live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson", "url": "http://www.mtv.com/artists/hanson/"} +{"d:Title": "Hansonland Webring", "d:Description": "Rules, member list, ring image.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Directories", "url": "http://www.angelfire.com/myband/hansonland2/webring/"} +{"d:Title": "The Hanson Hotel Webring", "d:Description": "HTML fragment and rules.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Directories", "url": "http://www.webring.org/hub?ring=hansonhotel"} +{"d:Title": "3 Rivers of Hanson", "d:Description": "Information, members, news and links. [Pittsburgh, PA]", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Clubs", "url": "http://www.angelfire.com/pa3/3riversofhanson/"} +{"d:Title": "The \"Smile\" Clique", "d:Description": "Fan club dedicated to the song \"Smile\" by Hanson.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Clubs", "url": "http://www.members.tripod.com/dyin2be/"} +{"d:Title": "6 Beautiful Eyes", "d:Description": "Featuring pictures, facts on Isaac, Taylor, and Zac, Fan Art, Look-a-likes and lyric sections.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/6", "url": "http://members.tripod.com/hdw6/"} +{"d:Title": "Aaahhhanson", "d:Description": "Pictures, profiles, polls, chat, quiz, and concert reviews by fans.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/A", "url": "http://www.angelfire.com/mn/aaahhhanson/frames.html"} +{"d:Title": "All About Hanson All the Time", "d:Description": "Multimedia, profiles, pictures, lyrics, links, humor, quotes, reviews, pen pals and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/A", "url": "http://www.angelfire.com/ok/aahatt/index.html"} +{"d:Title": "All HITZ, All the Time", "d:Description": "Fan fiction, links, pictures, reviews, wav files, and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/A", "url": "http://hansonstar.tripod.com/"} +{"d:Title": "Behind Hitz", "d:Description": "News, appearances, biographies, chat, pictures, song dedications, articles, interviews, discography, music and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/B", "url": "http://behindhitz.tripod.com/"} +{"d:Title": "Bright and Beautiful", "d:Description": "Personal insights and commentary, fan fiction, fan art, music, images, humor and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/B", "url": "http://brightandbeautiful.org/"} +{"d:Title": "Between Albertane and Nowhere Road", "d:Description": "Fan fiction, editorials, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/B", "url": "http://www.angelfire.com/pop2/hanson/index.html"} +{"d:Title": "Christy's Hanson Page", "d:Description": "Photos, fan fiction, personal insights, dreams and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/C", "url": "http://www.angelfire.com/mi3/litlebit3948/index.html"} +{"d:Title": "Can't Stop Thinking About You", "d:Description": "Pictures, profiles, fan fiction and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/C", "url": "http://www.angelfire.com/ok3/cantstop/"} +{"d:Title": "The Cheesy Land of Hanson", "d:Description": "Pictures, lyrics, personal insights, fan fiction and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/C", "url": "http://www.angelfire.com/ca5/Hanson/"} +{"d:Title": "Clover K's Hanson Page", "d:Description": "Rumors, news, fan fiction, lyrics, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/C", "url": "http://www.angelfire.com/ok3/cloverkennedy/"} +{"d:Title": "A Cool Page About Hanson", "d:Description": "Discography, album reviews and news and gossip.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/C", "url": "http://stef41886.tripod.com/Hanson/"} +{"d:Title": "The Coolest Hanson Page Around", "d:Description": "Lyrics, pictures, profiles and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/C", "url": "http://www.angelfire.com/mt/hansonchic/"} +{"d:Title": "Everything Hanson", "d:Description": "Images, fan profiles, quotes, appearances, opinions, reviews, transcripts, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/E", "url": "http://members.tripod.com/~taynjess/everythinghanson.html"} +{"d:Title": "EmC's World Of Music", "d:Description": "News, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/E", "url": "http://www.angelfire.com/music3/EmC/index.html"} +{"d:Title": "Garden of Hanson", "d:Description": "Contest, pictures, news, profiles, books, songs, personal insights and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/G", "url": "http://www.angelfire.com/mo2/zacica/main.html"} +{"d:Title": "Hanson City", "d:Description": "Profiles, photos, links, news, games, astrology, appearances, music and fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://hitzhypergirl.tripod.com/city.html"} +{"d:Title": "Hanson Estates", "d:Description": "Humor sorted by brother, reviews, FAQ and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/ab/itztrailer/"} +{"d:Title": "Hanson Etc", "d:Description": "Pictures, profile, information, tour, adoptions, chat transcripts, articles, audio and video interviews and clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/ok3/jessicashansonpage/"} +{"d:Title": "Hanson for Eva", "d:Description": "Awards to win, news, Fan of the Month and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/ab/hanson4eva/index.html"} +{"d:Title": "Hanson Dome", "d:Description": "Discography, profiles, images, bootlegs, articles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/sk/hansondome/"} +{"d:Title": "The Hanson Journal", "d:Description": "News, images, multimedia, and Moe overviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://angelfire.com/rnb/HansonJournal"} +{"d:Title": "Hanson Live and Unplugged", "d:Description": "Discography, lyrics, quotes, interviews, tablatures, articles, photos, reviews, fan art, fan fiction and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.musicfanclubs.org/hanson/"} +{"d:Title": "Hanson Online", "d:Description": "Information, pictures, fan art, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/yt/Hansononline/"} +{"d:Title": "The Hanson Palace", "d:Description": "News, links, pictures, fan fiction, profiles, discography, lyrics and personal insights.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/me2/hansonpalace/index.html"} +{"d:Title": "Hanson Planet", "d:Description": "Profiles, interviews, links, multimedia, news, images, quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/pa/HansonPlanet/"} +{"d:Title": "Hanson Puzzle Pieces", "d:Description": "Fan fiction, quiz, pictures, adoptions and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/ok3/puzzlepieces/index.html"} +{"d:Title": "The Hanson Shrine", "d:Description": "Interviews, photos, lyrics, news, quotes and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://rainbowbrite182.tripod.com/"} +{"d:Title": "Hanson Freaks", "d:Description": "Discography, news, gossip, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://klm86.tripod.com/Hanson/"} +{"d:Title": "HansonCity", "d:Description": "News, fans, music, and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://hansoncity.freeservers.com/"} +{"d:Title": "Hanson.net", "d:Description": "E-mail service, webpage hosting. [Flash intro, heavy java]", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.hanson.net/"} +{"d:Title": "Hansonland", "d:Description": "News, polls, and contest.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/myband/hansonland/"} +{"d:Title": "Hanson-r-4-ever", "d:Description": "Lyrics, articles, photos, general information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/nt/hansonr4ever/"} +{"d:Title": "Hanson Party", "d:Description": "Contains pictures, links, cartoons, and animations.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/weird/hansonparty/"} +{"d:Title": "Hansons In The City", "d:Description": "Contains pictorial history, profiles and quotations.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/music2/hansongirl/"} +{"d:Title": "Hanson...This Time Around", "d:Description": "News, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/band/hnsntta/main.html"} +{"d:Title": "Hots for Hanson", "d:Description": "Profiles, news, pictures, rumors, humor, multimedia, quiz and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://www.angelfire.com/oh/hots4hanson/index.html"} +{"d:Title": "Hanson Heaven", "d:Description": "Pictures, polls, updates, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://angelfire.com/ma3/hansonheaven"} +{"d:Title": "Hanson Fantasy", "d:Description": "News, fan fiction, lyrics, profiles, links, and website graphics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://hascrazyp.tripod.com/"} +{"d:Title": "Hanson's Make Believe World", "d:Description": "A choice between frames and no frames. Five pages of stories, several links, pictures, and WAVs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/H", "url": "http://tipoftheiceburg.tripod.com/index.html"} +{"d:Title": "I Can Hear You Singing to Me in My Sleep", "d:Description": "Lyrics, fan fiction, pictures, personal insights and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/I", "url": "http://www.angelfire.com/stars/wounded/index.html"} +{"d:Title": "In Hanson's Eyes", "d:Description": "Pictures, lyrics, Obsessed?, links, experiences, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/I", "url": "http://www.angelfire.com/myband/inhansonseyes/index.html"} +{"d:Title": "Listen and You'll Hear It", "d:Description": "Information, tour dates, fan fiction, rumors, pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/L", "url": "http://www.angelfire.com/ri/ttcfiction/"} +{"d:Title": "Lynn and Kristi's Hanson Wonderland", "d:Description": "Quotes, fan opinions and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/L", "url": "http://www.angelfire.com/ca/hansonwonderland/index.html"} +{"d:Title": "More Than Words", "d:Description": "Links, lyrics, fan fiction, poll and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://www.angelfire.com/ok3/morethanwords/index.html"} +{"d:Title": "Middle of Nowhere - Pitstop", "d:Description": "Reviews, pictures, fan fiction and information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://members.tripod.com/~itz_love/index.html"} +{"d:Title": "MMMBop Me Baby", "d:Description": "Rare photos, family, profiles, quotes, rumors, general information, sounds and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://www.angelfire.com/ok2/IkeTayZac/"} +{"d:Title": "Middle of our Hearts", "d:Description": "Fan art, encounters, concert reviews, appearances, news, multimedia, profiles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://members.tripod.com/MiddleofourHearts/MooH%20pages/"} +{"d:Title": "Mmmbop", "d:Description": "Pictures, fan fiction, links, lyrics, and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://members.tripod.com/hanson_zac/index1.html"} +{"d:Title": "Mad for Hanson", "d:Description": "Appearances, music, clubs, chat, lyrics, links, quotes, sightings and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://www.angelfire.com/ns/mad4hanson/"} +{"d:Title": "My Love Of Hanson", "d:Description": "Contains pictures, biographies, articles, links, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://www.angelfire.com/music/hansonandleifpage/Hansonhomepage.html"} +{"d:Title": "Mystery Train", "d:Description": "Contains web log, commentary, news, and arts information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://brightandbeautiful.org/mysterytrain/"} +{"d:Title": "Mmmboptastic", "d:Description": "Contains news, pictures, tablatures, lyrics, guitar chords, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/M", "url": "http://www.mmmboptastic.com/"} +{"d:Title": "Planet Hanson", "d:Description": "Albertane tour coverage, pictures, sounds, artwork, contests, fun stuff, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/P", "url": "http://members.tripod.com/~LaurieH_7/PLANET-HANSON.html"} +{"d:Title": "The Road to Albertane", "d:Description": "Pictures, information, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/R", "url": "http://members.tripod.com/~mmmbaby/"} +{"d:Title": "The Sky's the Limit", "d:Description": "Fan art, articles, pictures, multimedia, lyrics, polls, greeting cards and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/S", "url": "http://www.angelfire.com/hi/tayslove/"} +{"d:Title": "Snowed in the Middle of Nowhere with Hanson", "d:Description": "Pictures, facts, appearances, awards, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/S", "url": "http://members.tripod.com/~Cteph/facts.html"} +{"d:Title": "Standing in the Shadows", "d:Description": "Profiles, tablatures, wavs, links, photos, downloads, poll and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/S", "url": "http://www.angelfire.com/il/hansonplanet/"} +{"d:Title": "Speechless Over Hanson", "d:Description": "Photos, profiles, sounds, quotes, links, discography, appearances, poems, concert reviews and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/S", "url": "http://www.angelfire.com/mt/speechlessoverhanson/index.html"} +{"d:Title": "The Stories This Time Around", "d:Description": "General information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/S", "url": "http://www.angelfire.com/pop/StoriesThisTimeRound/"} +{"d:Title": "A Simple Hanson Site", "d:Description": "Contains news, merchandise information, history, fan registry and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/S", "url": "http://www.angelfire.com/band/simplehanson/index.html"} +{"d:Title": "Simply Hanson", "d:Description": "Lyrics, multimedia, profiles, tours and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/S", "url": "http://www.angelfire.com/rock/simplyhanson/"} +{"d:Title": "That White Light", "d:Description": "Pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/T", "url": "http://www.angelfire.com/ok/jooced/"} +{"d:Title": "These Moonlit Skies", "d:Description": "Poetry, fan fiction, news, images, concert reviews, poll, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/T", "url": "http://www.angelfire.com/pop/foreverhanson/"} +{"d:Title": "TJ's Hanson Site", "d:Description": "Contains discography, news, gossip, rumors, show reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/T", "url": "http://tjalliesam.tripod.com/tjhansonsite/"} +{"d:Title": "TTA: A Hanson Page", "d:Description": "Profiles, images and a poll.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/T", "url": "http://www.angelfire.com/la2/ttahanson/"} +{"d:Title": "Those Hanson Devils", "d:Description": "Profiles, quotes, in-depth information, merchandise, fan fiction, links, appearances, articles, interviews, lyrics, multimedia, tours, reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/T", "url": "http://thd.danitza.org/"} +{"d:Title": "Zikelor", "d:Description": "HANfiction, songs, and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Pages/Z", "url": "http://www.angelfire.com/journal/mypoemsandsongs/"} +{"d:Title": "Masterpiece of Hanson", "d:Description": "Full of Hanson art, crafts, tips, and creative contests, to name a few.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works", "url": "http://www.angelfire.com/art/Masterpiece/"} +{"d:Title": "Fan Fiction for the Soul", "d:Description": "Works including \"Sleeping Helpless\" and \"Two Different Worlds.\" Also links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/ok3/FanficForTheSoul/index.html"} +{"d:Title": "Hanson: Their Time Around", "d:Description": "Stories include 'Except For Maybe You' and 'Frowning Smile.' Also images, links and campaigns.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/ok3/serendipity/"} +{"d:Title": "Glassy Surfaces", "d:Description": "A multi-chapter story", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/celeb/Hansonfic/index.html"} +{"d:Title": "Jamie's Creativity Haven", "d:Description": "Archive with brief synopses, hosted works and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/ok2/fanfiction/index.html"} +{"d:Title": "McKenzie River InterFiction", "d:Description": "Author of \"Something in the Way.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/or2/mckenzieriver/"} +{"d:Title": "Mine&Nan's Hanfics&Roleplays", "d:Description": "'Hanson in Town,' 'Hanson at my Grandparents' House,' 'Unexpected Visitors,' 'Hanson in Washington D.C.' and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/wy/myhansonstories/index.html"} +{"d:Title": "The Chaos", "d:Description": "Multi-chapter fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://tasteslikecandy.tripod.com/index.html"} +{"d:Title": "Works of Art By Me", "d:Description": "Many short stories, poems, and other fun stuff.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/mi/radalien16ishere/page3.html"} +{"d:Title": "A Cozy Little Cottage for Reading", "d:Description": "Index of works and hosted stories.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/hi/edithcampbell/stories.html"} +{"d:Title": "You Never Know", "d:Description": "A young girl and her struggle through a friendship with Hanson.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/mi3/ebhanson123/index.html"} +{"d:Title": "Dreamscape", "d:Description": "Short and Long stories, hosted stories, and links to other Hanson pages", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/mi/hansonfanfic/index.html"} +{"d:Title": "Acceptable Risks", "d:Description": "This online saga (fan fiction)centers around Isaac Hanson. It is complete with a \"Cast of Characters\".", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://acceptablerisks.tripod.com/homepage.html"} +{"d:Title": "Like The Rose Fan Fiction", "d:Description": "Includes Hanson fan-fiction. Will also host fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/band/liketherose/"} +{"d:Title": "Mango Wishes and Tangerine Dreams", "d:Description": "Biography, quotations, discography, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/journal/lisasfanfic/index.html"} +{"d:Title": "Works By Kristin", "d:Description": "Home of the epic, never-ending story, \"Carter\", \"Secrets of the Past\", and \"Never Again\".", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/pa/Krickit/story.html"} +{"d:Title": "Holding Onto Nothing", "d:Description": "The story of Parker Lowell, a fifteen year old native of Rochester, New York whose search for his long lost twin brother is about to end in a way he never could have imagined.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.members.tripod.com/allieway12/HON.html"} +{"d:Title": "Divided, We Fall", "d:Description": "Picks up a few months after where Holding Onto Nothing leaves off. Parker is about to embark on his summer stay at the Hanson house but has no idea what problems have been brewing between the family members since he last saw them.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://www.members.tripod.com/allieway12/DWF.html"} +{"d:Title": "Shadow of a Dream", "d:Description": "A hanson fan-fiction site.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://shadowofadream1.tripod.com/"} +{"d:Title": "Flooded Images", "d:Description": "Includes \"Bright Darkness\" and \"Too Ironic.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction", "url": "http://floodedimages.tripod.com/index.html"} +{"d:Title": "Moody Blue Butterfly", "d:Description": "It happens...Another Taylor Hanson Fan Fiction. Read the rest of my mini diatribe once you get there.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction/Taylor_Fiction", "url": "http://www.angelfire.com/ny5/writersparadise/mane.html"} +{"d:Title": "My dearest Taylor.", "d:Description": "Taylor fiction. My dearest Taylor.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Fan_Works/Fan_Fiction/Taylor_Fiction", "url": "http://members.tripod.com/crystal9250/id38.htm"} +{"d:Title": "Zac Attack", "d:Description": "Photos separated by theme. Also includes quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Hanson,_Zac", "url": "http://www.angelfire.com/in3/zacsdrpepper/"} +{"d:Title": "Hanson Thumbnails", "d:Description": "Backgrounds, animations, awards, buttons and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Image_Galleries", "url": "http://becca4ike.freeservers.com/"} +{"d:Title": "Breakfast with Hanson", "d:Description": "Photographs from meeting the band at a breakfast and in concert.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanson/Image_Galleries", "url": "http://www.angelfire.com/tx4/lilprettay/index.html"} +{"d:Title": "All Music Guide: Bo Hansson", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hansson,_Bo", "url": "http://www.allmusic.com/artist/bo-hansson-p39290"} +{"d:Title": "Metropolis Records: Hanzel und Gretyl", "d:Description": "Profile and sound clips from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hanzel_und_Gretyl", "url": "http://www.metropolis-records.com/artists/?artist=HuG"} +{"d:Title": "Triple J: Happy Mondays", "d:Description": "Australian radio station Triple J interviews Shaun Ryder, Tony Wilson, and Pete Carroll; talking about the band and the Madchester movement. Requires Real Player.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Happy_Mondays", "url": "http://www.abc.net.au/triplej/jfiles/files/s232280.htm"} +{"d:Title": "Funtrivia.com - Happy Mondays", "d:Description": "Test your knowledge about the band with 3 quizzes, or create your own quiz for others to try.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Happy_Mondays", "url": "http://www.funtrivia.com/quizlistgold.cfm?cat=1728"} +{"d:Title": "Trouser Press: Happy Mondays", "d:Description": "Discography and reviews of the recordings of Happy Mondays and Black Grape.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Happy_Mondays", "url": "http://www.trouserpress.com/entry.php?a=happy_mondays"} +{"d:Title": "Ed Harcourt", "d:Description": "Official site. News, music and video samples, biography, photo gallery and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harcourt,_Ed", "url": "http://www.edharcourt.com/"} +{"d:Title": "ArtistDirect: Ed Harcourt", "d:Description": "Biography, discography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harcourt,_Ed", "url": "http://www.artistdirect.com/artist/ed-harcourt/1092911"} +{"d:Title": "WesWeb", "d:Description": "The fairly official site of this British-American folk/rock singer-songwriter. News, tour dates, FAQ, CD information, lyrics, chat transcripts and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harding,_John_Wesley", "url": "http://www.wesweb.net/"} +{"d:Title": "MTV: John Wesley Harding", "d:Description": "Includes news, biography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harding,_John_Wesley", "url": "http://www.mtv.com/artists/john-wesley-harding/"} +{"d:Title": "Metacritic: The Confessions of St. Ace", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harding,_John_Wesley", "url": "http://www.metacritic.com/music/the-confessions-of-st-ace/john-wesley-harding"} +{"d:Title": "Verve Music Group: Roy Hargrove", "d:Description": "Official label site with biography, discography, pictures, tour dates, e-cards and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hargrove,_Roy", "url": "http://www.vervemusicgroup.com/royhargrove"} +{"d:Title": "In Music We Trust: Harlow", "d:Description": "Interview with guitarist Rayshele about the show and life afterward.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harlow", "url": "http://www.inmusicwetrust.com/articles/40h05.html"} +{"d:Title": "Sarah Harmer", "d:Description": "Official site. Includes news, tour dates, mail order, Sarah's \"scrawl,\" and links to her \"faves.\"", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harmer,_Sarah", "url": "http://www.sarahharmer.com/"} +{"d:Title": "Interview: Sarah Harmer of Weeping Tile", "d:Description": "\"Cold Snap on a Hot Day\" A chat with Sarah Harmer of Weeping Tile Interview by P. Freako.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harmer,_Sarah", "url": "http://dropd.com/issue/9/WeepingTile/"} +{"d:Title": "ArtistDirect.com: Ben Harper", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,441267,00.html"} +{"d:Title": "RollingStone.com: Ben Harper", "d:Description": "Includes a biography, discography, photos, articles, videos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben", "url": "http://www.rollingstone.com/music/artists/ben-harper"} +{"d:Title": "AskMen.com: Ben Harper", "d:Description": "Pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben", "url": "http://www.askmen.com/celebs/men/entertainment_100/135_ben_harper.html"} +{"d:Title": "Launch.com: Ben Harper", "d:Description": "Includes pictures, audio clips, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben", "url": "http://new.music.yahoo.com/ben-harper/"} +{"d:Title": "MTV: Ben Harper", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben", "url": "http://www.mtv.com/artists/ben-harper/"} +{"d:Title": "Ben Harper", "d:Description": "Offers news, tour dates, setlists, visual discography, discussion, and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben", "url": "http://www.benharper.com/"} +{"d:Title": "The Phantom Tollbooth", "d:Description": "Josh Spencer reviews \"The Will To Live\".", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben/Articles_and_Interviews", "url": "http://www.tollbooth.org/reviews/harper.html"} +{"d:Title": "DropD.com: Ben Harper", "d:Description": "\"His Soul Intention\", 1996 Vancouver concert review by Kevin Templeton.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben/Articles_and_Interviews", "url": "http://dropd.com/issue/21/BenHarper/"} +{"d:Title": "Down at the Church of Ben", "d:Description": "Interview plus 42-second excerpt from \"Ground on Down\" (various formats)", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben/Articles_and_Interviews", "url": "http://dropd.com/issue/22/BenHarper/"} +{"d:Title": "MetroActive.com", "d:Description": "\"Ben Harper's musical palette encompasses the personal, political and spiritual\", by Nicky Baxter.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben/Articles_and_Interviews", "url": "http://www.metroactive.com/papers/metro/01.18.96/harper-9603.html"} +{"d:Title": "Ben Harper: Will=Power", "d:Description": "ASCAP's Music Meets Business interview with Ben by Randy Grimmett.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben/Articles_and_Interviews", "url": "http://www.ascap.com/Playback/2008/FALL/FEATURES/harper.aspx"} +{"d:Title": "Ben Harper Tablatures", "d:Description": "Guitar tabs and bass tabs of many songs, as well as details of Ben's guitar techniques. [English/French]", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben/Tablature", "url": "http://benharpertablatures.free.fr/"} +{"d:Title": "Songsterr: Ben Harper", "d:Description": "A collection of bass tabs for various songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harper,_Ben/Tablature", "url": "http://www.songsterr.com/a/wsa/ben-harper-tabs-a920?inst=bass"} +{"d:Title": "Corey Harris", "d:Description": "Acoustic Delta blues guitarist and vocalist based in Charlottesville, Virginia. Official site offers a bio, reviews, photos, tour schedule, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harris,_Corey", "url": "http://www.coreyharrismusic.com/"} +{"d:Title": "Hank Harris", "d:Description": "Official site. Includes biography, discography, audio, tour dates, contact, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harris,_Hank", "url": "http://www.hankharris.com/"} +{"d:Title": "Sam Harris", "d:Description": "Official site for the recording artist and Broadway star. Features news, calendar, biography, discography, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harris,_Sam", "url": "http://www.samharris.com/"} +{"d:Title": "The Onion AV Club: Sam Harris", "d:Description": "Interview with the former Star Search winner about Broadway, his recording career, and his love of gardening.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harris,_Sam", "url": "http://www.avclub.com/articles/sam-harris,13540/"} +{"d:Title": "George Harrison", "d:Description": "Official site with news, image gallery, links, discography of entire Harrison catalog, audio, video, and other media downloads. Information on his biography and the memorial concert, held November 2002.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George", "url": "http://www.georgeharrison.com/"} +{"d:Title": "(4149) Harrison", "d:Description": "Minor planet named in honor of former Beatle, George Harrison.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0004149.html"} +{"d:Title": "Hare Krishna Mantra: There's Nothing Higher", "d:Description": "Transcript of a conversational interview in which George Harrison talks of personal realizations.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://www.krishna.org/Articles/2000/08/00066.html"} +{"d:Title": "George Harrison Was a Humble and Friendly Person", "d:Description": "Journalist, Saeed Naqvi, gives his personal impressions of Harrison during his first visit to India with the Beatles. News article taken from Rediff.com.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://www.rediff.com/news/2001/dec/01beat.htm"} +{"d:Title": "Something About George Harrison", "d:Description": "Article discussing George's astrological natal chart, with a particular emphasis on his health.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://members.tripod.com/~FutureAdvocate2/Something.htm"} +{"d:Title": "BBC News: Harrison's Eastern Roots", "d:Description": "Article details George's association with India and his spiritual journey. Comments from Ravi Shankar.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1646729.stm"} +{"d:Title": "BBC News: Harrison Recording Again", "d:Description": "George Harrison records his first single, a song with musician Jools Holland, since being treated for cancer. Related links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1606061.stm"} +{"d:Title": "Topix: George Harrison", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://www.topix.com/who/george-harrison"} +{"d:Title": "BBC NEWS: Harrison honored on Walk of Fame", "d:Description": "George Harrison is honored with a posthumous star on the Hollywood Walk of Fame in Los Angeles. Details include attendees and video summary.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/entertainment/7999446.stm"} +{"d:Title": "The Most Spiritually Important Entertainer of Our Time", "d:Description": "George Harrison speaks of his personal philosophies. Posted on Beliefnet with links to other pages.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews", "url": "http://www.beliefnet.com/Wellness/2001/12/The-Most-Spiritually-Important-Entertainer-Of-Our-Time.aspx"} +{"d:Title": "Up On Cloud Nine: Remembering George Harrison", "d:Description": "A memorial site with a short biography, fan's thoughts, poems, forum, and commentary on Harrison's life and death.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://uponcloud9.0catch.com/"} +{"d:Title": "BBC News: George Harrison 1943-2001", "d:Description": "Special report on his life and music including audio and video clips. Tributes invited.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://news.bbc.co.uk/hi/english/static/obituaries/george_harrison/"} +{"d:Title": "BBC News: George Harrison Dies", "d:Description": "Detailed news report with links to audio/video clips and related stories.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1492446.stm"} +{"d:Title": "BBC News: Harrison's Ashes 'To Be Scattered'", "d:Description": "Family preparing to scatter his ashes in India. Brief mention of the service that followed his death and a possible secret album. Multiple links to related topics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1687498.stm"} +{"d:Title": "BBC News: Confusion Over Harrison Ceremony", "d:Description": "Hare Krishna followers hold vigils by India's River Ganges, but there is still uncertainty over where George Harrison's ashes will be scattered.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1693160.stm"} +{"d:Title": "BBC News: Harrison Death Mystery Solved", "d:Description": "The confusion over where former Beatle George Harrison spent his last few hours and died is cleared up.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1817780.stm"} +{"d:Title": "BBC News: Harrison Memorial Concert Sell-Out", "d:Description": "A concert commemorating the life of Beatle George Harrison, and what would have been his 59th birthday, sells out before the star line-up is revealed.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://news.bbc.co.uk/1/hi/uk/england/1812492.stm"} +{"d:Title": "Observer: Why The Death of a Sixties Idol is so Affecting", "d:Description": "Article reflects on George Harrison's life and death, and the impact on an entire generation.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://www.theguardian.com/politics/2001/dec/02/politicalcolumnists.comment"} +{"d:Title": "George Harrison: 1943-2001", "d:Description": "Obituary notice in The Guardian newspaper from the UK with links to related articles, commentaries, a photo gallery, and web resources.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/In_Memorial", "url": "http://www.theguardian.com/news/2001/nov/30/guardianobituaries1"} +{"d:Title": "BBC News: Harrison Attack Suspect in Court", "d:Description": "Report about Michael Abram, his arrest, and detainment.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/Stabbing_Attack", "url": "http://news.bbc.co.uk/1/hi/uk/705521.stm"} +{"d:Title": "BBC News: Sir Paul: Thank God They're OK", "d:Description": "Sir Paul McCartney and others send their regards and comment on the attack of George Harrison.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/Stabbing_Attack", "url": "http://news.bbc.co.uk/1/hi/uk/583503.stm"} +{"d:Title": "BBC News: Harrison Attack Deliberate", "d:Description": "Incident is recounted and report that attacker was not intent on burglary as previously thought. Audio and video links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/Stabbing_Attack", "url": "http://news.bbc.co.uk/1/hi/uk/583403.stm"} +{"d:Title": "BBC News: Harrison Leaves Hospital", "d:Description": "George recovering from stab wounds at home after being discharged. Update of attack case.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/Stabbing_Attack", "url": "http://news.bbc.co.uk/1/hi/uk/584593.stm"} +{"d:Title": "BBC News: Beatle's Attacker \"Was Failed\"", "d:Description": "Health managers apologize to George Harrison over failures in the care of the mentally ill man who attacked him.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/Stabbing_Attack", "url": "http://news.bbc.co.uk/1/hi/uk/england/1614808.stm"} +{"d:Title": "BBC News: Neighbours Tell of Fort Knox", "d:Description": "Neighbors comment on the Harrison attack and security discussed.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Articles_and_Interviews/Stabbing_Attack", "url": "http://news.bbc.co.uk/1/hi/uk/584090.stm"} +{"d:Title": "DarkHorseBoots", "d:Description": "Unrestricted mailing list for CD-R trading of out of print, hard to find, and bootleg Harrison CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Chats_and_Forums", "url": "http://groups.yahoo.com/group/DarkHorseBoots/"} +{"d:Title": "The George Harrison Ring", "d:Description": "A webring for pages about the Beatles and their lead guitarist, George Harrison.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Directories", "url": "http://www.webring.org/hub?ring=georgering"} +{"d:Title": "George Harrison: The Photographic History", "d:Description": "Detailed biography and a fan's personal insights. Pictures, album and song list, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Fan_Pages", "url": "http://members.tripod.com/~peace_chic/indexgeorge.html"} +{"d:Title": "George Harrison: The Man And Your Guitar", "d:Description": "Reviews George's position within the music world. Features biography, discography, song scripts, chords, events, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Fan_Pages", "url": "http://www.angelfire.com/musicals/harrison/"} +{"d:Title": "Hari's on The Web", "d:Description": "Discography with album information, cover scans, and lyrics. Also, information on CD and film promos, live shows, recording sessions, TV and radio appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Fan_Pages", "url": "http://www.bekkoame.ne.jp/~garp/hari/george.htm"} +{"d:Title": "The Real Blue Jay Way", "d:Description": "Pictures of the house and the organ that Harrison used when he wrote \"Blue Jay Way.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Image_Galleries", "url": "http://electricearl.com/BlueJay5.html"} +{"d:Title": "Oldie Lyrics: George Harrison", "d:Description": "Complete lyrics to solo material, organized by albums and songs. Links to related lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Lyrics", "url": "http://www.oldielyrics.com/g/george_harrison.html"} +{"d:Title": "Internet Movie Database: George Harrison", "d:Description": "Detailed filmography of George as an actor, producer, and musical composer. Notable television appearances, photograph gallery, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Movies", "url": "http://www.imdb.com/name/nm0365600/"} +{"d:Title": "Contactmusic: George Harrison", "d:Description": "Profile with related news.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Resources", "url": "http://www.contactmusic.com/info/george_harrison"} +{"d:Title": "Rock and Roll Hall of Fame: George Harrison", "d:Description": "Inductee biography with video clips from ceremony.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Resources", "url": "http://rockhall.com/inductees/george-harrison/"} +{"d:Title": "Rolling Stone: George Harrison", "d:Description": "Includes a biography, discography, reviews, album guide, and links to related musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Resources", "url": "http://www.rollingstone.com/music/artists/george-harrison"} +{"d:Title": "MTV: George Harrison", "d:Description": "Includes news articles, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Resources", "url": "http://www.mtv.com/artists/george-harrison/"} +{"d:Title": "Jimmy Pou", "d:Description": "Official site of the performer who portrays George Harrison in \"1964: The Tribute.\" Site includes biography, photos, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Tribute_Bands", "url": "http://www.jimmypou.com/"} +{"d:Title": "Hare Georgeson", "d:Description": "George Harrison tribute show based in Liverpool, UK. Song list, history, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harrison,_George/Tribute_Bands", "url": "http://www.fabproductions.co.uk/hare"} +{"d:Title": "Harrypotters", "d:Description": "Livejournal community where fans can post messages about the band and related topics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harry_and_the_Potters", "url": "http://www.livejournal.com/userinfo.bml?user=harrypotters"} +{"d:Title": "Potterific!", "d:Description": "Article by Mike Miliard of the Boston Phonenix about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harry_and_the_Potters", "url": "http://www.bostonphoenix.com/boston/music/other_stories/documents/03042096.asp"} +{"d:Title": "We are Harry and the Potters", "d:Description": "Official website from the duo, whose songs are all about the Harry Potter book series. Show listing, band history, photos, MP3s, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harry_and_the_Potters", "url": "http://harryandthepotters.com/"} +{"d:Title": "Beth Hart", "d:Description": "Official site with biography, discography, audio and video clips, lyrics, photos, chats, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Beth", "url": "http://www.bethhart.com/"} +{"d:Title": "Entertainment Ave: Beth Hart Band", "d:Description": "Review of a concert at Schuba's Tavern in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Beth", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/h/beth_hart/bh062496.htm"} +{"d:Title": "Wikipedia: Beth Hart", "d:Description": "Includes biographical information, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Beth", "url": "http://en.wikipedia.org/wiki/Beth_Hart"} +{"d:Title": "MySpace Music: Beth Hart", "d:Description": "Official page with audio clips, video clips and some background information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Beth", "url": "https://myspace.com/officialbethhart"} +{"d:Title": "Twitter: Beth Hart", "d:Description": "The artist's official Twitter feed.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Beth", "url": "http://twitter.com/bethhart"} +{"d:Title": "YouTube: Beth Hart", "d:Description": "The artist's official YouTube channel.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Beth", "url": "http://www.youtube.com/user/BethHartMusic"} +{"d:Title": "Beth Hart&Joe Bonamassa", "d:Description": "Official site for Beth Hart's collaboration with Joe Bonamassa. Includes news, photos, videos, tour dates, and biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Beth", "url": "http://hartandbonamassa.com/"} +{"d:Title": "Corey Hart", "d:Description": "Official site includes news, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Corey", "url": "http://www.coreyhart.com/"} +{"d:Title": "Corey Hart Online Archive", "d:Description": "Includes news, pictures, and newspaper clippings.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Corey", "url": "http://www.angelfire.com/ns/coreyhart/"} +{"d:Title": "The Annotated Mickey Hart Discography", "d:Description": "Discography with some lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://arts.ucsc.edu/gdead/agdl/hartdisc.html"} +{"d:Title": "The Vibratory World: An interview with Mickey Hart", "d:Description": "An interview following the WOMAD USA Festival about his performance and the influences on his music.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://www.gdforum.com/chats/MH-WOMAD.html"} +{"d:Title": "CNN: Mickey Hart Returns Attention to World Music", "d:Description": "Summary and video of full interview.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://www.cnn.com/SHOWBIZ/Music/9812/02/mickey.hart.wb/"} +{"d:Title": "Mickey Hart Solo Projects", "d:Description": "Lyrics to his non-Grateful Dead songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://www3.clearlight.com/~acsa/hartfind.htm"} +{"d:Title": "Surround: Mickey Hart", "d:Description": "Interview about the pros and techniques of multichannel recording and the preservation of the Library of Congress Sound recording archive.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://www.meyersound.com/news/press/sur_hart.htm"} +{"d:Title": "Grateful Dead Family Discography: Mickey Hart", "d:Description": "Discography of his works, including solo and with other groups.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://www.deaddisc.com/GDFD_Mickey_Hart.htm"} +{"d:Title": "DrummerWorld: Mickey Hart", "d:Description": "Photo gallery and list of bands he's played with.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://www.drummerworld.com/drummers/Mickey_Hart.html"} +{"d:Title": "Mickey Hart", "d:Description": "Official site for the artist and former member of the Grateful Dead. Biography, discography, tour information, news, books, and online ordering.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey", "url": "http://www.mickeyhart.net/"} +{"d:Title": "UCSC: Spirit into Sound: The Magic of Music", "d:Description": "A review of the book, co-authored by Hart and Fredric Lieberman, published in November, 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey/Reviews", "url": "http://currents.ucsc.edu/99-00/01-17/lieberman.html"} +{"d:Title": "mrlee.com: Mickey Hart", "d:Description": "A review of the enhanced CD Spririt into Sound, released in January, 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hart,_Mickey/Reviews", "url": "http://www.mrlee.com/cdreviews/mhart-spirit%20into%20sound.html"} +{"d:Title": "Picture Gallery", "d:Description": "A photo archive that includes images from a 1998 concert in Chicago, Illinois and images from magazine articles and album covers.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J.", "url": "http://alphane.com/polly/"} +{"d:Title": "PJHarvey.net", "d:Description": "Includes news, audio and video, reviews and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J.", "url": "http://www.pjharvey.net/"} +{"d:Title": "Live on KCRW", "d:Description": "A performance from the show \"Sounds Eclectic\" in RealAudio.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J.", "url": "http://www.kcrw.com/music/programs/sc/sc010513pj_harvey"} +{"d:Title": "All Music Guide: PJ Harvey", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J.", "url": "http://www.allmusic.com/artist/pj-harvey-p26498"} +{"d:Title": "RollingStone.com: PJ Harvey", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J.", "url": "http://www.rollingstone.com/music/artists/pj-harvey"} +{"d:Title": "AskMen.com: PJ Harvey", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J.", "url": "http://www.askmen.com/celebs/women/singer_100/103_pj_harvey.html"} +{"d:Title": "MTV.com: PJ Harvey", "d:Description": "Includes album reviews, music news, audio downloads, a biography, a discography, links and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J.", "url": "http://www.mtv.com/artists/pj-harvey/"} +{"d:Title": "All-Reviews.com - Stories from the City, Stories from the Sea", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://www.all-reviews.com/music/stories-from-city.htm"} +{"d:Title": "NYRock.com: Hammerstein Ballroom, NYC", "d:Description": "PJ Harvey Inherits the Earth at the Hammerstein Ballroom", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://www.nyrock.com/reviews/pjharvey.htm"} +{"d:Title": "John Parish and PJ Harvey - Bristol, England", "d:Description": "\"Polly Jean and John Parish had 400 English punters steaming up the small Bristolian pub...\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://members.tripod.com/Sadness_IsIn_The_Sky/pj.html"} +{"d:Title": "Q Magazine: Is This Desire?", "d:Description": "\"Boasting 12 tracks yet clocking in at just a shade over 40 minutes, Is This Desire? is one of the most artfully truncated missives of bleakness and pain to have emerged from a jewel box or Digipack since the dawn of CD.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://www.q4music.com/nav?page=q4music.review.redirect&fixture_review=133330&resource=133330&fixture_artist=146378"} +{"d:Title": "Q Magazine: 4-Track Demos", "d:Description": "\"PJ Harvey is a formidable new voice in modern rock but it's hard to see who will want this beyond the most rapacious fan.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://www.q4music.com/nav?page=q4music.review.redirect&fixture_review=122939&resource=122939&fixture_artist=146378"} +{"d:Title": "Q Magazine: Rid of Me", "d:Description": "\"It starts with the musical equivalent of an itch. Sure enough, just when you've keyed into the mood, the track explodes in your face with a massively over-cranked, woofer-and-tweeter-threatening bombardment of noise.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://www.q4music.com/nav?page=q4music.review.redirect&fixture_review=122046&resource=122046&fixture_artist=146378"} +{"d:Title": "Q Magazine: Dry", "d:Description": "\"Like her closest antecedents, Patti Smith and Throwing Muses, Yeovil's PJ Harvey isn't easily definable.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://www.q4music.com/nav?page=q4music.review.redirect&fixture_review=120201&resource=120201&fixture_artist=146378"} +{"d:Title": "Metacritic", "d:Description": "A collection of Stories from the City, Stories from the Sea reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Reviews", "url": "http://www.metacritic.com/music/stories-from-the-city-stories-from-the-sea/pj-harvey"} +{"d:Title": "50 Ft Queenie", "d:Description": "4-piece PJ Harvey tribute band based in Chicago. News, set list, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey,_P.J./Tribute_Bands", "url": "http://mutagenesis.tripod.com/50ftqueenie/"} +{"d:Title": "Harvey Danger", "d:Description": "Official website of the band who are Sean Nelson, Jeff J Lin, Evan Sult and Aaron Huffman. With news, multimedia and a messageboard.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey_Danger", "url": "http://www.harveydanger.com/"} +{"d:Title": "Entertainment Ave: Harvey Danger", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Harvey_Danger", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/harvey_danger.htm"} +{"d:Title": "Juliana Hatfield Tab Page", "d:Description": "Guitar transcriptions of Juliana's songs and those of the \"Blake Babies\", her first band.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana", "url": "http://members.tripod.com/~mindvomit/juliana.html"} +{"d:Title": "This Year's Model", "d:Description": "The Boston Phoenix is trying to catch up with the new Juliana Hatfield.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana", "url": "http://bostonphoenix.com/archive/music/97/11/13/CELLARS.html"} +{"d:Title": "My So-Called Life", "d:Description": "Transcript of the \"My So-Called Life\" episode that featured Juliana Hatfield portraying a runaway.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana", "url": "http://www.cc.gatech.edu/grads/c/Kevin.Chen/mscl/script.15"} +{"d:Title": "ArtistDirect: Juliana Hatfield", "d:Description": "Biography, links, news, and listening room.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana", "url": "http://www.artistdirect.com/artist/bio/juliana-hatfield/442049"} +{"d:Title": "Sharps and Flats", "d:Description": "A Salon article comparing Juliana Hatfield's \"Please Do Not Disturb\" and Lisa Loeb's \"Firecracker\".", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana", "url": "http://www.salon.com/1997/11/18/sharps_58/"} +{"d:Title": "Le monde selon clo: Juliana Hatfield", "d:Description": "Contains discography, photographs and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana/Fan_Pages", "url": "http://clotilde.drivet.free.fr/music.htm"} +{"d:Title": "Juliana Hatfield Lyrics", "d:Description": "Lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana/Fan_Pages", "url": "http://www.alwaysontherun.net/juliana.htm"} +{"d:Title": "Juliana is a Goddess", "d:Description": "News and tour dates, picture galleries, and personal information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana/Fan_Pages", "url": "http://ggdolls.tripod.com/music.html"} +{"d:Title": "Misty's Juliana Hatfield Page", "d:Description": "Concert, magazine and album pictures, bootlegs, and some reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana/Fan_Pages", "url": "http://mistypang.tripod.com/"} +{"d:Title": "Dumb Fun", "d:Description": "Pictures and links to other web resources.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hatfield,_Juliana/Fan_Pages", "url": "http://iluvjuliana.tripod.com/Hatfield.html"} +{"d:Title": "Ronnie Hawkins", "d:Description": "Part of The Band - related artists project. Includes photographs, facts, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Ronnie", "url": "http://theband.hiof.no/related/ronnie_hawkins.html"} +{"d:Title": "Artist Direct: Ronnie Hawkins", "d:Description": "Includes biography, message board and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Ronnie", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,442219,00.html"} +{"d:Title": "All Music Guide: Ronnie Hawkins", "d:Description": "Profile includes a biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Ronnie", "url": "http://www.allmusic.com/artist/ronnie-hawkins-p4455"} +{"d:Title": "Canoe.ca: Ronnie Hawkins", "d:Description": "Biography at the Canadian Music Encyclopedia.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Ronnie", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/H/Hawkins_Ronnie.html"} +{"d:Title": "The Official Sophie B. Hawkins Home Page", "d:Description": "News, tracks, tour and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Sophie_B.", "url": "http://www.sophiebhawkins.com/"} +{"d:Title": "The Cream Will Rise", "d:Description": "About the film with images, video and sound, festivals, articles, reviews and other projects.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Sophie_B.", "url": "http://www.thecreamwillrise.com/cream/index.html"} +{"d:Title": "CrackerCode", "d:Description": "Code used to communicate by Sophie B. Hawkins fans.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Sophie_B.", "url": "http://www.brainwavez.org/sbh/index.html"} +{"d:Title": "Gregg's Unofficial Sophie B. Hawkins Page", "d:Description": "Painting and personal insights.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Sophie_B.", "url": "http://gwagener.tripod.com/sophie.html"} +{"d:Title": "The Sophie B. Hawkins Vault", "d:Description": "Track listing, general information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkins,_Sophie_B.", "url": "http://wvm13.tripod.com/sophiebhawkins/vault.html"} +{"d:Title": "Hawkwind Daze", "d:Description": "Hawkwind fan site by Japanese. Has English version pages with the latest news, interesting various topics and graphics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://www.hawkwind.jp/"} +{"d:Title": "Hawkwind Photographs", "d:Description": "by J\u00f8rgen Angel", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://www.angel.dk/Pages/HAWKovers0.html"} +{"d:Title": "Omnivoid's Hawkwind Page", "d:Description": "Information on the best psychedelic space rock band on earth.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://www.angelfire.com/nt/omnivoid/hawind.html"} +{"d:Title": "Hawkwind in Oz", "d:Description": "Hawkwind in Australia fan site with many Hawkwind links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://hawkwind.4t.com/"} +{"d:Title": "Starfarer's Hawkwind Page", "d:Description": "An irreverent fan site devoted to Hawkwind, inventors of space rock.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://www.starfarer.net/index.html"} +{"d:Title": "DreamWorker", "d:Description": "Including appetite-whetters for some of the bands associated with Hawkwind, along with some advice on converting a vinyl collection to CD or MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://dreamworker.co.uk/"} +{"d:Title": "Hawkwind Mission Control", "d:Description": "Notice board and other communications.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://www.hawkwind.com/"} +{"d:Title": "Gnawing Medusa's Flesh: The Science Fiction Poetry of Robert Calvert", "d:Description": "Essay exploring Calvert's works.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://aural-innovations.com/issues/issue18/02_sneyd.html"} +{"d:Title": "The Hawkwind Museum", "d:Description": "Tour dates, forum, news, audio, video and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawkwind", "url": "http://www.hawkwindmuseum.co.uk/"} +{"d:Title": "London Net: Richard Hawley", "d:Description": "Interview.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawley,_Richard", "url": "http://www.londonnet.co.uk/ln/out/music/richard.html"} +{"d:Title": "Richard Hawley", "d:Description": "Official site. Features live dates, discography, mailing list, music downloads, and press.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawley,_Richard", "url": "http://www.richardhawley.co.uk/"} +{"d:Title": "Crud Magazine: Richard Hawley", "d:Description": "Night Ride on Route 66. Interview by Allan Kemler, includes photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hawley,_Richard", "url": "http://www.2-4-7-music.com/newsitems/dec01/richardhawley.asp"} +{"d:Title": "Waste Your Days Away", "d:Description": "Digital extensive discography features both official and unofficial releases including rare promotional materials. Site also includes news, mailing lists, and downloadable tribute album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayden", "url": "http://www.wasteyourdaysaway.com/"} +{"d:Title": "Darren Hayes Official Website", "d:Description": "The official artist web-site includes bulletin-board, journal, news, discography, gallery, merchandise, and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Darren", "url": "http://www.darrenhayes.com/"} +{"d:Title": "h2g2: Darren Hayes Biography", "d:Description": "Biography on h2g2 Website.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Darren/Biography", "url": "http://www.h2g2.com/entry/A14501071"} +{"d:Title": "MTV - Darren Hayes Biography", "d:Description": "Biography information for Darren Hayes", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Darren/Biography", "url": "http://www.mtv.com/artists/darren-hayes/"} +{"d:Title": "Creepin' Up On Darren Hayes", "d:Description": "Fan site containing biography, facts and links", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Darren/Fan_Pages", "url": "http://www.angelfire.com/music4/creepinupondarren/"} +{"d:Title": "Darren Hayes Street Team Asia", "d:Description": "A fan-site for Darren Hayes Street Teams in Asia. Includes information on promoting the artist, contacts, discography, news, articles, member profiles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Darren/Fan_Pages", "url": "http://dhst-asia.0catch.com/"} +{"d:Title": "DarrenHayes.has.it", "d:Description": "Wallpapers, photos, skins, and screensavers.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Darren/Fan_Pages", "url": "http://rainydays-online.com/4images/start.php"} +{"d:Title": "Gemma Hayes", "d:Description": "Fan site includes news, biography, discography, lyrics, images, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Gemma", "url": "http://www.irishmusiccentral.com/gemmahayes/"} +{"d:Title": "CLUAS.com - Gig Review - Gemma Hayes", "d:Description": "Review of her gig in Dolan's Warehouse (Limerick) in March 2002.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Gemma", "url": "http://www.cluas.com/music/gigs/gemmahayes-limerick.htm"} +{"d:Title": "All Music Guide: Gemma Hayes", "d:Description": "Includes profile, biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Gemma", "url": "http://www.allmusic.com/artist/gemma-hayes-mn0000195583"} +{"d:Title": "MTV: Gemma Hayes", "d:Description": "Includes news, biography, tour dates, message boards, audio and video, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Gemma", "url": "http://www.mtv.com/artists/gemma-hayes/"} +{"d:Title": "CNN.com: Chef Keeps Isaac Hayes' Career Simmering Along", "d:Description": "Updates on Hayes' career.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Isaac", "url": "http://www.cnn.com/SHOWBIZ/Movies/9907/07/isaac.hayes/index.html"} +{"d:Title": "Isaac Hayes", "d:Description": "Official site. News, biography, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Isaac", "url": "http://www.isaachayes.com/"} +{"d:Title": "Soul-Patrol.com: Isaac Hayes", "d:Description": "Review of \"Ultimate Collection\", with a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Isaac", "url": "http://www.soul-patrol.com/funk/hayes.htm"} +{"d:Title": "IMDB: Isaac Hayes", "d:Description": "Biography, and filmography as an actor and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Isaac", "url": "http://www.imdb.com/name/nm0005002/"} +{"d:Title": "Rock and Roll Hall of Fame: Isaac Hayes", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hayes,_Isaac", "url": "http://www.rockhall.com/inductees/isaac-hayes"} +{"d:Title": "Electric Earl: Ofra Haza", "d:Description": "Memorial site includes a RealAudio interview, photos, and memories.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haza,_Ofra", "url": "http://www.electricearl.com/ofrahaza.html"} +{"d:Title": "Ofra Haza - Don't Stop Me from Being Free", "d:Description": "Fan site includes discography, videography, lyrics, and photos of her grave.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haza,_Ofra", "url": "http://chipwich.tripod.com/ofrahaza/main.html"} +{"d:Title": "TrouserPress.com: Ofra Haza", "d:Description": "Reviews of her recordings released in the US during the 1980s.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haza,_Ofra", "url": "http://www.trouserpress.com/entry.php?a=ofra_haza"} +{"d:Title": "The Voice of Heaven - Ofrah Haza", "d:Description": "Memorium for the Israeli singer, with background, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haza,_Ofra", "url": "http://www.imninalu.net/"} +{"d:Title": "BBC News: Singer Ofra Haza Dies", "d:Description": "Obituary.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haza,_Ofra", "url": "http://news.bbc.co.uk/1/hi/entertainment/655312.stm"} +{"d:Title": "All Music Guide: Ofra Haza", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haza,_Ofra", "url": "http://www.allmusic.com/artist/ofra-haza-p3296"} +{"d:Title": "IMDb: Ofra Haza", "d:Description": "Filmography as an actress and vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Haza,_Ofra", "url": "http://www.imdb.com/name/nm0371866/"} +{"d:Title": "The Hazies Mailing List", "d:Description": "Located at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hazies,_The", "url": "http://launch.groups.yahoo.com/group/thehazies/"} +{"d:Title": "Head East", "d:Description": "Home of the Midwest's legendary Classic Rock Band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Head_East", "url": "http://www.head-east.com/"} +{"d:Title": "Imogen Heap", "d:Description": "Official site with news, biography, forum, mailing list and pictures about this artist who formed the band Frou Frou with Madonna producer Guy Sigsworth.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heap,_Imogen", "url": "http://www.imogenheap.co.uk/"} +{"d:Title": "Imogen Heap Being Free", "d:Description": "With news, interviews, lyrics, sound and video clips and tour dates. A biography section and picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heap,_Imogen", "url": "http://www.funkyrebel.com/imogen/beingfree.htm"} +{"d:Title": "MTV: Imogen Heap", "d:Description": "Contains biography, links, news, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heap,_Imogen", "url": "http://www.mtv.com/artists/imogen-heap/"} +{"d:Title": "The Heart Gallery", "d:Description": "Includes lyrics, year-by-year photo gallery, web forum, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heart", "url": "http://www.theheartgallery.net/"} +{"d:Title": "BebeLeStrange's Heart And Lovemonger Site", "d:Description": "Includes news, multimedia, midi files, photos, mpg clips, reviews of concerts, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heart", "url": "http://www.monger.net/~brigade/index.html"} +{"d:Title": "Entertainment Ave: Heart featuring Ann Wilson", "d:Description": "Review of a concert at The House of Blues in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heart", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/h/heart/he061898.htm"} +{"d:Title": "Heart-music.com", "d:Description": "Official site includes news, tour dates, journal, photos, biography, discography, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heart", "url": "http://heart-music.com/"} +{"d:Title": "Heart Linker, The", "d:Description": "Links and news about the group, and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heart", "url": "http://www.heartlinker.eu/"} +{"d:Title": "Big Bruno's Eric Heatherly Web Page", "d:Description": "Photos, fan club information, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heatherly,_Eric", "url": "http://www.bigbruno.com/celebrities/ericheatherly.html"} +{"d:Title": "All Music Guide: Heatmiser", "d:Description": "Biography, discography, song highlights and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heatmiser", "url": "http://www.allmusic.com/artist/heatmiser-p39334"} +{"d:Title": "Wikipedia: Heavens to Betsy", "d:Description": "Article includes discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heavens_to_Betsy", "url": "http://en.wikipedia.org/wiki/Heavens_to_Betsy"} +{"d:Title": "Hippjokk", "d:Description": "Review of the album on folkmusic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hedningarna", "url": "http://www.folkmusic.net/htmfiles/webrevs/srscdd4737.htm"} +{"d:Title": "Hedningarna", "d:Description": "Features presentations of the band members, a history, tours and events, a picture gallery and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hedningarna", "url": "http://www.silence.se/hedningarna/"} +{"d:Title": "Circus: A (hed)pe Fan Page", "d:Description": "Features member profiles, lyrics, sound files, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hed_p.e.", "url": "http://www.angelfire.com/ms/hedpe/"} +{"d:Title": "(hed) Planet Earth Give Chicago What It Needs", "d:Description": "Live performance review and photographs from Chicago House of Blues June 18, 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hed_p.e.", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=113"} +{"d:Title": "Hefner", "d:Description": "Biography, discography, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hefner", "url": "http://www.hefnet.com/"} +{"d:Title": "Metacritic: We Love The City", "d:Description": "Multiple critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hefner", "url": "http://www.metacritic.com/music/we-love-the-city/hefner"} +{"d:Title": "The Helio Sequence", "d:Description": "Brief review of \"Com Plex\", a 2000 release by Cavity Search Records. [English/Dutch]", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helio_Sequence", "url": "http://users.skynet.be/entrepot/rev/h/helio2.html"} +{"d:Title": "Matador Records: Helium", "d:Description": "Includes biography, picture gallery, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helium", "url": "http://www.matadorrecords.com/helium/"} +{"d:Title": "Dusted Magazine: Hella", "d:Description": "Review of The Devil Isn't Red.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hella", "url": "http://www.dustedmagazine.com/reviews/1250"} +{"d:Title": "Pitchfork: Hella", "d:Description": "Review of The Devil Isn't Red.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hella", "url": "http://pitchfork.com/reviews/albums/3961-the-devil-isnt-red/"} +{"d:Title": "Wikipedia - Hellogoodbye", "d:Description": "Encyclopedia article covering the band's history, members, former members, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hellogoodbye", "url": "http://en.wikipedia.org/wiki/Hellogoodbye"} +{"d:Title": "The Two Months of Spring Break Tour 99", "d:Description": "Concert dates, locations and tickets.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hellogoodbye", "url": "http://www.hellogoodbye.net/"} +{"d:Title": "Hellogoodbye Lyrics", "d:Description": "Includes lyrics from EP: \"Hellogoodbye\" (2004), and album: \"Zombies Aliens Vampires Dinosaurs\" (2006).", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hellogoodbye", "url": "http://www.azlyrics.com/h/hellogoodbye.html"} +{"d:Title": "Purevolume - Hellogoodbye", "d:Description": "Photographs, show schedule, play list and resource links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hellogoodbye", "url": "http://www.purevolume.com/hellogoodbye"} +{"d:Title": "Last.fm - Hellogoodbye", "d:Description": "Photographs, band biography and history, recent and upcoming events.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hellogoodbye", "url": "http://www.last.fm/music/Hellogoodbye"} +{"d:Title": "Levon Helm", "d:Description": "Official site of drummer for The Band. Includes biography, photos, merchandise and music for sale.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helm,_Levon", "url": "http://www.levonhelm.com/"} +{"d:Title": "Wikipedia: Levon Helm", "d:Description": "Encyclopedia article with biography, filmology, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helm,_Levon", "url": "http://en.wikipedia.org/wiki/Levon_Helm"} +{"d:Title": "Internet Movie Database: Levon Helm", "d:Description": "Features biography, photographs, filmography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helm,_Levon", "url": "http://www.imdb.com/name/nm0375629"} +{"d:Title": "Levon Helm and The Band: a rock parable of fame, betrayal, and redemption", "d:Description": "Christian Science Monitor article about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helm,_Levon", "url": "http://www.csmonitor.com/The-Culture/Music/2012/0420/Levon-Helm-and-The-Band-a-rock-parable-of-fame-betrayal-and-redemption"} +{"d:Title": "Levon Helm's Facebook Page", "d:Description": "Official Facebook page maintained by his manager.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helm,_Levon", "url": "https://www.facebook.com/levonhelm"} +{"d:Title": "Rhapsody: Levon Helm", "d:Description": "Biography, selected tracks, and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Helm,_Levon", "url": "http://www.rhapsody.com/artist/levon-helm"} +{"d:Title": "Wikipedia: Hemingway Corner", "d:Description": "Article about the duo.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hemingway_Corner", "url": "http://en.wikipedia.org/wiki/Hemingway_Corner"} +{"d:Title": "Just Ask the Axis", "d:Description": "Database of Hendrix performances searchable by song, venue, city, state, country, band lineup, and date.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.digitalhighway.co.uk/axis/"} +{"d:Title": "Fade to Hendrix", "d:Description": "Listing of official and unofficial material by and about Jimi Hendrix: CDs, CDRs, LPs, videos, and books.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://seminorvege.tripod.com/hendrix.html"} +{"d:Title": "Rockmagic.net: Jimi Hendrix", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://lyrics.rockmagic.net/lyrics/hendrix_jimi/"} +{"d:Title": "Jimi Hendrix Guitar and Bass Tabs", "d:Description": "Guitar and bass tablatures as well as lyrics for some of Hendrix's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.rockmagic.net/guitar-tabs/jimi-hendrix/"} +{"d:Title": "Michael Marsch's Jimi Hendrix Page", "d:Description": "Links to more than 160 Jimi sites, including pages of concert photos, lyrics and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.hendrix-links.de/"} +{"d:Title": "Jorgen Angel Photography", "d:Description": "Photos of Jimi Hendrix from one of his last concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.angel.dk/hendrix/"} +{"d:Title": "Jimpress", "d:Description": "Information on this fanzine and related publications.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.jimpress.co.uk/"} +{"d:Title": "Voodoochile Internet Group", "d:Description": "Mailing list for Hendrix fans with over 570 members.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://launch.groups.yahoo.com/group/voodoochile/"} +{"d:Title": "RollingStone.com: Jimi Hendrix", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.rollingstone.com/music/artists/jimi-hendrix"} +{"d:Title": "All Music Guide: Jimi Hendrix", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.allmusic.com/artist/jimi-hendrix-p85934"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Jimi Hendrix", "d:Description": "Reviews and analysis of the key albums.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://sfloman.com/jimihendrix.html"} +{"d:Title": "Rock and Roll Hall of Fame: The Jimi Hendrix Experience", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://rockhall.com/inductees/the-jimi-hendrix-experience/"} +{"d:Title": "The Jimi Hendrix Record Guide", "d:Description": "A comprehensive guide to the musical legacy of the guitar genius, with detailed descriptions of all official and many unofficial vinyls and CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://hendrix.guide.pagesperso-orange.fr/hendrix.htm"} +{"d:Title": "MTV: Jimi Hendrix", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi", "url": "http://www.mtv.com/artists/jimi-hendrix/"} +{"d:Title": "Jimi Hendrix: Black Experience", "d:Description": "Discusses Hendrix as both musician and African-American and his continuing cultural influence.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Articles_and_Interviews", "url": "http://www.soul-patrol.com/funk/jh.htm"} +{"d:Title": "Prince of Our Disorder", "d:Description": "Kevin Cooney's memorial essay on Hendrix.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Articles_and_Interviews", "url": "http://www.soul-patrol.com/funk/jh_ess.htm"} +{"d:Title": "Live at the Fillmore Review", "d:Description": "Reviews Band of Gypsys release from the New Year's Eve 1969-New Year's Day 1970 performances.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Articles_and_Interviews", "url": "http://www.soul-patrol.com/funk/filmore.htm"} +{"d:Title": "UniVibes", "d:Description": "News, reviews, and articles from the UniVibes fanzine.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Articles_and_Interviews", "url": "http://www.univibes.com/"} +{"d:Title": "First Rays Of The New Rising Sun", "d:Description": "Reviews album consisting of tracks in progress at the time of Jimi's death.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Articles_and_Interviews", "url": "http://www.soul-patrol.com/funk/rays1.htm"} +{"d:Title": "Jimi Hendrix Photo Gallery", "d:Description": "Image galleries, influences and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Fan_Pages", "url": "http://www.flyingeyeball.com/"} +{"d:Title": "The Hendrix Collection", "d:Description": "Photo galleries and interviews with Kathy Etchingham, The Isley Brothers, Fayne Pridgon, Johnny Winter, and John Hammond Jr., plus book excerpts and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Fan_Pages", "url": "http://thehendrixcollection.gobot.com/"} +{"d:Title": "Who is Jimi Hendrix?", "d:Description": "Discography of live Hendrix recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Fan_Pages", "url": "http://www.jimilivedata.com/"} +{"d:Title": "Randy Hansen", "d:Description": "Official site for long-time Hendrix emulator Randy Hansen. Includes itineraries, photos, and reviews. (Macromedia Flash required).", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Tribute_Acts", "url": "http://www.randyhansen.com/"} +{"d:Title": "Are You Experienced?", "d:Description": "Jimi Hendrix Experience tribute band. Member profiles, song list, gig dates, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Tribute_Acts", "url": "http://www.areyou-experienced.co.uk/"} +{"d:Title": "Ezy Rider", "d:Description": "Italian Jimi Hendrix tribute band, on the road since 1986. Includes biography, photos, sound files, and set list.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Tribute_Acts", "url": "http://spazioinwind.libero.it/jimihendrix/index.html"} +{"d:Title": "Crosstown Traffic", "d:Description": "The Belgian Jimi Hendrix project. Includes news, set list, member profiles, show dates, photos, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hendrix,_Jimi/Tribute_Acts", "url": "http://www.crosstowntraffic.be/"} +{"d:Title": "Don Henley", "d:Description": "Official site includes news, events, tour dates, biography, audio and video files, message board, and notes from the road.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henley,_Don", "url": "http://www.donhenley.com/"} +{"d:Title": "DaveMcNally.com: Don Henley", "d:Description": "Song lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henley,_Don", "url": "http://www.davemcnally.com/Lyrics/DonHenley/"} +{"d:Title": "Discography of Don Henley", "d:Description": "Features list of singles albums, related artists and movie soundtracks.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henley,_Don", "url": "http://www.eaglesfans.com/don-henley-discography/"} +{"d:Title": "MTV: Don Henley", "d:Description": "Includes news, biography, musical influences, audio clips, photos, music videos, bulletin board, links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henley,_Don", "url": "http://www.mtv.com/artists/don-henley/"} +{"d:Title": "All-Reviews.com: Fuse", "d:Description": "Review of the Joe Henry album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henry,_Joe", "url": "http://www.all-reviews.com/music/fuse.htm"} +{"d:Title": "Ink 19: Joe Henry", "d:Description": "Interview about songwriting, collaborations and having Madonna record one of his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henry,_Joe", "url": "http://www.ink19.com/issues/august2001/inkSpots/joeHenry.html"} +{"d:Title": "Joe Henry Loves You Madly", "d:Description": "Official site features tour dates, press and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henry,_Joe", "url": "http://www.joehenrylovesyoumadly.com/"} +{"d:Title": "Trouser Press: Joe Henry", "d:Description": "Critiques of his albums.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henry,_Joe", "url": "http://www.trouserpress.com/entry.php?a=joe_henry"} +{"d:Title": "All Music Guide: Joe Henry", "d:Description": "Detailed biography, discography and song highlights.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henry,_Joe", "url": "http://www.allmusic.com/artist/joe-henry-p13179"} +{"d:Title": "Anti-: Joe Henry", "d:Description": "Record label site with news, album information, audio samples, biography, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henry,_Joe", "url": "http://www.anti.com/artists/joe-henry/"} +{"d:Title": "The Official Judy Henske Website", "d:Description": "Information on latest album, guestbook, articles and images.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henske,_Judy", "url": "http://www.judyhenske.com/"} +{"d:Title": "FolkLib Index: Judy Henske", "d:Description": "Profile and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Henske,_Judy", "url": "http://www.folklib.net/index/h/henske_judy.shtml"} +{"d:Title": "Peter Noone", "d:Description": "Official site of the famous singer for Herman's Hermits. Includes tour information, forum and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Herman's_Hermits", "url": "http://www.peternoone.com/"} +{"d:Title": "Herman's Hermits", "d:Description": "Official UK site of the current incarnation of the band of which only drummer Barry Whitwam is the only original member. Features discography, biographies of current members, fan clubs, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Herman's_Hermits", "url": "http://www.hermanshermits.co.uk/"} +{"d:Title": "Herman's Hermits", "d:Description": "60's British Invasion band. Photos, magazine articles, discography, trivia and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Herman's_Hermits", "url": "http://www.hermanshermits.com/"} +{"d:Title": "Noonatics", "d:Description": "Fan site offers concert photos, forum, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Herman's_Hermits", "url": "http://noonatics.com/"} +{"d:Title": "Herman's Hermits on the Artistdirect Network", "d:Description": "Includes history, discography and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Herman's_Hermits", "url": "http://www.artistdirect.com/artist/bio/hermans-hermits/443407"} +{"d:Title": "Eyesore: Kristin Hersh", "d:Description": "Discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hersh,_Kristin", "url": "http://quimby.gnus.org/html/group/hershkristin.html"} +{"d:Title": "4AD: Kristin Hersh", "d:Description": "Information from her record company. News, biography, discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hersh,_Kristin", "url": "http://www.4ad.com/artists/kristinhersh/"} +{"d:Title": "Beggars Group: Kristin Hersh", "d:Description": "Biography, discography, and audio and video clips from her US distributor.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hersh,_Kristin", "url": "http://www.beggarsgroupusa.com/kristinhersh/"} +{"d:Title": "Kristin Hersh", "d:Description": "Official site with news, tour dates, postcards and MP3 subscription program with unreleased recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hersh,_Kristin", "url": "http://www.kristinhersh.com/"} +{"d:Title": "Metacritic: Kristin Hersh: Sunny Border Blue", "d:Description": "Multiple critic and user reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hersh,_Kristin", "url": "http://www.metacritic.com/music/sunny-border-blue/kristin-hersh"} +{"d:Title": "The Ectophiles' Guide: Hex", "d:Description": "Discography with comments.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hex", "url": "http://www.ectoguide.org/artists/hex"} +{"d:Title": "Richard X. Heyman Official Site", "d:Description": "Features excerpts from his book, biography, calendar, articles, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Heyman,_Richard_X.", "url": "http://www.richardxheyman.com/"} +{"d:Title": "AMG: The Hi-Lo's", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hi-Lo's,_The", "url": "http://www.allmusic.com/artist/the-hi-los-mn0000086631"} +{"d:Title": "The John Hiatt Archives", "d:Description": "Includes links, bootlegs, chords, news, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hiatt,_John", "url": "http://www.thejohnhiattarchives.com/"} +{"d:Title": "The Music of John Hiatt", "d:Description": "The official web site. Features a daily tour log, tour dates, and information about his new album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hiatt,_John", "url": "http://www.johnhiatt.com/"} +{"d:Title": "Unofficial German John Hiatt Page", "d:Description": "Features a discography, including rare/unauthorized recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hiatt,_John", "url": "http://www.hiattonline.de/"} +{"d:Title": "Metacritic: Crossing Muddy Waters", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hiatt,_John", "url": "http://www.metacritic.com/music/crossing-muddy-waters/john-hiatt"} +{"d:Title": "Hicks, Dan", "d:Description": "News and information about this folk singer songwriter. Show dates, links, concert reviews, art, and fan mailing list information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Dan", "url": "http://www.danhicks.net/"} +{"d:Title": "A Conversation with Dan Hicks", "d:Description": "Article focusing on the singer songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Dan", "url": "http://www.puremusic.com/hicks.html"} +{"d:Title": "Return to Hicksville", "d:Description": "Dan Hicks and the Hot Licks pick up where they left off more than 20 years ago.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Dan", "url": "http://www.sfweekly.com/2000-12-20/music/return-to-hicksville"} +{"d:Title": "Gallery Fifty Five: Taylor Hicks", "d:Description": "Commercial photographs for publication.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor", "url": "http://www.furtherimages.com/gallery55.htm"} +{"d:Title": "TaylorMade", "d:Description": "Fan forums dedicated to Taylor Hicks.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor", "url": "http://s15.invisionfree.com/TaylorMade"} +{"d:Title": "Yahoo! Groups: Taylor_Hicks_AI5", "d:Description": "A high-volume email group for fans of Taylor Hicks.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor", "url": "http://tv.groups.yahoo.com/group/Taylor_Hicks_AI5/"} +{"d:Title": "Taylored to Win", "d:Description": "Fansite forums.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor", "url": "http://taylormadeonline.org/"} +{"d:Title": "Taylor Hicks", "d:Description": "The official J-records site.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor", "url": "http://taylorhicks.com/"} +{"d:Title": "New England Soul Patrol", "d:Description": "The Taylor Hicks fan club of New England.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor", "url": "http://www.newenglandsoulpatrol.org/"} +{"d:Title": "Idol Blues", "d:Description": "Videos, images, interviews, and biographical information on Taylor Hicks.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor", "url": "http://www.taylorhicksblues.blogspot.com/"} +{"d:Title": "TIME - Taylor Hicks' Biggest Fan?", "d:Description": "Elaine Shannon reports that Condi Rice was among the millions who watched the American Idol finale \u2014 and her man won.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.time.com/time/nation/article/0,8599,1198331,00.html"} +{"d:Title": "Decatur Daily - Hicks' Hometown Abuzz", "d:Description": "Associated Press reporting on Taylor's victory.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://legacy.decaturdaily.com/decaturdaily/news/060525/abuzz.shtml"} +{"d:Title": "FOX News - Taylor Hicks Wins 'American Idol'", "d:Description": "Mike Straka interviews the winner.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.foxnews.com/story/0,2933,196893,00.html"} +{"d:Title": "Washington Post - Surprise!!! Or Not. It's Taylor Hicks.", "d:Description": "Columnist Lisa deMoraes reports on the AI5 finale.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2006/05/24/AR2006052402816.html"} +{"d:Title": "WHTZ Interview", "d:Description": "New York radio station Z100's, interview, including the panties remarks and Dial Idol comments. [MP3 audio.]", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://a1135.g.akamai.net/f/1135/18227/1h/cchannel.download.akamai.com/18227/podcast/NEWYORK-NY/WHTZ-FM/Taylor_Hicks.mp3"} +{"d:Title": "Slate - Why Taylor Hicks Will Win American Idol. By Jody Rosen", "d:Description": "Music critic Jody Rosen details why he's convinced Hicks deserves and will win the AI5 crown.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.slate.com/id/2142156/#"} +{"d:Title": "FOX News - Taylor Hicks Will Be the Next American Idol", "d:Description": "Mike Straka makes a daring prediction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.foxnews.com/story/0,2933,195774,00.html"} +{"d:Title": "USA Today - Simon Cowell Picks Taylor Hicks to Win 'Idol'", "d:Description": "Simon Cowell predicts that the gray-haired Taylor Hicks will be the next American Idol.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.usatoday.com/life/television/news/2006-05-16-cowell-idol_x.htm"} +{"d:Title": "TMZ.com: News - Simon's 'Are You Drunk?' Comment Offends Taylor", "d:Description": "The TMZ staff reports on the judge's out of line comments, and takes a viewer poll.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.tmz.com/2006/04/13/simons-are-you-drunk-comment-offends-taylor/"} +{"d:Title": "Decatur Daily - Alabama's Gray-Haired Hicks Brings Soul to 'Idol'", "d:Description": "Columnist Wade Kwon writes about Taylor Hicks, the third Alabaman to be an Idol finalist.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://legacy.decaturdaily.com/decaturdaily/current/060322/hicks.shtml"} +{"d:Title": "Smooth Operator Online - American Idol Hopeful Taylor Hicks Accused of Dancing Just Like a Honky", "d:Description": "Mark Motz' satirical piece on the AI5 hopeful.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.smthop.com/article.aspx?newsnum=779"} +{"d:Title": "Wade on Birmingham - Taylor Hicks Interview", "d:Description": "An interview with Birmingham native and American Idol 5 hopeful, Taylor Hicks.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://wadeonbirmingham.com/2006/02/17/exclusive-taylor-hicks-soul-man/"} +{"d:Title": "WBHM - Taylor Hicks", "d:Description": "Brief biography and archiving of appearances on the National Public Radio affiliate in Birmingham, Ala.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.wbhm.org/Tapestry/bands/TaylorHicks.html"} +{"d:Title": "Billboard: Sir, Indeed You Make Us Proud", "d:Description": "Writer Katie Hasty reports that the overwhelming majority of Billboard readers love the silver haired Hicks.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.billboard.com/news/sir-indeed-you-make-us-proud-1002952235.story#/news/sir-indeed-you-make-us-proud-1002952235.story"} +{"d:Title": "JAM! Television - Taylor Has Tips for 'Canadian Idol'", "d:Description": "Steve Tilley interviews Hicks, who gives hints and tips for contestants on the upcoming CI.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://jam.canoe.com/Television/TV_Shows/A/American_Idol/2006/05/27/1600598.html"} +{"d:Title": "Associated Press - What You See is What You Get with 'Idol's' Hicks", "d:Description": "An interview with Brad Hicks, Taylor's father.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.today.com/id/12707815"} +{"d:Title": "Today: 'Idol' Winner Kept Viewers Entertained", "d:Description": "Craig Berman recaps the finale and speculates on Hicks' future.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.today.com/id/12940569"} +{"d:Title": "CBS News - Cowell Picks Hicks To Win 'Idol'", "d:Description": "\"American Idol\" judge Simon Cowell said Monday that Taylor Hicks is now the favorite to win the contest.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hicks,_Taylor/Articles", "url": "http://www.cbsnews.com/news/cowell-picks-hicks-to-win-idol/"} +{"d:Title": "I'm Dead, You're Dead, We're All Dead", "d:Description": "Shrine with images, interview, audio, video, discography, lyrics, profile and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hideous,_Myke", "url": "http://www.angelfire.com/music2/hideous/index.html"} +{"d:Title": "High Llamas", "d:Description": "News, exclusive audio and video, gig information and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/High_Llamas", "url": "http://www.highllamas.com/"} +{"d:Title": "The Musical Painting", "d:Description": "A unique collaboration between The High Llamas and Jean Pierre Muller.", "topic": "Top/Arts/Music/Bands_and_Artists/H/High_Llamas", "url": "http://www.musicalpainting.com/"} +{"d:Title": "Artist Direct: The High Llamas", "d:Description": "Profile, discography, and discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/High_Llamas", "url": "http://www.artistdirect.com/artist/bio/high-llamas/538151"} +{"d:Title": "Audio Ideas Guide: High Llamas: Lollo Rosso", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/High_Llamas/Reviews", "url": "http://www.audio-ideas.com/reviews/cd-reviews/lollo-rosso.html"} +{"d:Title": "Pitchfork: High Llamas - Buzzle Bee", "d:Description": "John Dark's review: \"a brief eight tracks and 40 minutes of somnambulist, pianissimo music.\" Rated 6.0.", "topic": "Top/Arts/Music/Bands_and_Artists/H/High_Llamas/Reviews/Buzzle_Bee", "url": "http://pitchfork.com/reviews/albums/3861-buzzle-bee/"} +{"d:Title": "Almost Cool: The High Llamas - Buzzle Bee", "d:Description": "Aaron Coleman's review: \"there isn't a whole lot going on with this release that O'Hagan hasn't done with any of his other releases\". Rated 6.5.", "topic": "Top/Arts/Music/Bands_and_Artists/H/High_Llamas/Reviews/Buzzle_Bee", "url": "http://www.almostcool.org/mr/542/"} +{"d:Title": "Dan Hill", "d:Description": "Official site features information about his new \"best of\" collection, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Dan", "url": "http://www.danhill.com/"} +{"d:Title": "Blue Desert: Dan Hill", "d:Description": "Biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Dan", "url": "http://www.bluedesert.dk/danhill.html"} +{"d:Title": "Celebrity Interviews in RealAudio", "d:Description": "Audio interview with the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Dan", "url": "http://www.peteranthonyholder.com/realaudi.htm"} +{"d:Title": "Lauryn Hill", "d:Description": "Site maintained by Sony Music. Contains audio files of her entire debut album \"The Miseducation of Lauryn Hill,\" the latest news, biographical information, lyrics, tour dates, and a list of awards that she has been nominated for and has won.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.lauryn-hill.com/"} +{"d:Title": "Lauryn Hill", "d:Description": "Fan page containing lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.angelfire.com/ak/Psyca/LaurynHill.html"} +{"d:Title": "A Lauryn Hill Fan Page", "d:Description": "Information on Lauryn Hill, both as a solo artist and a member of the Fugees. Pictures, audio, video, articles and news.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.angelfire.com/ab/lauryn/"} +{"d:Title": "Should be the Official Lauryn Hill Site", "d:Description": "Facts, pictures, lyrics, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.angelfire.com/ak2/laurynhillns/"} +{"d:Title": "ArtistDirect: Lauryn Hill", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.artistdirect.com/artist/lauryn-hill/590985"} +{"d:Title": "AskMen.com: Lauryn Hill", "d:Description": "Pictures, biography, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.askmen.com/celebs/women/singer/21_lauryn_hill.html"} +{"d:Title": "MTV: Lauryn Hill", "d:Description": "News, biography, discography, album reviews, RealAudio clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.mtv.com/artists/lauryn-hill/"} +{"d:Title": "All Music Guide: Lauryn Hill", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn", "url": "http://www.allmusic.com/artist/lauryn-hill-mn0000113753"} +{"d:Title": "Lauryn Hill Lyrics", "d:Description": "Lyrics to The Miseducation of Lauryn Hill album, and listen to the songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn/Lyrics", "url": "http://bonniesweb.tripod.com/lauryn.html"} +{"d:Title": "Atlyrics: Lauryn Hill", "d:Description": "Lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hill,_Lauryn/Lyrics", "url": "http://www.atlyrics.com/l/laurynhill/index.html"} +{"d:Title": "Hilliard Ensemble Discography", "d:Description": "Ensemble biography and discography, by Todd M. McComb.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hilliard_Ensemble,_The", "url": "http://www.medieval.org/emfaq/performers/hilliard.html"} +{"d:Title": "Peter Himmelman - The Official Website", "d:Description": "Includes music, video clips, news about the artist, a message board, and other resources.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Himmelman,_Peter", "url": "http://peterhimmelman.com/"} +{"d:Title": "Peter Himmelman's My Fabulous Plum Website for Kids", "d:Description": "Very fun multimedia-rich site for Peter Himmelman's second children's album, My Fabulous Plum.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Himmelman,_Peter", "url": "http://myfabulousplum.com/"} +{"d:Title": "Tish Hinojosa", "d:Description": "Biography, discography, awards, booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hinojosa,_Tish", "url": "http://www.summitartists.com/hinojosa/about.htm"} +{"d:Title": "Sue\u00f1o Latina: Tish Hinojosa Connects with a Spiritual Dimension", "d:Description": "Review of Hinojosa's albums together with an interview. (June 1996)", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hinojosa,_Tish", "url": "http://www.tucsonweekly.com/tw/06-13-96/mus.htm"} +{"d:Title": "ArtistDirect: Tish Hinojosa", "d:Description": "Biography, discography, tour dates, links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hinojosa,_Tish", "url": "http://www.artistdirect.com/artist/tish-hinojosa/444334"} +{"d:Title": "All Music Guide: Tish Hinojosa", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hinojosa,_Tish", "url": "http://www.allmusic.com/artist/tish-hinojosa-mn0000601654"} +{"d:Title": "The Austin Chronicle: Texas Platters", "d:Description": "Review of \"Sign of Truth\" praises the singer's blending of country, folk, and her native Mexican heritage her new album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hinojosa,_Tish", "url": "http://www.austinchronicle.com/music/2000-06-30/77758/"} +{"d:Title": "TrouserPress.com: Hipsway", "d:Description": "Review of their self-titled album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hipsway", "url": "http://www.trouserpress.com/entry.php?a=hipsway"} +{"d:Title": "Rockin' Scots will Sway Here", "d:Description": "Interview of vocalist Grahame Skinner by Jae-Ha Kim.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hipsway", "url": "http://www.jaehakim.com/entertainment-reviews/music-reviews/rockin-scots-hipsway-will-sway-here/"} +{"d:Title": "All Music Guide: Hipsway", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hipsway", "url": "http://www.allmusic.com/artist/hipsway-mn0000679157"} +{"d:Title": "Terami Hirsch", "d:Description": "Official site with biography, album information, lyrics, pictures, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hirsch,_Terami", "url": "http://terami.com/"} +{"d:Title": "Total Terami", "d:Description": "A fan site with background information, lyrics, an interview, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hirsch,_Terami", "url": "http://girl_music.tripod.com/"} +{"d:Title": "Eyesore: His Name is Alive", "d:Description": "Discography with cover images. Also links, attributions, release information and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/His_Name_Is_Alive", "url": "http://quimby.gnus.org/html/group/hisnameisalive.html"} +{"d:Title": "4AD: His Name Is Alive", "d:Description": "News, biography, discography, images, and a video clip from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/H/His_Name_Is_Alive", "url": "http://www.4ad.com/artists/hisnameisalive"} +{"d:Title": "The Museum of Robyn Hitchcock", "d:Description": "His official site, includes a number of Robyn's sketches and paintings in addition to the usual discography, tour dates and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hitchcock,_Robyn", "url": "http://www.robynhitchcock.com/"} +{"d:Title": "fegMANIA", "d:Description": "Home of the fegMANIAX mailing list. Includes news, guitar tablatures, and list archives.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hitchcock,_Robyn", "url": "http://www.fegmania.org/"} +{"d:Title": "DaveMcNally.com: Robyn Hitchcock", "d:Description": "Song lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hitchcock,_Robyn", "url": "http://www.davemcnally.com/Lyrics/RobynHitchcock/"} +{"d:Title": "The Onion AV Club: Robyn Hitchcock", "d:Description": "Interview with the eccentric singer and songwriter about the year 1974 and his concert film.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hitchcock,_Robyn", "url": "http://www.avclub.com/articles/robyn-hitchcock,13565/"} +{"d:Title": "Here We Go Again", "d:Description": "Includes news, tablatures, lyrics, live audio, press, and other media downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hives,_The", "url": "http://www.angelfire.com/al4/hives/site/all.html"} +{"d:Title": "The Hives Broadcasting Service", "d:Description": "Official site has latest news, tour diary, downloads and information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hives,_The", "url": "http://www.thehivesbroadcastingservice.com/"} +{"d:Title": "Courtstone Blues", "d:Description": "Louise Hoffsten's official site. Includes album information, tour dates, links, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hoffsten,_Louise", "url": "http://www.hoffsten.com/"} +{"d:Title": "Hogeye Navvy", "d:Description": "Official site of the Indianapolis based acoustic band blending Celtic and early American music with British and American sea chanteys. Event schedule, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hog_Eye_Navvy", "url": "http://www.hogeyenavvy.com/"} +{"d:Title": "Hoku Picture Gallery", "d:Description": "A fan's collection of video stills and TV shots. Plus the \"Another Dumb Blonde\" video.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hoku", "url": "http://hokugallery.tripod.com/"} +{"d:Title": "AskMen.com: Hoku", "d:Description": "Includes pictures, biography, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hoku", "url": "http://www.askmen.com/celebs/women/singer/46_hoku.html"} +{"d:Title": "A Hole 'Nother Story", "d:Description": "Article from Queer in Your Ear.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole", "url": "http://www.joeclark.org/QiYE38.html"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "34 Hole song lyrics from all albums, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole", "url": "http://lyrics.rockmagic.net/lyrics/hole/"} +{"d:Title": "All Music Guide: Hole", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole", "url": "http://www.allmusic.com/artist/hole-p13208"} +{"d:Title": "RollingStone.com: Hole", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole", "url": "http://www.rollingstone.com/music/artists/hole"} +{"d:Title": "MTV: Hole", "d:Description": "Includes a biography, photos, audio/video samples and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole", "url": "http://www.mtv.com/artists/hole/"} +{"d:Title": "Absolute Divas: Melissa Auf Der Maur", "d:Description": "Images, discography, and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Auf_Der_Maur,_Melissa", "url": "http://www.absolutedivas.com/melissa/"} +{"d:Title": "Melissa auf der Maur, An Unmitigated Shrine", "d:Description": "Biography, discography, and photos. In English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Auf_Der_Maur,_Melissa", "url": "http://madm.b5.net/"} +{"d:Title": "All The Lilies Bloom and Blossom", "d:Description": "Fan archive offers a biography, articles, facts, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Auf_Der_Maur,_Melissa", "url": "http://www.angelfire.com/rock2/lilies/"} +{"d:Title": "Firecrasher", "d:Description": "News, info, imagery, art galleries, downloads, and fan interaction.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Auf_Der_Maur,_Melissa", "url": "http://melissaaufdermaur.org/"} +{"d:Title": "AskMen.com - Melissa Auf Der Maur", "d:Description": "Pictures, biography, commentary, and links on the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Auf_Der_Maur,_Melissa", "url": "http://www.askmen.com/celebs/women/singer_60/91_melissa_auf_der_maur.html"} +{"d:Title": "Admiration For Eric", "d:Description": "Contains interviews, photographs, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Erlandson,_Eric", "url": "http://members.tripod.com/eric666/"} +{"d:Title": "The Band With The Most Cake", "d:Description": "Includes a band biography, discography, lyrics, member profiles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/band/mostcake/"} +{"d:Title": "Cry to the Angels", "d:Description": "Pictures, quotes, and lyrics for Hole.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/az2/cherryspirit/spirit.html"} +{"d:Title": "Dead Moon Blossom", "d:Description": "Lyrics, tabs, quotes, and pictures of Hole.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/bc/smellslikegirl/index.html"} +{"d:Title": "Kitty, Kitty, Please Come Here", "d:Description": "Dedicated to the band Hole. Includes a biography of Courtney Love, tabs, lyrics, articles, reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/hi/barbiesdead/"} +{"d:Title": "Pretty Please", "d:Description": "Courtney Love and Hole fan site includes a history, pictures, news, and poetry.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/rock/prettyplease/"} +{"d:Title": "She Walks Over Me", "d:Description": "Hole and Courtney Love page that has news, pictures, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/hi3/shewalksoverme/"} +{"d:Title": "Soft, Softer, Softest", "d:Description": "Australian Hole site includes lyrics, articles, pictures, poll, and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.iinet.net.au/~kgregson/"} +{"d:Title": "Watch Me Break and Watch Me Burn", "d:Description": "Fan site for Hole includes news, articles, bootleg information, tablatures, concert reviews, setlists, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/mo/CelebritySkin/"} +{"d:Title": "White Steel Rose", "d:Description": "Includes pictures, sound files, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://members.tripod.com/~dirty_eyes/WhiteSteelRose.html"} +{"d:Title": "Your Beauty Blinds", "d:Description": "Hole pictures, lyrics, biographies, reviews, articles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/on2/beautyblinds/"} +{"d:Title": "Brooke's Hole Album Covers Page", "d:Description": "Bootlegs, with cover images, set lists, reviews, quotes, album information, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://www.angelfire.com/tx5/brookesalbumcovers/"} +{"d:Title": "Petal Touch", "d:Description": "History, discography, lyrics, articles, and pictures of Hole.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://petaltouch.tripod.com/index.html"} +{"d:Title": "P-Hole: A Courtney Love and Hole Page", "d:Description": "Pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://prestomanifesto.tripod.com/phole.html"} +{"d:Title": "Reasons To Be Original", "d:Description": "Tips and guidelines for Hole webmasters.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hole/Fan_Pages", "url": "http://rtbo.tripod.com/"} +{"d:Title": "Terry Sylvester", "d:Description": "Biography, news and photos of the Hollies member.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hollies,_The", "url": "http://terrysylvester.com/"} +{"d:Title": "Freddie's Hollies Page", "d:Description": "Six albums of streaming RealAudio music.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hollies,_The", "url": "http://freddie.spb.ru/rock/hollies/"} +{"d:Title": "Buddy Holly and The Picks", "d:Description": "The history of Holly's backing vocal group, before, during and after their time working with Holly.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy", "url": "http://www.pickrecords.com/"} +{"d:Title": "Buddy Holly", "d:Description": "Official site includes pictures, memories and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy", "url": "http://www.buddyholly.com/"} +{"d:Title": "Buddy Holly Center of Lubbock, Texas", "d:Description": "Provides information about the center dedicated to motivating public interest in contemporary visual arts and in the music and music history of Texas and West Texas.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy", "url": "http://www.buddyhollycenter.org/"} +{"d:Title": "Buddy Holly News: Topix", "d:Description": "News about Buddy Holly continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy", "url": "http://www.topix.com/who/buddy-holly"} +{"d:Title": "Buddy Holly Memorabilia", "d:Description": "Songbook with music, lyrics and chords; pictures and images of several rare memorabilia items.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy", "url": "http://www.buddyhollymemorabilia.com/bh/index.html"} +{"d:Title": "Rock and Roll Hall of Fame: Buddy Holly", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy", "url": "http://rockhall.com/inductees/buddy-holly/"} +{"d:Title": "Buddy Holly - The Complete Work", "d:Description": "Track-by-track examination of the recorded work.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy", "url": "http://buddyholly.pagesperso-orange.fr/"} +{"d:Title": "Buddy Holly Archive", "d:Description": "News articles from the archived pages of the Lubbock Avalanche-Journal and The Lubbock Evening-Journal.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy/Articles_and_Interviews", "url": "http://www.buddyhollyarchives.com/"} +{"d:Title": "Not Fade Away", "d:Description": "Dedicated to the music and memory of Buddy Holly.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy/Chats_and_Forums", "url": "http://groups.yahoo.com/group/NotFadeAway/"} +{"d:Title": "Rave On 2", "d:Description": "Yahoo! Groups site for Budy Holly related discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy/Chats_and_Forums", "url": "http://groups.yahoo.com/group/raveon2/"} +{"d:Title": "John Mueller", "d:Description": "Dates, profile, reviews, record releases and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy/Impersonators", "url": "http://www.yourbuddyjohn.com/"} +{"d:Title": "Buddy Holly Live", "d:Description": "Three-piece tribute from Yarker, Ontario. Includes show dates, photos and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy/Impersonators", "url": "http://www.buddyholly.ca/"} +{"d:Title": "Kenny James", "d:Description": "A tribute to Buddy Holly, based at the Memories Theatre in Pigeon Forge, Tennessee.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy/Impersonators", "url": "http://www.angelfire.com/band2/yourbuddykenny/"} +{"d:Title": "Holly, Graham", "d:Description": "UK-based tribute. Gigs, pictures, Buddy Holly biography, lyrics, chords and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holly,_Buddy/Impersonators", "url": "http://buddyhollytribute.me.uk/"} +{"d:Title": "Hollywood Undead", "d:Description": "Official site. Contains tour dates, images, video and events.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hollywood_Undead", "url": "http://site.hollywoodundead.com/"} +{"d:Title": "Wikipedia: Hollywood Undead", "d:Description": "Offers a brief history, discography and list of band members.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hollywood_Undead", "url": "http://en.wikipedia.org/wiki/Hollywood_Undead"} +{"d:Title": "LyricsMania", "d:Description": "Contains lyrics for their songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hollywood_Undead", "url": "http://www.lyricsmania.com/lyrics/hollywood_undead_lyrics_7318/"} +{"d:Title": "The Committee for the Election of Rupert Holmes as Master of the Universe", "d:Description": "Biography, pictures, and accomplishments of the singer/songwriter/playwright.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Holmes,_Rupert", "url": "http://www.angelfire.com/musicals/accomplice/"} +{"d:Title": "MPR: Songwriting and Social Work Merge in Honeydogs' New CD", "d:Description": "Article on the album \"10,000 Years\" and how it was informed in part by frontman Adam Levy's day job, including pictures and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Honeydogs,_The", "url": "http://news.minnesota.publicradio.org/features/2004/03/24_robertsc_honeydogs/"} +{"d:Title": "TrouserPress.com: Honeydogs", "d:Description": "Brief overview of the band's first three albums.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Honeydogs,_The", "url": "http://www.trouserpress.com/entry.php?a=honeydogs"} +{"d:Title": "All Music Guide: Honeydogs", "d:Description": "Biography, discography, song highlights and information on related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Honeydogs,_The", "url": "http://www.allmusic.com/artist/honeydogs-p205290"} +{"d:Title": "Honeymoon Suite", "d:Description": "Official site includes facts, accomplishments, links and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Honeymoon_Suite", "url": "http://www.honeymoonsuite.com/"} +{"d:Title": "Yahoo! Groups: Melodica", "d:Description": "Hooters mail list, replacing the old NervousNet list.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hooters,_The", "url": "http://groups.yahoo.com/group/Melodica"} +{"d:Title": "Hootie and the Blowfish Official Site", "d:Description": "Biographies, photos, tour dates, fan club, lyrics, sound room, discography, timeline, news and a store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hootie_and_the_Blowfish", "url": "http://www.hootie.com/"} +{"d:Title": "Topix: Hootie and the Blowfish", "d:Description": "News about Hootie and the Blowfish, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hootie_and_the_Blowfish", "url": "http://www.topix.com/rss/who/hootie-the-blowfish.xml"} +{"d:Title": "MTV: Hootie and the Blowfish", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hootie_and_the_Blowfish", "url": "http://www.mtv.com/artists/hootie-the-blowfish/"} +{"d:Title": "Boston Phoenix: The Hope Blister", "d:Description": "Short review of \"...smiles ok\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hope_Blister,_The", "url": "http://www.bostonphoenix.com/archive/music/99/02/04/OTR/THE_HOPE_BLISTER.html"} +{"d:Title": "Eyesore: The Hope Blister", "d:Description": "Discography with cover images, also the press release announcing their debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hope_Blister,_The", "url": "http://quimby.gnus.org/html/group/hopeblister.html"} +{"d:Title": "4AD: The Hope Blister", "d:Description": "Profile and discography from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hope_Blister,_The", "url": "http://www.4ad.com/artists/thehopeblister/"} +{"d:Title": "MTV: The Hope Blister", "d:Description": "News, biography, and discography with song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hope_Blister,_The", "url": "http://www.mtv.com/artists/the-hope-blister/"} +{"d:Title": "Mary Hopkin International Site", "d:Description": "Includes news, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hopkin,_Mary", "url": "http://www.hopkin.is.nl/"} +{"d:Title": "Mary Hopkin Mailing List", "d:Description": "Chat room, lyrics, photographs, fan information, and list of albums and songs that have been released on CD.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hopkin,_Mary", "url": "http://members.tripod.com/mhlist/home.html"} +{"d:Title": "Official Bruce Hornsby Website", "d:Description": "Features news, sound files, photos, biography, tour dates, and discography. Available in Flash and non-Flash versions.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hornsby,_Bruce", "url": "http://www.brucehornsby.com/"} +{"d:Title": "Waterfalls: Bruce Hornsby Fan Site", "d:Description": "Features biography, discography, poll, and message board; in English and Japanese.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hornsby,_Bruce", "url": "http://www.geocities.co.jp/MusicStar/2373/hornsby.htm"} +{"d:Title": "Bruce Hornsby Discography", "d:Description": "Albums, singles, compilations and occurrences on various artists collections.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hornsby,_Bruce", "url": "http://www.deaddisc.com/GDFD_Bruce_Hornsby.htm"} +{"d:Title": "Entertainment Ave: Bruce Hornsby", "d:Description": "Review of a concert at The Vic Theater in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hornsby,_Bruce", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/h/bruce_hornsby/bh051096.htm"} +{"d:Title": "Bruuuce.com", "d:Description": "Fan site features setlists, live downloads, concert database, photos, videos, polls, and desktop themes.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hornsby,_Bruce", "url": "http://www.bruuuce.com/"} +{"d:Title": "The Best of The House of Love", "d:Description": "Review of the album by Joann D. Ball.", "topic": "Top/Arts/Music/Bands_and_Artists/H/House_of_Love,_The", "url": "http://www.westnet.com/consumable/1998/10.07/revhouse.html"} +{"d:Title": "TrouserPress.com: House of Love", "d:Description": "Review of the band's recordings and career.", "topic": "Top/Arts/Music/Bands_and_Artists/H/House_of_Love,_The", "url": "http://www.trouserpress.com/entry.php?a=house_of_love"} +{"d:Title": "All Music Guide: The House of Love", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/House_of_Love,_The", "url": "http://www.allmusic.com/artist/the-house-of-love-p30120"} +{"d:Title": "MTV: House of Pain", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/House_of_Pain", "url": "http://www.mtv.com/artists/house-of-pain/"} +{"d:Title": "Whitney Houston Illustrated", "d:Description": "Includes pictures, biography, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Houston,_Whitney", "url": "http://whfan.free.fr/"} +{"d:Title": "Whitney-fan.com", "d:Description": "Fan site includes news, discography, lyrics, audio and video, filmography, reviews, biography, and picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Houston,_Whitney", "url": "http://www.whitney-fan.com/"} +{"d:Title": "The New York Times: Whitney Houston, Pop Superstar, Dies at 48", "d:Description": "Obituary of the singer whose majestic voice and regal image were ravaged by drug use, erratic behavior and a tumultuous marriage. (Feb. 11, 2012)", "topic": "Top/Arts/Music/Bands_and_Artists/H/Houston,_Whitney", "url": "http://www.nytimes.com/2012/02/12/arts/music/whitney-houston-dies.html"} +{"d:Title": "All Music Guide: Whitney Houston", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Houston,_Whitney", "url": "http://www.allmusic.com/artist/whitney-houston-mn0000820434"} +{"d:Title": "IMDb: Whitney Houston", "d:Description": "Includes filmography, awards, biography, discussions, photos, articles.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Houston,_Whitney", "url": "http://www.imdb.com/name/nm0001365/"} +{"d:Title": "MTV: Whitney Houston", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Houston,_Whitney", "url": "http://www.mtv.com/artists/whitney-houston/"} +{"d:Title": "Shane Howard", "d:Description": "Official site. Includes biography, discography, songography, merchandise, press, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Howard,_Shane", "url": "http://www.shanehoward.com.au/"} +{"d:Title": "Steve Howe Guitar Rondo", "d:Description": "Official site includes a biography, guitar information, discography and answers to fan questions.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Howe,_Steve", "url": "http://stevehowe.com/"} +{"d:Title": "MTV.com: Steve Howe", "d:Description": "News, biography, links, RealAudio clips, and music videos in RealVideo.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Howe,_Steve", "url": "http://www.mtv.com/artists/steve-howe-1/"} +{"d:Title": "Ray Wylie Hubbard", "d:Description": "Official page. Includes tour schedule, lyrics, store, news, road news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hubbard,_Ray_Wylie", "url": "http://www.raywylie.com/"} +{"d:Title": "SoundStage: Ray Wylie Hubbard", "d:Description": "Review of the CD \"Crusades of the Restless Knights\".", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hubbard,_Ray_Wylie", "url": "http://www.soundstage.com/music/reviews/rev172.htm"} +{"d:Title": "ArtistDirect: Ray Wylie Hubbard", "d:Description": "Features photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hubbard,_Ray_Wylie", "url": "http://www.artistdirect.com/artist/bio/ray-wylie-hubbard/446069"} +{"d:Title": "PopEntertainment.com: Sarah Hudson - Girl on the Verge", "d:Description": "The singer talks with Jay S. Jacobs about her debut album and growing up in a show business family.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hudson,_Sarah", "url": "http://www.popentertainment.com/sarahhudson.htm"} +{"d:Title": "PBS Frontline: The Way the Music Died - Artists' Stories", "d:Description": "The public television series talks with new artists Sarah Hudson and Velvet Revolver about getting noticed in the music world.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hudson,_Sarah", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/music/artists/"} +{"d:Title": "Lyrics On Demand : Sarah Hudson", "d:Description": "The words for several songs from her debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hudson,_Sarah", "url": "http://www.lyricsondemand.com/s/sarahhudsonlyrics/"} +{"d:Title": "Thenightowl.com: Sarah Hudson - Naked Truth", "d:Description": "Janet Branigan reviews \"Naked Truth.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hudson,_Sarah", "url": "http://www.thenightowl.com/reviews/sarahhudson.htm"} +{"d:Title": "MTV.com: Sarah Hudson", "d:Description": "Artist page includes biography, album page and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hudson,_Sarah", "url": "http://www.mtv.com/artists/sarah-hudson/"} +{"d:Title": "Artist Direct: Huevos Rancheros", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Huevos_Rancheros", "url": "http://www.artistdirect.com/artist/bio/huevos-rancheros/573452"} +{"d:Title": "JamShowbiz: Huevos Rancheros", "d:Description": "Entry in the Canadian Music Encyclopedia includes a complete biography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Huevos_Rancheros", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/H/Huevos_Rancheros.html"} +{"d:Title": "Glenn Hughes Picture Gallery", "d:Description": "Photos, fan forum message board, jukebox, lyrics and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hughes,_Glenn", "url": "http://www.ghpg.net/"} +{"d:Title": "AMEN", "d:Description": "Manfred Ehlert's AMEN project (featuring Glenn Hughes, Marc Storace).", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hughes,_Glenn", "url": "http://www2.gol.com/users/pbw/amen.htm"} +{"d:Title": "Glenn Hughes", "d:Description": "Official site, with news, biography, discography, pictures, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hughes,_Glenn", "url": "http://www.glennhughes.com/"} +{"d:Title": "Coast To Coast", "d:Description": "A storehouse of news, special features, images, sounds, and information relating to Glenn and his work.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hughes,_Glenn", "url": "http://www.ghpg.net/ctc/"} +{"d:Title": "HTP Supporters Club - Hughes Turner Project", "d:Description": "Dedicated to helping fans discover, promote and support the music of Hughes Turner Project. Plus all the Latest News, Tour Dates, and Photos.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hughes,_Glenn", "url": "http://www.htpsupportersclub.org/"} +{"d:Title": "Isle of the Cheetah", "d:Description": "Reader reviews of recent Hum concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hum", "url": "http://www.angelfire.com/ne/JennSaturn/IsleOfCheeta.html"} +{"d:Title": "Marijun's Love for HUM", "d:Description": "Discography, streaming media, links, discussion forum, lyrics, photos and promotional media, biography, Shockwave, Quicktime, flash, MP3, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hum", "url": "http://www.h-u-m.net/"} +{"d:Title": "MTV: HUM", "d:Description": "Biography, news, discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hum", "url": "http://www.mtv.com/artists/hum/"} +{"d:Title": "Musicfolio: Human League", "d:Description": "Discography with album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_League", "url": "http://www.musicfolio.com/modernrock/humanleague.html"} +{"d:Title": "LeagueFans.com", "d:Description": "Fan's memories, lyrics database, audio and video clips, pictures and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_League", "url": "http://www.leaguefans.com/"} +{"d:Title": "AMG All Music Guide: Dare", "d:Description": "Stephen Thomas Erlewine's review: \"Dare captures a moment in time perfectly - the moment post-punk's robotic fascination with synthesizers met a clinical Bowiesque infatuation with fashion and modern art, including pop culture, plus a healthy love of songcraft.\" 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_League/Reviews/Dare", "url": "http://www.allmusic.com/album/dare!-mw0000194181"} +{"d:Title": "AMG All Music Guide: Fascination", "d:Description": "William Ruhlmann's review: \"the group's failure to produce a new album after 19 months was an indication of the instability it would suffer for the rest of its career.\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_League/Reviews/Fascination", "url": "http://www.allmusic.com/album/fascination!-mw0000837400"} +{"d:Title": "AMG All Music Guide: Hysteria", "d:Description": "Review by Andy Kellman.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_League/Reviews/Hysteria", "url": "http://www.allmusic.com/album/hysteria-mw0000197902"} +{"d:Title": "Human Nature", "d:Description": "\"Australia's most talented vocal group.\"", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/la/NitaHNfans/"} +{"d:Title": "Tellin' Everybody About Phil Burton", "d:Description": "Shrine with history, quotes, photos, message board, chat, free e-mail and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/ns/Phil/"} +{"d:Title": "Human Nature - The Facts", "d:Description": "Fan site with message boards, chat, survey, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/mt/humannature4ever/"} +{"d:Title": "At Home With Human Nature", "d:Description": "Profiles, club, history, music and links.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/nm/hnhome/"} +{"d:Title": "Human Nature", "d:Description": "Fan site with discography and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/oh/KatherineHNpage/"} +{"d:Title": "Totally Toby", "d:Description": "Bassist Toby Allen, Fan site for one of the members of the talented Aussie music group 'Human Nature'.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/yt/totallytoby/"} +{"d:Title": "Human Nature - Harmony", "d:Description": "Fan Site dedicated to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/mt/hnharmony/"} +{"d:Title": "The Nature Network", "d:Description": "A collection of Human Nature sites, about the band and individual members.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.angelfire.com/la3/naturenetwork/index.html"} +{"d:Title": "Human Nature World", "d:Description": "Club with chat and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://groups.yahoo.com/group/hnworld/"} +{"d:Title": "Human Nature", "d:Description": "The Official Human Nature Website", "topic": "Top/Arts/Music/Bands_and_Artists/H/Human_Nature", "url": "http://www.humannaturelive.com/"} +{"d:Title": "Engelbert.com", "d:Description": "Official site features tour schedule, fan club information, discography, photos, news, and web shop.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Humperdinck,_Engelbert", "url": "http://www.engelbert.com/"} +{"d:Title": "Entertainment Ave: Engelbert Humperdinck", "d:Description": "Review of a concert at The Rosemont Theatre in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Humperdinck,_Engelbert", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/h/engelbert/eh092096.htm"} +{"d:Title": "Engelbert Humperdinck News: Topix", "d:Description": "News about Engelbert Humperdinck continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Humperdinck,_Engelbert", "url": "http://www.topix.com/who/engelbert-humperdinck"} +{"d:Title": "JAM Music: Hunt, The", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hunt,_The", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/H/Hunt.html"} +{"d:Title": "Grateful Dead Family Discography: Robert Hunter", "d:Description": "Performance and composition discographies.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hunter,_Robert", "url": "http://www.deaddisc.com/GDFD_RHPerformer.htm"} +{"d:Title": "Robert Hunter Archive", "d:Description": "Online journals with scans of handwritten lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hunter,_Robert", "url": "http://hunterarchive.com/"} +{"d:Title": "Hunters and Collectors Guitar Tabs", "d:Description": "Guitar tabs for several songs.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hunters_and_Collectors", "url": "http://members.iinet.net.au/~jscott/hunters/"} +{"d:Title": "All Music Guide: Hunters and Collectors", "d:Description": "Profile, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hunters_and_Collectors", "url": "http://www.allmusic.com/artist/hunters-collectors-mn0000942498"} +{"d:Title": "Deep in the Heart of Tuva", "d:Description": "Article about Huun Huur Tu and the art of throat singing.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Huun-Huur-Tu", "url": "http://www.furious.com/perfect/tuva.html"} +{"d:Title": "Ink Nineteen: Nina Hynes", "d:Description": "Brief review of Creation.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hynes,_Nina", "url": "http://www.ink19.com/issues_F/00_04/wet_ink/music_hj/nina_hynes.shtml"} +{"d:Title": "Music on CLUAS: Nina Hynes", "d:Description": "Feature article on the Dublin singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hynes,_Nina", "url": "http://www.cluas.com/music/features/nina.htm"} +{"d:Title": "Roddy's Irish Music Show: Nina Hynes", "d:Description": "Interview with the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/H/Hynes,_Nina", "url": "http://www.almostfabulous.com/rc/interviews/ninahynes.php"} +{"d:Title": "In Memoria", "d:Description": "Biography, news, photos, and sound files for the French gothic-electro-medieval band.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://inmemoria.free.fr/"} +{"d:Title": "Iafrati, James R. -", "d:Description": "Biography, tour dates, discography, audio samples, and art. (j3music.com)", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.j3music.com/"} +{"d:Title": "Iuno", "d:Description": "Netherlands based band. News, biography and band information, pictures, tour dates and audio/video downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.iuno.nl/"} +{"d:Title": "Ion Vein", "d:Description": "Chicago progressive rock band. Includes biographies, reviews, photos, sound clips, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.ionvein.com/"} +{"d:Title": "Idle Class", "d:Description": "Sandy, Oregon, Punk/Metal band. Newsletter, biography, history, profiles, album information, photo gallery, and MP3 downloads. (idle-class.com)", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/band/idleclass/idleclass.html"} +{"d:Title": "Isaacs, Sonya", "d:Description": "Nashville gospel/country singer. News, biography, lyrics, photos, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://sonyaisaacs.tripod.com/index.htm"} +{"d:Title": "Island Fever", "d:Description": "Featuring steel pans, keyboard, bass and guitar licks, cool sax and flute, and sweet vocal harmonies.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.islandfever.com/"} +{"d:Title": "Inlakesh", "d:Description": "Masters of the didgeridoo, produce powerful acoustic didgeri trance music, blending African and Middle Eastern instruments.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.inlakesh.com/"} +{"d:Title": "Irritating Rainbow", "d:Description": "Official site. News, band information, images, audio and video downloads, lyrics, merchandise, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.irritatingrainbow.com/"} +{"d:Title": "In God We Trust", "d:Description": "Rogers, Arizona Metal band. Band profiles, show dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/band/ingodwetrust/"} +{"d:Title": "I Love Ethel", "d:Description": "San Francisco based all original alternative rock band. Includes show dates, news, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://iloveethel.8m.com/"} +{"d:Title": "International Sin, The", "d:Description": "A French funk/pop/soul band. Audio files of songs, and way to get the music.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://cxv.free.fr/is"} +{"d:Title": "Ingolfsson, Judith", "d:Description": "Biography, reviews, repertoire, concert dates, and sound files of the violinist from Iceland.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.judithingolfsson.com/"} +{"d:Title": "Inspite", "d:Description": "New York, based band. News, discography, show dates and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://inspite0.tripod.com/"} +{"d:Title": "IndigoEcho", "d:Description": "Four piece Indie/Rock band from Edinburgh, Scotland. News, show dates, biography, photos, MP3 downloads, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.indigoecho.com/"} +{"d:Title": "Impellitteri", "d:Description": "52 songs lyrics from the band's 7 albums. (Rockmagic.net)", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://lyrics.rockmagic.net/lyrics/impellitteri/"} +{"d:Title": "IOU", "d:Description": "Christian punk band. Member profiles, band mission and rules.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://angelfire.com/myband/iou"} +{"d:Title": "Iona", "d:Description": "Traditional mid-Atlantic Celtic band. Includes pictures, schedules, CD reviews, sound clips, information about Celtic events and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://ionamusic.com/"} +{"d:Title": "Ill Advised", "d:Description": "Christian hardcore band. Images, introduction, lyrics, profiles, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www2.50megs.com/illadvised/"} +{"d:Title": "Implosion", "d:Description": "News, biography, discography, MP3 downloads, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://in-implosion.de/"} +{"d:Title": "Isla", "d:Description": "Filipino-American band based in Dallas. Profiles, lyrics, photos, schedules, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.isla-band.com/"} +{"d:Title": "Insane Frickin Musicians", "d:Description": "Hard punk band from MA. MP3s, show list, contact information and band background.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://tristris9.tripod.com/"} +{"d:Title": "Invisible", "d:Description": "TechnoSurf/houseSampling. Downloads, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://invisible.homestead.com/index.html"} +{"d:Title": "Institut F\u00fcr Universelle Zusammenh\u00e4nge", "d:Description": "Wide range from acoustic western songs to trance, Silvio Berlusconi meets Amy Fisher in Real Audio and mp3.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.onlovestar.com/"} +{"d:Title": "Intveld, James", "d:Description": "Official site for the 'roots' musician includes biography, show schedule, articles, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.jamesintveld.com/"} +{"d:Title": "Intonarumori", "d:Description": "Discography, performance dates, sound files, reviews, and pictures of the Seattle, WA experimental/avant/noise band.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.intonarumori.com/"} +{"d:Title": "Ides of March", "d:Description": "Official site includes discography, information about new works, and the history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.theidesofmarch.com/"} +{"d:Title": "Irie Dingo", "d:Description": "Original rock, groove, funk, blues and reggae dance music from this 4 piece band from San Diego. Includes MP3s, CDs, photos, and upcoming events.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.iriedingo.com/"} +{"d:Title": "Invisible - 2", "d:Description": "Jeff Stepien solo project - Metal with a progressive edge. Biography, lyrics, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://invisible2music.tripod.com/"} +{"d:Title": "Istism", "d:Description": "Progressive/Funk combo from Kennesaw, Georgia. Includes band member profiles, photographs and MP3 downloads of their live recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://istism.tripod.com/"} +{"d:Title": "Inertia Official Site", "d:Description": "Rock band from Scotland. News, photos, biography, audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/celeb2/inertia/"} +{"d:Title": "Igono", "d:Description": "Cleveland punk band. News and show dates, band history and biographies, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/punk2/igono/"} +{"d:Title": "Illustrious Day", "d:Description": "A band that play in and around New England. Biography, pictures, press reviews and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.illustriousday.com/"} +{"d:Title": "Insanity", "d:Description": "Old School Death Metal from the San Francisco Bay Area. Biography, history, audio and video downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.blacklungproductions.com/insanity/index.html"} +{"d:Title": "Illusion21", "d:Description": "Teen girl band from Orlando, Florida. News, audio, pictures, lyrics, information, biographies, history, tour dates, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/myband/illusion21/index.html"} +{"d:Title": "Inquisicion", "d:Description": "South American Heavy Metal band. Navigation is in Spanish, but includes English content.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/ns/inquisicion/index.html"} +{"d:Title": "Infinite Monkeys", "d:Description": "Experimental electronic music. News, show dates and music.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/in/hypnosonic/"} +{"d:Title": "Impechuda", "d:Description": "Stoner rock band from Walsall, England. Music, profiles, show dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://impechuda.tripod.com/"} +{"d:Title": "Insomniac", "d:Description": "Four member punk band. Images, profiles, lyrics, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/rock/lostart/"} +{"d:Title": "Imperial Wonders", "d:Description": "Cleveland soul and rhythm and blues group. Biography, audio clips, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.imperialwonders.com/"} +{"d:Title": "I Love Rich", "d:Description": "Chicago, Illanois, rock band. Reviews, news, upcoming shows, photos, discography, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.iloverich.net/"} +{"d:Title": "Illegal Eagle, The", "d:Description": "Israeli Rocker Clown. Includes artist information, photos, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.the-illegal-eagle.com/"} +{"d:Title": "Inertia", "d:Description": "Indie rock band from the North East of England. Band profiles, WAV audio downloads, lyrics, photos, artwork, messageboard, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/band2/inertia/"} +{"d:Title": "Inner Steel", "d:Description": "Official site of MD Rock band. Includes biographies, equipment, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/rock2/metalgrounds/frames.html"} +{"d:Title": "Innocence Lost", "d:Description": "Algonquin, Illinois nu-metal band. News, biography, upcoming shows, pictures, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/band2/innocencelost/"} +{"d:Title": "Imperfect", "d:Description": "Heavy metal band based in Huntington, New York. Official biographies, facts, quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://gender107.tripod.com/"} +{"d:Title": "In Harm's Way", "d:Description": "Official site of Massachusetts-based power metal band. Includes biography, photos, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.angelfire.com/music4/inharmsway/"} +{"d:Title": "In Black 'n White", "d:Description": "Milwaukee, Wisconsin reggae, rock, and rhythm and blues band. Includes news, tour schedule, pictures, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.inblacknwhite.com/"} +{"d:Title": "Irwin, Russ", "d:Description": "Fan site includes biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://russ_i.tripod.com/love/"} +{"d:Title": "Ino", "d:Description": "An electronica band. Includes biography and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://ino.box.free.fr/"} +{"d:Title": "Innersense", "d:Description": "Worldwide Music Ltd's site for the meditation music band. Includes album information and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.wwm.co.uk/"} +{"d:Title": "Infernos, The", "d:Description": "American Show Band. Includes biography, photos, audio clips, tour dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.theinfernosband.com/"} +{"d:Title": "Insanity Void", "d:Description": "Band name of recording artist Paul Luscher in the western United States. Includes news, MP3s, lyrics, biography, and store.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.insanityvoid.com/"} +{"d:Title": "Inchanto", "d:Description": "Tuscany band. A mix of Renaissance/Celtic music. News, music, discography, reviews, and lyrics. [English, Italian, French]", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://users.libero.it/inchanto/english.html"} +{"d:Title": "Iconoclast", "d:Description": "An Ottawa, Ontario, Canada based heavy-rock group. Includes news, gig dates, lyrics, audio, pictures, biography, member profiles, equipment, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.iconoclast-band.com/"} +{"d:Title": "Interim Nation", "d:Description": "Official site of Swedish creator of instrumental techno and video game music. Contains profile, MP3 samples, video, screensavers, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://interimnation.com/"} +{"d:Title": "Insert Piz Here", "d:Description": "Official site of a band from Tucson, Arizona. Includes discography, audio, tablature, reviews, timeline, member profiles, news, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.thepiz.org/"} +{"d:Title": "In Black", "d:Description": "Official site of a metalband from southern Sweden. Includes photos, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://hem.bredband.net/haknya/inblack/"} +{"d:Title": "Illustration", "d:Description": "Chronicles the history and sounds of this Montreal based jazz/rock band. Contains photographs, music, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.maquiavelito.com/"} +{"d:Title": "insider", "d:Description": "Covers band based in the North East of England. Includes set list, gig information, sound files, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.goinsider.net/"} +{"d:Title": "Iron Cross", "d:Description": "Columbus Ohio metal band. Band biographies, MP3 and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.ironcrossrocks.com/"} +{"d:Title": "I Muvrini", "d:Description": "History, biography and discography for the Corsican band.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://home.scarlet.be/imuvrini/anglais/indexAN.htm"} +{"d:Title": "Isihia", "d:Description": "Bulgarian Ethno-ambient group. Band information, MP3, articles, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://isihia.net/"} +{"d:Title": "Idle Rains", "d:Description": "Rock Duo from Saskatoon, Saskatchewan. Includes news, CD information, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.idlerains.com/"} +{"d:Title": "Indus", "d:Description": "Acoustic rock, meaningful lyrics. Vocalist Joy Gamache sets moody, passionate vocals against a background of thick instrumentation.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://indussongs.com/"} +{"d:Title": "Idiot Patrol", "d:Description": "Virtual magazine chronicalling the band. Includes biographies, lyrics, updates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://planet420.tripod.com/VirtualMagazineIdiotPatrol/index.htm"} +{"d:Title": "Intelligentsia", "d:Description": "Discography, profile, mailing list, message board, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://earthacademy.org/intelligentsia/"} +{"d:Title": "Interensemble", "d:Description": "Contemporary music group from Italy. Biography, discography, audio samples and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.interensemble.it/ENG/aboutus.html"} +{"d:Title": "Incity", "d:Description": "Biography, album information and pictures for the synth-rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://incityrock.com/"} +{"d:Title": "Incognito", "d:Description": "British jazz/funk/soul band. Includes lyrics, samples, discography, tour dates, articles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.incognito.london/"} +{"d:Title": "Idris", "d:Description": "The folk-like rock band combines vocals, flute, violin, didgeridoo, guitar, bass, percussion and drums. Profile, songs, photos, news, and performance dates. [Dutch and English]", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.idrismuziek.nl/"} +{"d:Title": "Inti-Illimani", "d:Description": "Chilean fokloric band. Biography and profiles, press, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/I", "url": "http://www.gamisimonds.com/inti-illimani/"} +{"d:Title": "Sweet 'n' Sassy i5 Site", "d:Description": "Extensive photo galleries, Promo Crew, band biography and facts, lyrics, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/i5", "url": "http://www.angelfire.com/pop/IGetDistracted/i5"} +{"d:Title": "i5 Official Street Team", "d:Description": "Information regarding how fans can help promote the band. (Yahoo! Groups)", "topic": "Top/Arts/Music/Bands_and_Artists/I/i5", "url": "http://launch.groups.yahoo.com/group/i5_Street_Team/"} +{"d:Title": "Hip Online: i5", "d:Description": "Biography, and interview.", "topic": "Top/Arts/Music/Bands_and_Artists/I/i5", "url": "http://www.hiponline.com/1642/i5.html"} +{"d:Title": "IAMX Podcast", "d:Description": "Official podcast featuring live audio/video downloads, interviews and backstage bits.", "topic": "Top/Arts/Music/Bands_and_Artists/I/IAMX", "url": "http://iamx.podomatic.com/"} +{"d:Title": "Discogs: IAMX", "d:Description": "Complete discography and related releases.", "topic": "Top/Arts/Music/Bands_and_Artists/I/IAMX", "url": "http://www.discogs.com/artist/IAMX"} +{"d:Title": "Last fm: IAMX", "d:Description": "Audio/video streaming, tour dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/IAMX", "url": "http://www.last.fm/music/IAMX"} +{"d:Title": "IAMX Forum", "d:Description": "Fan Community with news and rumours", "topic": "Top/Arts/Music/Bands_and_Artists/I/IAMX", "url": "http://www.iamxforum.com/"} +{"d:Title": "IAMX", "d:Description": "Official website with news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/IAMX", "url": "http://iamxmusic.com/"} +{"d:Title": "Janis Ian", "d:Description": "Official site includes updates, tour schedule, lyrics, articles, speeches, photos, equipment information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ian,_Janis", "url": "http://www.janisian.com/"} +{"d:Title": "MTV: Ian and Sylvia", "d:Description": "Biography, audio clips, album reviews and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ian_and_Sylvia", "url": "http://www.mtv.com/artists/ian-sylvia/"} +{"d:Title": "Canoe: Ian and Sylvia", "d:Description": "Provides a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ian_and_Sylvia", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/I/Ian_And_Sylvia.html"} +{"d:Title": "Contactmusic - Ice-T", "d:Description": "Featuring the news, reviews, biography, links and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ice-T", "url": "http://www.contactmusic.com/info/ice-t"} +{"d:Title": "RollingStone.com: Ice-T", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ice-T", "url": "http://www.rollingstone.com/music/artists/ice-t"} +{"d:Title": "MTV.com: Ice-T", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ice-T", "url": "http://www.mtv.com/artists/ice-t-1/"} +{"d:Title": "IMDB: Ice-T", "d:Description": "Filmography, TV appearances, images, and production credits.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ice-T", "url": "http://www.imdb.com/name/nm0001384/"} +{"d:Title": "Iced Earth", "d:Description": "Official site, with news, tour dates, merchandise, sound clips, fanclub and online forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iced_Earth", "url": "http://www.icedearth.com/"} +{"d:Title": "Rock Lyrics: Iced Earth", "d:Description": "Sixty-nine songs from six of the band's albums. (Rockmagic.net)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iced_Earth", "url": "http://lyrics.rockmagic.net/lyrics/iced_earth/"} +{"d:Title": "The Frozen Palace: Iced Earth", "d:Description": "A collection of guitar tablature for songs by Iced Earth.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iced_Earth", "url": "http://abysslord.com/icedearth/"} +{"d:Title": "Spellbound: Icehouse", "d:Description": "Information on the band and its leader, Iva Davies, including discography, history, interviews, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Icehouse", "url": "http://www.spellbound-icehouse.org/"} +{"d:Title": "MTV.com: Icehouse", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Icehouse", "url": "http://www.mtv.com/artists/icehouse/"} +{"d:Title": "All Music Guide: Icehouse", "d:Description": "Biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Icehouse", "url": "http://www.allmusic.com/artist/icehouse-mn0000768737"} +{"d:Title": "Kathy's Zak Starkey Site: Icicle Works", "d:Description": "Band history, and information on one of the band's drummers.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Icicle_Works", "url": "http://www.kathyszaksite.com/icicle.html"} +{"d:Title": "All Music Guide: Icicle Works", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Icicle_Works", "url": "http://www.allmusic.com/artist/icicle-works-mn0000075354"} +{"d:Title": "Westnet: Icos", "d:Description": "Review. At The Speed of Life by Linda Scott", "topic": "Top/Arts/Music/Bands_and_Artists/I/Icos", "url": "http://www.westnet.com/consumable/1998/09.11/revicos.html"} +{"d:Title": "All Music Guide: Icos", "d:Description": "Mini-biography, discography, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Icos", "url": "http://www.allmusic.com/artist/icos-mn0000768858"} +{"d:Title": "Idahomusic", "d:Description": "Official web site featuring unreleased MP3s, images, tour dates, and message board maintained by singer Jeff Martin.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho", "url": "http://www.idahomusic.com/"} +{"d:Title": "How to Make the Cruelest Month", "d:Description": "Site featuring information about the independent film for which Jeff Martin wrote the score.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho", "url": "http://www.filmscouts.com/scripts/film.cfm?film=how-mak"} +{"d:Title": "Slidingpast.com", "d:Description": "The official archive featuring photos, tour dates, reviews, interviews, set lists, and history of the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho", "url": "http://www.slidingpast.com/"} +{"d:Title": "Idaho at the Olympic", "d:Description": "Features two photographs from a show in Nantes, France at the Olympic, November 28, 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho", "url": "http://emmanuel.bacquet.free.fr/musique/idaho/idaho.htm"} +{"d:Title": "Idaho Photos", "d:Description": "Archive of photographs by Alyssa Scheinson.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho", "url": "http://alyssasgallery.com/bands/idaho/"} +{"d:Title": "All Music Guide: Idaho", "d:Description": "Features discography and information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho", "url": "http://www.allmusic.com/artist/idaho-mn0000088698"} +{"d:Title": "POPNEWS", "d:Description": "Interview with singer Jeff Martin after the shows in France.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Articles_and_Interviews", "url": "http://www.popnews.com/"} +{"d:Title": "Snorecore - an Appreciation", "d:Description": "Article on slow-core/sad music bands. Also features, Red House Painters, Low, and Mazzy Star.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Articles_and_Interviews", "url": "http://www.furious.com/perfect/snorecore.html"} +{"d:Title": "Metroactive Music", "d:Description": "Features an interview with Jeff Martin about the release of Levitate.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Articles_and_Interviews", "url": "http://www.metroactive.com/papers/metro/12.20.01/martin-0151.html"} +{"d:Title": "Morning Becomes Eclectic", "d:Description": "Real Audio archive of interview and live song performances on radio station KCRW.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Articles_and_Interviews", "url": "http://www.kcrw.com/music/programs/mb/mb960118idaho"} +{"d:Title": "Friends Of The Heroes", "d:Description": "Features an interview with John Berry.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Articles_and_Interviews", "url": "http://www.slidingpast.com/press/2003/friendsoftheheroes.html"} +{"d:Title": "Babysue.com", "d:Description": "Features a live show review of the Atlanta, Georgia show.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews", "url": "http://www.babysue.com/LMNOP-Reviews-Dec-00.html#anchor206016"} +{"d:Title": "Ink 19", "d:Description": "Reviews of Hearts Of Palm and People Like Us Should Be Stopped.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews", "url": "http://www.ink19.com/issues/september2000/wetInk/musicIK/idaho.html"} +{"d:Title": "BostonPhoenix.com", "d:Description": "Review of show at the Middle East in Boston.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews", "url": "http://www.bostonphoenix.com/archive/music/00/11/09/REX/IDAHO.html"} +{"d:Title": "SpaceRockCity.com", "d:Description": "Features a review of the Stuck On Caroline sampler from 1993.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews", "url": "http://www.spacecityrock.com/stories/bandsmix.html"} +{"d:Title": "RateYourMusic.com", "d:Description": "A site devoted to fan's ratings of albums by different artists.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews", "url": "http://rateyourmusic.com/artist/idaho"} +{"d:Title": "Idaho: Alas", "d:Description": "A simple but true review.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Alas", "url": "http://www.adkg.com/reviews/music/idaho.html"} +{"d:Title": "Babysue", "d:Description": "Review of The Forbidden EP.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Forbidden", "url": "http://www.babysue.com/Reviews-March-97.html#anchor410855"} +{"d:Title": "Mod Magazine", "d:Description": "Features a review of The Forbidden.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Forbidden", "url": "http://www.silvergirl.com/ModReviewsI.html#"} +{"d:Title": "In Music We Trust", "d:Description": "Review of Hearts of Palm.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Hearts_of_Palm", "url": "http://www.inmusicwetrust.com/articles/35r13.html"} +{"d:Title": "Impact Press", "d:Description": "Review of Hearts of Palm from a bimonthly socio/political magazine based in Orlando, Florida.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Hearts_of_Palm", "url": "http://www.impactpress.com/articles/decjan01/musicr120101.html"} +{"d:Title": "NY Rock Street Beat", "d:Description": "Review of Hearts Of Palm.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Hearts_of_Palm", "url": "http://www.nyrock.com/streetbeat/0900.asp#four"} +{"d:Title": "Stranger.com", "d:Description": "Review of Hearts Of Palm album. (Second review on page.)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Hearts_of_Palm", "url": "http://www.thestranger.com/seattle/cd-review-revue/Content?oid=5543"} +{"d:Title": "CreativeLoafing.com", "d:Description": "Review of Hearts of Palm in Atlanta's alternative weekly paper.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Hearts_of_Palm", "url": "http://atlanta.creativeloafing.com/gyrobase/Content?oid=oid:2272"} +{"d:Title": "Ink 19", "d:Description": "Review of Levitate.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Levitate", "url": "http://www.ink19.com/issues/november2001/wetInk/musicI/idaho.html"} +{"d:Title": "Babysue", "d:Description": "One of the first reviews of Levitate available.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Levitate", "url": "http://www.babysue.com/LMNOP-Reviews-August-01.html#anchor539384"} +{"d:Title": "JimDero.com", "d:Description": "Features a very brief review of Levitate.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Levitate", "url": "http://www.jimdero.com/News2001/AlbumReviews2001.htm#Idaho"} +{"d:Title": "Lostatsea.net", "d:Description": "Positive review of Levitate.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Levitate", "url": "http://lostatsea.net/review.phtml?id=1762984491418d256fb4aae"} +{"d:Title": "Pitchfork", "d:Description": "Featuring a review of Levitate. (Nov. 12, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Levitate", "url": "http://pitchfork.com/reviews/albums/4057-levitate/"} +{"d:Title": "DBmagazine.com", "d:Description": "Features a review of Levitate.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Levitate", "url": "http://www.slidingpast.com/press/reviews/levitate/levitate_dbmagazine.html"} +{"d:Title": "HybridMagazine", "d:Description": "Review of People Like Us Should Be Stopped.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/People_Like_Us_Should_Be_Stopped", "url": "http://www.hybridmagazine.com/reviews/0201/idaho.shtml"} +{"d:Title": "Earpollution.com", "d:Description": "Review of the live album People Like Us Should Be Stopped.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/People_Like_Us_Should_Be_Stopped", "url": "http://www.earpollution.com/vol2/oct00/album/album.html#idaho"} +{"d:Title": "babysue.com", "d:Description": "Review of Three Sheets To The Wind.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Three_Sheets_to_the_Wind", "url": "http://www.babysue.com/music-apr-revs.html#Idaho"} +{"d:Title": "Yale Herald", "d:Description": "Three Sheets To The Wind review.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Three_Sheets_to_the_Wind", "url": "http://www.yaleherald.com/archive/xxi/4.5.96/arts/idaho.html"} +{"d:Title": "Bay Area Buzz", "d:Description": "Features a review of We Were Young And Needed The Money.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/We_Were_Young_and_Needed_the_Money", "url": "http://www.bayareabuzz.net/pastissues/0301januaryfebruary/cdreviews/#cdr10"} +{"d:Title": "Pitchfork Review", "d:Description": "Features a review of We Were Young And Needed The Money. (Sept. 9, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/We_Were_Young_and_Needed_the_Money", "url": "http://pitchfork.com/reviews/albums/4056-we-were-young-and-needed-the-money/"} +{"d:Title": "John's Best Albums of 1993", "d:Description": "Brief review of Year After Year.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idaho/Reviews/Year_After_Year", "url": "http://idiot-dog.com/relph/bestof/1993.html"} +{"d:Title": "MetroActive: Idiot Flesh", "d:Description": "Article. Carnival of Flesh by Andrew Lentz.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idiot_Flesh", "url": "http://www.metroactive.com/papers/metro/01.29.98/idiotflesh-9804.html"} +{"d:Title": "All Music Guide: Idiot Flesh", "d:Description": "Brief biography, discography, album reviews and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idiot_Flesh", "url": "http://www.allmusic.com/artist/idiot-flesh-mn0000075025"} +{"d:Title": "Idlewild", "d:Description": "Official site includes news, biography, diary, photos, lyrics, message board, shop, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idlewild", "url": "http://www.idlewild.co.uk/"} +{"d:Title": "Matt's Billy Idol Page", "d:Description": "Images, forum, lyrics, profile, multimedia and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://www.mattsmusicpage.com/nidol.htm"} +{"d:Title": "The Unofficial Billy Idol Website", "d:Description": "This site offers a discography, filmography, lyrics, tablature, pictures, art, midis and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://www.angelfire.com/rock2/billyidol/"} +{"d:Title": "Idol Links", "d:Description": "A guide to links, arranged by subject.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://idollinks.bravepages.com/"} +{"d:Title": "Billy Idol Live on Tour", "d:Description": "Buy rare live CDs, track listings included.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://members.home.nl/roelof-everts/"} +{"d:Title": "A Decade in the Life of Billy Idol", "d:Description": "Chart results from '81 to '89, mini-biography and quotes from people in the music industry about some of the albums.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://eightiesclub.tripod.com/id243.htm"} +{"d:Title": "Wikipedia: Billy Idol", "d:Description": "A short description with links to read about related albums, movies and artists.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://en.wikipedia.org/wiki/Billy_Idol"} +{"d:Title": "MSO: Billy Idol", "d:Description": "Idol's page from his PR company. Includes an overview of his career and press releases.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://www.msopr.com/?q=node/408"} +{"d:Title": "Billy Idol", "d:Description": "An interview discussing his album \"Cyberpunk\" and the technology associated with it.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://www.chaoscontrol.com/?article=billyidol"} +{"d:Title": "RollingStone.com: Billy Idol", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://www.rollingstone.com/music/artists/billy-idol"} +{"d:Title": "Billy Idol Official Site", "d:Description": "Latest news, fan club, history, RealAudio clips, jewelry information, and Steve Stevens tribute.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://billyidol.net/"} +{"d:Title": "Generation WWW", "d:Description": "News, pictures, tour information, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://www.billyidol.se/"} +{"d:Title": "MTV: Billy Idol", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Idol,_Billy", "url": "http://www.mtv.com/artists/billy-idol/"} +{"d:Title": "The Unofficial Frank Ifield Page", "d:Description": "Biography, discography, audio song and interview clips.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ifield,_Frank", "url": "http://www.angelfire.com/tn/belle/ifield.html"} +{"d:Title": "Frank Ifield", "d:Description": "Official site includes news, discography, reviews, quotes, press, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ifield,_Frank", "url": "http://www.frankifield.com/"} +{"d:Title": "Infinite Booking: IfiHadAHiFi", "d:Description": "Biography, reviews, pictures and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/I/IfiHadAHiFi", "url": "http://www.angelfire.com/indie/infinite/ifihadahifi.html"} +{"d:Title": "NY Rock: Iggy Pop and The Stooges", "d:Description": "Review of the album Raw Power (re-mixed edition).", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.nyrock.com/raw_cd.htm"} +{"d:Title": "RATW: Iggy Pop", "d:Description": "Newspaper article by Mr Curt.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.ratw.com/issues/11/iggy_pop.htm"} +{"d:Title": "Russian Iggy Pop Page", "d:Description": "Features lyrics, discography, photos, albums cover and biography. In English and Russian.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.iggypop.narod.ru/"} +{"d:Title": "Iggy Pop", "d:Description": "Official site. Featuring news, tour dates, exclusive audio, video, photos, biography, and message board. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.iggypop.com/"} +{"d:Title": "RollingStone.com: Iggy Pop", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.rollingstone.com/music/artists/iggy-pop"} +{"d:Title": "Iggy and the Stooges", "d:Description": "Label site with news, photos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.iggyandthestoogesmusic.com/"} +{"d:Title": "MTV.com: Iggy Pop", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.mtv.com/artists/iggy-pop/"} +{"d:Title": "Metacritic: Iggy Pop", "d:Description": "Multiple reviews for the album Beat 'Em Up, by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iggy_Pop", "url": "http://www.metacritic.com/music/beat-em-up/iggy-pop"} +{"d:Title": "Enrique Iglesias", "d:Description": "Official site includes biography, discography, photo gallery, audio samples, tour information, forum, and a mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique", "url": "http://www.enriqueiglesias.com/"} +{"d:Title": "Enrique Iglesias News: Topix", "d:Description": "News about Enrique Iglesias continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique", "url": "http://www.topix.com/who/enrique-iglesias"} +{"d:Title": "Topix: Enrique Iglesias", "d:Description": "News about Enrique Iglesias, collected from various sources on the web", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique", "url": "http://www.topix.com/rss/who/enrique-iglesias.xml"} +{"d:Title": "Yahoo Group: USAEIF Fan Club", "d:Description": "Recognized US Fan Club registered with The National Association of Fan Clubs. In partnership with The United Foundation of Aids/KIDZCare, where Enrique is Honorary Chairman.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique", "url": "http://launch.groups.yahoo.com/group/usaeifanclub/"} +{"d:Title": "AskMen.com - Enrique Iglesias", "d:Description": "Includes pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique", "url": "http://www.askmen.com/celebs/men/entertainment_60/89_enrique_iglesias.html"} +{"d:Title": "MTV: Enrique Iglesias", "d:Description": "Features music video clips, album reviews and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique", "url": "http://www.mtv.com/artists/enrique-iglesias/"} +{"d:Title": "Enrique Iglesias Photo Gallery", "d:Description": "About 10 images.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique", "url": "http://photogallery00.tripod.com/enrique.html"} +{"d:Title": "Australia's First Website Dedicated to Enrique Iglesias", "d:Description": "Includes facts, concert pictures, wallpapers and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique/Fan_Pages", "url": "http://www.angelfire.com/pop/EnriqueIglesias/"} +{"d:Title": "Enrique", "d:Description": "Biography, discography, multimedia, links, ringtones, forum, photos, wallpapers, articles. [Russian, Spanish, English].", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Enrique/Fan_Pages", "url": "http://enriqueiglesias.fromru.com/"} +{"d:Title": "The Official Julio Iglesias Website", "d:Description": "Includes biographical information, discography, tour dates and appearances, news, fan club, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iglesias,_Julio", "url": "http://www.julioiglesias.com/"} +{"d:Title": "Illdisposed Official Site", "d:Description": "News, band profiles, discography, MP3 downloads, reviews, photo gallery, tour dates, and message board. [English/Danish/German]", "topic": "Top/Arts/Music/Bands_and_Artists/I/Illdisposed", "url": "http://www.illdisposed.dk/"} +{"d:Title": "Lyrics At Rockmagic: Illdisposed", "d:Description": "24 song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Illdisposed", "url": "http://lyrics.rockmagic.net/lyrics/ill_disposed/"} +{"d:Title": "Il Divo", "d:Description": "Official site for the cosmopolitan quartet of pop/opera crossover singers. Includes biographies, news and music.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Il_Divo", "url": "http://www.ildivo.com/"} +{"d:Title": "Imajin Luvas Fantasy", "d:Description": "Fan page. Includes, pictures, biographies, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imajin", "url": "http://www.angelfire.com/hiphop/imajinluvasfantasy/index.html"} +{"d:Title": "24-7 Imajin", "d:Description": "News, biography, individual profiles, lyrics and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imajin", "url": "http://www.angelfire.com/yt/nodoubt/index2.html"} +{"d:Title": "ImajiNet", "d:Description": "Lyrics, poetry, sound files and quizzes.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imajin", "url": "http://www.angelfire.com/ca3/ImajiNet/"} +{"d:Title": "Imajin Babee", "d:Description": "A fan site with artist information, photos, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imajin", "url": "http://www.angelfire.com/ca3/imajinbabee/"} +{"d:Title": "IMDb: Natalie Imbruglia", "d:Description": "Includes filmography, awards, biography, photos, articles.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://www.imdb.com/name/nm0001386/"} +{"d:Title": "Absolute Divas: Natalie Imbruglia", "d:Description": "A large picture gallery as well as full lyrics, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://www.absolutedivas.com/imbruglia/"} +{"d:Title": "Natalie Imbruglia News: Topix", "d:Description": "News about Natalie Imbruglia continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://www.topix.com/who/natalie-imbruglia"} +{"d:Title": "Sidewalks Entertainment Television: Natalie Imbruglia", "d:Description": "The TV show's profile page on the singer and actress, with on-line interview and exclusive photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://sidewalkstv.com/specialfeatures/natalieimbruglia/index.html"} +{"d:Title": "Yahoo Groups: Natalie", "d:Description": "General discussion group. Trading allowed. Moderator watches for spam and advertising.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://launch.groups.yahoo.com/group/natalie/"} +{"d:Title": "Ask Men: Natalie Imbruglia", "d:Description": "Images, biography and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://www.askmen.com/celebs/women/singer/19_natalie_imbruglia.html"} +{"d:Title": "All Music Guide: Natalie Imbruglia", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://www.allmusic.com/artist/natalie-imbruglia-mn0000374126"} +{"d:Title": "MTV: Natalie Imbruglia", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie", "url": "http://www.mtv.com/artists/natalie-imbruglia/"} +{"d:Title": "Amazing Beauty Natalie Imbruglia", "d:Description": "Thumbnailed galleries featuring 170+ pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie/Image_Galleries", "url": "http://natalieimbrugliapict.tripod.com/home.html"} +{"d:Title": "BBC: Natalie Imbruglia - White Lilies Island", "d:Description": "Jacqueline Hodges' review: \"it is obvious that a lot of thought and care has gone into White Lilies Island but it fails overall to light many fires.\"", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imbruglia,_Natalie/Reviews/White_Lilies_Island", "url": "http://www.bbc.co.uk/music/reviews/hgbd"} +{"d:Title": "Imperial Teen Official Site", "d:Description": "Includes news, biography, discography, pictures, audio and video, and upcoming events.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imperial_Teen", "url": "http://www.imperialteen.com/"} +{"d:Title": "All Music Guide: Imperial Teen", "d:Description": "Biography, discography, reviews and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Imperial_Teen", "url": "http://www.allmusic.com/artist/imperial-teen-mn0000081345"} +{"d:Title": "Impo551bles", "d:Description": "Fan site includes lyrics, photos, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Impossibles,_The", "url": "http://impguy69.tripod.com/"} +{"d:Title": "The Impossibles Mailing List", "d:Description": "Subscription information and archives for members.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Impossibles,_The", "url": "http://launch.groups.yahoo.com/group/impossibles/"} +{"d:Title": "Chinagurls IMx Spot", "d:Description": "Photos and free clipart.", "topic": "Top/Arts/Music/Bands_and_Artists/I/IMx", "url": "http://chinagurl.8m.com/"} +{"d:Title": "Enjoy Incubus", "d:Description": "Official site includes news, discography with sound samples, biography and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus", "url": "http://www.enjoyincubus.com/"} +{"d:Title": "Keebler's Incubus Tab Site", "d:Description": "Collection of guitar tablature and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus", "url": "http://keebler1212.tripod.com/keeblersincubustabsite/"} +{"d:Title": "Incubus Tabs", "d:Description": "An alphebetic listing of tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus", "url": "http://www.guitaretab.com/i/incubus/"} +{"d:Title": "Rolling Stone: Incubus", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus", "url": "http://www.rollingstone.com/music/artists/incubus"} +{"d:Title": "Incubus Song Meanings", "d:Description": "A lyrics and discussion site for song meanings of the song's lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus", "url": "http://www.songmeanings.net/artist/view/songs/42/"} +{"d:Title": "MTV: Incubus", "d:Description": "Features music video clips, album reviews, interviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus", "url": "http://www.mtv.com/artists/incubus/"} +{"d:Title": "Burst Into Brandon Boyd", "d:Description": "Biography, news, pictures, interviews, tour dates, lyrics, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://www.angelfire.com/in4/brandonboyd/"} +{"d:Title": "Incubus HeadQuarters, The", "d:Description": "Fan site features pictures, MP3s, videos, lyrics, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://www.angelfire.com/rock/incubushq/"} +{"d:Title": "Incubus Online", "d:Description": "Complete fan site features live audio, press archive, discography, and other exclusive content.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://www.incubusonline.com/"} +{"d:Title": "IncubusWeb", "d:Description": "Discography, member profiles, band biography, lyrics, pictures, autographs, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://www.angelfire.com/band/IncubusWeb/"} +{"d:Title": "Room 421", "d:Description": "Fan art, concert reviews, and interpretations.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://www.angelfire.com/band/Room421/index.html"} +{"d:Title": "Incubus", "d:Description": "Lyrics, tablature, biography, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://www.angelfire.com/rock3/skaluva99/index.html"} +{"d:Title": "Incyabus and Yer Mom", "d:Description": "Discography, photos, media, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://manduhinc.tripod.com/"} +{"d:Title": "Andy's World: Incubus Influence", "d:Description": "Includes songs, lyrics, chords, as well as original music and demo by me, Andy Masomian.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://andymasomian.tripod.com/andysworld"} +{"d:Title": "Incubus Fan Web", "d:Description": "Site dedicated to the alternative-rock band, featuring pictures, biography, member profiles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://incubusfanweb.tripod.com/"} +{"d:Title": "Incubusplace", "d:Description": "Contains news, biographies, tour dates and reviews, pictures, discography and lyrics, videos, band equipment, and interactive section.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://iftm05.tripod.com/"} +{"d:Title": "IncubusView", "d:Description": "Includes photos, videos and MP3s, news, articles and interviews, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://www.incubusview.com/"} +{"d:Title": "Unofficial Incubus Mailing List", "d:Description": "Discuss upcoming news, show information, reviews, and fan stories.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/incubusAzWeThinkWeIz/"} +{"d:Title": "Incubus: Are You Linked", "d:Description": "A forum for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Fan_Pages", "url": "http://areyoulinked.proboards.com/"} +{"d:Title": "Lyrics at Rockmagic: Incubus", "d:Description": "Thirty-two song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/incubus/"} +{"d:Title": "Incubus Lyrics", "d:Description": "Fan site with all of the band's lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Incubus/Lyrics", "url": "http://www.najical.com/incubus/"} +{"d:Title": "LyricsOnDemand: India.Arie", "d:Description": "Lyrics categorized by album.", "topic": "Top/Arts/Music/Bands_and_Artists/I/India.Arie", "url": "http://www.lyricsondemand.com/i/indiaarielyrics/"} +{"d:Title": "AskMen.com: India.Arie", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/India.Arie", "url": "http://www.askmen.com/celebs/women/singer_100/129_india_arie.html"} +{"d:Title": "UnRated Magazine: India.Arie Channeling Optimism in Chicago", "d:Description": "Review of her Oct. 13, 2006, concert at the Chicago Theatre.", "topic": "Top/Arts/Music/Bands_and_Artists/I/India.Arie", "url": "http://www.unratedmagazine.com/Articles/Archive/2006/IndiaArie_061012.cfm"} +{"d:Title": "All Music Guide: India.Arie", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/India.Arie", "url": "http://www.allmusic.com/artist/indiaarie-mn0000084446"} +{"d:Title": "India.Arie", "d:Description": "Official site offers a biography, photos, video, audio and news. [Flash required]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/India.Arie", "url": "http://www.soulbird.com/"} +{"d:Title": "Indigenous Rocks", "d:Description": "Official site features biography, tour schedule, press, audio and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigenous", "url": "http://www.indigenousrocks.com/"} +{"d:Title": "Indigenous Blues", "d:Description": "Unofficial Fansite. Features concert photos, RealAudio samples, tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigenous", "url": "http://indigenousblues.tripod.com/"} +{"d:Title": "All Music Guide: Indigenous", "d:Description": "Biography, discography, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigenous", "url": "http://www.allmusic.com/artist/indigenous-mn0000774180"} +{"d:Title": "Daemon Records", "d:Description": "Independent label founded by Amy Ray, featuring several Atlanta-based artists", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.daemonrecords.com/"} +{"d:Title": "Indigo Girls Official Site", "d:Description": "News, tour dates, biography, discography, online store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.indigogirls.com/"} +{"d:Title": "Indigo Girls Tabs", "d:Description": "An alphabetic listing of tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.guitaretab.com/i/indigo-girls/"} +{"d:Title": "Indigo Girls Guitar Chords", "d:Description": "A supplement for guitar players.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://adamschneider.net/music/ig/"} +{"d:Title": "UnRated Magazine: The Indigo Girls: The Power of Two", "d:Description": "Live concert review from Metro Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=86"} +{"d:Title": "AskMen.com - Indigo Girls", "d:Description": "Includes pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.askmen.com/celebs/women/singer_100/147_indigo_girls.html"} +{"d:Title": "lifeblood", "d:Description": "A comprehensive listing of previous performances, downloadable bootlegs, a discography, and music videos", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.lifeblood.net/"} +{"d:Title": "Exclusive Interview with Indigo Girl Emily Saliers", "d:Description": "A conversation with Emily Saliers about songwriting, activism, and co-authoring a book on spirituality with her father", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.lavendermagazine.com/our-scene/exclusive-interview-with-indigo-girl-emily-saliers/"} +{"d:Title": "All Music Guide: Indigo Girls", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://www.allmusic.com/artist/indigo-girls-mn0000103484"} +{"d:Title": "Indigo Girls - LyricWikia", "d:Description": "Wiki providing lyrics for all of their songs", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://lyrics.wikia.com/Indigo_Girls"} +{"d:Title": "A Year A Month", "d:Description": "A monthly blog from Amy and Emily of Indigo Girls, following the band's history one year per month", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://indigogirlsblog.tumblr.com/"} +{"d:Title": "Celebrity Cafe: Indigo Girls", "d:Description": "Interview with Amy Ray about Daemon Records.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Indigo_Girls", "url": "http://thecelebritycafe.com/2000/11/ray-amy/"} +{"d:Title": "Infectious Grooves Shrine", "d:Description": "Images, tablature, sounds, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Infectious_Grooves", "url": "http://www.angelfire.com/nc/infectiousgrooves/"} +{"d:Title": "Lyrics at Rockmagic: Infectious Grooves", "d:Description": "Forty-six song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Infectious_Grooves", "url": "http://lyrics.rockmagic.net/lyrics/infectious_grooves/"} +{"d:Title": "Infectious Homepage", "d:Description": "News, discography, photos, band profiles, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Infectious_Grooves", "url": "http://www.bozos.com/ig/"} +{"d:Title": "AllMusic Guide: Infectious Grooves", "d:Description": "Biography, discography, reviews and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Infectious_Grooves", "url": "http://www.allmusic.com/artist/infectious-grooves-mn0000775254"} +{"d:Title": "Lyrics at Rockmagic: Infernal Majesty", "d:Description": "Lyrics to 21 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Infernal_Majesty", "url": "http://lyrics.rockmagic.net/lyrics/infernal_majesty/"} +{"d:Title": "Infernal Majesty Official Homepage", "d:Description": "News, tour dates, band history, discography, lyrics, sound files, pictures, reviews/interviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Infernal_Majesty", "url": "http://www.infernalmajesty.com/"} +{"d:Title": "Chaos Control Digizine: Information Society", "d:Description": "Paul Robb talks about the return of Information Society in an e-mail interview.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Information_Society", "url": "http://www.chaoscontrol.com/?article=information_society"} +{"d:Title": "Jack Ingram and the Beat Up Ford Band", "d:Description": "Official site features news, tour dates, discography, press clippings, lyrics and chords, sound and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ingram,_Jack", "url": "http://www.jackingram.net/"} +{"d:Title": "The Ink Spots", "d:Description": "Detailed time line, discography, song list, audio, video, appearances, press coverage, memorabilia, imposters, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ink_Spots,_The", "url": "http://www.inkspots.ca/"} +{"d:Title": "The Ink Spots", "d:Description": "Photo gallery, audio, and the mystery of the 'For Sentimental Reasons' recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ink_Spots,_The", "url": "http://www.vocalgroupharmony.com/ink_main.htm"} +{"d:Title": "Rock and Roll Hall of Fame: The Ink Spots", "d:Description": "Profile of the inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ink_Spots,_The", "url": "http://rockhall.com/inductees/the-ink-spots/"} +{"d:Title": "The Innocence Mission", "d:Description": "Official site includes CD information, news, concerts, songbook, press, e-mail, lyrics and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Innocence_Mission,_The", "url": "http://www.theinnocencemission.com/"} +{"d:Title": "All Music Guide: The Innocence Mission", "d:Description": "Brief biography, discography, reviews, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Innocence_Mission,_The", "url": "http://www.allmusic.com/artist/the-innocence-mission-mn0000070027"} +{"d:Title": "Jenny Morris Official Fansite", "d:Description": "Images, audio clips, an area for fans, links, news, survey answered by the artist, and calendar for this Innosense member.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Innosense", "url": "http://www.angelfire.com/pop/JennyMorris/index2.html"} +{"d:Title": "All Music Guide: Innosense", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Innosense", "url": "http://www.allmusic.com/artist/innosense-mn0000092135"} +{"d:Title": "Insane Clown Posse Official Site", "d:Description": "Review news articles and interviews, tour dates, comics, and filmography of the ICP and various Psychopathic Records artists.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse", "url": "http://www.insaneclownposse.com/"} +{"d:Title": "Gathering of the Juggalos", "d:Description": "The official Psychopathic Records and ICP annual fan gathering event, features highlights, news, photos and information regarding up coming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse", "url": "http://www.juggalogathering.com/"} +{"d:Title": "FaygoLuvers.net", "d:Description": "Extensive information resource for ICP, Twiztid, Blaze Ya Dead Homie and the Psychopathic family, review news and archives.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse", "url": "http://www.faygoluvers.net/"} +{"d:Title": "NME.com: Insane Clown Posse", "d:Description": "Discography includes \"Bizaar\" and \"Ringmaster.\" See photos, read news articles, access links to other Psychopathic Records artists.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse", "url": "http://www.nme.com/artists/insane-clown-posse"} +{"d:Title": "Rolling Stone: Insane Clown Posse", "d:Description": "Offering news articles, discography, album reviews by title, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse", "url": "http://www.rollingstone.com/music/artists/insane-clown-posse"} +{"d:Title": "All Music Guide: Insane Clown Posse", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse", "url": "http://www.allmusic.com/artist/insane-clown-posse-mn0000079959"} +{"d:Title": "MTV: Insane Clown Posse", "d:Description": "Offers ICP News, general album reviews, audio downloads, and band biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse", "url": "http://www.mtv.com/artists/insane-clown-posse/"} +{"d:Title": "Crazy C's Wicked Clownz Page", "d:Description": "Dedicated fan site for the Insane Clown Posse and Psychopathic artists, includes pictures, sorted by performer, full lyrics, sounds, and movie clips.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/fl3/cjicp/"} +{"d:Title": "DaBoston Juggalo", "d:Description": "Boston Juggalo resource; includes news, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://dabostonjuggalo.tripod.com/index.htm"} +{"d:Title": "Insane Clowns", "d:Description": "Features sounds, tour dates, pictures, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/nt/felony/icp.html"} +{"d:Title": "Juggalo World", "d:Description": "Offers Insane Clown Posse news, links, and Joker cards information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/in2/mattw/icp2.html"} +{"d:Title": "The ICP Alliance", "d:Description": "Explore fan created news, reviews, and poll for the ICP, Twiztid, Blaze, and Zug Island artists.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://icpalliance.tripod.com/"} +{"d:Title": "Psycho Juggalos", "d:Description": "ICP, Twiztid, and Blaze information, pictures,lyrics, and news for Juggalette and Juggalos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/music3/psychojuggalo/"} +{"d:Title": "Realm Of Psychopathic", "d:Description": "Discography, pictures, tour details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/music/psychopathicrealm/"} +{"d:Title": "WicKed KloWns", "d:Description": "Fan site providing cartoon ICP and Twiztid pictures, news and artist photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/goth/wickedweirdness/KloWns.html"} +{"d:Title": "Half Dead Clowns Bizzar Bizaar Website", "d:Description": "Fan site dedicated to the Insane Clown Posse. Free audio downloads of the ICP hotline reports, and Howard Stern radio interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/rock2/halfdeadclown/"} +{"d:Title": "Wassup My Ninjas: Insane Clown Posse", "d:Description": "Photo and graphics gallery, personal lyric picks.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/my/socksareblack/"} +{"d:Title": "My Wicked Dark Lotus Webpage", "d:Description": "Includes two photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/darkside/darklotus/index.html"} +{"d:Title": "Psychopathic Rydas: Insane Clown Posse", "d:Description": "Unofficial site. Includes band biographies, joker cards, photographs and flyers.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/rock/insaneclownposse83/index.html"} +{"d:Title": "Wicked Warehouse", "d:Description": "5 joker's cards, random pictures, group pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/pa2/sparks3669/icp.html"} +{"d:Title": "Insane Clown Posse", "d:Description": "Fan site dedicated to the Insane Clown Posse; includes bios, pictures and lyrics", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/darkside/insaneclownz/index.html"} +{"d:Title": "Juggalo Underground", "d:Description": "Fan site for the Insane Clown Posse (ICP); offers tour dates, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.juggaloug.8k.com/"} +{"d:Title": "Insane Clown Posse", "d:Description": "Fan site for the ICP (Insane Clown Posse), includes news articles, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://emperoroctavian.tripod.com/index.htm"} +{"d:Title": "Dark Carnival Clowns Circus", "d:Description": "Features pictures, links, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://members.tripod.com/Circus_Carnage/"} +{"d:Title": "Hatchet Online", "d:Description": "Download free ICP web browser skin for Microsoft Windows and Internet Explorer.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://4comtech.com/juggalos/"} +{"d:Title": "E-Town Jugglaz", "d:Description": "Interactive site includes famous last words, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://www.angelfire.com/goth2/etownjugglaz/index.html"} +{"d:Title": "Pueblo Colorado Juggalos", "d:Description": "Colorado ICP fans (Juggalos) source for Insane Clown Posse interaction in the state of Colorado; includes news, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://pueblojuggalos.tripod.com/index.html"} +{"d:Title": "Facebook: Miami Juggalos", "d:Description": "Share and discuss photos, videos and music with other local juggalos, or share a calendar of events.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "https://www.facebook.com/miamijuggalos"} +{"d:Title": "Juggalo Paradise", "d:Description": "Dedicated fan site for the Insane Clown Posse, provides news and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Fan_Pages", "url": "http://wikidkid2001.tripod.com/"} +{"d:Title": "ICP Lyrics", "d:Description": "Insane Clown Posse lyrics sorted by date of publication.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Lyrics", "url": "http://www.angelfire.com/wa/insaneclownz/lyrics.html"} +{"d:Title": "Lyrics on Demand", "d:Description": "Review lyrics to Bizaar - Bizzar and The Wraith: Shangri-La.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Lyrics", "url": "http://www.lyricsondemand.com/i/icplyrics/index.html"} +{"d:Title": "Metro Times Detroit: ICP takes on MTV's TRL", "d:Description": "Article from the Detroit Metro Times.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Insane_Clown_Posse/Reviews", "url": "http://www.metrotimes.com/editorial/story.asp?id=1082"} +{"d:Title": "Keep The Circle Around", "d:Description": "Fan site with news, biography, lyrics, chords and MP3s, plus information on Tom Hingley's solo projects and what the other band members are doing now.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Inspiral_Carpets", "url": "http://members.iinet.net.au/~tyrian/ICPORTAL.html"} +{"d:Title": "Inspiral Carpets", "d:Description": "Fan site featuring interviews, reviews and photographs of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Inspiral_Carpets", "url": "http://www.sean.co.uk/a/musicjournalism/ic/index.shtm"} +{"d:Title": "NME: Inspiral Carpets", "d:Description": "Discography, news stories and album reviews from the music magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Inspiral_Carpets", "url": "http://www.nme.com/artists/inspiral-carpets"} +{"d:Title": "Pitchfork: (International) Noise Conspiracy", "d:Description": "Adam Ohler's review of the debut Survival Sickness.", "topic": "Top/Arts/Music/Bands_and_Artists/I/International_Noise_Conspiracy,_The", "url": "http://pitchfork.com/reviews/albums/4109-survival-sickness/"} +{"d:Title": "Matador Records: Interpol", "d:Description": "Label site includes news, biograpny, discography, photos, and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol", "url": "http://www.matadorrecords.com/interpol/"} +{"d:Title": "Interpol Concert Chronology", "d:Description": "Listing of all tour dates with setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol", "url": "http://www.stthomas1976.net/interpol/"} +{"d:Title": "Yahoo! Groups : Interpol Fan Club", "d:Description": "Mailing list for discussion of the band and their music. [Registration required]", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol", "url": "http://launch.groups.yahoo.com/group/interpol-fan-club/"} +{"d:Title": "KCRW Music: Morning Becomes Eclectic", "d:Description": "Audio archive of radio interview.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol", "url": "http://www.kcrw.com/music/programs/mb/mb020729interpol"} +{"d:Title": "Interpol", "d:Description": "Official site features news, show dates, discography, video files, and downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol", "url": "http://www.interpolnyc.com/"} +{"d:Title": "Allmusic: Interpol", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol", "url": "http://www.allmusic.com/artist/interpol-mn0000096576"} +{"d:Title": "Interpol Does Not Investigate", "d:Description": "Weblog featuring news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol", "url": "http://interpol-nyc.livejournal.com/"} +{"d:Title": "Delusions of Adequacy: Interpol - Antics", "d:Description": "\"Interpol has made subtle movements to flesh out and expand its trademark sound,\" by Alex Boer.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Antics", "url": "http://www.adequacy.net/2004/11/interpol-antics/"} +{"d:Title": "Drowned in Sound: Interpol - Antics", "d:Description": "Rated 4.5 of 5 by Jack Lowrey. \"Interpol have produced a soaring, inventive album.\"", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Antics", "url": "http://drownedinsound.com/releases/3135/reviews/10243"} +{"d:Title": "BBC Rock&Alt Review: Interpol, Antics", "d:Description": "Review by Dan Tallis. \"Interpol have taken all the amazing moments from their debut and improved them, magnified them.\"", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Antics", "url": "http://www.bbc.co.uk/music/reviews/vxvr/"} +{"d:Title": "Pitchfork: Interpol: Antics", "d:Description": "Rated 8.5 out of 10 by David Moore. \"Though Interpol couldn't be expected to surpass their previous heights, it's difficult to imagine a savvier or more satisfying second step.\" (Sept. 27, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Antics", "url": "http://pitchfork.com/reviews/albums/4116-antics/"} +{"d:Title": "New York Magazine: Interpol's Antics", "d:Description": "Review by Ethan Brown, \"during a cultural moment that demands not necessarily protest music but some kind of relevance or engagement with the world, Interpol\u2019s music seems too much like a retreat.\"", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Antics", "url": "http://nymag.com/nymetro/arts/music/pop/reviews/9934/"} +{"d:Title": "Cokemachineglow.com: Interpol: Antics", "d:Description": "\"Keeps intact Interpol's singular melodic prowess,\" by Amir Karim Nezar.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Antics", "url": "http://cokemachineglow.com/records/interpol-antics-2004/"} +{"d:Title": "Dusted: Interpol: Turn on the Bright Lights", "d:Description": "\"Interpol bounces from hazy gloom to uptempo desperation without so much as even batting an eye,\" by Michael Crumsho.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Turn_On_The_Bright_Lights", "url": "http://www.dustedmagazine.com/reviews/353"} +{"d:Title": "Delusions of Adequacy: Interpol - Turn on the Bright Lights", "d:Description": "\"Some websites are hailing this band as rock's next great thing, and while I'm not totally convinced, this is an extremely impressive debut,\" by Andrew Gaerig.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Turn_On_The_Bright_Lights", "url": "http://www.adequacy.net/2002/09/interpol-turn-on-the-bright-lights/"} +{"d:Title": "Drowned in Sound: Interpol - Turn On The Bright Lights", "d:Description": "Rated 4.5 of 5 by Andy Frankowski. \"Each song is delicately stripped and rebuilt as if it was a musical puzzle which was smashed and then remade.\"", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Turn_On_The_Bright_Lights", "url": "http://drownedinsound.com/releases/3034/reviews/4605"} +{"d:Title": "BBC Rock&Alt Review: Interpol, Turn On The Bright Lights", "d:Description": "Review by Dan Tallis. \"Surprise indeed when a band you've never heard of suddenly re-establishes your faith in the wonder of music.\"", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Turn_On_The_Bright_Lights", "url": "http://www.bbc.co.uk/music/reviews/gbxm/"} +{"d:Title": "Pitchfork: Interpol: Turn On the Bright Lights", "d:Description": "Rated 9.5 out of 10 by Eric Carr, \"there's no getting around that Turn On the Bright Lights is an incredibly powerful and affecting album.\" (Aug. 19, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Interpol/Reviews/Turn_On_The_Bright_Lights", "url": "http://pitchfork.com/reviews/albums/4113-turn-on-the-bright-lights/"} +{"d:Title": "Invertigo Rox", "d:Description": "Fan site. News, band facts, and history, discography, lyrics, and fan reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Invertigo", "url": "http://yuppie0070.tripod.com/invertigorox/"} +{"d:Title": "Invertigo Fan Site", "d:Description": "Biography, photos, interview, lyrics, member profiles, fan synopsis.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Invertigo", "url": "http://invertichix.tripod.com/invertigofansite/"} +{"d:Title": "Virtually Vince: Vincent Leigh", "d:Description": "Dedicated to the Drummer/Songwriter. Includes photos, profile, trivia, history, shows, discography, influences, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Invertigo", "url": "http://www.angelfire.com/music3/virtuallyvince/"} +{"d:Title": "Invertigation", "d:Description": "Includes photos, chat transcripts, magazine and newspaper articles, Nokia ringtones, gig information, discography and lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Invertigo", "url": "http://www.invertigation.8m.com/"} +{"d:Title": "An Excess Of INXS", "d:Description": "Includes news, biography, discography, videography, gigography, pictures, articles, reviews, interviews, audio and video clips, and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://inxsweb.com/"} +{"d:Title": "INXS Official Site", "d:Description": "Features discography, biographies and news.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://www.inxs.com/"} +{"d:Title": "Rockmagic: INXS Lyrics", "d:Description": "Song lyrics to nine albums.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://lyrics.rockmagic.net/lyrics/inxs/"} +{"d:Title": "Official Michael Hutchence Memorial Website", "d:Description": "A tribute from his family, created by his father. Current news, biography, family, memories, fan club, and memorial information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://www.michaelhutchence.org/"} +{"d:Title": "Matt's INXS Page", "d:Description": "Images, chat, forum, lyrics, profiles, multimedia and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://www.mattsmusicpage.com/ninxs.htm"} +{"d:Title": "Michael Hutchence - Just A Man", "d:Description": "This is his story told by his sister Tina and mother Patricia Glassop. Includes FAQ, gallery, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://www.michaelhutchenceinfo.com/"} +{"d:Title": "Topix", "d:Description": "Current news on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://www.topix.com/rss/who/inxs.xml"} +{"d:Title": "All Music Guide: INXS", "d:Description": "Includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://www.allmusic.com/artist/inxs-mn0000768321"} +{"d:Title": "The Loved 1's INXS Fan Pages.", "d:Description": "List of websites and webring links dedicated to the band and individual band members.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://theloved1.tripod.com/inxs/index.html"} +{"d:Title": "MTV: INXS", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS", "url": "http://www.mtv.com/artists/inxs/"} +{"d:Title": "AMG All Music Guide: Elegantly Wasted", "d:Description": "Stephen Thomas Erlewine's review: \"The band does dabble in contemporary dance on Elegantly Wasted, but it all comes out sounding like the lite funk-n-roll of Kick, only without the energy. And without the tunes.\" 2 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/Elegantly_Wasted", "url": "http://www.allmusic.com/album/elegantly-wasted-mw0000616809"} +{"d:Title": "AMG All Music Guide: Full Moon, Dirty Hearts", "d:Description": "Stephen Thomas Erlewine's review: \"the experimentation is poorly executed and there is a serious lack of strong songs and singles...\" 1 star.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/Full_Moon,_Dirty_Hearts", "url": "http://www.allmusic.com/album/full-moon-dirty-hearts-mw0000621416"} +{"d:Title": "AMG All Music Guide: Kick", "d:Description": "Steve Huey's review: \"every song is catchy and memorable, branded with indelible hooks. Even without the band's sense of style, the flawless songcraft is intoxicating, and it's what makes Kick one of the best mainstream pop albums of the '80s.\" 4.5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/Kick", "url": "http://www.allmusic.com/album/kick-mw0000193443"} +{"d:Title": "AMG All Music Guide: Listen Like Thieves", "d:Description": "Stephen Thomas Erlewine's review: \"INXS completes its transition into an excellent rock&roll singles band with this album.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/Listen_Like_Thieves", "url": "http://www.allmusic.com/album/listen-like-thieves-mw0000190287"} +{"d:Title": "AMG All Music Guide: Shabooh Shoobah", "d:Description": "Ned Raggett's review: \"an example of a talented bunch of performers still finding their own identity.\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/Shabooh_Shoobah", "url": "http://www.allmusic.com/album/shabooh-shoobah-mw0000650283"} +{"d:Title": "AMG All Music Guide: The Swing", "d:Description": "Stephen Thomas Erlewine's review: \"retains the new wave pop sense and rock attack of their earlier albums, while adding a stronger emphasis on dance rhythms.\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/The_Swing", "url": "http://www.allmusic.com/album/the-swing-mw0000190409"} +{"d:Title": "AMG All Music Guide: Welcome To Wherever You Are", "d:Description": "Stephen Thomas Erlewine's review: \"nobody bought the album. And that is a shame, since it is one of their strongest.\" 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/Welcome_to_Wherever_You_Are", "url": "http://www.allmusic.com/album/welcome-to-wherever-you-are-mw0000083089"} +{"d:Title": "AMG All Music Guide: X", "d:Description": "Steven McDonald's review: \"It's not that's it's a bad album. It's just nowhere near as good as it could - and should - have been.\" 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/I/INXS/Reviews/X", "url": "http://www.allmusic.com/album/x-mw0000316019"} +{"d:Title": "In The Nursery", "d:Description": "Official site features news, photos, reviews, discography, show dates, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/In_the_Nursery", "url": "http://www.inthenursery.com/"} +{"d:Title": "Sonic Boom: In The Nursery", "d:Description": "Interview by Jester via email.", "topic": "Top/Arts/Music/Bands_and_Artists/I/In_the_Nursery", "url": "http://www.sonic-boom.com/interview/in.the.nursery.interview.html"} +{"d:Title": "Iona", "d:Description": "A profile of the band on www.gospel.it. Discography, solo and related projects, concerts, and mailing list archives.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iona", "url": "http://www.gospel.it/iona/"} +{"d:Title": "Iona", "d:Description": "Official site of this band, which plays an intriguing mix of folk, rock, Celtic and ambient music with devotional Christian lyrics. News, reviews, discography and history.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iona", "url": "http://www.iona.uk.com/"} +{"d:Title": "Troy Donockley Official Website", "d:Description": "The piper and guitarist of Iona. Includes a brief biography and discography; also a press area and discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iona", "url": "http://www.troydonockley.co.uk/"} +{"d:Title": "iOTA Club", "d:Description": "A place for fans of the singer/songwriter to discuss the man and his music. (Yahoo)", "topic": "Top/Arts/Music/Bands_and_Artists/I/iOTA", "url": "http://groups.yahoo.com/group/iotafanclub/"} +{"d:Title": "Wikipedia: Iron&Wine", "d:Description": "Biography of Samuel Beam and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_and_Wine", "url": "http://en.wikipedia.org/wiki/Iron_&_Wine"} +{"d:Title": "The Independent: Sam Beam: Love, God, death and a tree of bees", "d:Description": "An interview of the musician by Andy Gill.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_and_Wine", "url": "http://www.independent.co.uk/arts-entertainment/music/features/sam-beam-love-god-death-and-a-tree-of-bees-424534.html"} +{"d:Title": "NPR Music: Iron and Wine in Concert", "d:Description": "Full audio of the concert at Washington, D.C.'s 9:30 Club, with slideshow.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_and_Wine", "url": "http://www.npr.org/templates/story/story.php?storyId=14690807"} +{"d:Title": "SongMeanings : Iron&Wine", "d:Description": "Lyrics with user comments.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_and_Wine", "url": "http://www.songmeanings.net/artist.php?aid=137438963870"} +{"d:Title": "Pitchfork: The Creek Drank the Cradle", "d:Description": "Ryan Kearney's review of the album. (Oct. 2, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_and_Wine", "url": "http://pitchfork.com/reviews/albums/4126-the-creek-drank-the-cradle/"} +{"d:Title": "All Music Guide: Iron&Wine", "d:Description": "Includes biography, discography, audio, reviews, and buying options.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_and_Wine", "url": "http://www.allmusic.com/artist/iron-wine-mn0000085038"} +{"d:Title": "Salon: Iron&Wine: \"The Creek Drank the Cradle\"", "d:Description": "Review of the debut album with an MP3 of the song Southern Anthem.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_and_Wine", "url": "http://www.salon.com/2003/02/19/iron_wine/"} +{"d:Title": "Iron Butterfly Rock Lyrics", "d:Description": "Thirty-six songs from five of the band's albums (Rockmagic.net)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Butterfly", "url": "http://lyrics.rockmagic.net/lyrics/iron_butterfly/"} +{"d:Title": "Iron Butterfly", "d:Description": "Fan site containing the bands biography, discography, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Butterfly", "url": "http://ironbutterflyfan.tripod.com/"} +{"d:Title": "Iron Butterfly", "d:Description": "Official site. Discography, biographical information, interviews, show dates, merchandise, music links, and e-mail to the band members.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Butterfly", "url": "http://ironbutterflyband.com/"} +{"d:Title": "Iron Maiden", "d:Description": "The band's official page with album information, song clips, biographies, fan information and art, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://www.ironmaiden.com/"} +{"d:Title": "Maiden World", "d:Description": "A collection of Iron Maiden news, articles and resources accompanied by a discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://maiden-world.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Iron Maiden", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://www.sfloman.com/ironmaiden.html"} +{"d:Title": "RollingStone.com: Iron Maiden", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://www.rollingstone.com/music/artists/iron-maiden"} +{"d:Title": "MusicMight: Iron Maiden", "d:Description": "A biography on the band including full discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://www.musicmight.com/artist/united+kingdom/london/london/iron+maiden"} +{"d:Title": "6666 Acacia Avenue", "d:Description": "Windows startup, wait, and shutdown screen themes.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://megabob6666.tripod.com/"} +{"d:Title": "All Music Guide: Iron Maiden", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://www.allmusic.com/artist/iron-maiden-mn0000098465"} +{"d:Title": "MTV: Iron Maiden", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden", "url": "http://www.mtv.com/artists/iron-maiden/"} +{"d:Title": "Iron Maiden Heaven", "d:Description": "A fan page with band history, information on the Soundhouse tapes, discography and trading boards. Content in English, Spanish and Dutch.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://www.angelfire.com/ca/greve/m_geeng.html"} +{"d:Title": "Tom's Iron Maiden Domain", "d:Description": "Contains news, reviews, lyrics, guitar tablatures, pictures, and a discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://members.tripod.com/tomsironmaidendomain/"} +{"d:Title": "Maidenfans", "d:Description": "Resource covering past, present, and future of the band. Includes news, downloads, tour dates, interactive section, and links. [Parts of site in French]", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://www.maidenfans.com/"} +{"d:Title": "The Beast in Marseilles", "d:Description": "Includes tablatures, photos, windows themes, ringtones, fonts, icons, cursors, games, discography, midis, and Eddie's.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://dominique.berenguier.free.fr/"} +{"d:Title": "Wezel's Iron Maiden Homepage", "d:Description": "Lyrics, pictures, tablature, midis, history, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://wezel4.tripod.com/"} +{"d:Title": "Iron Maiden", "d:Description": "Biography, discography, videography, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://eudemon.tripod.com/"} +{"d:Title": "A.V.S: Iron Maiden - A Virtual Site", "d:Description": "Midis, forums, resources, downloads, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://www.angelfire.com/rock2/rock2k/"} +{"d:Title": "The Real Unnoficial Iron Maiden Site", "d:Description": "Includes biography, pictures, downloads, discography, videography, lyrics, MIDI files, tablature, reviews, winamp skins, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://www.maidencave.bravepages.com/"} +{"d:Title": "Phantom Of The Opera", "d:Description": "Contains tabs, MIDIs, lyrics, discography, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://phantom.oftheopera.free.fr/"} +{"d:Title": "Wallpapers&Graphics - Iron Maiden", "d:Description": "3D graphics and wallpapers inspired by and dedicated to Iron Maiden and Eddie.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Fan_Pages", "url": "http://kebawe.com/hans/wallpapers/maiden/"} +{"d:Title": "Iron Maiden MIDI Music Songs", "d:Description": "MIDI versions of Maiden songs organized by album, pictures of the band and Eddie, backgrounds, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/MIDI_Files", "url": "http://www.maidenmidi.com/"} +{"d:Title": "Iron Maiden - Rockmagic.net", "d:Description": "Guitar and bass tablatures, chords, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tablature", "url": "http://www.rockmagic.net/guitar-tabs/iron-maiden/"} +{"d:Title": "Iron Maiden Tabs", "d:Description": "An alphabetic listing of tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tablature", "url": "http://www.guitaretab.com/i/iron-maiden/"} +{"d:Title": "Songsterr: Iron Maiden Bass Tabs", "d:Description": "Bass tablature sorted alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tablature", "url": "http://www.songsterr.com/a/wsa/iron-maiden-tabs-a139?inst=bass"} +{"d:Title": "Iron Maidens, The", "d:Description": "All-female tribute to Iron Maiden based in Los Angeles. Biographies, photos, show dates, video clips, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tribute_Bands", "url": "http://www.theironmaidens.com/"} +{"d:Title": "Sanctuary", "d:Description": "New York-based tribute band; includes biography, press, pictures, song list, audio, videos and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tribute_Bands", "url": "http://www.ironmaidentribute.com/"} +{"d:Title": "Maiden fuer Jeden - Austria's Iron Maiden Tribute Band", "d:Description": "Homepage of the Austrian Iron Maiden tribute band Maiden fuer Jeden", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tribute_Bands", "url": "http://www.maidenfuerjeden.com/"} +{"d:Title": "Higher on Maiden", "d:Description": "Iron Maiden tribute band endorsed by Steve Harris. Photos, gig list, biography, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tribute_Bands", "url": "http://www.higher-on-maiden.co.uk/"} +{"d:Title": "Hi-on Maiden", "d:Description": "U.K. tribute band. News, tour, forum, band profiles and history, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tribute_Bands", "url": "http://www.hi-onmaiden.com/"} +{"d:Title": "Maiden-LA", "d:Description": "Los Angeles-based tribute. Photos, show dates, video clips, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Iron_Maiden/Tribute_Bands", "url": "http://www.maidenla.com/"} +{"d:Title": "Dreams of Chris Isaak", "d:Description": "Gaze into the eyes, hear the music--melt. Hot pictures, music and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isaak,_Chris", "url": "http://www.angelfire.com/la/CelticRain/Chris.html"} +{"d:Title": "Chris Isaak Lyrics", "d:Description": "Lyrics indexed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isaak,_Chris", "url": "http://www.azlyrics.com/i/isaak.html"} +{"d:Title": "Chris Isaak Online", "d:Description": "Albums, TV show, photographs, downloads: MP3/wallpaper/screensavers, guitar tablature. (French/English.)", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isaak,_Chris", "url": "http://chrisisaak.online.fr/english"} +{"d:Title": "Chris Isaak - Official Site", "d:Description": "Music, video, tour dates, photos, biography, lyrics, news, bulletin board, links, official merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isaak,_Chris", "url": "http://chrisisaak.com/"} +{"d:Title": "MTV: Chris Isaak", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isaak,_Chris", "url": "http://www.mtv.com/artists/chris-isaak/"} +{"d:Title": "Suze's Chris Isaak SOTD Page", "d:Description": "Discography, biography, videos, tour dates, posters and photos, articles, contests, chat and message board. Home of the Chris Isaak Web Ring.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isaak,_Chris", "url": "http://misssuzy.tripod.com/chi/chi-main.htm"} +{"d:Title": "IMDb: Chris Isaak", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isaak,_Chris", "url": "http://www.imdb.com/name/nm0001389/"} +{"d:Title": "Isyss", "d:Description": "Fansite includes biography, picture gallery, sound clips, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isyss", "url": "http://www.angelfire.com/hiphop2/isyssonline2/enter.htm"} +{"d:Title": "Lyrics On Demand: Isyss", "d:Description": "Lyrics to the group's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isyss", "url": "http://www.lyricsondemand.com/i/isysslyrics/"} +{"d:Title": "All Music Guide: Isyss", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Isyss", "url": "http://www.allmusic.com/artist/isyss-mn0000768349"} +{"d:Title": "Chaz Reviews: It's A Beautiful Day", "d:Description": "Background information and review of the band's self titled debut album", "topic": "Top/Arts/Music/Bands_and_Artists/I/It's_A_Beautiful_Day", "url": "http://www.dustbury.com/music/iabd.html"} +{"d:Title": "Its A Beautiful Day", "d:Description": "Mailing list [Yahoo Groups]", "topic": "Top/Arts/Music/Bands_and_Artists/I/It's_A_Beautiful_Day", "url": "http://groups.yahoo.com/group/ItsABeautifulDay"} +{"d:Title": "What Happened to It's A Beautiful Day", "d:Description": "Links to court documents, interviews, concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/It's_A_Beautiful_Day", "url": "http://www.bluoz.com/iabd/"} +{"d:Title": "The Archive: It's a Beautiful Day", "d:Description": "Pictures, concert review, set list, and band profiles from the Bath Rock Festival of Blues and Progressive Music on June 27, 1970.", "topic": "Top/Arts/Music/Bands_and_Artists/I/It's_A_Beautiful_Day", "url": "http://www.ukrockfestivals.com/iabd.html"} +{"d:Title": "Solstar", "d:Description": "News, biography, photos, audio, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Itts,_Lisa", "url": "http://www.lisaitts.com/"} +{"d:Title": "Eileen Ivers", "d:Description": "Official site includes a biography and discography, a picture gallery, album information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ivers,_Eileen", "url": "http://www.eileenivers.com/"} +{"d:Title": "Ivy", "d:Description": "Official site features news, biography, music, merchandise, message board, tour dates and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/I/Ivy", "url": "http://www.thebandivy.com/"} +{"d:Title": "I Am Kloot - Official Web Site", "d:Description": "Includes news, discography, tour dates, photos, video, reviews, biography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Am_Kloot", "url": "http://www.iamkloot.com/"} +{"d:Title": "Nme.Com: I Am Kloot", "d:Description": "News and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Am_Kloot", "url": "http://www.nme.com/artists/i-am-kloot"} +{"d:Title": "Pitchfork Review: I Am the World Trade Center", "d:Description": "Review of Out of the Loop.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Am_the_World_Trade_Center", "url": "http://pitchfork.com/reviews/albums/4040-out-of-the-loop/"} +{"d:Title": "Creative Loafing Atlanta: I Am the World Trade Center", "d:Description": "Review of Out of the Loop.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Am_the_World_Trade_Center", "url": "http://clatl.com/gyrobase/Content?oid=oid:5853"} +{"d:Title": "I Love You But I've Chosen Darkness", "d:Description": "Official site for the Austin band. Images, show dates, MP3s, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Love_You_But_I've_Chosen_Darkness", "url": "http://www.chosendarkness.com/"} +{"d:Title": "Dusted Magazine: I Love You But I've Chosen Darkness", "d:Description": "Review with album cover image.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Love_You_But_I've_Chosen_Darkness", "url": "http://www.dustedmagazine.com/reviews/843"} +{"d:Title": "Subterranean Wonderland", "d:Description": "Collection of links to fan sites, articles, chat rooms and various sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Mother_Earth", "url": "http://www.derekweb.com/ime/"} +{"d:Title": "Songburst and Delirium", "d:Description": "Biographies and other information, as well as audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Mother_Earth", "url": "http://members.tripod.com/~IME_SD/"} +{"d:Title": "Trippin' Down the Long Road", "d:Description": "Interview with guitarist Jagori Tanna taken from Drop-D Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Mother_Earth", "url": "http://dropd.com/issue/37/IMotherEarth/"} +{"d:Title": "I Mother Earth", "d:Description": "Collection of articles on the band. Dates from around December 1996 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/I/I_Mother_Earth", "url": "http://jam.canoe.com/Music/Artists/I/I_Mother_Earth/"} +{"d:Title": "Johansen, Kevin", "d:Description": "Singer-songwriter born in Alaska and raised in Argentina. Includes news, show dates, biography, discography, lyrics, audio clips, press, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.kevinjohansen.com/"} +{"d:Title": "Jones, Deidra", "d:Description": "Home page of the Soul diva. Includes biography, references, song files, booking and contact information. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.deidrajones.com/"} +{"d:Title": "Jefreys, The", "d:Description": "Lo-fi basement band from St. Louis. Self-released cassette now available on this site.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/mo2/thejefreys/"} +{"d:Title": "Jimenez, Carmen", "d:Description": "Salsa artist. Biography, tour dates, discography, theatric resume, guestbook. Information about CD releases.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.carmenjimenez.com/"} +{"d:Title": "Jackson, Bull Moose", "d:Description": "The man, the music, and who was that woman I saw you with last night? Rhythm and blues great from the '40s and '50s who made a comeback in the '80s.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.bullmoosejackson.com/"} +{"d:Title": "Juke Jumpers, The", "d:Description": "Rhythm and blues band from Fort Worth, Texas. History and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.thecoolgroove.com/jukes.html"} +{"d:Title": "Johnson, Carolyn Dawn", "d:Description": "Official site of the Canadian country singer/songwriter. Includes news, tour schedule, biography, tour diary, wallpaper, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.cdj.com/"} +{"d:Title": "Jack and Misty", "d:Description": "Husband and wife, country artists. Includes discography, biography, photographs, lyrics, guestbook, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://birdwalk1.tripod.com/index.htm"} +{"d:Title": "Jersey Premier Brass", "d:Description": "History, Programme, Information about contests and all members of the Jersey brass band.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jerseypremierbrass.org.je/"} +{"d:Title": "Jacob Fred Jazz Odyssey", "d:Description": "Official site for the group from Tulsa, Oklahoma. Includes upcoming show dates, sound files, discography, and links to reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jacobfred.com/"} +{"d:Title": "Jive Aces, The", "d:Description": "Presented by the Church of Scientology. Includes information on the band and song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jiveaces.com/"} +{"d:Title": "Jumpin' Joz Band", "d:Description": "Performs swing music reminiscent of the late 30's to early 50's. Profiles, gig schedule, audio files, photos, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jumpinjoz.com/"} +{"d:Title": "Jump City Jazz Orchestra", "d:Description": "Eighteen piece dynamic jazz swing orchestra from Audubon, Pennsylvania, formerly the Audobon Jazz Explosion. News, booking information, member information, song clips, show dates, band history, and information on side bands.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jumpcityjazz.com/"} +{"d:Title": "Jonny Cooper Big Band", "d:Description": "18-piece big band from South Africa, recreating the sounds of the 1940's swing era. History, image gallery, show dates, merchandise, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.bigband.co.za/"} +{"d:Title": "Jackson, Jenifer", "d:Description": "The official Web site of this singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.web-ho.com/JeniferJackson/"} +{"d:Title": "James, Jan", "d:Description": "MP3 music, video, photos, biography, press reviews, and fan mail.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://janjames.com/"} +{"d:Title": "Jonas, Billy", "d:Description": "Percussionist specializing in family-oriented concerts in venues nationwide. Includes biography, information on latest CD and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.billyjonas.com/"} +{"d:Title": "Johnny Popular", "d:Description": "Ska and rock and roll band.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/me/johnnypopular/"} +{"d:Title": "Jones, Darryl", "d:Description": "Darryl has graced the stage with Miles Davis, Sting, Peter Gabriel, Madonna, The Stones. Rock, soul, funk, jazz... History, discography, movie credits, tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.abasses.com/darryljones"} +{"d:Title": "JellyBricks, The", "d:Description": "The official home-site of the guitar-pop, rock-n-roll heroes. Includes band member biographies, current tour schedule, discography, as well as the exclusive \"Stuff you don't need to know or see\" page.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://thejellybricks.com/"} +{"d:Title": "Jolson, Al", "d:Description": "Site for the International Al Jolson Society, devoted to the memory of Al Jolson, who taught the movies to talk, produced the first million-seller record, was first to entertain US troops overseas, and started the Kraft Music Hall.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jolson.org/"} +{"d:Title": "Jazzberry Ram", "d:Description": "Information about the band, current releases, latest concert information, multimedia clips in RealAudio and RealVideo.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jazzberryram.com/"} +{"d:Title": "Jim's Big Ego", "d:Description": "Webpage for Boston-based folk/rock artist Jim's Big Ego.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.bigego.com/"} +{"d:Title": "Joe Pajaree Project, The", "d:Description": "Midwestern rock band. MP3s and lyrics; music video on the World Trade Center attack; photos of the group; list of links.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/band2/joe1/"} +{"d:Title": "James, Bob", "d:Description": "The official site for the jazz pianist, currently working solo and with Fourplay. News, biography, discography, tour dates, art gallery, mailing list, photos, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://bobjames.com/"} +{"d:Title": "Jensen, Caroline", "d:Description": "Features her original music and lyrics. All are welcome to visit to listen to her soundfiles. Caroline's music styles vary from country to spiritual, ballads to rhythm and blues.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://musicdiva.8m.com/"} +{"d:Title": "Jeanine", "d:Description": "Artist biography, sound clip, photos.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.soultones.com/jeanine.htm"} +{"d:Title": "Jane, Cynthia", "d:Description": "\"A 90s version of 70s-80s Prog Rock.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.cynthiajane.com/"} +{"d:Title": "Jerling, Michael", "d:Description": "Singer/songwriter's Official Site. \"New Folk\" winner at Kerrville Folk Festival. His music includes elements of blues, rock and country. Album list, song clips, tours schedule and a video.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://michaeljerling.com/"} +{"d:Title": "Joe Moss Band, The", "d:Description": "Chicago blues band. Contains press clips, show dates, and a band profile.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.joemossband.com/"} +{"d:Title": "James, Joseph", "d:Description": "Official site includes biography, sound and video files, news, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.joseph-james.com/"} +{"d:Title": "Johnny Eulogy, The", "d:Description": "News, discography, member profiles, pictures and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/tx/spidey98/"} +{"d:Title": "Junction 231", "d:Description": "Christian alternative band from Indiana. Site features a biography, audio and video clips, image gallery, gig schedule, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/music2/moshto/"} +{"d:Title": "Jimmy Krack Corn", "d:Description": "A Long Island based rock band. Biography, MP3s, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jimmykrackcorn.8m.com/"} +{"d:Title": "Jennifer Tefft Band", "d:Description": "Rock band from Boston. Gig schedule, tour diary, biography, press articles, pictures, and contact information", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jennifertefft.com/"} +{"d:Title": "Jeans Team", "d:Description": "German group, features discography, photographs of the band, MP2 audio clips, and a brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jeansteam.de/"} +{"d:Title": "Jones, Milo", "d:Description": "Official site of the musician. Includes a discography, MP3 files, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.milojones.tv/"} +{"d:Title": "Jazz Survivors", "d:Description": "South Florida bebop and swing band.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jazzsurvivors.com/"} +{"d:Title": "Josephine, Angela", "d:Description": "Contemporary Christian/folk musician and songwriter. News, audio, events, images, diary and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelajosephine.com/"} +{"d:Title": "Jones, Courtney", "d:Description": "Steelpan musician from the Caribbean. Biography, streaming audio samples, and CD-ROM ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.courtney-jones.com/"} +{"d:Title": "James, Rob", "d:Description": "Western Canada rock guitarist. Biography, discography, MP3s, photos, merchandise, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.robjames.net/"} +{"d:Title": "Jesterz Court", "d:Description": "Rock/Metal band from North Carolina. Concert dates, t-shirt sales, CD sales.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/nc2/jesterz/"} +{"d:Title": "Johnson, Carol", "d:Description": "Singer-songwriter based in Grand Rapids, Michigan; includes program information and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.caroljohnsonmusic.com/"} +{"d:Title": "Jade Warrior", "d:Description": "Fan site for the British musical group with a biography, discography, news, interviews, song samples, and cover artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.radagast.org/jade-warrior/"} +{"d:Title": "Jazz Connection", "d:Description": "Biography, schedule, repertoire, and photos for the jazz and soul band.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jazzconnection.nl/"} +{"d:Title": "Jiv", "d:Description": "Christian rock band. Biography, pictures, MP3 song samples, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jaxonfo.tripod.com/"} +{"d:Title": "Jews Brothers Band", "d:Description": "A band from New Zealand.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jewsbrothers.com/"} +{"d:Title": "Johnson, Jared", "d:Description": "Official site of the contemporary pianist. Includes news, a biography, pictures, audio clips, press reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jaredjohnson.com/"} +{"d:Title": "Joyce, Mike", "d:Description": "Official page of the ex-Smiths' drummer. Bio, video stills, pictures, current activities, message board, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.mikejoyce.com/"} +{"d:Title": "Johnny Law", "d:Description": "Punk rock band from New Hartford, Connecticut. News, pictures, and a link to MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://johnny-law.20m.com/"} +{"d:Title": "Jade, Faine", "d:Description": "Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.fainejade.com/"} +{"d:Title": "Jepson, Jeff", "d:Description": "Isle of Man-based singer-songwriter. Includes audio and gig news.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jeffjepson.co.uk/"} +{"d:Title": "Jessie Rae", "d:Description": "Bluesy roots pop band; includes discography, gigs, history, venues and press.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jessierae.com/"} +{"d:Title": "Jill Jack Band", "d:Description": "Rock and roll group based in Detroit, United States. Includes upcoming shows, image gallery, biography, merchandise, and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jilljack.com/"} +{"d:Title": "Johnson, Betty", "d:Description": "Singer of jazz and swing standards. Biography, discography, image gallery, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.betty-johnson.com/"} +{"d:Title": "Jinnhouse", "d:Description": "Rock/blues band. News, gig schedule, and an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jinnhouse.com/"} +{"d:Title": "Jean, Steve", "d:Description": "Uganda's global pop star/producer and songwriter. Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.stevejean.8m.com/"} +{"d:Title": "Jaywant, G.", "d:Description": "Profile, news, and pictures for the performer of Indian music on Hawaiian guitar.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://indianmusik.8m.com/"} +{"d:Title": "Jackson, Jess", "d:Description": "British garage/house/dance DJ, producer and engineer; biography and list of credits.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jessjackson.com/"} +{"d:Title": "Jung Generation, The", "d:Description": "Biography, song list and discography for the Atlanta band.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/music4/thejunggeneration/index.html"} +{"d:Title": "Junius, Tilmar", "d:Description": "Jazz pianist. Biography, sheet music, MP3 files, discography, current projects, concert schedule, press reviews, and contact information. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.tilmarjunius.com/"} +{"d:Title": "Jumbo Size", "d:Description": "Four-piece punk band from Long Beach, California. Show dates, pictures, biography, audio samples and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jumbosized.homestead.com/homepage.html"} +{"d:Title": "James Sherlock Trio", "d:Description": "Jazz guitar trio based in Melbourne, Australia.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jamessherlock.com/"} +{"d:Title": "Johnston, Jan", "d:Description": "Mancunian trance singer/songwriter. Site features biography, news, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.janjohnston.com/"} +{"d:Title": "Juber, Laurence", "d:Description": "Official site of the guitarist includes biography, news, discography, sound files, concert dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.laurencejuber.com/"} +{"d:Title": "Jack Mack and the Heart Attack", "d:Description": "American rhythm and blues and soul band. Information from the band members, discography, sound files, radio station, list of television and movie appearances, photographs, discussion board, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jackmack.com/"} +{"d:Title": "Joey Shaker", "d:Description": "Official site for Joey Shaker, a new alternative christian rock band and CER independent music label recording artist. Buy CDs, cassettes, download mp3s and stream real audio.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.commonerarecords.com/joeyshaker"} +{"d:Title": "Jardine, Al", "d:Description": "Official site of the Beach Boys guitarist. Includes a tour schedule and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.aljardine.com/"} +{"d:Title": "Johnson, Kevin", "d:Description": "Official website of the Australian singer/songwriter. Includes biography, MP3 audio clips, reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.rocknrolligaveyou.com/"} +{"d:Title": "Jaded", "d:Description": "A Boston based band that performs original and cover rock tunes. Check out the site for show dates, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/in2/Jaded/"} +{"d:Title": "Joe's Band", "d:Description": "Contemporary band from Manhattan Beach, California. Band biography, press releases, tour calendar, video and sound clips, pictures, mailing list and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://joesband.com/"} +{"d:Title": "Joe's Band", "d:Description": "Garage Band from Northern New York. Information about the band members, gigs, photos, and links to sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://joesband.org/"} +{"d:Title": "James, Tim", "d:Description": "Upcoming musician, featured on the Here on Earth soundtrack. Includes discography, lyrics, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://timjamesfan.tripod.com/timjames/"} +{"d:Title": "Jones, Percy", "d:Description": "Features complete discography and gig schedule of the fretless bassist.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.percyjones.net/"} +{"d:Title": "Jack Of All Trades", "d:Description": "Alternative rock band based in Gothenburg, Sweden. Band history, discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.nenad.net/jack/"} +{"d:Title": "Jack Nicholson's Toilet Seeds, The", "d:Description": "Official site of the Dutch punk-rock collective. History, profiles, and link to sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.xs4all.nl/~jjjasper/"} +{"d:Title": "Jivatman", "d:Description": "Ghent-based DJ; includes news, biography and equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jivatman.20m.com/"} +{"d:Title": "Jacobsen, David W.", "d:Description": "New York City singer-songwriter; biography, gigs, audio samples, lyrics, press and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://davidwj.com/"} +{"d:Title": "Jaewan", "d:Description": "Rock trio from Dublin, Ireland. Site contains news, a biography, audio clips, and an image gallery. Requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://homepage.eircom.net/~jaewan/"} +{"d:Title": "John Earl Walker Band", "d:Description": "New York blues band. Biography, discography, audio clips, show dates, photos, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.johnearlwalkerband.com/"} +{"d:Title": "Johnny Vomit", "d:Description": "Hardcore/beer metal band from Chicago. History, gigs, pictures and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jvomit.tripod.com/johnnyvomitpukesonline/"} +{"d:Title": "Jade Woc", "d:Description": "News, audio samples and itinerary for the Portland artist.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/band2/jade_woc/"} +{"d:Title": "Juergensen, Chris", "d:Description": "Guitarist's site with news, biography, discography, pictures, audio and video clips, lessons, schedule and gig list. [English and Japanese.]", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://chrisjuergensen.com/"} +{"d:Title": "Jah Resination", "d:Description": "Multi-genre band, formerly known as Chunk and the Goonies. Includes biography, photo gallery, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/jazz/jahresination/"} +{"d:Title": "Jeffrey Scott Band, The", "d:Description": "Florida rock band's site with a gig schedule, profiles, photographs, song list, reviews, and a link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/band2/jeffreyscott/"} +{"d:Title": "Janflone, Tony, Jr.", "d:Description": "Guitarist/singer/songwriter based in Washington, PA. News, gig schedule, biography, discography, press articles, pictures, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.tonyjanflonejr.com/"} +{"d:Title": "Javors, Russell", "d:Description": "Singer/songwriter best known for his work with Billy Joel. Biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/va2/rj/russell.html"} +{"d:Title": "Johnson, Eric", "d:Description": "Guitarist from Austin, Texas, who has played for the bands Alien Love Child and Electromagnets. News, biography, discography, pictures, MP3 files, press articles, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.ericjohnson.com/"} +{"d:Title": "Joshua's Choice", "d:Description": "Christian rock band from Joliet, Illinois. Mission statement and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://joshuaschoice.tripod.com/"} +{"d:Title": "Jeboa", "d:Description": "Five-piece rock band from Milford, Connecticut. Biographies, pictures, song catalog, and set lists.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/band2/jeboa/"} +{"d:Title": "Jireh", "d:Description": "Christian rap/rock band. Pictures and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/geek/punkert/"} +{"d:Title": "Johnny Dumbass", "d:Description": "Rock and roll band from Harrisburg, North Carolina. Biography, photographs, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://johnnydumbass.20m.com/"} +{"d:Title": "Johnny", "d:Description": "Rock band from Bel Air, Maryland. News, biography, audio files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/md/johnnyrocks/"} +{"d:Title": "Johns, Bibi", "d:Description": "German singer. Photo and painting gallery, biography, discography, audio, and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://bibijohns.com/"} +{"d:Title": "Jelinek, Roy", "d:Description": "Cuatro player. Biography, photos, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.royjelinek.com/"} +{"d:Title": "Jones, Jeff", "d:Description": "Discography, biography, song stories, events, lyrics, news, pictures and audio samples for the Canadian recording artist.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jeff-jones.com/"} +{"d:Title": "Joe Camper", "d:Description": "New Hampshire punk band. Biography, pictures, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/nh/hey/"} +{"d:Title": "Jordan, Montell", "d:Description": "2001 interview with Askmen.com.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.askmen.com/toys/interview/26_montell_jordan_interview.html"} +{"d:Title": "Jordan, Matthew", "d:Description": "Singer-songwriter and keyboardist; news, audio samples, show dates and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.matthewjordan.com/"} +{"d:Title": "Janus", "d:Description": "'70s progressive rock band; biography, discography, pictures and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.janus-music.com/"} +{"d:Title": "Jodelle", "d:Description": "Official site includes a tour schedule, news, biography, lyrics, audio, video and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jodelle.net/"} +{"d:Title": "Jem", "d:Description": "Official site of the Welsh pop-rock singer-songwriter. News, biography, music, lyrics, press, photos, tour dates, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jem-music.net/"} +{"d:Title": "J.P. Wasson Band, The", "d:Description": "Biographies, radio airplay, reviews, gigs and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/id/jpwassonband/"} +{"d:Title": "Jules, Gary", "d:Description": "Singer/songwriter from California. News, biography, journal, audio clips, lyrics, photos, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.garyjules.com/"} +{"d:Title": "John Lowe", "d:Description": "Guitar pop rock from Austin, Texas. Biography, sample music and gig information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.legendofjohnlowe.com/"} +{"d:Title": "Jones, Mike", "d:Description": "Official site of the Houston based rapper. News, biography, photos, video clips, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.whomikejones.com/"} +{"d:Title": "John-Kroll, Louisa", "d:Description": "Australian artist producing romantic pop/ethereal faerie music. News, biography, discography, lyrics, MP3 files, and CD-ROM ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://members.optusnet.com.au/rabelais/"} +{"d:Title": "Johansen, Even", "d:Description": "Fan site for the singer-songwriter; news, biography, discography, pictures, articles, lyrics, tablature and information on his band Libido.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.evenmagnet.net/"} +{"d:Title": "Juke Joint", "d:Description": "Northern California band plays Soul, Rhythm and Blues, Jazz and Blues at clubs, events and private parties. Biographies, demos, schedules and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jukejointband.com/"} +{"d:Title": "James, Elisa", "d:Description": "International cabaret and theater show singer. Includes biography, image gallery, video clip and concert and performance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.elisajames.com/"} +{"d:Title": "Jughead", "d:Description": "Official website of the Canadian motorgrass band. Includes a gig schedule, news, biography, and streaming RealAudio files.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jughead.ca/"} +{"d:Title": "JohnStone", "d:Description": "Reggae band based in Washington, DC. Gig schedule, biographies, photographs, MP3 files, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.johnstonemusic.com/"} +{"d:Title": "Jeffers, Sue", "d:Description": "Acid folk musician from Brady Lake, Ohio. Site includes a gig schedule, biography, discography, lyrics, and links to MP3 files and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.fbirecords.com/"} +{"d:Title": "Jinyoki", "d:Description": "Drum and bass musician. Site includes biography, MP3 files, art work and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://members.chello.nl/~r.bakker02/index1.html"} +{"d:Title": "Jaggerz, The", "d:Description": "Rhythm and blues band from Pittsburgh, Pennsylvania, United States. Includes a discography, and original posters.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jaggerz.com/"} +{"d:Title": "Johnson, Nedra", "d:Description": "Reviews, lyrics, sound files, performance schedule, pictures, and biography for the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.nedrajohnson.com/"} +{"d:Title": "John Reischman and The Jaybirds", "d:Description": "Canadian band offering a blend of vintage gems, original vocal songs and original instrumentals.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.thejaybirds.com/"} +{"d:Title": "Jones, Brother B.T.", "d:Description": "Christian gospel singer. Includes a biography of the artist, news, events listings, and CD ordering details.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://brotherbtjones.tripod.com/BrotherBTJones/"} +{"d:Title": "Je'No", "d:Description": "Latin pop group from Los Angeles. Site features individual profiles, an image gallery, audio clips, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jenostreetteam.tripod.com/"} +{"d:Title": "Jackdaw4", "d:Description": "UK pop-rock band's site features audio, video, gigs, blog and podcast.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jackdaw4.com/"} +{"d:Title": "The Jesse Charles Band", "d:Description": "Big band to current hits. Calendar of events, photos and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jessecharlesband.com/"} +{"d:Title": "Jim Mesi Band", "d:Description": "Includes biographies, schedule, news, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.jimmesi.com/"} +{"d:Title": "J Church", "d:Description": "Official site of the pop/punk group from San Francisco, United States. With news, interviews, tablature, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.j-church.com/"} +{"d:Title": "Jessen, Tom", "d:Description": "Iowa City, Iowa singer. Biography, discography, reviews, lyrics, photo gallery, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.tomjessen.com/"} +{"d:Title": "Jienat", "d:Description": "Mixes traditional and new Sami vocal styles (joik) with lots of percussion. Based at 70 degrees North in the towns of Hammerfest and Alta, Norway.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://jienat.com/"} +{"d:Title": "Johnson, Stan", "d:Description": "Virtual studio of this Memphis-based saxophonist/composer.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.stanjohnsonnuspirit.com/"} +{"d:Title": "James, Lara", "d:Description": "Welsh saxophonist's site includes biography, news, pictures, gigs and press.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://www.larasax.com/"} +{"d:Title": "Joe Jonas Band, The", "d:Description": "Dallas-based blues/R&B band available for events. Pictures, audio, video, biographies, song list and stage setup.", "topic": "Top/Arts/Music/Bands_and_Artists/J", "url": "http://joejonasband.com/"} +{"d:Title": "Jackopierce", "d:Description": "Features a brief biography and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackopierce", "url": "http://www.jackopierce.com/"} +{"d:Title": "Jackpot Band", "d:Description": "Jackpot band is a high energy two-piece techno-pop dance band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackpot", "url": "http://www.jackpotband.com/"} +{"d:Title": "CNN.com - Apologetic Jackson Says 'Costume Reveal' Went Awry", "d:Description": "Janet Jackson apologized to anyone who was offended by the exposure during the halftime show Sunday at the Super Bowl.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.cnn.com/2004/US/02/02/superbowl.jackson/"} +{"d:Title": "Janet Jackson News: Topix", "d:Description": "News about Janet Jackson continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.topix.com/who/janet-jackson"} +{"d:Title": "Topix: Janet Jackson", "d:Description": "News about Janet Jackson, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.topix.com/rss/who/janet-jackson.xml"} +{"d:Title": "Artist Direct: Janet Jackson", "d:Description": "MP3 audio files, lyrics, pictures, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,448072,00.html"} +{"d:Title": "RollingStone.com: Janet Jackson", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.rollingstone.com/music/artists/janet-jackson"} +{"d:Title": "All Music Guide: Janet Jackson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.allmusic.com/artist/janet-jackson-p4572"} +{"d:Title": "AskMen.com: Janet Jackson", "d:Description": "Collection of Janet Jackson pictures, biography, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.askmen.com/celebs/women/singer/14_janet_jackson.html"} +{"d:Title": "ZDNet News: Janet Jackson's Flash Dance Tops Web Search", "d:Description": "The pop diva's fashion fiasco during the Super Bowl halftime performance has become the most searched for event in Lycos' history. (Feb. 4, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.zdnet.com/news/janet-jacksons-flash-dance-tops-web-search/134163"} +{"d:Title": "Janet Jackson", "d:Description": "Official site features biography, interviews, albums, photos, news, fan forums and audio video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.janetjackson.com/"} +{"d:Title": "Soundclick: Janet Jackson", "d:Description": "Profile of the artist, photograph, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.soundclick.com/members/default.cfm?member=janetjackson"} +{"d:Title": "MTV: Janet Jackson", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet", "url": "http://www.mtv.com/artists/janet-jackson/"} +{"d:Title": "Janet Jackson Desktop Customization", "d:Description": "Includes desktop themes, screen savers, wallpapers, and software skins.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet/Downloads", "url": "http://jeffsthemes.ezthemes.com/pcenhance/spotlight.phtml?janet+jackson"} +{"d:Title": "All For Janet Jackson", "d:Description": "Features news, biography, lyrics, pictures, wallpaper, and an interview.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet/Fan_Pages", "url": "http://www.angelfire.com/stars2/janetdjackson/"} +{"d:Title": "The Nasty Site", "d:Description": "Includes pictures, videos, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet/Fan_Pages", "url": "http://www.angelfire.com/ga2/horacio/"} +{"d:Title": "Janet World", "d:Description": "Includes news, lyrics, filmography, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet/Fan_Pages", "url": "http://www.angelfire.com/music3/janetworld/janetenter.html"} +{"d:Title": "Janet Jackson", "d:Description": "High quality pictures of Janet Jackson. Lyrics, biography, discography, quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Janet/Fan_Pages", "url": "http://www.janetjackson.dvdvideogame.org/"} +{"d:Title": "The Joe Jackson Archive", "d:Description": "News, discography, biography, links, tours, articles, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Joe", "url": "http://www.jj-archive.net/"} +{"d:Title": "Joe Jackson Lyrics", "d:Description": "The man who wrote \"The Man Who Wrote Danny Boy\" - find the lyrics to all of Joe Jackson's songs in one location.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Joe", "url": "http://www.mondaypapers.com/"} +{"d:Title": "Joe Jackson", "d:Description": "Official site. Includes news, music, books, store, reviews, tour dates, film clips, photographs, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Joe", "url": "http://www.joejackson.com/"} +{"d:Title": "Yahoo Groups: Joe Jackson", "d:Description": "Discussion list available in both web and email formats for the creative output of Joe Jackson.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Joe", "url": "http://groups.yahoo.com/group/joe-jackson"} +{"d:Title": "JoeJackson.net", "d:Description": "Fan site with chat, forum, images and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Joe", "url": "http://www.joejackson.net/"} +{"d:Title": "Sony Classical", "d:Description": "Official record label site.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Joe", "url": "http://www.sonymasterworks.com/artists/joejackson/"} +{"d:Title": "Michael Jackson", "d:Description": "Sony Music site includes streaming audio and video files, discography, image gallery and competitions.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.michaeljackson.com/"} +{"d:Title": "Rock On The Net: Michael Jackson", "d:Description": "Timeline and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.rockonthenet.com/artists-j/michaeljackson_main.htm"} +{"d:Title": "WWWF Grudge Match: Michael Jackson vs. Prince", "d:Description": "Commentary on a fictional fight between the two artists.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.grudge-match.com/History/prince-jackson.shtml"} +{"d:Title": "Michael Jackson News: Topix", "d:Description": "News about Michael Jackson continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.topix.com/who/michael-jackson"} +{"d:Title": "Topix: Michael Jackson", "d:Description": "News about Michael Jackson, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.topix.com/rss/who/michael-jackson.xml"} +{"d:Title": "MJSTAR", "d:Description": "Michael Jackson related news stories and photographs.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.mjstar.co.uk/"} +{"d:Title": "BBC News Special Reports: Michael Jackson", "d:Description": "World mourns pop legend Jackson. Features, views, analysis and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://news.bbc.co.uk/2/hi/in_depth/entertainment/2009/michael_jackson/"} +{"d:Title": "BBC News In Depth - Michael Jackson", "d:Description": "BBC Special Reports.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://newsrss.bbc.co.uk/rss/newsonline_world_edition/in_depth/entertainment/2009/michael_jackson/rss.xml"} +{"d:Title": "Wikipedia: Michael Jackson", "d:Description": "Encyclopedia article covers the life and musical career of the American recording artist.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://en.wikipedia.org/wiki/Michael_Jackson"} +{"d:Title": "AskMen.com: Michael Jackson", "d:Description": "Features information, biography and commentary sections.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.askmen.com/celebs/men/entertainment_60/66_michael_jackson.html"} +{"d:Title": "Rock and Roll Hall of Fame: Michael Jackson", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://rockhall.com/inductees/michael-jackson/"} +{"d:Title": "MTV: Michael Jackson", "d:Description": "Biography, discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael", "url": "http://www.mtv.com/artists/michael-jackson/"} +{"d:Title": "Salon.com Arts&Entertainment: Who's bad?", "d:Description": "Bomani Jones explains why Michael Jackson's music sucks.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Anti_Michael_Jackson", "url": "http://www.salon.com/2002/06/26/jacko_3/"} +{"d:Title": "BBCi - Jackson's life in the spotlight", "d:Description": "Profile of the star from the BBC, including links to related news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/1201949.stm"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Michael Jackson", "d:Description": "Reviews of Off the Wall, Thriller and Bad.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Articles_and_Interviews", "url": "http://sfloman.com/michaeljackson.html"} +{"d:Title": "Of Course Jackson's Odd - But His Genius is What Matters", "d:Description": "Tom Utley in the Telegraph argues that the Martin Bashir documentary missed the point.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Articles_and_Interviews", "url": "http://www.telegraph.co.uk/comment/personal-view/3587259/Of-course-Jacksons-odd-but-his-genius-is-what-matters.html"} +{"d:Title": "h2g2: Michael Joseph Jackson - Singer and Songwriter", "d:Description": "Entry in the 'Hitchhikers Guide to the Galaxy'.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Articles_and_Interviews", "url": "http://www.h2g2.com/approved_entry/A537662"} +{"d:Title": "Not so wacko Jacko", "d:Description": "Western values counsel that we can buy anything and be anything, so why balk at Michael Jackson? Article from the Guardian by Ros Coward.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Articles_and_Interviews", "url": "http://www.theguardian.com/media/2003/feb/05/broadcasting.comment"} +{"d:Title": "Michael Jackson Forum", "d:Description": "A message board for fans of the pop artist.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Chats_and_Forums", "url": "http://www.michaeljacksonforum.com/"} +{"d:Title": "Michael Jackson Radio Stream", "d:Description": "Internet radio station featuring songs by Michael Jackson and siblings in streaming MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Downloads", "url": "http://www.michaeljacksonradio.com/"} +{"d:Title": "Michael Jackson Invincible", "d:Description": "Audio downloads, MP3 skins, wallpaper and desktop themes.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Downloads", "url": "http://michael-is-aidil.tripod.com/"} +{"d:Title": "Michael Jackson Live", "d:Description": "Albums available for online listening. Create your own playlist and view lyrics simultaneously.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Downloads", "url": "http://mjackson.8m.com/"} +{"d:Title": "Nick D's MJ Site", "d:Description": "A selection of MIDI files and rare video downloads on rotation. Also includes discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Downloads", "url": "http://www.angelfire.com/alt/nickdrinkwater/"} +{"d:Title": "MjTunes", "d:Description": "Michael Jackson dedicated radio station.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Downloads", "url": "http://www.mjtunes.com/"} +{"d:Title": "King Of Pop Fanatics Online", "d:Description": "Group for Michael Jackson fans in the greater Los Angeles area. Includes information about the group, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Clubs", "url": "http://www.angelfire.com/in2/kingofpopfanatics/index.html"} +{"d:Title": "Living HIStory Fan Club", "d:Description": "With news, links and information about Michael Jackson.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Clubs", "url": "http://www.angelfire.com/ms/MichaelJackson/"} +{"d:Title": "Indian Michael Jackson Fanatics Club", "d:Description": "For Indian Michael jackson fans to get together and have fun michaeling around.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Clubs", "url": "http://indianmjclub.tripod.com/"} +{"d:Title": "The MJIFC Members WebRing", "d:Description": "A webring for Michael Jackson Internet Fan Club members who have MJ websites. Come join the ring, or find a MJ site to visit! Officially recognized by the MJIFC.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Clubs", "url": "http://www.angelfire.com/ca/mjjluvr/mjifcring.html"} +{"d:Title": "ClubMJ", "d:Description": "Official Indian Michael Jackson fanclub. Includes photos and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Clubs", "url": "http://clubmj.com/"} +{"d:Title": "Union Jackson Corporation", "d:Description": "There are 2 different fan clubs in this web site. This site is dedicated to Michael Jackson and Janet Jackson.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Clubs", "url": "http://users.libero.it/yana.mjfc/"} +{"d:Title": "Michael Jackson World Network", "d:Description": "Includes news, merchandise, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Clubs", "url": "http://www.mjworld.net/"} +{"d:Title": "BOWbaby's MJ Kingdom", "d:Description": "Share your MJ dreams and fantasies here. Also includes an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://www.angelfire.com/mi2/bowbaby/index.html"} +{"d:Title": "MJEOL", "d:Description": "News, fan fiction and poems, concert reviews, articles and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://www.mjeol.com/"} +{"d:Title": "Michael Jackson's House", "d:Description": "A former impersonator's site with personal photographs, MIDI files and a how to Moonwalk section, along with lyrics, press articles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://www.mjshouse.com/"} +{"d:Title": "Who Is It?", "d:Description": "Includes news, pictures, calendar, merchandise and trading.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://meca27.tripod.com/"} +{"d:Title": "Donna's Michael Jackson Dedication Page", "d:Description": "Includes picture galleries, guestbook, midi files, poems, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://www.angelfire.com/in2/kingofpopfanatics/donnagreen.html"} +{"d:Title": "AJs MJ Web", "d:Description": "Fan site includes biography, filmography, discography, links, and chat rooms.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://mjfan4lifeny.tripod.com/"} +{"d:Title": "ALL Michael Jackson", "d:Description": "Biography, discography and videography, along with interview archive, speeches, song lyrics, picture gallery and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://www.allmichaeljackson.com/"} +{"d:Title": "Michael Jackson Beat", "d:Description": "Fan blog.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://www.michaeljacksonbeat.blogspot.com/"} +{"d:Title": "Satrohraj's MJ Blog", "d:Description": "Fan blog with lyrics and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://satrohraj-mj.blogspot.com/"} +{"d:Title": "Michaelfreak's Michael Jackson World", "d:Description": "News, facts and images.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Fan_Pages", "url": "http://mfmjw.com/"} +{"d:Title": "Changing Faces", "d:Description": "Brief flash film from Guardian Unlimited showing how Michael Jackson's face has changed over time.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Image_Galleries", "url": "http://www.theguardian.com/flash/0,5860,547930,00.html"} +{"d:Title": "Tess", "d:Description": "History, biography, and video clips of this Swedish female Michael Jackson impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Impersonators", "url": "http://www.tess-impersonates-mj.com/"} +{"d:Title": "Jason Jackson", "d:Description": "An Australian Michael Jackson impersonator. Factfile, photographs past performances and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Impersonators", "url": "http://www.angelfire.com/pop/jasonjackson/"} +{"d:Title": "Navi", "d:Description": "UK based but internationally travelled Michael Jackson impersonator. Biography, photographs, press reviews and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Impersonators", "url": "http://www.kingofpop.co.uk/"} +{"d:Title": "Brett 'The Imposter'", "d:Description": "Photographs and contact details for this Michael Jackson impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Impersonators", "url": "http://www.michaeljacksonimposter.iwarp.com/"} +{"d:Title": "Classique Productions' Michael Jackson Impersonators", "d:Description": "Biographies and photographs of several impersonators this Las Vegas based company have on their books.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Impersonators", "url": "http://www.classique-productions.com/pages/PAGE563.HTM"} +{"d:Title": "Ben", "d:Description": "UK-based tribute show. Pictures, videos, show packages, dancers, equipment and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Impersonators", "url": "http://www.michaeljacksonuk.com/"} +{"d:Title": "MJSite", "d:Description": "Lyrics for Jackson Five albums as well as Michael's solo efforts.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Lyrics", "url": "http://www.mjsite.com/"} +{"d:Title": "A-Z Lyrics Universe: Michael Jackson", "d:Description": "Michael Jackson lyrics indexed by album. Also includes lyrics for selected non-album tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Lyrics", "url": "http://www.azlyrics.com/j/jackson.html"} +{"d:Title": "Am I Right? Misheard Lyrics: Michael Jackson", "d:Description": "Instances in Michael Jackson songs where lyrics can be amusingly misheard.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Lyrics", "url": "http://www.amiright.com/misheard/artist/jacksonmichael.shtml"} +{"d:Title": "ILM: Lyrics that Reference Michael Jackson", "d:Description": "Discussion board thread with references to Michael Jackson in songs by other artists.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Michael/Lyrics", "url": "http://www.ilxor.com/ILX/ThreadSelectedControllerServlet?boardid=41&threadid=11262"} +{"d:Title": "Millie Jackson", "d:Description": "Official site with news, biography, album information, audio clips, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson,_Millie", "url": "http://www.weirdwreckuds.com/"} +{"d:Title": "A Funky Jackson Five Site", "d:Description": "Introduction to the group, discography, memorial, album reviews, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson_Five_and_The_Jacksons", "url": "http://www.soul-patrol.com/funk/jackson5.htm"} +{"d:Title": "Meet The Family Fanclub Coverpage", "d:Description": "Meet The Family is the ultimate Jackson Family Fanclub Website. Check it out if you like the Jackson family as a whole and find out news on the Kingdom of Pop.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson_Five_and_The_Jacksons", "url": "http://meetthefamily.online.fr/home.htm"} +{"d:Title": "Rock and Roll Hall of Fame: The Jackson Five", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson_Five_and_The_Jacksons", "url": "http://rockhall.com/inductees/the-jackson-five/"} +{"d:Title": "Michael Jackson and the Jackson Five/Jacksons Photos Page", "d:Description": "Photos of Michael Jackson with the singing groups, and links to other Jackson family pages.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackson_Five_and_The_Jacksons", "url": "http://valsadie.com/aolpages/mjphoto2.htm"} +{"d:Title": "Jacksoul", "d:Description": "Official site. Includes news, a biography, image gallery, tour schedule, discography, lyrics, and RealAudio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/J/jacksoul", "url": "http://www.jacksoul.com/"} +{"d:Title": "Canoe: Jacksoul", "d:Description": "An archive of articles and reviews about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/jacksoul", "url": "http://jam.canoe.com/Music/Artists/J/Jacksoul/"} +{"d:Title": "Jackyl", "d:Description": "Official site includes biography, sound files, reviews, interviews, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackyl", "url": "http://jackyl.com/"} +{"d:Title": "MTV: Jackyl", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jackyl", "url": "http://www.mtv.com/artists/jackyl/"} +{"d:Title": "Jack Off Jill dot Com", "d:Description": "The official site of the band with articles, photos, sound clips, forum, chat, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jack_Off_Jill", "url": "http://www.jackoffjill.com/"} +{"d:Title": "Jagged Edge", "d:Description": "Official site features news, biography, discography, audio and video, pictures, forum and store.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jagged_Edge", "url": "http://www.jaggededge.net/"} +{"d:Title": "The Jam Information Pages", "d:Description": "Features discography, lyrics, audio clips, pictures, equipment listing, articles, tablatures, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jam,_The", "url": "http://www.thejam.org.uk/"} +{"d:Title": "Official Jam", "d:Description": "Includes gig list from 1974 to 1982, chart positions and details of singles, albums and DVD. Interviews, photographs and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jam,_The", "url": "http://www.thejamfan.net/"} +{"d:Title": "Rollingstone.com: The Jam", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jam,_The", "url": "http://www.rollingstone.com/music/artists/the-jam"} +{"d:Title": "The New Age Jam", "d:Description": "Tribute band based in North East England. Features gig dates, image gallery, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jam,_The/Tribute_Bands", "url": "http://www.thenewagejam.co.uk/"} +{"d:Title": "James (the band)", "d:Description": "Complete source for information on the British band, James. Includes a selection of audio and multimedia, and a popular chat board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/James", "url": "http://james.wattyco.com/"} +{"d:Title": "James - Play Something", "d:Description": "James, guitar, Guitar, tab, tablature, james the band, the band james.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James", "url": "http://webhome.idirect.com/~windzor/james/"} +{"d:Title": "James: Official Web Site", "d:Description": "Features constantly updated news, contests, photos, a comprehensive discography, message board, press articles and album reviews and a chance to put your questions directly to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James", "url": "http://www.wearejames.com/"} +{"d:Title": "Boney James", "d:Description": "Official site with news, tour dates, biography, sound clips, store and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Boney", "url": "http://www.boneyjames.com/"} +{"d:Title": "ArtistDirect: Boney James", "d:Description": "Contains tour dates, biography, message board, list of related artists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Boney", "url": "http://www.artistdirect.com/artist/boney-james/448458"} +{"d:Title": "ColinJames.com", "d:Description": "Official site. Includes a complete biography, discography, tour dates, and a list of awards that the artist has won.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Colin", "url": "http://www.colinjames.com/"} +{"d:Title": "All Music Guide: Etta James", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Etta", "url": "http://www.allmusic.com/artist/etta-james-p387"} +{"d:Title": "Rock and Roll Hall of Fame and Museum", "d:Description": "Profile of the artist for her 1993 induction.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Etta", "url": "http://rockhall.com/inductees/etta-james"} +{"d:Title": "Wilson&Alroy's Record Reviews: Rick James", "d:Description": "Reviews of albums from 1978 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Rick", "url": "http://www.warr.org/rjames.html"} +{"d:Title": "PFunk Review: Rick James", "d:Description": "Contains commentary, biography, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Rick", "url": "http://www.soul-patrol.com/funk/rick1.htm"} +{"d:Title": "Rick James", "d:Description": "Official site with news, biography, discography, audio/video, messages, trading post, photographs, tour dates, booking information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Rick", "url": "http://www.rickjames.com/"} +{"d:Title": "Tommy James and Me", "d:Description": "Fan site with a discography, linear notes, lyrics, press articles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Tommy", "url": "http://www.angelfire.com/mo/stephenlaug/"} +{"d:Title": "James, Tommy", "d:Description": "Official site. Includes biography, discography, lyrics, tour dates, concert pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Tommy", "url": "http://www.tommyjames.com/"} +{"d:Title": "Fans of Wendy James", "d:Description": "A club dedicated to Wendy James. Pictures, magazine covers, and chat", "topic": "Top/Arts/Music/Bands_and_Artists/J/James,_Wendy", "url": "http://groups.yahoo.com/group/fansofwendyjames/"} +{"d:Title": "Queer in Your Ear: James", "d:Description": "Short article on James' album, Laid, and the accompanying video for the title track.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James/Articles_and_Interviews", "url": "http://www.joeclark.org/QiYE35.html"} +{"d:Title": "Crud Magazine: James - Wembley Arena", "d:Description": "James Berry reviews the band's final gig with Tim Booth at Wembley Arena.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James/Articles_and_Interviews", "url": "http://www.2-4-7-music.com/newsitems/dec01/james.asp"} +{"d:Title": "Yahoo Groups: JamesGangsters", "d:Description": "A discussion group devoted to the appreciation of the seminal rock band, as well as the solo and session careers of all its members.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James_Gang,_The", "url": "http://groups.yahoo.com/group/JamesGangsters"} +{"d:Title": "The James Taylor Quartet", "d:Description": "Official site with biography, discography, tour dates, news and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/James_Taylor_Quartet,_The", "url": "http://www.jamestaylorquartet.co.uk/"} +{"d:Title": "The Official Jamiroquai Website", "d:Description": "Features a biography, discography, sound files, tour dates, fan reviews, mailing list, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai", "url": "http://www.jamiroquai.co.uk/"} +{"d:Title": "Yahoo! Groups: The Jamiroquai Club", "d:Description": "A place for fans to post messages.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai", "url": "http://groups.yahoo.com/group/jamiroquai/"} +{"d:Title": "Yahoo! Groups: The Jammin' World of Jamiroquai", "d:Description": "Post messages with other members of the fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai", "url": "http://groups.yahoo.com/group/thejamminworldofjamiroqaui/"} +{"d:Title": "Girl.com.au: Jamiroquai", "d:Description": "Interview about the album 'A Funk Odyssey'.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai", "url": "http://www.girl.com.au/jamiroquai.htm"} +{"d:Title": "All Music Guide: Jamiroquai", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai", "url": "http://www.allmusic.com/artist/p142977"} +{"d:Title": "Nick Fyffe Fanatics Page", "d:Description": "A fan page for the bassist of the band. Contains news, profile, discography, image gallery, and press interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai/Band_Members", "url": "http://www.angelfire.com/jazz/nickfyffe/"} +{"d:Title": "Akingbola, Sola", "d:Description": "Official site of this nigerian percussionist. Includes biography, news, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai/Band_Members", "url": "http://www.solaakingbola.com/"} +{"d:Title": "Stuart Zender", "d:Description": "Official site of this musician, producer, and songwriter, former member of Jamiroquai.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai/Band_Members", "url": "http://www.zendermusic.com/"} +{"d:Title": "Live the Funky Life", "d:Description": "Includes biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai/Fan_Pages", "url": "http://www.angelfire.com/mi2/funkylife/"} +{"d:Title": "Jamiroquai - The Funkin Site", "d:Description": "Long running unofficial fan site with up to date news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai/Fan_Pages", "url": "http://www.funkin.com/"} +{"d:Title": "Jamirotalk", "d:Description": "International fan forum.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai/Fan_Pages", "url": "http://www.jamirotalk.net/"} +{"d:Title": "Feelin' Jamiroquai", "d:Description": "A site for fans to express their love for the band. Includes artwork and an in-depth look at secret sounds found in the music. [English/Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamiroquai/Fan_Pages", "url": "http://usuaris.tinet.cat/xblanco/feel.htm"} +{"d:Title": "Jimi Jamison&Survivor", "d:Description": "Fan site with tour dates, discography, pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamison,_Jimi", "url": "http://www.stormpages.com/jimijamison/home.html"} +{"d:Title": "MelodicRock.com: Jimi Jamison", "d:Description": "Interview with Jimi about his music and Survivor.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jamison,_Jimi", "url": "http://www.melodicrock.com/interviews/jimijamison.html"} +{"d:Title": "Jandek on Corwood", "d:Description": "Documentary film about the reclusive musician. Includes screening dates, trailers, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jandek", "url": "http://www.jandekoncorwood.com/"} +{"d:Title": "A Guide to Jandek", "d:Description": "Fan site for the Houston-based folk loner and his oeuvre. Discography, lyrics, mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jandek", "url": "http://tisue.net/jandek"} +{"d:Title": "Jandek - The Original Disconnect", "d:Description": "Article by Irwin Chusid, from the WFMU newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jandek", "url": "http://www.wfmu.org/LCD/22/jandek.html"} +{"d:Title": "Wikipedia: Jandek", "d:Description": "Article includes information about his live appearances and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jandek", "url": "http://en.wikipedia.org/wiki/Jandek"} +{"d:Title": "Allmusic: Jandek", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jandek", "url": "http://www.allmusic.com/artist/p142978"} +{"d:Title": "Xiola.org", "d:Description": "Fully featured fan site with band member biographies, new and archived articles, photos, MP3s, autographs, and reader polls.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://www.xiola.org/"} +{"d:Title": "Eric Avery's Basses", "d:Description": "Page of images and descriptions of the basses Eric Avery played in Jane's Addiction.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://www.angelfire.com/tx/Brian817/eric.html"} +{"d:Title": "The Jane's Addiction Mailing List", "d:Description": "Subscription information, archives and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://groups.yahoo.com/group/janes-addiction"} +{"d:Title": "AskMen.com - Jane's Addiction", "d:Description": "2001 interview with drummer Stephen Perkins.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://www.askmen.com/toys/interview/24_janes_addiction_interview.html"} +{"d:Title": "Jane's Addiction.com", "d:Description": "The official website of the band; includes sound clips, tour dates, bulletin board, news, photos, and downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://www.janesaddiction.com/"} +{"d:Title": "Jane's Addiction News: Topix", "d:Description": "News about Jane's Addiction continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://www.topix.com/who/janes-addiction"} +{"d:Title": "Some Diver's Whistle", "d:Description": "Fansite featuring in depth chronologies and song indexes for Jane's Addiction and related bands.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://somediverswhistle.com/"} +{"d:Title": "MTV: Jane's Addiction", "d:Description": "News, album reviews, audio downloads, biographies on Perry Farrell and other band members, discography, links and bulletin boards", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jane's_Addiction", "url": "http://www.mtv.com/artists/janes-addiction/"} +{"d:Title": "Music-Critic: Great Adventure Cigar", "d:Description": "Review of Janus Stark's debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Janus_Stark", "url": "http://www.music-critic.com/rock/janusstark_greatadventurecigar.htm"} +{"d:Title": "ArtistDirect: Janus Stark", "d:Description": "Includes biography and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Janus_Stark", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,718295,00.html"} +{"d:Title": "All-Music Guide: Janus Stark", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Janus_Stark", "url": "http://www.allmusic.com/artist/janus-stark-p350470"} +{"d:Title": "Music Folios: Japan", "d:Description": "Discography with album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan", "url": "http://www.musicfolio.com/modernrock/japan.html"} +{"d:Title": "Japan Nightporter", "d:Description": "Fan site with history, discography, news, pictures, list of TV appearances, live shows, FAQs, memorabilia, audio and video clips, lyrics, press and discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan", "url": "http://www.nightporter.co.uk/"} +{"d:Title": "Visions of Japan", "d:Description": "Illustrated discography for the band and its members' other projects.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan", "url": "http://afterjapan.tripod.com/"} +{"d:Title": "Japanoramic Scenes", "d:Description": "Drawings of the band's members.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan", "url": "http://japanoramicscenes.myartsonline.com/"} +{"d:Title": "Innerviews: Mick Karn: Honorable Tension", "d:Description": "Interview by Anil Prasad.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Karn,_Mick", "url": "http://www.innerviews.org/inner/karn.html"} +{"d:Title": "All About Mick Karn", "d:Description": "Tribute site to the bassist. History, career, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Karn,_Mick", "url": "http://agrino.org/mickkarn/index.htm"} +{"d:Title": "Trophies [Everything and Nothing]", "d:Description": "Dedicated to Sylvian's music and art. Includes news, discography, bibliography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Sylvian,_David", "url": "http://www.davidsylvian.net/"} +{"d:Title": "Bamboo Man: David Sylvian", "d:Description": "Includes photos, downloads, career history, and animated graphics.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Sylvian,_David", "url": "http://bamboo_man.tripod.com/"} +{"d:Title": "The Official David Sylvian Website", "d:Description": "Includes news, artwork, discography, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Sylvian,_David", "url": "http://www.davidsylvian.com/"} +{"d:Title": "The official Samadhisound website", "d:Description": "Sylvian self-produced recording label.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Sylvian,_David", "url": "http://www.samadhisound.com/"} +{"d:Title": "David-Sylvian.co.uk", "d:Description": "Uk-based site providing information and background on Japan and David Sylvian's solo work. History and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Sylvian,_David", "url": "http://a8creative.co.uk/sylvian/"} +{"d:Title": "Pitchfork: David Sylvian Interview", "d:Description": "Story and interview by Chris Dahlen.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Japan/Sylvian,_David/Articles_and_Interviews", "url": "http://www.davidsylvian.net/releases/articles-interviews/10-online/998-interview-david-sylvian.html"} +{"d:Title": "FrequenZ", "d:Description": "French tribute band playing the music of Jean-Michel Jarre and Air.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://frequenz.free.fr/"} +{"d:Title": "Jarre, Jean-Michel", "d:Description": "Official website. Includes biography, discography, news and tour dates. [Flash, French/English]", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://www.jeanmicheljarre.com/"} +{"d:Title": "Jarrography", "d:Description": "Very complete illustrated discography. Database includes also details about instruments used by Jean Michel Jarre on his albums.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://www.jarrography.free.fr/"} +{"d:Title": "Millions of Stars", "d:Description": "Website dedicated to the music of Jean Michel Jarre. It includes a detailed discography, information about all concerts, various photos and images. [English]", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://home.arcor.de/millionsofstars/"} +{"d:Title": "JarreCon '98", "d:Description": "Review of the fourth UK Jean Michel Jarre convention that took place in Birmingham on August 23rd 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://www.jarre.force9.co.uk/jarrecon/front.html"} +{"d:Title": "The Jarre Collection", "d:Description": "Phil Jenkins presents his personal Jarre collection, covering everything from La Cage to AERO.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://www.freewebs.com/thejarrecollection/"} +{"d:Title": "Aero Jarre", "d:Description": "Fan photos from the Aero concert.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://aerojarre.free.fr/"} +{"d:Title": "(4422) Jarre", "d:Description": "Information about a minor planet named in honor of the French composer Maurice Jarre and his composer/musician son Jean-Michel including a diagram of the object's orbit.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0004422.html"} +{"d:Title": "Jarre, Jean-Michel", "d:Description": "Official blog opened for the 2008 Oxygene european tour.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarre,_Jean_Michel", "url": "http://aerojarre.blogspot.com/"} +{"d:Title": "Jarreau, Al", "d:Description": "The official web site. Includes a biography, discography, image gallery, news, and tour schedule.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarreau,_Al", "url": "http://www.aljarreau.com/"} +{"d:Title": "Al Jarreau: The Music Legend Opened Up About Longevity", "d:Description": "Several weeks before his death, Al was interviewed by Greg Archer. From Huffington Post.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarreau,_Al", "url": "http://www.huffingtonpost.com/entry/al-jarreau-the-music-legend-opened-up-about-longevity_us_58a0c265e4b0e172783a9de9"} +{"d:Title": "Al Jarreau - The Tavis Smiley Show", "d:Description": "Audio recording of 2014 interview with Al discussing his tribute CD to George Duke \"My Old Friend\".", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jarreau,_Al", "url": "http://www.tavissmileyradio.com/al-jarreau-my-old-friend-celebrating-george-duke/"} +{"d:Title": "Jay-z", "d:Description": "Features a biography and a list of the artist's works.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jay-Z", "url": "http://www.angelfire.com/mo/realHiphop/jayz.html"} +{"d:Title": "Jay-Z Online.com", "d:Description": "Fan site with a biography, fact sheet, MP3 files, lyrics, free web-based email accounts, pictures, message board, and the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jay-Z", "url": "http://www.jayzonline.com/"} +{"d:Title": "Jay-Z News: Topix", "d:Description": "News about Jay-Z continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jay-Z", "url": "http://www.topix.com/who/jay-z"} +{"d:Title": "Topix: Jay Z", "d:Description": "News about Jay Z, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jay-Z", "url": "http://www.topix.com/rss/who/jay-z.xml"} +{"d:Title": "MTV: Jay Z", "d:Description": "Features music video clips, album reviews, exclusive online interviews and live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jay-Z", "url": "http://www.mtv.com/artists/jay-z/"} +{"d:Title": "The Jayhawks FanPage", "d:Description": "Fan site with gig schedule, set list archive, biography, discography, information on television appearances, equipment list, photographs, and flyer scans.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jayhawks,_The", "url": "http://www.jayhawksfanpage.com/"} +{"d:Title": "RollingStone.com: The Jayhawks", "d:Description": "Includes a biography, photo gallery, discography/album reviews, message boards, trivia, webcasts, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jayhawks,_The", "url": "http://www.rollingstone.com/music/artists/the-jayhawks"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Jayhawks", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jayhawks,_The", "url": "http://sfloman.com/jayhawks.html"} +{"d:Title": "Jay and The Americans", "d:Description": "Black and white photograph, and history of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jay_and_the_Americans", "url": "http://www.history-of-rock.com/jay_and_the_americans.htm"} +{"d:Title": "The Lyrics Library: Jay and The Americans", "d:Description": "Lyrics, categorized by song title.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jay_and_the_Americans", "url": "http://www.mathematik.uni-ulm.de/paul/lyrics/jayandtheamericans/"} +{"d:Title": "The Jazz Butcher Conspiracy", "d:Description": "Official site features news, trivia, press clips, audio files, lyrics, discography, profiles of past and present members and friends, and letters from Pat Fish himself.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jazz_Butcher_Conspiracy,_The", "url": "http://www.jazzbutcher.com/"} +{"d:Title": "Ink Nineteen: The Jazz Butcher Conspiracy", "d:Description": "Review of \"Glorious and Idiotic.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jazz_Butcher_Conspiracy,_The", "url": "http://www.ink19.com/issues_F/00_04/wet_ink/music_hj/the_jazz_butcher.shtml"} +{"d:Title": "Max Eider", "d:Description": "Official site of the long-time member of The Jazz Butcher Conspiracy with lyrics, news, and sound clips from his solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jazz_Butcher_Conspiracy,_The", "url": "http://www.maxeider.com/"} +{"d:Title": "TrouserPress.com: Jazz Butcher", "d:Description": "Reviews of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jazz_Butcher_Conspiracy,_The", "url": "http://www.trouserpress.com/entry.php?a=jazz_butcher"} +{"d:Title": "The Jazz Butcher Conspiracy Variations Page", "d:Description": "Incomplete discography from a fan, with details about variations in the versions of songs on different releases.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jazz_Butcher_Conspiracy,_The", "url": "http://www.arabhorses.ms11.net/jbcsongs.htm"} +{"d:Title": "The Jazz Butcher on TweeNet", "d:Description": "Discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jazz_Butcher_Conspiracy,_The", "url": "http://twee.net/bands/j/jazzbutcher.html"} +{"d:Title": "AskMen.com - Ja Rule", "d:Description": "2001 interview.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Ja_Rule", "url": "http://www.askmen.com/toys/interview/13_ja_rule_interview.html"} +{"d:Title": "Ja Rule News: Topix", "d:Description": "News about Ja Rule continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Ja_Rule", "url": "http://www.topix.com/who/ja-rule"} +{"d:Title": "MTV: Ja Rule", "d:Description": "Features music video clips and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Ja_Rule", "url": "http://www.mtv.com/artists/ja-rule/"} +{"d:Title": "Afiwi: Wyclef Jean Interview", "d:Description": "Audio and text interview, freestyle and Caribbean Hall of Fame profile.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean,_Wyclef", "url": "http://www.afiwi.com/music/interviews/wcylef_jean_2002.asp"} +{"d:Title": "Facebook - Wyclef Jean", "d:Description": "Official artist profile including, notes, photos, status updates, videos, music and comments from fans.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean,_Wyclef", "url": "http://www.facebook.com/Wyclef"} +{"d:Title": "Twitter - Wyclef Jean", "d:Description": "Official tweets from the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean,_Wyclef", "url": "http://twitter.com/wyclef"} +{"d:Title": "ArtistDirect: Wyclef Jean", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean,_Wyclef", "url": "http://www.artistdirect.com/artist/wyclef-jean/580968"} +{"d:Title": "AskMen.com - Wyclef Jean", "d:Description": "Pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean,_Wyclef", "url": "http://www.askmen.com/celebs/men/entertainment_100/124_wyclef_jean.html"} +{"d:Title": "MTV: Wyclef Jean", "d:Description": "News, biography, RealAudio clips, photos, video clips, tour information, musical influences and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean,_Wyclef", "url": "http://www.mtv.com/artists/wyclef-jean/"} +{"d:Title": "TrouserPress.com: Jean Paul Sartre Experience", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean-Paul_Sartre_Experience", "url": "http://www.trouserpress.com/entry.php?a=jean_paul_sartre_experience"} +{"d:Title": "All Music Guide: Jean-Paul Sartre Experience", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jean-Paul_Sartre_Experience", "url": "http://www.allmusic.com/artist/jean-paul-sartre-experience-p26566"} +{"d:Title": "Jefferson, Blind Lemon", "d:Description": "Biography of the Blues guitarist and singer from Wikipedia.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson,_Blind_Lemon", "url": "http://en.wikipedia.org/wiki/Blind_Lemon_Jefferson"} +{"d:Title": "Jefferson, Blind Lemon", "d:Description": "Profile of the artist, with a bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson,_Blind_Lemon", "url": "http://www.tshaonline.org/handbook/online/articles/fje01"} +{"d:Title": "Deaddisc.com: Jefferson Airplane", "d:Description": "Discography with details of albums, singles, compilations and occurrences on various artists collections.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson_Airplane", "url": "http://www.deaddisc.com/GDFD_JA.htm"} +{"d:Title": "Jefferson Airplane", "d:Description": "The official website of the band. Includes discography, audio clips, news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson_Airplane", "url": "http://www.jeffersonairplane.com/"} +{"d:Title": "Jefferson Starship", "d:Description": "The official Jefferson Starship site. Includes biography, tour dates. audio and video clips, and writings.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson_Airplane", "url": "http://www.jeffersonstarshipsf.com/"} +{"d:Title": "Journal of Trionic Physics", "d:Description": "Internet resource for fans of Jefferson Airplane, Jefferson Starship, Hot Tuna, and their historical permutations.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson_Airplane", "url": "http://mysite.verizon.net/trionic/trionic/toppage.html"} +{"d:Title": "Jefferson Airplane News: Topix", "d:Description": "News about Jefferson Airplane continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson_Airplane", "url": "http://www.topix.com/who/jefferson-airplane"} +{"d:Title": "Rock and Roll Hall of Fame: Jefferson Airplane", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson_Airplane", "url": "http://rockhall.com/inductees/jefferson-airplane"} +{"d:Title": "RollingStone.com: Jefferson Airplane", "d:Description": "Includes a biography, discography/album reviews, message boards, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jefferson_Airplane", "url": "http://www.rollingstone.com/music/artists/jefferson-airplane"} +{"d:Title": "Naked People", "d:Description": "Official fan site. Contains news, photographs, audio samples and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jennie_DeVoe_Band,_The", "url": "http://jenniedevoefan.homestead.com/"} +{"d:Title": "Jennifer Nettles Band", "d:Description": "Official website. Biography, tour dates, merchandise, contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jennifer_Nettles_Band", "url": "http://www.jennifernettles.com/"} +{"d:Title": "Jennings, Mason", "d:Description": "Official site of the Minneapolis musician. Includes press reviews and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jennings,_Mason", "url": "http://www.masonjennings.com/"} +{"d:Title": "Bird Wings Beat", "d:Description": "A Mason Jennings fansite with lyrics, tabs, discography, news, links and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jennings,_Mason", "url": "http://www.birdwingsbeat.com/"} +{"d:Title": "Jeremiah", "d:Description": "Official site. Features recent news, biographies, discography, appearances, lyrics, and an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jeremiah", "url": "http://jeremiah.ph.tripod.com/"} +{"d:Title": "Jericho Road", "d:Description": "Official site with biographies, schedule, news, song clips, lyrics, videos, downloads and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jericho_Road", "url": "http://www.jerichoroadmusic.com/"} +{"d:Title": "Official Jesus Jones Site", "d:Description": "News, sample songs and videos, discography, photos, and information on the members' current activities.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jesus_Jones", "url": "http://www.jesusjones.com/"} +{"d:Title": "Jesus Jones, and more Jesus Jones", "d:Description": "News, discography, articles, interviews, and downloads. Also includes a members' only area.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jesus_Jones", "url": "http://www.cmapworld.org/"} +{"d:Title": "The Jesus Jones Archive", "d:Description": "Includes articles, photos, memorabilia, releases and rare tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jesus_Jones", "url": "http://www.jesusjonesarchive.info/"} +{"d:Title": "Ministry of Information", "d:Description": "The Jethro Tull Tour History - schedules, setlists and further information. Also the annotated 'Passion Play', and CD-R trading.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.ministry-of-information.co.uk/"} +{"d:Title": "The Official Jethro Tull Website", "d:Description": "News, concert dates, biographies, diary pages, photos, press kit, merchandise, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.j-tull.com/"} +{"d:Title": "Collecting Jethro Tull", "d:Description": "A large archive with discography (including bootlegs), lyrics, history, articles, news, auction, trading, photos, and family tree.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.collecting-tull.com/"} +{"d:Title": "Cup of Wonder", "d:Description": "Lyrics of the band's songs, including annotations, references, essays, song and album lists, links, and search engine.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.cupofwonder.com/"} +{"d:Title": "Tullpress", "d:Description": "Hundreds of original press articles, interviews and photographs covering the complete history of Jethro Tull.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.tullpress.com/"} +{"d:Title": "Martin Barre", "d:Description": "The official site of the band's long-serving guitarist, featuring background information and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.martinbarre.com/"} +{"d:Title": "Laufi's Jethro Tull World", "d:Description": "An authoritative news source, plus reviews, video clips and further resources.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.laufi.de/tull/e/index.html"} +{"d:Title": "Jethro Tull Guitar tabs", "d:Description": "Tabs for a selection of the band's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.xguitar.com/guitar-tabs/jethro_tull/"} +{"d:Title": "Jethro Tull At The Milarus Mansion", "d:Description": "Links to band related sites. Somewhat out of date, but useful.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://home.cogeco.ca/~mansion/tull1.html"} +{"d:Title": "Topix: Jethro Tull", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.topix.com/who/jethro-tull"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Jethro Tull", "d:Description": "Reviews and analysis of five Tull albums.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://sfloman.com/jethrotull.html"} +{"d:Title": "The Jethro Tull Archive", "d:Description": "Information about CDs, vinyl, video and promotional items.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.electrocutas.co.uk/"} +{"d:Title": "MTV.com: Jethro Tull", "d:Description": "News, album reviews, audio downloads, biographies on Ian Anderson and other band members. Discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.mtv.com/artists/jethro-tull/"} +{"d:Title": "Songsterr: Jethro Tull", "d:Description": "Tabs for a selection of the band's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull", "url": "http://www.songsterr.com/a/wsa/jethro-tull-tabs-a3162?inst=bass"} +{"d:Title": "Living in the Past", "d:Description": "Web home of the Cleveland-based Jethro Tull tribute band. Includes audio, photos, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull/Tribute_Bands", "url": "http://www.livinginthepast.4t.com/"} +{"d:Title": "Cold Flame", "d:Description": "Tribute act based in Northern England, UK.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jethro_Tull/Tribute_Bands", "url": "http://cold-flame.co.uk/"} +{"d:Title": "All Music Guide: The Jets", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jets,_The", "url": "http://www.allmusic.com/artist/the-jets-mn0000089306"} +{"d:Title": "Joan Jett and the Blackhearts", "d:Description": "Joan's official home page with news, bio, discography, lyrics, MP3s, photos, and forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jett,_Joan", "url": "http://www.joanjett.com/"} +{"d:Title": "Joan Jett Rocks", "d:Description": "NY Rock's review of a Joan Jett concert in Danbury, CT, 1997. With pictures and an audio clip.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jett,_Joan", "url": "http://www.nyrock.com/jett.htm"} +{"d:Title": "Joan Jett WebArt Gallery", "d:Description": "A fan's collection of photos and his own drawings. Plus various other Joan Jett items.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jett,_Joan", "url": "http://home.swipnet.se/~w-77796/JJWebArt/index.htm"} +{"d:Title": "A.V. Club: Joan Jett", "d:Description": "The Onion's interview with Joan Jett. Joan talks about her work with The Germs and The Gits.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jett,_Joan", "url": "http://www.avclub.com/articles/joan-jett,13501/"} +{"d:Title": "Rolling Stone: Joan Jett", "d:Description": "Biography, articles, album guide, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jett,_Joan", "url": "http://www.rollingstone.com/music/artists/joan-jett"} +{"d:Title": "Joan Jett Concert Review", "d:Description": "A fan site with recaps of two Joan Jett concerts. With photos, play lists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jett,_Joan", "url": "http://stefan317.tripod.com/jett.html"} +{"d:Title": "Joan Jett - Queen of Rock", "d:Description": "Fan site with a large real audio collection, news, lyrics, photos, tour dates, forum, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jett,_Joan", "url": "http://machismo.tripod.com/"} +{"d:Title": "Canoe.ca: Jet Set Satellite", "d:Description": "A collection of articles about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jet_Set_Satellite", "url": "http://jam.canoe.com/Music/Artists/J/Jet_Set_Satellite/"} +{"d:Title": "Jewel", "d:Description": "Official site includes up-to-date schedule and information, merchandise, photos, biography, FAQ, poetry, and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.jeweljk.com/"} +{"d:Title": "Everyday Angel Haven", "d:Description": "A webring for fans of the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.angelfire.com/yt/eda/"} +{"d:Title": "Absolute Divas: Jewel", "d:Description": "Contains song lyrics, pictures, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.absolutedivas.com/jewel/"} +{"d:Title": "MiRea's - Jewel's Realm of Mega Links", "d:Description": "List containing 200+ working links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.angelfire.com/realm/mirea/jewel/"} +{"d:Title": "Pop Entertainment.com: Jewel-Portrait of a Young Artist", "d:Description": "Jewel discusses her career and her art with Jay S. Jacobs of popentertainment.com.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.popentertainment.com/jewel.htm"} +{"d:Title": "Topix: Jewel", "d:Description": "News updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.topix.com/who/jewel"} +{"d:Title": "All Music Guide: Jewel", "d:Description": "Includes biography, discography, profile, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.allmusic.com/artist/jewel-p22611"} +{"d:Title": "AskMen.com: Jewel Kilcher", "d:Description": "Collection of pictures, biography, links and information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.askmen.com/celebs/women/singer/7_jewel_kilcher.html"} +{"d:Title": "MTV.com: Jewel", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel", "url": "http://www.mtv.com/artists/jewel/"} +{"d:Title": "Foolish Games", "d:Description": "Features a biography, lyrics, audio and video files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Fan_Pages", "url": "http://www.foolishgames.com/"} +{"d:Title": "A Jewel of a Site", "d:Description": "Irish fan site with an image gallery, biography, poetry, videos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Fan_Pages", "url": "http://www.iol.ie/~mickeyv/"} +{"d:Title": "Life Uncommon", "d:Description": "Includes articles, pictures, lyrics, poetry, and chat transcript.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Fan_Pages", "url": "http://lifeuncommon.tripod.com/"} +{"d:Title": "EveryDay Angels", "d:Description": "A netiquette and new member guide for the official Jewel (Kilcher) mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Fan_Pages", "url": "http://www.quackquack.net/jewel/"} +{"d:Title": "Jewel Kilcher Fansite", "d:Description": "Fan site includes discography, lyrics, wallpaper, and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Fan_Pages", "url": "http://jewel.dudal.com/"} +{"d:Title": "Jewel - Pieces of UK", "d:Description": "Includes TV/radio/magazine appearances, available CDs, and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Fan_Pages", "url": "http://www.jewelfan.talktalk.net/Jewel/"} +{"d:Title": "Jewel Gallery", "d:Description": "Picture gallery categorized by type.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Image_Galleries", "url": "http://www.jewelgallery.nu/"} +{"d:Title": "mxdpi: Jewel", "d:Description": "Thumbnailed galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Image_Galleries", "url": "http://www.mxdpi.com/pictures/MUSIC/Jewel"} +{"d:Title": "Music-Critic.com: Jewel - 0304", "d:Description": "Clint Poole's review: \"a solid, fun pop-album in line with the best works of Britney, Shakira, and the modern Madonna.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Reviews/0304", "url": "http://www.music-critic.com/pop/jewel_0304.htm"} +{"d:Title": "PopEntertainment.com: Jewel - 0304", "d:Description": "Alex Diamond's review: \"listen to the album with fresh ears, instead of comparing it to her past, and you'll most likely enjoy it.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Reviews/0304", "url": "http://www.popentertainment.com/jewel2.htm"} +{"d:Title": "CANOE: Jewel - 0304", "d:Description": "Darryl Sterdan's review: \"the bulk of 0304 isn't going to save her soul -- or anyone else's.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Reviews/0304", "url": "http://jam.canoe.com/Music/Artists/J/Jewel/AlbumReviews/2003/06/05/771159.html"} +{"d:Title": "Music-Critic.com: Jewel - Spirit", "d:Description": "Mark Feldman's review: \"Jewel has matured considerably, and the lack of overproduction is a pleasant surprise.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Reviews/Spirit", "url": "http://www.music-critic.com/pop/jewel_spirit.htm"} +{"d:Title": "Daily Nexus Online: Jewel - This Way", "d:Description": "Eric Lister's review: \"There are some really great tracks hidden.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jewel/Reviews/This_Way", "url": "http://www.dailynexus.com/2001-11-29/jewel-this-way-atlantic-records/"} +{"d:Title": "Dallas Observer: Doesn't Jibe", "d:Description": "News article about lead singer Joe Grah quitting the band and moving to Los Angeles.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jibe", "url": "http://www.dallasobserver.com/2004-06-10/music/doesn-t-jibe/"} +{"d:Title": "Jimmie's Chicken Shack", "d:Description": "Official site includes tour dates, message board, biographies, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmie's_Chicken_Shack", "url": "http://www.jimmieschickenshack.net/"} +{"d:Title": "WebRing: Jimmie's Chicken Shack", "d:Description": "A webring for sites about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmie's_Chicken_Shack", "url": "http://www.webring.org/hub?ring=jcshack"} +{"d:Title": "MTV Online: Jimmie's Chicken Shack", "d:Description": "Features news, biography, discography, and a music video.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmie's_Chicken_Shack", "url": "http://www.mtv.com/artists/jimmies-chicken-shack/"} +{"d:Title": "ArtistDirect: Jimmy Eat World", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World", "url": "http://www.artistdirect.com/artist/jimmy-eat-world/543459"} +{"d:Title": "MTV.com: Jimmy Eat World", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World", "url": "http://www.mtv.com/artists/jimmy-eat-world/"} +{"d:Title": "Crud Magazine: Jimmy Eat World Interview", "d:Description": "Band interview with an article and review of the album \"Bleed Amercica\".", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World/Articles_and_Interviews", "url": "http://www.2-4-7-music.com/newsitems/nov01/jimmyeatworld.asp"} +{"d:Title": "Lazyeye Interview: Jimmy Eat World", "d:Description": "Photographs, history, and interview with Jim Adkins by Tim McMahan.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World/Articles_and_Interviews", "url": "http://www.timmcmahan.com/jimmyeatworld.htm"} +{"d:Title": "Jimmy Eat World/Jets to Brazil", "d:Description": "Review by Nathan Cross of a show at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World/Articles_and_Interviews", "url": "http://www.angelfire.com/rock2/rollupandshine/concertreviews/jew100100.html"} +{"d:Title": "Jimmy Eat World Band Chat", "d:Description": "Transcript of an IRC chat with two members of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World/Articles_and_Interviews", "url": "http://www.angelfire.com/az2/jew/live.html"} +{"d:Title": "Ink Nineteen: Jimmy Eat World", "d:Description": "Review and pictures from a show at Echo Lounge in Atlanta, Georgia. By Roi Tamkin.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World/Articles_and_Interviews", "url": "http://www.ink19.com/issues_F/99_06/live/025_jimmy_eat_world.shtml"} +{"d:Title": "Jimmy Eat World Tour", "d:Description": "By Vincent Abbate. Tells the story of the band during a tour.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jimmy_Eat_World/Articles_and_Interviews", "url": "http://3ammagazine.com/magazine/issue_5/articles/msf_jimmy_eat_world.html"} +{"d:Title": "JJ72.org", "d:Description": "JJ72 fan community with lyrics, tablatures, pictures, news and gig reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/JJ72", "url": "http://www.jj72.org/"} +{"d:Title": "All Music Guide: JoBoxers", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/JoBoxers", "url": "http://www.allmusic.com/artist/joboxers-p18531"} +{"d:Title": "MTV: JoBoxers", "d:Description": "Biography, discography, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/JoBoxers", "url": "http://www.mtv.com/artists/joboxers/"} +{"d:Title": "All Music Guide: Beau Jocque", "d:Description": "Provides a biography, discography, photo, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jocque,_Beau", "url": "http://www.allmusic.com/artist/beau-jocque-p42969"} +{"d:Title": "Beau Jocque", "d:Description": "Personal tribute offers one fan's memories of the artist, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jocque,_Beau", "url": "http://daween.tripod.com/bjocque.html"} +{"d:Title": "Jodecidal Fanatics Webring", "d:Description": "A listing of sites about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jodeci", "url": "http://www.angelfire.com/co2/cooky/jodeciwebring.html"} +{"d:Title": "MTV.com: Jodeci", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jodeci", "url": "http://www.mtv.com/artists/jodeci/"} +{"d:Title": "Billy Joel", "d:Description": "Official website at Columbia Records.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "http://www.billyjoel.com/"} +{"d:Title": "We Didn't Start the Fire", "d:Description": "The true history behind this song. Links within the lyrics to explain Joel's references to 20th century events.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "http://www.teacheroz.com/fire.htm"} +{"d:Title": "Billy Joel", "d:Description": "Filmography including acting, singing, and composing credits with biography, awards, and related links from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "http://www.imdb.com/name/nm0005055/"} +{"d:Title": "Billy Joel", "d:Description": "Wikipedia article outlining his life and career with influences, personal details, including marriages, discography with albums and hit singles, photograph, internal references to related people and topics, and external links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "http://en.wikipedia.org/wiki/Billy_Joel"} +{"d:Title": "Billy Joel Fan.com", "d:Description": "News, discography, lyrics, videography, image gallery, tour dates archive, classical music, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "http://www.billyjoelfan.com/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "http://rockhall.com/inductees/billy-joel/"} +{"d:Title": "AskMen.com - Billy Joel", "d:Description": "Feature includes pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "http://www.askmen.com/celebs/men/entertainment_150/173_billy_joel.html"} +{"d:Title": "Billy Joel", "d:Description": "Official credits, awards and nominations, and details about his work as composer, orchestrator, and lyricist on Movin' Out. From the Internet Broadway Database.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy", "url": "https://www.ibdb.com/broadway-cast-staff/billy-joel-95936"} +{"d:Title": "Billy Joel's Commencement Address at Berklee", "d:Description": "Transcript of speech from May 1993.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy/Articles_and_Interviews", "url": "http://www.berklee.edu/commencement/past/bjoel.html"} +{"d:Title": "Garrett Rhodes' Unoriginal Billy Joel Fan Page", "d:Description": "Profile and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy/Fan_Pages", "url": "http://www.angelfire.com/nf/billyjoel/billyjoel.html"} +{"d:Title": "RollingStone.com: Billy Joel", "d:Description": "Includes a biography, photo gallery, webcasts, discography, album reviews, news articles, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy/Resources", "url": "http://www.rollingstone.com/music/artists/billy-joel"} +{"d:Title": "MTV: Billy Joel", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy/Resources", "url": "http://www.mtv.com/artists/billy-joel/"} +{"d:Title": "Entertainment Ave: Billy Joel", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/j/billy_joel/bj112298.htm"} +{"d:Title": "BIG SHOT, Premier Billy Joel Tribute Band", "d:Description": "from Long Island , NY is fronted by renowned vocalist/pianist Michael Delguidice, featuring, on drums Sal DeVitto, brother of the famous Liberty DeVitto drummer for Billy Joel himself.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joel,_Billy/Tribute_Bands", "url": "http://www.bigshottributeband.com/"} +{"d:Title": "Peermusic: Joe 90", "d:Description": "Profile from their record label includes sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joe_90", "url": "http://www.peermusic.com/peermusic/index.cfm/artist-writer/artist-details/?artist_id=38"} +{"d:Title": "The Many Faces of Elton John", "d:Description": "Photographs and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.angelfire.com/wa/jwfk/elton.html"} +{"d:Title": "Hercules International", "d:Description": "An independent club for devoted Elton John fans.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.eltonfan.com/"} +{"d:Title": "Elton John AIDS Foundation", "d:Description": "An international non-profit organization funding direct patient care services and AIDS prevention education.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.ejaf.org/"} +{"d:Title": "Elton John", "d:Description": "The official Elton John Web site, with information, news, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.eltonjohn.com/"} +{"d:Title": "The Illustrated Elton John Discography", "d:Description": "Includes complete listings of Elton John lyrics, US and UK singles (stock and promotional issues), bootleg CDs, and imports.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.eltonography.com/"} +{"d:Title": "Elton John Discussion List", "d:Description": "Get in on the action and exchange news with other Elton fans.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://groups.yahoo.com/group/eltonjohn/"} +{"d:Title": "Elton Video", "d:Description": "Fan offering videos for sale. Includes details of collection, and price list.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://eltonvideo.tripod.com/elton.htm"} +{"d:Title": "Entertainment Ave: Elton John", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/j/elton_john/ej082898.htm"} +{"d:Title": "OldieLyrics: Elton John", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.oldielyrics.com/e/elton_john.html"} +{"d:Title": "Elton John World", "d:Description": "Online fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.eltonjohnworld.com/"} +{"d:Title": "Elton John", "d:Description": "Wikipedia article with musical career and style, personal life, quotations, discography, hit singles, internal references to related people and topics, external links, and photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://en.wikipedia.org/wiki/Elton_John"} +{"d:Title": "EltonChords.com", "d:Description": "A catalog of Elton John piano chords.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.eltonchords.com/"} +{"d:Title": "Elton John News: Topix", "d:Description": "News about Elton John continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.topix.com/who/elton-john"} +{"d:Title": "Elton John Album Reviews", "d:Description": "Reviews and analysis of Elton John's early albums.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.sfloman.com/eltonjohn.html"} +{"d:Title": "Rock and Roll Hall of Fame: Elton John", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.rockhall.com/inductees/elton-john"} +{"d:Title": "Elton Fan", "d:Description": "The latest Elton John news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.eltonfan.net/"} +{"d:Title": "RollingStone.com: Elton John", "d:Description": "Includes biography, photo gallery, album reviews, message boards, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.rollingstone.com/music/artists/elton-john"} +{"d:Title": "AskMen.com: Elton John", "d:Description": "Biography and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.askmen.com/celebs/men/entertainment_60/94_elton_john.html"} +{"d:Title": "The Elton John Forum", "d:Description": "A place for Elton John fans.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://bb.bbboy.net/eltonjohncentral-welcome"} +{"d:Title": "MTV: Elton John", "d:Description": "Album reviews, music news, audio downloads, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://www.mtv.com/artists/elton-john/"} +{"d:Title": "Elton John", "d:Description": "Official credits, awards and nominations, and list of shows from the Internet Broadway Database.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "https://www.ibdb.com/broadway-cast-staff/elton-john-11938"} +{"d:Title": "Cytopia's Dedication to Elton John", "d:Description": "An Elton fan's reminiscence.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton", "url": "http://cytopia.tripod.com/ELTONJOHN.html"} +{"d:Title": "IMDb: Elton John", "d:Description": "Filmography as composer, songwriter, performer, producer, actor, singer, and as himself; with awards and biography from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton/Movies", "url": "http://www.imdb.com/name/nm0005056/"} +{"d:Title": "Mason, Joel", "d:Description": "Official site of the Elton John tribute artist. Features photos, audio, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton/Tribute_Acts", "url": "http://www.eltonjoel.com/"} +{"d:Title": "Lee Alverson", "d:Description": "Biography, audio and video clips, and pictures of the Pennsylvania-based tribute artist.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton/Tribute_Acts", "url": "http://www.alversonentertainment.com/rocketman.html"} +{"d:Title": "Elton Experience", "d:Description": "Graham Nash's tribute act, performing across Europe.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton/Tribute_Acts", "url": "http://www.eltonexperience.com/"} +{"d:Title": "Tribute to Elton John", "d:Description": "Yellow Brick Road is a full band tribute with the costumes, lighting and sound of an actual Elton John concert.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton/Tribute_Acts", "url": "http://www.tributetoeltonjohn.com/"} +{"d:Title": "Rocket Man", "d:Description": "An Elton John show based in Tampa, Florida.", "topic": "Top/Arts/Music/Bands_and_Artists/J/John,_Elton/Tribute_Acts", "url": "http://www.maximumbands.com/rocket_man.html"} +{"d:Title": "Andreas_Johnson", "d:Description": "Official site: pictures, biography, discography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnson,_Andreas", "url": "http://www.andreas-johnson.com/"} +{"d:Title": "Andreas 'The Poet' Johnson", "d:Description": "Includes biography, discography, lyrics, photographs, history, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnson,_Andreas", "url": "http://digilander.libero.it/thepoetsite/Index.html"} +{"d:Title": "Greg Johnson", "d:Description": "Official site with news, biography, discography, audio samples, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnson,_Greg", "url": "http://gregjohnsonmusic.com/"} +{"d:Title": "Jack Johnson", "d:Description": "The official site with tour dates and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnson,_Jack", "url": "http://www.jackjohnsonmusic.com/"} +{"d:Title": "All Music Guide: Lazy Lester", "d:Description": "Biography, discography, related artists, and blues harmonica and music maps.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnson,_Lazy_Lester", "url": "http://www.allmusic.com/artist/lazy-lester-p414"} +{"d:Title": "Soundclick: Puff Johnson", "d:Description": "Features biography, audio files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnson,_Puff", "url": "http://www.soundclick.com/bands/default.cfm?bandID=3610"} +{"d:Title": "AskMen.com: Syleena Johnson", "d:Description": "Pictures, commentary, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnson,_Syleena", "url": "http://www.askmen.com/celebs/women/singer_150/167_syleena_johnson.html"} +{"d:Title": "Ana Johnsson", "d:Description": "Italian fan site with news, biography, discography, audio clips, lyrics, avatars, photographs, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnsson,_Ana", "url": "http://www.lestodante.com/ana/"} +{"d:Title": "Hi, How Are You", "d:Description": "Biography, discography, press, tour dates, merchandise, and fan mail.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnston,_Daniel", "url": "http://www.hihowareyou.com/"} +{"d:Title": "Daniel Johnston", "d:Description": "Official site, including biography, tour information, audio/video clips, discography, online store, artwork, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnston,_Daniel", "url": "http://www.rejectedunknown.com/"} +{"d:Title": "Austin Chronicle: Daniel Johnston", "d:Description": "Story with biographical and recording information, as well as art samples.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnston,_Daniel", "url": "http://www.austinchronicle.com/issues/vol18/issue51/music.johnston.html"} +{"d:Title": "Museum of Love", "d:Description": "Art catalog, introduction, merchandise, MP3, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnston,_Daniel", "url": "http://jefftar.fatcow.com/museumoflove/"} +{"d:Title": "Insomnia Avenue: An Unofficial Freedy Johnston Website", "d:Description": "Features tour dates, image gallery, lyrics, discography, FAQ, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnston,_Freedy", "url": "http://www.marybethpinto.com/freedy/"} +{"d:Title": "Freedy Johnston", "d:Description": "Official site includes news, tour dates, photos, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Johnston,_Freedy", "url": "http://www.freedyjohnston.com/"} +{"d:Title": "Joi [Real World]", "d:Description": "Joi audio, video, VR, MP3s from Real World Records.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joi", "url": "http://www.realworldrecords.com/artists/joi"} +{"d:Title": "JoJo's Official Web Site", "d:Description": "Includes pictures, wallpapers, AIM icons, news, and a short biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/JoJo", "url": "http://www.jojoonline.com/"} +{"d:Title": "AskMen.com: JoJo", "d:Description": "Features pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/JoJo", "url": "http://www.askmen.com/celebs/women/singer_250/255_jojo.html"} +{"d:Title": "We Are the Mods", "d:Description": "Fan site with a biography, articles, photographs, guitar chords, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Brian", "url": "http://members.tripod.com/~redrooster_2/"} +{"d:Title": "Brian Jones Most Precious Stone", "d:Description": "Fan site with biography, articles, photographs, and poetry.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Brian", "url": "http://www.angelfire.com/rock2/hotstuff/images/"} +{"d:Title": "Brian Jones 1942-1969", "d:Description": "Fan site with a biography, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Brian", "url": "http://www.beatzenith.com/the_rolling_stones/bjones.htm"} +{"d:Title": "Child Of The Moon", "d:Description": "History, artwork, photos, fan stories, chat, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Brian", "url": "http://brianjones.galeon.com/"} +{"d:Title": "The Brian Jones Experience", "d:Description": "Image galleries, biography, quotes, album list, tributes and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Brian", "url": "http://brianjonesy.tripod.com/"} +{"d:Title": "Yahoo! Clubs: Donell Jones", "d:Description": "Chat room and message board where fans may discuss their opinions on the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Donell", "url": "http://groups.yahoo.com/group/donelljones/"} +{"d:Title": "Howard Jones Information Network", "d:Description": "Features news, calendar of events, email newsletters, discography, videography, lyrics, concert photos, and article archive.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Howard", "url": "http://www.howardjones.com/"} +{"d:Title": "Dawn's Howard Jones Page", "d:Description": "Includes concert photos, memorabilia, fan experiences, guestbook and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Howard", "url": "http://myweb.tiscali.co.uk/howardjones/"} +{"d:Title": "MTV: Howard Jones", "d:Description": "Contains video clips, photo gallery, news, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Howard", "url": "http://www.mtv.com/artists/howard-jones/"} +{"d:Title": "Norah Jones Music", "d:Description": "Official page for the singer who majored in jazz piano, and also plays soul, blues, and folk-based pop. Audio files, show dates, photos, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Norah", "url": "http://www.norahjones.com/"} +{"d:Title": "Yahoo Groups: Miss Jones 2", "d:Description": "Fan e-mail group with pictures, videos and press. [Membership required.]", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Norah", "url": "http://groups.yahoo.com/group/missjones2/"} +{"d:Title": "Norah Jones News: Topix", "d:Description": "News about Norah Jones continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Norah", "url": "http://www.topix.com/who/norah-jones"} +{"d:Title": "Topix: Norah Jones", "d:Description": "News about Norah Jones, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Norah", "url": "http://www.topix.com/rss/who/norah-jones.xml"} +{"d:Title": "AskMen.com: Norah Jones", "d:Description": "Pictures, biography, and commentary about Jones's career.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Norah", "url": "http://www.askmen.com/celebs/women/singer_100/149_norah_jones.html"} +{"d:Title": "Norah Jones Music Archive", "d:Description": "Guide to her live gigs and recording sessions, along with message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Norah", "url": "http://www.nojogigs.com/"} +{"d:Title": "Rickie Lee Jones", "d:Description": "Official site with news, reviews, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee", "url": "http://www.rickieleejones.com/"} +{"d:Title": "Ectophiles' Guide: Rickie Lee Jones", "d:Description": "Includes detailed discography with comments.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee", "url": "http://ectoguide.org/artists/jones.rickie.lee"} +{"d:Title": "Wikipedia: Rickie Lee Jones", "d:Description": "Includes profile, discography, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee", "url": "http://en.wikipedia.org/wiki/Rickie_Lee_Jones"} +{"d:Title": "Always on the Run: Rickie Lee Jones", "d:Description": "Profile and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee", "url": "http://www.alwaysontherun.net/rickie.htm"} +{"d:Title": "IMDb: Rickie Lee Jones", "d:Description": "Acting and musical filmographies.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee", "url": "http://www.imdb.com/name/nm0429122/"} +{"d:Title": "Salon: Interview", "d:Description": "A conversation with Rickie Lee Jones by Mark Miller.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee", "url": "http://www.salon.com/2000/10/16/r_l_jones/"} +{"d:Title": "Wilson&Alroy's Record Reviews: Rickie Lee Jones", "d:Description": "Reviews of all her CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee/Reviews", "url": "http://www.warr.org/rlj.html"} +{"d:Title": "WestNet: Ghostyhead", "d:Description": "A review by Joe Silva of the album that \"makes for adventurous, if only occasionally less than engaging, listening.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee/Reviews/Ghostyhead", "url": "http://www.westnet.com/consumable/1997/09.01/revjones.html"} +{"d:Title": "JAM: It's Like This", "d:Description": "A positive review by Jane Stevenson.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee/Reviews/It's_Like_This", "url": "http://jam.canoe.com/Music/Artists/J/Jones_Rickie_Lee/AlbumReviews/2000/10/15/771176.html"} +{"d:Title": "MetroActive Arts: Bedroom of a Saint", "d:Description": "A review by Ami Chen Mills of the album of which the \"songs, so bare, are poignant, melodic and inventive.\"", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Rickie_Lee/Reviews/Naked_Songs", "url": "http://www.metroactive.com/papers/metro/10.26.95/rickie-9543.html"} +{"d:Title": "Find a Grave: Lindley Armstrong \"Spike\" Jones", "d:Description": "Biography and information on his grave.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Spike", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1543"} +{"d:Title": "IMDb: Spike Jones", "d:Description": "Includes filmography and biographical trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Spike", "url": "http://www.imdb.com/name/nm0005066/"} +{"d:Title": "Wikipedia: Spike Jones", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Spike", "url": "http://en.wikipedia.org/wiki/Spike_Jones"} +{"d:Title": "All Music Guide: Spike Jones", "d:Description": "Includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Spike", "url": "http://www.allmusic.com/artist/spike-jones-mn0000744548"} +{"d:Title": "Tom Jones", "d:Description": "Official site with exclusive news and music, live dates, videos, discography and archive.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Tom", "url": "http://www.tomjones.com/"} +{"d:Title": "Yesterday, Today, This is Tom Jones", "d:Description": "Discography, records collection, drawings, articles, shows, photos, news and many links. In English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Tom", "url": "http://www.angelfire.com/ma/Alexanderjohn/index.html"} +{"d:Title": "Cosmopolis: Tom Jones", "d:Description": "Biography and CD review of Reload.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Tom", "url": "http://www.cosmopolis.ch/english/cosmo8/tomjones.htm"} +{"d:Title": "Topix: Tom Jones", "d:Description": "News about Tom Jones continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Tom", "url": "http://www.topix.com/who/tom-jones"} +{"d:Title": "Harmik", "d:Description": "Las Vegas based impersonator and entertainer. Site provides a biography, image gallery, lyrics, RealAudio and RealVideo clips, appearance dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Tom/Impersonators", "url": "http://www.tomjonesclone.com/"} +{"d:Title": "Anthony, Ian", "d:Description": "U.K. tribute artist. Audio and video samples, pictures, biography and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jones,_Tom/Impersonators", "url": "http://www.iananthony.com/"} +{"d:Title": "Yahoo! Groups: Jon B Fans Club", "d:Description": "A place for fans to chat or post messages.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jon_B.", "url": "http://groups.yahoo.com/group/jonbfansclub/"} +{"d:Title": "MTV.com: Jon B.", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jon_B.", "url": "http://www.mtv.com/artists/jon-b/"} +{"d:Title": "Cool Relax - The Jon B. Shrine", "d:Description": "A fan site with a biography, chart status, tour dates, lyrics, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jon_B.", "url": "http://coolrelax.tripod.com/index.html"} +{"d:Title": "Unofficial Jon B. Homepage", "d:Description": "A brief fan page featuring links, news, a photo gallery, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jon_B.", "url": "http://invizible.tripod.com/JONB.html"} +{"d:Title": "Honest Tune: Going \"Way Out\" with Jon Spencer", "d:Description": "Feature interview about Heavy Trash. (July 31, 2007)", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jon_Spencer_Blues_Explosion", "url": "http://www.honesttune.com/going-way-out-with-jon-spencer/"} +{"d:Title": "MTV Online - John Spencer Blues Explosion", "d:Description": "Music videos in RealVideo, feature article and chat transcript.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jon_Spencer_Blues_Explosion", "url": "http://www.mtv.com/artists/the-jon-spencer-blues-explosion/"} +{"d:Title": "Official Janis", "d:Description": "Family album, produced by Joplin's estate and family.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://www.officialjanis.com/"} +{"d:Title": "Joplin - The Movie", "d:Description": "Cast, news and press releases, production company contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://joplinthemovie.com/"} +{"d:Title": "Janis Joplin's Kozmic Blues", "d:Description": "Extensive collection of photographs and posters, friends and influences, postcards, biography, discography, lyrics, articles, news, films, quotes, art, coroner's report, chat, message board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://www.janisjoplin.net/"} +{"d:Title": "Janis Joplin", "d:Description": "Detailed band history, lyrics, audio files, recording studio sessionography, books, radio/video listings.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://smironne.free.fr/JANIS/JOPLIN/index.html"} +{"d:Title": "Washington Post: Janis Joplin: A Cry Cutting Through Time", "d:Description": "An article about how Janis is \"able to haunt us yet, with a little piece of her heart\" which includes excerpts from \"Love, Janis\", a book written by her sister, Laura.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://www.washingtonpost.com/wp-srv/style/features/joplin.htm"} +{"d:Title": "RollingStone.com: Janis Joplin", "d:Description": "Biography, photo gallery, audio/video, webcasts, discography, album reviews, news articles, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://www.rollingstone.com/music/artists/janis-joplin"} +{"d:Title": "Rock and Roll Hall of Fame: Janis Joplin", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://rockhall.com/inductees/janis-joplin/"} +{"d:Title": "Janis Joplin Ring", "d:Description": "List sites, option to join the webring.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://www.webring.org/hub?ring=janisring"} +{"d:Title": "Big Brother and the Holding Company", "d:Description": "The official site for the band which made Janis Joplin famous.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis", "url": "http://www.bbhc.com/"} +{"d:Title": "Theodores, Laura", "d:Description": "Blues and jazz artist who has been signed by Cinnamon productions to start in the upcoming film \"Joplin\".", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis/Impersonators", "url": "http://lauratheodore.com/"} +{"d:Title": "Southern Comfort", "d:Description": "Song list, audio samples, and performance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joplin,_Janis/Tribute_Bands", "url": "http://www.mindspring.com/~mysticgryphon/comfort.htm"} +{"d:Title": "Sass Jordan", "d:Description": "Official site. Features news, biography, discography, tour information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jordan,_Sass", "url": "http://www.sassjordan.com/"} +{"d:Title": "ArtistDirect: Sass Jordan", "d:Description": "Includes biography, message board, and a listening room.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jordan,_Sass", "url": "http://www.artistdirect.com/artist/sass-jordan/450677"} +{"d:Title": "All Music Guide: Sass Jordan", "d:Description": "Includes profile, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jordan,_Sass", "url": "http://www.allmusic.com/artist/sass-jordan-p23528"} +{"d:Title": "Canoe: Sass Jordan", "d:Description": "The Canadian Online Explorer's collection of articles about Sass Jordan.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jordan,_Sass", "url": "http://jam.canoe.com/Music/Artists/J/Jordan_Sass/"} +{"d:Title": "In Music We Trust : Josh Dodes Band", "d:Description": "Interview with Dodes about the Band on the Run experience.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Josh_Dodes_Band", "url": "http://www.inmusicwetrust.com/articles/40h06.html"} +{"d:Title": "Journey Tribute", "d:Description": "Includes FAQ, news, discography, audio and video files, pictures, wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://www.journey-tribute.com/"} +{"d:Title": "Journey's Official Site", "d:Description": "Official site. Features current news, a biography, discography, lyrics, tour dates, merchandise, and information on the fan club. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://www.journeymusic.com/"} +{"d:Title": "Rockmagic.net: Journey", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://lyrics.rockmagic.net/lyrics/journey/"} +{"d:Title": "Journey Loaded", "d:Description": "Fan fiction and art, the band's and Steve Perry's discographies and lyrics, and videography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://journeyloaded.com/"} +{"d:Title": "The Journey Library", "d:Description": "A complete fanfiction archive, along with photos of the band and individual members.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://gekizetsu.net/library/"} +{"d:Title": "Neal Schon's Official Site", "d:Description": "Journey guitarist Neal Schon's official website.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://www.schonmusic.com/"} +{"d:Title": "RollingStone.com: Journey", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://www.rollingstone.com/music/artists/journey"} +{"d:Title": "SRP and JRNY Fanfiction", "d:Description": "Collection of fan-written stories about Steve Perry and other members of the band, including a police detective series.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://srpandjrnyfanfiction.bravehost.com/"} +{"d:Title": "The Journey Zone", "d:Description": "Tour dates, interviews, news, reviews and editorials about the band and related acts.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://www.journey-zone.com/"} +{"d:Title": "MTV.com: Journey", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey", "url": "http://www.mtv.com/artists/journey/"} +{"d:Title": "Worlds Apart", "d:Description": "New York based Journey tribute band. Features song list, member profiles, song clips, and gig calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey/Tribute_Bands", "url": "http://www.worldsapartny.com/"} +{"d:Title": "Raised On Radio", "d:Description": "Philadelphia band. Photos, schedule, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey/Tribute_Bands", "url": "http://www.raisedonradio.com/"} +{"d:Title": "Lovin' Touchin' Squeezin'", "d:Description": "Philadelphia tribute band available for events. News, shows, band profiles, pictures, audio and booking contact.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Journey/Tribute_Bands", "url": "http://www.lovintouchinsqueezin.com/"} +{"d:Title": "Kris with a K's Joydrop Site", "d:Description": "Official Joydrop Chat Network, a message board, occasional trivia contest where Joydrop memorabilia is given away. Home of the Joydrop WebRing. Many photos of Joydrop.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Joydrop", "url": "http://jd4ts.tripod.com/index.html"} +{"d:Title": "The Official Judas Priest Website", "d:Description": "News, biography, discography, solo releases, tour information, merchandise, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://www.judaspriest.com/"} +{"d:Title": "Judas Priest Shrine", "d:Description": "Unofficial page with news, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://www.judas-priest.com/"} +{"d:Title": "Rockmagic.net: Judas Priest", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://lyrics.rockmagic.net/lyrics/judas_priest/"} +{"d:Title": "Delivering The Goods", "d:Description": "Audio/video trading site for serious collectors includes list of recordings and ratings system.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://www.angelfire.com/pa/priestrader/"} +{"d:Title": "GoErie.com: Rock Star found at Sherlock's", "d:Description": "An article by Dave Richards on how Tim Owens was discovered and joined the band. Requires free membership to view content.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://goerie.com/apps/pbcs.dll/article?Site=GE&Date=20010907&Category=FRONTPAGE&ArtNo=109070014&Ref=AR"} +{"d:Title": "The French Metallian", "d:Description": "Includes news, interviews, pictures, line-ups, audio, press archive, tour dates, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://judaspriest.free.fr/"} +{"d:Title": "MusicMight: Judas Priest", "d:Description": "A profile on the band and full discography with track listings.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://www.musicmight.com/artist/united+kingdom/west+midlands/birmingham/judas+priest"} +{"d:Title": "Rollingstone.com: Judas Priest", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio, video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest", "url": "http://www.rollingstone.com/music/artists/judas-priest"} +{"d:Title": "Tyrant", "d:Description": "Judas Priest tribute show from Edmonton, Alberta, Canada. Freatures tour information, playlist, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest/Tribute_Bands", "url": "http://www.telusplanet.net/public/septimu1/"} +{"d:Title": "British Steel", "d:Description": "Judas Priest tribute from Albany, New York; including photos, songlist, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest/Tribute_Bands", "url": "http://www.british-steel.net/"} +{"d:Title": "Just Priest", "d:Description": "German Judas Priest tribute band; features gig dates, set list, pictures, real audio, and real video.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judas_Priest/Tribute_Bands", "url": "http://www.justpriest.com/"} +{"d:Title": "Dead Musician Directory: Judge Dread", "d:Description": "Obituaries, biographies and links.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judge_Dread", "url": "http://elvispelvis.com/judgedread.htm"} +{"d:Title": "Judge Dread Memorial Site", "d:Description": "Fan site features biographical details, personal accounts, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judge_Dread", "url": "http://sites.google.com/site/judgedreadmemorialsite/"} +{"d:Title": "All Music Guide: Judge Dread", "d:Description": "Artist profile with a biography, discography, album reviews and highlights of his career.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Judge_Dread", "url": "http://www.allmusic.com/artist/judge-dread-mn0000246565"} +{"d:Title": "The Juliana Theory", "d:Description": "Official site. Includes photographs, lyrics, a band biography, news, and a list of upcoming shows.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The", "url": "http://www.thejulianatheory.com/"} +{"d:Title": "Christianguitar.org - Christian Tabs", "d:Description": "Tablature and chords for a selection of their songs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The", "url": "http://www.christianguitar.org/christian/songs.php?artist=370"} +{"d:Title": "Juliana Theory", "d:Description": "Tablature for a selection of their songs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The", "url": "http://www.delicatefade.com/artist.asp?ID=239"} +{"d:Title": "ArtistDirect: The Juliana Theory", "d:Description": "Includes photographs, audio clips, a biography, album information, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,734074,00.html?src=search&artist=The+Juliana+Theory"} +{"d:Title": "Decapolis Music - Juliana Theory", "d:Description": "Interview with Brett Detar, at Purple Door, in 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The/Articles_and_Interviews", "url": "http://www.decapolis.com/musicreviews/interviews/juliana.shtml"} +{"d:Title": "Juliana Theory Interview", "d:Description": "Interview and short discography.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The/Articles_and_Interviews", "url": "http://artfortheears.freeservers.com/index2/interviews/juliana/juliana.htm"} +{"d:Title": "The Juliana Theory", "d:Description": "Article on the band, by Jordan Baker, including recollections of his meeting with the band's lead vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The/Articles_and_Interviews", "url": "http://www.pastepunk.com/interviews/jtheory.html"} +{"d:Title": "The Juliana Theory - a Concert Review of The Phantom Tollbooth", "d:Description": "A review of their concert at Fireside Bowl, Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The/Reviews", "url": "http://www.tollbooth.org/2000/creviews/jtheory.html"} +{"d:Title": "Creative Loafing Atlanta", "d:Description": "Review of \"Emotion Is Dead\".", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The/Reviews", "url": "http://www.clatl.com/music/article/13002189/record-review"} +{"d:Title": "The Juliana Theory", "d:Description": "Brief review of \"Understanding This Dream\".", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juliana_Theory,_The/Reviews", "url": "http://xsoulx.tripod.com/juliana.htm"} +{"d:Title": "The Bubble's in Trouble", "d:Description": "Thumbnailed photographs taken at assorted live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jump", "url": "http://elusivone.tripod.com/jump/index.html"} +{"d:Title": "The Innocent Kiss", "d:Description": "Includes photos from a show in Nashville, a now-closed contest to identify fans and members by photos of their mouths, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jump", "url": "http://www.angelfire.com/sc/innocentkiss/"} +{"d:Title": "Dusty With Neglect", "d:Description": "Vertigo-era site is no longer updated, but features radio station numbers for requests and a concert review from the Underdog Tour.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jump", "url": "http://www.angelfire.com/indie/jumpchick/"} +{"d:Title": "Jump, Little Children", "d:Description": "Includes .wav files from Magazine and concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jump", "url": "http://liss54.tripod.com/jump.html"} +{"d:Title": "Daily Tar Heel: Children Entertain With Rollicking Folk", "d:Description": "The University of North Carolina at Chapel Hill newspaper gives three stars to an October 11, 2001, performance.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jump/News_and_Media", "url": "http://www.dailytarheel.com/index.php/article/2001/10/children_entertain_with_rollicking_folk"} +{"d:Title": "The Red and Black: Band Jumps In to Play at Georgia Theatre", "d:Description": "Article by Lillia Callum-Penso from the official University of Georgia student newspaper. (Oct. 19, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jump/News_and_Media", "url": "http://www.redandblack.com/variety/band-jumps-in-to-play-at-georgia-theatre/article_c8bc53c4-6001-5a6a-98fa-904e0c410d2e.html"} +{"d:Title": "Hip Online: Artists: Jump, Little Children", "d:Description": "Vertigo receives a score of 9 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Jump/News_and_Media", "url": "http://hiponline.com/1795/jump-little-children.html"} +{"d:Title": "Michael Junior Page", "d:Description": "Fan site includes profile, album information, lyrics, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junior,_Michael", "url": "http://tspw.tripod.com/mjunior.html"} +{"d:Title": "Junior Pantherz, The", "d:Description": "Official site of the indie rock band. Features news, show dates, biography, discography, photos, press, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junior_Pantherz,_The", "url": "http://www.juniorpantherz.com/"} +{"d:Title": "Junkie XL", "d:Description": "Official site. Site includes a biography, discography, FAQ, tour dates, image gallery, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junkie_XL", "url": "http://www.junkiexl.com/"} +{"d:Title": "The Official Junoon Website", "d:Description": "Features audio and video, news, tour dates, lyrics, message board, chat, and discography. Also has a fan club only area.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junoon", "url": "http://www.junoon.com/"} +{"d:Title": "Junoon MP3z", "d:Description": "Various sound files of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junoon", "url": "http://junoonmp3.tripod.com/"} +{"d:Title": "Junooni Information", "d:Description": "This site provides some conventional information on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junoon", "url": "http://www.angelfire.com/pa/JUNOON/"} +{"d:Title": "Junooni Paradise", "d:Description": "Junooni entertainment site with music charts, downloads, news and icons.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junoon", "url": "http://www.angelfire.com/my/just2coolsite/mainpage.html"} +{"d:Title": "The Plains of Passion", "d:Description": "Biography, tour dates, reviews and mp3s.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Junoon", "url": "http://www.angelfire.com/pa/junoonie/index.html"} +{"d:Title": "Yahoo! Groups: justincase Street Team", "d:Description": "A place for fans to post messages or chat.", "topic": "Top/Arts/Music/Bands_and_Artists/J/justincase", "url": "http://groups.yahoo.com/group/justincasestreetteam/"} +{"d:Title": "MTV.com: Juvenile", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/J/Juvenile", "url": "http://www.mtv.com/artists/juvenile/"} +{"d:Title": "Klenner, Volker", "d:Description": "German blues guitarist. Biography, press, discography, MP3s, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.volkerklenner.de/"} +{"d:Title": "Karbo", "d:Description": "Metal-core rock band from Groningen, Netherlands. Site features a biography, image gallery, lyrics, and a gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://karboweb.tripod.com/"} +{"d:Title": "Kavtaradze, Nina", "d:Description": "A Russian concert pianist. Biography, pictures, album, repertoire, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.ninakavtaradze.com/"} +{"d:Title": "Kaleah", "d:Description": "Biography, performance calendar, sound files, lyrics, and CD information for the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kaleah.com/"} +{"d:Title": "Kay'n", "d:Description": "Visual and musical works of a Japanese composer/producer/director.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kay-n.com/"} +{"d:Title": "Keneally, Mike", "d:Description": "The official site from the former Zappa guitarist and current raving genius. News, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.keneally.com/"} +{"d:Title": "Kessler, Chris", "d:Description": "Heavy metal guitarist. Biography, news, reviews, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://puffspliffdad69.tripod.com/index.html"} +{"d:Title": "Kirton, David", "d:Description": "Official site includes biography, articles, tour dates, photos, and CD information for the roots reggae singer/songwriter from Barbados.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.davidkirton.com/"} +{"d:Title": "Kent, Luther", "d:Description": "Blues musician from New Orleans. Biography, concert schedule, discography, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.lutherkent.com/"} +{"d:Title": "KG and The Ranger", "d:Description": "Classic cowboy music with harmony yodeling and rope tricks. Site features biography, performance schedule, audio clips, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kgandtheranger.com/"} +{"d:Title": "Kapralova Quartet", "d:Description": "Official site of the string quartet. Includes a biography, repertoire, discography, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kapralova.org/QUARTET.htm"} +{"d:Title": "Knight, Peter", "d:Description": "Melbourne, Australia jazz trumpet player and composer. News, biography, audio clips, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.peterknightmusic.com/"} +{"d:Title": "Kaissa", "d:Description": "African fusion world music singer in New York. Biography, gig schedule, image gallery, audio samples, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kaissa.com/"} +{"d:Title": "Kurtis, Elektra", "d:Description": "Home of the violinist and her Ensemble Elektra, who play a fusion of funk, contemporary jazz and Latin beats with Mediterranean and Middle Eastern ethnic music traditions. Biography, sound clips, CD information and ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://elektrak.tripod.com/"} +{"d:Title": "Kaplan, Ron", "d:Description": "Information on the jazz vocalist and his new CD. Sample songs, reviews, ordering information, show dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.ronkaplan.com/"} +{"d:Title": "Klose, Jann", "d:Description": "German-born Singer/Artist. The site contains links, audio (unreleased tracks in 3 formats), contact information, schedule, a German page, biography, images, press clips and the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://jannklose.com/"} +{"d:Title": "Knight, Dan", "d:Description": "Real Audio/Video, MP3s, complete itinerary and discography for the jazz pianist.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.danknight.com/"} +{"d:Title": "Kennedys, The", "d:Description": "The official site of folk rock duo Pete and Maura Kennedy. Includes a chronology, album profiles, tour schedule, road diary, image gallery, audio samples, press articles, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kennedysmusic.com/"} +{"d:Title": "Kitka Women's Vocal Ensemble", "d:Description": "Unaccompanied voices. Complex harmonies. Eastern European songs. Based in Oakland, California.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kitka.org/"} +{"d:Title": "King Tet", "d:Description": "Original computer music.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kingtet.com/music.htm"} +{"d:Title": "Koviak, John", "d:Description": "Interviews, photos, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/la/koviak/"} +{"d:Title": "Kenning, Kraig", "d:Description": "Singer, songwriter, slide guitarist from Chicago. Biography, tour schedule, discography, sound files, reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kraigkenning.com/"} +{"d:Title": "King, Shelley", "d:Description": "The soulfulness of a gospel singer overtaken by the fire of the blues within the sensibilities of roots music.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.shelleyking.com/"} +{"d:Title": "Kim, Soo-Chul", "d:Description": "Credits include composing and performing music for the 1988 Seoul Olympics. Biography, articles, photos, discography, and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kimsoochul.com/"} +{"d:Title": "Kosowski, Karen", "d:Description": "Canadian singer/songwriter. Discography, song clips, biography, photos, tour dates, and links to reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.karenkosowski.com/"} +{"d:Title": "Karwin Patrix Band, The", "d:Description": "Official website. Band information, booking news, sound samples, CD details and sales, tour dates and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.karwinpatrix.com/"} +{"d:Title": "Kimnowak", "d:Description": "Biography in Hungarian and English; Budapest-based band.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.interpest.com/z/kimnowak"} +{"d:Title": "Kryptic Shade", "d:Description": "Official site. Lyrics, discography, photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/rock/krypticshade/"} +{"d:Title": "Koerner, Spider John", "d:Description": "Calendar, booking information, biography, discography, press quotes, photos and links for the folk/country blues artist.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.mwt.net/~koerner/index.html"} +{"d:Title": "Ketebarbo", "d:Description": "Pop rock band from Gothenburg, Sweden. Audio clips and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://ketebarbo.frontnet.org/"} +{"d:Title": "Krypton Dog", "d:Description": "Indie rock band from Tulsa, Oklahoma. Biography, FAQs, pictures, cover art, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kryptondog.com/"} +{"d:Title": "Kaukonen, Peter", "d:Description": "Music composed and recorded as a memorial to his parents by San Francisco artist. Sound files, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://peterkaukonen.com/"} +{"d:Title": "Kilik, Howard", "d:Description": "Instrumental New Age music, including compositions written for dance performances by the Vassar Dance Repertory Theatre and Linda Duci Dance.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kilikmusic.com/"} +{"d:Title": "Kill Switch...Klick", "d:Description": "Post-industrial electronic band from Seattle, WA. Contains news, biography, discography, lyrics, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.go-kustom.com/kskpages.html"} +{"d:Title": "Knight, Tia", "d:Description": "New age composer and keyboardist. Brief biography, audio samples, and order information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.tiaknight.com/"} +{"d:Title": "Kimber", "d:Description": "Vocalist available for hire.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/music2/KIMBER01/"} +{"d:Title": "Kurai", "d:Description": "A rock band. Band information, sample songs, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kurai.8m.com/"} +{"d:Title": "Kaye, Mady", "d:Description": "Jazz vocalist from Austin, Texas. CD information and ordering, biography, sound clips, gig dates, reviews, news, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.madykaye.com/"} +{"d:Title": "K-Twenty", "d:Description": "Punk band from Huron, Ohio.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/oh3/rjh11185/k20.html"} +{"d:Title": "Klaatu", "d:Description": "Official site. Interviews, sound samples, members' solo career information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.klaatu.org/"} +{"d:Title": "KGB", "d:Description": "Acoustic trio from Seattle, WA. Biography, discography, gig schedule, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kgbmole.com/kgb/"} +{"d:Title": "Ketaset", "d:Description": "A progressive, hard-core, acid-metal band. MP3s, lyrics, merchandise, booking information, biographies, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://ketaset.com/"} +{"d:Title": "King Lizzard", "d:Description": "Official site of Las Vegas hard rock entertainer/songwriter. Includes a performance schedule, news, biography, discography, streaming RealAudio files, lyrics, pictures, band information, and merchandise. [Requires JavaScript]", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kinglizzard.com/"} +{"d:Title": "Kiss the Girl", "d:Description": "San Francisco based alternative pop/rock band. Image gallery, biography, MP3s, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kissthegirlmusic.com/"} +{"d:Title": "Kirby, Kathy", "d:Description": "Brief biography of 60's blond pop star.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kathy-kirby.50megs.com/"} +{"d:Title": "Ki, Patrick", "d:Description": "Instrumental guitar music with orchestration. Resident musician at Tlaquepaque in Sedona, Arizona.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.patrickki.com/"} +{"d:Title": "Kirkham, Kevin and the Rainpals", "d:Description": "Information on the British band, discography, ordering, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.rprrecords.free-online.co.uk/"} +{"d:Title": "Kore", "d:Description": "French rock variety group that sings in Esperanto. Band and album information, lyrics, audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://elkore.free.fr/eng/"} +{"d:Title": "Kissmet", "d:Description": "6-piece band fusing funky-bhangra-reggae-rock, with Asian influences combining with Western rock beats. Based in Peterborough, UK, but gigging widely.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kissmet.co.uk/"} +{"d:Title": "Kost\u00fcme, Die", "d:Description": "A Christian punk band from Portland, OR. Band member biographies, sample songs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://diekostume.tripod.com/"} +{"d:Title": "Kill Van Helsings", "d:Description": "Official site of UK band. News, information, photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.killvanhelsing.co.uk/"} +{"d:Title": "Killip", "d:Description": "Original pop/rock made in New Zealand. MP3s and RealAudio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.lkmusic.co.nz/"} +{"d:Title": "Komplete Kaos", "d:Description": "Official site includes history, biographies, news, and chat transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/ns/kompletekaos/index.html"} +{"d:Title": "Karate High School", "d:Description": "Official site of the five-piece rock/hip-hop band. Includes news, gig schedule, biographies, MP3 files, pictures, wallpaper, merchandise, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.karatehighschool.com/"} +{"d:Title": "King Furious", "d:Description": "Official site for the rock and roll band from San Antonio Texas. Features calendar of events, booking information, performance photos and video, live and studio recordings, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kingfurious.iwarp.com/"} +{"d:Title": "Korona, Ray and the Ray Korona Band", "d:Description": "Folk and folk rock music with labor, environmental, peace, and activist themes. Free MP3 downloads, photos, lyrics, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.raykorona.com/"} +{"d:Title": "Kenny, Chris", "d:Description": "Birmingham, England based vocalist. Biography, song samples, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.chris.kenny.freeservers.com/"} +{"d:Title": "Korc 74", "d:Description": "Official site of the punk band from Waco, Texas. News, biographies, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/tx3/korc74/index.html"} +{"d:Title": "Kincade, John", "d:Description": "Biography, discography, and appearance dates for the rock singer. Also includes information on his stage school.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://johnkincade.com/"} +{"d:Title": "Koffee Haus", "d:Description": "New age folk/rock band from Kansas City, Missouri. Profile and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/myband/koffeehaus/"} +{"d:Title": "Kovacs, Attila", "d:Description": "Pop, rock, and classical musician/songwriter. Includes a biography, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.atko.dk/"} +{"d:Title": "Kalmusky, David", "d:Description": "Official site of the Canadian guitarist. Includes a biography, tour schedule and journal, audio samples, equipment list, and an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kalmusky.com/"} +{"d:Title": "Krantz, Wayne", "d:Description": "Biography, tour information, and contacts to the group.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.waynekrantz.com/"} +{"d:Title": "K\u00e4rsimys Maximus", "d:Description": "Finnish metal band. Includes a biography, lyrics, audio clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://karsimysmaximus.tripod.com/"} +{"d:Title": "Kizmet", "d:Description": "Rhythm and blues/pop female group. Show dates, news, profiles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://modenef.tripod.com/MoDeNef/"} +{"d:Title": "Karisma", "d:Description": "Four girls that write, sing, and play their own music. Biographies, lyrics, pictures, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/ma3/karisma/"} +{"d:Title": "Kung Fu Hula Girl", "d:Description": "The official site for the Los Angeles-based power trio, with gig information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kungfuhulagirl.tripod.com/"} +{"d:Title": "Kilswitch", "d:Description": "Underground Chicago-based hardcore band. Original music, photos, showdates.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/il2/kilswitch/"} +{"d:Title": "Kramer, Robert", "d:Description": "Official site of the pop rock musician/composer based in Deerfield, Illinois. Includes news, reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.ibob.iwarp.com/"} +{"d:Title": "Katz, Sharon and the Peace Train", "d:Description": "Official site. Biography, tour dates, photos, news.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.sharonkatz.com/"} +{"d:Title": "Kelly, April", "d:Description": "Houston, Texas singer/songwriter. Biography, gigs, MP3s, lyrics, gallery, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.aprilkelly.com/"} +{"d:Title": "Kraemer, Camela", "d:Description": "Official site of singer, songwriter and musician. Biography, tour dates, photos, reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.camelak.com/"} +{"d:Title": "King, Dave", "d:Description": "Official site of Connecticut singer-songwriter. Biography, performance dates, sound files and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.davekingmusic.com/"} +{"d:Title": "Kamal", "d:Description": "Rap artist a.k.a. Rodney Jackson. Information about \"aka RJ-Block\" CD.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kamal2000.com/"} +{"d:Title": "Karcinogen", "d:Description": "Punk band in Southern California. Biography, individual profiles, image gallery, and a song list.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://karcinogen.itgo.com/"} +{"d:Title": "Keen, Bonnie", "d:Description": "Official site of the contemporary Christian artist. Includes a biography, information on her works, list of honors, show dates, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.bonniekeen.com/"} +{"d:Title": "Kerr, Ally", "d:Description": "Scottish singer. Biography, news, links, gallery, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.allykerr.com/"} +{"d:Title": "Kratzer", "d:Description": "Ambient and trance musician. Site features biography, discography, audio clips, and equipment list. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kratzer.at/"} +{"d:Title": "Kathleen, Jennifer", "d:Description": "Musician from Oakville, Ontario, Canada. Biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/rant/jenniferkathleen/"} +{"d:Title": "Kristin, Miss", "d:Description": "Adult contemporary singer based in San Jose. Biography and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.misskristin.com/"} +{"d:Title": "Kehr, Kris&Stone Poets", "d:Description": "Five-piece ensemble from State College, Pennsylvania. Site features news, a biography, gig schedule, image gallery, press reviews, and song samples in MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kriskehr.com/"} +{"d:Title": "Kulish, Taras", "d:Description": "Young Canadian-Ukrainian bass-baritone opera singer. Site features a biography, show dates, press reviews, resume, pictures, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.taraskulish.com/"} +{"d:Title": "Klich, Chris", "d:Description": "San Diego based jazz musician. Profile, discography, audio clips, show dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.chrisklich.com/"} +{"d:Title": "Karmacoda", "d:Description": "Official site for the San Francisco electronic/rock band. Features recent news, biography, image galleries, lyrics, and MP3 clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.karmacoda.com/"} +{"d:Title": "Kruth, John", "d:Description": "Jazz musician. Site features a biography, audio clips, and interview, courtesy of Jerry Jazz Musician.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=kruth.html"} +{"d:Title": "Kolesnikova, Oksana", "d:Description": "Classical pianist/composer. Site includes a performance schedule, biography, CD order form, fan club information, and an online booking form.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.oksana-k.com/"} +{"d:Title": "Kimbrough, Frank", "d:Description": "Jazz pianist/composer. Biography, discography, project information, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://home.earthlink.net/~fkimbrough/"} +{"d:Title": "Kennedy, Brian", "d:Description": "Official site of the Irish singer/songwriter. Contains news, tour dates, biography, charity work, discography, lyrics, streaming RealAudio clips, chords, interviews, image gallery, and merchandise. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.briankennedy.co.uk/"} +{"d:Title": "Kiriakou, Emanuel", "d:Description": "Guitarist/singer/songwriter/producer. Biography, music samples, lyrics, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.emanrocks.com/"} +{"d:Title": "Koncar, Bill", "d:Description": "Live accordion and polka band music in the Twin Cities, Minnesota area. Includes new, schedule, biography and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.billkoncar.com/"} +{"d:Title": "King Earl Boogie Band", "d:Description": "Made up of former members of Mungo Jerry. Biography, show dates, audio, video, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kebb.co.uk/"} +{"d:Title": "KEJ", "d:Description": "Breton jazz and contemporary trio. Profile, reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kej.stalig.com/"} +{"d:Title": "Kohlhase, Karl", "d:Description": "Christian singer/songwriter. Profile, audio clips, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.k4communications.com/karl/"} +{"d:Title": "Kimon", "d:Description": "New Jersey singer/songwriter. news, biography, image gallery, tour schedule, MP3s clips, press reviews, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kimon.tv/"} +{"d:Title": "Kennedy, Harrison", "d:Description": "Hamilton, Ontario musician. News, biography, calendar, audio, video, guestbook, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.harrisonkennedy.ca/"} +{"d:Title": "Kompressor", "d:Description": "German electronica artist based in Ohio. Biography, audio, video, photos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kompressormusic.com/"} +{"d:Title": "Kooper, Al", "d:Description": "Official site features biography, diaries and other writing by Al, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.alkooper.com/"} +{"d:Title": "Kobra", "d:Description": "Industrial metal artist based in California. News, biography, wallpaper, and a link to audio files. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kobramusic.com/"} +{"d:Title": "Karayorgis, Pandelis", "d:Description": "Jazz pianist. Reviews, discography, audio samples, biography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://karayorgis.com/"} +{"d:Title": "Keon, Quinn", "d:Description": "This site contains biography, news, music, lyrics, photos, and downloads for this Michigan guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.quinnkeon.com/"} +{"d:Title": "Kelly, Stefanie", "d:Description": "Official site for the Christian singing artist includes contact information, live concerts and events, music for purchase, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.stefaniekelly.com/"} +{"d:Title": "Kamen, Nick", "d:Description": "A fan site dedicated to the singer/model/actor/artist. Includes discography, galleries, audio and video downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.nickkamen.com/"} +{"d:Title": "Kraus, Sharron", "d:Description": "British folk artist singing dark folk songs for the new millennium. Includes news, gig information, song list with lyrics and some MP3s, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.sharronkraus.com/"} +{"d:Title": "Kelly, Paul", "d:Description": "Official site of Australian singer songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.paulkelly.com.au/"} +{"d:Title": "Kooken and Hoomen", "d:Description": "An electro-organic jungle rock band out of San Francisco. Includes news, biography, images, gig dates, press, audio samples and downloads, and contact information. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kookenhoomen.com/"} +{"d:Title": "Kutless", "d:Description": "Official site of the modern rock band includes biography, diary, pictures, tour dates, audio and video, lyrics, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kutless.com/"} +{"d:Title": "Katie's Ego", "d:Description": "An up and coming rock band based in the Birmingham area. Includes news, photos, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.katiesego.20m.com/"} +{"d:Title": "Kunimoto, Takeharu", "d:Description": "Fan site for Japanese performing artist. Includes biography, glossary and a guide on how to view the official Japanese site.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www1.accsnet.ne.jp/~ball/kt/"} +{"d:Title": "Kelso, Mark", "d:Description": "Official site for Irish/Canadian drummer, vocalist, percussionist, and composer. Includes news, influences, biography, discography, itinerary, photo gallery, gear, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.groovydrums.com/"} +{"d:Title": "Knopfler, David", "d:Description": "Merchandise, reviews and articles on the Dire Straits founder.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://knopfler.com/"} +{"d:Title": "Kelly, Alan", "d:Description": "A leading exponant of the piano accordion in traditional Irish music. Includes biography, discography, photo gallery, tour dates, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.blackboxmusic.ie/"} +{"d:Title": "Kills, The", "d:Description": "Includes news, tour dates, pictures, discography, audio and videos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.thekills.tv/"} +{"d:Title": "Katz, Shmuel", "d:Description": "Home page of Israeli-born New York-based violist and violinist. Includes biography, MP3 samples, repertoire list, concert schedule, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.avikatz.net/shmuel/"} +{"d:Title": "Kennedy, Oen", "d:Description": "Official homepage for acoustic guitar singer-songwriter includes a schedule of events and information on the artist's CD recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.oenkennedy.com/"} +{"d:Title": "Kaada, John Erik", "d:Description": "Official site for this Norwegian artist. Includes news, discography, filmography, biography, reviews, audio files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kaada.no/"} +{"d:Title": "Krakowski, Wolf", "d:Description": "Biography, reviews, photos, and sound clips of Yiddish World Music singer-guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kamea.com/"} +{"d:Title": "Kromwell", "d:Description": "New York band playing rock, metal, and classic rock. Includes show dates, pictures, a song sample, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kromwellrocks.tripod.com/"} +{"d:Title": "Kick Shins Barn Dance Band", "d:Description": "Barn dance band from Berkshire, UK. Offers a discography, band history and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.stuart-barry.co.uk/id16.htm"} +{"d:Title": "Knaack, Donald", "d:Description": "Conservatory-trained percussionist who now performs on junk and recycled materials. Tour schedule, biography, photographs, streaming audio files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.junkmusic.org/"} +{"d:Title": "Kimberlee", "d:Description": "Pop rock singer/songwriter from San Francisco, California. Site provides a gig schedule, biography, discography, CD ordering information, booking information, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kimberlee.com/"} +{"d:Title": "Kristine W", "d:Description": "Contains news, biography, show dates, and audio files of the female pop artist.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kristinew.com/"} +{"d:Title": "Kyrenee", "d:Description": "Luxembourg based alternative rock band. Contains biography, member profiles, show dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kyrenee.com/"} +{"d:Title": "KYJO", "d:Description": "Jazz band from Kent, England. Gig schedule, biography, photographs, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kyjo.co.uk/"} +{"d:Title": "Kalyna", "d:Description": "Contemporary Ukrainian band from Winnipeg, Canada. News, biographies, discography, streaming RealAudio files, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.mts.net/~wasylow/"} +{"d:Title": "Korber, Tomas", "d:Description": "Swiss/Spanish composer/improvisor. Biography, show dates, press, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://homepage.hispeed.ch/tomaskorber/"} +{"d:Title": "Kemp, Jason", "d:Description": "Australia based country music singer/songwriter. Biography, gig dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://jasonkemp0.tripod.com/jasonkemp/"} +{"d:Title": "Kavenism", "d:Description": "Experimental electronic artist. Biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.angelfire.com/zine2/kavenism/"} +{"d:Title": "Kleptones, The", "d:Description": "Brighton, UK based rock band. Biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kleptones.com/"} +{"d:Title": "Kean, Helena and Brenda", "d:Description": "Themed classical song performances accompanied by photographs from various countries. Performer profiles, calendars, program descriptions and press.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.music-and-images.co.uk/"} +{"d:Title": "Kick Axe", "d:Description": "A Canadian rock band. Biography, discography, reviews, press interviews, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kickaxe.wz.cz/"} +{"d:Title": "Katone", "d:Description": "Official site of the alternative musician. Features news, concert dates and reviews, discography, photos, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.katone.com/"} +{"d:Title": "Kismet", "d:Description": "Official site of the Belgian metal band. Pictures, profile, news, MP3s, and lyrics. [Dutch and English versions]", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://users.skynet.be/kismet/"} +{"d:Title": "Kirlian Camera", "d:Description": "Italian electro band. News, biography, discography, lyrics, tour dates, interviews, pictures, sounds, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kirliancamera.com/"} +{"d:Title": "Kim'Kay", "d:Description": "Unofficial site for the singer from Belgium includes profile, discography, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://xavprods.free.fr/kimkay/"} +{"d:Title": "King, Steven", "d:Description": "USA national fingerstyle guitar champion. CDs for sale with sound clips, press clippings, itinerary, and information about his ensembles, beliefs, and instruments.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.guitarbystevenking.net/"} +{"d:Title": "Kipper, Sid - The Kipper Family", "d:Description": "Includes gig list, merchandise, galley, discography and lyrics, reviews, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kipperfamily.co.uk/"} +{"d:Title": "Kunene, Madala", "d:Description": "Biography and discography for the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.melt.co.za/index.php?main_page=page&id=42&chapter=0"} +{"d:Title": "Kozmic Mama", "d:Description": "Soul-rock-blues band from northern Alabama. Official website with profile, pictures, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kozmicmama.com/"} +{"d:Title": "Killjoys, The", "d:Description": "Official site of Melbourne, Australia indie band includes news, CD releases, and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.killjoys.com.au/"} +{"d:Title": "Karate", "d:Description": "An emo/blues band from Boston. Site features news, a biography, discography, audio clips, lyrics, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.xs4all.nl/~holthuw/home/home.htm"} +{"d:Title": "Keltech", "d:Description": "Welsh hip-hop and dark drum and bass DJ and producer. Scratch videos, news, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.djkeltech.com/"} +{"d:Title": "Katrin", "d:Description": "Official site of the Boston-based acoustic rock artist. Features a gig schedule, biography, MP3 files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.katrinrocks.com/"} +{"d:Title": "Kreger, Cathy", "d:Description": "Adult contemporary and old blues, with an alternative folk flair. Site includes biography and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.cathykreger.com/"} +{"d:Title": "Kates, Kristi", "d:Description": "Official site of modern rock singer-songwriter. News, downloadable tunes, photos, scrapbook, tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kristikates.com/"} +{"d:Title": "Knife, The", "d:Description": "Swedish electronic group featuring Karin Dreijer Andersson and Olof Dreijer.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://theknife.net/"} +{"d:Title": "KimX", "d:Description": "MP3 files from the dance music singer.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kimx.tripod.com/"} +{"d:Title": "Kings, The", "d:Description": "An acoustic adult contemporary duo based in Brooklyn, New York. Site contains profiles, pictures, and song samples in MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://thekingsonline.tripod.com/"} +{"d:Title": "King Wasabi", "d:Description": "Martin Moon's band is inspired by his love of exotic rhythms, ethnic melodies and the songwriting styles of Nick Cave, Leonard Cohen and Tom Waits. The musical style of his band has been described as 'moody Jazz cabaret.'", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://kingwasabi.tripod.com/"} +{"d:Title": "Krosseyed", "d:Description": "Profile of the Christian band.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://lefthandpmm.tripod.com/"} +{"d:Title": "Kapitan, Mike", "d:Description": "Keyboardist and programmer for Thomas Dolby. Site offers album information, biography, full-length audio, and order information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://mikekapitan.com/"} +{"d:Title": "Kidd, Johnny and the Pirates", "d:Description": "British rock band. Biography, history, discography, photos, links, gig dates, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.johnnykidd.co.uk/"} +{"d:Title": "King, Bridie", "d:Description": "Blues and boogie pianist and bandleader from Australia. Biography, gigs, news, pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.bridieking.com/"} +{"d:Title": "Key, Kelly", "d:Description": "AskMen.com: Pictures, biography, and commentary on the Brazilian pop singer.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.askmen.com/celebs/women/singer_150/152_kelly_key.html"} +{"d:Title": "Kory and the Fireflies", "d:Description": "Sioux Falls, South Dakota, pop/rock band. Discography, MP3s, biography, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.koryandthefireflies.com/"} +{"d:Title": "Knight, Lonnie", "d:Description": "Minnesota singer-songwriter's biography, discography, pictures, reviews and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.lonnieknight.com/"} +{"d:Title": "Kick in the Eye", "d:Description": "Alternative rock band based in Mission City, British Columbia. Includes music samples, images, music reviews, news updates, and other articles written by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.kickintheeye.ca/"} +{"d:Title": "Klimes, Peter M.", "d:Description": "Californian based singer-songwriter, and lead guitarist for Michael Chain. Includes CDs, calendar, streaming audio clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.warrhorse.com/"} +{"d:Title": "Key West Trio", "d:Description": "Covering the best of Jimmy Buffett, Beach Boys, oldies and tropical flavored pop music. Includes news, booking information, schedule, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.keywesttrio.com/"} +{"d:Title": "KoAK", "d:Description": "Trip-hop DJ from New Brunswick, Canada. Profile, photographs, and CD-ROM ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://koakrecordings.blogspot.com/"} +{"d:Title": "Kool G Rap", "d:Description": "News, album reviews, audio downloads, biography, discography, links, and a bulletin board. From MTV.com.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://www.mtv.com/artists/kool-g-rap/"} +{"d:Title": "Kimbel, Andy", "d:Description": "Folk and country-blues performer. Includes biography, pictures, tour dates, lyrics, gear, reviews, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/K", "url": "http://and876.wix.com/andy-kimbel-web"} +{"d:Title": "K's Choice Live Photos", "d:Description": "Galleries of photographs taken during several gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/K/K's_Choice", "url": "http://tbp.online.fr/"} +{"d:Title": "Jeremy's K's Choice Place", "d:Description": "Fan page with biographies, pictures, sounds and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/K's_Choice", "url": "http://www.angelfire.com/ne/kschoice1/"} +{"d:Title": "MTV: K's Choice", "d:Description": "News, album reviews, audio clips, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/K's_Choice", "url": "http://www.mtv.com/artists/ks-choice/"} +{"d:Title": "MTV: K-Ci&JoJo", "d:Description": "Includes biography, discography, RealAudio clips, and recent news.", "topic": "Top/Arts/Music/Bands_and_Artists/K/K-Ci_and_Jojo", "url": "http://www.mtv.com/artists/k-ci-jojo/"} +{"d:Title": "Yahoo! Groups: kaas", "d:Description": "A news group for fans to discuss the latest news about the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kaas,_Patricia", "url": "http://launch.groups.yahoo.com/group/kaas/"} +{"d:Title": "Kaci's Fan Site", "d:Description": "Contains history, photos, stories, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kaci", "url": "http://kacilynn.20m.com/"} +{"d:Title": "Joshua Kadison", "d:Description": "Track listings and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kadison,_Joshua", "url": "http://www.rjwaringa.demon.nl/JoshuaKadison.htm"} +{"d:Title": "Joshua Kadison", "d:Description": "Official site features tour dates, photos, video, and letters from fans.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kadison,_Joshua", "url": "http://www.joshuakadison.com/"} +{"d:Title": "The Boston Phoenix", "d:Description": "A review of the Destination Anywhere album.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kahn,_Brenda", "url": "http://www.bostonphoenix.com/alt1/archive/music/reviews/08-22-96/OTR/BRENDA_KHAN.html"} +{"d:Title": "Artist Direct: Brenda Kahn", "d:Description": "Includes a biography, album information, links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kahn,_Brenda", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,451225,00.html"} +{"d:Title": "BrendaKahn.com", "d:Description": "Brenda's official site includes news articles, tour dates, photographs, a discography, sound and video clips, and a biography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kahn,_Brenda", "url": "http://www.brendakahn.com/"} +{"d:Title": "Archives", "d:Description": "An archive of the Brenda Kahn mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kahn,_Brenda", "url": "http://www.smoe.org/lists/bklist/"} +{"d:Title": "All Music Guide: Brenda Kahn", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kahn,_Brenda", "url": "http://www.allmusic.com/artist/brenda-kahn-mn0000514629"} +{"d:Title": "Kaiser Chiefs", "d:Description": "Wikipedia profile of the band, with a history, discography, profiles of band members, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kaiser_Chiefs", "url": "http://en.wikipedia.org/wiki/Kaiser_Chiefs"} +{"d:Title": "Rock Band Kaiser Chiefs Run Riot", "d:Description": "BBC News report on the group's winning three Brit Awards in February 2006; includes a profile and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kaiser_Chiefs", "url": "http://news.bbc.co.uk/1/hi/entertainment/4220464.stm"} +{"d:Title": "Kaiser Chiefs Tabs", "d:Description": "A collection of lyrics, guitar and bass tablatures of the group's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kaiser_Chiefs", "url": "http://www.ultimate-guitar.com/tabs/kaiser_chiefs_tabs.htm"} +{"d:Title": "Karsh Kale", "d:Description": "Official site. Includes news and press, biography, photos, tour dates, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kale,_Karsh", "url": "http://www.karshkale.com/"} +{"d:Title": "Kansas Band", "d:Description": "Official site with tour schedule, merchandise, memorabilia auction, news, discography, song samples, biography and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas", "url": "http://www.kansasband.com/"} +{"d:Title": "Freaks of Kansas", "d:Description": "Fan site with biography, tour information, discography, MIDI, gallery, and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Fan_Sites", "url": "http://kansasfreak.tripod.com/"} +{"d:Title": "Reason To Be", "d:Description": "Fan site with discography, reviews, poetry, guestbook, and a links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Fan_Sites", "url": "http://www.reasontobe.20m.com/index.html"} +{"d:Title": "Richard Williams Fan Club", "d:Description": "Fan site with audio and video performances, gallery, interview and concert review links, and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Fan_Sites", "url": "http://rich-williams.tripod.com/"} +{"d:Title": "Billy Greer", "d:Description": "Official site with biography, song samples, lyrics, audio interview, photo gallery and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Kansas_Alumni", "url": "http://www.billygreer.com/"} +{"d:Title": "Steve Walsh", "d:Description": "Official site with news, interview, biography, discography, gallery, and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Kansas_Alumni", "url": "http://www.steve-walsh.de/"} +{"d:Title": "David Ragsdale", "d:Description": "Official site with song samples, gallery, biography, equipment list and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Kansas_Alumni", "url": "http://www.davidragsdale.com/"} +{"d:Title": "Steve Morse", "d:Description": "Official site with news, tour information, biography, discography, videography, gallery, interview links, video clips, writings, questions and answers page, tablatures, equipment list, and merchandise store.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Kansas_Alumni", "url": "http://www.stevemorse.com/"} +{"d:Title": "Proto-Kaw", "d:Description": "Official site of current Kerry Livgren band with news, review and interview links, tour information, discography, biography, lyrics, and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kansas/Kansas_Alumni", "url": "http://www.proto-kaw.net/"} +{"d:Title": "Turn the Lights Back On", "d:Description": "Fan site with news, tour dates, biography, FAQ, photographs, concert reviews, set lists, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kaplansky,_Lucy", "url": "http://www.angelfire.com/folk/lucykaplansky/"} +{"d:Title": "Musical Discoveries: Karnataka Live (July 2002)", "d:Description": "Review and photos of Karnataka performing live at the Limelight Theatre, Aylesbury, on 13 July 2002. Also DVD, CD and other concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Karnataka", "url": "http://www.musicaldiscoveries.com/reviews/karnatakalive2002.htm"} +{"d:Title": "Karnataka - Monmouth Festival 30/7/2002", "d:Description": "Photos and comments.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Karnataka", "url": "http://www.roscalen.com/karnataka/Monmouth020730/index.htm"} +{"d:Title": "Karnataka official website", "d:Description": "Biographies, music, tour news, shop, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Karnataka", "url": "http://www.karnataka.netmx.co.uk/"} +{"d:Title": "Katrina and the Waves", "d:Description": "The band's official site with histories and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Katrina_and_the_Waves", "url": "http://www.katrinaandthewaves.net/"} +{"d:Title": "All Music Guide: Katrina and the Waves", "d:Description": "Includes biography, profile, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Katrina_and_the_Waves", "url": "http://www.allmusic.com/artist/katrina-the-waves-mn0000365542"} +{"d:Title": "KC and the Sunshine Band", "d:Description": "Official site featuring photos, news, itinerary, sound clips, discography, fan club, merchandise, message board and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.kcandthesunshineband.com/"} +{"d:Title": "Becky's KC and the Sunshine Band Tribute Site", "d:Description": "Contains, album reviews, music, links, biography, web-rings and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.beckysisland.com/kcsb.html"} +{"d:Title": "Steve's KC and the Sunshine Band Tribute Site", "d:Description": "Contains concert, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.steveskcsbsite.8k.com/"} +{"d:Title": "I'm Your Boogie Man KC and the Sunshine Band Article", "d:Description": "Contains biography and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.superseventies.com/sw_imyourboogieman.html"} +{"d:Title": "KC and the Sunshine band from Classicbands", "d:Description": "Site features a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.classicbands.com/kc.html"} +{"d:Title": "KC and the Sunshine Band", "d:Description": "Biography from Soulwalking site.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.soulwalking.co.uk/KC%20&%20The%20Sunshine%20Band.html"} +{"d:Title": "KC and the Sunshine Band Concert System", "d:Description": "Page has concert system specifications for the 2001 tour.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.concertsystemsusa.com/kctour.html"} +{"d:Title": "KC and the Sunshine Band Concert System", "d:Description": "Page has information regarding concert sound systems used for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.prosoundweb.com/live/articles/claudia/concertsystems.shtml"} +{"d:Title": "KC and the SUnshine Band The Dead Musician Directory", "d:Description": "Contains information regarding Jerome Smith, former band's member departure.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://elvispelvis.com/jeromesmith.htm"} +{"d:Title": "KC and the Sunshine Band from Washington D.C.", "d:Description": "Picture of the girls and KC performing in Washington D.C. October 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.barrywilson.net/BWPHOTO/KC2.html"} +{"d:Title": "Syracuse Online KC and the Sunshine Band Dazzles Crowd", "d:Description": "Article about a band's concert in Central New York.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.syracuse.com/enter/index.ssf?/entertainment/stories/19990902_fkc.html%3F"} +{"d:Title": "KC and the Sunshine Band", "d:Description": "Site contains Biography from Utopia Artists.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.utopiaartists.com/bio_kc_sunshine_band.htm"} +{"d:Title": "AmIRight: KC and the Sunshine Band", "d:Description": "Site contains misheard lyrics from the band's music.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.amiright.com/misheard/artist/kcandthesunshineband.shtml"} +{"d:Title": "Austin Chronicle KC and the Sunshine Band", "d:Description": "Article regarding the band's appearance in the Travis Expo Center.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KC_and_the_Sunshine_Band", "url": "http://www.austinchronicle.com/music/2001-03-23/k-c-and-the-sunshine-band-star-of-texas-rodeo-travis-expo-center-friday-march-16/"} +{"d:Title": "Keane", "d:Description": "News, gigs, pictures, music clips and a diary kept by the band photographer, Alex Lake.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Keane", "url": "http://www.keanemusic.com/"} +{"d:Title": "Robert Earl Keen", "d:Description": "Official site. Features tour calendar, biography, latest CD, store, MP3 music clips, message board, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Keen,_Robert_Earl", "url": "http://www.robertearlkeen.com/"} +{"d:Title": "Robert Earl Keen News: Topix", "d:Description": "News about Robert Earl Keen continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Keen,_Robert_Earl", "url": "http://www.topix.com/who/robert-earl-keen"} +{"d:Title": "Risa Song Lyrics Archive: Robert Earl Keen, Jr.", "d:Description": "Selective lyrics resource for Robert Earl Keen.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Keen,_Robert_Earl", "url": "http://www.risa.co.uk/sla/songlist.php?artistid=12981"} +{"d:Title": "Kelis In Wonderland", "d:Description": "Fan site with news, profile, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelis", "url": "http://www.kelis.faithweb.com/"} +{"d:Title": "Thunder Bitch", "d:Description": "Fan site with news, facts, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelis", "url": "http://www.kalediscope.20m.com/"} +{"d:Title": "Kelis News: Topix", "d:Description": "News about Kelis continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelis", "url": "http://www.topix.com/who/kelis"} +{"d:Title": "Contactmusic - Kelis", "d:Description": "Featuring the news, reviews, biography, links and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelis", "url": "http://www.contactmusic.com/info/kelis"} +{"d:Title": "R-Kelly.com", "d:Description": "Official site offers a biography, audio and music video files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelly,_R.", "url": "http://www.r-kelly.com/"} +{"d:Title": "Rock On The Net: R. Kelly", "d:Description": "Features FAQs, a timeline of R.Kelly's releases and achievements, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelly,_R.", "url": "http://www.rockonthenet.com/artists-k/rkelly_main.htm"} +{"d:Title": "Topix: R. Kelly News", "d:Description": "News about R. Kelly continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelly,_R.", "url": "http://www.topix.com/who/r-kelly"} +{"d:Title": "AskMen.com - R. Kelly", "d:Description": "Feature includes pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelly,_R.", "url": "http://www.askmen.com/celebs/men/entertainment_150/170_r_kelly.html"} +{"d:Title": "MTV.com: R. Kelly", "d:Description": "Audio and video files, biography, news archive, and gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelly,_R.", "url": "http://www.mtv.com/artists/r-kelly/"} +{"d:Title": "Lyrics On Demand: R. Kelly", "d:Description": "Lyrics of several singles and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kelly,_R./Lyrics", "url": "http://www.lyricsondemand.com/r/rkellylyrics/"} +{"d:Title": "Everything's Eddie", "d:Description": "Fan site with pictures, biography, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kendricks,_Eddie", "url": "http://www.angelfire.com/stars/classictemptations2/everythingseddie.html"} +{"d:Title": "Kenickie Fried Chicken", "d:Description": "Features articles, pictures, lyrics, chords, message board, and postcards.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kenickie", "url": "http://www.kenickie.com/main.shtml"} +{"d:Title": "Kenny G Official Site", "d:Description": "Includes news, biography, tour dates, discography, audio and video, images, press and games.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kenny_G", "url": "http://www.kennyg.com/"} +{"d:Title": "Kenny G: From Ice Capades to Web Controversy", "d:Description": "Culturekiosque editor Mike Zwerin's savage profile.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kenny_G", "url": "http://www.culturekiosque.com/jazz/portrait/rhekenny.html"} +{"d:Title": "Kenny G News: Topix", "d:Description": "News about Kenny G continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kenny_G", "url": "http://www.topix.com/who/kenny-g"} +{"d:Title": "ArtistDirect: Kenny G.", "d:Description": "Includes biography, sound files, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kenny_G", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,433564,00.html"} +{"d:Title": "MTV: Kenny G", "d:Description": "Audio, biography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kenny_G", "url": "http://www.mtv.com/artists/kenny-g/"} +{"d:Title": "Greg Martin's Rockin' Gospel and Blues Music", "d:Description": "News, pictures, and sound files from the Kentucky HeadHunters' guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kentucky_Headhunters,_The", "url": "http://www.gregmartin.com/"} +{"d:Title": "The Kentucky HeadHunters", "d:Description": "Official site includes band history, photo gallery, news, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kentucky_Headhunters,_The", "url": "http://kentuckyheadhunters.net/"} +{"d:Title": "Kenyon, Paul J.", "d:Description": "Offers ambient, new age and other songs in MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kenyon,_Paul_J.", "url": "http://www.angelfire.com/electronic/ambient/index.html"} +{"d:Title": "The Nik Kershaw Homepage", "d:Description": "Fan site features a discography, lyrics, RealAudio clips, and a fan database.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kershaw,_Nik", "url": "http://www.kershaw.net/"} +{"d:Title": "Nik Kershaw", "d:Description": "Official site. Contains news, tour dates, biography, FAQ, RealAudio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kershaw,_Nik", "url": "http://www.nikkershaw.net/"} +{"d:Title": "ChakaKhan.com", "d:Description": "Official site. News, reviews, biography and merchandise. [Flash.]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Khan,_Chaka", "url": "http://www.chakakhan.com/"} +{"d:Title": "Yahoo! Groups: The Chaka Khan Club", "d:Description": "A place for fans to chat or post a message.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Khan,_Chaka", "url": "http://launch.groups.yahoo.com/group/thechakakhanclub/"} +{"d:Title": "Chaka Khan", "d:Description": "Filmography at IMDb with actor, singer, and guest appearance credits.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Khan,_Chaka", "url": "http://www.imdb.com/name/nm0451193/"} +{"d:Title": "Chaka's World", "d:Description": "Fan site with photos, message board, discography, trivia and event schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Khan,_Chaka", "url": "http://seand85.tripod.com/chaka.html"} +{"d:Title": "Nusrat Fateh Ali Khan", "d:Description": "Contains his biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Khan,_Nusrat_Fateh_Ali", "url": "http://worldmusiccentral.org/artists/artist_page.php?id=576"} +{"d:Title": "The Belgian Pop&Rock Archives: Praga Khan", "d:Description": "A profile and discography of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Khan,_Praga", "url": "http://houbi.com/belpop/groups/pragakhan.htm"} +{"d:Title": "Ang\u00e9lique Kidjo", "d:Description": "Official homepage. Includes a biography, discography with song lyrics, sound clips of her music, and a section on culture in Benin that looks at Voodoo.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kidjo,_Ang\u00e9lique", "url": "http://ayemusic.free.fr/"} +{"d:Title": "Kim's Angelique Kidjo Page", "d:Description": "Fan site with biography, photographs, discography, links, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kidjo,_Ang\u00e9lique", "url": "http://www.angelfire.com/ak3/oremiforever/angelique.html"} +{"d:Title": "Kid Creole and the Coconuts", "d:Description": "Official site features news, tour schedule, audio and video, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Creole_and_the_Coconuts", "url": "http://www.kidcreole.com/"} +{"d:Title": "B'Dilli Bay", "d:Description": "Fan site dedicated to the music of Kid Creole and The Coconuts and Dr. Buzzard's Original Savannah Band. Features news, RealMedia samples, and a complete discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Creole_and_the_Coconuts", "url": "http://sites.google.com/site/tonythechameleon/"} +{"d:Title": "Kid Rock", "d:Description": "Official site. Contains tour information, news, biography, discography, lyrics, streaming audio and video files, photo gallery, chat room, merchandise, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "http://www.kidrock.com/"} +{"d:Title": "Abstracts.net: Kid Rock", "d:Description": "Picture galleries, biography, discography, filmography, games, quiz, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "http://www.abstracts.net/kid-rock/"} +{"d:Title": "Entertainment Ave: Kid Rock", "d:Description": "Review of a concert at The Allstate Arena in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/r/kid_rock/kr020201.htm"} +{"d:Title": "Kid Rock News: Topix", "d:Description": "News about Kid Rock continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "http://www.topix.com/who/kid-rock"} +{"d:Title": "RollingStone.com: Kid Rock", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "http://www.rollingstone.com/music/artists/kid-rock"} +{"d:Title": "MTV: Kid Rock", "d:Description": "Contains news, biography, musical influences, audio clips, photos, music videos, message board, links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "http://www.cmt.com/artists/kid-rock/"} +{"d:Title": "Yahoo Music: Kid Rock", "d:Description": "News, concert information, videos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "https://music.yahoo.com/artist/kid-rock/"} +{"d:Title": "Metacritic: The History of Rock", "d:Description": "Multiple critic and user reviews for The History of Rock by Kid Rock.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock", "url": "http://www.metacritic.com/music/the-history-of-rock/kid-rock"} +{"d:Title": "Devil Without A Cause: Kid Rock", "d:Description": "Contains links, pictures, lyrics, biography, sounds clips, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock/Fan_Pages", "url": "http://redwingz05.tripod.com/kidrock.html"} +{"d:Title": "Extreme Kid Rock", "d:Description": "Contains news, interviews, articles, and audio files. Also contains information on Uncle Kracker. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock/Fan_Pages", "url": "http://extremekidrock.moonfruit.com/"} +{"d:Title": "Ricky's Kid Rock Page", "d:Description": "Includes a discography, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock/Fan_Pages", "url": "http://www.angelfire.com/rock/surfacer/"} +{"d:Title": "The Unofficial Kid Rock Home Page", "d:Description": "Offers lyrics, news, pictures, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock/Fan_Pages", "url": "http://kidrockhomepage.tripod.com/"} +{"d:Title": "Kid Rock Fan Site", "d:Description": "Includes news, biography, discography, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kid_Rock/Fan_Pages", "url": "http://www.cassie.fanspace.com/"} +{"d:Title": "Allmusic: Steve Kilbey Discography", "d:Description": "Discography of the man's solo output away from the Church.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kilbey,_Steve", "url": "http://www.allmusic.com/artist/steve-kilbey-mn0000751289/discography"} +{"d:Title": "Wikipedia - The Killers (band)", "d:Description": "Contains trivia, history, discography, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Killers,_The", "url": "http://en.wikipedia.org/wiki/The_Killers_(band)"} +{"d:Title": "Floating On The Weir", "d:Description": "Fan site including news, a biography, discography, articles, image gallery, lyrics, music samples, gig schedule, tablatures, desktop downloads, chat room, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Killing_Heidi", "url": "http://www.angelfire.com/vt/killingheidi2/"} +{"d:Title": "Killing Heidi Zone", "d:Description": "Fan site with tour dates, profiles, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Killing_Heidi", "url": "http://www.angelfire.com/music4/heidi/"} +{"d:Title": "Killing Kristina", "d:Description": "Fan site includes lyrics, pictures, band history, member profiles, quotes, news, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Killing_Heidi", "url": "http://www.killing-kristina.8m.com/"} +{"d:Title": "The Killing Heidi Realm", "d:Description": "Features up-to-date news, biography, discography, fan reviews, tour dates, pictures, audio clips, lyrics, polls, trade list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Killing_Heidi", "url": "http://home.iprimus.com.au/alicepire/"} +{"d:Title": "The Gathering: Killing Joke", "d:Description": "Mailing list about the band. Pictures and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Killing_Joke", "url": "http://www.misera.net/gathering/"} +{"d:Title": "TrouserPress.com: Killing Joke", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Killing_Joke", "url": "http://trouserpress.com/entry.php?a=killing_joke"} +{"d:Title": "Unofficial Kill Hannah Web Site", "d:Description": "Includes news, biography, images, MP3 files, lyrics, tour dates, fan art, winamp skins, wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kill_Hannah", "url": "http://www.killhannah.net/"} +{"d:Title": "Dedicated to Kill II This", "d:Description": "Fan site with lyrics, pictures, news, polls and album recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kill_II_This", "url": "http://www.angelfire.com/de/cend/KILL2THIS.html"} +{"d:Title": "The Soundtrack to Murder", "d:Description": "Tour photos, biographies, reviews, online buying guide, links section.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kill_II_This", "url": "http://www.angelfire.com/mo/kill2this/"} +{"d:Title": "Sandra Kim", "d:Description": "Includes a biography, discography, concert schedule, image gallery, and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kim,_Sandra", "url": "http://sandrakim.be/"} +{"d:Title": "Kimock.com - Official Kimock website", "d:Description": "Official site for Steve Kimock and the Steve Kimock Band; includes news, tour dates, biographies, gear information, and sound files.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kimock,_Steve", "url": "http://www.kimock.com/"} +{"d:Title": "Kimock List", "d:Description": "Information on the email discussion list, along with live recordings, forum, images and videos", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kimock,_Steve", "url": "http://kimocklist.net/"} +{"d:Title": "All Music Guide: King", "d:Description": "Includes profile, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King", "url": "http://www.allmusic.com/artist/king-mn0000089071"} +{"d:Title": "Carole King", "d:Description": "Profile and album reviews from Wilson and Alroy.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://www.warr.org/cking.html"} +{"d:Title": "CaroleKing.com", "d:Description": "Official site. Contains news, streaming audio, and information on the album \"Love Makes the World\".", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://www.caroleking.com/"} +{"d:Title": "Super Seventies Rock Site: Carole King - Music", "d:Description": "A compilation of reviews of \"Music\", the album.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://www.superseventies.com/spking.html"} +{"d:Title": "Carole King at Spectropop", "d:Description": "Fan site with articles, photos, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://www.spectropop.com/brill/caroleking.html"} +{"d:Title": "Carole King World", "d:Description": "Discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://caroleworld.yuku.com/"} +{"d:Title": "RollingStone.com: Carole King", "d:Description": "Biography, discography and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://www.rollingstone.com/music/artists/carole-king"} +{"d:Title": "Rock and Roll Hall of Fame: Carole King and Gerry Goffin", "d:Description": "Page commemorating the duo's 1990 induction.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://rockhall.com/inductees/gerry-goffin-and-carole-king/"} +{"d:Title": "Salon Music: Really Rosie", "d:Description": "Review of \"Really Rosie\", re-released on CD in 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King,_Carole", "url": "http://www.salon.com/1999/05/25/tapestry/"} +{"d:Title": "Adam Ross Music", "d:Description": "Official site. Includes latest news, music downloads, CD ordering information, biography, and photos. [Kingsize guitarist]", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kingsize", "url": "http://www.adamrossmusic.com/"} +{"d:Title": "KingSize: A Boston Band", "d:Description": "Includes images, and album liner notes.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kingsize", "url": "http://www.rci.rutgers.edu/~deis/ks.html"} +{"d:Title": "History of Rock: The Kingsmen", "d:Description": "Profile with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kingsmen,_The", "url": "http://www.history-of-rock.com/kingsmen.htm"} +{"d:Title": "All Music Guide: The Kingsmen", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kingsmen,_The", "url": "http://www.allmusic.com/artist/the-kingsmen-mn0000773642"} +{"d:Title": "Kings of Convenience Appreciation Page", "d:Description": "Fan site includes news, biography, interviews, discography and lyrics, gig dates, audio and video, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kings_of_Convenience", "url": "http://www.kingsofconvenience.org/"} +{"d:Title": "Kings of Convenience", "d:Description": "Discography, audio, video, history, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kings_of_Convenience", "url": "http://www.astralwerks.com/artist/kings-of-convenience/"} +{"d:Title": "Kings of Leon", "d:Description": "Official site featuring news, tour dates, band member biographies, photos, a message board, and sound and video clips.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kings_of_Leon", "url": "http://www.kingsofleon.com/"} +{"d:Title": "Wikipedia: Kings of Leon", "d:Description": "Article includes band history, trivia and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kings_of_Leon", "url": "http://en.wikipedia.org/wiki/Kings_of_Leon"} +{"d:Title": "The King's X Page at Kramer's Korner", "d:Description": "Includes regular updates and rare Real Audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kings_X", "url": "http://www.kramerskorner.com/kingsx.html"} +{"d:Title": "King Adora Land", "d:Description": "Includes up-to-date news, a biography, discography, tour dates, lyrics, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Adora", "url": "http://www.angelfire.com/punk2/kingadora/"} +{"d:Title": "King Adora", "d:Description": "Contains news, biography, discography and lyrics, song interpretations, polls, pictures, tour dates, fan listing, fan fiction, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Adora", "url": "http://www.beepworld.de/members15/queenadora/"} +{"d:Title": "Elephant Talk", "d:Description": "Information about King Crimson and the various solo artists who have been with Crimson. It is home to the mailing list of the same name, and includes concert reviews, discographies, and interviews with band members.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Crimson", "url": "http://www.elephant-talk.com/"} +{"d:Title": "The King Crimson Discography 1969-1996", "d:Description": "A discography with lyrics and band lineups.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Crimson", "url": "http://users.utu.fi/petolo/crimson.html"} +{"d:Title": "King Crimson Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Crimson", "url": "http://lyrics.rockmagic.net/lyrics/king_crimson/"} +{"d:Title": "The Official King Crimson Web Site", "d:Description": "Includes news, biography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Crimson", "url": "http://www.king-crimson.com/"} +{"d:Title": "Mark's Record Reviews: King Crimson", "d:Description": "A collection of reviews for the band's albums with readers comments.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Crimson", "url": "http://www.markprindle.com/kingc.htm"} +{"d:Title": "King Uszniewicz and his Uszniewicztones", "d:Description": "Review of their album \"Teenage Dance Party\".", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Uszniewicz_and_his_Uszniewicztones", "url": "http://users.skynet.be/dada/critiq/A/Kingu.htm"} +{"d:Title": "King Uszniewicz&His Uszniewicztones", "d:Description": "Band profile from Allmusic.com with a biography, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/King_Uszniewicz_and_his_Uszniewicztones", "url": "http://www.allmusic.com/artist/king-uszniewicz-his-uszniewicztones-mn0001439884"} +{"d:Title": "Oscar's Kinks Page", "d:Description": "Oscar's personal Kinks page.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.angelfire.com/ok/KINKSMANN/index.html"} +{"d:Title": "Kinda Like Those Kinks", "d:Description": "A place to pay tribute to legenedary British-Invasion rock act, The Kinks.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.angelfire.com/rock/kinks/"} +{"d:Title": "Golden Age of the Kinks", "d:Description": "Covers the period from 1966 to 1972.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.furious.com/perfect/kinks.html"} +{"d:Title": "The Great North London Kinks Tour", "d:Description": "This is a recommended route to take in all the Kinks locations in Muswell Hill and surrounding area, as described on the Jim Smart / Geoff Lewis Big Black Smoke site.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://kinks.freeservers.com/route/"} +{"d:Title": "The Kinks - The Official Biography", "d:Description": "The full story behind some of the most timeless pop songs ever written and the group that created them. First published 1984.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://kinks.it.rit.edu/books/book-savage.html"} +{"d:Title": "June's Waterloo Konnection", "d:Description": "Links to sites for The Kinks, Ray Davies, and Dave Davies.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.waterloo.50megs.com/"} +{"d:Title": "Rock and Roll's Cain and Abel: The Kinks' Davies Brothers", "d:Description": "Article / interview with Dave and Ray Davies.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.austinchronicle.com/issues/vol16/issue11/music.kinks.html"} +{"d:Title": "The Kinks News: Topix", "d:Description": "News about The Kinks continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.topix.com/who/the-kinks"} +{"d:Title": "Dave Emlen's Unofficial Kinks Web Site", "d:Description": "News, lyrics and chords, books, videos, posters, and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.kindakinks.net/"} +{"d:Title": "Rock and Roll Hall of Fame: The Kinks", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://rockhall.com/inductees/the-kinks/"} +{"d:Title": "RollingStone.com: The Kinks", "d:Description": "Includes a biography, discography, photos, articles, audio files, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kinks,_The", "url": "http://www.rollingstone.com/music/artists/the-kinks"} +{"d:Title": "RockMagic.net: KISS Guitar Tabs", "d:Description": "A collection of 108 guitar tablatures, 9 chords and 32 bass tabs for 115 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.rockmagic.net/guitar-tabs/kiss/"} +{"d:Title": "KISS Online", "d:Description": "The only official home for the KISS Army. The source for accurate and up to date news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.kissonline.com/"} +{"d:Title": "RockMagic.net: KISS", "d:Description": "A collection of lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://lyrics.rockmagic.net/lyrics/kiss/"} +{"d:Title": "Kissaholics", "d:Description": "Home of zine specializing in collecting. Includes archive, interviews, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://gcent.info/kissaholics/"} +{"d:Title": "Entertainment Ave: KISS", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/k/kiss/ki102196.htm"} +{"d:Title": "Entertainment Ave: KISS", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/k/kiss/ki071696.htm"} +{"d:Title": "KISS Conquers The Midwest", "d:Description": "UnRated Magazine review and photos from Alpine Valley, WI show on September 6, 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=129"} +{"d:Title": "Kiss News: Topix", "d:Description": "News about Kiss continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.topix.com/who/kiss"} +{"d:Title": "Yahoo! Groups: KISS Nation", "d:Description": "A bulletin board for fans to discuss the past present and future of the band. Compare collections, share concert reviews, and opinions.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://launch.groups.yahoo.com/group/kiss_nation/"} +{"d:Title": "KISS Market Online", "d:Description": "Listing of items available for trade including CDs, LPs, videos, tour books, magazines, and collectibles.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://members.tripod.com/~flea_2/kiss.html"} +{"d:Title": "RollingStone.com: KISS", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.rollingstone.com/music/artists/kiss"} +{"d:Title": "MTV: KISS", "d:Description": "Features news, biographies, tour dates, audio and video clips, photos, bulletin boards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS", "url": "http://www.mtv.com/artists/kiss/"} +{"d:Title": "The Official Eric Carr Website", "d:Description": "The official Eric Carr website and includes a bulletin board, Rockheads, Inside the Tale of the Fox, Rockology, news, photos, multimedia, audio, video on Eric Carr and The Fox.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Ex-members/Carr,_Eric", "url": "http://www.ericcarr.com/"} +{"d:Title": "Bruce Kulick's Virtual Studio", "d:Description": "All about Bruce, former KISS guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Ex-members/Kulick,_Bruce", "url": "http://www.kulick.net/"} +{"d:Title": "Former KISS Guitarist Shot Outside West Hollywood Club", "d:Description": "AP wire article about Kulick being shot in the leg.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Ex-members/Kulick,_Bruce", "url": "http://legacy.sandiegouniontribune.com/news/state/20031016-0602-ca-guitaristshot.html"} +{"d:Title": "All Access", "d:Description": "Official site with news, tour dates, discography, photo gallery, merchandise, and sound files in RealAudio and MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Ex-members/Singer,_Eric", "url": "http://www.eric-singer.de/"} +{"d:Title": "KISS Asylum", "d:Description": "Features news (translated in 12 languages), features, articles, tour dates, multimedia, online fanzines, bulletin boards, and chats.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.kissasylum.com/"} +{"d:Title": "The Best of KISS / RockOn Forever", "d:Description": "Features news and information about the band, MIDI files, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.angelfire.com/il2/RockOn/"} +{"d:Title": "Co-T's KISS Page", "d:Description": "Features a discography, history, trivia, and opinion poll.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://co_t.tripod.com/kisspage/"} +{"d:Title": "KISSin' UK", "d:Description": "Contains latest news, tour dates, reviews, biographies, pictures, tribute band list, pen pals, air-brushed T-shirts, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.kissinuk.com/"} +{"d:Title": "KISS Me Alive", "d:Description": "Contains images, free screensavers, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.angelfire.com/ak/koolcars/"} +{"d:Title": "Unholy KISS", "d:Description": "Features news, tour dates, profiles, chat room, and image gallery,", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.unholykiss.freeservers.com/"} +{"d:Title": "Lynn's KISS Dominion", "d:Description": "Includes news, biography, photo gallery, computer/original/fan art, downloadable audio and video clips, and chatroom.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.kissdominion.com/"} +{"d:Title": "KISS The '70s", "d:Description": "Browse through audio clips, photos, and merchandise featuring the quartet during the height of its long-lasting career.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://kiss_the_70s.tripod.com/"} +{"d:Title": "Eulenspiegel's KISS Collector", "d:Description": "A Switzerland-based site featuring collectible items, trading post, photographs, cover songs list, and a list of RIAA awards the band has won.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.kiss.ch/"} +{"d:Title": "KISS Klips", "d:Description": "Video clips and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.angelfire.com/rock2/kissrokz/"} +{"d:Title": "KISS Freaks", "d:Description": "Features biographies, discography, videography, cover scans, photographs, art work, and information on current expos. Includes downloads such as desktop themes, screen savers, icons, cursors, startup screens, fonts, and skins.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.kissarmywarehouse.com/"} +{"d:Title": "KISS Home", "d:Description": "Includes links, chat, forum, image gallery, band history, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://home.kpn.nl/netsurfer01/kisshome.htm"} +{"d:Title": "KISS News", "d:Description": "Includes news, museum, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Fan_Pages", "url": "http://www.kissnews.de/NewsUSA.htm"} +{"d:Title": "Space Ace Online", "d:Description": "Fan site featuring biography, discography, lyrics, photos, news, gossip, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Frehley,_Ace", "url": "http://www.spaceaceonline.com/"} +{"d:Title": "Metal Marine's MIDI Vault: KISS", "d:Description": "20 MIDI downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/MIDI_Files", "url": "http://members.tripod.com/~metal_marine/kiss.htm"} +{"d:Title": "GeneSimmons.com", "d:Description": "A fansite featuring images, games, biography, discography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Simmons,_Gene", "url": "http://www.genesimmons.com/"} +{"d:Title": "Hope's Paul Stanley Page", "d:Description": "Tribute with pictures, computer graphics, MIDI files and fan stories.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Stanley,_Paul", "url": "http://hopespaulstanleypage.atspace.com/"} +{"d:Title": "Parasite (UK)", "d:Description": "UK KISS tribute; article with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Tribute_Bands", "url": "http://www.kissinuk.com/tribpara.htm"} +{"d:Title": "Kings of the Nighttime World", "d:Description": "A Chicago based KISS tribute band. Show dates, photos, biographies, song list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Tribute_Bands", "url": "http://www.kingsofthenight.com/"} +{"d:Title": "KISS n Tell", "d:Description": "Nationally touring KISS tribute band from the USA with authentic costumes, makeup, and pyrotechnics. Features pictures, tour dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Tribute_Bands", "url": "http://www.angelfire.com/rock/kissntell/"} +{"d:Title": "Dressed To Kill (UK)", "d:Description": "Longest running UK tribute band", "topic": "Top/Arts/Music/Bands_and_Artists/K/KISS/Tribute_Bands", "url": "http://www.kissinuk.com/dtk/"} +{"d:Title": "Kitaro House", "d:Description": "Fan site. Includes biography, discography, audio downloads, photos, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kitaro", "url": "http://www.gigapolis.com/kitaro/"} +{"d:Title": "Eric's World of Kitaro", "d:Description": "Fan site, with brief artist profile, discography and album artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kitaro", "url": "http://www.angelfire.com/nf/alpha/kitaro.html"} +{"d:Title": "NY Rock: Interview with Talena of Kittie", "d:Description": "Talena talks about discrimination, oppression, and sex.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie", "url": "http://www.nyrock.com/interviews/2000/kittie.htm"} +{"d:Title": "Absolute Divas - Kittie", "d:Description": "Includes biography, discography, and a picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie", "url": "http://www.absolutedivas.com/kittie/"} +{"d:Title": "MTV Online: Kittie", "d:Description": "Includes audio and video clips, an album review, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie", "url": "http://www.mtv.com/artists/kittie/"} +{"d:Title": "WebRing: Kittie", "d:Description": "A web ring connecting various sites and pages devoted to the band, the music, and the girls.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie", "url": "http://www.webring.org/hub?ring=bandkittie"} +{"d:Title": "All Music Guide: Kittie", "d:Description": "Includes biography, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie", "url": "http://www.allmusic.com/artist/kittie-mn0000097491"} +{"d:Title": "Do You Think I'm a Whore", "d:Description": "A very brief fan page with tour dates, biography, poll, a Winamp skin, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://kittiewhore.8k.com/"} +{"d:Title": "Hope You Choke", "d:Description": "A brief Kittie fan page with pictures, lyrics, links, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/band/spitkittenspit/index.html"} +{"d:Title": "Just Kittie", "d:Description": "A fan site with pictures, song clips, biography, discography, news, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/music/KITTIE/main.html"} +{"d:Title": "Kittie", "d:Description": "A fan site with pictures, lyrics, concert dates, interviews, reviews, news, and clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/rock/kittienumetal/"} +{"d:Title": "Kittie Inferno", "d:Description": "A fan page with biography, tablature, links, lyrics, photos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/rock/kornkittie/"} +{"d:Title": "KittieKat", "d:Description": "Contains news, tour dates, biographies, image gallery, discography, lyrics, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/band/KiTTiEKaT/"} +{"d:Title": "Wonderful World of Kittie", "d:Description": "A brief fan site with news, a profile, guitar and bass tablatures, and links to lyrics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/mi2/Kittie/"} +{"d:Title": "Your Head is in My Closet", "d:Description": "A brief Kittie fan page with tour dates, lyrics, a discography, and Real Audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/stars/KiTTiE/KiTTiE.html"} +{"d:Title": "Right Where I Want You", "d:Description": "Kittie fan site offers a biography, pictures, quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/rock2/charlettezkittie/"} +{"d:Title": "KittieNET", "d:Description": "Includes a biography, influences, pictures and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://kittienet.freeservers.com/"} +{"d:Title": "Fallon", "d:Description": "Pictures of Fallon and links to Kittie sites.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/rock/fallon/"} +{"d:Title": "Get Kittie", "d:Description": "Contains news, tour dates, a biography, band member profiles, discography, lyrics, pictures, and AIM icons.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/goth/getkittie/"} +{"d:Title": "Kittie Inc.", "d:Description": "Band profiles, pictures, sound downloads, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/band/drakee/kittie.htm"} +{"d:Title": "Little Sheltered Girl", "d:Description": "Lyrics, tour dates, and fan experiences with Kittie.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://www.angelfire.com/ks2/kittiekats/"} +{"d:Title": "Kittie: They're A Million!", "d:Description": "Tour dates, news, lyrics, and Kittie satire.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://dolphin-skull.tvheaven.com/"} +{"d:Title": "The Mansion", "d:Description": "Pictures of Kittie live.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kittie/Fan_Pages", "url": "http://haushinkad.tripod.com/seethrume.html"} +{"d:Title": "Austin Chronicle: Kitty Gordon", "d:Description": "Article. In Development by Andy Langer.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kitty_Gordon", "url": "http://www.austinchronicle.com/issues/vol18/issue46/music.kittygordon.html"} +{"d:Title": "Contactmusic: Jeff Klein", "d:Description": "Another Breakdown, single review, by Gavin Eves.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Klein,_Jeff", "url": "http://www.contactmusic.com/pages/jeffkleinx29x05x03"} +{"d:Title": "Jess Klein Official Site", "d:Description": "News, tour dates and diary, biography, discography, MP3 downloads, lyrics, reviews, and online ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Klein,_Jess", "url": "http://www.jessklein.com/"} +{"d:Title": "Artists Direct Network: Jess Klein", "d:Description": "Biography, tour dates, links, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Klein,_Jess", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,883218,00.html?artist=Jess%2BKlein"} +{"d:Title": "Yahoo! Groups: JessK", "d:Description": "Mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Klein,_Jess", "url": "http://launch.groups.yahoo.com/group/JessK/"} +{"d:Title": "The KLF Mainpage", "d:Description": "Articles, interviews, and reviews plus KLF's \"The Manual\", lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KLF", "url": "http://www.lysator.liu.se/~johol/KLF/"} +{"d:Title": "KLF Online", "d:Description": "Fan page from several German fans. Complete coverage of Bill Drummond and Jimmy Cauty, including side projects, remixing and solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KLF", "url": "http://www.klf.de/"} +{"d:Title": "The Mu Museum", "d:Description": "Biography, discography, photos, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KLF", "url": "http://positivevoid.co.uk/"} +{"d:Title": "Lazlo's Discography Machine: KLF", "d:Description": "Discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KLF", "url": "http://www.studio-nibble.com/lazlo-bin/discogs/klf"} +{"d:Title": "Klutching Envy", "d:Description": "Fan site. Interview with Johnny Sick Wish, photos, links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Klutching_Envy", "url": "http://www.angelfire.com/band/klutchingenvy/"} +{"d:Title": "World of KMFDM", "d:Description": "Official site, maintained by Sascha Konietzko. History, discography, lyrics to select songs, frequently asked questions, and place to order official merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.kmfdm.net/"} +{"d:Title": "KMFDMania", "d:Description": "Includes discography, history, links, original poems, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://nathangod.tripod.com/KMFDM.html"} +{"d:Title": "Mission: MDFMK", "d:Description": "Current news, full discography, multimedia, excusive content - maintained by an mdfmk representative.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.spy.net/~icabod/mdfmk/index.shtml"} +{"d:Title": "Metropolis Records: KMFDM", "d:Description": "Detailed biography of the band from one of their record labels in the United States. MP3 and realplayer audio clips are included.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.metropolis-records.com/?/artists/?artist=kmfdm"} +{"d:Title": "DNA Lounge: KMFDM Featuring Pig Photos", "d:Description": "Live pictures taken at the DNA Lounge. Also includes live photos of 16 Volt and Kidneythieves from the same show.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.dnalounge.com/gallery/2002/06-03/"} +{"d:Title": "Sonic Boom: KMFDM Interview", "d:Description": "Interview with Sascha Konietzko, Tim Skold, and Gunter Schultz.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.sonic-boom.com/interview/kmfdm.interview.html"} +{"d:Title": "Lab Productions Music Magazine: KMFDM Interview", "d:Description": "Post-WWIII interview with Sascha.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.labproductions.com/news/Stories/2003/11/10/10684840721.shtml"} +{"d:Title": "USATODAY.com", "d:Description": "Sascha answers fan-submitted questions.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://cgi1.usatoday.com/mchat/20020205004/tscript.htm"} +{"d:Title": "ArtistDirect Network: KMFDM", "d:Description": "Includes a biography, discography, related artists, and a list of hit songs with windows media and realplayer audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,454099,00.html"} +{"d:Title": "KAOS2000 Magazine", "d:Description": "MDFMK-era interview with Sascha Konietzko by David Lee Wilson.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.kaos2000.net/interviews/mdfmk00.html"} +{"d:Title": "Seattle Weekly", "d:Description": "Sascha and Lucia interviewed by Andrew Bonazelli.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.seattleweekly.com/2003-10-15/music/juke-joint-jezebels/"} +{"d:Title": "MTV: KMFDM", "d:Description": "News, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.mtv.com/artists/kmfdm/"} +{"d:Title": "Massive Attack: The KMFDM Webring", "d:Description": "Houses over 60 sites.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.webring.org/hub?ring=kmfdmring"} +{"d:Title": "All Music Guide: KMFDM", "d:Description": "Biography, discography, select album covers, and information on the members and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/K/KMFDM", "url": "http://www.allmusic.com/artist/kmfdm-mn0000359062"} +{"d:Title": "Beverley Knight", "d:Description": "Official site includes news, diary, audio and video, photo gallery, band member profiles, buddy icons, wallpaper, forum, and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knight,_Beverley", "url": "http://www.beverleyknight.com/"} +{"d:Title": "Fresh Air Online", "d:Description": "Real Audio interview with the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knight,_Gladys", "url": "http://freshair.npr.org/day_fa.jhtml?displayValue=day&todayDate=02/02/2001"} +{"d:Title": "Rock and Roll Hall of Fame: Gladys Knight and the Pips", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knight,_Gladys", "url": "http://rockhall.com/inductees/gladys-knight-and-the-pips/"} +{"d:Title": "MTV: Gladys Knight", "d:Description": "Profile offers a biography, discography, audio clips, news, tour dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knight,_Gladys", "url": "http://www.mtv.com/artists/gladys-knight/"} +{"d:Title": "AskMen.com - Solange Knowles", "d:Description": "Profile includes picture, biography, quotes, multimedia, and related news links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knowles,_Solange", "url": "http://askmen.com/celebs/women/celeb_profiles_singer/1_solange_knowles.html"} +{"d:Title": "All Music Guide: Solange", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knowles,_Solange", "url": "http://www.allmusic.com/artist/solange-mn0000041462"} +{"d:Title": "Knucklehead", "d:Description": "Alternative hardcore punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knucklehead", "url": "http://knucklehead.8m.com/"} +{"d:Title": "Hydra Head Records: Knut", "d:Description": "Record label site for the band. Contains news, art work, photographs, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Knut", "url": "http://www.hydrahead.com/knut/"} +{"d:Title": "Wilson and Alroy's Record Reviews: Kool&the Gang", "d:Description": "Brief reviews with rankings of many of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kool_and_the_Gang", "url": "http://www.warr.org/kool.html"} +{"d:Title": "Consumable Online", "d:Description": "Interview with Koppes about The Church and his solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Koppes,_Peter", "url": "http://www.westnet.com/consumable/1998/10.07/intchurc.html"} +{"d:Title": "Official Korn site", "d:Description": "Features audio, video, tour dates, images, news, fan forums, biography, merchandise and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://www.korn.com/"} +{"d:Title": "Entertainment Ave: Korn", "d:Description": "Review of a concert at The Allstate Arena in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/k/korn/ko031600.htm"} +{"d:Title": "Entertainment Ave: Korn", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/korn.htm"} +{"d:Title": "KornSpot", "d:Description": "Images, lyrics, biographies, videos, and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://kornspot.atspace.com/"} +{"d:Title": "Korn News: Topix", "d:Description": "News about Korn continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://www.topix.com/who/korn"} +{"d:Title": "Artist Direct: Korn", "d:Description": "Links to websites, MP3s, lyrics, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,516409,00.html"} +{"d:Title": "RollingStone.com: Korn", "d:Description": "Includes a biography, discography, photos, articles, video, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://www.rollingstone.com/music/artists/korn"} +{"d:Title": "MTV: Korn", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "http://www.mtv.com/artists/korn/"} +{"d:Title": "Yahoo Music: Korn", "d:Description": "Includes discography, videos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn", "url": "https://music.yahoo.com/artist/korn/"} +{"d:Title": "Bagpipe Hippie's Korn Pages", "d:Description": "Includes sounds, pictures, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://bagpipehippie.tripod.com/korn.html"} +{"d:Title": "Korn Rulz", "d:Description": "Biography, MP3s, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/KoRnRulz13/"} +{"d:Title": "Chris's Korn World", "d:Description": "Includes pictures, audio and news.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/chriskornworld/"} +{"d:Title": "Korn / Skateboarding", "d:Description": "Audio, videos, pictures, news and complete biographies. Also a section on skateboarding.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/punk/KoRnSkate/"} +{"d:Title": "Kornfreakz", "d:Description": "Contains biographies, lyrics, photos, equipment list, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/punk2/kornfreakz/"} +{"d:Title": "Kat's Korn Page", "d:Description": "Contains pictures, biography, lyrics, logos and song origins.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/ns/katkorn/"} +{"d:Title": "The Korn Field", "d:Description": "Photos, song and video clips, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/music/jbevel/"} +{"d:Title": "Korn Kabin", "d:Description": "Contains sounds, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/nj/gotthelife/"} +{"d:Title": "Korn Kastle", "d:Description": "Includes lyrics of various CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/biz3/korn39/"} +{"d:Title": "Korn Rocks", "d:Description": "Quiz and pictures on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/il2/freakonaleash1/"} +{"d:Title": "Korn UK", "d:Description": "Pictures, wallpaper, screensavers, games, forums, chat, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://members.tripod.com/N64_dave/"} +{"d:Title": "Amys Korn Hole", "d:Description": "Lyrics, tablatures, biographies, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/al2/Korn/"} +{"d:Title": "The Korn Kastle", "d:Description": "Includes biographies, pictures, fan dictionary, logos, lyrics, song meanings, equipment list, wallpaper, MIDIs, chat transcripts, and videography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock2/kornkastle/"} +{"d:Title": "Kayaman's Korn Site", "d:Description": "Includes lyrics, pictures, logos, biographies, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/myband/Korn/"} +{"d:Title": "Korn - Are You Ready?", "d:Description": "Pictures, guitar tablatures, lyrics, audio files, downloads, desktop themes and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://pedro.malheiro.itgo.com/"} +{"d:Title": "Korn's Issues Shack", "d:Description": "Pictures, lyrics, links, chat, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/tx3/issues/index.html"} +{"d:Title": "The Pad: Korn", "d:Description": "Photos, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/ct2/erinroye3/index.html"} +{"d:Title": "Ben's Korn Page", "d:Description": "Has photos, discography, tour dates, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/va/KoRn666/"} +{"d:Title": "Just Korn", "d:Description": "Current tour information and lyrics. Free email accounts.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/nc/justkorn/index.html"} +{"d:Title": "Eric's Korn Page", "d:Description": "Biographical information and discography on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/korn99999/"} +{"d:Title": "Who Got Issues", "d:Description": "Includes pictures, song meanings and band biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/music/whogotissues/"} +{"d:Title": "Elena's Kozy Little Korn Kottage", "d:Description": "Includes biography, lyrics and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/md/alenaspage/"} +{"d:Title": "The KoRn Place", "d:Description": "A tribute page, with tour dates, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/ab3/abf/"} +{"d:Title": "Life Is Peachy 2000", "d:Description": "Albums for stream and download (full length), biographies, discography and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/ab3/lifeispeachy/intro.html"} +{"d:Title": "Kornuphoria", "d:Description": "Includes links, images, band information, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/kornandsp/korn/korn.html"} +{"d:Title": "KoRny KaVe", "d:Description": "A tribute site for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/KoRnyKaVe/enter.html"} +{"d:Title": "KornMix", "d:Description": "Contains news, biographies, discography, song lyrics and meanings plus pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/nm/kornmix/"} +{"d:Title": "Klown Issues", "d:Description": "Contains pictures, logos, biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/klownissues/"} +{"d:Title": "The KoRn Playground", "d:Description": "Lyrics, images and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/nj4/thekornmaze/index2.html"} +{"d:Title": "KornKimani Its On", "d:Description": "News, picture, lyrics, polls.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://kornkimani.tripod.com/korn/"} +{"d:Title": "Raven", "d:Description": "Features rants, pictures, desktop wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/predictable/"} +{"d:Title": "Korn Krazed", "d:Description": "Contains a biography, lyrics, tablatures, audio clips, and an equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/lyricsofkorn/"} +{"d:Title": "Korn Universe", "d:Description": "Features a logo and image gallery, and chat transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.kornuniverse.4t.com/"} +{"d:Title": "Chuck's Korny Page", "d:Description": "Includes a biography, image gallery, song lyrics and meaning, fonts, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/music2/kornfreak900/"} +{"d:Title": "Nick's K@%\u00a2! Kornpage", "d:Description": "Includes a biography, discography, lyrics, song meanings, an image gallery, tablatures, and desktop wallpaper. Also contains links to interviews, audio clips, and other desktop downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://ndoz2.tripod.com/"} +{"d:Title": "Korn Fanfik", "d:Description": "Contains fan fiction, photographs, and rants.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/goth/munkegrl/headpage.html"} +{"d:Title": "Fish Stick Warriors", "d:Description": "Biography, quotes, pictures and information on Jonathan Davis.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/band/kornsters/index.html"} +{"d:Title": "Children of the Korn", "d:Description": "Fan directory to meet other fans.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/yt/childrenofthekorn/index.html"} +{"d:Title": "Korners of My Mind", "d:Description": "Includes news, tour dates, biography, discography, lyrics, MIDI files, pictures, interactive section, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/sd2/korn/"} +{"d:Title": "Untouchable Korn", "d:Description": "Includes biographies, lyrics, discography, pictures, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://yomama_hawks88.tripod.com/"} +{"d:Title": "Divine Davis", "d:Description": "Fan site about Jonathon Davis. Includes news, tour dates, biography, photos, discography, videography, lyrics and song meanings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock3/divinedavis/"} +{"d:Title": "Steve's Place", "d:Description": "Korn pictures; also links and pictures for other bands.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/freak2/korn_rules/"} +{"d:Title": "A.D.I.D.A.S.", "d:Description": "Pictures, tablatures, biography, discography, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/rock/ADIDAS/"} +{"d:Title": "KoRn WoRLd", "d:Description": "Includes biographies, pictures, links, audio, web rings, and logos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/punk/korn4228272/index.html"} +{"d:Title": "Korn from Simpol.net", "d:Description": "Includes news, audio and video, downloads, biography, discography, lyrics, an alphabetical song listing, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://korn.simpol.net/"} +{"d:Title": "KoRn Kids", "d:Description": "Includes news, tour information, lyrics, biography videos, sounds, pictures, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://www.angelfire.com/nj/nickwightman/"} +{"d:Title": "Ryan'z Unofficial Korn Page", "d:Description": "Audio, video, polls, links, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://xxnitronxx.tripod.com/KoRn.html"} +{"d:Title": "Falling Away From Me", "d:Description": "Includes articles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://korngurl4.tripod.com/Falling_Away_From_Me/"} +{"d:Title": "Kanned Korn", "d:Description": "Includes a biography, image gallery, fan art, lyrics, fonts, polls, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Fan_Pages", "url": "http://stonecutter908.tripod.com/KoRn.html"} +{"d:Title": "Korn Pics", "d:Description": "Thumbnailed photos of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Image_Galleries", "url": "http://www.angelfire.com/ks2/jmemusik/kornpics.html"} +{"d:Title": "LyricsFreak: Korn", "d:Description": "An alphebetic listing of song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Lyrics", "url": "http://www.lyricsfreak.com/k/korn/"} +{"d:Title": "Rockmagic.net: Korn", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/korn/"} +{"d:Title": "Korn Tabs", "d:Description": "List of tablature alphabetized by song.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Lyrics", "url": "http://www.guitaretab.com/k/korn/"} +{"d:Title": "Songsterr: Korn", "d:Description": "Bass tablature sorted by song title.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Korn/Lyrics", "url": "http://www.songsterr.com/a/wsa/korn-tabs-a155?inst=bass"} +{"d:Title": "Kosheen", "d:Description": "Official site with tour dates, biography, articles, image gallery, MP3 samples, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kosheen", "url": "http://www.kosheen.com/"} +{"d:Title": "Kottonmouth Kings", "d:Description": "Official site featuring audio, photos, tour information, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kottonmouth_Kings", "url": "http://www.kottonmouthkings.com/"} +{"d:Title": "Kottonmouth Kings", "d:Description": "Fan site features news, show reviews, tour dates, discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kottonmouth_Kings", "url": "http://braddaddyx.tripod.com/kottonmouth0kings/"} +{"d:Title": "Kottonmouth Realm", "d:Description": "Fan site containing a biography, discography, tour dates, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kottonmouth_Kings", "url": "http://www.angelfire.com/music2/kottonmouthrealm/"} +{"d:Title": "KottonMouth Kings 420", "d:Description": "Biography, discography, tour dates, lyrics, pictures, audio, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kottonmouth_Kings", "url": "http://kmk4202.tripod.com/enter.html"} +{"d:Title": "Kraftwerk", "d:Description": "Official site of the band. Includes photos, news, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.kraftwerk.com/"} +{"d:Title": "Kraftwerk: The Mids", "d:Description": "MIDI and other media files.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.webwerk.connectfree.co.uk/"} +{"d:Title": "Kraftwerk Discography - Mind On Music", "d:Description": "Hosted at a user-edited discography site, where people submit albums and notes, and vote on albums.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.cs.uit.no/Music/ViewGrp?grp_id=392"} +{"d:Title": "Kraftwerk: Computer Welt", "d:Description": "An extensive fan site, with album notes, reviews, pictures, and sounds. [Some pages contain embedded music]", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.angelfire.com/nj/groovethang/"} +{"d:Title": "Mat's Kraftwerk Page", "d:Description": "Images and information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://hem.fyristorg.com/kraftwerk"} +{"d:Title": "The Kraftwerk Influence", "d:Description": "Compilation of albums by other groups that sample or otherwise refer to Kraftwerk.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.cuug.ab.ca/~lapierrs/creative/kraftwerk/index.html"} +{"d:Title": "Kraftwerk - Frequently Asked Questions", "d:Description": "Overview of the group's history, albums, and current activity. Includes lists of instruments and equipment used by the group, discussion of availability of rare albums and videos, background on the members, and links to other Kraftwerk resources.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://kraftwerk.hu/faq/"} +{"d:Title": "Kraftwerk Email List Archives", "d:Description": "Archive of messages, dating from 1993 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://mailman.xmission.com/lurker/list/kraftwerk.en.html"} +{"d:Title": "Twingo's Page of Kraftwerk", "d:Description": "Includes a list of Kraftwerk's concert dates from 1969 to the present, pictures from concerts, and a small collection of pictures from magazines.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://twingokraftwerk.com/"} +{"d:Title": "ArtistDirect: Kraftwerk", "d:Description": "Short overview of the group's history, links to purchase albums, and a list of related groups.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,454877,00.html"} +{"d:Title": "Kraftwerk International Discography", "d:Description": "Exhaustive compilation of the group's releases, including albums, singles, remixes, bootlegs, and solo projects.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.poecker.homepage.t-online.de/01/discog.htm"} +{"d:Title": "Rollingstone.com: Kraftwerk", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.rollingstone.com/music/artists/kraftwerk"} +{"d:Title": "MTV: Kraftwerk", "d:Description": "News, album reviews, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.mtv.com/artists/kraftwerk/"} +{"d:Title": "The Kraftwerk WebRing", "d:Description": "A group of sites about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kraftwerk", "url": "http://www.webring.org/webring?ring=kraftwerk"} +{"d:Title": "Krall, Diana", "d:Description": "Biography, CDs and review of Montreux Jazz Festival concert.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krall,_Diana", "url": "http://www.cosmopolis.ch/english/cosmo9/krallconcert.htm"} +{"d:Title": "Cosmopolis: Diana Krall", "d:Description": "A review of the album \"The Look of Love\" and information on her early years.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krall,_Diana", "url": "http://www.cosmopolis.ch/english/cosmo19/diana_krall.htm"} +{"d:Title": "The Official Diana Krall Site", "d:Description": "Features tour schedule, biography, streaming audio and video files, discography, photographs, and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krall,_Diana", "url": "http://www.dianakrall.com/"} +{"d:Title": "Diana Krall News: Topix", "d:Description": "News about Diana Krall continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krall,_Diana", "url": "http://www.topix.com/who/diana-krall"} +{"d:Title": "Verve Records: Diana Krall", "d:Description": "The official record label site includes downloads, tour dates, contests, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krall,_Diana", "url": "http://www.vervemusicgroup.com/dianakrall"} +{"d:Title": "AskMen.com: Diana Krall", "d:Description": "Pictures, biography, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krall,_Diana", "url": "http://www.askmen.com/celebs/women/singer/56_diana_krall.html"} +{"d:Title": "All Music Guide: Diana Krall", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krall,_Diana", "url": "http://www.allmusic.com/artist/diana-krall-mn0000255210"} +{"d:Title": "Lenny Kravitz Website", "d:Description": "Features news, tour dates, biography, discography, lyrics, photos, interviews, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.lenny-kravitz.com/"} +{"d:Title": "Lenny Kravitz", "d:Description": "Official site includes news, discography, sound files, pictures, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.lennykravitz.com/"} +{"d:Title": "Cosmopolis: Lenny Kravitz", "d:Description": "Includes a biography and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.cosmopolis.ch/english/cosmo14/lennykravitz.htm"} +{"d:Title": "Matt's Lenny Kravitz Page", "d:Description": "Images, MIDIs, WAVs, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.mattsmusicpage.com/nlenny.htm"} +{"d:Title": "Rockmagic.net: Lenny Kravitz", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://lyrics.rockmagic.net/lyrics/kravitz_lenny/"} +{"d:Title": "Lenny Kravitz Net", "d:Description": "Biography, discography, videography, photos, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.lennykravitz.net/"} +{"d:Title": "Mxdpi: Lenny Kravitz", "d:Description": "Picture galleries, slideshow and postcards.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.mxdpi.com/pictures/MUSIC/Lenny_Kravitz"} +{"d:Title": "AskMen.com: Lenny Kravitz", "d:Description": "Images, profile, general information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.askmen.com/celebs/men/december99/4_lenny_kravitz.html"} +{"d:Title": "MTV: Lenny Kravitz", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kravitz,_Lenny", "url": "http://www.mtv.com/artists/lenny-kravitz/"} +{"d:Title": "Kreator", "d:Description": "Official site. Includes news, band history, discography, tour dates, photos, forum, lyrics, downloads, and merchandise. [German and English versions]", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreator", "url": "http://www.kreator-terrorzone.de/"} +{"d:Title": "Rockmagic.net: Kreator", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreator", "url": "http://lyrics.rockmagic.net/lyrics/kreator/"} +{"d:Title": "MusicMight.com: Kreator", "d:Description": "Featuring biography, discography, and track listings.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreator", "url": "http://www.musicmight.com/artist/germany/essen/kreator"} +{"d:Title": "Kreator", "d:Description": "Fan site with a biography, discography, videography, RealAudio clips, lyrics, image gallery, tabs, articles, polls, cover scans, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreator", "url": "http://kreator1.tripod.com/"} +{"d:Title": "Chantal Kreviazuk - God Made Her", "d:Description": "A synopsis of the details revealed in various interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreviazuk,_Chantal", "url": "http://mypage.direct.ca/c/chanp/chantal.html"} +{"d:Title": "Chantal Kreviazuk - A Natural Born Musician", "d:Description": "Large collection of categorized pictures, lyrics, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreviazuk,_Chantal", "url": "http://www.angelfire.com/ak/chantalk/"} +{"d:Title": "Absolute Divas: Kreviazuk, Chantal", "d:Description": "Song lyrics, photos, biography and a detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreviazuk,_Chantal", "url": "http://www.absolutedivas.com/chantal/"} +{"d:Title": "Chantal Kreviazuk Official Site", "d:Description": "The latest news and tour information, biography, music, voting booth, photos, and reviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreviazuk,_Chantal", "url": "http://www.chantalkreviazuk.com/"} +{"d:Title": "AskMen.com: Chantal Kreviazuk", "d:Description": "Pictures, biography, commentary and links for the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreviazuk,_Chantal", "url": "http://www.askmen.com/celebs/women/singer/39_chantal_kreviazuk.html"} +{"d:Title": "The Chantal Kreviazuk Webring", "d:Description": "Hub for Chantal fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreviazuk,_Chantal", "url": "http://www.webring.org/hub?ring=chantalring"} +{"d:Title": "All Music Guide: Chantal Kreviazuk", "d:Description": "Includes, biography, profile, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kreviazuk,_Chantal", "url": "http://www.allmusic.com/artist/chantal-kreviazuk-mn0000199917"} +{"d:Title": "ArtistDirect: Kris Kross", "d:Description": "Includes biography, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kris_Kross", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,455092,00.html"} +{"d:Title": "All-Music Guide: Kris Kross", "d:Description": "Kris Kross biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kris_Kross", "url": "http://www.allmusic.com/artist/kris-kross-mn0000106540"} +{"d:Title": "All Music Guide: Krokus", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krokus", "url": "http://www.allmusic.com/artist/krokus-mn0000105685"} +{"d:Title": "Spike Magazine: Viennese Whirls", "d:Description": "Chris Mitchell reviews the Kruder and Dorfmeister sessions.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kruder_and_Dorfmeister", "url": "http://www.spikemagazine.com/1199kandd.php"} +{"d:Title": "ArtistDirect: Kruder and Dorfmeister", "d:Description": "Includes a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kruder_and_Dorfmeister", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,538004,00.html"} +{"d:Title": "KrystaLuvers", "d:Description": "Biography, discography, articles, news, TV appearances, pictures, wallpaper, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krystal", "url": "http://gverderico.tripod.com/krystalharris"} +{"d:Title": "The Krystal Connection", "d:Description": "Lyrics, interviews, news, reviews, pictures, biography, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krystal", "url": "http://www.angelfire.com/musicals/krystal/"} +{"d:Title": "Her and Her Piano", "d:Description": "A Krystal Harris fan site with images, MP3 clips, lyrics, reviews, and street team information.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Krystal", "url": "http://www.angelfire.com/super/krystalpiano"} +{"d:Title": "Toshi Kubota", "d:Description": "Official site. Includes news, biography, discography, audio clips, tour diary, and press reviews. [English/Japanese]", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kubota,_Toshi", "url": "http://www.funkyjam.com/artist/kubota/"} +{"d:Title": "Kula Shaker", "d:Description": "Official site with news, history, discography, sound and video files, lyrics, merchandise, and fan forum.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kula_Shaker", "url": "http://www.kulashaker.co.uk/"} +{"d:Title": "Neha's Kula Shaker Page", "d:Description": "Biography, lyrics, quotes, sound files, and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kula_Shaker", "url": "http://members.tripod.com/~Neha_/second.html"} +{"d:Title": "Kula Shaker by Nonaka", "d:Description": "Fan site with pictures, biography, download, lyrics, sound, videos, and discography. Requires Flash 4.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kula_Shaker", "url": "http://kulashaker.8m.com/index2.htm"} +{"d:Title": "MTV: Kula Shaker", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kula_Shaker", "url": "http://www.mtv.com/artists/kula-shaker/"} +{"d:Title": "Kula Korner", "d:Description": "Fan site with a discography, pictures, lyrics, equipment guide, and guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kula_Shaker", "url": "http://kulakorner.tripod.com/kulamain.htm"} +{"d:Title": "Fela Anikulapo Kuti", "d:Description": "Discography with photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kuti,_Fela", "url": "http://www.mboss.force9.co.uk/fela/index.htm"} +{"d:Title": "Africaman Original", "d:Description": "Biographical article by Carter Van Pelt following the artist's death. *Aug. 1, 1997)", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kuti,_Fela", "url": "http://afrobeat-music.blogspot.com/2011/04/fela-kuti-africaman-original.html"} +{"d:Title": "African Music: Fela Kuti", "d:Description": "Article and discography, including singles.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kuti,_Fela", "url": "http://endolab.jp/endo/EAFela.html"} +{"d:Title": "NY Rock: An Interview with Ben Kweller", "d:Description": "Interview by Jeanne Fury.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kweller,_Ben", "url": "http://www.nyrock.com/interviews/2002/bk_int.asp"} +{"d:Title": "UBL: Ben Kweller", "d:Description": "Profile, tour dates and album details.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kweller,_Ben", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,1558900,00.html?src=redirsearch&artist=Ben%2BKweller"} +{"d:Title": "BigHassle.Com: Ben Kweller", "d:Description": "Biography, press photos and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kweller,_Ben", "url": "http://bighassle.com/publicity/ben-kweller"} +{"d:Title": "All Music Guide: Ben Kweller", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kweller,_Ben", "url": "http://www.allmusic.com/artist/ben-kweller-mn0000168126"} +{"d:Title": "The Kyuss Chronicles", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kyuss", "url": "http://postmandan75.tripod.com/phototropic/"} +{"d:Title": "TrouserPress.com: Kyuss", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kyuss", "url": "http://www.trouserpress.com/entry.php?a=kyuss"} +{"d:Title": "All Music Guide: Kyuss", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/K/Kyuss", "url": "http://www.allmusic.com/artist/kyuss-mn0000776011"} +{"d:Title": "Lyzhicko, Ruslana", "d:Description": "Ukrainian singer who won the Eurovision Song Contest in 2004. Her music is inspired by the traditions of the Hutsul people of the Carpathian Mountains.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.ruslana.ua/"} +{"d:Title": "L'Arc-en-Ciel", "d:Description": "Official Website. Provides the latest information about the band, including profile, discography, and biographies of the members.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.larc-en-ciel.com/"} +{"d:Title": "Lawson, Heather", "d:Description": "Latest news, check her schedule, and order her CDs and other gear.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.heatherlawson.com/"} +{"d:Title": "Laurie Z.", "d:Description": "Female keyboardist and composer. Biography, free sheet music downloads, discography, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lauriez.com/"} +{"d:Title": "Lemanski, Mary", "d:Description": "Independent singer/songwriter/pianist. News, biography, reviews, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://marylemanski.com/"} +{"d:Title": "Lutrell, Dawn", "d:Description": "Independent artist from Des Moines, Iowa. Site has news, biography, facts, show schedule, and samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://dawnlutrell.indiegroup.com/"} +{"d:Title": "Low, Jim", "d:Description": "Australian singer-songwriter. Articles, songs, lyrics, biography and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://jimlow.net/"} +{"d:Title": "Lights Out", "d:Description": "Official homepage for the Long Island based hardcore band.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lordj57.tripod.com/"} +{"d:Title": "Leprosy", "d:Description": "Industrial metal from Pittsburgh, PA. Calendar, pictures, sound files, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/extreme2/freek/"} +{"d:Title": "Last Hand Dealt", "d:Description": "Band information, pictures, and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lhd1.tripod.com/"} +{"d:Title": "Lunatics, The", "d:Description": "Gigs, CD store, photos, and audio clips for this surf instrumental combo from Oulu, Finland.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://tukio.pp.fi/sites/thelunatics/"} +{"d:Title": "Larry Stephenson Band", "d:Description": "Pinecastle recording artist and SPBGMA award winner. Biography, photos, schedule, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.larrystephensonband.com/"} +{"d:Title": "Levin, Tony", "d:Description": "Bassist's discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.papabear.com/tldiscog.htm"} +{"d:Title": "Lydia Warren Band", "d:Description": "The official website of the original blues and rhythm and blues from Boston.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lydiawarren.com/"} +{"d:Title": "Laws, The", "d:Description": "Singers, songwriters and studio musicians from Canada. Biographies, tour dates, and information on the new CD-ROM.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thelaws.ca/"} +{"d:Title": "Lost", "d:Description": "This Boston-based band has a dark, driven edge which varies from the heavy and hard to an almost medieval, New Age sound.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://angelfire.com/bc/lost"} +{"d:Title": "Lounge Lizards", "d:Description": "Information on the latest Lizards releases, news on the latest happenings, free audio and information on tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.strangeandbeautiful.com/"} +{"d:Title": "Lielythe", "d:Description": "Sound files and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/indie/lielythe/"} +{"d:Title": "Lee, Angela J.", "d:Description": "Chinese-American gu-zheng master performer.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.ajlee.com/"} +{"d:Title": "Lost Child Found", "d:Description": "Acoustic emo rock artist from Eastern Canada. News, lyrics, and links for sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/emo/lost_child_found/"} +{"d:Title": "Liars Inc", "d:Description": "Tablature, lyrics, and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/nc/LiarsInc/"} +{"d:Title": "Lenore", "d:Description": "Singer/songwriter from Canada. Official site includes biography, gig dates, reviews, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lenore.com/"} +{"d:Title": "Liquid Promises", "d:Description": "Profile and sound files for the alternative band from Ohio.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/oh3/liquidpromises/"} +{"d:Title": "Leonard, Caren", "d:Description": "Singer/songwriter, erforms original and other songs, from children's music to Cole Porter, women's songs to train songs.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.frenchtown.com/caren/"} +{"d:Title": "Lousy", "d:Description": "Official site for the Swedish band includes history, discography, news, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lousy.net/"} +{"d:Title": "Lamothe, Rob", "d:Description": "Hamilton based folk singer; tour and recording information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.roblamothe.com/"} +{"d:Title": "Lobelia", "d:Description": "Singer/songwriter from Morgantown, West Virginia. Includes photos, sound files, biography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lobelia.net/"} +{"d:Title": "Locomotion", "d:Description": "The official web site featuring a detailed biography, show calendar, photos, chat board, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lcmotion.com/"} +{"d:Title": "Long, Greg", "d:Description": "With photo gallery, tour information, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.greglong.com/"} +{"d:Title": "Laughing Whitefish", "d:Description": "Folk music group from East Central Wisconsin. Profiles, gig schedule, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/folk/lwfish/"} +{"d:Title": "Lee, Alice", "d:Description": "Discography, show dates, news, and pictures from the singer/songwriter formerly known as Electra Complex.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.alicelee.com/"} +{"d:Title": "Left Foot Blue", "d:Description": "Lyrics to Minnesota band's songs and order the new CD online.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://leftfootblue.20m.com/"} +{"d:Title": "Lemay, Jean-Christophe", "d:Description": "French trip-hop, reggae, drum and bass composer. Includes audio files, profile, ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.deepsound.net/"} +{"d:Title": "Lacy, Ben", "d:Description": "Guitarist's official site with a gig schedule, biography, and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.benlacy.com/"} +{"d:Title": "Lowry, Mike", "d:Description": "Official site for the blues band from Atlanta, Georgia. Includes discography, audio, tour schedule, photos, biography, articles, merchandise and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.mikelowryband.com/"} +{"d:Title": "Lee, Amy", "d:Description": "Jazz saxophonist with two solo CDs. Includes sound clips in 3 formats, biography, photos, and news. Former member of Jimmy Buffett and the Coral Reefer Band.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://amyleesax.com/"} +{"d:Title": "Lunar Larry", "d:Description": "A collection of Larry Gene Goss's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/or2/LarryGeneGossJr2/"} +{"d:Title": "Limbo, Johnny", "d:Description": "Leader of The Lugnuts, a nostalgic 50's band from Portland, Oregon. Band biography, photos, gig schedule, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.johnnylimbo.com/"} +{"d:Title": "Legion of Divine Punishment", "d:Description": "Memphis' most unpredictable, psychotic heavy metal ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/music/ldp/"} +{"d:Title": "Leak, Maysa", "d:Description": "Official site for the singer/songwriter includes news, biography, discography, concert dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.maysa.com/"} +{"d:Title": "Last Of The Lemmings", "d:Description": "North Carolina based fusion, new age music. Profile and mp3 clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lastofthelemmings.htmlplanet.com/"} +{"d:Title": "Lindup, Mike", "d:Description": "Level 42 pianist. Biography, gallery, interview.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.mikelindup.com/"} +{"d:Title": "Lavapop", "d:Description": "Biography, gig schedule, mp3 downloads, videos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lavapop.com/"} +{"d:Title": "Lust Killers, The", "d:Description": "Punk band from San Francisco, California. Gig schedule, biography, lyrics, list of influences, streaming RealAudio clips, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lustkillers.com/"} +{"d:Title": "Lost Angel", "d:Description": "The official site of the Central Ohio hard rock/metal band. Biographies, reviews, show dates, CD information, sample MP3 songs, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lostangel.com/"} +{"d:Title": "Ltd.Slip", "d:Description": "Alternative rock band. Features a biography, news and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/myband/ltdslip/"} +{"d:Title": "Little Band That Could, The", "d:Description": "Time line, pictures, lyrics, a sample song, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/myband/littlebandthatcould/"} +{"d:Title": "Lumpy Gravy", "d:Description": "Pennsylvania band. Profiles, show dates, mailing list and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lumpygravyband.tripod.com/"} +{"d:Title": "Lynch, Ray", "d:Description": "Official site of the composer and musician includes discography, sound files, FAQ, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.raylynch.com/"} +{"d:Title": "Lot 105", "d:Description": "Band biography, pictures, mp3s, and contact information. Official page.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.telusplanet.net/public/oremins/lot105.html"} +{"d:Title": "Legacy", "d:Description": "Official site for the hard rock/heavy metal band includes news, biography, sound files, reviews, merchandise, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.legacylive.com/"} +{"d:Title": "LeDoux, Christene", "d:Description": "Official site of the San Francisco-based singer/songwriter/guitarist. Includes a biography, audio, photographs, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.christeneledoux.com/"} +{"d:Title": "Lavin, Christine", "d:Description": "Official site of the singer-songwriter includes sound files, CD information, news, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.christinelavin.com/"} +{"d:Title": "Lionrock", "d:Description": "Biography, discography, remixography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.apartasreality.com/lionrock"} +{"d:Title": "Lane, Yuri", "d:Description": "Vocal percussionist based in San Francisco. Biography and mp3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.yurilane.com/"} +{"d:Title": "Lonero, Bill", "d:Description": "Biography, sound files, and CD information for the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.billlonero.com/"} +{"d:Title": "Lacy, Steve", "d:Description": "Official site. Concert schedule and reviews, record actuality, interactive discography, press and bibliography, news, scores, photos, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://senators.free.fr/"} +{"d:Title": "Lipsonnet", "d:Description": "History, lyrics, and tablatures for the rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lipsonnet.8m.com/"} +{"d:Title": "Lauber, Terry", "d:Description": "Biography, sound files, screensaver, and CD information for the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.terrylauber.com/"} +{"d:Title": "Lowe, Tiffany", "d:Description": "Biography, news, and interview with the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/tx3/mybonedaddy/Tiffany.html"} +{"d:Title": "LeDrew, Chris", "d:Description": "East Coast singer-songwriter who credits Bob Dylan, Tom Petty and Steve Earle as inspiration.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/nf/chrisledrew/"} +{"d:Title": "Lineage", "d:Description": "Profile, lyrics, and gig dates for the rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/yt/LINEAGEROX/"} +{"d:Title": "Les Voix Humaines", "d:Description": "A duo performing early and contemporary music for violas da gamba. Biographies, audio files, press reviews, tour dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lesvoixhumaines.org/"} +{"d:Title": "Liquidstatic", "d:Description": "Combining melodic vocal harmonies with a crunchy pop sound and adding a southern twist. Omaha.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/band/liquidstatic/"} +{"d:Title": "Lead357", "d:Description": "Metal band from Houston Texas which has been touring many cities. Has a CD with another on the way.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/ri/Lead357/"} +{"d:Title": "Lysis", "d:Description": "Metal band; the people, and performances.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/oh3/lysisfactor/"} +{"d:Title": "LyMe", "d:Description": "Independent cartoon-core band from Kuala Lumpur, Malaysia. News, profiles, pictures, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lymee.tripod.com/"} +{"d:Title": "Linsky, Jeff", "d:Description": "Guitarist, recording artist and award-winning composer.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.jefflinsky.com/"} +{"d:Title": "LaGue, Kathleen", "d:Description": "Official site of the rock singer/songwriter. Features news, tour schedule, biography, mp3 files, photographs, lyrics, press articles, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.kathleenlague.com/"} +{"d:Title": "Liquorish", "d:Description": "Local band from Norton, Massachusetts.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://liquorish.8m.com/"} +{"d:Title": "Ladyland, The", "d:Description": "Official site of the Finnish grunge rock band includes profiles and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/de2/ladyland/"} +{"d:Title": "Lounge-O-Leers, The", "d:Description": "Contact information, images and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.loungeoleers.com/"} +{"d:Title": "Legg, Adrian", "d:Description": "Acoustical guitarist from England. Official site includes discography, photos, tour dates, technical notes.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.adrianlegg.com/"} +{"d:Title": "Lewis, Linda", "d:Description": "The latest information, news and current projects on the singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lindalewis.co.uk/"} +{"d:Title": "Lavatane", "d:Description": "History and mp3 files of the young band from Wolverhampton, England.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/ak3/lavatane/"} +{"d:Title": "Liquid Fate", "d:Description": "America's premier, semi-talented, garage band hacks. Includes profiles, sound files and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.liquidfate.com/"} +{"d:Title": "Lab Partners", "d:Description": "A space/drone rock band from Dayton, Ohio. News, show dates, photos and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.labpartners.net/"} +{"d:Title": "Likewyse", "d:Description": "Punk band from central Jersey. Site features profiles, pictures, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/myband/LiKeWySe/"} +{"d:Title": "Linus of Hollywood", "d:Description": "Listen to sound clips, read the latest news, and find out about shows.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.linusofhollywood.com/"} +{"d:Title": "Lady Bo", "d:Description": "The official website of rock and roll's first lady of guitar and the legendary Bo Diddley's original girl guitar player.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.ladybo.com/"} +{"d:Title": "Little Village", "d:Description": "Tribute to the supergroup of four veteran musicians (John Hiatt, Nick Lowe, Ry Cooder and Jim Keltner) who recorded one self-titled album in 1992.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/la/Littlevillagefan/"} +{"d:Title": "Larry Keel Experience", "d:Description": "Virginia-based alternative bluegrass ensemble headed by flatpicking guitar champion Keel.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.larrykeel.com/"} +{"d:Title": "Livieratos, Antonis", "d:Description": "Includes biography, discography, news, sound files, and pictures. In English and Greek.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.livieratos.gr/"} +{"d:Title": "Linstead, Johannes", "d:Description": "Reviews, tour dates, and pictures of the world music guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.johanneslinstead.com/"} +{"d:Title": "Lynne", "d:Description": "Contemporary acoustic three piece Sydney, Australia based band. Profiles, sound files, reviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lynne.com.au/"} +{"d:Title": "Linoleum", "d:Description": "News, tour dates, lyrics, pictures, and concert chronology.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://linoleumuk.tripod.com/"} +{"d:Title": "Last Conservative", "d:Description": "News, pictures, performance dates, lyrics, and sound files of the band from Buffalo, NY.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lastconservative.com/"} +{"d:Title": "Latz, Deborah", "d:Description": "Official site includes news, biography, and performance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.deborahlatz.com/"} +{"d:Title": "Lloyd, Richard", "d:Description": "News, reviews, pictures, FAQ, and sound files of the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://richardlloyd.com/"} +{"d:Title": "Lawrence, M.J.", "d:Description": "Pop and jazz musician. Lyrics, mp3 downloads, and link to order CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.transmelodic.com/"} +{"d:Title": "Lee, Paul", "d:Description": "Bass guitarist. Site features equipment list, biography and personal pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.paullee.org/"} +{"d:Title": "Leek, Andy and The Blue Angels", "d:Description": "Pop rock party band. Biographies, song list, audio clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.andyleek.co.uk/"} +{"d:Title": "Lads", "d:Description": "Official site for the pop/rock band from New Zealand. Profile, news, gig dates, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.theladsband.com/"} +{"d:Title": "Lenz, B. D.", "d:Description": "Contemporary jazz guitarist from New York. Gig schedule, biography, MP3 files, and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.bdlenz.com/"} +{"d:Title": "Lybians, The", "d:Description": "Rock band from New York. Site contains profiles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lybians.50megs.com/"} +{"d:Title": "Lulu", "d:Description": "News and reviews for the multi-talented Scottish singer.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lulu.co.uk/"} +{"d:Title": "Like Daniel", "d:Description": "Christian rock band. Biographies, mission statement, lyrics, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://likedaniel.tripod.com/"} +{"d:Title": "Limit, The", "d:Description": "Three-piece power rock group from New Haven, CT. Gig schedule, biography, press reviews, tour journal, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thelimitmusic.com/"} +{"d:Title": "Lissa", "d:Description": "Upcoming pop singer from Daytona Beach. Site offers a biography, photos and journal.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/pop/lissaonline/"} +{"d:Title": "LittleHorse", "d:Description": "Piano-based rock band from Boston. Press reviews and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.littlehorsemusic.com/"} +{"d:Title": "Lunar Facade", "d:Description": "Official site of the Bloomfield, New Jersey-based band. Includes photos and a band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/band/LunarFacade/"} +{"d:Title": "Lucky Stiffs, The", "d:Description": "American blues band. Biographies, pictures, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.luckystiffs.com/"} +{"d:Title": "Luckett, Letoya", "d:Description": "Pictures, videos, lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/rnb/Letoyaluckett/"} +{"d:Title": "Lehner, Karen", "d:Description": "Pop and folk music recording artist. Biography, lyrics, audio clips, and pictures. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.karenlehner.com/"} +{"d:Title": "Los Tanyaderos", "d:Description": "An ensemble of two to ten performers dedicated to the preservation of the ethnic music of endangered cultures. Biography, show schedule, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lostanyaderos.com/"} +{"d:Title": "Long, Shorty", "d:Description": "Information on the Motown artist.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.soul-patrol.com/soul/shorty.htm"} +{"d:Title": "Lovejoy", "d:Description": "Pop group from Brighton, UK. News, new release information, and cover art.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.indiepages.com/lovejoy/"} +{"d:Title": "Lindley, David", "d:Description": "Multi-instrumentalist from southern California. Profile, pictures, merchandise, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.davidlindley.com/"} +{"d:Title": "Linhart, Buzzy", "d:Description": "Performer/composer. Biography, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.buzzylinhart.com/"} +{"d:Title": "London 86", "d:Description": "Modern pop rock band with a late 80s new wave sound. Site contains biography, image gallery, show dates, set lists, lyrics, audio files, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.london86.de/"} +{"d:Title": "Lack Halo", "d:Description": "Rock band. Biography, lyrics, gig schedule, pictures and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lack.halo.tripod.com/"} +{"d:Title": "Leveled", "d:Description": "Lyrics, upcoming gigs, links to MP3s, and find out how to get the band's new EP.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://leveledband.tripod.com/"} +{"d:Title": "Lovefist", "d:Description": "Southern metal band from South Carolina. Biography and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/home/lovefist/"} +{"d:Title": "Livid", "d:Description": "Indie metal band from New Jersey. Site contains news, gig schedule, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/indie/lividband/"} +{"d:Title": "Lolita", "d:Description": "Band from Ljubljana, Slovenia that combines traditional jazz jive and rock rhythmics. Site contains news, biography, discography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.ljudmila.org/lolita/"} +{"d:Title": "Live Native", "d:Description": "Minneapolis-based rock band. Biography, gig schedule, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/myband2/livenative/"} +{"d:Title": "Leisure World", "d:Description": "Seattle-based rock and roll band. Listen to Real Audio clips of the band's latest release.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.seanet.com/~willtre/leisure_world_web/lw_index.html"} +{"d:Title": "Lyric Duo", "d:Description": "Classical performers that offer concert programs and school assembly performances. Biography, reviews, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lyricduo.com/"} +{"d:Title": "LaRue, Florence", "d:Description": "Official site of The Fifth Dimension singer. Contains tour schedule and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.florencelarue.com/"} +{"d:Title": "Lavette, Bettye", "d:Description": "Official site of the soul singer with a biography, discography, and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.bettyelavette.com/"} +{"d:Title": "Lowest of the Low", "d:Description": "Canadian rock band. Gig schedule, news, biography, streaming RealAudio files, photographs, and a message forum.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lowestofthelow.com/"} +{"d:Title": "Loopy", "d:Description": "An Oxford indie pop band. Their site has news, reviews, sound clips, lyrics, chords and information about the band members and their new CD.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.loopy.co.uk/"} +{"d:Title": "Lee, Andy and the Bluecats", "d:Description": "Blues band. Biographies, MP3 downloads and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thebluecats.co.uk/"} +{"d:Title": "Last Element", "d:Description": "Indie rock band from Connecticut. Profiles, pictures, and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/ct3/thefifthhorseman/"} +{"d:Title": "LA Groove", "d:Description": "Official site of the Louisville, Kentucky blues band, includes show dates, reviews, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://planetblues.freehosting.net/"} +{"d:Title": "Lee, Jake E.", "d:Description": "Biography, news, interviews, music downloads and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.jakeelee.com/"} +{"d:Title": "Lifespeed", "d:Description": "From New Jersey. Biography, new, gig information, photos and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lifespeed.tv/"} +{"d:Title": "Law Of Fives, The", "d:Description": "NYC-based rock band. News, mp3 downloads, videos, photos, gig details and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thelawoffives.com/"} +{"d:Title": "Limited Warranty", "d:Description": "Biography, reviews, discography, lryics, pictures and Quicktime multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://briansworld.nova.org/lw/index.html"} +{"d:Title": "Lynn, Robbin", "d:Description": "Country music, singer and songwriter. Biography, discography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/ri2/robbinlynn1234/index.html"} +{"d:Title": "Life In Mind", "d:Description": "Hardcore punk from Brockville, Ontario. Biographies, lyrics and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/punk3/life-in-mind/"} +{"d:Title": "Lost Country", "d:Description": "Country band from Fort Worth, Texas. Biography, lyrics and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thecoolgroove.com/lostcountry.html"} +{"d:Title": "Lucca, Tony", "d:Description": "Biography, discography, pictures, show details and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.tonylucca.com/"} +{"d:Title": "Leaving Clarance", "d:Description": "From Lima, Ohio. Biography, pictures, show details and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://leavingclarance.tripod.com/"} +{"d:Title": "Legends in our Minds", "d:Description": "From Rotterdam, eighties pop and rock. Biography, news, pictures, upcoming shows and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.legendsinourmind.nl/"} +{"d:Title": "Lythica", "d:Description": "Glasgow based alternative band. News, lyrics, gid details and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lythica.4t.com/"} +{"d:Title": "Lyon Street Celtic Band, The", "d:Description": "Irish and Scottish music. News, show details and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.siegelproductions.ca/lyonstreet"} +{"d:Title": "Lil Elmo and the Cosmos", "d:Description": "Classic rock and roll entertainment from Nevada. Includes biography, merchandise, upcoming schedule and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lilelmo.com/"} +{"d:Title": "Last Millennium", "d:Description": "Biography, news, gig details, pictures and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lastmillennium.iwarp.com/"} +{"d:Title": "Lockhart", "d:Description": "Southern Rock, blue and funk band based in Greenville, South Carolina. Member profiles, pictures, show details and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lockhartmusic.tripod.com/"} +{"d:Title": "Los Fabulous Frankies", "d:Description": "Belgian rock band. Band details, picture, gigs details, news and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://users.belgacom.net/fabfrankies/"} +{"d:Title": "Lane, Mark", "d:Description": "Official site for the gothic electronic musician includes discography, reviews, rare photos, recording sources, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/sd/lanenet/"} +{"d:Title": "Life Without Buildings", "d:Description": "Official site offers news, gig dates, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lifewithoutbuildings.com/"} +{"d:Title": "Le Lotus Bleu", "d:Description": "Pop rock trio. Biography, audio clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://digilander.libero.it/lelotusbleu/"} +{"d:Title": "Lockwood, Michael", "d:Description": "Guitarist, producer and lo-fi enthusiast. Biography, pictures, gear and links to other sites.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.michaellockwood.com/"} +{"d:Title": "Lucky Motors", "d:Description": "Houston-based pop band; label site features tour dates, lyrics, discography, sound samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.ojet.com/site/bands/luckymotors/"} +{"d:Title": "Le Mar, Gabriel", "d:Description": "Biography, projects, discography, press and images.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.le-mar.de/"} +{"d:Title": "Lettermen, The", "d:Description": "Vocal harmony group. Biography and photos, tour schedule, links, and time-line gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thelettermen.com/"} +{"d:Title": "Locos, The", "d:Description": "Band from Stavanger, Norway. Plays rock music from the 60s to 80s.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.locos.no/"} +{"d:Title": "Laine, Charlee", "d:Description": "Vocalist from Shaftesbury, UK. Site includes show dates, biography, image gallery, and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.charleelaine.co.uk/"} +{"d:Title": "Lasley, David", "d:Description": "News and information about his prolific career as a singer, songwriter and background vocalist, including his work with James Taylor and other artists, solo recordings, photos, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.davidlasley.com/"} +{"d:Title": "Larry Redhouse Trio, The", "d:Description": "Tucson, Arizona based jazz trio. Performance schedule, biography, photographs, and art work.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://larryredhouse.tripod.com/jazztrio/"} +{"d:Title": "Lofty Pillars, The", "d:Description": "Contains discography, member profiles, and reviews of the pop-rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.loftypillars.com/"} +{"d:Title": "Loudmouths, The", "d:Description": "Member profiles, photos, tour stories, and interviews of the punk rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.rockinvan.com/loudmouths/"} +{"d:Title": "Lewis, Michael", "d:Description": "Nashville record producer/jazz and Christian artist. Images, introduction, music samples, contact information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.michaellewismusic.com/"} +{"d:Title": "Lievano, Joaquin", "d:Description": "Guitarist and songwriter. Includes biography, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.joaquinmusic.com/"} +{"d:Title": "Lyres, The", "d:Description": "Line-ups, biographies, discography, and side bands.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.limbos.org/lyres/"} +{"d:Title": "Labyrinth", "d:Description": "Metal band based in England. Profiles, discography, gig schedule, pictures, lyrics and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/rock2/HATRed/"} +{"d:Title": "Light of Green", "d:Description": "Music from the heart and soul.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/band/lightofgreen/"} +{"d:Title": "Like A Tim", "d:Description": "Electronic artist from the Netherlands. Discography, MP3 files, pictures, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.likeatim.com/"} +{"d:Title": "Lions of Batucada", "d:Description": "Samba percussion ensemble. Contact information, tour dates, images, press releases, music samples and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lionsofbatucada.com/"} +{"d:Title": "Levelheaded", "d:Description": "History, pictures, lyrics, and sound files of the band from Portugal.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.portugal-book.com/levelheaded/"} +{"d:Title": "Lopez, Luc", "d:Description": "French accordionist and singer. Biography and audio clips. [English/French]", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.luclopez.cam.org/"} +{"d:Title": "Locke, Martine", "d:Description": "Australian singer/songwriter/guitarist. News, biography, tour dates, message board, and press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://martinelocke.com/"} +{"d:Title": "Leo Koster Band", "d:Description": "Biography, photos, music downloads and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.leokosterband.nl/"} +{"d:Title": "Looper", "d:Description": "Project of former Belle and Sebastian member Stuart David. News, lyrics, MP3s, discography, message board, and a create-a-song toy.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.looperama.com/"} +{"d:Title": "Lewis, Glenn", "d:Description": "Fan site for rhythm and blues singer Glenn Lewis. News, biography, downloads and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://glennlewis.freeservers.com/"} +{"d:Title": "Laufer, Jake and Sherpa Underground", "d:Description": "Singer/songwriter located in Washington, DC. Schedule, news, music downloads and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://jakelaufer.com/"} +{"d:Title": "Low Budgets, The", "d:Description": "News, music downloads, gig and tour dates and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lowbudgets.com/"} +{"d:Title": "Lass, Martin", "d:Description": "Violinist and composer. Biography, sound samples, promo video, discography and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://martinlass.com/"} +{"d:Title": "Lecanoscope", "d:Description": "Ritual/Trance music. News, audio downloads and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://metabolicmusic.com/"} +{"d:Title": "Low, Tony", "d:Description": "Bass player formerly of the Cheepskates and Static 13. Discography, reviews, gig information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://tony-low.tripod.com/home.html"} +{"d:Title": "Lukeman, Jack", "d:Description": "Official site with audio and video samples, lyrics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.jacklukeman.com/"} +{"d:Title": "Latch Music", "d:Description": "Keyboardist and composer of solo piano to jazz style music. Free streaming downloads, lesson information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.latchmusic.com/"} +{"d:Title": "Laverne, Lauren", "d:Description": "News, links, tour dates, discography, message board and free webmail.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.laurenlaverne.co.uk/"} +{"d:Title": "Lettuce", "d:Description": "Funk style music. News, tour dates, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lettucefunk.com/"} +{"d:Title": "Liberty", "d:Description": "News, discography, lyrics, sound files, photos, archives, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.libertyband.com/"} +{"d:Title": "Lullatone", "d:Description": "Band based in Japan. News, pictures, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lullatone.com/"} +{"d:Title": "Last Sound, The", "d:Description": "Electronic music. Music downloads, news, tour dates and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thelastsound.com/"} +{"d:Title": "Lessnow, Tobi", "d:Description": "Streaming music with lyrics and contact form.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.tobi-lessnow.de/"} +{"d:Title": "Leedham, Usra", "d:Description": "Classically trained singer/songwriter, based in Toronto. MP3 downloads, images, profile, and up-to-date event listings.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.usraleedham.com/"} +{"d:Title": "Lubeck, Bryan", "d:Description": "Adult contemporary singer/guitarist. Concert dates, audio samples and biography. Located in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://bryanlubeck.com/"} +{"d:Title": "Likewyze", "d:Description": "Rock Band from Halifax, Canada. Biography, pictures, sound clips and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://likewyze11.tripod.com/likewyze/"} +{"d:Title": "Luckless, Andrew", "d:Description": "Songwriter and recording artist. Mp3 downloads and contact email.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://luckless.net/"} +{"d:Title": "Lix", "d:Description": "Modern rock band from the Chicago. Biography, tour dates, photos and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lixmusic.com/"} +{"d:Title": "Lloyd, Taff", "d:Description": "Jazz drummer located in Denmark. Biography, news, discography, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.tafflloyd.com/"} +{"d:Title": "Ladybelle", "d:Description": "Female jazz singer based in Sydney. Upcoming appearances and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.ladybelle.com.au/"} +{"d:Title": "Logan", "d:Description": "UK rock band. Biography with member profiles, gig information, news and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.logan-net.com/"} +{"d:Title": "Lost in Place", "d:Description": "Classic Rock band site features schedule, biographies, songlist and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lostinplace.com/"} +{"d:Title": "Lasala, Mike", "d:Description": "Solo acoustic rock guitarist. Biography, gig information, news and music samples with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.mikelasala.com/"} +{"d:Title": "Little One", "d:Description": "Alternative rock band based in Iowa. Band member details, news and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.pooter.net/littleone/"} +{"d:Title": "Langford, Tom", "d:Description": "Singer/songwriter. Biography, news, sample music and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.tomlangford.com/"} +{"d:Title": "Luther-Smith, Robert", "d:Description": "Singer/songwriter of Pop/Rock music. Biography, news and discography with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://arthouserecords.co.uk/"} +{"d:Title": "Livid Kittens", "d:Description": "News, photos, music, and biography of South Florida based band.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/fl/thelividkittens/"} +{"d:Title": "Lloyd, Juliet", "d:Description": "Singer/songwriter from Rochester, NY. News, photos, downloadable media, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.julietlloyd.com/"} +{"d:Title": "Lavender, Julie", "d:Description": "Jazz/Pop singer/songwriter. Biography, news, discography with sample music.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.julielavender.com/"} +{"d:Title": "Loyd, Dorothy", "d:Description": "Singer of thirties, forties, fifties songs. Songlist with samples and show information", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.dorothyloyd.com/"} +{"d:Title": "Lopez, Luis", "d:Description": "Spanish drummer based in Twickenham, England. Bands history, biography, photos and information about past, present and future projects.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.freewebs.com/luiscomp/"} +{"d:Title": "Low Water", "d:Description": "Music samples, upcoming show information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lowwatermusic.com/"} +{"d:Title": "Luminous", "d:Description": "Music and photos to download, and a message board and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.luminous.me.uk/"} +{"d:Title": "Lost Memories", "d:Description": "News, discorgraphy, mp3 downloads, and newsletter. [English and French]", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lost-memories.com/"} +{"d:Title": "Lideborg, Mats", "d:Description": "Swedish troubadour performing original music and covers. Information about upcoming gigs and mp3s of his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lideborg.se/"} +{"d:Title": "Luvplanet", "d:Description": "Rock/pop group. Band biography with member profiles, discography, music samples and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.luvplanet.net/"} +{"d:Title": "Louisiana Washboard Five", "d:Description": "Classic jazzband from Bergen, Norway. Concerts, audio[mp3], photos and internet resources.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.louisianawashboard.com/"} +{"d:Title": "Loprinzi, Davey", "d:Description": "Official page for the current guitarist of Everclear. Includes pictures, biography, fan club, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/ma3/muttonchopslovers/"} +{"d:Title": "Lobo", "d:Description": "Official fan web site devoted to the singer/songwriter who was popular in the 1970s. Includes biography, discography, lyrics, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.fansoflobo.com/"} +{"d:Title": "Lizette", "d:Description": "Singer/songwriter from Sweden. History, pictures, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lizetteand.com/"} +{"d:Title": "L.Stadt", "d:Description": "Official web site of Polish band. Biography, news, music downloads and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lstadt.com/"} +{"d:Title": "Littlefield, Seth", "d:Description": "Singer/Songwriter and percussionist. Biography and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.sethlittlefield.com/"} +{"d:Title": "Laguna!", "d:Description": "Seattle based electronic art pop group. Includes news, biography, calendar, audio files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lagunamusic.us/"} +{"d:Title": "Lagowski", "d:Description": "Experimental/electronic artist. Discography, audio clips, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://lagowski.wordpress.com/"} +{"d:Title": "The Los Dos Bros", "d:Description": "Melodic group blending pop rock, jazz and classical music. Biography, news, gigs, pictures, audio samples and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.thelosdosbros.com/"} +{"d:Title": "Lear, Amanda", "d:Description": "Vocalist/model. Biography, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.eurodancehits.com/learmain.html"} +{"d:Title": "Lost Souls Band", "d:Description": "Butler, Pennsylvania, rock quartet playing original music as well as covers. Band biography, pictures, upcoming gigs, MP3s, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lostsoulsband.com/"} +{"d:Title": "Lems, Kristin", "d:Description": "Chicago-based singer-songwriter. Sound clips, bio, catalog, itinerary, photos, links, and a series of \"personal reflections\" on recent performances.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.kristinlems.com/"} +{"d:Title": "Lamias Lust", "d:Description": "Heavy metal band's official site featuring music samples, biography, forum, fan club, news, tour information, and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lamiaslust.com/"} +{"d:Title": "Lurie, John", "d:Description": "The Onion AV Club interviews the jazz and soundtrack composer (and occasional actor) on \"fake jazz\" and movie music.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.avclub.com/articles/john-lurie,13553/"} +{"d:Title": "Lindsay, Arto", "d:Description": "The Onion AV Club interviews Arto Lindsay on the reassessment of the Brazilian Tropicalistas, hip-hop, and pop crossover.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.avclub.com/articles/arto-lindsay,13638/"} +{"d:Title": "Lazaras", "d:Description": "Punk/metal band. Biography, news, schedule and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lazaras.com/"} +{"d:Title": "Laraaji", "d:Description": "Photos, audio clips, biography, album information, and bulletin board for the ambient artist. At iMusic.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,456569,00.html"} +{"d:Title": "Lilac Time", "d:Description": "Includes profile and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,458987,00.html"} +{"d:Title": "Last Generation, The", "d:Description": "Christian contemporary band. Profiles, message board, multimedia and news. [Pop-up windows]", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://tlgband.tripod.com/"} +{"d:Title": "Lees, Toby Ray", "d:Description": "Sound clips of the singer presented by Blue Beach Productions.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://bluebeachpro.tripod.com/"} +{"d:Title": "Lunascape", "d:Description": "Ambient trip hop band from Belgium. Biography, discography, lyrics, audio and video clips, gig schedule, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lunascape.net/"} +{"d:Title": "Little Death Orchestra", "d:Description": "From UK. Biography, news and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.littledeathorchestra.com/"} +{"d:Title": "Livin' Proof", "d:Description": "An alternative rock / pop band from Texas. Song clips, photographs, and concert schedules.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://livinproof.homestead.com/"} +{"d:Title": "Livingston, Danielle", "d:Description": "Vocalist based in Ashland, Oregon. Biography, photos, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://daniellelonline.tripod.com/"} +{"d:Title": "Laredo", "d:Description": "Country/variety band from Richmond, Virginia. Gig schedule and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://thelaredoband.tripod.com/"} +{"d:Title": "The Locals", "d:Description": "Making music for people who read the lyrics but still want to wake the neighbors from time to time.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.localsrock.com/"} +{"d:Title": "Locke, Joe", "d:Description": "Jazz vibraphonist and composer. Biography, discography, performance and workshop schedule, projects, photo gallery, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://joelocke.com/"} +{"d:Title": "Levy, Barrington", "d:Description": "Official site features music, news, photos, merchandise, and information about the Jamaican reggae and dancehall star.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.barringtonlevy.com/"} +{"d:Title": "Losco, Ira", "d:Description": "Maltese singer. Photos, lyrics, biography and media streams.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.iralosco.com/"} +{"d:Title": "Lockran, Gerry", "d:Description": "The late blues artist's biography, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://gerrylockran.net/"} +{"d:Title": "LiNK", "d:Description": "Pop-rock band from Belgium. Includes a biography, MP3, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.linkbelgium.com/"} +{"d:Title": "Lien, Helge", "d:Description": "A jazz pianist, improviser and composer from Norway.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.helgelien.com/"} +{"d:Title": "Loose Gravel Blues Band", "d:Description": "Includes MP3 samples, gig dates, photos and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.kentdev.com/loosegravelblues/"} +{"d:Title": "Lux Ensemble", "d:Description": "Music group in the San Diego area for any occasion. Styles include classical, jazz, folk, pop, and rock.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.musiclux.com/luxensemble.html"} +{"d:Title": "Lovato, Gustavo", "d:Description": "Pop rock artist from Brooklyn, New York. Audio samples and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "https://gustavo-lovato.squarespace.com/"} +{"d:Title": "Liar", "d:Description": "A tribute to twisted genius virtuoso Eric McFadden and his band Liar.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.paulkienitz.net/liar.html"} +{"d:Title": "Lee, Patrick", "d:Description": "Jazz musician and hip-hop producer from Denver. Mixtapes, discography and scoring portfolio.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://patrickleemusic.com/"} +{"d:Title": "Leonard, Turk", "d:Description": "Florida-based vocalist and guitarist performing with a backing track. Pictures, biography and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.freewebs.com/turkleonard/"} +{"d:Title": "Lukich, John Jovan", "d:Description": "Ontario-based accordionist performing Serbian music. Album information, news, pictures, blog and information on the LIKA Orchestra.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.johnlukich.com/"} +{"d:Title": "Liljeblad, Unne", "d:Description": "New York-based mix engineer. News, studio gear, audio philosophy and client information.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.mix-engineer.com/"} +{"d:Title": "Lost Dog Found", "d:Description": "Jump swing n' roll band from the San Francisco Bay Area. History, calendar, pictures, video and press.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.lostdogfound.com/"} +{"d:Title": "Latin Quarter", "d:Description": "Includes a biography, member information, interviews and images.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.latinquartermusic.com/"} +{"d:Title": "Levi, Shirley", "d:Description": "Los Angeles-based singer, songwriter, artist and activist. News, biography, videos, pictures, art and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.shirleylevi.com/"} +{"d:Title": "Lalor, Padraig", "d:Description": "Irish folk singer-songwriter; includes biography, videos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.padraiglalor.com/"} +{"d:Title": "Legacy Five", "d:Description": "Southern Gospel quartet's site features schedule, events, news, blog, press kit, photos, biographies and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.legacyfive.com/"} +{"d:Title": "Landes, Dawn", "d:Description": "Shows, news and blog from the Brooklyn-based singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://www.dawnlandes.com/"} +{"d:Title": "Logan, Cathy", "d:Description": "Repertoire, audio clips, and profile of the vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://s113443122.websitehome.co.uk/Cathy/"} +{"d:Title": "Lazorik, Joe", "d:Description": "Piano music such as honky-tonk and sing-a-longs from performer in the Lehigh Valley. Photo, venues.", "topic": "Top/Arts/Music/Bands_and_Artists/L", "url": "http://home.ptd.net/~jlazorik/goodtimespianomusic.htm"} +{"d:Title": "No Mercy", "d:Description": "French fan site. Biography, discography, sounds, message board, lyrics, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.A._Guns", "url": "http://julien.jolivet.free.fr/laguns.htm"} +{"d:Title": "MTV.com: L.A. Guns", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.A._Guns", "url": "http://www.mtv.com/artists/la-guns/"} +{"d:Title": "L.L. Cool J", "d:Description": "Includes real audio, pictures, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.L._Cool_J", "url": "http://www.angelfire.com/mo/realHiphop/llcool.html"} +{"d:Title": "LL Cool J Online", "d:Description": "Features the music, movies, biography, discography, news, and links of The Greatest Rapper of All Time.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.L._Cool_J", "url": "http://www.angelfire.com/pop/llcoolj/"} +{"d:Title": "AskMen.com - LL Cool J Interview", "d:Description": "Exclusive interview and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.L._Cool_J", "url": "http://www.askmen.com/toys/interview/54_ll_cool_j_interview.html"} +{"d:Title": "Topix: LL Cool J", "d:Description": "News about LL Cool J, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.L._Cool_J", "url": "http://www.topix.com/rss/who/ll-cool-j.xml"} +{"d:Title": "Rollingstone.com: L.L. Cool J", "d:Description": "Includes a biography, discography, photos, articles and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.L._Cool_J", "url": "http://www.rollingstone.com/music/artists/ll-cool-j"} +{"d:Title": "IMDb: LL Cool J", "d:Description": "Filmography, photos, trivia, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.L._Cool_J", "url": "http://www.imdb.com/name/nm0005112/"} +{"d:Title": "MTV: LL Cool J", "d:Description": "Videos, photos, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L.L._Cool_J", "url": "http://www.mtv.com/artists/ll-cool-j/"} +{"d:Title": "L7 Are Sum Tuff Bitches", "d:Description": "May 1997 concert review including a Real Audio sound clip.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L7", "url": "http://www.nyrock.com/l7_story.htm"} +{"d:Title": "The L7 Square Weenie Page", "d:Description": "A fan site with flyers, artwork, autograph scans, memorabilia, photographs, timeline, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L7", "url": "http://squareweenie.8m.com/"} +{"d:Title": "Freak Magnet", "d:Description": "Show reviews, media information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L7", "url": "http://users.erols.com/shydoll/l7.html"} +{"d:Title": "L7 Resource Center, The", "d:Description": "Biography, discography, lyrics, article archive, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L7", "url": "http://www.angelfire.com/punk3/l7/index.htm"} +{"d:Title": "Official L7 Site", "d:Description": "Brief history, pictures, discography and store.", "topic": "Top/Arts/Music/Bands_and_Artists/L/L7", "url": "http://l7official.com/"} +{"d:Title": "Wikipedia: The La's", "d:Description": "User-curated history and information on members and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/L/La's,_The", "url": "http://en.wikipedia.org/wiki/The_La's"} +{"d:Title": "The-Las.com", "d:Description": "Message board for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/La's,_The", "url": "http://www.the-las.com/forums/"} +{"d:Title": "Patti LaBelle", "d:Description": "Official site, with information on upcoming performances.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LaBelle,_Patti", "url": "http://www.pattilabelle.com/"} +{"d:Title": "Patti LaBelle Queen of the Stage", "d:Description": "Fan site featuring concert, magazine, and promotional photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LaBelle,_Patti", "url": "http://members.tripod.com/pattilabelle_1/"} +{"d:Title": "Patti LaBelle Queen of the stage", "d:Description": "Collection of photos and video ranging from the 60's-2003. Also news and updates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LaBelle,_Patti", "url": "http://members.tripod.com/queenstage11/"} +{"d:Title": "AskMen.com: Patti Labelle", "d:Description": "Pictures, commentary, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LaBelle,_Patti", "url": "http://www.askmen.com/celebs/women/singer_150/174_patti_labelle.html"} +{"d:Title": "Brainwashed: Labradford", "d:Description": "Includes biography, links and a discography with MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford", "url": "http://www.brainwashed.com/labradford/"} +{"d:Title": "ArtistDirect: Labradford", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford", "url": "http://www.artistdirect.com/artist/labradford/455729"} +{"d:Title": "All Music Guide: Labradford", "d:Description": "Includes biography and discography with reviews of recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford", "url": "http://www.allmusic.com/artist/labradford-mn0000100659"} +{"d:Title": "Ink Nineteen: Labradford - E Luxo So", "d:Description": "Review by Matthew Moyer. \"...the kind of record that people should obsess over.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/E_Luxo_So", "url": "http://www.ink19.com/issues_F/99_07/wet_ink/music_il/154_labradford.shtml"} +{"d:Title": "The Architectural Dance Society: Labradford - E Luxo So", "d:Description": "Reviewed by Jeff Norman. \"...the best music can be described only by itself.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/E_Luxo_So", "url": "http://www.uwm.edu/~jenor/LabELSRev.html"} +{"d:Title": "St. Louis Riverfront Times: Labradford - E Luxo So", "d:Description": "Review by Matthew Hilburn. \"...a cool, cleansing shower in the ephemerally tranquil sounds of Labradford. \"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/E_Luxo_So", "url": "http://www.riverfronttimes.com/1999-07-14/music/labradford/"} +{"d:Title": "Pitchfork Review: Labradford - E Luxo So:", "d:Description": "Rating 5.3, review by Mark Richard-San. \"...there are other people making music in this vein, and doing a better job of it.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/E_Luxo_So", "url": "http://pitchfork.com/reviews/albums/4618-e-luxo-so/"} +{"d:Title": "All Music Guide: Labradford - E Luxo So", "d:Description": "Review by Ned Raggett, 4 of 5 stars. \"...generally this is a more spacious sounding effort from the band...\".", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/E_Luxo_So", "url": "http://www.allmusic.com/album/e-luxo-so-mw0000666935"} +{"d:Title": "EvilSponge: Labradford - Fixed::Context", "d:Description": "Reviewed by Postlibyan, 4 out of 10. \"...the thing that i hate about Labradford: they torture droids.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Fixed_Context", "url": "http://www.evilsponge.org/albums/Labradford__FixedContent.htm"} +{"d:Title": "Play Louder: Labradford - Fixed::Context", "d:Description": "Review by Gal D\u00e9tourn. \"This CD is highly likely to induce a deeply chilled state, and therefore should not be used on long haul night-time motorway treks.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Fixed_Context", "url": "http://playlouder.com/dhtml/#/content/11116/fixed-content"} +{"d:Title": "All Music Guide: Labradford - Fixed::Context", "d:Description": "Review by Andy Kellman, 4 of 5 stars. \"...solemn and deceptively melodic.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Fixed_Context", "url": "http://www.allmusic.com/album/fixedcontext-mw0000624960"} +{"d:Title": "All Music Guide: Labradford - Labradford", "d:Description": "Review by Sean Cooper.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Labradford", "url": "http://www.allmusic.com/album/labradford-mw0000082146"} +{"d:Title": "All Music Guide: Labradford - Mi Media Naranja", "d:Description": "Review by Ned Raggett, 4.5 of 5 stars. \"Quietly fascinating and endlessly listenable...\".", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Mi_Media_Naranja", "url": "http://www.allmusic.com/album/mi-media-naranja-mw0000032553"} +{"d:Title": "All Music Guide: Labradford - Prazision", "d:Description": "Review by Ned Raggett, 4 of 5 stars. \"At once amusing and quite cool to listen to, it's a nicely unexpected touch on a solid first record.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Prazision", "url": "http://www.allmusic.com/album/prazision-mw0000623986"} +{"d:Title": "Almost Cool: Labradford - Prazision", "d:Description": "Review, 7 of 10. \"It's definitely a subtle recording, and somewhat testing...\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Prazision", "url": "http://www.almostcool.org/mr/668/"} +{"d:Title": "All Music Guide: Labradford - A Stable Reference", "d:Description": "Review by Ned Raggett, 4 of 5 stars. \"...already points to the increasingly more challenging albums in Labradford's near future.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Stable_Reference,_A", "url": "http://www.allmusic.com/album/a-stable-reference-r240174"} +{"d:Title": "Almost Cool: Labradford - A Stable Reference", "d:Description": "CD review, 6.5 of 10. \"...definitely more for those who can handle droning, slow-paced music.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Labradford/Reviews/Stable_Reference,_A", "url": "http://www.almostcool.org/mr/664/"} +{"d:Title": "Lacrimosa", "d:Description": "The official site with news, discography, and links. [English/German]", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lacrimosa", "url": "http://www.lacrimosa.ch/"} +{"d:Title": "Rockmagic.net", "d:Description": "Lacrimosa lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lacrimosa", "url": "http://lyrics.rockmagic.net/lyrics/lacrimosa/"} +{"d:Title": "Lacuna Coil", "d:Description": "Official site. Includes gig schedule, biography, discography, lyrics, image gallery, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lacuna_Coil", "url": "http://www.lacunacoil.it/"} +{"d:Title": "Musicfolio: Lacuna Coil", "d:Description": "Discography with album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lacuna_Coil", "url": "http://www.musicfolio.com/modernrock/lacuna.html"} +{"d:Title": "Emptyspiral.net", "d:Description": "Official fan club. Includes news, tour dates, biography, discography, lyrics, photos, wallpapers, articles, interviews, concert reviews, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lacuna_Coil", "url": "http://www.emptyspiral.net/"} +{"d:Title": "Ladytron", "d:Description": "Alexander Laurence interviews the band following the release of their first album, \"604\".", "topic": "Top/Arts/Music/Bands_and_Artists/L/Ladytron", "url": "http://www.freewilliamsburg.com/june_2001/ladytron.html"} +{"d:Title": "Chaos Control Digizine: Ladytron", "d:Description": "E-mail interview with member Daniel Hunt.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Ladytron", "url": "http://www.chaoscontrol.com/ladytron/"} +{"d:Title": "Wikipedia: Lady Gaga", "d:Description": "Encyclopedia entry for the American recording artist. Includes biography, discography, and tours.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lady_Gaga", "url": "http://en.wikipedia.org/wiki/Lady_Gaga"} +{"d:Title": "Lady Gaga", "d:Description": "American pop singer and songwriter. Includes biography, tour information, videos, ringtones, photos and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lady_Gaga", "url": "http://www.ladygaga.com/"} +{"d:Title": "MySpace: Lady Gaga", "d:Description": "Music profile for Lady Gaga. General information and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lady_Gaga", "url": "http://myspace.com/ladygaga"} +{"d:Title": "IMDb: Lady Gaga", "d:Description": "Brief biography, filmography, photographs ans message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lady_Gaga", "url": "http://www.imdb.com/name/nm3078932/"} +{"d:Title": "Twitter: Lady Gaga", "d:Description": "Official Lady Gaga social networking and micro-blogging page.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lady_Gaga", "url": "http://twitter.com/ladygaga"} +{"d:Title": "Metrolyrics: Lady GaGa", "d:Description": "Overview, lyrics, news, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lady_Gaga", "url": "http://www.metrolyrics.com/lady-gaga-overview.html"} +{"d:Title": "LadyGaGallery.com", "d:Description": "Photo gallery of Lady Gaga organized by category.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lady_Gaga", "url": "http://www.ladygagallery.com/"} +{"d:Title": "Official Lagwagon Site", "d:Description": "News, discography, images, lyrics, links and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lagwagon", "url": "http://lagwagon.com/"} +{"d:Title": "The Onion AV Club", "d:Description": "Interview with Margaret Fiedler of Laika about the band's ambitions, making music at home, and her role in the current incarnation of PJ Harvey.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laika", "url": "http://www.avclub.com/articles/laika,13692/"} +{"d:Title": "Frankie Laine: It Ain't Over 'til It's Over", "d:Description": "Official page, with biography, pictures, news, merchandise, links, and RealAudio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laine,_Frankie", "url": "http://www.frankielaine.com/"} +{"d:Title": "Frankie Laine International Appreciation Society", "d:Description": "Includes a summary of the society, news, details of how to become a member, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laine,_Frankie", "url": "http://www.flias.com/"} +{"d:Title": "Rockmagic.net: Lake Of Tears", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lake_of_Tears", "url": "http://lyrics.rockmagic.net/lyrics/lake_of_tears/"} +{"d:Title": "Eyesore: Lakuna", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lakuna", "url": "http://quimby.gnus.org/html/group/lakuna.html"} +{"d:Title": "4AD: Lakuna", "d:Description": "Profile, discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lakuna", "url": "http://www.4ad.com/artists/lakuna"} +{"d:Title": "H2S04: Tridecoder", "d:Description": "Review of Lali Puna's first album, by DJ Lo Tec.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lali_Puna", "url": "http://h2so4.net/reviews/tridecoder.html"} +{"d:Title": "Gorecki", "d:Description": "With full discography, downloads, interviews / reviews, photos, chord book for Fear of Fours album, FAQ, message board, and chat.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lamb", "url": "http://www.gorecki.co.uk/"} +{"d:Title": "Lamb Live @ Brixton Academy, London", "d:Description": "Photos from a concert.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lamb", "url": "http://www.jacobsen.no/anders/blog/archives/2004/02/16/lamb_live_brixton_academy.html"} +{"d:Title": "Lambchop.net - The official Lambchop site", "d:Description": "News, tour dates, tour diaries, links and interaction with the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambchop", "url": "http://www.lambchop.net/"} +{"d:Title": "Yahoo! Groups", "d:Description": "Mailing list", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambchop", "url": "http://groups.yahoo.com/group/lambchop/"} +{"d:Title": "All Music Guide: Lambchop", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambchop", "url": "http://www.allmusic.com/artist/lambchop-p169853"} +{"d:Title": "City Slang", "d:Description": "Record company (Europe).", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambchop", "url": "http://www.cityslang.com/lambchop/"} +{"d:Title": "Merge Records: Lambchop", "d:Description": "Short biography from the band's record label calls Lambchop \"Nashville's most fucked-up country band.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambchop", "url": "http://www.mergerecords.com/lambchop"} +{"d:Title": "Wikipedia: Adam Lambert", "d:Description": "Includes biography, career highlights, discography, awards and external links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://en.wikipedia.org/wiki/Adam_lambert"} +{"d:Title": "Adam Lambert", "d:Description": "Official site provides news, events, photos, videos, newsletter and discussion forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://www.adamofficial.com/"} +{"d:Title": "Twitter: Adam Lambert", "d:Description": "Official Adam Lambert social networking and micro-blogging page.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://twitter.com/adamlambert"} +{"d:Title": "Broadway Buzz: Wicked Good - Adam Lambert's American Idol Journey", "d:Description": "Lengthy commentary regarding the singer's 11-week run on American Idol.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://www.broadway.com/buzz/wicked-good-adam-lamberts-american-idol-journey/"} +{"d:Title": "IMDB: Adam Lambert", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://www.imdb.com/name/nm2189338/"} +{"d:Title": "BroadwayWorld.com: Adam Lambert Photos", "d:Description": "Image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://www.broadwayworld.com/people/gallery-person.php?personid=19487"} +{"d:Title": "Flickr: Adam Lambert", "d:Description": "Fan photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://www.flickr.com/photos/tags/adamlambert/"} +{"d:Title": "AdamLambert.org", "d:Description": "Features include e-news, updates, photos, videos and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://adam-lambert.org/"} +{"d:Title": "Adam Lambert Fever", "d:Description": "Adam Lambert Fansite. News and Information on Adam Lambert's Album - For Your Entertainment. Glam Nation tour dates and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://www.adamlambertfever.com/"} +{"d:Title": "MTV: Adam Lambert", "d:Description": "Videos, pictures, news and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lambert,_Adam", "url": "http://www.mtv.com/artists/adam-lambert/"} +{"d:Title": "Official Shawn Lane Website", "d:Description": "Includes links, pictures, and brief biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lane,_Shawn", "url": "http://www.angelfire.com/tn2/shawnlane/enter.html"} +{"d:Title": "ShawnLane.com", "d:Description": "Official site features sound files, forum, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lane,_Shawn", "url": "http://www.shawnlane.com/"} +{"d:Title": "One Whiskey", "d:Description": "Fan site with articles, images, discography, upcoming projects, and fan reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lanegan,_Mark", "url": "http://www.onewhiskey.com/"} +{"d:Title": "SubPop: Mark Lanegan", "d:Description": "The official page at the website of his record company, SubPop. Information on album and single releases, tour schedule and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lanegan,_Mark", "url": "http://www.subpop.com/artists/mark_lanegan"} +{"d:Title": "ArtistDirect: Mark Lanegan", "d:Description": "Featuring a message board, biography, discography, reviews, downloads and links to related sites and artists.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lanegan,_Mark", "url": "http://www.artistdirect.com/artist/mark-lanegan/456323"} +{"d:Title": "k.d.lang Net", "d:Description": "Message board, e-card service, discography, quotes, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d.", "url": "http://www.kdlang.net/"} +{"d:Title": "kdlang.com", "d:Description": "The official website includes merchandise, a biography, photographs, discussion boards, and recent news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d.", "url": "http://www.kdlang.com/"} +{"d:Title": "Kennedyflairs k.d. page", "d:Description": "Fan's site includes chat, links, games, concert reviews, and e-cards.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d.", "url": "http://www.kdlang.org/"} +{"d:Title": "AskMen.com - k.d. lang", "d:Description": "Pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d.", "url": "http://www.askmen.com/celebs/women/singer_150/190_kd_lang.html"} +{"d:Title": "CMT: k.d. lang", "d:Description": "Reviews, profile, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d.", "url": "http://www.cmt.com/artists/kd-lang/"} +{"d:Title": "Metacritic: Invincible Summer", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d.", "url": "http://www.metacritic.com/music/invincible-summer/kd-lang"} +{"d:Title": "Yahoo! Groups - kdlang", "d:Description": "Discussion section, photographs, chat.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d./Chats_and_Forums", "url": "http://groups.yahoo.com/group/kdlang/"} +{"d:Title": "Yahoo! Groups - kdsconstantcravers", "d:Description": "Discussion forum, photographs, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d./Chats_and_Forums", "url": "http://groups.yahoo.com/group/kdsconstantcravers/"} +{"d:Title": "Yahoo! Groups - thegoddesslang", "d:Description": "Discussion, photograph albums, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/L/lang,_k.d./Chats_and_Forums", "url": "http://groups.yahoo.com/group/thegoddesslang/"} +{"d:Title": "Daniel Lanois", "d:Description": "Official site includes news, biography, discography, pictures, and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lanois,_Daniel", "url": "http://www.daniellanois.com/"} +{"d:Title": "ArtistDirect: Daniel Lanois", "d:Description": "Includes a biography, tour dates, list of related artists, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lanois,_Daniel", "url": "http://www.artistdirect.com/artist/daniel-lanois/456466"} +{"d:Title": "David Lanz", "d:Description": "The pianist's home page. Biography, shopping, reviews and interviews, tour information, mailing list, forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lanz,_David", "url": "http://www.davidlanz.com/"} +{"d:Title": "AMG: David Lanz", "d:Description": "Brief biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lanz,_David", "url": "http://www.allmusic.com/artist/david-lanz-mn0000185440"} +{"d:Title": "Satan Stole My Teddybear: Lard", "d:Description": "Reviews of three of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lard", "url": "http://www.ssmt-reviews.com/artist/lard.html"} +{"d:Title": "All Music Guide: Lard", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lard", "url": "http://www.allmusic.com/artist/lard-p13457"} +{"d:Title": "Patty Larkin", "d:Description": "Official web site includes tour information, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Larkin,_Patty", "url": "http://www.pattylarkin.com/"} +{"d:Title": "A Tribute to Nicolette Larson", "d:Description": "Information about her life, her music, and the tribute concert.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Larson,_Nicolette", "url": "http://www.nicolettelarson.com/"} +{"d:Title": "All Music Guide: Nicolette Larson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Larson,_Nicolette", "url": "http://www.allmusic.com/artist/nicolette-larson-p18807"} +{"d:Title": "Bill Laswell: Extending energy and experimentation [innerviews]", "d:Description": "Freewheeling 1999 interview with Laswell.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laswell,_Bill", "url": "http://www.innerviews.org/inner/laswell.html"} +{"d:Title": "Ambience for the Masses: Bill Laswell", "d:Description": "Links, reviews of some Laswell records", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laswell,_Bill", "url": "http://www.sleepbot.com/ambience/page/laswell.html"} +{"d:Title": "Axiom", "d:Description": "Bill Laswell's label features news, a discussion forum, and a discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laswell,_Bill", "url": "http://music.hyperreal.org/labels/axiom/"} +{"d:Title": "Bill Laswell Discography [Silent Watcher]", "d:Description": "A discography, includes track listings, and cover art.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laswell,_Bill", "url": "http://www.silent-watcher.net/billlaswell/"} +{"d:Title": "MTV.com: Latin Playboys", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Latin_Playboys", "url": "http://www.mtv.com/artists/latin-playboys/"} +{"d:Title": "Amanda Latona Club", "d:Description": "A Yahoo club for Amanda Latona fans to join, hang out and talk. Includes pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Latona,_Amanda", "url": "http://groups.yahoo.com/group/amazinglyamanda/"} +{"d:Title": "Wanna Have Fun", "d:Description": "Fan site with biography, chronology, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lauper,_Cyndi", "url": "http://get_happii.tripod.com/wannahavefun/"} +{"d:Title": "Cyndi Lauper - Page After Page", "d:Description": "Official site with news, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lauper,_Cyndi", "url": "http://www.cyndilauper.com/"} +{"d:Title": "Oldielyrics: Cyndi Lauper", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lauper,_Cyndi", "url": "http://www.oldielyrics.com/c/cyndi_lauper.html"} +{"d:Title": "RollingStone.com: Cyndi Lauper", "d:Description": "Includes a biography, discography, photos, articles, links and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lauper,_Cyndi", "url": "http://www.rollingstone.com/music/artists/cyndi-lauper"} +{"d:Title": "All Music Guide: Cyndi Lauper", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lauper,_Cyndi", "url": "http://www.allmusic.com/artist/cyndi-lauper-p4732"} +{"d:Title": "Harmony Ridge Music: Laura Love Band", "d:Description": "Discography, audio samples, tour schedule, concert photos, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laura_Love_Band", "url": "http://www.rahul.net/hrmusic/artists/llvart.html"} +{"d:Title": "FAME: Fourteen Days", "d:Description": "A review by Ronnie D. Lankford, Jr., of the \"sonically charged\" CD.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laura_Love_Band/Reviews", "url": "http://www.acousticmusic.com/fame/p01541.htm"} +{"d:Title": "FAME: Helvetica Bold", "d:Description": "A review by Jack Bohl of the CD that \"is the next best thing to a Laura Love concert.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laura_Love_Band/Reviews", "url": "http://www.acousticmusic.com/fame/p00029.htm"} +{"d:Title": "Chico News and Review: Lovefest at LaSalles", "d:Description": "Review by Alan Sheckter of a benefit concert to support a local environmental group.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laura_Love_Band/Reviews", "url": "http://www.newsreview.com/issues/chico/2001-05-17/review2.asp"} +{"d:Title": "Delta Boogie: Laura Love Band Live Permance", "d:Description": "A review of the band at the second Harvest Festival in Atlanta, GA.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Laura_Love_Band/Reviews", "url": "http://www.deltaboogie.com/vt/lauralove/"} +{"d:Title": "Ultimate-Guitar.com: Avril Lavigne Tabs", "d:Description": "Includes chords and guitar and bass tablature for many of her songs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.ultimate-guitar.com/tabs/avril_lavigne_tabs.htm"} +{"d:Title": "Old Socks - Avril Lavigne", "d:Description": "Collection of thumbnailed images that can be used as wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Avril+Lavigne"} +{"d:Title": "Nettwerk Management: Avril Lavigne", "d:Description": "Official site from her managers. Includes release dates, discography, news, tour dates, and a list of media appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.nettwerk.com/management/artistpage.jsp?artist_id=655"} +{"d:Title": "Avril Lavigne", "d:Description": "Official site. Contains music clips, journal, biography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.avrillavigne.com/"} +{"d:Title": "RollingStone.com: Avril Lavigne", "d:Description": "Includes discography, articles and interviews, original video, photos, trivia, and concert files.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.rollingstone.com/music/artists/avril-lavigne"} +{"d:Title": "All Music Guide: Avril Lavigne", "d:Description": "Includes biography, profile, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.allmusic.com/artist/avril-lavigne-p529805"} +{"d:Title": "AskMen.com: Avril Lavigne", "d:Description": "Includes pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.askmen.com/celebs/women/singer_100/135_avril_lavigne.html"} +{"d:Title": "MTV.com: Avril Lavigne", "d:Description": "Includes biography, articles, interviews, audio and video, discography, pictures, tour and appearance dates, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.mtv.com/artists/avril-lavigne/"} +{"d:Title": "Avril Lavigne - People.com", "d:Description": "Snapshot and news feed from People magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.people.com/people/avril_lavigne/"} +{"d:Title": "Avril Lavigne | Perez Hilton", "d:Description": "News and gossip from entertainment blog.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://perezhilton.com/category/avril-lavigne"} +{"d:Title": "Avril Lavigne - YouTube", "d:Description": "Video posts.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "https://www.youtube.com/user/AvrilLavigne"} +{"d:Title": "AvrilLavigneVEVO - YouTube", "d:Description": "Video posts.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "https://www.youtube.com/user/AvrilLavigneVEVO"} +{"d:Title": "The Avril Lavigne Foundation", "d:Description": "Partners with leading charitable organizations to design and deliver programs, raise awareness and mobilize support for children and youth living with a serious illness or a disability.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "http://www.theavrillavignefoundation.org/"} +{"d:Title": "Avril Lavigne | Twitter", "d:Description": "The latest tweets from Avril Lavigne.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril", "url": "https://twitter.com/AvrilLavigne"} +{"d:Title": "USATODAY.com: Avril Lavigne skates straight to the top", "d:Description": "Elysa Gardner's article examines what may be the secret of Avril Lavigne's success.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Articles_and_Interviews", "url": "http://www.usatoday.com/life/music/2002/2002-07-10-avril.htm"} +{"d:Title": "Anne Carlini - Exclusive Magazine: The UnComplicated Life of Avril L.", "d:Description": "Sunny Martinez's interview in which Avril discusses her treatment in high school, comparisons to other artists, skating, and wedgies.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Articles_and_Interviews", "url": "http://www.annecarlini.com/ex_interviews.php?id=110"} +{"d:Title": "The Anti-Britneys: New Crop of Teen Pop Queens Reject Sex-Symbol Status", "d:Description": "Nekesa Mumbi Moody's article reviews the differences between Britney Spears and newer pop stars Avril Lavigne, Michelle Branch, and Vanessa Carlton.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Articles_and_Interviews", "url": "http://www.cbsnews.com/news/the-anti-britneys/"} +{"d:Title": "All For Avril Lavigne", "d:Description": "Includes biography, pictures, fan art, commentary, multimedia, wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Fan_Pages", "url": "http://www.angelfire.com/jazz/jasminepowell/avril.html"} +{"d:Title": "TwoBeats.com - Avril Lavigne", "d:Description": "Includes band member profiles, news, quotes, categorized image galleries, audio samples, wallpaper, avatars, icons, lyrics, and fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Fan_Pages", "url": "http://www.twobeats.com/"} +{"d:Title": "Avrils World", "d:Description": "Includes pictures, biography, articles, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Fan_Pages", "url": "http://avrilsworld2.tripod.com/"} +{"d:Title": "Avril Eyes", "d:Description": "Forum dedicated to the artist and her band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Fan_Pages", "url": "http://avrileyesforum.proboards.com/"} +{"d:Title": "Avril Bandaids", "d:Description": "Includes news, pictures, audio and video, articles, club information, lyrics, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Fan_Pages", "url": "http://avrilbandaids.boards.net/"} +{"d:Title": "AZ Lyrics: Avril Lavigne Lyrics", "d:Description": "Contains lyrics for the songs on her \"Let Go\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Lyrics", "url": "http://www.azlyrics.com/l/lavigne.html"} +{"d:Title": "Lyrics On Demand: Avril Lavigne", "d:Description": "Categorized by album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Lyrics", "url": "http://www.lyricsondemand.com/a/avrillavignelyrics/"} +{"d:Title": "Any Song Lyrics: Avril Lavigne", "d:Description": "Categorized by album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Lyrics", "url": "http://www.anysonglyrics.com/lyrics/a/avrillavigne.htm"} +{"d:Title": "CANOE: Avril Lavigne", "d:Description": "Collection of articles, and album and concert reviews, from Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews", "url": "http://jam.canoe.com/Music/Artists/L/Lavigne_Avril/"} +{"d:Title": "Music-Critic.com: Avril Lavigne - Let Go", "d:Description": "Bill Aicher's review: \"The honesty and reality of her songs will undoubtedly win her a steady fanbase.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Let_Go", "url": "http://www.music-critic.com/rock/lavigne_letgo.htm"} +{"d:Title": "EntertainYourBrain.com: Avril Lavigne - Let Go", "d:Description": "Shawn McKenzie's review: \"Not every track on Let Go rocks, or is even catchy, but there are many standouts that make you amazed that they are coming out a teenage girl.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Let_Go", "url": "http://www.entertainyourbrain.com/avrillavigneletgorev.htm"} +{"d:Title": "TeenInk.com: Avril Lavigne - Let Go", "d:Description": "Ashley D.'s review: \"She has a realness to her music that many singers lack. She's a girl with a voice and a guitar, and she isn't afraid to use either.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Let_Go", "url": "http://www.teenink.com/reviews/music_reviews/article/6741/Avril-Lavigne--Let-Go/"} +{"d:Title": "CANOE: Avril Lavigne - Let Go", "d:Description": "Jane Stevenson's review: \"While teen girls will probably eat this right up, everyone else will probably want to give it a miss.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Let_Go", "url": "http://jam.canoe.com/Music/Artists/L/Lavigne_Avril/AlbumReviews/2002/06/02/771253.html"} +{"d:Title": "Plugged In: Avril Lavigne - Let Go", "d:Description": "Bob Waliszewski's review: \"this 17-year-old refuses to compromise her sense of self or play the victim.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Let_Go", "url": "http://www.pluggedin.com/music-reviews/albums/avrillavigne-letgo/"} +{"d:Title": "Ultimate-Guitar.com: Avril Lavigne - Under My Skin", "d:Description": "Submitted reviews and ratings of the album categorized by sound, lyrics, and overall impression.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Under_My_Skin", "url": "http://www.ultimate-guitar.com/reviews/compact_discs/avril_lavigne/under_my_skin/"} +{"d:Title": "Guardian Unlimited: Avril Lavigne - Under My Skin", "d:Description": "Alexis Petridis' review: \"The music is so anodyne that you don't pay much attention to Lavigne's lyrics.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Under_My_Skin", "url": "http://www.theguardian.com/music/2004/may/21/popandrock.shopping5"} +{"d:Title": "Plugged In: Avril Lavigne - Under My Skin", "d:Description": "Bob Waliszewski and Bob Smithouser review the pro-social and objectional content of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lavigne,_Avril/Reviews/Under_My_Skin", "url": "http://www.pluggedin.com/music-reviews/albums/avrillavigne-undermyskin/"} +{"d:Title": "La Bouche", "d:Description": "Lyrics, information about different remixes and interviews and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/L/La_Bouche", "url": "http://members.tripod.com/~geckogirl1/"} +{"d:Title": "Dance Artist Info: La Bouche", "d:Description": "Features a biography, discography, cover scans, and a list of awards the group has won.", "topic": "Top/Arts/Music/Bands_and_Artists/L/La_Bouche", "url": "http://www.danceartistinfo.com/labouche.htm"} +{"d:Title": "ArtistDirect: La Bouche", "d:Description": "Includes a biography, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/La_Bouche", "url": "http://www.artistdirect.com/artist/la-bouche/537333"} +{"d:Title": "All Music Guide: La Bouche", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/La_Bouche", "url": "http://www.allmusic.com/artist/la-bouche-mn0000777366"} +{"d:Title": "La Mafia", "d:Description": "Official site includes discography, pictures, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/L/La_Mafia", "url": "http://www.lamafia.com/"} +{"d:Title": "All Music Guide: Bernie Leadon", "d:Description": "Discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leadon,_Bernie", "url": "http://www.allmusic.com/artist/bernie-leadon-p97187"} +{"d:Title": "Bernie Leadon Discography", "d:Description": "List of singles, albums, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leadon,_Bernie", "url": "http://www.eaglesfans.com/bernie-leadon-discography/"} +{"d:Title": "Vicky Leandros", "d:Description": "Official site includes biography, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leandros,_Vicky", "url": "http://www.vickyleandros.com/"} +{"d:Title": "J\u00f8rgen Angel Photography", "d:Description": "J\u00f8rgen Angel's photos of rock bands, including Led Zeppelin.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.angel.dk/"} +{"d:Title": "Led Zeppelin Live", "d:Description": "Bootlegs, Photos, Concerts, Jimmy Page, Robert Plant, Page and Plant, ZOSO, Bonzo, Pictures, Images, Fantasy.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.stryder.de/"} +{"d:Title": "Achilles Last Stand", "d:Description": "MIDI files, guitar and bass tablatures, audio clips, video clips, interviews, concert information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.led-zeppelin.org/"} +{"d:Title": "Rockmagic.net: Led Zeppelin", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://lyrics.rockmagic.net/lyrics/led_zeppelin/"} +{"d:Title": "RockMagic.net Tablatures", "d:Description": "Guitar and bass tablatures and chords for 86 Led Zeppelin's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.rockmagic.net/guitar-tabs/led-zeppelin/"} +{"d:Title": "Accurate Led Zeppelin Lyrics", "d:Description": "Includes transcriptions of band lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.angelfire.com/nm/zeppelin/"} +{"d:Title": "Led Zeppelin News: Topix", "d:Description": "News about Led Zeppelin continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.topix.com/who/led-zeppelin"} +{"d:Title": "Topix: Led Zeppelin", "d:Description": "News about Led Zeppelin, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.topix.com/rss/who/led-zeppelin.xml"} +{"d:Title": "Led Zeppelin Official Site", "d:Description": "Features news, discography, audio samples, DVD preview, commentary, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.ledzeppelin.com/"} +{"d:Title": "Led Zeppelin Tabs", "d:Description": "An alphebetic listing of Led Zeppelin tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.guitaretab.com/l/led-zeppelin/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Led Zeppelin", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.sfloman.com/ledzeppelin.html"} +{"d:Title": "RollingStone.com: Led Zeppelin", "d:Description": "Includes a biography, photos, articles, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.rollingstone.com/music/artists/led-zeppelin"} +{"d:Title": "Rock and Roll Hall of Fame: Led Zeppelin", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://rockhall.com/inductees/led-zeppelin"} +{"d:Title": "BR's Classic Rock Concert Photos - Led Zeppelin", "d:Description": "A series of rare Zeppelin concert shots (1977).", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.e-rockworld.com/zeppelin.htm"} +{"d:Title": "Led Zeppelin Rock Magic Interview", "d:Description": "Interview with Jimmy Page by 'beat' generation writer William S. Burroughs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.arthurmag.com/2007/12/05/willima-burroughs-onled-zeppelin/"} +{"d:Title": "Tight But Loose", "d:Description": "Dave Lewis' long-running fanzine. Includes news on the band and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.tightbutloose.co.uk/"} +{"d:Title": "MTV", "d:Description": "Led Zeppelin news, full biography, musical influences, audio clips, photos, music videos, bulletin boards, links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin", "url": "http://www.mtv.com/artists/led-zeppelin/"} +{"d:Title": "Whole Lotta Led", "d:Description": "History, pictures, discography, facts, chat, tablatures, poll, quiz, links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Fan_Pages", "url": "http://www.angelfire.com/il2/ledzep/"} +{"d:Title": "Matt's Led Zeppelin Page", "d:Description": "Contains images, sound files, videos, chat, forum, lyrics, guitar tablatures, sound clips, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Fan_Pages", "url": "http://www.mattsmusicpage.com/nled.htm"} +{"d:Title": "Anna's Led Zeppelin Site", "d:Description": "Led Zeppelin Comics and Artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Fan_Pages", "url": "http://homepage.eircom.net/~cooreast/zeppelin"} +{"d:Title": "Zeppelin Online", "d:Description": "Contains biographies, discography, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Fan_Pages", "url": "http://www.angelfire.com/rock2/thezeppelinspot/"} +{"d:Title": "Over the Hills and Far Away", "d:Description": "Biography, lyrics, pictures, guitar tabs and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Fan_Pages", "url": "http://members.tripod.com/ringo12340/"} +{"d:Title": "Zeppelin*Elly", "d:Description": "A fan site, with fine graphic and tons of pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Fan_Pages", "url": "http://digilander.libero.it/zeppelin_elly"} +{"d:Title": "Steven and Amy's Led Zeppelin Site", "d:Description": "Contains information on albums, band members, and some photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Fan_Pages", "url": "http://www.led-zeppelin-usa.com/"} +{"d:Title": "Whole Lotta Led", "d:Description": "Based in the UK. Offers news, member profiles, history, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.wholelottaled.co.uk/"} +{"d:Title": "Boot Led Zeppelin", "d:Description": "Based in the UK, playing gigs in the UK and Russia. Contains band biography and news, audio and visual media, music samples, gig listings, reviews, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.boot-led-zeppelin.co.uk/"} +{"d:Title": "Kashmir", "d:Description": "Based in Chicago. Includes link to gig listings on Myspace, and links to venues.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.kashmirchicago.com/"} +{"d:Title": "The Levee", "d:Description": "Based in Raleigh, North Carolina. Includes, biography, gig listings, audio and visual media, and relevant links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.thelevee.com/"} +{"d:Title": "Zep'Tepi Online", "d:Description": "Based in Scotland. Includes, gig listings, biography and member profiles, audio and visual media, repertoire, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://zeptepionline.tripod.com/"} +{"d:Title": "Led Zepplica", "d:Description": "Information on schedules, biographies, songlists, video and photos for this California-based tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.led-zepplica.com/"} +{"d:Title": "Zed Leppelin", "d:Description": "Based in Minnesota, USA. Includes calendar with gig listings, reviews, news, photos, sound files, and repertoire. Also lyrics for all the album tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zed-leppelin.com/"} +{"d:Title": "Led Zepagain", "d:Description": "Based in Los Angeles. Includes photos, reviews, gig dates, video and audio, and member profiles, relevant links, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zepagain.com/"} +{"d:Title": "Letz Zep", "d:Description": "From England but also playing in Europe. Includes biographies, photos, gig listings, reviews, audio/video, links and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.letzzep.com/"} +{"d:Title": "Kashmir", "d:Description": "Based in New York City, USA with gig lists, band biography, booking info, and promotional material.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.kashmirrocks.com/"} +{"d:Title": "Zeppelin Live", "d:Description": "Based in New South Wales, Australia, with gig listings, band biography, media, contact details, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zeppelinlive.com.au/"} +{"d:Title": "Heartbreaker", "d:Description": "Based in Massachusetts, USA. Features, news, biography, previous gig listings, and photographs. Currently inactive.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://heartbreakeronline.com/"} +{"d:Title": "Zep Boys", "d:Description": "Based in South Australia but covering all Australian territories. Includes information, biographies, audio and visual media, gig listings, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zepboys.com/"} +{"d:Title": "Lez Zeppelin", "d:Description": "All girl band based in New York City, USA. Includes gig listings, audio and visual media, biography and information, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.lezzeppelin.com/"} +{"d:Title": "Hammer of the Gods", "d:Description": "Includes, gig listings covering Pennsylvania, New York, and Massachusetts, audio and visual media, reviews, biography, blog, and contact details. Also features an album discography including lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://hammerofthegods.com/"} +{"d:Title": "Black Dog", "d:Description": "Based in N.E. United States, includes gig listings, audio and visual media, biography and repertoire, guest book, contact information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.blackdogzeppelin.com/"} +{"d:Title": "Heartbreaker", "d:Description": "Based in California, USA. Features past and future shows, band biography, audio and visual media, reviews, repertoire and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zeppelintribute.com/"} +{"d:Title": "Coda", "d:Description": "Based in Toronto, Ontario, Canada. Includes calendar, links, photographs, audio, video, biography, and booking details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.codazepp.com/"} +{"d:Title": "Let's Eppelin", "d:Description": "Based in Finland. Includes, gig listings, photographs, audio, band information, press reviews, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.alvarlines.fi/eppelin/"} +{"d:Title": "Zepparella", "d:Description": "Based in South West USA. All female tribute, includes gig listings, audio and video, photographs, band biography, contact details, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zepparella.com/index.html"} +{"d:Title": "Led Zeppelin 2", "d:Description": "based in Chicago. Includes gig listings, press quotes, photographs, video, band history and biographies, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.ledzeppelin2.com/"} +{"d:Title": "Fred Zeppelin", "d:Description": "Based in the Midlands of England. Includes gig list, photos, reviews and merchandise from the band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.fred-zeppelin.com/"} +{"d:Title": "Get The Led Out", "d:Description": "Based in East coast USA. Features photographs, gig listings, band biographies, merchandise, press coverage, video, links, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.gtlorocks.com/"} +{"d:Title": "Led Blimpie", "d:Description": "Based in Hell's Kitchen, New York. Includes original parody artwork of Zeppelin's album covers, gig schedule, free mp3s of band performing covers, merchandise and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.ledblimpie.com/"} +{"d:Title": "Mothership", "d:Description": "Based in North West England. Includes band biographies, gig listings, repertoire, videos, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zepmothership.com/"} +{"d:Title": "Mr. Jimmy", "d:Description": "Japanese based band focused around guitarist Jimmy Sakurai, but also collaborating with American musicians for shows in the USA. Site includes upcoming gigs, biographical info about Mr. Jimmy, photographs, equipment details, and a message box. Also links to Von Zep myspace page. [English and Japanese]", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://mrjimmy.jp/"} +{"d:Title": "Lady Zep", "d:Description": "California based all female band. Includes band and individual biographies, repertoire, booking form, mailing list, gig listings, photographs, videos, merchandise, and contact details. (audio plays on opening with pause button available)", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://ladyzep.wixsite.com/all-female-tribute"} +{"d:Title": "Led By Zeppelin", "d:Description": "Based in England. Includes, photographs, biography, news, links, and past gigs. Also features some Led Zeppelin information and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "https://www.facebook.com/ledbyzep"} +{"d:Title": "Hats Off To Led Zeppelin", "d:Description": "Based in England, includes, audio and video, photographs, gig listings, links, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.bandwagonproductions.biz/hats-off-to-led-zeppelin/"} +{"d:Title": "Winds of Thor", "d:Description": "Based in Cincinnati Ohio, USA. Features tour dates, photographs, audio, video, repertoire, press reviews, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://windsofthor.com/"} +{"d:Title": "Zoso", "d:Description": "Based in California but covering the whole USA. Includes band biography, audio and visual media, reviews, gig listings, a forum and guest book, set and equipment lists, and fan photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.zosoontour.com/"} +{"d:Title": "Swan Song", "d:Description": "Based in Dallas, Texas. Features sound files, member profiles, song list, photos, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Led_Zeppelin/Tribute_Bands", "url": "http://www.swansongtexas.com/"} +{"d:Title": "Ben Lee", "d:Description": "Discography, song lyrics, tour dates, and information about the email discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Ben", "url": "http://www.ben-lee.com/"} +{"d:Title": "MTV: Ben Lee", "d:Description": "News, reviews, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Ben", "url": "http://www.mtv.com/artists/ben-lee/"} +{"d:Title": "Harleygal's CoCo Leenks", "d:Description": "A fan's large collection of links to CoCo Lee related sites. Organized in different categories.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_CoCo", "url": "http://members.tripod.com/~harleygal/"} +{"d:Title": "My Lovest CoCoLee Station", "d:Description": "Includes news, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_CoCo", "url": "http://www.angelfire.com/celeb/mlcoco/Enter.html"} +{"d:Title": "All Music Guide: CoCo Lee", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_CoCo", "url": "http://www.allmusic.com/artist/coco-lee-p359957"} +{"d:Title": "AskMen.com: Coco Lee", "d:Description": "Men's magazine online including profile, ratings, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_CoCo", "url": "http://www.askmen.com/celebs/women/singer/38_coco_lee.html"} +{"d:Title": "Hip Online: CoCo Lee", "d:Description": "The entertainment site includes a biography, pictures, an interview, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_CoCo", "url": "http://hiponline.com/1936/coco-lee.html"} +{"d:Title": "GlobalBass.com", "d:Description": "\"The Consummate Geddy Lee Interview\", by Christopher Buttner.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Geddy", "url": "http://www.globalbass.com/archives/dec2000/geddy_lee.htm"} +{"d:Title": "PeggyLee.com", "d:Description": "The official site of Miss Peggy Lee.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy", "url": "http://www.peggylee.com/"} +{"d:Title": "Women's International Center: Peggy Lee", "d:Description": "Profile with photo.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy", "url": "http://www.wic.org/bio/plee.htm"} +{"d:Title": "Peggy Lee at the Copacabana", "d:Description": "Live performance review by newspaper columnist Robert W. Dana.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy", "url": "http://www.bigbandsandbignames.com/PeggyLee.html"} +{"d:Title": "BBC News: Peggy Lee", "d:Description": "Singing legend suffers stroke. Article includes photo.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy", "url": "http://news.bbc.co.uk/1/hi/world/americas/207114.stm"} +{"d:Title": "IMDb: Peggy Lee", "d:Description": "Filmography includes actress and composer credits.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy", "url": "http://www.imdb.com/name/nm0498007/"} +{"d:Title": "San Francisco Chronicle: Peggy Lee 1920-2002", "d:Description": "Details of early career including film roles with Bing Crosby and Danny Kaye, list of significant recordings by year.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy/Obituaries", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2002/01/23/MN201420.DTL"} +{"d:Title": "USA Today: Peggy Lee's smoky voice falls silent, but endures", "d:Description": "Brief article with extensive photo gallery, links, and audio montage of her recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy/Obituaries", "url": "http://www.usatoday.com/life/music/2002/2002-01-23-lee.htm"} +{"d:Title": "BBC News: Jazz Legend Peggy Lee Dies", "d:Description": "Brief article with photo and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy/Obituaries", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1774877.stm"} +{"d:Title": "Guardian Obituaries: Peggy Lee", "d:Description": "Feature by John Fordham, includes photo.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy/Obituaries", "url": "http://www.theguardian.com/news/2002/jan/22/guardianobituaries.johnfordham"} +{"d:Title": "New York Times: Peggy Lee, Sultry-Voiced Singer of 'Fever,' Dies at 81", "d:Description": "Very detailed account with two photos. Free registration required to access.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lee,_Peggy/Obituaries", "url": "http://www.nytimes.com/2002/01/22/obituaries/22CND-LEE.html"} +{"d:Title": "Not Forgotten", "d:Description": "Contains discography, news, reviews, pictures, links, articles and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leftfield", "url": "http://www.leftfield-online.co.uk/"} +{"d:Title": "ArtistDirect: Leftfield", "d:Description": "Includes photos, biography, discography, links and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leftfield", "url": "http://www.artistdirect.com/artist/leftfield/457763"} +{"d:Title": "MTV: Leftfield", "d:Description": "Includes a biography, discography, audio clips (RealAudio), links and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leftfield", "url": "http://www.mtv.com/artists/leftfield/"} +{"d:Title": "Leftover Salmon", "d:Description": "Official site. Contains biography, tour dates, audio clips, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leftover_Salmon", "url": "http://www.leftoversalmon.com/"} +{"d:Title": "Leftover Salmon Perma-Tree", "d:Description": "Leftover Salmon taper tree.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leftover_Salmon", "url": "http://www.angelfire.com/ok2/dubfactor/los.html"} +{"d:Title": "Evan Dando and the Lemonheads", "d:Description": "Fan site with news, gig schedule, discography, MP3 files, photographs, a press interview, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lemonheads", "url": "http://www.angelfire.com/home/lemonheads/"} +{"d:Title": "Lemonheads Tabs", "d:Description": "An alphebetic listing of Lemonheads tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lemonheads", "url": "http://www.guitaretab.com/l/lemonheads/"} +{"d:Title": "Lemonheads Lyrics", "d:Description": "Sorted alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lemonheads", "url": "http://www.lyricsfreak.com/l/lemonheads/"} +{"d:Title": "A German in Paris: Ute Lemper", "d:Description": "1995 interview from Deuce of Clubs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lemper,_Ute", "url": "http://www.deuceofclubs.com/write/lemper.htm"} +{"d:Title": "Lemper, Ute", "d:Description": "Tour dates, news, biography, discography, reviews, and a picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lemper,_Ute", "url": "http://www.utelemper.com/"} +{"d:Title": "Canoe.ca: Len", "d:Description": "Detailed biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Len", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/L/Len.html"} +{"d:Title": "John Lennon Dreamsite", "d:Description": "Photographs, lyrics, discography, forum, quotes, and related information. Run by the photo-journalist Fiorella Dorotea Gentile. Italian and English.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.johnlennon.it/"} +{"d:Title": "Bagism", "d:Description": "Interactive site for John Lennon fans to come together and learn, educate, and have fun. Chat, boards, library, discography, art, poetry, quiz, and a store.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.bagism.com/"} +{"d:Title": "Pacific Edge Galleries", "d:Description": "Working directly with the John Lennon Estate since 1988 to present exhibitions of his art work throughout the U.S. and Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.lennonart.com/"} +{"d:Title": "Working Class Hero", "d:Description": "A tribute to John Lennon. Contains biography, photo album, music news, audio downloads, discography, and several links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.workingclasshero.com/"} +{"d:Title": "John Lennon Portfolio", "d:Description": "Small biography, quotes, and two audio clips (Real Audio Player required).", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.getback.org/bjohn.html"} +{"d:Title": "John Lennon Artificial Intelligence Project", "d:Description": "Chat with cyber-Beatle John Lennon.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://triumphpc.com/johnlennon/"} +{"d:Title": "Absolute Elsewhere: The Spirit of John Lennon", "d:Description": "Original articles, interviews, archives, photograph albums, special features, current news, a discussion group, and other related resources.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.absoluteelsewhere.net/"} +{"d:Title": "Astrocartography: John Lennon", "d:Description": "Essay on how the planetary metaphors of Sun, Venus and Uranus were reflected in John's life and work.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.dominantstar.com/b_len.htm"} +{"d:Title": "The Art Work of John Lennon", "d:Description": "Yoko Ono and Legacy Productions present an exhibit of the artwork of former Beatle.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.johnlennonartwork.com/"} +{"d:Title": "The Smoking Gun: John Lennon", "d:Description": "Collection of FBI documents dating back to 1971.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.thesmokinggun.com/archive/lennon1.html"} +{"d:Title": "John Lennon.com", "d:Description": "News, tributes, stories and related Beatles' links. Site is part of the Love Earth network.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.john-lennon.com/"} +{"d:Title": "The John Lennon FBI Files", "d:Description": "Information about John, his FBI files, and the book \"Gimme Some Truth: The John Lennon FBI Files\" by Jon Wiener.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.lennonfbifiles.com/"} +{"d:Title": "John Lennon Songwriting Contest", "d:Description": "Information on entry and requirements of this annual contest.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.jlsc.com/"} +{"d:Title": "John Lennon", "d:Description": "An official website that features news, biography, videos, historical timeline, downloads, and drawings.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.johnlennon.com/"} +{"d:Title": "(4147) Lennon", "d:Description": "Document about one minor planet, which has been named in memory of the English composer and musician John Lennon.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0004147.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Lennon's inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://rockhall.com/inductees/john-lennon/"} +{"d:Title": "MTV: John Lennon", "d:Description": "Features album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John", "url": "http://www.mtv.com/artists/john-lennon/"} +{"d:Title": "A John Lennon Memoir", "d:Description": "Patricia A. Farrell writes in April 1996 about her meeting with Lennon in 1969.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://www.furious.com/perfect/lennon.html"} +{"d:Title": "John Lennon 20 Years Gone", "d:Description": "Essays on the life and trials of John Lennon using interviews, multimedia, press clippings, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://www.angelfire.com/music2/20yearsgone/"} +{"d:Title": "BBC News: Haunting Lennon Image for Sale", "d:Description": "A photo of John Lennon's blood-covered glasses, taken by his widow Yoko Ono after the star was shot, is to be auctioned.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1931080.stm"} +{"d:Title": "Topix: John Lennon News:", "d:Description": "News about John Lennon continually updated from thousands of Internet sources.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://www.topix.com/who/john-lennon"} +{"d:Title": "John Lennon Interview: Look Magazine", "d:Description": "European editor Leonard Gross and photographer Douglas Kirkland visited Lennon during the filming of \"How I Won The War.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://www.beatlesinterviews.org/db1966.1213.beatles.html"} +{"d:Title": "Christianity Today: The Ballad of John and Jesus", "d:Description": "Magazine article about two books which suggest that Lennon was a born-again Christian during a period in the 1970s.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://www.christianitytoday.com/ct/2000/june12/34.86.html"} +{"d:Title": "John Lennon: 1940 - 1980", "d:Description": "Remembrances of his life and times. Article by Roger Ebert first appeared in the Chicago Sun-Times the week of Lennon's death, and now republished.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://www.salon.com/2000/12/08/ebert_on_lennon/"} +{"d:Title": "Today: 25 Years Later, Lennon's Loss Still Being Felt", "d:Description": "Article written as the anniversary of Lennon's death nears on how his death impacted music. Madonna, Dolly Parton remember where they were when he died.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://www.today.com/id/10098701"} +{"d:Title": "You are the Plastic Ono Band", "d:Description": "Collection of magazine articles, interviews, quotes, and television shows from 1968 to 1971; all are either of or about John Lennon. Discography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Articles_and_Interviews", "url": "http://urthepob.byethost24.com/pob/pob00.html"} +{"d:Title": "John Lennon WebRing", "d:Description": "John Lennon and Beatles related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Directories", "url": "http://www.webring.org/hub?ring=johnlennonwebrin"} +{"d:Title": "Working Class Hero: John Lennon: 1940-1980", "d:Description": "Pictures, MIDIs, MP3s, RealAudio, RealVideo, quotes, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://www.angelfire.com/pq/yesterdaysmusic/"} +{"d:Title": "Keno's John Lennon Web Site", "d:Description": "Containing pictures, lyrics, polls, favorite songs, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://www.keno.org/Lennonhomepage.htm"} +{"d:Title": "The Beatles, John Lennon, and I", "d:Description": "Personal thoughts and opinions about John Lennon and The Beatles, and how he has affected the author's life.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://lennonandi.tripod.com/"} +{"d:Title": "Lennon's Temple Of Love", "d:Description": "An account of a Russian fan's quest to establish a shrine to John in St. Petersburg.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://www.friends-partners.org/oldfriends/spbweb/sppress/94/lennon.html"} +{"d:Title": "You Are Here: The Life and Times of John Lennon", "d:Description": "Information on the FBI files and \"the lost weekend,\" reviews of books about John and The Beatles, photographs spanning several eras, and related subjects.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://www.angelfire.com/tn/Bagism/"} +{"d:Title": "John Lennon: Image World Peace", "d:Description": "Profile, pictures, and list of solo albums.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://darlened88.tripod.com/lennonimageworldpeace2/"} +{"d:Title": "The John Lennon Society", "d:Description": "Dedicated to keeping Lennon's killer in jail. Includes an online petition, Music, lyrics, Beatle news, and related subjects.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://johnlennonsociety.tripod.com/"} +{"d:Title": "In My Life: Words and Music by John Lennon", "d:Description": "Listing of all songs he wrote, with songwriting quotes, discography, films, photographs and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Fan_Pages", "url": "http://www.johnlennon.talktalk.net/index.html"} +{"d:Title": "Bob Gruen: Rock and Roll Photographer", "d:Description": "Large collection of John Lennon and Yoko Ono photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Image_Galleries", "url": "http://www.bobgruen.com/files/johnlennon.html"} +{"d:Title": "Swoffer, Alan", "d:Description": "Photographs, publicity shots, and contact information of this John Lennon look-a-like DJ from England.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Impersonators", "url": "http://www.johnlennonlookalike.com/"} +{"d:Title": "Rock Lyrics: John Lennon", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/lennon_john/"} +{"d:Title": "Oldie Lyrics: John Lennon", "d:Description": "Complete lyrics to solo material, organized by albums and songs. Links to related lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Lyrics", "url": "http://www.oldielyrics.com/j/john_lennon.html"} +{"d:Title": "Internet Movie Database: John Lennon", "d:Description": "Filmography of Lennon as an actor, composer, writer, producer, and director. Detailed biography and notable television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Movies", "url": "http://www.imdb.com/name/nm0006168/"} +{"d:Title": "Wilson and Alroy's Record Reviews", "d:Description": "Reviews of the albums titled: Live Peace In Toronto '69, Plastic Ono Band, Imagine, Mind Games, Walls And Bridges, Rock 'N' Roll, Double Fantasy, and Milk And Honey.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_John/Reviews", "url": "http://www.warr.org/lennon.html"} +{"d:Title": "Jules Ok!", "d:Description": "Julian Lennon fan site includes an exclusive cartoon, news, discography, and recipes.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Julian", "url": "http://julesok.tripod.com/"} +{"d:Title": "Hey Jules", "d:Description": "Extensive Julian Lennon fansite with up to date news, interviews, pictures, biography, discography and fan sections including a fan map and quotes, quiz and trivia, chat, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Julian", "url": "http://www.heyjules.com/"} +{"d:Title": "Day after Day", "d:Description": "About Julian's music and songwriting.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Julian", "url": "http://dayafterdayjules.tripod.com/"} +{"d:Title": "The Official Julian Lennon Website", "d:Description": "News, biography, videos and information on the singer's album, charity and photography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Julian", "url": "http://www.julianlennon.com/"} +{"d:Title": "MTV.com: Julian Lennon", "d:Description": "Contains news, biography, discography, audio clips, music video, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Julian", "url": "http://www.mtv.com/artists/julian-lennon/"} +{"d:Title": "The Julian Lennon Webring", "d:Description": "Collection of fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Julian", "url": "http://www.webring.org/hub?ring=julesring"} +{"d:Title": "Spaceship - Sean Lennon", "d:Description": "News, pictures, audio, video, lyrics, and survey.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Sean", "url": "http://members.tripod.com/~slennon/sean.html"} +{"d:Title": "Photosynthesis - Sean Lennon", "d:Description": "Photographs, concert reviews and links by the author.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Sean", "url": "http://www.kimberlyblessing.com/sean_lennon/"} +{"d:Title": "MTV.com: Sean Lennon", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon,_Sean", "url": "http://www.mtv.com/artists/sean-lennon/"} +{"d:Title": "Petticoat Pond: Lennon Sisters", "d:Description": "Features images of the group wearing petticoats, and a vintage lingerie advertisement.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennon_Sisters,_The", "url": "http://www.pettipond.com/laterimages/tv/welk_lennon/"} +{"d:Title": "Ethrill.net - The Eurythmics Fan Web", "d:Description": "The web for all fans of Eurythmics, Annie Lennox and Dave Stewart - news, photos, songs, videos, lyrics, features, links, fan-art, and Eurythmics fan convention. (in English and German)", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennox,_Annie", "url": "http://www.ethrill.net/"} +{"d:Title": "Eurythmistan (Eurythmics)", "d:Description": "Unofficial website for all fans of Eurythmics, Annie Lennox and Dave Stewart. News, TV appearances, concert information, lyrics, pictures, reviews, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennox,_Annie", "url": "http://www.vibber.dk/eurythmistan/"} +{"d:Title": "Annie Lennox", "d:Description": "Unofficial Annie Lennox homepage.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennox,_Annie", "url": "http://www.annielennox.de/"} +{"d:Title": "Annie Lennox", "d:Description": "Pictures, biography, and commentary on the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lennox,_Annie", "url": "http://www.askmen.com/celebs/women/singer_150/156_annie_lennox.html"} +{"d:Title": "PhilZone.com", "d:Description": "Tour information, news, rumors, audio samples and MP3s, set lists, photo galleries, reviews, discussion board, tape trees, friends, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lesh,_Phil", "url": "http://www.philzone.com/"} +{"d:Title": "Grateful Dead Family Discography: Phil Lesh", "d:Description": "Discography of his works, including contributions to others' albums.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lesh,_Phil", "url": "http://www.deaddisc.com/GDFD_Phil_Lesh.htm"} +{"d:Title": "Grateful Dead Time Capsule: Phil Lesh", "d:Description": "Biography, timeline, discography, videos, merchandise, album covers, songs, reviews, interviews, and Phil Lesh and Friends tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lesh,_Phil", "url": "http://www.dead101.com/phil.htm"} +{"d:Title": "Phil Lesh - Official Site", "d:Description": "Tour dates, news, lyrics, streaming audio and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lesh,_Phil", "url": "http://www.phillesh.net/"} +{"d:Title": "Katrina's Web", "d:Description": "Home of the original vocalist with Katrina and The Waves. Features pictures, discography, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Leskanich,_Katrina", "url": "http://www.katrinasweb.com/"} +{"d:Title": "Less Than Jake", "d:Description": "Official site includes articles, discography, FAQ, lyrics, news, pictures, tour dates, sound files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Less_Than_Jake", "url": "http://www.lessthanjake.com/"} +{"d:Title": "A Less Than Jake Tab Page", "d:Description": "Guitar, bass, and horn tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Less_Than_Jake", "url": "http://members.tripod.com/~ltjs/"} +{"d:Title": "MTV.com: Less Than Jake", "d:Description": "News, tour dates, biography, discography, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Less_Than_Jake", "url": "http://www.mtv.com/artists/less-than-jake/"} +{"d:Title": "Reading Festival 2000: Les Rythmes Digitales Review", "d:Description": "Includes photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Les_Rythmes_Digitales", "url": "http://www.efestivals.co.uk/festivals/reading/2000/photos-LesRythmesDigitales.shtml"} +{"d:Title": "Levellers", "d:Description": "Official website. News, fan club, biography, discography, pictures, tour details, lyrics and multimedia downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Levellers", "url": "http://www.levellers.co.uk/"} +{"d:Title": "The Alt Message Board", "d:Description": "UK message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Levellers", "url": "http://www.otf.org.uk/"} +{"d:Title": "Mike Lindup, Official Site", "d:Description": "Level 42 pianist. Biography, gallery, interview.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Level_42", "url": "http://www.mikelindup.com/"} +{"d:Title": "Gary Husband, Official Site", "d:Description": "Drums or keyboards (with everyone from Gary Moore to Billy Cobham, Allan Holdsworth to Jack Bruce, Level 42 to Andy Summers, Randy Brecker, and Zakir Hussain).", "topic": "Top/Arts/Music/Bands_and_Artists/L/Level_42", "url": "http://www.garyhusband.com/"} +{"d:Title": "Level 42, Official Site", "d:Description": "Official site containing news, a discography, lyrics, tour information, pictures, audio/video files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Level_42", "url": "http://www.level42.com/"} +{"d:Title": "Forevernow.com", "d:Description": "Includes Level 42 pictorial discography, Mark King tour information, Real Audio files, news, MIDI, and history of the band, and gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Level_42", "url": "http://www.forevernow.com/"} +{"d:Title": "All Music Guide: Gerald LeVert", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Levert,_Gerald", "url": "http://www.allmusic.com/artist/gerald-levert-p4749"} +{"d:Title": "Jerry Lee Lewis", "d:Description": "Official page with news, pictures, reviews, performance schedule, ranch tours, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Jerry_Lee", "url": "http://www.jerryleelewis.com/"} +{"d:Title": "Rockabilly Hall of Fame: The Killer", "d:Description": "The singer's visit to the Hall of Fame in 1999 reported by Larry Holden, with a biography and tributes by other musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Jerry_Lee", "url": "http://www.rockabillyhall.com/JLL.html"} +{"d:Title": "Jerry Lee Lewis Online", "d:Description": "Biography, discography, lyrics, tour schedule, information about Jerry Lee's ranch and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Jerry_Lee", "url": "http://members.tripod.com/~Jerry9/Fire.htm"} +{"d:Title": "Jerry Lee Lewis News: Topix", "d:Description": "News about Jerry Lee Lewis continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Jerry_Lee", "url": "http://www.topix.com/who/jerry-lee-lewis"} +{"d:Title": "History of Rock: Jerry Lee Lewis", "d:Description": "Illustrated biography of the performer with links to other rock stars.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Jerry_Lee", "url": "http://www.history-of-rock.com/lewis.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Jerry Lee Lewis", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Jerry_Lee", "url": "http://rockhall.com/inductees/jerry-lee-lewis"} +{"d:Title": "Owen T. Muir", "d:Description": "News and profiles of the three piece band based in Perth, Scotland.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Jerry_Lee/Tribute_Acts", "url": "http://owentmuir.tripod.com/"} +{"d:Title": "Wikipedia: Leona Lewis", "d:Description": "Illustrated biography of the British singer from the collaborative encyclopedia.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lewis,_Leona", "url": "http://en.wikipedia.org/wiki/Leona_Lewis"} +{"d:Title": "Le Tigre", "d:Description": "Official site includes news, tour dates, gear notes and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Le_Tigre", "url": "http://www.letigreworld.com/"} +{"d:Title": "Neatness: Le Tigre Photo Gallery", "d:Description": "Photographs of the band performing live.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Le_Tigre", "url": "http://www.flickr.com/photos/neatnessdotcom/sets/72157594253481928/"} +{"d:Title": "Ink 19: Le Tigre", "d:Description": "A review of Le Tigre's February 26, 2002 show in Orlando Florida, with The Butchies and V For Vendetta; featuring photographs from the performance.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Le_Tigre/Reviews", "url": "http://www.ink19.com/issues/march2002/eventReviews/leTigre.html"} +{"d:Title": "Le Tigre: Pitchfork Review", "d:Description": "A look at the group's debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Le_Tigre/Reviews", "url": "http://pitchfork.com/reviews/albums/4703-le-tigre/"} +{"d:Title": "Salon Arts&Entertainment: Sharps&Flats", "d:Description": "Salon.com's Carlene Bauer takes a look at the dance trio's first full-length release.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Le_Tigre/Reviews", "url": "http://www.salon.com/1999/11/11/tigre/"} +{"d:Title": "MTV: LFO", "d:Description": "Features music video clips and the band's latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO", "url": "http://www.mtv.com/artists/lfo-00/"} +{"d:Title": "Lindzi's Page of Insanity - LFO", "d:Description": "Interview with Brad Fischetti.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO/Brizz_Era", "url": "http://www.lindzi.com/interviews/lfo.htm"} +{"d:Title": "Top LFO Sites Webring", "d:Description": "List of members and how to join.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO/Directories", "url": "http://nav.webring.org/navcgi?ring=lfo"} +{"d:Title": "LFO On FiRe", "d:Description": "A fan site with photos, profiles and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO/Fan_Pages", "url": "http://www.angelfire.com/boybands/chica/index.html"} +{"d:Title": "LFO's Lyte Funkie Site", "d:Description": "Pictures, lyrics, interviews, biographies, tour dates, polls, chat, and a game.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO/Fan_Pages", "url": "http://www.angelfire.com/nj2/lfo/"} +{"d:Title": "Lyte Funkie Ones, Fans and Domain", "d:Description": "Biographies, pictures, lyrics, discography, chat room, interviews, chat transcripts, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO/Fan_Pages", "url": "http://www.angelfire.com/hi3/LyteFunkieOnes/"} +{"d:Title": "Lyte Funkie Ones", "d:Description": "LFO fan site with photos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO/Fan_Pages", "url": "http://lytefunkieones.8k.com/"} +{"d:Title": "Respect 4 LFOs Devin", "d:Description": "Fan site with photos, biographies, tour dates and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LFO/Lima,_Devin", "url": "http://respect4lfosdevin.tripod.com/Respect4LFOsDevin/"} +{"d:Title": "The Libertines: Wet Work", "d:Description": "Review of The Libertines with the Living Things at the Empty Bottle in Chicago on August 10, 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Libertines,_The", "url": "http://www.gloriousnoise.com/arch/000927.php"} +{"d:Title": "The Libertines", "d:Description": "Live pictures from the Libertines in London.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Libertines,_The", "url": "http://version2.andrewkendall.com/pages/misc/filthymcnasties011203/"} +{"d:Title": "Liberty X-press", "d:Description": "An un-official Australian orientated website for the UK pop group. Site features band news, biographies, downloads and multimedia content.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Liberty_X", "url": "http://users.tpg.com.au/libertyx/"} +{"d:Title": "AskMen.com - Liberty X", "d:Description": "Pictures, behind-the-scenes commentary, and in-depth biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Liberty_X", "url": "http://www.askmen.com/celebs/women/singer_150/166_liberty_x.html"} +{"d:Title": "Lifehouse - Lyrics", "d:Description": "Words to the songs from their album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lifehouse", "url": "http://www.davemcnally.com/Lyrics/Lifehouse/"} +{"d:Title": "Living In The Moment", "d:Description": "Fan site with pictures, lyrics, biographies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lifehouse", "url": "http://www.angelfire.com/my/livingthemoment/"} +{"d:Title": "Lifehouse", "d:Description": "Official site containing news, tour information, music, pictures and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lifehouse", "url": "http://www.lifehousemusic.com/"} +{"d:Title": "The Lifehouse Storm", "d:Description": "Pictures, information, discographies, and links to merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lifehouse", "url": "http://nicoleshimmer0.tripod.com/thelifehousestorm/index.html"} +{"d:Title": "Stanley Climbfall: A Lifehouse Fan Site", "d:Description": "Includes rare audio, video, links, and recent articles.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lifehouse", "url": "http://stanley_climbfall.tripod.com/"} +{"d:Title": "Lyrics on Demand", "d:Description": "Contains lyrics for every Lifehouse song. Browse a list categorized by album name.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lifehouse", "url": "http://www.lyricsondemand.com/l/lifehouselyrics/index.html"} +{"d:Title": "Rockmagic.net: Life Of Agony", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Life_of_Agony", "url": "http://lyrics.rockmagic.net/lyrics/life_of_agony/"} +{"d:Title": "Freddy's Life of Agony Page", "d:Description": "A brief fan page with a short biography, tablature, pictures, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Life_of_Agony", "url": "http://members.tripod.com/baggyx/LOA_Home.htm"} +{"d:Title": "Gordon Lightfoot", "d:Description": "Complete lyrics to all songs, album reviews, biography, press articles, quiz, discussion forum and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightfoot,_Gordon", "url": "http://www.corfid.com/"} +{"d:Title": "FolkLib: Gordon Lightfoot", "d:Description": "Categorized links include articles, FAQ and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightfoot,_Gordon", "url": "http://www.folklib.net/index/l/lightfoot_gordon.shtml"} +{"d:Title": "Lightfoot! The Gordon Lightfoot Internet Companion", "d:Description": "Includes tour schedule, discography, lyrics with chords, chronology, list of unreleased songs, and information about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightfoot,_Gordon", "url": "http://www.lightfoot.ca/"} +{"d:Title": "Gordon Lightfoot News: Topix", "d:Description": "News about Gordon Lightfoot continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightfoot,_Gordon", "url": "http://www.topix.com/who/gordon-lightfoot"} +{"d:Title": "PopEntertainment.com: Toby Lightman - Devils and an Angel", "d:Description": "The soulful singer talks with Jay S. Jacobs of about her debut album \"Little Things.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightman,_Toby", "url": "http://www.popentertainment.com/lightman.htm"} +{"d:Title": "Windy City Times: \"Little Things\" from a Big Voice", "d:Description": "Gregg Shapiro talks with Lightman about being a singer-songwriter in the current music scene.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightman,_Toby", "url": "http://www.windycitytimes.com/gay/lesbian/news/ARTICLE.php?AID=4344"} +{"d:Title": "V-Teens.org: For Different Reasons - Toby Lightman Interview", "d:Description": "Lisa Lombardo talks with Lightman about getting into the music game.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightman,_Toby", "url": "http://www.vteens.org/content.cfm?fuseaction=article&article_id=1081&channel=2"} +{"d:Title": "USA Today: Good vibe is no little thing", "d:Description": "Elysa Gardner asks the singer about her inspiration, her vision, her most magcal moment and her wish list.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightman,_Toby", "url": "http://www.usatoday.com/life/music/news/2004-04-05-toby-lightman-verge_x.htm"} +{"d:Title": "Toby Lightman", "d:Description": "Official site for the singer includes biography, tour information, news, photography, diary, forum, links, multi-media and street team.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightman,_Toby", "url": "http://www.tobylightman.com/"} +{"d:Title": "All Music Guide: Toby Lightman", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightman,_Toby", "url": "http://www.allmusic.com/artist/toby-lightman-p630125"} +{"d:Title": "MTV.com: Toby Lightman", "d:Description": "Artist page has biography, photography flipbook, audio and video samples and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightman,_Toby", "url": "http://www.mtv.com/artists/toby-lightman/"} +{"d:Title": "LightningSeeds", "d:Description": "A mailing list for discussion of the Lightning Seeds, Ian Broudie, the city of Liverpool or even football.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightning_Seeds", "url": "http://groups.yahoo.com/group/LightningSeeds"} +{"d:Title": "Yahoo Groups: Lightning Seeds Fanclub", "d:Description": "Lightning Seeds fan club on Yahoo.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lightning_Seeds", "url": "http://groups.yahoo.com/group/lightningseedsfanclub/"} +{"d:Title": "Your Favorite Artist - Lil' Kim", "d:Description": "Lyrics to all Lil' Kim albums.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lil'_Kim", "url": "http://www.ohhla.com/YFA_kim.html"} +{"d:Title": "Lil' Kim Zone", "d:Description": "Fan site with news, a biography, discography, audio files, chart positions, image gallery, e-cards, wallpaper, and games. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lil'_Kim", "url": "http://www.lilkimzone.net/"} +{"d:Title": "ArtistDirect: Lil' Kim", "d:Description": "Includes biography, pictures, links, message board, and listening room with audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lil'_Kim", "url": "http://www.artistdirect.com/artist/lil-kim/566850"} +{"d:Title": "AskMen.com: Lil' Kim", "d:Description": "Pictures, biography, and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lil'_Kim", "url": "http://www.askmen.com/celebs/women/singer/54_lil_kim.html"} +{"d:Title": "All Music Guide: Lil' Kim", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lil'_Kim", "url": "http://www.allmusic.com/artist/lil-kim-mn0000278439"} +{"d:Title": "Lil' Zane: The Future", "d:Description": "Fansite offer news, photo gallery, poll and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lil'_Zane", "url": "http://ginuwinesladyco2g.tripod.com/index.html"} +{"d:Title": "Zane Zone", "d:Description": "Fan site offers pictures, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lil'_Zane", "url": "http://www.angelfire.com/hiphop2/ZaneZone/"} +{"d:Title": "Lilac Time, The", "d:Description": "The official site.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lilac_Time,_The", "url": "http://www.thelilactime.com/"} +{"d:Title": "AZlyrics.com: Lillix", "d:Description": "Lyrics to the songs by the group.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lillix", "url": "http://www.azlyrics.com/l/lillix.html"} +{"d:Title": "All Music Guide: Lillix", "d:Description": "Includes profile, biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lillix", "url": "http://www.allmusic.com/artist/lillix-mn0000240887"} +{"d:Title": "MTV: Lillix", "d:Description": "Includes news, biography, discography, message boards, song clips, interview, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lillix", "url": "http://www.mtv.com/artists/lillix/"} +{"d:Title": "Alanis Producer Works With New B.C. Act", "d:Description": "Article by Karen Bliss.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lillix", "url": "http://jam.canoe.com/Music/Artists/L/Lillix/2002/02/19/746959.html"} +{"d:Title": "Rockmagic.net: Limbonic Art", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limbonic_Art", "url": "http://lyrics.rockmagic.net/lyrics/limbonic_art/"} +{"d:Title": "Unofficial Limbonic Art", "d:Description": "Tribute to the Norwegian black metal band offers a biography, discography, news updates, lyrics, pictures, media downloads, and links to other sites about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limbonic_Art", "url": "http://limbonicart.tripod.com/"} +{"d:Title": "Limbonic Art Lyrics", "d:Description": "Lyrics to four of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limbonic_Art", "url": "http://metal-lyrics.narod.ru/l/limbart.html"} +{"d:Title": "Satan Stole My Teddybear: Limbonic Art", "d:Description": "Site includes a review of the albums \"Moon in the Scorpio\" and \"In Abhorrence Dementia\" and links to the band's official site and record label.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limbonic_Art", "url": "http://www.ssmt-reviews.com/artist/limbonic.html"} +{"d:Title": "Limp Bizkit.com", "d:Description": "The official site has lyrics, news, biographies, audio clips, music videos, and multimedia.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit", "url": "http://www.limpbizkit.com/"} +{"d:Title": "Music Olympus: Limp Bizkit", "d:Description": "Includes pictures, song lyrics, MIDIs, guitar tabs, wallpapers, screen saver, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit", "url": "http://www.musicolympus.com/limpbizkit/"} +{"d:Title": "Limp Bizkit News: Topix", "d:Description": "News about Limp Bizkit continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit", "url": "http://www.topix.com/who/limp-bizkit"} +{"d:Title": "MTV.com: Limp Bizkit", "d:Description": "Features music video clips, album reviews, a behind-the-scenes look at a video shoot, and exclusive news features.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit", "url": "http://www.mtv.com/artists/limp-bizkit/"} +{"d:Title": "Our Favorite Freak", "d:Description": "Includes biography, pictures, audio, video, and greeting cards.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Borland,_Wes", "url": "http://www.angelfire.com/celeb/wes/"} +{"d:Title": "A Dedication to Wes Borland", "d:Description": "Features pictures, interviews, facts, links, chat room, and fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Borland,_Wes", "url": "http://members.tripod.com/orangepenguin/"} +{"d:Title": "Obsessy with Wessy", "d:Description": "Contains pictures, biography, and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Borland,_Wes", "url": "http://www.angelfire.com/bc/wesborland/"} +{"d:Title": "Wes Borland - The Man, The Myth, The Legend", "d:Description": "Fan site with a biography, pictures, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Borland,_Wes", "url": "http://telula_jean.tripod.com/mookie/"} +{"d:Title": "Wesley Scott World", "d:Description": "Thumbnail gallery of 200+ pictures, interviews, quotes, facts, and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Borland,_Wes", "url": "http://www.angelfire.com/weird/wesley/"} +{"d:Title": "Bobby and Collins Limp Bizkit Site", "d:Description": "This site has links lyrics, tablatures for all songs on both albums, bass tablatures for every song, MP3s, biography, discography, family values stuff, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/ct/mynameiskid/"} +{"d:Title": "Limp Bizkit Domain", "d:Description": "A site that has MP3s, lyrics, pictures, and extras.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/biz4/limpdomain/"} +{"d:Title": "Tom's Limp Bizkit Page", "d:Description": "A Limp Bizkit page filled pictures, lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/ct/lb/index.html"} +{"d:Title": "Limp Bizkit Fan Page", "d:Description": "Features lyrics and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/music/limpbizkitn2getherno/"} +{"d:Title": "Ultimate Limp Bizkit: The Nookie", "d:Description": "Lyrics, multimedia, articles, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://thenookie.faithweb.com/"} +{"d:Title": "Limp Bizkit: My Way", "d:Description": "Includes a biography, discography, lyrics, guitar tablature, and equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.myway.4t.com/"} +{"d:Title": "The Unofficial Sam Rivers Web Site", "d:Description": "Site for the bassist of Limp Bizkit: Sam Rivers.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/ri/verssam/index.html"} +{"d:Title": "Limp Bizkit Site", "d:Description": "Lyrics,news, pictures, audio, and online community.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.limpsite.com/"} +{"d:Title": "Limp Bizkit Rock", "d:Description": "Biography, discography, pictures, audio clips, wallpaper, screen savers, lyrics, guitar tablatures, and e-cards. [Flash intro]", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/music3/limpbizkitrock/"} +{"d:Title": "Drunk Foxx's LB site", "d:Description": "Multimedia, profiles, reviews, lyrics, discography, links, and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/hiphop/drunkfoxx/index.html"} +{"d:Title": "Limp Bizkit Features", "d:Description": "This site contains everything about Limp Bizkit, including biographies, tabs, multi - media and tour pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/rock/rapcore/"} +{"d:Title": "Limp Bizkit Unofficial", "d:Description": "Includes tour dates, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://limpbizkitunofficial.tripod.com/"} +{"d:Title": "Isaac's Limp Bizkit Site", "d:Description": "Includes pictures, discography, tablatures, biography, and multimedia files.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/pop/threedollarbill/"} +{"d:Title": "Sandman's Limp Bizkit Site", "d:Description": "Includes lyrics, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/pa4/limpbizkitsite/index.html"} +{"d:Title": "Nothing But The Bizkit", "d:Description": "Includes pictures, logos, fan club information, biography, tour dates, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/music2/lilianbizkit/index.html"} +{"d:Title": "Limp World", "d:Description": "Features pictures, lyrics, chats, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://angelfire.com/rock2/fredoo1"} +{"d:Title": "Stef's Limp Bizkit Website", "d:Description": "News, updates, pictures, polls, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/pa4/szecchinolb/"} +{"d:Title": "Ronnie's Limp Bizkit site", "d:Description": "A limp bizkit fan site with lyrics, pictures, biographies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/nj2/ronnie/main.html"} +{"d:Title": "Limp Bizkit", "d:Description": "News, line up, and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://tyranitar99.tripod.com/"} +{"d:Title": "Limp Bizkit", "d:Description": "Lyrics, pictures, discography, poll, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/rock2/limpbizkit4life/"} +{"d:Title": "Limp Bizkit 2000", "d:Description": "Lyrics, biographies, MP3s, videos, interviews, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/ar2/LimpBizkit2000/"} +{"d:Title": "Limp Bizkit Unlimited Access", "d:Description": "With audio, pictures, links, lyrics, TRL status tracker, video, reviews, quotes, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.musicfanclubs.org/limpbizkit/"} +{"d:Title": "Kuddel's Fred Durst Page", "d:Description": "Biography, news, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://kuddels0.tripod.com/freddurst/"} +{"d:Title": "The Chocolate Starfish 2k1", "d:Description": "Biography, news, pictures, lyrics and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Fan_Pages", "url": "http://www.angelfire.com/rock3/4limpbizkit/enter.html"} +{"d:Title": "Rockmagic.net: Limp Bizkit", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/limp_bizkit/"} +{"d:Title": "Lyrics On Demand", "d:Description": "Contains lyrics in a list categorized by album name.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Limp_Bizkit/Lyrics", "url": "http://www.lyricsondemand.com/l/limpbizkitlyrics/index.html"} +{"d:Title": "Linkin Park", "d:Description": "Official band website includes biographies, tour dates, photos, Projekt Revolution, music videos, discography, and band merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://linkinpark.com/"} +{"d:Title": "Linkin Park Underground", "d:Description": "The official Linkin Park fan club website.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://www.lpunderground.com/"} +{"d:Title": "XGuitar.com: Linkin Park tabs", "d:Description": "Band guitar tablatures sheets.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://www.xguitar.com/guitar-tabs/linkin_park/"} +{"d:Title": "Music for Relief", "d:Description": "Music for Relief, a project by Linkin Park, brings the music community together to create awareness and raise funds for those in need.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://www.musicforrelief.org/"} +{"d:Title": "BandMerch Store: Linkin Park", "d:Description": "Official Linkin Park merch store.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://bandmerch.seenon.com/index.php?v=linkinpark"} +{"d:Title": "ArtistDirect: Linkin Park", "d:Description": "News, biography, songs, videos, and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://www.artistdirect.com/artist/linkin-park/1058894"} +{"d:Title": "RollingStone.com: Linkin Park", "d:Description": "Biography, album reviews, photos, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://www.rollingstone.com/music/artists/linkin-park"} +{"d:Title": "MTV.com: Linkin Park", "d:Description": "Music videos, news, photos, tour dates, ringtones, lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park", "url": "http://www.mtv.com/artists/linkin-park/"} +{"d:Title": "Linkin Park Forums", "d:Description": "Discussion board dedicated to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Chats_and_Forums", "url": "http://www.linkinparkforums.com/"} +{"d:Title": "Linkin Park Online", "d:Description": "Includes a biography, guitar tablature, lyrics, pictures, and WAV files.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.angelfire.com/band/linkin0park0/"} +{"d:Title": "Hybrid Theory", "d:Description": "Includes a biography, lyrics, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://linkin_theory.tripod.com/"} +{"d:Title": "LP Street Soldiers", "d:Description": "Includes news, tour dates, biographies, lyrics, a press interview, pictures, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://lpstreetsoldiers.tripod.com/"} +{"d:Title": "Linkin Park Web", "d:Description": "Includes a biography, pictures, lyrics, quotes, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://linkinparkweb.iwarp.com/"} +{"d:Title": "The Linkin Story", "d:Description": "Fansite dedicated to Linkin Park fan fictions.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://thelinkinstory.tripod.com/"} +{"d:Title": "Papercut", "d:Description": "Contains tour dates, a biography, discography, lyrics, and ring tones.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.apapercut.4t.com/"} +{"d:Title": "Linkin Park Fanart", "d:Description": "Site featuring artwork and logo designs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://linkin_ego.tripod.com/"} +{"d:Title": "Linkin Park Now", "d:Description": "Great selection of pictures, lyrics, downloads, songs, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.angelfire.com/rock3/linkinparknow/"} +{"d:Title": "linkinpark13", "d:Description": "Fan site that includes MP'3s from Hybrid Theory EP, all videos, pictures, games, a discography, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.angelfire.com/rock3/linkinpark13/"} +{"d:Title": "With U Joe", "d:Description": "Shrine dedicated to Joe Hahn and the band with pictures, games, fan art, and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://withujoe.tripod.com/"} +{"d:Title": "Linkin Park", "d:Description": "Mp3s, videos, biographies, images, links, and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.angelfire.com/az3/linkinpark0/"} +{"d:Title": "Hueymutley's Homepage", "d:Description": "Personal site containing a few Linkin Park images.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://hueymutley.1colony.com/"} +{"d:Title": "Linkin Park Home", "d:Description": "Includes a biography, discography, lyrics, photos, downloads, guitar and bass tablature and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://members.tripod.com/lprulez/"} +{"d:Title": "Absolute Perfection Linkin Park", "d:Description": "Includes a biography, discography, guitar tablature, image gallery, lyrics, MIDI files, AIM icons, and Winamp skins.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.angelfire.com/ca5/daw/lp/main/main5.htm"} +{"d:Title": "The Linkin Park Association", "d:Description": "Includes MP3s, news, lyrics, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.lpassociation.com/"} +{"d:Title": "Adrian's Linkin Park Web", "d:Description": "Band members information, discography, lyrics, guitar tablature, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://fc.webz.cz/"} +{"d:Title": "Linkin Park Twenty Four Seven", "d:Description": "Pictures, messageboard, and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/FoLP/"} +{"d:Title": "Hybrid Linkin Park", "d:Description": "Linkin Park fan site with biographies, lyrics, pictures, DVD secrets, and fonts.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://www.angelfire.com/rock3/dragonfli/"} +{"d:Title": "Brad Delson Online", "d:Description": "News, pictures, a biography and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Linkin_Park/Fan_Pages", "url": "http://delsononline.blogspot.com/"} +{"d:Title": "Rockmagic.net: Liquido", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Liquido", "url": "http://lyrics.rockmagic.net/lyrics/liquido/"} +{"d:Title": "Liquido H2O", "d:Description": "Fan site with biographies, pictures, lyrics, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Liquido", "url": "http://members.tripod.com/liquido_1/"} +{"d:Title": "Allmusic: Liquid Plumber", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Liquid_Plumber", "url": "http://www.allmusic.com/artist/liquid-plumber-mn0002305249"} +{"d:Title": "Lit: Tripping in the Light", "d:Description": "A fan site containing concert photos, lyrics, sounds, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://members.tripod.com/~LIT_/index.html"} +{"d:Title": "Lit: Own Worst Enemy", "d:Description": "Has lyrics, tablatures, audio and video, news, biography, tour information, and a webring.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.angelfire.com/ab/lit/"} +{"d:Title": "Dozer: A Lit Page", "d:Description": "Fan-designed site with news, a discography, equipment, lyrics, guitar tablature, tour information, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.angelfire.com/rock/litisrad/"} +{"d:Title": "Litland", "d:Description": "Fan site with news, biography, FAQ, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.angelfire.com/band/litland/"} +{"d:Title": "Over My Head", "d:Description": "A fan-created site dedicated to Lit including news, lyrics, a photo gallery, internet greeting cards, member biographies, a discography, and a \"fans\" section.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.angelfire.com/ca6/overmyheadmylitsite/"} +{"d:Title": "ArtistDirect: Lit", "d:Description": "Features a brief biography, discography, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.artistdirect.com/artist/lit/570541"} +{"d:Title": "Lit", "d:Description": "The band's official site offers a biography, discography, downloads, tour dates, and upcoming appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.litband.com/"} +{"d:Title": "MTV.com: Lit", "d:Description": "Includes album reviews, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.mtv.com/artists/lit/"} +{"d:Title": "All Music Guide: Lit", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lit", "url": "http://www.allmusic.com/artist/lit-mn0000837886"} +{"d:Title": "Little Feat: The Rock and Roll Doctors", "d:Description": "Rock Around the World article from July, 1977.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Little_Feat", "url": "http://www.ratw.com/issues/12/l_feat.htm"} +{"d:Title": "Little Feat", "d:Description": "Official site includes news, profiles, discography, tour dates, photos, sound files, and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Little_Feat", "url": "http://littlefeat.net/"} +{"d:Title": "Entertainment Ave: Little Feat", "d:Description": "Review of a concert at The Skyline Stage in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Little_Feat", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/l/little_feat/lf052895.htm"} +{"d:Title": "Featbase", "d:Description": "An extensive collection of set lists and concert information from the group's entire history.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Little_Feat", "url": "http://www.featbase.net/"} +{"d:Title": "Little Richard News", "d:Description": "Fan site for the original wild man of rock 'n roll. Biography, photos, discography, news, media, FAQ, lyrics, testimonials, sound clips and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Little_Richard", "url": "http://www.kolumbus.fi/timrei/lre.htm"} +{"d:Title": "History of Rock 'n' Roll: Little Richard", "d:Description": "Biography with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Little_Richard", "url": "http://www.history-of-rock.com/richard.htm"} +{"d:Title": "Rock and Roll Hall of Fame and Museum: Little Richard", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Little_Richard", "url": "http://rockhall.com/inductees/little-richard"} +{"d:Title": "The Subculture of Live", "d:Description": "Dutch Live fan club. Registered members receive a fanzine every three months and regular updates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live", "url": "http://www.livefanclub.nl/"} +{"d:Title": "Rockmagic.net: Live", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live", "url": "http://lyrics.rockmagic.net/lyrics/live/"} +{"d:Title": "mvdb live", "d:Description": "News, art, discography, biography, discography, bootlegs, MP3s and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live", "url": "http://www.mvdblive.org/"} +{"d:Title": "MTV: Live", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, bulletin boards, links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live", "url": "http://www.mtv.com/artists/live/"} +{"d:Title": "Live", "d:Description": "Official site with a live studio webcam viewer, mailing list, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live", "url": "http://freaks4live.com/"} +{"d:Title": "Queer in Your Ear: Between Live and madness lies obsession", "d:Description": "Article on Live's album, Mental Jewelry.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Articles_and_Interviews", "url": "http://www.joeclark.org/QiYE25.html"} +{"d:Title": "Queer in Your Ear: Bzzt! Wrong answer!", "d:Description": "Article on Live's album, Throwing Copper.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Articles_and_Interviews", "url": "http://www.joeclark.org/QiYE36.html"} +{"d:Title": "BrianFreeman.com Presents: An Interview with Friends of Live", "d:Description": "Fall 2001 interview with fan club coordinator Michelle Peters.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Articles_and_Interviews", "url": "http://cathybenn3.tripod.com/interview.html"} +{"d:Title": "Live, Discussion", "d:Description": "Fan site dedicated to Live and their music.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Fan_Pages", "url": "http://cathybenn3.tripod.com/index.html"} +{"d:Title": "The One and Only Chad Gracey", "d:Description": "A fan site dedicated to the drummer of the band. Includes a profile and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Fan_Pages", "url": "http://www.angelfire.com/wi/gracey/main.html"} +{"d:Title": "The Quiet River", "d:Description": "Lyrics, tabs, news, trivia, audio, and interpretations.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Fan_Pages", "url": "http://www.angelfire.com/music/Live1/"} +{"d:Title": "Radioactive", "d:Description": "Audio and video downloads, discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Fan_Pages", "url": "http://www.radioactive.net/publishing.html#live"} +{"d:Title": "The Chad Gracey Fan Site", "d:Description": "Site officially sanctioned by the band's drummer. News, biography, gear guide, pictures, interviews and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Live/Fan_Pages", "url": "http://chadgracey.com/"} +{"d:Title": "Will Calhoun", "d:Description": "Drummer's official site. News, biography, discography, tour schedule, audio, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_Colour", "url": "http://www.willcalhoun.com/"} +{"d:Title": "Living Colour", "d:Description": "Reviews of all their albums from Wilson and Alroy's Record Reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_Colour", "url": "http://www.warr.org/living.html"} +{"d:Title": "Living Colour", "d:Description": "Official Site. Biography with member profiles, discography, news, image gallery and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_Colour", "url": "http://www.livingcolour.com/"} +{"d:Title": "Living Colour Blog", "d:Description": "Features news, tour dates and information on the band and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_Colour", "url": "http://www.livingcolourmusic.com/"} +{"d:Title": "Rockmagic.net: Living Death", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_Death", "url": "http://lyrics.rockmagic.net/lyrics/living_death/"} +{"d:Title": "Living Death Website", "d:Description": "Fan site with biography, discography, pictures, streaming audio, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_Death", "url": "http://www.angelfire.com/az/livingdeath/"} +{"d:Title": "The Living End", "d:Description": "Official site features news, member profiles, tour dates, pictures, discography, show reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_End,_The", "url": "http://www.thelivingend.com.au/"} +{"d:Title": "Closing In", "d:Description": "Lots of information, pictures, sounds, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Living_End,_The", "url": "http://members.tripod.com/closingin/"} +{"d:Title": "LMNT ROX Fan Site", "d:Description": "Information, pictures, photos, and event dates on LMNT.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LMNT", "url": "http://www.angelfire.com/boybands/lmnt/"} +{"d:Title": "Jonas Josiah's Fan Site", "d:Description": "Another fan site devoted to Jonas Josiah of LMNT. Get the latest info and news about Jonas. See the newest pictures and hear LMNT's latest music.", "topic": "Top/Arts/Music/Bands_and_Artists/L/LMNT", "url": "http://www.angelfire.com/music4/jonasjosiah/"} +{"d:Title": "MTV: Lo-Fidelity Allstars", "d:Description": "News, reviews, interviews, and video clip.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lo-Fidelity_Allstars", "url": "http://www.mtv.com/artists/lo-fidelity-allstars/"} +{"d:Title": "Rollingstone.com: Los Lobos", "d:Description": "Includes a biography, discography, photos, articles, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lobos,_Los", "url": "http://www.rollingstone.com/music/artists/los-lobos"} +{"d:Title": "Los Lobos", "d:Description": "Official site features news, tour dates, history, discography, video, podcasts and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lobos,_Los", "url": "http://www.loslobos.org/"} +{"d:Title": "Local H Mailing List", "d:Description": "Located at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Local_H", "url": "http://groups.yahoo.com/group/localh"} +{"d:Title": "MTV.com: Local H", "d:Description": "News, tour dates, reviews, biography, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Local_H", "url": "http://www.mtv.com/artists/local-h/"} +{"d:Title": "Dennis Locorriere", "d:Description": "Official site. Contains news, tour dates, a biography, streaming RealAudio files, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Locorriere,_Dennis", "url": "http://www.dennislocorriere.com/"} +{"d:Title": "Absolute Divas - Lisa Loeb", "d:Description": "A Lisa Loeb site with biography, discography, lyrics, pictures, and some video captures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.absolutedivas.com/lisa/"} +{"d:Title": "Lisa Loeb", "d:Description": "Lisa's official home page with news, tour schedule, photos, auto-biography, releases, contest, and forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.lisaloeb.com/"} +{"d:Title": "Absolute Lyric: Lisa Loeb", "d:Description": "Lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.absolutelyric.com/a/artist/lisa_loeb/"} +{"d:Title": "Trinity\u00b4s Lisa Loeb Page", "d:Description": "Lisa Loeb fan site with news, photos, tabs, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.angelfire.com/co3/trinity/lisa.html"} +{"d:Title": "Jammer's Lisa Loeb Shrine", "d:Description": "A fan site with news, images, audio and video clips, lyrics, tablature, and fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.lisaloeb.org/"} +{"d:Title": "Loeb Lover", "d:Description": "Fan page with news, pictures, downloads, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.timewarped.com/lisaloeb/"} +{"d:Title": "AskMen.com: Lisa Loeb", "d:Description": "Includes pictures, background information, biography, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.askmen.com/celebs/women/singer/43_lisa_loeb.html"} +{"d:Title": "Sharps and Flats", "d:Description": "A Salon article comparing Lisa Loeb's \"Firecracker\" and Juliana Hatfield's \"Please Do Not Disturb\".", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.salon.com/1997/11/18/sharps_58/"} +{"d:Title": "All Music Guide: Lisa Loeb", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loeb,_Lisa", "url": "http://www.allmusic.com/artist/lisa-loeb-mn0000296802"} +{"d:Title": "CNN - Loggins cutting loose in 'December'", "d:Description": "Turning his hit-making skills towards the Christmas genre with his new album, \"December.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loggins,_Kenny", "url": "http://www.cnn.com/SHOWBIZ/Music/9812/24/kenny.loggins/index.html"} +{"d:Title": "Kenny Loggins", "d:Description": "Official site with forum, chat, tour dates, photographs, discography and fan club details.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loggins,_Kenny", "url": "http://www.kennyloggins.com/"} +{"d:Title": "Loggins and Messina", "d:Description": "Information about their reunion tour. Includes tour schedule, news, biography and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loggins,_Kenny", "url": "http://www.logginsandmessina.com/"} +{"d:Title": "Kenny Loggins: ARTISTdirect, Inc.", "d:Description": "Includes biography, sound files, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loggins,_Kenny", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,459841,00.html"} +{"d:Title": "Yahoo Groups Kenny Loggins Club", "d:Description": "Unofficial fan club site with message board. Message board may be viewed by anyone; other areas require free membership.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loggins,_Kenny", "url": "http://groups.yahoo.com/group/kennylogginsfanclub/"} +{"d:Title": "Yahoo Group Loggins", "d:Description": "Requiring free membership to view posts, links or files or access chat area.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loggins,_Kenny", "url": "http://launch.groups.yahoo.com/group/loggins/"} +{"d:Title": "Kenny Loggins: MTV", "d:Description": "News, music, videos, TV appearances, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loggins,_Kenny", "url": "http://www.mtv.com/artists/kenny-loggins/"} +{"d:Title": "London After Midnight", "d:Description": "Photo gallery, information about the band, links, webring, and community.", "topic": "Top/Arts/Music/Bands_and_Artists/L/London_After_Midnight", "url": "http://www.angelfire.com/ca2/londonaftmid/"} +{"d:Title": "London After Midnight", "d:Description": "Official website. Biography, interviews, photos, gig details, lyrics and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L/London_After_Midnight", "url": "http://www.londonaftermidnight.com/"} +{"d:Title": "NPR : The Tex-Mix of Los Lonely Boys", "d:Description": "Band members discuss one of their recording sessions and music clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://www.npr.org/templates/story/story.php?storyId=1569801"} +{"d:Title": "NPR : Los Lonely Boys: Rock Meets Tex-Mex", "d:Description": "Music and interview with group members after the release of their second album - \"Sacred\".", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://www.npr.org/templates/story/story.php?storyId=5637140"} +{"d:Title": "Los Lonely Boys on MySpace", "d:Description": "Includes music samples, official biography, news, videos, photographs, and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://myspace.com/loslonelyboys"} +{"d:Title": "Internet Archive: Los Lonely Boys", "d:Description": "Archive of video and audio recordings of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://www.archive.org/details/LosLonelyBoys"} +{"d:Title": "Wikipedia: Los Lonely Boys", "d:Description": "Encyclopedia article about the band with discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://en.wikipedia.org/wiki/Los_Lonely_Boys"} +{"d:Title": "Los Lonely Boys", "d:Description": "Official site. Rock band of three brothers. Includes biography, forum, news, tour information, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://loslonelyboys.com/"} +{"d:Title": "MP3.com: Los Lonely Boys", "d:Description": "News, albums, songs, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://mp3.com/artist/Los%2BLonely%2BBoys"} +{"d:Title": "The Austin Chronicle Music: Oye Como Va", "d:Description": "Article and interview by Melanie Haupt. (Aug. 1, 2003)", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://www.austinchronicle.com/music/2003-08-01/171070/"} +{"d:Title": "MTV: Los Lonely Boys", "d:Description": "Live acoustic videos, albums, video interview, song clips, biography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://www.mtv.com/artists/los-lonely-boys/"} +{"d:Title": "All Music: Los Lonely Boys", "d:Description": "Features biography, discography, and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lonely_Boys,_Los", "url": "http://www.allmusic.com/artist/los-lonely-boys-mn0000295274"} +{"d:Title": "Ty Longley Memorial Website", "d:Description": "Official site includes memorial fund donation information, news, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Longley,_Ty", "url": "http://www.tylongley.org/"} +{"d:Title": "Trailer Ras: A Tribute to LBDAS", "d:Description": "Fan site includes MP3, RealVideo and Audio, chat forum, links, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Long_Beach_Dub_Allstars", "url": "http://angelfire.com/pq/trailerras"} +{"d:Title": "Entertainment Ave: Long Beach Dub Allstars", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Long_Beach_Dub_Allstars", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/lbda.htm"} +{"d:Title": "ArtistDirect: Loop Guru", "d:Description": "A band feature website, including a band biography, album information, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loop_Guru", "url": "http://www.artistdirect.com/artist/loop-guru/536971"} +{"d:Title": "AMG: Loop Guru", "d:Description": "Includes a discography with album artwork, a biography, and a listing of related artists and influences.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loop_Guru", "url": "http://www.allmusic.com/artist/loop-guru-mn0000231840"} +{"d:Title": "USATODAY.com: TLC Singer Lisa Lopes Killed in Car Crash", "d:Description": "Includes a photo gallery and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lopes,_Lisa", "url": "http://www.usatoday.com/life/music/2002/2002-04-26-lopes.htm"} +{"d:Title": "BBC News: 'Shock' at Lisa Lopes Death", "d:Description": "Friends and fellow artists pay tribute to rhythm and blues star Lisa \"Left Eye\" Lopes.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lopes,_Lisa", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1953032.stm"} +{"d:Title": "You Will Never be Forgotten", "d:Description": "Tribute from a fan, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lopes,_Lisa", "url": "http://www.angelfire.com/hi2/twistednu/lefteyelopes.html"} +{"d:Title": "All Music Guide: Lisa Lopes", "d:Description": "Discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lopes,_Lisa", "url": "http://www.allmusic.com/artist/lisa-lopes-mn0002459685"} +{"d:Title": "Mary Lou Lord Factsheet", "d:Description": "Collaborators, discography and sound clip. From Kill Rock Stars.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lord,_Mary_Lou", "url": "http://www.killrockstars.com/artists/mary-lou-lord"} +{"d:Title": "Children of Acid", "d:Description": "News, interviews, chatroom and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lords_of_Acid", "url": "http://www.childrenofacid.com/"} +{"d:Title": "Los Lobotomys", "d:Description": "Jazz fusion band with a changing line-up; a founding member was Steve Lukather.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Los_Lobotomys", "url": "http://loslobotomys.com/"} +{"d:Title": "Helmut Lotti Official Website", "d:Description": "Includes biography, discography, concert dates, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lotti,_Helmut", "url": "http://www.helmutlotti.be/"} +{"d:Title": "The Loud Family", "d:Description": "Official site includes profiles, news, reviews, interviews, sound files, discography with lyrics, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loud_Family,_The", "url": "http://loudfamily.com/"} +{"d:Title": "Love Menu", "d:Description": "A massive site dedicated to Arthur Lee and Love.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Love", "url": "http://love.torbenskott.dk/"} +{"d:Title": "If You Believe In", "d:Description": "Bryan MacLean, rhythm guitarist, co-lead vocalist of Love. Pictures, music and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Love", "url": "http://www.bryanmaclean.com/"} +{"d:Title": "All Music: Love", "d:Description": "Includes a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Love", "url": "http://www.allmusic.com/artist/love-mn0000314600"} +{"d:Title": "Yahoo Groups: LoverboyFans", "d:Description": "Discussion group for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loverboy", "url": "http://groups.yahoo.com/group/LoverboyFans"} +{"d:Title": "Entertainment Ave", "d:Description": "Concert review of Loverboy at The House of Blues, Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loverboy", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/l/loverboy/lo020398.htm"} +{"d:Title": "All Music Guide: Loverboy", "d:Description": "Biography, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loverboy", "url": "http://www.allmusic.com/artist/p4796"} +{"d:Title": "Jam Showbiz: Loverboy", "d:Description": "Archive of news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loverboy", "url": "http://jam.canoe.com/Music/Artists/L/Loverboy/"} +{"d:Title": "Canadian Pop Music Encyclopedia: Loverboy", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Loverboy", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/L/Loverboy.html"} +{"d:Title": "Bill: On His Own (1983)", "d:Description": "TV movie. Cast, crew, reviews, plot summary, and comments. One of Lyle Lovett's earliest ventures outside of music.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lovett,_Lyle", "url": "http://www.imdb.com/title/tt0085247/"} +{"d:Title": "IMDB: Lyle Lovett", "d:Description": "Filmography as actor, miscellaneous crew, composer, and himself.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lovett,_Lyle", "url": "http://www.imdb.com/name/nm0005164/#actor1980"} +{"d:Title": "Lyle Lovett News: Topix", "d:Description": "News about Lyle Lovett continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lovett,_Lyle", "url": "http://www.topix.com/who/lyle-lovett"} +{"d:Title": "The New Yorker - Homeboy", "d:Description": "Interview by Alec Wilkinson. Lyle Lovett discusses his family's deep connection to Klein, Texas.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lovett,_Lyle", "url": "http://www.newyorker.com/archive/2004/03/01/040301fa_fact1"} +{"d:Title": "LyleLovett.com", "d:Description": "View tour dates, biography, discography, filmography. Read articles and reviews, and listen to sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lovett,_Lyle", "url": "http://www.lylelovett.com/"} +{"d:Title": "ArtistDirect: Lyle Lovett", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lovett,_Lyle", "url": "http://www.artistdirect.com/artist/lyle-lovett/460580"} +{"d:Title": "Love and Rockets", "d:Description": "Fan page with pictures from the 4 April 1999 show in Toronto.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Love_and_Rockets", "url": "http://www.angelfire.com/on/darknymph/page5.html"} +{"d:Title": "These Thoughts Pin Me to the Wall", "d:Description": "A Love and Rockets site with news, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Love_and_Rockets", "url": "http://lnr.loungebunny.net/"} +{"d:Title": "The Bubblemen are Coming", "d:Description": "Fan site features album art and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Love_and_Rockets", "url": "http://members.tripod.com/heylownine/rockets.htm"} +{"d:Title": "Lene Lovich", "d:Description": "Interview for New York Entertainment Magazine 'Good Times'.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lovich,_Lene", "url": "http://www.tmdrfan.com/rthurlow/LeneLovich.htm"} +{"d:Title": "Official Website for Low", "d:Description": "Includes discography, lyrics, pictures, and the latest news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Low", "url": "http://www.chairkickers.com/"} +{"d:Title": "ArtistDirect: Low", "d:Description": "Includes photos, audio clips, biography, album information, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Low", "url": "http://www.artistdirect.com/artist/low/460597"} +{"d:Title": "Nick Lowe", "d:Description": "Official site includes album information and sound samples, tour dates, biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lowe,_Nick", "url": "http://www.nicklowe.net/"} +{"d:Title": "Nick Lowe Discography", "d:Description": "Listing of works written and produced by Lowe from 1975 to 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lowe,_Nick", "url": "http://members.tripod.com/nicklowedisc/"} +{"d:Title": "All Music Guide: Nick Lowe", "d:Description": "Biography, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lowe,_Nick", "url": "http://www.allmusic.com/artist/nick-lowe-mn0000866841"} +{"d:Title": "Lowen and Navarro", "d:Description": "Official site. Contains biography, discography, audio clips, pictures, gig schedule, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lowen_and_Navarro", "url": "http://www.lownav.com/"} +{"d:Title": "In Amber", "d:Description": "Lowgold fansite including tour dates, news, discography, sounds, pictures, tabs and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lowgold", "url": "http://www.lowgold.co.uk/"} +{"d:Title": "Lowgold: God Willing", "d:Description": "News, biography, discography, lyrics, tour dates and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lowgold", "url": "http://www.angelfire.com/indie/lowgold/"} +{"d:Title": "L-Train's LBC Caddy", "d:Description": "News, biography, discography, lyrics, pictures and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lucky_Boys_Confusion", "url": "http://www.angelfire.com/punk3/lbccaddy/"} +{"d:Title": "Ludacris Lyrics", "d:Description": "Lyrics from the album Back for the First Time.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Ludacris", "url": "http://www.azlyrics.com/l/ludacris.html"} +{"d:Title": "Ludacris News: Topix", "d:Description": "News about Ludacris continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Ludacris", "url": "http://www.topix.com/who/ludacris"} +{"d:Title": "All Music Guide: Ludacris", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Ludacris", "url": "http://www.allmusic.com/artist/ludacris-mn0000306258"} +{"d:Title": "Sarah's Ocean Drive", "d:Description": "Fan site featuring a biography, discography, lyrics, pictures, reviews, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lugo,_Richard", "url": "http://www.angelfire.com/pop2/SarahsOceanDrive/"} +{"d:Title": "Luis Miguel - El Sol", "d:Description": "Unofficial site includes photos, video clips, discography, interviews and articles. [in Spanish, English and Russian]", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luis_Miguel", "url": "http://www.luismiguelsite.com/"} +{"d:Title": "Luis Miguel", "d:Description": "Audio and video, lyric translations, news updates, and concert pictures. A bilingual site.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luis_Miguel", "url": "http://www.love-that-luis.com/"} +{"d:Title": "MelodicRock.com: Steve Lukather", "d:Description": "Interview about his work with Toto and his view of MTV.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lukather,_Steve", "url": "http://www.melodicrock.com/interviews/stevelukather.html"} +{"d:Title": "Blue Desert: Steve Lukather", "d:Description": "Discography and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lukather,_Steve", "url": "http://www.bluedesert.dk/lukather.html"} +{"d:Title": "SteveLukather.net", "d:Description": "Official site with news, tour information, questions and answers, biography, essay, virtual CD-ROM, discography, gear, videos, press and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lukather,_Steve", "url": "http://www.stevelukather.net/"} +{"d:Title": "FAQ", "d:Description": "The Galaxie 500 and related artists FAQ", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna", "url": "ftp://rtfm.mit.edu/pub/usenet-by-group/alt.music.galaxie-500/Galaxie_500_and_related_bands_FAQ"} +{"d:Title": "A Head Full of Wishes", "d:Description": "Discography, FAQ, and regularly updated news; also home to the Galaxie 500/Luna mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna", "url": "http://www.grange85.co.uk/galaxie/"} +{"d:Title": "Dean Wareham and Britta Phillips", "d:Description": "Site dedicated to Luna members side project", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna", "url": "http://www.deanandbritta.com/"} +{"d:Title": "All Music Guide: Luna", "d:Description": "Biography, and discography with review.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna", "url": "http://www.allmusic.com/artist/luna-mn0000268990"} +{"d:Title": "On The Moon", "d:Description": "Interview/review with Hybrid Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Articles_and_Interviews", "url": "http://www.hybridmagazine.com/music/0900/luna.shtml"} +{"d:Title": "Exclaim", "d:Description": "20 questions with Dean Wareham. (April 30, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Articles_and_Interviews", "url": "http://exclaim.ca/Features/Questionnaire/dean_wareham-luna"} +{"d:Title": "B- for Effort", "d:Description": "Review of a concert at the Starfish Room, Vancouver, Canada. From Drop-D Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews", "url": "http://dropd.com/issue/73/Luna/"} +{"d:Title": "Screw You, Elektra: Brooklyn Still Loves Luna", "d:Description": "Review of a 1999 concert at Prospect Park. From Salon.com.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews", "url": "http://www.salon.com/1999/07/26/luna/"} +{"d:Title": "Luna Play a Mean Tune-a", "d:Description": "Review of a concert at the Opera House, Toronto, Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews", "url": "http://jam.canoe.com/Music/Artists/L/Luna/ConcertReviews/2004/10/08/661618.html"} +{"d:Title": "All-Reviews.com: The Days of Our Nights", "d:Description": "Review by MarkR. Rated 3\u00bd stars out of 4.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Days_of_Our_Nights,_The", "url": "http://www.all-reviews.com/music/daysofournights.htm"} +{"d:Title": "Pitchfork: The Days of Our Nights", "d:Description": "Review by Neil Lieberman. Rated 6.8.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Days_of_Our_Nights,_The", "url": "http://pitchfork.com/reviews/albums/4917-the-days-of-our-nights/"} +{"d:Title": "Luna's Latest Album Got the Band Dumped By Elektra; For Once, A Major Label Made the Right Call", "d:Description": "Review by Seth Mnookin from Salon.com.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Days_of_Our_Nights,_The", "url": "http://www.salon.com/1999/11/12/luna_2/"} +{"d:Title": "Ink 19: Luna - Live", "d:Description": "Review by James Mann.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Luna_-_Live", "url": "http://www.ink19.com/issues/march2001/wetInk/musicL/luna.html"} +{"d:Title": "Metacritic: Luna - Live", "d:Description": "Multiple critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Luna_-_Live", "url": "http://www.metacritic.com/music/live!/luna"} +{"d:Title": "Boston Phoenix: Pup Tent", "d:Description": "Review by Franklin Soults.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Pup_Tent", "url": "http://www.bostonphoenix.com/archive/music/97/09/11/OTR/LUNA.html"} +{"d:Title": "In Music We Trust - Luna: Romantica", "d:Description": "by Alex Steininger (A+)", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Romantica", "url": "http://www.inmusicwetrust.com/articles/45r36.html"} +{"d:Title": "Rockbites", "d:Description": "\"Luna's Romantica raises the bar for masterful pop\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Romantica", "url": "http://rockbites.com/newsByMonth/2002April/2002April12-1.html"} +{"d:Title": "AMG All Music Guide", "d:Description": "All Music Guide review of Romantica (4.5 stars)", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Romantica", "url": "http://www.allmusic.com/album/romantica-r569220"} +{"d:Title": "Luna: Romantica: Pitchfork Review", "d:Description": "[Jetset; 2002] Rating: 7.8 - Review by: Rob Mitchum", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Romantica", "url": "http://pitchfork.com/reviews/albums/4914-romantica/"} +{"d:Title": "Almost cool review", "d:Description": "(7.25/10)", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luna/Reviews/Romantica", "url": "http://www.almostcool.org/mr/695/"} +{"d:Title": "Buttplugs Doughnuts Sporks Yeah", "d:Description": "Fan site includes news, tour dates, discography, articles and interviews, stories and reviews, pictures and press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lunachicks", "url": "http://users.erols.com/shydoll/lunachicks.html"} +{"d:Title": "MTV.com: Lunachicks", "d:Description": "Includes album reviews, music news, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lunachicks", "url": "http://www.mtv.com/artists/lunachicks/"} +{"d:Title": "The Stranger: Lungfish", "d:Description": "Show review with image.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lungfish", "url": "http://www.thestranger.com/seattle/i-love-lungfish/Content?oid=14313"} +{"d:Title": "The Luscious Jackson Playground", "d:Description": "News, photos, and multimedia files.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luscious_Jackson", "url": "http://members.tripod.com/~BEAKOSTAR/PLAYGROUNDENT.html"} +{"d:Title": "All Music Guide: Luscious Jackson", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luscious_Jackson", "url": "http://www.allmusic.com/artist/luscious-jackson-mn0000203695"} +{"d:Title": "MTV: Luscious Jackson", "d:Description": "News, reviews, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luscious_Jackson", "url": "http://www.mtv.com/artists/luscious-jackson/"} +{"d:Title": "Luxt", "d:Description": "Official site. Contains gig schedule, discography, lyrics, pictures, fan art, cover art, merchandise, and a link to MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luxt", "url": "http://www.luxt.com/"} +{"d:Title": "NY Rock: Entertainment De Luxx", "d:Description": "Louise Bashi's 1999 interview with Katrina Chester. Includes pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luxx", "url": "http://www.nyrock.com/interviews/luxx_int.htm"} +{"d:Title": "Street Team Page 2000", "d:Description": "A Luxx fan site with a thumbnailed photo album, audio and video clips, and band quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luxx", "url": "http://www.angelfire.com/me2/luxxrocks/"} +{"d:Title": "Official Luxx Web Site", "d:Description": "Includes audio and video clips, pictures, discography, tour information, downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luxx", "url": "http://www.lightstixx.de/"} +{"d:Title": "MTV: Luxx", "d:Description": "Includes band biography, album information, audio clips from the first album, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luxx", "url": "http://www.mtv.com/artists/luxx/"} +{"d:Title": "All Music Guide: Luxx", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Luxx", "url": "http://www.allmusic.com/artist/luxx-mn0000209059"} +{"d:Title": "Lynne, Bjorn", "d:Description": "Composer of Fantasy and Sci-fi music.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynne,_Bjorn", "url": "http://www.lynnemusic.com/"} +{"d:Title": "Nidus Productions", "d:Description": "Information on the upcoming movie, My Boy, video clips from The Rocker and the 1996 memorial show in LA, and videos for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynott,_Philip", "url": "http://www.nidusprod.com/"} +{"d:Title": "Grave of Phil Lynott", "d:Description": "Pictures of the grave site.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynott,_Philip", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2012"} +{"d:Title": "MTV: Phil Lynott", "d:Description": "Discography and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynott,_Philip", "url": "http://www.mtv.com/artists/phil-lynott/"} +{"d:Title": "The Official Lynyrd Skynyrd Home Page", "d:Description": "Page has current news about the band, tour information, a biographical history of the band, multimedia and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://www.lynyrdskynyrd.com/"} +{"d:Title": "Lynyrd Skynyrd and the Crossroads Curse", "d:Description": "Recount of the history of Lynyrd Skynyrd and exploration of the tragic connection between the band and other musical acts who have recorded the song \"Crossroads.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://stormloader.com/users/crossroads/skynyrdpage.html"} +{"d:Title": "Lynyrd Skynyrd Data Base", "d:Description": "Listing of songs, discography, a detailed listing of past and present band members, a chronological time line, a listing of tour dates and a poll.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://www11.plala.or.jp/skynyrd/"} +{"d:Title": "Lynyrd Skynyrd Interview: AskMen.com", "d:Description": "Exclusive interview with biography, quotes, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://www.askmen.com/toys/interview_60/85_lynyrd_skynyrd_interview.html"} +{"d:Title": "Yahoo Groups : RossingtonRules", "d:Description": "Includes message board for discussion of guitarist Gary Rossington. Free membership required.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://groups.yahoo.com/group/RossingtonRules/"} +{"d:Title": "Lynyrd Skynyrd News: Topix", "d:Description": "News about Lynyrd Skynyrd continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://www.topix.com/who/lynyrd-skynyrd"} +{"d:Title": "RollingStone.com: Lynyrd Skynyrd", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://www.rollingstone.com/music/artists/lynyrd-skynyrd"} +{"d:Title": "Lynyrd Skynyrd and Beyond", "d:Description": "A biography, complete discography, a listing of books and other media available, and information about some of the former band member's new bands and projects.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd", "url": "http://areuonsomething.com/m-files_skynyrd.html"} +{"d:Title": "The World of The Crippled Crow", "d:Description": "A tribute to Lynyrd Skynyrd. Includes information on the band members, some interesting trivia, and several images.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://www.angelfire.com/rock/crippledcrowtribute/index.html"} +{"d:Title": "Allen Dozier's Page", "d:Description": "Includes a survey, discography, stories about the band and links to other related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://www.angelfire.com/ar/allendozierpage/"} +{"d:Title": "Dutch Redneck Ram Page", "d:Description": "Includes one of the band's logos and links to other Lynyrd Skynyrd sites.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://www.angelfire.com/ga/DutchRedneck/"} +{"d:Title": "Matt's Lynyrd Skynyrd Page", "d:Description": "Includes images, lyrics, chat, forum, sound clips, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://www.mattsmusicpage.com/nlynyrd.htm"} +{"d:Title": "Patti's Place", "d:Description": "Images of the band, a where-are-they-now page and a biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://www.angelfire.com/pa/PJLSFANS/"} +{"d:Title": "Lynyrd Skynyrd Tribute", "d:Description": "Lyrics, pictures, webrings, and album details.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://home.cogeco.ca/~rhaines2"} +{"d:Title": "Down South Jukin", "d:Description": "Photos, screensavers, chat, interviews, multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://www.downsouthjukin.com/"} +{"d:Title": "Skynyrd Online", "d:Description": "Contains tour information, a chat room, photos and sounds, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Fan_Pages", "url": "http://members.tripod.com/skynyrdonline/"} +{"d:Title": "Lynyrd Skynyrd Bass Tab", "d:Description": "Contains bass guitar tablature for many popular Lynyrd Skynyrd songs.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Tablature", "url": "http://www.activebass.com/tab/artist.asp?i=512"} +{"d:Title": "Some Lynyrd Skynyrd Tablature", "d:Description": "Tablature for the song \"Sweet Home Alabama.\"", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Tablature", "url": "http://www.angelfire.com/ak/guitartab2/LynyrdSkynyrd.html"} +{"d:Title": "FreeBird", "d:Description": "Lynyrd Skynyrd tribute band based on Long Island, New York. News, member profiles, show dates, audio and video files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/L/Lynyrd_Skynyrd/Tribute_Bands", "url": "http://www.skynyrdtributeband.com/"} +{"d:Title": "Mumiy Troll", "d:Description": "Russian rock/pop group. History, sound files, pictures, and articles. In Russian and English.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mumiytroll.com/"} +{"d:Title": "Miss Wyoming", "d:Description": "Official site of British-German independent pop band. Includes discography, lyrics, audio samples, photos, interview, and news. [English and German]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.miss-wyoming.net/"} +{"d:Title": "Miller, Grant Hindin", "d:Description": "New Zealand musician, poet and screenwriter. Contains biography, writings, discography, photographs, and upcoming performances and workshops.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.granthindinmiller.com/"} +{"d:Title": "McKinley, Cassandre", "d:Description": "Homepage of Jazz vocalist with resume, performance information with sound samples, biography and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://cassandremckinley.com/"} +{"d:Title": "Martin, Jodi", "d:Description": "Folk singer/songwriter from South Australia. Biography, images, media releases, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jodimartin.com/"} +{"d:Title": "McCafferty, Jo", "d:Description": "Singer and songwriter based in Aberdeen, Scotland. News, biography, gig dates, discography, photos, lyrics, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jomccafferty.com/"} +{"d:Title": "Moll, Christine", "d:Description": "Audio samples, reviews, photos and show dates for the Philadelphia based folk/rock singer and songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.christinemoll.com/"} +{"d:Title": "McClure, Kit", "d:Description": "All-girl jazz band in New York City. Local and international bookings.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.redhotrecords.com/"} +{"d:Title": "Monaghan, Brendan", "d:Description": "Singer-songwriter from Co. Down, Ireland.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.brendanmonaghan.com/"} +{"d:Title": "Money For The Toll", "d:Description": "Progressive rock act based in northern New Jersey. News, sound files, reviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/music/MoneyForTheToll/"} +{"d:Title": "Mindside 19", "d:Description": "Site for a Baltimore-area punk band with MP3s, pictures, links and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mindside19.iwarp.com/"} +{"d:Title": "Museum", "d:Description": "A site featuring rock-industrial Gothic music and pictures of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.ourmuseum.com/"} +{"d:Title": "McClain, Mighty Sam", "d:Description": "Biography, reviews, discography and tour schedule for the blues artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mightysam.com/"} +{"d:Title": "Mission of Blues", "d:Description": "Blues, rhythm and blues, and soul music. Boston-based. Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.missionofblues.com/"} +{"d:Title": "Mary Janes, The", "d:Description": "An eclectic folk/rock group. Contains news, gig schedule, lyrics, discography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.themaryjanes.com/"} +{"d:Title": "Midnight Syndicate", "d:Description": "Interviews, reviews, and tracks from this Gothic/horror band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.midnightsyndicate.com/"} +{"d:Title": "MaCaJo", "d:Description": "Musical trio based in California's Silicon Valley performing a mix of folk and rock. Includes a group history and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.macajo.com/"} +{"d:Title": "Mouth of Indifference", "d:Description": "An Electro Band from Rome with information, photos, MP3s and archives of Italian music band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://web.tiscali.it/mouthofindifference/"} +{"d:Title": "Megamen, The", "d:Description": "Washington DC/Maryland based \"rock and roll, punk, alternative and hardcore\" band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://megamen.freeservers.com/"} +{"d:Title": "Mellow26", "d:Description": "Smooth danceable moody pop.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mellow26.com/"} +{"d:Title": "Mackenzie, Billy", "d:Description": "Includes articles, gallery, discography, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.billymackenzie.com/"} +{"d:Title": "Magda, Silvana and the Katende Band", "d:Description": "Brazilian music and dance group's site includes biography, video and sound clips, album buying information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.smkatende.com/"} +{"d:Title": "Mafya, Monc E.", "d:Description": "UK musician. Images, current news and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.monc.net/"} +{"d:Title": "Mulvey, Peter", "d:Description": "The official website for the amazing singer/songwriter/guitarist from Milwaukee.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.petermulvey.com/"} +{"d:Title": "Morris, Gary", "d:Description": "Information and updates on the country music entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.garymorris.com/"} +{"d:Title": "Manchester, Melissa", "d:Description": "The official web site of the Grammy Award-winning singer/songwriter. Includes news, appearances, biography, discography and portrait gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melissa-manchester.com/"} +{"d:Title": "Myndgames", "d:Description": "Alternative/Hard Rock ensemble from St. Louis, Missouri. Includes a profile and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/band/myndgames/"} +{"d:Title": "Meany, Eric", "d:Description": "The official homepage for New York City singer/songwriter Eric Meany.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://ericmeany.indiegroup.com/"} +{"d:Title": "McGee, Mark", "d:Description": "Solo artist, slide/lead guitarist for Gregg Allman and Friends, former Vicious Rumors member. Official site includes gig dates, discography, bio, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.markmcgee.com/"} +{"d:Title": "Masuo, Yoshiaki", "d:Description": "Includes biography, discography, news, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.ymasuo.com/eng/"} +{"d:Title": "Middle Spunk Creek Boys", "d:Description": "Biography, news, articles and press, and tour information for this Minnesota bluegrass band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mscb.com/"} +{"d:Title": "Moss, Jennifer", "d:Description": "New Zealand children's entertainer. Biography, information on live shows, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://homepages.ihug.co.nz/~sideways/"} +{"d:Title": "McSherry, John", "d:Description": "Biography, discography and reviews for the Irish-born uilleann piper.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.johnmcsherry.com/"} +{"d:Title": "McCulley, Rich", "d:Description": "Musician web site containing tour information, current news and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.richmcculley.com/"} +{"d:Title": "Machine Boy", "d:Description": "Includes photos, biography, and MP3 links for the electronica artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.shotguncharlie.co.uk/machineboy.htm"} +{"d:Title": "Mulberry Lane", "d:Description": "Official site of the all girl group.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mulberrylane.com/"} +{"d:Title": "Manring, Michael", "d:Description": "Has a deep resume in the New Age arena including several Michael Hedges albums, but also delves into high-powered, dazzling improv fusion.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.manthing.com/"} +{"d:Title": "Mandatory Velocity", "d:Description": "Contains streaming audio, mp3s, midi, tablatures, band information, and Woodstock Audio for the Rock/Alternative/Metal fan and guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/nj2/velocity/"} +{"d:Title": "Mitchell, Michael", "d:Description": "Known for his rousing \"Canada is for Kids\" school concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michael-mitchell.ca/"} +{"d:Title": "Metropolis Premier Function Band", "d:Description": "A seven-piece band playing rock, pop, soul and dinner jazz. The site features personnel information, photos, sample set list and sound bites.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.metropolis-live.co.uk/"} +{"d:Title": "Marigold Engine", "d:Description": "Chicago band combining rock with electronic textures. MP3s, news and contact information. Requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.marigoldengine.com/"} +{"d:Title": "Metropolitan", "d:Description": "Noise-rock guitar duo based in Arlington, VA.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.crankautomotive.com/metropolitan/"} +{"d:Title": "Man's Last Stand", "d:Description": "This is a punk band from San Diego.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/sd/manslaststand/index.html"} +{"d:Title": "Mendenhall, Tim", "d:Description": "Site is dedicated to this career musician. With nearly 3 decades of recording and touring experience, this Indiana musician is a sought after hired gun for touring and recording bands.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/in2/stratman77/index.html"} +{"d:Title": "McDougall, Ian", "d:Description": "Concert dates, reviews, CDs, sound files, and biography of the legendary Canadian jazz trombonist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.ianmcdougall.com/"} +{"d:Title": "Mellow Blue", "d:Description": "Ohio band's site with news, biographies, gigs and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/mb/MellowBlue/index.html"} +{"d:Title": "Major Motion", "d:Description": "Featuring lead singer L.G.McKenzie. CT. Includes performance dates and information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.majormotionband.com/"} +{"d:Title": "Maclear, Tom", "d:Description": "Sound clips, reviews, fan club information, and discography for the Celtic rock singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.maclear.net/"} +{"d:Title": "Murphy, Chris", "d:Description": "Styles ranging from finger-picking acoustic blues, to flat-picking with harmonica.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.borncrynmusic.com/"} +{"d:Title": "Mabus, Joel", "d:Description": "The official home page the folksinger, songwriter and instrumentalist with discography, biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://joelmabus.com/"} +{"d:Title": "Mylar, William", "d:Description": "Home page of the original \"folk wave\" singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mylarville.com/"} +{"d:Title": "Morahambi", "d:Description": "This indie rock/alternative act from New Brunswick is on fire. Band pictures, lyrics to their brand new CD and tour dates are all available on this site.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/nb/morahambi/index.html"} +{"d:Title": "Mockers, The", "d:Description": "Official site features news, pictures, MP3 audio, press articles, mailing list, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.themockers.net/"} +{"d:Title": "Monotype", "d:Description": "New Orleans band that formed in 1997 to find a new type of alternative music.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.monotype.8m.com/"} +{"d:Title": "Moscow Baroque", "d:Description": "Baroque music ensemble from Russia. History, repertoire, audio files, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/ms/mosbar/"} +{"d:Title": "Manzanera, Phil", "d:Description": "Official home page with news about Phil Manzanera and Expression Records plus the Roxy Music Archive with pages about Bryan Ferry, Brian Eno.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.manzanera.com/"} +{"d:Title": "Moore, Vinnie", "d:Description": "A instrumental barrage that is rooted deeply in his progressive neo-classical style.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.vinniemoore.com/"} +{"d:Title": "Martin Brothers", "d:Description": "San Francisco Bay area band's site features a calendar of upcoming shows, photos, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.martinimusic.com/"} +{"d:Title": "Massimo, Michael", "d:Description": "The home of alternative/acoustic singer/songwriter. This is the place to find CDs, upcoming shows, bio, lyrics, MP3s, newsletters and others.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaelmassimo.com/"} +{"d:Title": "Motorama", "d:Description": "Vancouver's noise rock band site featuring several MP3s, a biography, reviews and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.motorama.net/"} +{"d:Title": "Make7", "d:Description": "Four piece modern rock group out of Charlotte, NC. Gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/rock/make7/"} +{"d:Title": "Mackerel, Slim", "d:Description": "The music and history behind one of the true legends of rock and roll.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.slimmackerel.com/"} +{"d:Title": "Montezuma's Revenge", "d:Description": "Official site of this popular Dutch a cappella rock/pop group. See tour details, pictures, hear lots of tracks, find lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.montezuma.nl/"} +{"d:Title": "Miller, Jody", "d:Description": "Grammy-award winner, country, Christian and patriotic music.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.macromusic.com/JodyMiller/"} +{"d:Title": "Marios and Julie", "d:Description": "Music, CDs, lyrics, information from Austria", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://members.teleweb.at/marios.julie/"} +{"d:Title": "Miller, Jerry Band", "d:Description": "Blues music.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.messaround.com/album4.html"} +{"d:Title": "Mind's I", "d:Description": "Information about the band, including news, photos, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mindsi.com/"} +{"d:Title": "Mighty Pranksters", "d:Description": "Illinois-based band playing music in the tradition of the Grateful Dead, Little Feat, The Allman Brothers, and others. Band information, song clips, taping rules and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/il2/mightypranksters/"} +{"d:Title": "Manstream, Kathleen", "d:Description": "New York based singer/songwriter. Official site includes biography, gig dates, photos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://kathleenmanstream.com/"} +{"d:Title": "MacDonald, Rod", "d:Description": "Folksinger and songwriter site with recordings, photos, concert calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://rodmacdonald.net/"} +{"d:Title": "Mertens, Till", "d:Description": "CDs and MP3s of the contemplative piano music composed by Till Mertens.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.pianomusic.de/epiano.htm"} +{"d:Title": "Miller, Laurie", "d:Description": "This site features the singer and her work with Expose, Princess Cruises, Xica Productions, and theater and recording projects. Includes song samples, photos, and CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.lauriemiller.com/"} +{"d:Title": "Moksha Quartet", "d:Description": "Official site. Hard and true jazz funk from Missoula, Montana.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/mt/mokshaquartet/"} +{"d:Title": "Midnight Highway", "d:Description": "Country band based in Bullhead City, AZ.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.midnighthighway.8m.com/"} +{"d:Title": "Mutter, Anne-Sophie", "d:Description": "Biography, CDs, and concerts of this classical violonist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.cosmopolis.ch/english/cosmo1/mutter.htm"} +{"d:Title": "Morgan, Zak", "d:Description": "A CD for children of all ages. Illustrated by C.F. Payne, produced by Ric Hordinski, photography by Michael Wilson.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.zakmorgan.com/"} +{"d:Title": "Morris, Danny Band", "d:Description": "A Washington,DC based band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.dannymorrisband.com/"} +{"d:Title": "MasterProject2", "d:Description": "Biography, news and audio samples of German metal solo artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.masterproject2.com/"} +{"d:Title": "McKenzie, Tony", "d:Description": "News, profile, pictures, and discography for the guitar player from Stoke on Trent in the UK.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.tonymckenzie.com/"} +{"d:Title": "Malvin and The Have-Nots", "d:Description": "Information on the \"Save the Rainforest\" CD.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.fred.net/bim/"} +{"d:Title": "Morris, RB", "d:Description": "Biography, tour dates and merchandise information of the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.rbmorris.com/"} +{"d:Title": "Magarill, Nancy", "d:Description": "New York-based singer. Contains show dates, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.nancymagarill.com/"} +{"d:Title": "Medulla Buzz", "d:Description": "Profiles, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/myband/medullabuzz/"} +{"d:Title": "McKendree, Fran", "d:Description": "Biography and discography for the singer, songwriter, and producer.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.franmckendree.com/"} +{"d:Title": "Marum, Jed", "d:Description": "Calendar, biography, photos, and information on recording projects for the Dallas, Texas based singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://jedmarum.com/"} +{"d:Title": "Mila Drumke Band", "d:Description": "Official site includes news, show dates, biography, lyrics, sound files, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://littlepro.com/"} +{"d:Title": "Mychevos Prymatez, The", "d:Description": "Official site includes profiles for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/band/primates/"} +{"d:Title": "Magics Oldies Vocal Group, The", "d:Description": "Oldies vocal group formed in the '50s now doing concerts in the N.E. Gig schedule, biography, photographs, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.themagics.com/"} +{"d:Title": "MonoLyth", "d:Description": "Based in Philadelphia, biography, news and MP3 audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://monolyth.tripod.com/"} +{"d:Title": "Manifest To Destroy", "d:Description": "Kansas City, MO Christian band. Contains demos, pictures, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://manifest2destroy.tripod.com/"} +{"d:Title": "Misnomer", "d:Description": "Southend/London-based band formed in 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.misnomer.co.uk/"} +{"d:Title": "Milton, Joe", "d:Description": "Biography, news, calendar, sound files, and lyrics for the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.joemilton.com/"} +{"d:Title": "Minku", "d:Description": "Pictures, biography, and MP3s of professional Indian born singer of Hindi melodies based in Washington DC.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.minkumahal.com/"} +{"d:Title": "McRae, Tom", "d:Description": "Official site with news, discography, journal and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.tommcrae.com/"} +{"d:Title": "Messer, Michael", "d:Description": "Exponent of the National/slide guitar. Artist information, guitar reviews, CDs, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaelmesser.co.uk/"} +{"d:Title": "Mueller, Karen", "d:Description": "Award-winning multi-instrumentalist specializing in autoharp and mountain dulcimer.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.karenmueller.com/"} +{"d:Title": "Mallett, Mark", "d:Description": "Catholic artist. Soul-soothing melodies, and inspiring lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.markmallett.com/"} +{"d:Title": "Moss, Scott Lee", "d:Description": "Songwriter and recording artist. Includes a brief biography, press quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/music/mossyrocks/index.html"} +{"d:Title": "Madime", "d:Description": "Surfer punkband from northeast PA.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/punk/madime/"} +{"d:Title": "Mad Lucas", "d:Description": "Band member biographies, and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://madlucas.tripod.com/"} +{"d:Title": "Moore, Clay", "d:Description": "Texas jazz musician. Has recorded with Ernie Watts and Rick Margitza, and has played with Lee Konitz, Larry Coryell, and Tony Campise.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.claymoore.com/"} +{"d:Title": "Milkmen, The", "d:Description": "Michigan punk band. Official site includes bio, show dates, pictures, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/biz4/themilkmen/index.html"} +{"d:Title": "Markel, Gregory", "d:Description": "Official site with MP3 and streaming audio, lyrics, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.gregorymarkel.com/"} +{"d:Title": "Moose, The", "d:Description": "Musician from New York State. Information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mypeoplepc.com/members/mooseherd/mooseherd/"} +{"d:Title": "Manella", "d:Description": "Official site of the French band includes biography and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://manella.free.fr/"} +{"d:Title": "McMahon, Jack", "d:Description": "Profile, schedule, discography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jackmcmahon.com/"} +{"d:Title": "Monarch, Michael", "d:Description": "Original guitarist for Steppenwolf. Biography, sound files, and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaelmonarch.com/"} +{"d:Title": "Magna Carta", "d:Description": "Official site of the British acoustic band includes news, history, calendar, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.magnac.com/"} +{"d:Title": "Maus", "d:Description": "History and lyrics of the Swedish band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/mt/disattraktiv/"} +{"d:Title": "Motomatsu, Noriko", "d:Description": "Classical artist. News, performance dates, discography, links, and mailing list included.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.noriko-uk.org.uk/"} +{"d:Title": "McIllwain, Jim", "d:Description": "Official site of the country artist includes a biography and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://jimmcillwain.tripod.com/"} +{"d:Title": "Manross, Jodie", "d:Description": "Biography, news, show dates, pictures, and sound files of the Knoxville, TN based singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jodiemanross.com/"} +{"d:Title": "Mudcat Blues Band", "d:Description": "Includes sound files, discography, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://bluesparadise.com/mudcat/"} +{"d:Title": "Murphy, David P.", "d:Description": "Independent song writer, pianist, singer and wrestler.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://davidpmurphy.com/"} +{"d:Title": "MacNeil, Rita", "d:Description": "Canadian singer-song writer. Includes tour dates, biography, discography, contact information and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.ritamacneil.com/"} +{"d:Title": "Mighty Rubber Boots, The", "d:Description": "Information, Mp3s, merchandise, and postcards.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mightyrubberboots.net/"} +{"d:Title": "McRay, Steve", "d:Description": "Homepage of Atlanta keyboardist with information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.stevemcray.com/"} +{"d:Title": "Milton Mapes", "d:Description": "From Nashville, Tennessee. Includes biography, calendar, recordings and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.miltonmapes.com/"} +{"d:Title": "McDermott, Joe", "d:Description": "Joe McDermott is a Parents' Choice Award winning songwriter for children.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.joemcdermottmusic.com/"} +{"d:Title": "Misha and Natasha from Russia", "d:Description": "Duo specializing in folk songs and dances from various regions of Russia.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.barynya.com/duo/"} +{"d:Title": "McPartland, Joe", "d:Description": "Tenor Joe McPartland is a singer of Irish and Scottish Folk Songs. Complete with MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.joemcpartland.com/"} +{"d:Title": "Mansfield, Richard", "d:Description": "Pianist, composer, dance accompanist. Recordings on CD available. Musical styles include new age, adult contemporary, jazz, and classical.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.musicbyrichard.com/"} +{"d:Title": "Marona, Danny", "d:Description": "Comedian, singer, musician and entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.dannymarona.com/"} +{"d:Title": "Murat, Rob", "d:Description": "Get to know the singer, songwriter, producer, and composer from NY.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.robmurat.com/"} +{"d:Title": "Musci, Roberto", "d:Description": "Features CDs, movies, dance, video, poems, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.rmusci.com/"} +{"d:Title": "Meryl, Lynne", "d:Description": "Jazz, contemporary vocalist, recording artist, and leader of The Lynne Meryl Band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://lynnemeryl.homestead.com/"} +{"d:Title": "Mudville", "d:Description": "Marilyn Carino and Benjamin Rubin. Current news, biographies and photos are included on the site.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mudvillemusic.com/"} +{"d:Title": "My Two Moms", "d:Description": "St. Louis, Missouri punk rock trio. Includes biographies, shows, lyrics, pictures, links and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/punk2/mytwomoms/"} +{"d:Title": "McCabe, Nick", "d:Description": "Former guitarist of The Verve. Includes news, images, trivia, reviews, interviews, tabs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.nickmccabe-kim-2freespirits.co.uk/"} +{"d:Title": "Miller, Buddy and Julie", "d:Description": "Features biographies, albums, pictures, sound clips and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.buddyandjulie.com/"} +{"d:Title": "McLain, Tommy", "d:Description": "Swamp pop artist from Louisiana. Includes biography, audio samples, gallery, chat, schedule, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/la/tommymclain/"} +{"d:Title": "Mail Order Band, The", "d:Description": "Features information, lyrics, and MP3 files from the Washington, D.C. based power-pop duo.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://t.m.o.b.tripod.com/"} +{"d:Title": "Myleft Voice", "d:Description": "Electronic music/musique project based in Sydney Australia.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://myleftvoice.tripod.com/"} +{"d:Title": "Metcalf, Byron", "d:Description": "Includes biography and information for the drummer / percussionist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.byronmetcalf.com/"} +{"d:Title": "MTC Productions", "d:Description": "Underground hip-hop group based in Carteret, New Jersey. Includes pictures and audio samples in .wav format.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mtcproductions.tripod.com/"} +{"d:Title": "Munky Dust", "d:Description": "Band from Tucson, Arizona. News, photos and MP3s. Requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://munkydust.tripod.com/"} +{"d:Title": "middlengland", "d:Description": "Member biographies, gig dates and news for the West Midlands, United Kingdom band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/myband/middlengland/index.html"} +{"d:Title": "Mayhaven", "d:Description": "Hard rock band. Includes biographies, audio samples and a calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/band/mayhaven/"} +{"d:Title": "Magic Theater", "d:Description": "Rock and roll music from northern California. Contains upcoming shows, audio samples, lyrics, articles, a picture and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://magictheater.tripod.com/"} +{"d:Title": "Mu\u00f1oz, PC", "d:Description": "Experimental songwriter creating lyric-oriented music, avant-funk, and soul-folk for the new century. Note: site automatically maximizes your browser window.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.pcmunoz.com/"} +{"d:Title": "Mates of State", "d:Description": "From San Francisco, California. Includes news, biography, photos, press and message board. [Flash plug-in required.]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.matesofstate.com/"} +{"d:Title": "MC-13", "d:Description": "Official website of punk band from New York, news, biography and a live wave audio file.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/band/mc13/"} +{"d:Title": "Maja", "d:Description": "Four-piece band from mid-Michigan. Discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://majamusic.tripod.com/Maja/"} +{"d:Title": "Masters Apprentices", "d:Description": "Official site of legendary Australian rock band Masters Apprentices. Features latest news, CD shopping, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mastersapprentices.com/"} +{"d:Title": "Mob, The", "d:Description": "Homepage of British punk band Mob, with information, discography, lyrics and Quicktime audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.deathrock.com/mob/"} +{"d:Title": "Motorpsycho", "d:Description": "A Norwegian rock band. Discography, tour dates, photos, media stories, record reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://motorpsycho.fix.no/"} +{"d:Title": "Moaning Lisas", "d:Description": "Homesite of comedy, alternative country, psycho billy, rock n' roll band, with biographies, pictures, show schedule and RealAudio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.moaninglisas.com/"} +{"d:Title": "Marcus and Lisa", "d:Description": "Information and sound bites of a jazz, contemporary and popular music duo in the Washington, D.C. area.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://marcuswilliams.tripod.com/"} +{"d:Title": "Marc Atkinson Trio, The", "d:Description": "Information and MP3 audio samples of the swing, acoustic, guitar-driven Trio.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.marcatkinson.com/"} +{"d:Title": "Moth Wranglers", "d:Description": "The bi-coastal collaborative recording project by Ld Beghtol and Chris Xefos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mothwranglers.com/"} +{"d:Title": "Mystikal Source", "d:Description": "Homepage of hip hop artist featuring lyrics, streaming videos, CD information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/ri/dazzlerz/mystikal.html"} +{"d:Title": "McGovern, Maureen", "d:Description": "Vocalist. Contains news, biography, itinerary, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.maureenmcgovern.com/"} +{"d:Title": "McKenna, John", "d:Description": "Homepage of spiritual rock ballads singer/songwriter with MP3 audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.purplemusic.com/"} +{"d:Title": "Monkey Power Trio", "d:Description": "Biography, discography of the \"fun\" band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.monkeypowertrio.com/"} +{"d:Title": "Mellowdrone", "d:Description": "Official website features MP3 samples, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mellowdrone.com/"} +{"d:Title": "Manfred Mann's Earth Band", "d:Description": "British quintet formed in 1962, playing rhythm and blues and pop. Contains discography, merchandise, tour schedule, fan club and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.manfredmann.co.uk/"} +{"d:Title": "McClure, Andy", "d:Description": "Biography and photos for the bass player.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.andymcclure.com/"} +{"d:Title": "Mohamed, Jamal", "d:Description": "World music percussionist and doumbek player. Includes a biography, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jamalmohamed.com/"} +{"d:Title": "Moneyshot", "d:Description": "Garage band from Chicago Illinois. Includes profile, pictures, audio files, show schedule, merchandise, news, reviews and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/music3/moneyshot/"} +{"d:Title": "Musselwhite, Charlie", "d:Description": "Provides booking information, tour dates, discography and a biography. From the Rosebud Agency.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.rosebudus.com/musselwhite/"} +{"d:Title": "Mandell, Eleni", "d:Description": "Los Angeles singer and writer. Contains news, photographs, discography, audio samples, tour information and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://elenimandell.com/"} +{"d:Title": "Micah", "d:Description": "Vancouver, Britich Columbia alternative rock band. Includes audio samples, profile, photographs and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/art/micahs/"} +{"d:Title": "moot soopers", "d:Description": "Halifax-based guitar band. Includes biography, concert reviews, a journal and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/geek/mootsoopers/"} +{"d:Title": "Michaels, Elan", "d:Description": "Native American, new age, and meditative music composer. MPEG, MIDI samples, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/md/elanmichaels/"} +{"d:Title": "Maier, Bruce J.", "d:Description": "Pop rock musician from Las Vegas, Nevada. Biography, photographs, and a link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.bruce-maier.freewebspace.com/"} +{"d:Title": "Mixtwitch", "d:Description": "Official site of Dublin ska punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.iol.ie/~mixtwitch/"} +{"d:Title": "Mary Goes Round", "d:Description": "Official site of the band includes bio, discography, sound files, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.marygoesround.com/"} +{"d:Title": "Michael Miller Crusade, The", "d:Description": "Offers a brief biography, tour dates, lyrics and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaelmillercrusade.com/"} +{"d:Title": "Miller, Harry", "d:Description": "Jazz pianist and composer. Features audio samples, reviews, itinerary, biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/nj2/harrymiller/"} +{"d:Title": "Metisse", "d:Description": "Electronica duo from Ireland. History, reviews, news, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://metisse.music.free.fr/"} +{"d:Title": "Monophobia", "d:Description": "An obscure studio project conceived by Phil Quinton and Bryn Rosenwould in 1992 has become a full band in 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://monophobia2000.tripod.com/"} +{"d:Title": "My Friend Stephanie", "d:Description": "South Carolina based. Contains history, biographies, audio samples, discography, photographs, reviews and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/band/myfriendstephanie/"} +{"d:Title": "McEvoy, Michael J", "d:Description": "Discography and latest news about this composer, producer, multi-instrumentalist,including details of his work with Steve Winwood and Soul II Soul.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaeljmcevoy.com/"} +{"d:Title": "Moody, Thomas", "d:Description": "Acoustic rock musician from South Carolina. Pictures, CD information, and links for sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://tmoody.hypermart.net/"} +{"d:Title": "Music Venders", "d:Description": "Wedding band based in Germantown, Wisconsin with a variety of American and ethnic German music. Band profile, schedule, song catalog.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.musicvenders.com/"} +{"d:Title": "Misery Remains", "d:Description": "Original one-man death metal band. Includes biography, review, audio, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.miseryremains.com/"} +{"d:Title": "Mecano", "d:Description": "Dutch pop group. Includes news, photos, history, lyrics, tour dates, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mecano.ws/"} +{"d:Title": "McKellar, Helen Jayne", "d:Description": "Welsh singer/songwriter. News, pictures, video clips and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.helenjayne.com/"} +{"d:Title": "McClain, Albritton", "d:Description": "Performer, film composer, and recording artist. Includes Bridge of Souls band profiles, MP3s, photos, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.albrittonmcclain.com/"} +{"d:Title": "Mystic Underground, The", "d:Description": "Official site of the electronic pop band. Includes news and show information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.gbej.net/mu/mysticonline.html"} +{"d:Title": "Mackel, Billy", "d:Description": "Tribute to the longtime guitar player for Lionel Hampton.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.lionelhampton.nl/billymackelstory.html"} +{"d:Title": "Mystery of Vision", "d:Description": "Pictures, MP3s, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mysteryofvision.de/"} +{"d:Title": "MockingBirds, The", "d:Description": "Rhode Island-based pop/rock/alternative group; features biography, pictures, gigs and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/oh/themockingbirds/index.html"} +{"d:Title": "Mitchell Point", "d:Description": "Emo punk band in the vein of the Ataris and New Found Glory. Includes lyrics, biographies, audio, news, photos, and shows.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/punk2/mitchellpoint/"} +{"d:Title": "Motor City Josh and The Big 3", "d:Description": "Funky blues band originating from Detroit, Michigan. Biography, member profiles, show dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://motorcityjosh.com/"} +{"d:Title": "McGarry, Kate", "d:Description": "Jazz and Brazilian music vocalist. Gig dates, reviews, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://katemcgarry.com/"} +{"d:Title": "Mars, John", "d:Description": "Toronto-based singer/songwriter whose music is rooted in 5Os and 60s rock'n'roll and soul.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.johnmars.com/"} +{"d:Title": "Mondo Bizarro", "d:Description": "Finnish hard rock band. Site contains biography, gig dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.kolumbus.fi/flemming.tomi/"} +{"d:Title": "Michaels, Jaime", "d:Description": "Contemporary folk singer and songwriter based in Santa Fe, New Mexico. Site contains biography, photos, lyrics, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jaimemichaels.com/"} +{"d:Title": "Mad Rats", "d:Description": "Coimbra, Portugal based rock and roll and surf rock band. Site contains biography, gig dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mad_rats.tripod.com/"} +{"d:Title": "Martell, Vince", "d:Description": "The home page of the Vanilla Fudge guitarist. Includes news of his latest album, photos, bio, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.rockersusa.com/vincemartell/"} +{"d:Title": "McGill, Cameron", "d:Description": "Official web site of Chicago musician fronting the band Morris Miners. Includes news, pictures, multimedia samples, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.cameronmcgill.com/"} +{"d:Title": "McMurtry, James", "d:Description": "Fan site with tour links, album links and reviews, lyrics and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jamesmcmurtry.com/"} +{"d:Title": "melodie", "d:Description": "If Aphex Twin, Autechre and Trent Reznor ever had group sex together, they might have had a baby like melodie...", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.842.ch/melodie/"} +{"d:Title": "Michael Metz Jazz Ensemble, The", "d:Description": "Classic jazz band with a resume, MP3 samples and some jazz links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/jazz/zmmetz/"} +{"d:Title": "Midnight Tea", "d:Description": "Minnesota rock trio based in Oak Park Heights. Site offers band history, show schedule, pictures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.midnighttea.com/"} +{"d:Title": "Mujaji", "d:Description": "NYC downtempo/trip hop band. Site has news, audio clips, and a show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mujaji.com/"} +{"d:Title": "Muenz, Harald", "d:Description": "Avantgarde composer homepage with his CV, worklist and information on this Cologne/K\u00f6ln based contemporary classical composer.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mynetcologne.de/~nc-muenzha/"} +{"d:Title": "Mr. Jinx", "d:Description": "Gold Coast cover band duo. [Flash plug-in required.]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mrtricks.com.au/mrjinx/"} +{"d:Title": "Magnuson, Bob", "d:Description": "Studio and jazz musician. Site has audio clips a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.leftearmusic.com/"} +{"d:Title": "Magnetic Health Factory", "d:Description": "San Francisco indie rock band. Includes information on band activities and releases and streaming RealAudio song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.magnetichealthfactory.com/"} +{"d:Title": "McFarland, Colleen", "d:Description": "Official web site for the singer from Philadelphia, Pennsylvania. Current news, tour dates, pictures and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.colleenmcfarland.com/"} +{"d:Title": "McNeil, Chris", "d:Description": "Boston based singer/songwriter with a style that ranges from folk to country, with a touch of blues and jazz. Site has pictures, audio clips, mailing list, and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.chrismcneil.com/"} +{"d:Title": "Mascarada", "d:Description": "Spanish progressive rock group. Information, discography, and photos. [English, Spanish, and Portuguese]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mascarada.com/"} +{"d:Title": "MX-80", "d:Description": "San Francisco Bay Area band. Site has lyrics, downloads, and \"MX-80 in the Movies.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mx-80.com/"} +{"d:Title": "Michael on Fire", "d:Description": "Southern California singer/songwriter. Site has lyrics and audio clips. [Flash-plug-in required.]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaelonfire.com/"} +{"d:Title": "Morning Spy", "d:Description": "San Francisco-based rock band. Site has biographies, tour dates, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://morningspy.com/"} +{"d:Title": "Moon Is No More, The", "d:Description": "Indie-rock band from Massachusetts. Site has news, audio clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.727records.com/tminm/"} +{"d:Title": "Monsour, Phil", "d:Description": "Singer/songwriter based in Brisbane, Australia. Site contains discography, news, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://users.tpg.com.au/pmonsour"} +{"d:Title": "Moors, Don", "d:Description": "Jazz vibraphonist and mallet percussionist. Includes biography and music clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.donmoors.com/"} +{"d:Title": "Mustang Sally Band", "d:Description": "All-women country and rhythm and blues band from Nashville, Tenn. News, pictures, tour dates, and a fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mustangsallyband.com/"} +{"d:Title": "Morrison, Billy", "d:Description": "Bass player for the Cult and member of Camp Freddy. Contains pictures, news, diary, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.billymorrison.net/"} +{"d:Title": "MacLean, Doc", "d:Description": "Mississippi Delta country and blues singer/songwriter. Includes biography, audio samples, press kit, reviews, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.docmaclean.com/"} +{"d:Title": "Musiq", "d:Description": "Philadelphia soul singer. Contains news, biography, downloads, pictures, and audio clips. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.musiqsoulchild.com/"} +{"d:Title": "Marotta, Jerry", "d:Description": "Concert and studio drummer. Contains discography, diary, news, pictures, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.jerrymarotta.com/"} +{"d:Title": "Moed Bet", "d:Description": "American rock band in Israel. Fan club, road diary, pictures, biographies, and audio clips. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.katenagroup.org/moedbet/MoedBetCom.htm"} +{"d:Title": "Mass Debate", "d:Description": "Rock band from Malta. Contains news and pictures. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mass-debate.tripod.com/"} +{"d:Title": "Meanwell, George", "d:Description": "Biography, songs, lyrics, schedule and contact information for the Canadian guitarist and vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.midnightislandmusic.ca/"} +{"d:Title": "Matos, Jos\u00e9 Carlos", "d:Description": "Metal-influenced guitarist. Contains gear information, tour dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.josecarlosmatos.com/"} +{"d:Title": "Mad Cow Disease", "d:Description": "Band from Glendale, Calif. Includes band member profiles, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://fatqueen78.tripod.com/"} +{"d:Title": "Mojo Freakshow", "d:Description": "Blues and rock trio with a Texas accent. Contains MP3s, pictures, and news. [Yahoo.com registration required to access some content.]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://groups.yahoo.com/group/mojofreakshow/"} +{"d:Title": "Michaels, Bennett", "d:Description": "Musician and vocalist. Contains pictures and songlist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://bennettmichaels.50megs.com/"} +{"d:Title": "Melted", "d:Description": "Brooklyn-based freeform electronic band. Includes biography and audio clips. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://melted.org/"} +{"d:Title": "Magus Beast", "d:Description": "East Coast power metal band. Contains news, reviews, tour dates, biography, pictures, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.magusbeast.com/"} +{"d:Title": "McClintock, R. J.", "d:Description": "Country singer/songwriter from Kansas. Contains biography, reviews, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://rjmcclintock.com/"} +{"d:Title": "Meredith, Dana", "d:Description": "Modern rock and pop singer/songwriter from San Diego, California. Includes concert dates, biography, pictures, and audio clips. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.danameredith.com/"} +{"d:Title": "McRae, Linda", "d:Description": "Vancouver-based roots and alt.country singer/songwriter. Contains sound clips, biography, tour information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.lindamcrae.com/"} +{"d:Title": "Martin, Lilly", "d:Description": "Singer from New York City. Includes biography, audio clips, and references. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.lillymartin.info/"} +{"d:Title": "Masters, Vance", "d:Description": "Musician, songwriter, producer, and former drummer for The Guess Who. Contains biography, pictures, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.members.shaw.ca/vancemasters/"} +{"d:Title": "Mommaas, Marc", "d:Description": "New York City saxaphonist. Includes biography, discography, reviews, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mommaas.com/"} +{"d:Title": "Mad Heads", "d:Description": "Ukrainian rockabilly band. Contains biography, pictures, discography, lyrics, tour dates, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.madheads.kiev.ua/"} +{"d:Title": "Monolators, The", "d:Description": "Los Angeles punk-folk-Buddy Holly-ish trio. Contains tour dates, biography, flyer art, pictures, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://themonolators.com/"} +{"d:Title": "Moline", "d:Description": "Finnish alternative rock band. Contains news, biography, MP3s, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://users.kymp.net/p203768a/"} +{"d:Title": "Mellstock Band, The", "d:Description": "Re-creating English village band music. Contains show dates, band member profiles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mellstockband.com/"} +{"d:Title": "Metric", "d:Description": "Harmonic rock band. Contains tour dates, lyrics, audio clips, and message board. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.ilovemetric.com/"} +{"d:Title": "McAloon, Patrick", "d:Description": "Providence, Rhode Island-based singer/songewriter. Contains tour dates, audio clips, pictures, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.patrickmcaloon.com/"} +{"d:Title": "Myers, Shirley", "d:Description": "Canadian country music singer. Contains news, articles, tour dates, and pictures. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.shirleymyers.com/"} +{"d:Title": "Mike Estep Band, The", "d:Description": "Contemporary original rock band from central New York, featuring acoustic guitar-driven rock. MP3s of the band's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mikeestepband.com/"} +{"d:Title": "Mosley", "d:Description": "Hyptonotizing melodies over energetic thrashy guitar. Contains news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mosley.s5.com/"} +{"d:Title": "Motherfuckers", "d:Description": "Calgary, Alberta punk band. Contains news, biographies, show schedule, lyrics, photographs and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://members.shaw.ca/mfonline/"} +{"d:Title": "Mary Lee's Corvette", "d:Description": "New York singer-songwriter. Contains biography, press clippings, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.maryleescorvette.com/"} +{"d:Title": "Matera, Michael", "d:Description": "Instrumental music that features guitars and synthesizers. Includes audio clips and information on related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.matera.net/"} +{"d:Title": "monospace", "d:Description": "New York City glam-influenced artist. Offers MP3s, videos, photographs, lyrics and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.monospace.com/music/"} +{"d:Title": "Mannick, Otis", "d:Description": "L.A.-based artist. Contains MP3s, lyrics, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.otismannick.com/"} +{"d:Title": "McAdam, Michael", "d:Description": "Guitar player and singer. Includes biography, discography, audio clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaelmcadam.com/"} +{"d:Title": "Margaux", "d:Description": "Singer/songwriter making energetic pop/rock featuring cheeky electric guitar riffs and sultry vocals. Contains news, tour dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.margauxmusic.com/"} +{"d:Title": "Magic Beans,The", "d:Description": "Surrealist garage rock from Ohio. Contains news, biography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://themagicbeans.com/"} +{"d:Title": "Mission", "d:Description": "Singer/songwriter duo from Houston, Texas. Contains biography, pictures, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/indie/mission/"} +{"d:Title": "Mast, Christopher", "d:Description": "Singer, songwriter, and guitarist. Contains biography, news, audio clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.christophermast.com/"} +{"d:Title": "Maki, Bruce", "d:Description": "Guitarist and songwriter. Includes biography, pictures, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.brucemaki.com/"} +{"d:Title": "Marimo-kai", "d:Description": "Japanese koto group performing in the San Francisco Bay Area. Contains news, pictures, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.asahi-net.or.jp/~mm9y-skgc/"} +{"d:Title": "Moodswing", "d:Description": "Rock band from West Michigan. Contains news, tour dates, pictures, links to audio clips, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/indie/moodswing/"} +{"d:Title": "Medication", "d:Description": "Fansite for the heavy U.S. rock band. News, tabltures, pictures, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/music5/medication/"} +{"d:Title": "Min Xiao-Fen", "d:Description": "Pipa soloist. Music, biography, contact, photos, news, and Chinese culture", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.bluepipa.org/"} +{"d:Title": "Munch, Tom", "d:Description": "Features a calendar of events, CD information, and biography of the singer and fingerstyle acoustic guitarist from Pueblo, Colorado.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://tommunch.com/"} +{"d:Title": "Masters, Gerald", "d:Description": "Official site with news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.geraldmasters.com/"} +{"d:Title": "Mulligans, The", "d:Description": "Tour dates, news, music samples, biographies and information. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mulligansweb.tripod.com/"} +{"d:Title": "Minstrosity", "d:Description": "Renaissance-style folk music.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://minstrosity.com/"} +{"d:Title": "Marshall Artz", "d:Description": "An acoustic guitar duo playing blues, rock, and folk music in the Washington DC area. MP3s to download and band schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://marshallartz.net/"} +{"d:Title": "Max'ell House and the Perkulators", "d:Description": "Pittsburgh-area acoustic rock band. Contains profile and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.maxhouserocks.com/"} +{"d:Title": "Meyer, Aaron", "d:Description": "Pianist, keyboardist, and composer from California. Contains show dates, biography, pictures, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.aaronmeyermusic.com/"} +{"d:Title": "Martin, Mitch", "d:Description": "Country music artist from Willis, Texas. Information and MP3s available.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.lonniebest.com/MitchMartin/"} +{"d:Title": "Mani", "d:Description": "Original pop, ambient, dance home recording artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.manimusic.co.uk/"} +{"d:Title": "McGuire, Barry", "d:Description": "Photos, personal biography, soundfiles, and CD purchasing information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.barrymcguire.com/"} +{"d:Title": "MacNeill, Derek", "d:Description": "Canadian singer-songwriter and producer. News and reviews, songs, discography, awards, biography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://members.shaw.ca/derekmacneill"} +{"d:Title": "Maguire, Thomas Patrick", "d:Description": "Folk singer from Jamaica Queens, New York. Contains news, pictures, tour dates, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.thomaspatrickmaguire.com/"} +{"d:Title": "Miles, John", "d:Description": "Fan site for the singer/songwriter with biography, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.john-miles.net/"} +{"d:Title": "Mark Gottschall Band", "d:Description": "Folk-rock trio performing original material mixed with classics. Includes biographies, CD and gig details and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/ks/larrycarter/MGB/Index.html"} +{"d:Title": "Mother Depth", "d:Description": "Melodic melancholy doom/death/Gothic metal from Finland.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://utustudio.com/md/"} +{"d:Title": "McCorkle, Leisure", "d:Description": "Pop/Rock music from Charlotte NC. News, tour details and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.haydentechnology.com/leisuremccorkle/"} +{"d:Title": "Maxx Savvy Band", "d:Description": "Modern rock. Site has pictures, calendar, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://maxxsavvy.tripod.com/"} +{"d:Title": "Meshoulam, Tovy", "d:Description": "Jazz musician, composer, and arranger. Includes biography, a list of CDs, compositions, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://tovymeshoulam.com/"} +{"d:Title": "Macdonald, Ralph", "d:Description": "Grammy-award winning percussionist, songwriter and producer; includes biography, audio samples, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.ralphmacdonald.com/"} +{"d:Title": "Macada, Katharina", "d:Description": "Musical saw player. Biography, MP3 samples, repertoire and a short history of the instrument. [English and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.singende-saege.com/index_engl.htm"} +{"d:Title": "Madosini", "d:Description": "Biography and CD ordering for this South African musician.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melt.co.za/index.php?main_page=page&id=43&chapter=0"} +{"d:Title": "Moreira, Airto", "d:Description": "Biography and CD ordering for this Brazilian percussionist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melt.co.za/index.php?main_page=page&id=6&chapter=0"} +{"d:Title": "Mhlongo, Busi", "d:Description": "Biography and CD ordering for this South African singer, dancer and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melt.co.za/index.php?main_page=page&id=18&chapter=0"} +{"d:Title": "Mohamed, Pops", "d:Description": "Biography and CD ordering for this South African jazz musician.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melt.co.za/index.php?main_page=page&id=49&chapter=0"} +{"d:Title": "Molelekwa, Moses", "d:Description": "Biography and CD ordering for this South African jazz keyboardist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melt.co.za/index.php?main_page=page&id=46&chapter=0"} +{"d:Title": "Meek, Gary", "d:Description": "Biography and CD ordering for the jazz saxophonist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melt.co.za/index.php?main_page=page&id=30&chapter=0"} +{"d:Title": "McMillan, James", "d:Description": "Biography and CD ordering for this jazz musician.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.melt.co.za/index.php?main_page=page&id=34&chapter=0"} +{"d:Title": "MacRae, Gordon", "d:Description": "1950s actor and recording artist, star of Oklahoma. Includes photos, filmography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.patfullerton.com/gm/gm.html"} +{"d:Title": "Momary, Rob", "d:Description": "Folk/alternative rock artist. Contains lyrics, audio clips, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.robmomary.com/"} +{"d:Title": "Monsterland", "d:Description": "Official page about the band from Danbury, Connecticut.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://musicilove.hornbuckle.org/?band=monsterland"} +{"d:Title": "Madison Community Band", "d:Description": "Information on the volunteer group in Madison, Alabama, including performances, rehearsals, pictures, playlists and family events.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://m-c-b.org/"} +{"d:Title": "Mair, Alan", "d:Description": "Solo artist, formerly of The Only Ones and The Beatstalkers. Site includes exclusive interview and personal photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.fieldofone.homechoice.co.uk/"} +{"d:Title": "Memphis", "d:Description": "Official home page with tour dates, CDs, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.memphistheband.bizland.com/"} +{"d:Title": "Merry Ploughboys, The", "d:Description": "Official website of the traditional Irish folk/ballad group based in Dublin. Profile of the band, lyrics and gig information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.merryploughboys.com/"} +{"d:Title": "Mathis, Kane", "d:Description": "Biography and information on performances and the instruments played by the musician.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.kanemathis.com/"} +{"d:Title": "Midnite Image Band", "d:Description": "Five-piece dance band based in New York's Hudson Valley. Performance schedule, repertoire, and photo.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.midniteimageband.com/"} +{"d:Title": "Murdock, Ted", "d:Description": "Los Angeles-based trumpet player and arranger; includes resume and work samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://tedmurdock.com/"} +{"d:Title": "Max Output", "d:Description": "Pictures and sound clips of the rock band from Benton, Arkansas.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.allen-jones.com/maxoutput/"} +{"d:Title": "Manning, Barbara", "d:Description": "The Onion AV Club interviews the singer and songwriter on New Zealand and pies.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.avclub.com/articles/barbara-manning,13569/"} +{"d:Title": "McEntire, John", "d:Description": "The Onion AV Club interviews the Tortoise and Sea And Cake member on the Chicago music scene.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.avclub.com/articles/john-mcentire,13588/"} +{"d:Title": "Martusciello, Elio", "d:Description": "Information about the experimental electroacoustic musician and the projects he is involved in, Ossatura and Schismophonia.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://xoomer.virgilio.it/eliomart/"} +{"d:Title": "Mad Fables", "d:Description": "Discography, audio files and photos for the 1970's rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.fablesongs.com/"} +{"d:Title": "Matadors, The", "d:Description": "Listing of events, photos, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.thematadors.net/"} +{"d:Title": "Mo Green", "d:Description": "Official site of Southern Californian, original alternate rock band. Includes sound files, gig dates, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mogreen.com/"} +{"d:Title": "Mr. Love and Justice", "d:Description": "Official site includes news, gig dates, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mrloveandjustice.com/"} +{"d:Title": "Monobird", "d:Description": "Belgian music project. Includes audio clips, news, video clips, and reviews. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://users.telenet.be/monobird/"} +{"d:Title": "Maseng, Danny", "d:Description": "Israeli born of American parents, this artist presents his music, poetry, upcoming performances, and booking information. His music enlightens the heart and invigorates the soul.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://dannymaseng.com/"} +{"d:Title": "MistakeMistake", "d:Description": "Electro-ragga-garage project from Belgrade. Includes band member profiles, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mistakemistake.com/"} +{"d:Title": "Merrill, Alan", "d:Description": "An overview of the interesting career of Alan Merrill, the singer and songwriter of the original 1975 version of \"I Love Rock 'N' Roll\" by The Arrows.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.alanmerrill.com/"} +{"d:Title": "Melody Fair", "d:Description": "International pop duo singing and speaking 6 languages and performing in Americas, Europe, Asia and Middle East.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.perso.ch/melody/"} +{"d:Title": "Merseybeats, The", "d:Description": "British band formed in the 1960s, with biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.themerseybeats.co.uk/"} +{"d:Title": "Mexican Cession", "d:Description": "Western New York band that mixes ska, punk, hip-hop, fire breathing and dancing. Includes band profile, news, show dates, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mexicancession.tripod.com/mexcess.html"} +{"d:Title": "Musik", "d:Description": "From New Brunswick. Contains history, photographs, news and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://musik12.tripod.com/musik2.htm"} +{"d:Title": "Mero", "d:Description": "Includes profiles, interviews, lyrics, pictures, and message board for the Scottish duo.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://merolover.tripod.com/index.htm"} +{"d:Title": "M-Squad", "d:Description": "Includes biography, news, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://msquad.tripod.com/index.html"} +{"d:Title": "Miss Wyoming", "d:Description": "Indie-rock band based in the Netherlands, with members from France, Italy, the UK and the Netherlands. Contains biography, news, tour dates, audio clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.misswyoming.nl/"} +{"d:Title": "MarKamusic", "d:Description": "High-energy group performing with over 50 ancient, traditional and modern instruments, many of them native to the Americas. Contains biography, instrument profiles, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://markamusic.com/"} +{"d:Title": "Midlife Crisis", "d:Description": "Rock covers trio from South Wales with an emphasis on '60s and '70s music. Band history, testimonials, set list, show description and image galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://midlife-crisis.co.uk/"} +{"d:Title": "Murphy, Trish", "d:Description": "Austin singer-songwriter. Biography, pictures, schedule and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.trishmurphy.com/"} +{"d:Title": "McKinney, Brendan", "d:Description": "Singer/songwriter from Philadelphia. Includes biography, audio clips, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.99browndogs.com/"} +{"d:Title": "McFadden and Whitehead", "d:Description": "Disco artists known for \"Ain't No Stopping Us Now.\" Includes biography, overview and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.allmusic.com/artist/mcfadden--whitehead-p30313"} +{"d:Title": "Manriquez, Bobby", "d:Description": "Guitarist for artists from E-Street Band's Nils Lofgren to soul legend Wilson Pickett for site/sounds from his new solo CD, \"Another Shade of Blue(s)\".", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://bobbymanriquez.com/"} +{"d:Title": "Mirwais", "d:Description": "Contains pictures, press, merchandise, message board and links. In English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mirwais.org/"} +{"d:Title": "McKnight, Andrew", "d:Description": "Singer/songwriter from Virginia. Biography, mp3 files, concert calendar, reviews, musical collaborations, and writings.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.andrewmcknight.net/"} +{"d:Title": "Moksha", "d:Description": "Indian rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mokshaonline.com/"} +{"d:Title": "Moore, Chante", "d:Description": "Includes pictures, biography, and commentary. At AskMen.com.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.askmen.com/celebs/women/singer_150/151_chante_moore.html"} +{"d:Title": "Mystery Addicts, The", "d:Description": "Archives of the glam-punk band from Dayton, Ohio. Pictures, lyrics, discography, audio, flyer art, press and history.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.glampunk.org/mystery/mystery.html"} +{"d:Title": "McDonald, Ken", "d:Description": "Singer-songwriter from Brisbane, Australia. Album information, audio samples and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.kenmcdonaldmusic.com/"} +{"d:Title": "Marcell, Julia", "d:Description": "Polish singer-songwriter whose piano-based songs are influenced by indie rock, cabaret and folk. News, albums, lyrics, show dates and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.juliamarcell.com/"} +{"d:Title": "Matthews, Giles", "d:Description": "Wales-based singer-songwriter; includes biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.gilesmatthews.com/"} +{"d:Title": "Morning Birds, The", "d:Description": "News, press, audio, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.themorningbirds.com/"} +{"d:Title": "Missourians, The", "d:Description": "Southern gospel quintet. Biographies, concert schedule and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.themissourians.com/"} +{"d:Title": "My Legendary Girlfriend", "d:Description": "Alternative band from Glasgow, Scotland. Contains news, tour dates, band history, FAQ, audio clips, lyrics, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mcgazz.co.uk/mlg/"} +{"d:Title": "Massias, Elie", "d:Description": "New York singer-songwriter. Discography, schedule, news and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.eliemassias.com/"} +{"d:Title": "Musgrave, Sharon", "d:Description": "Soul, jazz, and rhythm and blues singer and songwriter based in Canada. Site contains biography, news, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.sharonmusgrave.com/"} +{"d:Title": "Marshall, Larry", "d:Description": "Recording artist, actor, singer, and producer of OPM Tagalog hits.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.kkpponline.com/"} +{"d:Title": "Maceren, Sharyn", "d:Description": "Official site includes news, audio and video clips, image galleries, upcoming events, discography, biography, lyrics, interviews and journal.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.sharyn.net/"} +{"d:Title": "Mahones, The", "d:Description": "Irish punk band from Canada. Includes a biography, discussion forum, poll and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.themahones.ca/"} +{"d:Title": "Mar\u00e9, Catya", "d:Description": "Classical crossover violinist and composer based in California. Audio samples, news, biography, reviews, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.catyamare.com/"} +{"d:Title": "Melancon, Paul", "d:Description": "Singer/songwriter based in Atlanta, Georgia. News, shows, biography and radio airplay list on site.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.paulmelancon.com/"} +{"d:Title": "Mabo", "d:Description": "Traditional drums and dance from Ghana. Biography, pictures, tour dates and video.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.group-mabo.de/"} +{"d:Title": "Mighty Big Band, The", "d:Description": "St. Louis-area band's site features services, song list, calendar and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://mightybigband.com/"} +{"d:Title": "Mystic Roots", "d:Description": "Reggae, hip-hop, rock band from Chico, California. Calendar and music clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mysticroots.com/"} +{"d:Title": "Marshall Artz", "d:Description": "Virginia-based acoustic duo playing original blues, folk and rock. News, biography, audio samples, lyrics, shows, press and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.marshallartz.com/"} +{"d:Title": "McOuat, Oona", "d:Description": "\"Eco-Celtic\" singer-songwriter and harpist from Salt Spring Island, British Columbia, Canada. Biography, pictures, news, shows, lessons and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://oonamcouat.com/"} +{"d:Title": "Manning, Dayna", "d:Description": "Singer-songwriter from northern British Columbia, Canada. Blog, shows, biography, press, and samples of her design work.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.heartist.ca/"} +{"d:Title": "Mann, Chris", "d:Description": "News, pictures, videos, events, discography and forum for the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.chrismannmusic.com/"} +{"d:Title": "Murs, Olly", "d:Description": "English singer-songwriter's site features news, forum, photos, videos and music.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.ollymurs.com/"} +{"d:Title": "Miller, Robin", "d:Description": "Multi-instrumentalist, composer and songwriter based in Sedona, Arizona. Audio samples, book information, biography and performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.robinmillermusic.com/"} +{"d:Title": "Machinery Drive", "d:Description": "Three-piece rock and roll band on the Gold Coast of Australia. Biography, song list, events and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://machinerydrive.webs.com/"} +{"d:Title": "McNabb, Ian", "d:Description": "Singer-songwriter and former leader of the 1980s Liverpool band the Icicle Works. News, tour dates, pictures, discography and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://ianmcnabb.com/"} +{"d:Title": "Morticians", "d:Description": "EBM/industrial band from Sweden. News, biography, pictures, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.morticiansmusic.net/"} +{"d:Title": "Manon", "d:Description": "French Canadian pianist and singer based in South Florida. Biography, audio clips, videos and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.manonrobert.biz/"} +{"d:Title": "Molnar, Brian and the Naked Hearts", "d:Description": "New Jersey Americana artist's site features biography, press, calendar, album information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.brianmolnar.com/"} +{"d:Title": "Manic Bloom", "d:Description": "\"Epic melodic rock\" band from Nashville. Biography, blog, tour dates, videos, lyrics and fan creations.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.manicbloom.com/"} +{"d:Title": "Magic Dick", "d:Description": "American harmonica player and original member of the J. Geils Band. Biography, videos and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.magicdick.com/"} +{"d:Title": "Minga", "d:Description": "American singer's site offers a biography, photographs, news and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mingamusic.com/"} +{"d:Title": "Mukherjee, Manashi", "d:Description": "Singer from West Bengal, India. Biography, videos and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.manashimukherjee.com/"} +{"d:Title": "Motorhomes, The", "d:Description": "Fan site for the Swedish band contains lyrics, biography, reviews, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://users.tpg.com.au/wagnerbe/motorhomes_bio.html"} +{"d:Title": "MoccaSoul/SGH", "d:Description": "Lyrics, videos, discography, audio samples, message board and gallery for the dance artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.moccasoul-sgh.com/"} +{"d:Title": "Men on the Moon", "d:Description": "Cover/dance band in Ventura County, California. Videos, audio, song list, public gigs and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.menonthemoonband.com/"} +{"d:Title": "Mighty Crown", "d:Description": "Japanese dancehall reggae act. Profile at AsiaFinest includes videos and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.asiafinest.com/japanese/mighty-crown.htm"} +{"d:Title": "Mumin, Milan", "d:Description": "Serbian rock musician living in New York. Biography, discography, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.milanmumin.com/"} +{"d:Title": "Maring, Wil", "d:Description": "Americana singer-songwriter from Illinois. News, tour dates, biography, discography, press, audio and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.wilmaring.com/"} +{"d:Title": "Men Behaving Sadly", "d:Description": "Blues-rock band from Surrey, England. Biography, gigs, pictures, discography and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.menbehavingsadly.com/"} +{"d:Title": "Mango Groove", "d:Description": "Biography, discography, audio, videos and lyrics for the South African band.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.mangogroove.co.za/"} +{"d:Title": "McHugh, Kevin", "d:Description": "Tokyo-based jazz pianist, keyboardist and composer. News, shows, biography, pictures, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.therealmchugh.com/"} +{"d:Title": "Madison Violet", "d:Description": "Canadian roots duo's site features biography, discography, tour dates, pictures, videos and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.madisonviolet.com/"} +{"d:Title": "Meservy, April", "d:Description": "Utah-based indie singer-songwriter's site features news, audio, blog, tour dates, pictures, fan videos and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.aprilmeservy.com/"} +{"d:Title": "Marcom\u00e9", "d:Description": "Ambient New Age singer from Canada. Audio, videos, blog, image gallery, biography and press.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.marcome.com/"} +{"d:Title": "Marco, Muriel", "d:Description": "Argentinian keyboardist, singer, composer and arranger living in South Africa. Biography, projects, audio, pictures, videos, gigs and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.murielmarco.com/"} +{"d:Title": "Malo De Dentro", "d:Description": "Heavy metal band from Phoenix, Arizona. Shows, biography, music, and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.malodedentro.com/"} +{"d:Title": "Middle of the Road", "d:Description": "1970s pop group. Contains pictures and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.middleoftheroad-popgroup.com/"} +{"d:Title": "Miike Snow", "d:Description": "Swedish indie-pop band. Audio, video, news, gig listing and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.miikesnow.com/"} +{"d:Title": "McDonald, Michael", "d:Description": "Official site of the musician formerly associated with the Doobie Brothers. News, tour, media, band, links, forum.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.michaelmcdonald.com/"} +{"d:Title": "Martin, Guy", "d:Description": "Blues-rocker from southern California. Read press, view photos and tour schedule, order music.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.guymartin.com/"} +{"d:Title": "Mighty Kate", "d:Description": "New York based singer/songwriter. Includes press kit, audio files, photos, gig schedule, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://katypfaffl.wix.com/mightykatemusic"} +{"d:Title": "Moore, Brian", "d:Description": "Profile, sound files, and reviews of the Toronto based jazz guitar player.", "topic": "Top/Arts/Music/Bands_and_Artists/M", "url": "http://www.soundclick.com/bands/default.cfm?bandID=1950"} +{"d:Title": "M2M Network", "d:Description": "Fan site with news, links and other related information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/M2M", "url": "http://m2mnetwork.tripod.com/"} +{"d:Title": "All Music Guide: M2M", "d:Description": "Includes profile, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/M2M", "url": "http://www.allmusic.com/artist/m2m-p384494"} +{"d:Title": "Maarja Fan Page", "d:Description": "Includes biography, discography, audio samples, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maarja", "url": "http://members.tripod.com/~maarja/"} +{"d:Title": "Passion Maarja Liis", "d:Description": "Fan site with biography, discography, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maarja", "url": "http://www.angelfire.com/musicals/maarjaliis/"} +{"d:Title": "Tony MacAlpine", "d:Description": "Official homepage containing news, a scrapbook and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacAlpine,_Tony", "url": "http://www.tonymacalpine.com/"} +{"d:Title": "Tony MacAlpine", "d:Description": "Fan page. Contains pictures, discography, videography, articles, lessons and transcriptions.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacAlpine,_Tony", "url": "http://tonymacalpine.tripod.com/"} +{"d:Title": "All Music Guide: Tony MacAlpine", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacAlpine,_Tony", "url": "http://www.allmusic.com/artist/tony-macalpine-mn0000744391"} +{"d:Title": "Ewan MacColl and Peggy Seeger", "d:Description": "Profile and selective discography of UK folk singers Ewan MacColl and Peggy Seeger, from the Slipcue.Com Folk Guide.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Ewan", "url": "http://www.slipcue.com/music/international/celtic/artists/maccoll.html"} +{"d:Title": "Working Class Movement Library: Ewan MacColl", "d:Description": "Time line and lyrics. Covers the artist's work in theatre, music and on radio.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Ewan", "url": "http://www.wcml.org.uk/contents/activists/ewan-maccoll/"} +{"d:Title": "Peggy Seeger - Ewan MacColl", "d:Description": "Biography, sample pages from the Ewan MacColl Songbook, comments and critiques. Section of his wife's website.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Ewan", "url": "http://www.peggyseeger.com/ewan-maccoll"} +{"d:Title": "All Music Guide: Ewan MacColl", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Ewan", "url": "http://www.allmusic.com/artist/p819"} +{"d:Title": "Gusworld: Kirsty MacColl Galore", "d:Description": "Includes biography, albums, and opinions about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.gusworld.com.au/music/kirsty/galore.htm"} +{"d:Title": "BBC News: Kirsty MacColl's life of music", "d:Description": "BBC tribute to her life.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://news.bbc.co.uk/1/hi/entertainment/1078197.stm"} +{"d:Title": "NPR Weekend Edition Saturday: Kirsty MacColl", "d:Description": "American radio network's recollection of her career and life.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.npr.org/programs/wesat/features/2001/kirstymaccoll/010804.kirstymaccoll.html"} +{"d:Title": "Kirsty MacColl: 15 Minutes at Least", "d:Description": "A fan's tribute and recollections.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.thecobrasnose.com/xxmusic/maccoll.html"} +{"d:Title": "BBC News | Singer Kirsty MacColl Dies", "d:Description": "Two news articles, and tribute messages from fellow musicians and fans.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://news.bbc.co.uk/1/hi/entertainment/1078192.stm"} +{"d:Title": "BBC News: MacColls' Fury at Speedboat Fine", "d:Description": "The mother of the late singer protests the small fine handed out to the driver of the speedboat that killed her daughter.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://news.bbc.co.uk/2/hi/entertainment/2902685.stm"} +{"d:Title": "Freeworld: Kirsty MacColl on the Web", "d:Description": "Official site includes news, gig guide, discography, photos, and memorials.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://kirstymaccoll.com/"} +{"d:Title": "Justice For Kirsty Campaign", "d:Description": "Pursuing the truth about the death of Kirsty MacColl. Read about the latest developments; join the letter writing campaign or the supporter email list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.justiceforkirsty.org/"} +{"d:Title": "Triple J: Kirsty MacColl J-File", "d:Description": "Australian radio station's tribute to her life and career.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.abc.net.au/triplej/music_specials/s1402496.htm"} +{"d:Title": "NME.com: Kirsty MacColl", "d:Description": "Contains news and feature articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.nme.com/artists/kirsty-maccoll"} +{"d:Title": "Wikipedia: Kirsty MacColl", "d:Description": "Encyclopedia entry, with discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://en.wikipedia.org/wiki/Kirsty_MacColl"} +{"d:Title": "All Music Guide: Kirsty MacColl", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.allmusic.com/artist/kirsty-maccoll-p4816"} +{"d:Title": "The Telegraph: Tragedy behind Kirsty MacColl's 'Fairytale'", "d:Description": "Article about the inquiry into MacColl's death being reopened and her family's hopes of a Christmas No. 1 for \"Fairytale of New York.\" (Dec. 23, 2007)", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.telegraph.co.uk/news/uknews/1573484/Tragedy-behind-Kirsty-MacColls-Fairytale.html"} +{"d:Title": "TIME.com: Elegy for a One-Woman Girl Group", "d:Description": "Review of the singer's career. (March 1, 2009)", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.time.com/time/arts/article/0,8599,101956,00.html"} +{"d:Title": "Kirsty MacColl Killed In Boating Accident", "d:Description": "News article from VH1. (Dec. 19, 2000)", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.mtv.com/news/1435310/kirsty-maccoll-killed-in-boating-accident/"} +{"d:Title": "Kirsty MacColl: A Life In Song", "d:Description": "Article about her career by Liz Smith, from the World Socialist Web Site.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacColl,_Kirsty", "url": "http://www.wsws.org/en/articles/2001/01/kirs-j11.html"} +{"d:Title": "Unofficial Site", "d:Description": "Very complete Shane MacGowan / Pogues / Nips / related discography, photos, and a Buy / Sell / Swap section.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacGowan,_Shane", "url": "http://www.shanemacgowan.de/"} +{"d:Title": "Rockin Boppin Lunatic", "d:Description": "News, reviews, tour dates, pictures, and information on collaborations, books and the documentary \"If I Should Fall From Grace.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacGowan,_Shane", "url": "http://shanemacgowan.is-great.org/"} +{"d:Title": "Joey Cashman", "d:Description": "Page devoted to MacGowan's manager and longtime friend features news, history, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacGowan,_Shane", "url": "http://joeycashman.is-great.org/"} +{"d:Title": "Dedicated to Machine Head", "d:Description": "Crushing and brutal. Site with tablatures, lyrics, including The Burning Red, history, photos, polls and album recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Machine_Head", "url": "http://www.angelfire.com/de/cend/MACHINEHEAD.html"} +{"d:Title": "BleedingMetal.com: Machine Head", "d:Description": "Features pictures, lyrics, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Machine_Head", "url": "http://www.bleedingmetal.com/machinehead/"} +{"d:Title": "Rockmagic.net: Machine Head", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Machine_Head", "url": "http://lyrics.rockmagic.net/lyrics/machine_head/"} +{"d:Title": "Ashley MacIsaac", "d:Description": "Official site. Includes biography, press clippings, lyrics, audio clips, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacIsaac,_Ashley", "url": "http://ashleymacisaac.com/"} +{"d:Title": "Don Mega: Mack 10", "d:Description": "Information, pictures, biography, and the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mack_10", "url": "http://www.donmega.com/mack-10.html"} +{"d:Title": "Love and Light", "d:Description": "News, lyrics, and photographs of Canadian singer/songwriter Tara MacLean.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacLean,_Tara", "url": "http://www.smoe.org/tara/"} +{"d:Title": "Natalie MacMaster", "d:Description": "Official site includes biography, articles, tour schedule, discography, sound files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacMaster,_Natalie", "url": "http://www.nataliemacmaster.com/"} +{"d:Title": "Topix", "d:Description": "Collection of news articles related to artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacMaster,_Natalie", "url": "http://www.topix.com/who/natalie-macmaster"} +{"d:Title": "MTV", "d:Description": "Artist profile includes photo, tour dates, biography, news, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacMaster,_Natalie", "url": "http://www.mtv.com/artists/natalie-macmaster/"} +{"d:Title": "Allmusic", "d:Description": "Artist profile includes biography, photo, discography, audio clips, and list of similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MacMaster,_Natalie", "url": "http://www.allmusic.com/artist/natalie-macmaster-mn0000943109"} +{"d:Title": "Madredeus", "d:Description": "Lyrics, band history, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Madredeus", "url": "http://madredeus.oasi.asti.it/"} +{"d:Title": "The River of Deceit", "d:Description": "Mike McCready's project Mad Season featuring members from Alice In Chains and Screaming Trees. Discography, articles, guitar tabs and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mad_Season", "url": "http://members.tripod.com/~Croissant/home.html"} +{"d:Title": "The House of Tomorrow", "d:Description": "Official site offers discography, news, photo gallery, and information on The Magnetic Fields and other Stephin Merritt projects.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Magnetic_Fields,_The", "url": "http://www.houseoftomorrow.com/"} +{"d:Title": "Stephinsongs", "d:Description": "Stephin Merritt lyrics, tablature, and trivia. Also hosts a mailing list for discussion and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Magnetic_Fields,_The", "url": "http://stephinsongs.wiw.org/"} +{"d:Title": "Stephin Merritt Album Gallery [[ The Magnetic Fields ]]", "d:Description": "Message board plus detailed information for every Stephin Merritt release including those by The Magnetic Fields, Future Bible Heroes, The Gothic Archies, and The 6ths.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Magnetic_Fields,_The", "url": "http://www.iheartny.com/yourenotthere/"} +{"d:Title": "Yahoo Clubs: Magnetic Fields", "d:Description": "Message board and chat room at Yahoo! clubs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Magnetic_Fields,_The", "url": "http://groups.yahoo.com/group/magneticfields/"} +{"d:Title": "69 Love Songs", "d:Description": "Detailed song-by-song resources for The Magnetic Fields' most celebrated album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Magnetic_Fields,_The", "url": "http://69lovesongs.info/"} +{"d:Title": "Metacritic: I Guess Sometimes...", "d:Description": "Reviews of Magnetophone's debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Magnetophone", "url": "http://www.metacritic.com/music/i-guess-sometimes-i-need-to-be-reminded-of-how-much-you-love-me/magnetophone"} +{"d:Title": "SheilaMajid.com: Kata Demi Kata", "d:Description": "Fan site for the Malaysian jazz singer. News, biography, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Majid,_Sheila", "url": "http://www.sheilamajid.com/"} +{"d:Title": "NME.com: Stephen Malkmus", "d:Description": "Contains reviews, articles and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malkmus,_Stephen", "url": "http://www.nme.com/artists/stephen-malkmus"} +{"d:Title": "Matador Records: Stephen Malkmus and the Jicks", "d:Description": "Record label's site, includes biography, tour dates, audio/video, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malkmus,_Stephen", "url": "http://www.matadorrecords.com/stephen_malkmus_and_the_jicks/"} +{"d:Title": "JamBase: Stephen Malkmus and The Jicks", "d:Description": "Details of live shows by the group.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malkmus,_Stephen", "url": "http://www.jambase.com/band/stephen-malkmus-the-jicks"} +{"d:Title": "Metacritic: Stephen Malkmus", "d:Description": "Multiple critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malkmus,_Stephen", "url": "http://www.metacritic.com/music/stephen-malkmus/stephen-malkmus"} +{"d:Title": "Melodicrock: Mitch Malloy", "d:Description": "2000 interview.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malloy,_Mitch", "url": "http://www.melodicrock.com/interviews/mitchmalloy.html"} +{"d:Title": "AOR Europe", "d:Description": "2002 interview.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malloy,_Mitch", "url": "http://www.rockunited.com/malloyint.htm"} +{"d:Title": "All Music Guide: Mitch Malloy", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malloy,_Mitch", "url": "http://www.allmusic.com/artist/mitch-malloy-p22923"} +{"d:Title": "Rockmagic.net: Yngwie Malmsteen", "d:Description": "Guitar and bass tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malmsteen,_Yngwie", "url": "http://www.rockmagic.net/guitar-tabs/yngwie-malmsteen/"} +{"d:Title": "Yngwie J. Malmsteen's Studio Albums.", "d:Description": "Ratings, facts, and thoughts on his albums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malmsteen,_Yngwie", "url": "http://hem.fyristorg.com/ingemar/yjm_index.htm"} +{"d:Title": "Yngwie Malmsteen", "d:Description": "Official site with news and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Malmsteen,_Yngwie", "url": "http://www.yngwiemalmsteen.com/"} +{"d:Title": "Lyrics Library", "d:Description": "Lyrics to some songs of The Mamas and the Papas.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mamas_and_the_Papas,_The", "url": "http://www.mathematik.uni-ulm.de/paul/lyrics/mamasandpapas/"} +{"d:Title": "David's Mamas and Papas Page", "d:Description": "Includes a biography, album information, sound clips, song quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mamas_and_the_Papas,_The", "url": "http://mpinfo.davidredd.com/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mamas_and_the_Papas,_The", "url": "http://rockhall.com/inductees/the-mamas-and-the-papas/"} +{"d:Title": "Official Cass Elliot Website", "d:Description": "Biography, lyrics, links, and discography of Mama Cass Elliot, born Ellen Naomi Cohen.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mamas_and_the_Papas,_The/Elliot,_Cass", "url": "http://www.casselliot.com/"} +{"d:Title": "Ham and Wheeze", "d:Description": "Truth about the death of Mama Cass.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mamas_and_the_Papas,_The/Elliot,_Cass", "url": "http://www.snopes.com/music/artists/mamacass.asp"} +{"d:Title": "The Manband Archive", "d:Description": "Celebrating the legendary Welsh rockers - Features - discography, history, profiles, links, lyrics, articles, photos, news, trades, well the works really.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Man", "url": "http://www.manband-archive.com/"} +{"d:Title": "The Man Homepage", "d:Description": "Homepage of the Man band and related musicians", "topic": "Top/Arts/Music/Bands_and_Artists/M/Man", "url": "http://www.manband.co.uk/"} +{"d:Title": "Chuck Mangione", "d:Description": "Official site. Tour schedule, biography, booking information, fan mail, and gift shop.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mangione,_Chuck", "url": "http://www.chuckmangione.com/"} +{"d:Title": "All Music Guide: Chuck Mangione", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mangione,_Chuck", "url": "http://www.allmusic.com/artist/chuck-mangione-mn0000021747"} +{"d:Title": "The Manhattan Transfer", "d:Description": "Official website for the group, with tour dates, history, member profiles, discography, photographs and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manhattan_Transfer,_The", "url": "http://manhattantransfer.net/"} +{"d:Title": "The Last of Richey Edwards?", "d:Description": "An examination of the last known 16 months of Richey Edwards and his possible whereabouts now.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manic_Street_Preachers", "url": "http://www.richeyedwards.net/"} +{"d:Title": "Manic Street Preachers", "d:Description": "Official site. Includes news, discography, history and biographies, and a fan forum. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manic_Street_Preachers", "url": "http://www.manicstreetpreachers.com/"} +{"d:Title": "MTV.com: Manic Street Preachers", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manic_Street_Preachers", "url": "http://www.mtv.com/artists/manic-street-preachers/"} +{"d:Title": "Everything Online", "d:Description": "News, articles, chatroom, forum, lyrics, tablatures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manic_Street_Preachers/Fan_Pages", "url": "http://homepage.eircom.net/~manics/"} +{"d:Title": "The Sean Moore Appreciation Site", "d:Description": "Pictures, articles, quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manic_Street_Preachers/Fan_Pages", "url": "http://www.users.zetnet.co.uk/bolsover/The_Sean_Moore_Site.htm"} +{"d:Title": "Sean Moore Network", "d:Description": "Fan site for Sean Moore with interviews, pictures, news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manic_Street_Preachers/Fan_Pages", "url": "http://www.angelfire.com/zine2/seanmoorenet/frame.html"} +{"d:Title": "Manics.rawkstar.net", "d:Description": "Gear information, guitar tablature, band news, articles and press clippings, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manic_Street_Preachers/Fan_Pages", "url": "http://manics.rawkstar.net/"} +{"d:Title": "Barry Manilow News: Topix", "d:Description": "News about Barry Manilow continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry", "url": "http://www.topix.com/who/barry-manilow"} +{"d:Title": "Barry Manilow Official Site", "d:Description": "View pictures from the tour, join the mailing list, and find out all the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry", "url": "http://www.manilow.com/"} +{"d:Title": "All Music Guide: Barry Manilow", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry", "url": "http://www.allmusic.com/artist/p4838"} +{"d:Title": "All4BarryManilow Group", "d:Description": "Fan club. Includes a mailing list and information on joining.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry/Fan_Clubs", "url": "http://groups.yahoo.com/group/All4BarryManilow"} +{"d:Title": "Yahoo! Groups: Barry's Retro Fans Unlimited", "d:Description": "Links, photographs, and member discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry/Fan_Clubs", "url": "http://groups.yahoo.com/group/BarrysRetroFansUnlimited"} +{"d:Title": "Barry and the Manilows", "d:Description": "Free club located in Trenton, NJ. No newsletter, but members receive the latest news when it becomes available. Meet with other fans at concerts and participate in charity events.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry/Fan_Clubs", "url": "http://www.garyoye.com/barrynet/barryandthemanilows.htm"} +{"d:Title": "Laurie's Barry Manilow Page", "d:Description": "This page is dedicated to the music and artistry of Barry Manilow.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry/Fan_Pages", "url": "http://lauriesbarry.homestead.com/index.html"} +{"d:Title": "Manilow Manila", "d:Description": "Pictures, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry/Fan_Pages", "url": "http://sindel16.tripod.com/barry/barry.html"} +{"d:Title": "The Magic of Manilow", "d:Description": "A page from the late Jody.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manilow,_Barry/Fan_Pages", "url": "http://jypsyk.tripod.com/barrypage.html"} +{"d:Title": "Aimee Mann", "d:Description": "Official website with biography, discography, news, tour dates, images, videos and message board. [Requires Flash 6.]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee", "url": "http://www.aimeemann.com/"} +{"d:Title": "Annette Funicello or Aimee Mann?", "d:Description": "Humorous quiz on the two artists' lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee", "url": "http://www.aolwatch.org/annetteq.htm"} +{"d:Title": "Mannlist", "d:Description": "Instructions and guidelines for the e-mail discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee", "url": "http://smoe.org/cgi-bin/mj_wwwusr?user=&passw=&func=lists-long-full&extra=mannlist"} +{"d:Title": "All Music Guide: Aimee Mann", "d:Description": "Includes biography, reviews and detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee", "url": "http://www.allmusic.com/artist/aimee-mann-p40211"} +{"d:Title": "Aimee Mann in Print", "d:Description": "Newspaper and magazine articles from 1983 to 2003.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.aimeemanninprint.com/"} +{"d:Title": "The Boston Phoenix: The Fate of Mann", "d:Description": "Commentary on the singer's career and the music industry.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.weeklywire.com/ww/06-05-00/boston_music_1.html"} +{"d:Title": "New York Times Magazine: What's a Record Exec to Do with Aimee Mann?", "d:Description": "Feature on the production of \"Bachelor No. 2\" and Mann's difficulties in getting the album released.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.nytimes.com/library/magazine/home/19990711mag-music-mann.html"} +{"d:Title": "The Onion's A.V. Club", "d:Description": "Mann discusses killing time between releases, her role in \"The Big Lebowski\" and Phil Collins.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.avclub.com/articles/aimee-mann,13687/"} +{"d:Title": "Girl on Film: The Soundtracks of Aimee Mann", "d:Description": "Dallas Observer interview comparing \"The Forgotten Arm\" to Mann's earlier work on \"Magnolia.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.dallasobserver.com/2005-03-17/music/girl-on-film"} +{"d:Title": "The Believer: Patton Oswalt talks with Aimee Mann", "d:Description": "Informal interview with the comedian and friend, touching on politics, celebrity and film.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.believermag.com/issues/200506/?read=interview_mann"} +{"d:Title": "Middle Mojo: Aimee Mann's Head Is Filled With Sharp Tools", "d:Description": "Mann discusses creativity and aging. (October 2011)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://middlemojo.com/2011/10/aimee-manns-head-is-filled-with-sharp-tools/"} +{"d:Title": "Paste Magazine: The Evolution of Mann", "d:Description": "Article on \"Lost in Space\" and the United Musicians collective.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.pastemagazine.com/articles/2002/12/aimee-mann.html"} +{"d:Title": "Salon.com: \"How Many Copies Will I Sell in Wal-Mart?\"", "d:Description": "Interview in which Mann discusses the making of \"Lost in Space,\" her interest in psychology and moving to Los Angeles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Articles_and_Interviews", "url": "http://www.salon.com/2002/09/10/mann_5/"} +{"d:Title": "About Last Night: Aimee Mann&Michael Penn", "d:Description": "Fan's review and photos from an Acoustic Vaudeville concert at the Shepherd's Bush Empire in London.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews", "url": "http://www.aboutlastnight.org.uk/aimee_mann_&_michael_penn.htm"} +{"d:Title": "The Line of Best Fit: Aimee Mann w/ The Submarines", "d:Description": "Review of the Oct. 24, 2008, show at Indigo in London.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews", "url": "http://www.thelineofbestfit.com/2008/10/aimee-mann-w-the-submarines-indigo-london-241008/"} +{"d:Title": "All-Reviews.com - Bachelor No. 2", "d:Description": "LarryG's review: \"... more tasteful, adult pop but it's hardly ground-breaking.\" Rated 2 out of 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/Bachelor_No._2", "url": "http://www.all-reviews.com/music/bachelor2.htm"} +{"d:Title": "PopMatters", "d:Description": "Colm Ward's review: \"Like a quick hit of Sambuca, it goes in sweet but leaves a trail of fire as it sinks down.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/Bachelor_No._2", "url": "http://www.popmatters.com/review/mannaimee-bachelor/"} +{"d:Title": "MusicOMH.com: Aimee Mann - Bachelor No 2", "d:Description": "John Murphy's review: \"...mostly quality stuff from an inspired songwriter.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/Bachelor_No._2", "url": "http://www.musicomh.com/reviews/albums/aimee-mann-bachelor-no-2"} +{"d:Title": "Metacritic: Bachelor No. 2", "d:Description": "Multiple critic and user reviews; album earns a metascore of 87.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/Bachelor_No._2", "url": "http://www.metacritic.com/music/bachelor-no-2-or-the-last-remains-of-the-dodo/aimee-mann"} +{"d:Title": "BBC Music - Review of Aimee Mann - @#%&*! Smilers", "d:Description": "Chris Jones' review: \"Despite the ornery cussedness of the album's title, what we come away with is something undeniably beautiful and subtle. Like all her best work it will continue to unfold and grow with repetition.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/Fucking_Smilers", "url": "http://www.bbc.co.uk/music/reviews/z2dp"} +{"d:Title": "Salon", "d:Description": "Stephanie Zacharek's review: \"All edges and elbows, always easy to listen to, but not necessarily easy to come to terms with.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/I'm_With_Stupid", "url": "http://www.salon.com/1996/06/01/mann_3/"} +{"d:Title": "Pitchfork", "d:Description": "Chris Dahlen's review, rating of 7.6: \"...it's hard to fault Mann for repeating a formula that works this well, or for making a record this focused.\" (Aug. 26, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/Lost_in_Space", "url": "http://pitchfork.com/reviews/albums/5083-lost-in-space/"} +{"d:Title": "The Onion A.V. Club: Lost and Found", "d:Description": "Nathan Rabin's review: \"...A marvel of tasteful bombast, adding touches of mournful slide guitar and occasional strings, but always keeping the focus on Mann's voice and evocative lyrics.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/Lost_in_Space", "url": "http://www.avclub.com/review/aimee-mann-emlost-in-spaceem-17164"} +{"d:Title": "Pitchfork: The Forgotten Arm", "d:Description": "David Raposa's review: \"The resolution is a hard-fought one, with plenty of knocks collected along the way for both characters. Mann's despondent deadpan eloquence, as it's been for her entire career, is perfect for delineating each of these bumps and bruises in loving detail. \" Rated 7.4 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/The_Forgotten_Arm", "url": "http://pitchfork.com/reviews/albums/5084-the-forgotten-arm/"} +{"d:Title": "Paste Magazine: The Forgotten Arm", "d:Description": "Dave Sims' review: \"...fulfills the cinematic potential Mann\u2019s songs have always possessed.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mann,_Aimee/Reviews/The_Forgotten_Arm", "url": "http://www.pastemagazine.com/articles/2005/04/aimee-mann-the-forgotten-arm.html"} +{"d:Title": "Mannheim Steamroller", "d:Description": "Official site of Mannheim Steamroller, American Gramaphone, and Chip Davis. Tour schedule, store, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mannheim_Steamroller", "url": "http://www.mannheimsteamroller.com/"} +{"d:Title": "Mannheim Steamroller Meets the Mouse (American Gramaphone)", "d:Description": "Christmas titles have been enormously successful. On \"Meets the Mouse\", Davis and company serve up a wide range of Disney favorites", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mannheim_Steamroller", "url": "http://www.thenightowl.com/reviews/mannheim.htm"} +{"d:Title": "Yahoo! Groups: Fresh Aire 5", "d:Description": "Post messages, chat, view and share pictures or files, view a database of songs, and share your opinions with other fans.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mannheim_Steamroller", "url": "http://groups.yahoo.com/group/freshaire5/"} +{"d:Title": "Mannheim Steamroller News: Topix", "d:Description": "News about Mannheim Steamroller continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mannheim_Steamroller", "url": "http://www.topix.com/who/mannheim-steamroller"} +{"d:Title": "All Music Guide: Mannhein Steamroller", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mannheim_Steamroller", "url": "http://www.allmusic.com/artist/mannheim-steamroller-p2676"} +{"d:Title": "Mano Negra", "d:Description": "Unofficial homepage containing discography information, lyrics, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mano_Negra", "url": "http://www.angelfire.com/in/manoeng/INDEXIN.html"} +{"d:Title": "Mano Negra Interactive Club", "d:Description": "Features messageboard, chat, voice chat, links, photos, pictures, exchange, and listening to Mano Negra.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mano_Negra", "url": "http://groups.yahoo.com/group/manonegra/"} +{"d:Title": "All Music Guide: Jono Manson", "d:Description": "Includes discography, appearances and highlights.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Jono", "url": "http://www.allmusic.com/artist/jono-manson-p101557"} +{"d:Title": "Marilyn Manson", "d:Description": "Track the career of the band with up-to-date news, tour dates, and photographs. Watch music videos and browse watercolors by Manson himself.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.marilynmanson.com/"} +{"d:Title": "Tablatures Rock: Marilyn Manson", "d:Description": "Tablatures for the guitar and bass for over 100 of the bands songs. Also features lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.rockmagic.net/guitar-tabs/marilyn-manson/"} +{"d:Title": "Wikipedia - Marilyn Manson (Band)", "d:Description": "Encyclopedia article covering the band's history, influence, members, former members, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://en.wikipedia.org/wiki/Marilyn_Manson_(band)"} +{"d:Title": "ArtistDirect: Marilyn Manson", "d:Description": "Contains links to sites categorized by content, such as audio/video, reviews, lyrics, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,463345,00.html"} +{"d:Title": "MansonWiki", "d:Description": "An encyclopedia project of all things regarding the rock band Marilyn Manson", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.mansonwiki.com/wiki/Main_Page"} +{"d:Title": "The Internet Movie Database (IMDb): Marilyn Manson", "d:Description": "Details movies and television shows in which Marilyn Manson's (The band) material is used, and also those in which Marilyn (The person) appears in.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.imdb.com/name/nm0001504/"} +{"d:Title": "Mansinthe", "d:Description": "The official Marilyn Manson Absinthe", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.mansinthe.com/"} +{"d:Title": "Babalon - Official Marilyn Manson Message Forums", "d:Description": "The bands official forum, where you can discuss issues relating to the artist and band. Features news, tour information, analysis and general chat.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.nachtkabarett.com/babalon/index"} +{"d:Title": "Rolling Stone: Marilyn Manson", "d:Description": "Includes a biography, album reviews, interviews, photo gallery and discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.rollingstone.com/music/artists/marilyn-manson"} +{"d:Title": "MTV: Marilyn Manson", "d:Description": "Features album reviews, news, tour dates, biography, discography, lyrics, music videos, links, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn", "url": "http://www.mtv.com/artists/Marilyn-Manson"} +{"d:Title": "NY Rock: Marilyn Manson on Love and War and the Price of Fame", "d:Description": "Interview with Manson about politics and his relationship with fame and the press.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Articles_and_Interviews", "url": "http://www.nyrock.com/interviews/2001/mm_int4.asp"} +{"d:Title": "The Ginger Fish Fan Club", "d:Description": "Fan club devoted to Marilyn Manson's drummer, Ginger Fish.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Band_Members/Ginger_Fish", "url": "http://members.tripod.com/~painjunkie/gffc.html"} +{"d:Title": "Ultimate Manson Bible: Biography - Ginger Fish", "d:Description": "A detailed biography of Marilyn Manson band member Kenneth Robert Wilson AKA Ginger Fish (Ginger Rogers + Albert Fish)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Band_Members/Ginger_Fish", "url": "http://www.angelfire.com/rock4/ultimatemansonbible/page4.html"} +{"d:Title": "John5", "d:Description": "Includes news, biography, pictures, video and cards.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Band_Members/John_5", "url": "http://www.angelfire.com/de/john5/"} +{"d:Title": "The official John 5 website", "d:Description": "The ex-band members' official site", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Band_Members/John_5", "url": "http://www.john-5.com/"} +{"d:Title": "Marilyn Manson Cult", "d:Description": "Pictures, rumours, biographical information and a page of parents' opinions.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/ut/omega99/"} +{"d:Title": "The Hydra", "d:Description": "Includes lyrics, tablatures, discography, news, pictures, screensavers, themes, wallpapers, movies, MIDIs, MP3s, biographies, rumors, quotes, interviews, tour dates, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://m_xx_m.tripod.com/"} +{"d:Title": "Coyote's Spookhouse", "d:Description": "Home of the Marilyn Manson FAQ, rumour kill, and magazine cover gallery. Also various essays, concert reviews, and transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.spookhouse.net/mm.html"} +{"d:Title": "The Temple of Marilyn Manson", "d:Description": "Includes art, MIDIs, image gallery, links, videos, lyrics, downloads, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/ma3/marilyn/"} +{"d:Title": "Flick's Page of Shit", "d:Description": "Marilyn Manson pictures, links and biography, information on other bands and Rose Mcgowan, and a section of information about the author and friends.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/biz6/flickingyou/"} +{"d:Title": "Blackrainbow's Marilyn Manson Page", "d:Description": "Pictures, lyrics, tablatures, discography, biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://glitterrainbow16.tripod.com/marilynmanson/"} +{"d:Title": "Marilyn Manson for President in 2004", "d:Description": "Manson news, links, tour dates, and a suggestion for a new kind of government in 2004.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://roboto4.tripod.com/MarilynManson/"} +{"d:Title": "Edens Shrine", "d:Description": "Pictures of individual band members, band history, rumors, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/goth/marilynmansonfanpage/index.html"} +{"d:Title": "Disposable Dreams", "d:Description": "Band member biographies, discography, pictures, downloads, fonts, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/realm/disposabledreams/"} +{"d:Title": "Look at Me Now", "d:Description": "Video clips, pictures, and reflections on Marilyn Manson.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/band/LookAtMeNow/"} +{"d:Title": "Arcane Chamber of Sin", "d:Description": "Includes interpretation, picture archive, and art inspired by Manson's music.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.angelsofdisruption.com/arcane/#MM"} +{"d:Title": "How does it feel to be one of the Beautiful People?", "d:Description": "Includes band information, pictures, links, discography, news and rumors.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://dead2theworld666.tripod.com/"} +{"d:Title": "The Nobodies", "d:Description": "Band information, press, interpretations and quotes. In Portuguese and English.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://mmdiogue.tripod.com/mmanson/"} +{"d:Title": "Provider Module", "d:Description": "News, tour dates, biographies, discography, videography, image gallery, press, Manson's journal, essays, timeline and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Pages", "url": "http://www.providermodule.com/"} +{"d:Title": "Angelynx Archive", "d:Description": "Detailed essays, reviews, and interpretations of the symbolism involved in Manson's work, and \"Manson is Good,\" a collection of good deeds for fans by members of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Works", "url": "http://www.spookhouse.net/angelynx/manson/mm.html"} +{"d:Title": "Manson Universe", "d:Description": "Artwork, stories and poetry.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Fan_Works", "url": "http://w3.gorge.net/cyrene/"} +{"d:Title": "NY Rock: Death, Destruction and Bouncing Butt Cheeks", "d:Description": "\"Clad in leather corset, garter belt, platform heels and fishnets, Marilyn Manson offered up an apocalyptic stew of bad-ass odes to death, destruction and teenage angst.\" Review of a Show at the Hammersmith Ballroom.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Reviews", "url": "http://www.nyrock.com/reviews/2000/manson_live.asp"} +{"d:Title": "All Music Guide: Holy Wood", "d:Description": "Stephen Thomas Erlewine's review.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Reviews", "url": "http://www.allmusic.com/album/holy-wood-in-the-shadow-of-the-valley-of-death-r506566"} +{"d:Title": "Antichrist Superstar", "d:Description": "Marilyn Manson tribute band; includes set list, photos, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Manson,_Marilyn/Tribute_Bands", "url": "http://churchofmanson.tripod.com/"} +{"d:Title": "Mantra", "d:Description": "Fan site including pictures, lyrics and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mantra", "url": "http://members.tripod.com/mantra_101/mantra.html"} +{"d:Title": "Thomas Mapfumo", "d:Description": "Thomas Mapfumo (from African Music Encyclopedia)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mapfumo,_Thomas", "url": "http://www.africanmusic.org/artists/mapfumo.html"} +{"d:Title": "News Unlimited | Lion heart", "d:Description": "Thomas Mapfumo speaks out.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mapfumo,_Thomas", "url": "http://www.theguardian.com/culture/2000/mar/13/artsfeatures.zimbabwe"} +{"d:Title": "Matt's Marcy Playground Page", "d:Description": "Pictures, videos, chat, lyrics, forum, guitar tablatures, sound clips, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marcy_Playground", "url": "http://www.mattsmusicpage.com/nmarcy.htm"} +{"d:Title": "MTV: Marcy Playground", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marcy_Playground", "url": "http://www.mtv.com/artists/marcy-playground/"} +{"d:Title": "Ashley Marie&Family", "d:Description": "Official site includes biographies, schedule, photos, audio and video, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marie,_Ashley", "url": "http://www.angelfire.com/co/ashleymarie/index.html"} +{"d:Title": "Teena Marie", "d:Description": "Official site includes biography, tour dates, discography, pictures, articles, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marie,_Teena", "url": "http://www.teenamarie.com/"} +{"d:Title": "Behind the Groove", "d:Description": "Rare pictures, discography, FAQ, mailing list, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marie,_Teena", "url": "http://www.angelfire.com/la/dyt/"} +{"d:Title": "All Music Guide: Teena Marie", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marie,_Teena", "url": "http://www.allmusic.com/artist/p4844"} +{"d:Title": "Marillion Online", "d:Description": "Official web site. News, band information, club details, a chat room, and links for ordering CDs directly from the band's label, Racket Records.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marillion", "url": "http://www.marillion.com/"} +{"d:Title": "The Story So Far", "d:Description": "A listing of every known live Marillion show.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marillion", "url": "http://www.billfrech.com/tourhistory/"} +{"d:Title": "Marillion Picture Discs", "d:Description": "Collection of picture discs from the years 1984-1993.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marillion", "url": "http://www.patrickvonarx.ch/Marillion/marillion.html"} +{"d:Title": "MTV: Marillion", "d:Description": "Music news, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marillion", "url": "http://www.mtv.com/artists/marillion/"} +{"d:Title": "Frank Marino and Mahogany Rush Official Fan Page", "d:Description": "News, discography, streaming audio, chatroom, message board, lyrics, tour dates, history, reviews and questions and answers.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marino,_Frank_and_Mahogany_Rush", "url": "http://www.mahoganyrush.com/"} +{"d:Title": "Marley Spirit Dancer", "d:Description": "Great gallery and artistic portrayals of Bob Marley.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.marleyspiritdancer.com/"} +{"d:Title": "Bobmarley.com", "d:Description": "Bob Marley Music, Inc.'s Bob Marley site describing his life, his music and his legacy. Photos, videos, sound, links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.bobmarley.com/"} +{"d:Title": "Bob Marley Links", "d:Description": "Big selection of Bob Marley links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.macnet.or.jp/pa/akira/bob.html"} +{"d:Title": "Bob Marley Live in Concert", "d:Description": "RealMedia recording from his performance in Rotterdam 1978.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.rumdogs.com/bobmarley.html"} +{"d:Title": "JAD Records", "d:Description": "Includes biographies of Bob Marley and the Wailers, history, audio and video files, trivia, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.jadrecords.com/"} +{"d:Title": "Bob Marley News: Topix", "d:Description": "News about Bob Marley continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.topix.com/who/bob-marley"} +{"d:Title": "Topix: Bob Marley", "d:Description": "News about Bob Marley, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.topix.com/rss/who/bob-marley.xml"} +{"d:Title": "ThirdField.com", "d:Description": "Includes a biography, funeral information, lyrics, discography, audio and video files, photographs, tablatures and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.thirdfield.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Bob Marley", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://rockhall.com/inductees/bob-marley/"} +{"d:Title": "RollingStone.com: Bob Marley", "d:Description": "Includes a biography, discography, photos, articles, audio files, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.rollingstone.com/music/artists/bob-marley"} +{"d:Title": "Yahoo Music: Bob Marley", "d:Description": "Includes news, concert information and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://new.music.yahoo.com/bob-marley/"} +{"d:Title": "MTV.com: Bob Marley", "d:Description": "Features music news, album reviews, biography, discography, streaming audio files, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Bob", "url": "http://www.mtv.com/artists/bob-marley/"} +{"d:Title": "Ziggy Marley and the Melody Makers", "d:Description": "The official site for the band. Includes photographs, message board, lyrics and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marley,_Ziggy_and_the_Melody_Makers", "url": "http://www.melodymakers.com/"} +{"d:Title": "Lene Marlin Italian fan-club", "d:Description": "In English and Italian, with updated news, photos, audio, videos, tablatures, lyrics, forum, polls, chat room, charts, and special corners.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marlin,_Lene", "url": "http://www.lene.it/"} +{"d:Title": "Lene Marlin Interactive", "d:Description": "Features videos, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marlin,_Lene", "url": "http://www.lene-marlin.com/"} +{"d:Title": "A Lene Marlin's fan site", "d:Description": "Fan site with emphasis on the photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marlin,_Lene", "url": "http://lenefan.tripod.com/"} +{"d:Title": "Maroon 5", "d:Description": "The official band website has news, audio/video, photographs, message board, biography, tour dates and store. (Need Flash 6 Player to view)", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maroon_5", "url": "http://www.maroon5.com/"} +{"d:Title": "Lyricattack.com: Maroon 5", "d:Description": "Lyrics to all the tracks on \"Songs About Jane.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maroon_5", "url": "http://www.lyricattack.com/m/maroon5lyrics/"} +{"d:Title": "All Music Guide: Maroon 5", "d:Description": "Biography, group members, discography, song highlights and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maroon_5", "url": "http://www.allmusic.com/artist/maroon-5-p529962"} +{"d:Title": "MTV.com: Maroon 5", "d:Description": "Band page has biography, news stories, music videos and ringtones.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maroon_5", "url": "http://www.mtv.com/artists/maroon-5/"} +{"d:Title": "Technique: Marry Me Again, Jane", "d:Description": "Review of the band's second album, \"Tick.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marry_Me_Jane", "url": "http://technique.library.gatech.edu/issues/fall1997/oct17/entertainment11.html"} +{"d:Title": "Absolute Divas: Amanda Marshall", "d:Description": "Contains lyrics, pictures, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marshall,_Amanda", "url": "http://www.absolutedivas.com/amanda/"} +{"d:Title": "The Ultimate Amanda Marshall Homepage", "d:Description": "News, tour dates, multimedia, guitar chords, photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marshall,_Amanda", "url": "http://pages.infinit.net/sonicboy/amanda/"} +{"d:Title": "The Electric Blue Amanda Room", "d:Description": "Includes profile, lyrics, sound files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marshall,_Amanda", "url": "http://www.angelfire.com/wrestling2/amandamarshall/"} +{"d:Title": "AskMen.com - Amanda Marshall", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marshall,_Amanda", "url": "http://www.askmen.com/celebs/women/singer_100/144_amanda_marshall.html"} +{"d:Title": "Canadian Pop Encyclopedia: Amanda Marshall", "d:Description": "Biography, discography, links and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marshall,_Amanda", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/M/Marshall_Amanda.html"} +{"d:Title": "Marshall Dyllon Fans", "d:Description": "Fansite with photos, links, message board, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marshall_Dyllon", "url": "http://www.angelfire.com/country/marshalldyllonfans/"} +{"d:Title": "Marshall Tucker Band", "d:Description": "Official site of the Southern rock group; includes booking information, tour dates, interviews, photos and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marshall_Tucker_Band", "url": "http://www.marshalltucker.com/"} +{"d:Title": "Yahoo Groups: Martika", "d:Description": "An e-mail based discussion group for Martika fans. Includes a message archive.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martika", "url": "http://groups.yahoo.com/group/martika/"} +{"d:Title": "Martika Chilean Web Site", "d:Description": "A fan site with pictures and audio clips from the Vi\u00f1a del Mar Festival (Chile, 1991).", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martika", "url": "http://martika_chile_site.tripod.com/"} +{"d:Title": "IMDb: Martika", "d:Description": "The Internet Movie Database has Martika's filmography as well as some biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martika", "url": "http://www.imdb.com/name/nm0551822/"} +{"d:Title": "Ricky Martin - Nabou.com", "d:Description": "Offers photos, desktop wallpaper, biography, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky", "url": "http://www.nabou.com/celebrities/ricky_martin/"} +{"d:Title": "Ricky Martin", "d:Description": "Official site. Includes news, audio and video clips, biography, photo gallery, interviews, and tour dates. In English and Spanish.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky", "url": "http://rickymartinmusic.com/"} +{"d:Title": "Topix", "d:Description": "Ricky Martin news feed", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky", "url": "http://www.topix.com/rss/who/ricky-martin.xml"} +{"d:Title": "Metacritic: Sound Loaded", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Articles_and_Interviews", "url": "http://www.metacritic.com/music/sound-loaded/ricky-martin"} +{"d:Title": "Ricky Martin The European Experience", "d:Description": "Features news, schedules, photos, reports, magazine reviews and interactive message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Fan_Pages", "url": "http://www.rmtee.com/"} +{"d:Title": "Ricky Martin Madness", "d:Description": "Contains pictures, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Fan_Pages", "url": "http://stardust100287.tripod.com/rickymartin/"} +{"d:Title": "There's Something About Ricky Martin", "d:Description": "Wallpapers, photo galleries, greeting cards, message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Fan_Pages", "url": "http://mysite.verizon.net/vze23549/"} +{"d:Title": "The Ricky Martin Web Ring", "d:Description": "A collection of fan sites about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Fan_Pages", "url": "http://www.webring.org/hub/rickymartin"} +{"d:Title": "Hollie's Ricky Martin Page", "d:Description": "Pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Image_Galleries", "url": "http://www.angelfire.com/il2/rickymartin/index.html"} +{"d:Title": "Abstracts.net: Ricky Martin", "d:Description": "Includes background information, news, picture galleries, a discography, and an online game.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Resources", "url": "http://abstracts.net/ricky-martin/"} +{"d:Title": "Music Olympus: Ricky Martin", "d:Description": "Offers a gallery of pictures, lyrics of famous songs, a biography, wallpaper, screen saver, midi music, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Resources", "url": "http://www.musicolympus.com/rickymartin/"} +{"d:Title": "AskMen.com: Ricky Martin", "d:Description": "Contains pictures, a biography, a commentary and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Resources", "url": "http://www.askmen.com/celebs/men/entertainment/59_ricky_martin.html"} +{"d:Title": "MTV: Ricky Martin", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, bulletin boards, links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martin,_Ricky/Resources", "url": "http://www.mtv.com/artists/ricky-martin/"} +{"d:Title": "Alice Martineau remembrance website", "d:Description": "A site for fans and for people who have never heard of Alice Martineau, with pictures, lyrics, information, links, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martineau,_Alice", "url": "http://www.alice-martineau.com/"} +{"d:Title": "Guardian Unlimited: Alice Martineau", "d:Description": "Questions and answers with Rosanna Greenstreet.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martineau,_Alice", "url": "http://www.theguardian.com/theguardian/2003/feb/08/weekend7.weekend"} +{"d:Title": "John Martyn", "d:Description": "A resource about the singer, songwriter and guitarist. Includes news and releases, tour dates, quotes, featured songs, interviews, reviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martyn,_John", "url": "http://www.johnmartyn.com/"} +{"d:Title": "Big Muff", "d:Description": "Discography, news, interviews, gig list, lyrics and musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martyn,_John", "url": "http://www.johnmartyn.info/"} +{"d:Title": "All Music Guide: John Martyn", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Martyn,_John", "url": "http://www.allmusic.com/artist/john-martyn-mn0000196969"} +{"d:Title": "Richard Marx", "d:Description": "Official site with information about the singer/songwriter, fan club link, newsletter, forum and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marx,_Richard", "url": "http://www.richardmarx.com/"} +{"d:Title": "Waterfalls: Richard Marx Fan Site", "d:Description": "Includes biography, discography, poll, message board, and links. [English and Japanese]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marx,_Richard", "url": "http://www.geocities.co.jp/MusicStar/2373/marx.htm"} +{"d:Title": "Richard Marx", "d:Description": "Fan site with a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marx,_Richard", "url": "http://www.webonautics.com/richardmarx/"} +{"d:Title": "Richard Marx Hazard", "d:Description": "A close look at the Marx song \"Hazard,\" examining its relationship to real events in Hazard, Nebraska.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Marx,_Richard", "url": "http://www.nctc.net/hazard/marx/"} +{"d:Title": "Designer Magazine: Masai", "d:Description": "Anna and Sharon talk about their career, their music, and their Westlife tour.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Masai", "url": "http://designermagazine.tripod.com/MasaiINT1.html"} +{"d:Title": "MTV: Mase", "d:Description": "Includes reviews, news, sound files, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mase", "url": "http://www.mtv.com/artists/mase/"} +{"d:Title": "Grooveyard Records: Alex Masi", "d:Description": "Album and apparel sales. Includes song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Masi,_Alex", "url": "http://www.grooveyardrecords.com/alexmasidangerzone.html"} +{"d:Title": "Marco Masini Central", "d:Description": "Includes complete discography, lyrics, biography and links. In English and Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Masini,_Marco", "url": "http://www.xmission.com/~dderhak/masini/"} +{"d:Title": "African Musicians: Souad Massi", "d:Description": "Profile.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massi,_Souad", "url": "http://www.africanmusiciansprofiles.com/souadm.htm"} +{"d:Title": "Glastonbury Festival 2003: Souad Massi", "d:Description": "Photos from the 2003 Glastonbury Festival in England.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massi,_Souad", "url": "http://www.efestivals.co.uk/festivals/glastonbury/2003/photos-SouadMassi.shtml"} +{"d:Title": "Wrasse Records - Souad Massi", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massi,_Souad", "url": "http://www.wrasserecords.com/artists/info/14.html"} +{"d:Title": "BBC: World Music Awards - Souad Massi", "d:Description": "Biography, music clip, and interview about her nomination for the BBC world music awards (January 2002).", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massi,_Souad", "url": "http://www.bbc.co.uk/radio3/world/awardssouadmassi.shtml"} +{"d:Title": "All Music Guide: Souad Massi", "d:Description": "Discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massi,_Souad", "url": "http://www.allmusic.com/artist/souad-massi-p482596"} +{"d:Title": "Massive Attack Refuge", "d:Description": "Lyrics, a complete discography, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massive_Attack", "url": "http://web.tiscali.it/ma_refuge/"} +{"d:Title": "Massive Attack Discography", "d:Description": "Extensive discography of released material, remixes, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massive_Attack", "url": "http://www.inflightdata.com/"} +{"d:Title": "Massive Attack", "d:Description": "Official site with weblog, pictures, audio, video, forum and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massive_Attack", "url": "http://www.massiveattack.co.uk/"} +{"d:Title": "RollingStone.com: Massive Attack", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massive_Attack", "url": "http://www.rollingstone.com/music/artists/massive-attack"} +{"d:Title": "Red Lines", "d:Description": "History, personnel, discography, video information, concert history, press, downloads, lyrics, pictures, memorabilia, forum and details on the band's other projects. [No longer updated.]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Massive_Attack", "url": "http://www.red-lines.co.uk/"} +{"d:Title": "Metacritic: Ghetto Postage", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Master_P", "url": "http://www.metacritic.com/music/ghetto-postage/master-p"} +{"d:Title": "MTV: Master P", "d:Description": "Features music video clips, album reviews, a behind-the-scenes look at a video shoot and exclusive interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Master_P", "url": "http://www.mtv.com/artists/master-p/"} +{"d:Title": "UnRated Magazine: Matchbox Twenty", "d:Description": "Review and pictures from a Chicago performance.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=96"} +{"d:Title": "Entertainment Ave: Matchbox Twenty", "d:Description": "Review of a concert at The Vic in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/matchbox_twenty/mb053000.htm"} +{"d:Title": "Matchbox Twenty", "d:Description": "Official website. Includes message forums, chat rooms, music, videos, and biographies.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty", "url": "http://matchboxtwenty.com/"} +{"d:Title": "The Un-Official Kyle Cook Fan Club", "d:Description": "Facts, pictures, interviews, wallpaper, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Cook,_Kyle", "url": "http://www.angelfire.com/fl/kylovers/"} +{"d:Title": "Getting Back To Good With Matchbox 20", "d:Description": "Band biography, pictures, tour dates, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://members.tripod.com/~MB20_PARKB/"} +{"d:Title": "Ginger's Real World Of Matchbox 20", "d:Description": "Lyrics, pictures, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/fl/Matchbox20realworld/"} +{"d:Title": "Love Don't Change", "d:Description": "Sound waves, pictures, poll, information, biographies, message board, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/mb/matchboxtwenty/index2.html"} +{"d:Title": "Matchbox 20 Online", "d:Description": "Photos, lyrics, guitar tabs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://members.tripod.com/matchbox20online/"} +{"d:Title": "Matchbox 20 Yourself or Someone Like You", "d:Description": "Includes photos, links, tour dates, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/ak/match20/"} +{"d:Title": "Rachel's Matchbox20", "d:Description": "Information and pictures of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/band/matchbx20/index.html"} +{"d:Title": "Matt's Matchbox Twenty Page", "d:Description": "Images, sound files, videos, chat, forum, lyrics, guitar tablatures, sound clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.mattsmusicpage.com/nmatch.htm"} +{"d:Title": "The Rest Stop", "d:Description": "Information on the band, band members, and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://members.tripod.com/~matchbx20/"} +{"d:Title": "Un-Official Matchbox 20 Headquarters", "d:Description": "Chatroom, lyrics, tour dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/nc/mb20headquarters/"} +{"d:Title": "Smile Again", "d:Description": "Biographies about each band member, pictures, lyrics, articles, chats, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/music2/smileagain20/"} +{"d:Title": "The Burn", "d:Description": "Contains pictures, news, tour dates, polls, biography, lyrics, quotes, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/va2/matchboxtwenty/"} +{"d:Title": "Linda's Matchbox Twenty Page 2001", "d:Description": "News, pictures, biographies, links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/boybands/mb20/"} +{"d:Title": "Argue Til 3am", "d:Description": "Pictures, news, guitar tablature, audio and video clips, articles, quotations, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.arguetil3am.com/"} +{"d:Title": "Matchbox 20: Kody's Back 2 Good", "d:Description": "News, pictures, and information on band members.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.angelfire.com/mi/matchbox20/"} +{"d:Title": "Matchbox Twenty Plus", "d:Description": "A UK-based fan site including lyrics, band information, links, discography, a guestbook, and a message forum.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Fan_Pages", "url": "http://www.matchbox20fans.com/"} +{"d:Title": "The Adam Gaynor Fan Club", "d:Description": "Fan club for the rhythm guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Gaynor,_Adam", "url": "http://www.angelfire.com/fl/adamlovers/"} +{"d:Title": "Fear His Penis: A Rob Thomas Humor Site", "d:Description": "Includes jokes, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Thomas,_Rob", "url": "http://www.angelfire.com/rock/fearhispenis/"} +{"d:Title": "Unofficial Rob Thomas Fan Club", "d:Description": "Information about Rob Thomas including pictures, sounds, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Thomas,_Rob", "url": "http://www.angelfire.com/fl/roblovers/"} +{"d:Title": "PopEntertainment.com: Rob Thomas - This is How a Solo Album Breaks", "d:Description": "The voice of Matchbox Twenty tells Jay S. Jacobs about going it alone with his CD \"Something to Be.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Thomas,_Rob", "url": "http://www.popentertainment.com/robthomas.htm"} +{"d:Title": "UnRated Magazine: Rob Thomas", "d:Description": "Live review from Chicago Vic Theater on April 24, 2005", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Thomas,_Rob", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=209"} +{"d:Title": "Pookie's Place", "d:Description": "Fan site for Brian Yale.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matchbox_Twenty/Yale,_Brian", "url": "http://www.angelfire.com/fl/Pookielover/index.html"} +{"d:Title": "Johnny Mathis", "d:Description": "Official site. Features news, biography, tour information, discography, and fan club articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mathis,_Johnny", "url": "http://www.johnnymathis.com/"} +{"d:Title": "The Johnny Mathis Archives", "d:Description": "Information, including a complete biography, discography, and up-to-date concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mathis,_Johnny", "url": "http://www.thejohnnymathisarchives.com/"} +{"d:Title": "Wikipedia: Matisyahu", "d:Description": "Encyclopedia article includes photos and sound clip.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matisyahu", "url": "http://en.wikipedia.org/wiki/Matisyahu"} +{"d:Title": "The Kosher Spirit: M on M - Hasidic Reggae Superstar", "d:Description": "Autobiographical article by Matisyahu.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matisyahu", "url": "http://www.kosherspirit.com/Article.asp?Issue=7&Article=88"} +{"d:Title": "NPR: Singer Matisyahu, Keeping It Kosher", "d:Description": "Audio from his appearance on the \"World Cafe\" radio show, including live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matisyahu", "url": "http://www.npr.org/templates/story/story.php?storyId=4774427"} +{"d:Title": "Matmos Interview", "d:Description": "Interview with Drew Daniel and Martin Schmidt, by Carlos M. Pozo from angbase magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matmos", "url": "http://www.furious.com/perfect/matmos.html"} +{"d:Title": "Matmos", "d:Description": "Official page includes press coverage, discography, images.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matmos", "url": "http://vague-terrain.com/"} +{"d:Title": "Wendy Matthews Singer", "d:Description": "Netherlands fan site. Includes biography, discography, articles, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Matthews,_Wendy", "url": "http://users.bart.nl/~jad/matthews.html"} +{"d:Title": "Entertainment Ave: The Mavericks", "d:Description": "Review of a concert at The Skyline Stage in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mavericks,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/mavericks/ma082098.htm"} +{"d:Title": "The Mavericks News: Topix", "d:Description": "News about The Mavericks continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mavericks,_The", "url": "http://www.topix.com/who/the-mavericks"} +{"d:Title": "Salon Sharps and Flats: The Mavericks", "d:Description": "Review by John Milward. (April 14, 1998)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mavericks,_The", "url": "http://www.salon.com/1998/04/14/sharps_137/"} +{"d:Title": "CMT: The Mavericks", "d:Description": "Includes biography, list of awards the band has won, discography, audio clips and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mavericks,_The", "url": "http://www.cmt.com/artists/the-mavericks/"} +{"d:Title": "Sony Music's Maxwell Site", "d:Description": "Official site from M's recording label. Has up-to-date (currently not much)information about the still unreleased \"Now\" cd. \"Get to know ya\" video and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell", "url": "http://www.musze.com/"} +{"d:Title": "Ascension of Soul", "d:Description": "News, concert stories from the 1999 tour, interviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell", "url": "http://www.members.tripod.com/Ascension_Of_Soul/"} +{"d:Title": "Yahoo Groups: Nite's Auraqual Family", "d:Description": "A discussion forum for fans of the singer. Includes an events calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell", "url": "http://groups.yahoo.com/group/nitesauraqualfamily/"} +{"d:Title": "Yahoo Groups: Maxwell Fan Forum", "d:Description": "A discussion for forum for fans of the singer. Includes tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell", "url": "http://groups.yahoo.com/group/maxwellfanforum/"} +{"d:Title": "Yahoo! Groups: Maxwell Style", "d:Description": "Photographs, polls, and discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell", "url": "http://groups.yahoo.com/group/MaxwellStyle/"} +{"d:Title": "MTV: Maxwell", "d:Description": "Biography, discography, web site links and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell", "url": "http://www.mtv.com/artists/maxwell/"} +{"d:Title": "Metacritic: Maxwell: Now", "d:Description": "Multiple reviews for Maxwell's Now by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell", "url": "http://www.metacritic.com/music/now/maxwell"} +{"d:Title": "Maxwell Hangs His Urban Suite at Radio City (NY Rock)", "d:Description": "\"Maxwell slipped his 'mellow smooth' to the crowd at Radio City last Thursday night, turning the place into a river of cool, while somehow managing to set the house on fire all at the same time.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell/Articles_and_Interviews", "url": "http://www.nyrock.com/maxwell.htm"} +{"d:Title": "MetroActive Music: Maxwell", "d:Description": "\"Smokin' Grooves\" is a review by Todd S. Inoue of M's show at the Paramount in Oakland, CA during his first major U.S. tour.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell/Articles_and_Interviews", "url": "http://www.metroactive.com/papers/metro/07.03.97/maxwell-9727.html"} +{"d:Title": "Miss FunkyFlyy's interview with Maxwell (1996)", "d:Description": "One of the first interviews with U.S. rhythm and blues singer, writer and producer Maxwell.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Maxwell/Articles_and_Interviews", "url": "http://hem.bredband.net/funkyflyy/maxwell/bio.html"} +{"d:Title": "Max Creek", "d:Description": "The official band site includes a fan forum, show calendar, tapers section, photos, audio, video and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Max_Creek", "url": "http://www.maxcreek.com/"} +{"d:Title": "John Mayer", "d:Description": "Official site. Includes biography, lyrics, performances, sound clips, road journal, and related resources.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John", "url": "http://www.johnmayer.com/"} +{"d:Title": "John Mayer (pop singer)", "d:Description": "An article about John in the open-content encyclopedia, Wikipedia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John", "url": "http://en.wikipedia.org/wiki/John_Mayer_(pop_singer)"} +{"d:Title": "Topix: John Mayer", "d:Description": "Find continually updated news from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John", "url": "http://www.topix.com/who/john-mayer"} +{"d:Title": "AskMen.com: John Mayer", "d:Description": "Pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John", "url": "http://www.askmen.com/celebs/men/entertainment_100/140_john_mayer.html"} +{"d:Title": "MTV: John Mayer", "d:Description": "Video clips, photo gallery, news, up coming TV appearances, interviews, tour dates, and artist updates.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John", "url": "http://www.mtv.com/artists/john-mayer/"} +{"d:Title": "My Stupid Mouth", "d:Description": "John Mayer discussion forums. Discuss anything from John Mayer's latest tour to trading to playing his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Chats_and_Forums", "url": "http://www.mystupidmouth.com/"} +{"d:Title": "Inside The Lines", "d:Description": "Dedicated to the music and life of the artist. Includes tour dates, lyrics, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Fan_Pages", "url": "http://www.angelfire.com/rock3/johnmayer/index.html"} +{"d:Title": "The John Mayer Brithday Project", "d:Description": "A special site created specifically for John's 25th birthday.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Fan_Pages", "url": "http://www.angelfire.com/music5/jmlunchbox/"} +{"d:Title": "Mayerland", "d:Description": "A lot of interesting information about John's gear, his music, his quotes, his albums, his TV appearances, Scotty Crowe and John himself.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Fan_Pages", "url": "http://members.tripod.com/mayer_land77/"} +{"d:Title": "Leo's Lyrics Database: John Mayer", "d:Description": "Offers a variety of John Mayer lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Lyrics", "url": "http://www.leoslyrics.com/artists/4981/"} +{"d:Title": "GuitarTab.com: John Mayer", "d:Description": "A collection of rated John Mayer tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Tablature", "url": "http://www.guitaretab.com/j/john-mayer/"} +{"d:Title": "Ultimate Guitar: John Mayer", "d:Description": "A long list of rated John Mayer tabs and cords for many of his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Tablature", "url": "http://www.ultimate-guitar.com/tabs/john_mayer_tabs.htm"} +{"d:Title": "eTree Community Tracker: John Mayer", "d:Description": "This site is provided by the etree.org community for sharing the live concert recordings of trade friendly artists using the Bit Torrent P2P network.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayer,_John/Trading", "url": "http://bt.etree.org/index.php?cat=92"} +{"d:Title": "Boston Phoenix: Troubled Man", "d:Description": "Review by Charles Taylor of People Get Ready!: The Curtis Mayfield Story.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayfield,_Curtis", "url": "http://www.bostonphoenix.com/alt1/archive/music/reviews/03-07-96/CURTIS_MAYFIELD.html"} +{"d:Title": "BBC: Soul Icon Curtis Mayfield Dies", "d:Description": "Biographical article with photographs and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayfield,_Curtis", "url": "http://news.bbc.co.uk/1/hi/entertainment/579113.stm"} +{"d:Title": "Rock and Roll Hall of Fame: Curtis Mayfield", "d:Description": "Brief biography, timeline, essential recordings, and recommended reading.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayfield,_Curtis", "url": "http://rockhall.com/inductees/curtis-mayfield/"} +{"d:Title": "Salon: Curtis Mayfield", "d:Description": "Tribute article by Jody Rosen.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayfield,_Curtis", "url": "http://www.salon.com/2000/01/07/aprcurtis/"} +{"d:Title": "Children of the Sun", "d:Description": "A Mayte fan site with news, articles, discography, song samples, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayte", "url": "http://members.tripod.com/~mayte24/"} +{"d:Title": "Shockadelica's Experience", "d:Description": "A fan site. Includes Mayte pictures, reviews of her album and singles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayte", "url": "http://www.angelfire.com/me/Shockadelica/"} +{"d:Title": "Mayte.com", "d:Description": "Her official site. With news, discography, an extensive image gallery, video clips, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mayte", "url": "http://www.mayte.com/"} +{"d:Title": "MTV: Mazzy Star", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mazzy_Star", "url": "http://www.mtv.com/artists/mazzy-star/"} +{"d:Title": "Edwin.com", "d:Description": "Official site features news and tour dates, biographies, pictures, sound files and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCain,_Edwin", "url": "http://www.edwin.com/"} +{"d:Title": "Entertainment Ave: Edwin McCain", "d:Description": "Review of a concert at The House of Blues in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCain,_Edwin", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/edwin_mccain/em061998.htm"} +{"d:Title": "Paul McCartney", "d:Description": "Official site featuring information on his latest musical release, as well as news, lyrics, forum, and related links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul", "url": "http://paulmccartney.com/"} +{"d:Title": "MACCA Central", "d:Description": "Extensive news and information on albums, fan clubs, family, interviews, photographs, television appearances, archives, and other related subjects.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul", "url": "http://www.macca-central.com/"} +{"d:Title": "Paul McCartney Paintings", "d:Description": "Photographs, gallery location, press releases, and reviews of Paul's artwork exhibition at Kunstforum L\u00ffz from 1 May to 25 July 1999. Available in English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul", "url": "http://www.siwikultur.de/pmc/indexb.htm"} +{"d:Title": "(4148) McCartney", "d:Description": "Details of a minor planet named in honor of Paul McCartney.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0004148.html"} +{"d:Title": "Art of Paul McCartney", "d:Description": "Exhibition covering his solo art show at the Walker Art Gallery in his hometown of Liverpool. News, photographs, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul", "url": "http://www.liverpoolmuseums.org.uk/walker/exhibitions/mccartney/"} +{"d:Title": "IBDb: Paul McCartney", "d:Description": "List of Broadway productions featuring McCartney's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul", "url": "https://www.ibdb.com/broadway-cast-staff/paul-mccartney-12124"} +{"d:Title": "CNN: McCartney 'Back in the USSR'", "d:Description": "Details of Paul McCartney's concert performance in Red Square.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.cnn.com/2003/WORLD/europe/05/25/russia.mccartney/"} +{"d:Title": "CNN: McCartney and Mills Expecting Baby", "d:Description": "Announcement that Paul McCartney's wife Heather is pregnant with their first child.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://cnn.com/2003/SHOWBIZ/Music/05/28/mills.baby/"} +{"d:Title": "CNN: Baby Girl for ex-Beatle McCartney", "d:Description": "Paul McCartney's wife, Heather Mills-McCartney, has given birth to a girl, the couple's first child.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://cnn.com/2003/SHOWBIZ/Music/10/30/mccartney.baby/"} +{"d:Title": "CNN: Paul McCartney Discusses \"Blackbird Singing\"", "d:Description": "Transcript of the Larry King Live interview.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.cnn.com/TRANSCRIPTS/0106/12/lkl.00.html"} +{"d:Title": "Paul and Donovan", "d:Description": "A transcript of the 1969 recording session that McCartney took part in with Donovan, for the Mary Hopkin album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://davidgray101.tripod.com/PaulandDonovan.html"} +{"d:Title": "Paul McCartney: 1984 Playboy Interview", "d:Description": "Copy of text.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://members.tripod.com/~taz4158/macint.htm"} +{"d:Title": "Paul McCartney: Carry That Weight", "d:Description": "Article about Paul McCartney at 60 by writer Brian W. Fairbanks.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.angelfire.com/oh2/writer/mccartney.html"} +{"d:Title": "BBC News: Sir Paul's Cavern Gig Winners", "d:Description": "News report on the 100 fans who were selected to attend this December 1999 concert.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/558346.stm"} +{"d:Title": "BBC News: Sir Paul Says \"Love Me Do\"", "d:Description": "Church bells ring out to announce that Sir Paul McCartney and former model Heather Mills have married. Details of event and related topics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/2037091.stm"} +{"d:Title": "BBC News: Sir Paul Writes for Jones", "d:Description": "Press release with information on the song that McCartney has written for Tom Jones. Links to related subjects.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/577357.stm"} +{"d:Title": "BBC News: Sir Paul's $2m Cancer Donation", "d:Description": "Press release of McCartney's donation to two US hospitals that cared for his late wife Linda when she was dying from breast cancer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/591591.stm"} +{"d:Title": "Topix: Paul McCartney", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.topix.com/rss/who/paul-mccartney.xml"} +{"d:Title": "Paul McCartney Interview 1967", "d:Description": "Short interview which dealt with the topic of the changing attitudes of the late-Sixties. Originally filmed for television.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.beatlesinterviews.org/db1967.0118.beatles.html"} +{"d:Title": "TimesOnline: Sir Paul McCartney to Split From Wife Heather", "d:Description": "McCartney seeks a trial separation from his wife, Heather Mills.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://entertainment.timesonline.co.uk/tol/arts_and_entertainment/article720009.ece"} +{"d:Title": "CNN: Paul McCartney receives Gershwin Prize, plays hits at White House", "d:Description": "Article about McCartney winning America's highest award for popular music. Also features reader comments.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.cnn.com/2010/POLITICS/06/01/paul.mccartney.white.house/index.html"} +{"d:Title": "CNN: McCartney's ex-wife awarded almost $50 million", "d:Description": "Heather Mills' media comments following divorce settlement.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.cnn.com/2008/SHOWBIZ/03/17/mccartney.mills/index.html"} +{"d:Title": "BBC News: Sir Paul McCartney to marry for third time", "d:Description": "Brief announcement of McCartney's engagement to Nancy Shevell.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.bbc.co.uk/news/uk-13317080"} +{"d:Title": "BBC News: Sir Paul McCartney to write ballet score", "d:Description": "Announcement of McCartney's collaboration with the New York City Ballet company.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.bbc.co.uk/news/entertainment-arts-12565979"} +{"d:Title": "Middlesboro Daily News: Beatle Paul McCartney Weds New York Divorcee", "d:Description": "Copy of original newspaper article about the crowd that formed outside Linda Eastman and McCartney's private civil wedding ceremony.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://news.google.com/newspapers?id=fHBBAAAAIBAJ&sjid=aakMAAAAIBAJ&pg=7260,3089767&dq=paul+mccartney&hl=en"} +{"d:Title": "The Smoking Gun: Paul: Pepper Lyrics Pinched", "d:Description": "Letters and legal documents relating to the McCartney-Zimet court action.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.thesmokinggun.com/documents/celebrity/paul-pepper-lyrics-pinched"} +{"d:Title": "New York Daily News: Paul McCartney didn't break up girlfriend's marriage", "d:Description": "Article presents a short personal profile of McCartney's girlfriend, Nancy Shevell, and her ex-husband, Bruce Blakeman.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.nydailynews.com/gossip/2007/11/08/2007-11-08_paul_mccartney_didnt_break_up_girlfriend.html"} +{"d:Title": "WA Today: Love is all you need", "d:Description": "Paul reminisces about his early life with his first wife, Linda, and a new collection of personal photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.watoday.com.au/ipad-app-only/love-is-all-you-need-20110523-1ezn2.html"} +{"d:Title": "iVillage: Paul McCartney to Marry Nancy Shevell This Weekend", "d:Description": "News article features a brief announcement with details of their wedding plans along with a photograph of the couple.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.ivillage.com/paul-mccartney-marry-nancy-shevell-weekend/1-a-382231"} +{"d:Title": "BBC: Sir Paul McCartney marries U.S. heiress Nancy Shevell", "d:Description": "Details of McCartney's third marriage and wedding reception. Links to related stories and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.bbc.co.uk/news/uk-15230730"} +{"d:Title": "People Magazine: Nancy Shevell, Paul McCartney Married", "d:Description": "Wedding and celebration information, including a few pictures and a mention of some of the guests that attended the event.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.people.com/people/article/0,,20527058,00.html"} +{"d:Title": "MTV: Guitars Blare On Paul McCartney's Driving Rain", "d:Description": "Information and a review of his latest musical release. Related links. (Sept. 26, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Articles_and_Interviews", "url": "http://www.mtv.com/news/articles/1449268/paul-mccartneys-raving-rain.jhtml"} +{"d:Title": "MACCA-L: The Paul McCartney List", "d:Description": "Moderated email list dedicated to the discussion of McCartney's life and work.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Chats_and_Forums", "url": "http://www.macca-l.org/"} +{"d:Title": "McCartney.com", "d:Description": "Links to web sites that feature news and other material related to Paul McCartney and members of his family.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Directories", "url": "http://www.mccartney.com/welcome.html"} +{"d:Title": "The Macca WebRing", "d:Description": "For anyone with a site pertaining to Paul McCartney, The Beatles, or Wings.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Directories", "url": "http://www.webring.org/hub?ring=maccaring"} +{"d:Title": "The Paul McCartney Ring", "d:Description": "Web ring comprised of McCartney and Beatle fans.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Directories", "url": "http://www.webring.org/hub?ring=paulring1"} +{"d:Title": "Waterfalls: Paul McCartney", "d:Description": "Biography, discography, news, message board, and polls. Site in English and Japanese.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Fan_Pages", "url": "http://www.geocities.co.jp/MusicStar/2373/macca.htm"} +{"d:Title": "Is Paul McCartney Dead?", "d:Description": "Rumors of his death and the alleged clues found in the Beatles songs, album covers, and movies.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Fan_Pages", "url": "http://www.angelfire.com/ct/scrmgdasys/index.html"} +{"d:Title": "Plugged: The Unofficial Paul McCartney Homepage", "d:Description": "Provides news, bootlegs, extensive discography section, audio and video files, fans clubs, and other related topics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Fan_Pages", "url": "http://mcbeatle.de/macca/index.html"} +{"d:Title": "YouTube: Tribute to Paul McCartney", "d:Description": "Animated video of McCartney singing \"Yesterday.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Fan_Pages", "url": "http://www.youtube.com/watch?v=HXsjHOpHnFE"} +{"d:Title": "The Paul McCartney Experience", "d:Description": "Tribute site with photos from the 2001 television special, Wingspan.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Image_Galleries", "url": "http://www.angelfire.com/md/newstrek/paulmccartney.html"} +{"d:Title": "Paul McCartney Photo Web Page", "d:Description": "Rare photos of onstage and off, including parties, concerts, press conferences, and candids from the years 1976 through 1997, and other related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Image_Galleries", "url": "http://www.photos.mccartney.net/"} +{"d:Title": "Calicosoldier's Beautiful Knight", "d:Description": "A tribute to Sir Paul McCartney in words and many photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Image_Galleries", "url": "http://members.tripod.com/~Calicosoldier/"} +{"d:Title": "Aardvark, Aaron", "d:Description": "Information about this look-a-like and tribute artist who features a wide selection of Beatles, Wings, and McCartney music.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Impersonators", "url": "http://www.macca2.com/"} +{"d:Title": "Neale, Richard", "d:Description": "Biography, multimedia, and contact information for this sound- and look-alike.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Impersonators", "url": "http://www.richardneale.com/"} +{"d:Title": "Am I Right: Misheard Lyrics: Paul McCartney", "d:Description": "Correction of any misunderstood words in a few of songs written by Paul. Related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Lyrics", "url": "http://www.amiright.com/misheard/artist/mccartneypaul.shtml"} +{"d:Title": "Oldie Lyrics: Paul McCartney", "d:Description": "Complete lyrics arranged by album titles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Lyrics", "url": "http://www.oldielyrics.com/p/paul_mccartney.html"} +{"d:Title": "Macca Central: Lyrics", "d:Description": "All post-1970 albums and songs listed with information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Lyrics", "url": "http://www.macca-central.com/macca-songs/index.php"} +{"d:Title": "IMDb: Paul McCartney", "d:Description": "Filmography including composer, actor, and singer credits, awards, biography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Movies", "url": "http://www.imdb.com/name/nm0005200/"} +{"d:Title": "Wikipedia: Paul McCartney", "d:Description": "Encyclopedia provides detailed biography plus special achievements, records held, internal references to related people and topics, quotes, and external links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Resources", "url": "http://en.wikipedia.org/wiki/Paul_McCartney"} +{"d:Title": "RollingStone: Paul McCartney", "d:Description": "Includes biography, photograph gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Resources", "url": "http://www.rollingstone.com/music/artists/paul-mccartney"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Resources", "url": "http://rockhall.com/inductees/paul-mccartney/"} +{"d:Title": "MTV: Paul McCartney", "d:Description": "Biography, audio and video clips, news, album details and sales.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Resources", "url": "http://www.mtv.com/artists/paul-mccartney/"} +{"d:Title": "Paul McCartney Rocks in Return to The Cavern", "d:Description": "A CNN news report and review of his Internet broadcast return to where the Beatles started in the early 1960s.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Reviews/The_Cavern_Club", "url": "http://www.cnn.com/1999/SHOWBIZ/Music/12/14/mccartney02/index.html"} +{"d:Title": "BBC News: Sir Paul Rolls Back the Years", "d:Description": "Spencer Leigh describes the atmosphere at McCartney's exclusive concert at the Cavern.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Reviews/The_Cavern_Club", "url": "http://news.bbc.co.uk/1/hi/entertainment/565924.stm"} +{"d:Title": "Band on the Run", "d:Description": "Tribute group features the music of McCartney during his solo years and while in the group, Wings. Band biography, schedule, and news, as well as photographs and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Tribute_Bands", "url": "http://www.angelfire.com/retro/bandontherun/"} +{"d:Title": "The McCartney Years", "d:Description": "A three-hour concert through the life of Paul McCartney. Information about the show's lead singer, calendar of events, and contact data.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McCartney,_Paul/Tribute_Bands", "url": "http://www.themccartneyyears.net/"} +{"d:Title": "Delbert McClinton and Friends Sandy Beaches Cruises", "d:Description": "Official site. Includes fan club, cruise information, photographs, tour schedule, music samples, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McClinton,_Delbert", "url": "http://www.delbert.com/"} +{"d:Title": "GeorgeMcClure.net", "d:Description": "Nashville songwriter and recording artist. Includes facts and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McClure,_George", "url": "http://georgemcclure.net/"} +{"d:Title": "George McClure", "d:Description": "Borderlands writer and cowjazz artist from Arizona. Includes video, CDs, MP3, tour dates, fan club, reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McClure,_George", "url": "http://officialgeorgemcclure.com/"} +{"d:Title": "John Mcdermott", "d:Description": "Online fan club includes a concert schedule, newsletter, photo gallery, links and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McDermott,_John", "url": "http://www.johnmcdermott.com/"} +{"d:Title": "Canoe: John McDermott", "d:Description": "Collection of articles from Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McDermott,_John", "url": "http://jam.canoe.com/Music/Artists/M/McDermott_John"} +{"d:Title": "John McEuen", "d:Description": "Official site. Tour schedule, solo and Dirt Band discography, biography, photographs, sale items, stories and memories of the road, film scoring, mailing list, his sons' sites, fan letters, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McEuen,_John", "url": "http://www.johnmceuen.com/"} +{"d:Title": "MetroActive Music: John McEuen", "d:Description": "Focus on his solo career and desire to continue writing, playing, and recording instrumentals. Includes photograph.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McEuen,_John", "url": "http://www.metroactive.com/papers/sonoma/07.18.96/music-9629.html"} +{"d:Title": "IMDb: John McEuen", "d:Description": "Filmography with composer, actor, producer, and stunt credits.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McEuen,_John", "url": "http://www.imdb.com/name/nm0568527/"} +{"d:Title": "Bobby McFerrin", "d:Description": "The official homepage.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFerrin,_Bobby", "url": "http://www.bobbymcferrin.com/"} +{"d:Title": "Bobby McFerrin", "d:Description": "Entry at Singers.com.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFerrin,_Bobby", "url": "http://www.singers.com/jazz/mcferrin.html"} +{"d:Title": "McFerrin, Bobby", "d:Description": "Biography and concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFerrin,_Bobby", "url": "http://www.cosmopolis.ch/english/cosmo6/bobby.htm"} +{"d:Title": "Wikipedia: McFly", "d:Description": "Features band history, discography, and biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFly", "url": "http://en.wikipedia.org/wiki/McFly"} +{"d:Title": "BBC Top of the Pops: McFly Microsite", "d:Description": "Includes news, interviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFly", "url": "http://www.bbc.co.uk/totp/microsites/mcfly.shtml"} +{"d:Title": "McFly Media", "d:Description": "Forum offering discussions as well as video and audio files. [Free registration and minimum participation required to view media files]", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFly", "url": "http://z8.invisionfree.com/McFly_Media/"} +{"d:Title": "Virgin.net: McFly Interview", "d:Description": "An interview with the band conducted by Alex Robertson.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFly", "url": "http://www.virginmedia.com/music/interviews/mcfly.php"} +{"d:Title": "McFly", "d:Description": "Official band site. Includes news, gallery, profiles, samples, diary, and members' area.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFly", "url": "http://supercity.mcfly.com/"} +{"d:Title": "Wikipedia: Dougie Poynter", "d:Description": "Offers a biography and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McFly/Poynter,_Dougie", "url": "http://en.wikipedia.org/wiki/Dougie_Poynter"} +{"d:Title": "Twitter.com: Joey McIntyre Official", "d:Description": "Official Twitter page of singer Joey McIntyre of New Kids on the Block.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey", "url": "http://www.twitter.com/joeymcintyre"} +{"d:Title": "Joey McIntyre", "d:Description": "Official site. News from Joe himself, audio messages, message board, biography, music and acting information and the latest news on appearances, concerts and CD releases.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey", "url": "http://www.joeymcintyre.com/"} +{"d:Title": "U.Magazine: Joey McIntyre", "d:Description": "An article detailing the second rise to fame of Joey McIntyre.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Articles_and_Interviews", "url": "http://www.colleges.com/Umagazine/articles.taf?category=arts&article=F1_nkotb"} +{"d:Title": "Lindzi.com's Joey McIntyre Interview", "d:Description": "Joey discusses Meet Joe Mac, his former fiance, and experience with New Kids on the Block.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Articles_and_Interviews", "url": "http://www.lindzi.com/music"} +{"d:Title": "ABC's 'Dancing with the Stars' to feature 'Bachelorette' Trista Sutter among its celebrities", "d:Description": "Information about the reality show McIntyre is appearing in.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Articles_and_Interviews", "url": "http://www.realitytvworld.com/index/articles/story.php?s=3484"} +{"d:Title": "Yahoo! Groups: Joe McIntyre Club", "d:Description": "Chat room, message board, links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Chats_and_Forums", "url": "http://groups.yahoo.com/group/joemcintyreclub"} +{"d:Title": "Yahoo! Groups: Joe McIntyre Connection", "d:Description": "Over 100 members share photos, a chat room, message board and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Chats_and_Forums", "url": "http://groups.yahoo.com/group/joemcintyreconnection"} +{"d:Title": "Yahoo! Groups: Joe McIntyre", "d:Description": "The original Joe McIntyre list, with over 400 members.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Chats_and_Forums", "url": "http://groups.yahoo.com/group/joemcintyre/"} +{"d:Title": "Hotter Than Fire Joe McIntyre!", "d:Description": "News, interviews, discography, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Fan_Pages", "url": "http://members.tripod.com/joes_venus/"} +{"d:Title": "Joey McIntyre", "d:Description": "About 15 pictures of Joey McIntyre.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Image_Galleries", "url": "http://www.angelfire.com/ns/allstars/joemac.html"} +{"d:Title": "AZ Lyrics - Joey McIntyre", "d:Description": "Forty-two song lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Lyrics", "url": "http://www.azlyrics.com/m/mcintyre.html"} +{"d:Title": "ArtistDirect.com: Joey McIntyre", "d:Description": "Joey McIntyre mp3s, lyrics, pictures, video, news, fan sites, and official merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McIntyre,_Joey/Resources", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,733572,00.html"} +{"d:Title": "Duff's Jungle", "d:Description": "Fan site. Contains a biography, discography, bass tablatures, lyrics, a forum, polls, interviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKagan,_Duff", "url": "http://duffmckaganonline.tripod.com/"} +{"d:Title": "All Music Guide: Maria McKee", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKee,_Maria", "url": "http://www.allmusic.com/artist/p4874"} +{"d:Title": "Folklib.net: Loreena McKennitt", "d:Description": "Includes fan pages, discographies, and online ordering sites.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKennitt,_Loreena", "url": "http://www.folklib.net/index/m/mckennitt_loreena.shtml"} +{"d:Title": "Old-Ways Mailing List", "d:Description": "Subscription information for an email list for fans of Loreena McKennitt.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKennitt,_Loreena", "url": "http://groups.yahoo.com/group/old-ways"} +{"d:Title": "Rambles", "d:Description": "Article about Loreena McKennitt's earliest recording, \"Elemental\" in an online magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKennitt,_Loreena", "url": "http://www.rambles.net/mk_elemental.html"} +{"d:Title": "Jos van Geffen Fan Page", "d:Description": "Includes photos, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKennitt,_Loreena", "url": "http://www.xs4all.nl/~josvg/cits/loreena.html"} +{"d:Title": "Loreena McKennitt", "d:Description": "The official site for Loreena McKennitt and her private record label, Quinlan Road.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKennitt,_Loreena", "url": "http://loreenamckennitt.com/"} +{"d:Title": "McKeown, Erin", "d:Description": "Official site of the funky folk artist. Music, press information, gig schedules, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKeown,_Erin", "url": "http://www.erinmckeown.com/"} +{"d:Title": "Rambles: Distillation", "d:Description": "Review of \"Distillation\" by Ellen Rawson.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKeown,_Erin", "url": "http://www.rambles.net/mckeown_dist.html"} +{"d:Title": "Forever Nikki", "d:Description": "Fan site includes news, profile, photos, an interview, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKibbin,_Nikki", "url": "http://www.forevernikki.iwarp.com/"} +{"d:Title": "Brian McKnight Fans", "d:Description": "News, pictures, videos, biography, concert details, discography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKnight,_Brian", "url": "http://www.brianmcknightfans.com/"} +{"d:Title": "AskMen.com: Brian McKnight Interview", "d:Description": "Interview with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKnight,_Brian", "url": "http://www.askmen.com/celebs/interview_60/95_brian_mcknight_interview.html"} +{"d:Title": "MTV: Brian McKnight", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, bulletin board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKnight,_Brian", "url": "http://www.mtv.com/artists/brian-mcknight/"} +{"d:Title": "McKuen, Rod", "d:Description": "A tribute page to the great poet/songwriter. Includes several of his poems.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McKuen,_Rod", "url": "http://fairyweb.com/mckuen.htm"} +{"d:Title": "SarahMcLachlan.com", "d:Description": "The official web site of Canadian singer/songwriter Sarah McLachlan.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah", "url": "http://www.sarahmclachlan.com/"} +{"d:Title": "MTV: Sarah McLachlan", "d:Description": "Includes news, TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah", "url": "http://www.mtv.com/artists/sarah-mclachlan/"} +{"d:Title": "Drop-D Magazine: Sarah McLachlan", "d:Description": "A collection of interviews, articles and reviews from Drop-D Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Articles_and_Interviews", "url": "http://www.dropd.com/cgi-bin/band_link.cgi?mclachlan_sarah"} +{"d:Title": "AskMen.com : Sarah McLachlan", "d:Description": "Pictures, biography, ratings and links on this talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Articles_and_Interviews", "url": "http://www.askmen.com/celebs/women/singer/16_sarah_mclachlan.html"} +{"d:Title": "Chaos Control Digizine", "d:Description": "Sarah McLachlan and her back-up singer Camille Henderson speak about their work with experimental electronic band Delerium.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Articles_and_Interviews", "url": "http://www.chaoscontrol.com/sarah-mclachlan-2/"} +{"d:Title": "The Fumblers Webring", "d:Description": "A group of sites dedicated to the musical artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Directories", "url": "http://www.webring.org/hub?ring=fumblers"} +{"d:Title": "Sarah McLachlan Webring", "d:Description": "A Sarah McLachlan web ring.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Directories", "url": "http://www.webring.org/hub?ring=sarahm"} +{"d:Title": "Surfacing Webring", "d:Description": "A webring with a collection of Sarah McLachlan related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Directories", "url": "http://www.webring.org/hub?ring=smclachlan"} +{"d:Title": "FTE Mailing List Homepage", "d:Description": "The homepage of Fumbling-Towards-Ecstasy, a mailing list for fans of Sarah McLachlan.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Fan_Clubs", "url": "http://fumblers.org/fte/"} +{"d:Title": "Angela's Sarah McLachlan Club", "d:Description": "From Yahoo! Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Fan_Clubs", "url": "http://groups.yahoo.com/group/angelassarahmclachlanclub/"} +{"d:Title": "I Am The Spark: A Sarah McLachlan Dedication", "d:Description": "A Sarah McLachlan fan site with sounds, interviews, reviews, news, pictures, links, videos, tabs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Fan_Pages", "url": "http://members.tripod.com/~CosmicClaire/fire.htm"} +{"d:Title": "The Sea of Waking Dreams", "d:Description": "A Sarah McLachlan information site, with news, articles, pictures, discography, lyrics, FAQ, and mailing list information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Fan_Pages", "url": "http://www.aquezada.com/sarah/"} +{"d:Title": "Hold On To Yourself", "d:Description": "Includes photos, sound clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Fan_Pages", "url": "http://www.angelfire.com/sc/sarahmclachlan/holdon.html"} +{"d:Title": "Sarah Mclachlan at Giantsequoia", "d:Description": "News, links, pictures, sound clips, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLachlan,_Sarah/Fan_Pages", "url": "http://www.giantsequoia.com/sarahmclachlanframeset.html"} +{"d:Title": "Don McLean Online, American Pie Man's Internet Home", "d:Description": "The domain for the man.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLean,_Don", "url": "http://www.don-mclean.com/"} +{"d:Title": "The Straight Dope: What is Don McLean's song \"American Pie\" all about?", "d:Description": "Cecil Adams column about interpreting the song.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLean,_Don", "url": "http://www.straightdope.com/classics/a3_398b.html"} +{"d:Title": "Understanding American Pie", "d:Description": "Understanding the lyrics of Don McLean's \"American Pie,\" and placing the song in its historical and cultural context.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLean,_Don", "url": "http://www.understandingamericanpie.com/"} +{"d:Title": "Jeff Roteman's American Pie Page", "d:Description": "The meaning of American Pie, as written by Bob Dearborn", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLean,_Don", "url": "http://user.pa.net/~ejjeff/pie.html"} +{"d:Title": "The Ultimate American Pie Website", "d:Description": "Interpretation of Don McLean's classic with insights, resources, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McLean,_Don", "url": "http://www.missamericanpie.co.uk/"} +{"d:Title": "Absolute Divas: Holly McNarland", "d:Description": "Live pictures, a full biography and discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McNarland,_Holly", "url": "http://www.absolutedivas.com/holly/"} +{"d:Title": "Have Dog, Will Travel", "d:Description": "Interview with Holly McNarland, by P. Freako.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McNarland,_Holly", "url": "http://dropd.com/issue/4/McNarland/"} +{"d:Title": "In Music We Trust - Holly McNarland: Stuff", "d:Description": "Review of the album \"Stuff\" by Alex Steininger.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McNarland,_Holly", "url": "http://www.inmusicwetrust.com/articles/08r11.html"} +{"d:Title": "Ectophiles' Guide - Holly McNarland", "d:Description": "Information and comments about Holly and her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McNarland,_Holly", "url": "http://www.ectoguide.org/guide.cgi?alpha/m/mcnarland.holly"} +{"d:Title": "All Music Guide: Holly McNarland", "d:Description": "Offers a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McNarland,_Holly", "url": "http://www.allmusic.com/artist/holly-mcnarland-mn0000960094"} +{"d:Title": "Jam! Showbiz - Holly McNarland", "d:Description": "Various articles by Canoe-affiliated journalists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McNarland,_Holly", "url": "http://jam.canoe.com/Music/Artists/M/McNarland_Holly/"} +{"d:Title": "Songbird", "d:Description": "Pictures, .wavs, MIDIs and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McVie,_Christine", "url": "http://www.angelfire.com/mt/songbird/"} +{"d:Title": "Emerald Eyes", "d:Description": "Biography, discography, audio downloads, discussion and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/McVie,_Christine", "url": "http://www.angelfire.com/tx3/emeraldeyes/"} +{"d:Title": "Earpollution: MC 900 Ft. Jesus", "d:Description": "Interview with Mark Griffin about his musical career and his new album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MC_900_Ft._Jesus", "url": "http://www.earpollution.com/vol3/oct01/profiles/mc900ftjesus/mc900ftjesus.html"} +{"d:Title": "SWerquin.net: MC 900 Ft Jesus", "d:Description": "History and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MC_900_Ft._Jesus", "url": "http://www.swerquin.net/mc900ftjesus.htm"} +{"d:Title": "All Music Guide: MC 900 Ft. Jesus", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MC_900_Ft._Jesus", "url": "http://www.allmusic.com/artist/mc-900-ft-jesus-p71"} +{"d:Title": "MC Lyte", "d:Description": "Official site includes biography, discography, audio/video files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MC_Lyte", "url": "http://www.officialmclyte.com/"} +{"d:Title": "MC Ren Is Back", "d:Description": "Ren's triumph return from the depths of hip hop obscurity.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MC_Ren", "url": "http://www.daveyd.com/renback.html"} +{"d:Title": "Ren - The Villain In Black", "d:Description": "A biography of the Ruthless Villain outlining his albums and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MC_Ren", "url": "http://www.angelfire.com/bc/stacks/page8.html"} +{"d:Title": "MDO en Spanglish", "d:Description": "Information about the band. Site is in 'Spanglish' and Spanish/English mix.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MDO", "url": "http://www.mdoenspanglish.50megs.com/index"} +{"d:Title": "MDO Memories", "d:Description": "Contains news, biographies of past and present members, a discography, audio samples, lyrics, pictures and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MDO", "url": "http://mdomemories.tripod.com/"} +{"d:Title": "David Mead", "d:Description": "Official site includes news, biography, diary and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mead,_David", "url": "http://www.davidmead.com/"} +{"d:Title": "MBM Web", "d:Description": "Official site for Meat Beat Manifesto.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Beat_Manifesto", "url": "http://www.brainwashed.com/mbm/"} +{"d:Title": "Ink 19: Meat Beat Manifesto: In Dub", "d:Description": "Review by Bill Campbell of the dub remix of the RUOK? album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Beat_Manifesto", "url": "http://www.ink19.com/issues/january2004/musicReviews/musicM/meatBeatManifesto.html"} +{"d:Title": "All Music Guide: Meat Beat Manifesto", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Beat_Manifesto", "url": "http://www.allmusic.com/artist/meat-beat-manifesto-p13649"} +{"d:Title": "Meat Loaf Fanclub", "d:Description": "Includes a biography, news on current events, concert information, a live chatroom, and fan club information. [Paid membership required to view most content]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf", "url": "http://www.meatloaf.net/"} +{"d:Title": "The Meat Loaf United Kingdom Fanclub", "d:Description": "Membership information, bi-monthly newsletter, message boards, and live chat.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf", "url": "http://www.mlukfc.com/"} +{"d:Title": "Stoney and Meat Loaf", "d:Description": "The history behind the recordings, track listings, lyrics and a 'Whatever happened to Stoney and Meat Loaf?' section.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf", "url": "http://myweb.tiscali.co.uk/stoneymeat/index.htm"} +{"d:Title": "Meat Loaf News: Topix", "d:Description": "News about Meat Loaf continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf", "url": "http://www.topix.com/who/meat-loaf"} +{"d:Title": "MTV: Meat Loaf", "d:Description": "Includes news, biography, musical influences, audio clips, pictures, music videos, bulletin board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf", "url": "http://www.mtv.com/artists/meat-loaf/"} +{"d:Title": "All Music Guide: Meat Loaf", "d:Description": "Fact sheet, extensive biography, comprehensive discography, related artists, and similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf", "url": "http://www.allmusic.com/artist/meat-loaf-mn0000339624"} +{"d:Title": "Rock Around The World", "d:Description": "'Meat Loaf' Interview with the artist Meat Loaf, also includes reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Articles_and_Interviews", "url": "http://www.ratw.com/issues/18/meatloaf.htm"} +{"d:Title": "Entertainment Avenue", "d:Description": "A concert review of Meat Loaf at the Deer Creek venue in Indiana, USA.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/meat_loaf/ml070996.htm"} +{"d:Title": "Entertainment Avenue", "d:Description": "A concert review of Meat Loaf at The Riviera in Chicago, Illinois, USA.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/meat_loaf/ml1193.htm"} +{"d:Title": "Canoe: Meat Loaf", "d:Description": "Several articles from newspapers in Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/M/Meat_Loaf/"} +{"d:Title": "Meat Loaf Sounds", "d:Description": "An extensive collection of Meat Loaf MIDIs, MP3s, WAVs and other formats.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Downloads", "url": "http://www.rockymusic.org/tags/Meat+Loaf.php"} +{"d:Title": "Republic of Loafdom", "d:Description": "This tribute site is a unique fantasy land with its own government, currency, newspaper, and its unique President, Meat Loaf, who will keep popping up to greet you as you travel around this new world.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Fan_Pages", "url": "http://www.republic-of-loafdom.com/"} +{"d:Title": "Graham's Meat Loaf Pages", "d:Description": "Meat Loaf links and lyrics to all of his studio albums to date.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Lyrics", "url": "http://www.members.tripod.com/~GrahamMcN/meat/meat.htm"} +{"d:Title": "PeatLoaf", "d:Description": "UK Meat Loaf tribute band. Includes news, press, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Tribute_Bands", "url": "http://www.peatloaf.com/"} +{"d:Title": "Meetlaaf", "d:Description": "UK-based comedy tribute show by Tommy Taylor. Videos, history and press.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Loaf/Tribute_Bands", "url": "http://www.tommyltaylor.co.uk/"} +{"d:Title": "MeatPuppets.com", "d:Description": "Official site features an archive of old press and artwork, discography, and news about the members' current activities.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Puppets", "url": "http://www.meatpuppets.com/"} +{"d:Title": "Shooting Star", "d:Description": "Long article about Cris Kirkwood's addiction problems, from the Phoenix New Times.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Puppets", "url": "http://www.phoenixnewtimes.com/1998-11-12/news/shooting-star/"} +{"d:Title": "Phoenix New Times: Lake of Fire", "d:Description": "David Holthouse's open letter to Cris Kirkwood about the ripple effect of his drug addiction. (April 29, 1999)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meat_Puppets", "url": "http://www.phoenixnewtimes.com/1999-04-29/news/lake-of-fire/"} +{"d:Title": "Medeski Martin and Wood", "d:Description": "Official site includes news, show dates, discography, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Medeski_Martin_and_Wood", "url": "http://www.mmw.net/"} +{"d:Title": "Amulet Records", "d:Description": "Billy Martin of the band Medeski Martin and Wood has a new record label. The music is experimental in nature by self-taught instrumentalists who are unique composers, focusing on percussion music.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Medeski_Martin_and_Wood", "url": "http://www.amuletrecords.com/"} +{"d:Title": "JamBands.com", "d:Description": "Interview with John Medeski.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Medeski_Martin_and_Wood", "url": "http://www.jambands.com/features/1999/01/15/medeski-martin-and-wood-a-colorful-palette"} +{"d:Title": "Illy B Art Gallery", "d:Description": "The official art gallery of Billy Martin and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Medeski_Martin_and_Wood", "url": "http://www.billymartin.net/visual-art/"} +{"d:Title": "Entertainment Ave: Motley Crue and Megadeth", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/motley_crue/mc082000.htm"} +{"d:Title": "Megadeth", "d:Description": "Official band news, fan information, releases, auction, and merchandise. History, biography, and pictures of the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://www.megadeth.com/"} +{"d:Title": "Megadeth-The Realms Of Death", "d:Description": "Contains images, band member tree, lyrics interpretations, timeline and a vote poll", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://megadeth.rockmetal.art.pl/"} +{"d:Title": "Megadeth Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://lyrics.rockmagic.net/lyrics/megadeth/"} +{"d:Title": "DavidEllefson.com", "d:Description": "The official site of the Co-founder, and bassist, of Megadeth, David Ellefson. Includes a short biography and discography, as well as information on his book and how to contact him. Flash 7 is required.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://www.davidellefson.com/"} +{"d:Title": "Marty Friedman", "d:Description": "Official site of the lead guitarist in Megadeth. Includes tour schedule, articles and interviews, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://www.martyfriedman.com/"} +{"d:Title": "Ride Deth Realm", "d:Description": "Contains news, tablature, lyrics, sounds, pictures, band information, set-lists, tour dates, links, and a monthly poll.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://webs.ono.com/ride/"} +{"d:Title": "Dave Mustaine Rig", "d:Description": "Features stories behind Megadeth songs, lyrics, tabs, information about Dave Mustaine's equipment and photo galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://www.davemustainerig.com/"} +{"d:Title": "RollingStone.com: Megadeth", "d:Description": "Includes a biography, discography, photos, articles, videos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://www.rollingstone.com/music/artists/megadeth"} +{"d:Title": "MTV: Megadeth", "d:Description": "Band news, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "http://www.mtv.com/artists/megadeth/"} +{"d:Title": "Yahoo! Music Megadeth", "d:Description": "Albums, reviews, latest news, MP3s, music videos, photos, biography, lyrics, links, and community.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth", "url": "https://music.yahoo.com/artist/megadeth/"} +{"d:Title": "About.com: Interview with Dave Mustaine", "d:Description": "An interview with the singer/guitarist about the Gigantour, the video compilation DVD Arsenal of Megadeth and working on new songs in the studio.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth/Interviews", "url": "http://heavymetal.about.com/od/interviews/a/davemustaine.htm"} +{"d:Title": "Metal Rules.com: Interview with Dave Mustaine and Al Pitrelli", "d:Description": "In an interview conducted by Arto Lehtinen and Marko Syrj\u00e4l\u00e4, the band members Dave Mustaine and Al Pitrelli speak about topics such as piracy and joining Sanctuary records.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth/Interviews", "url": "http://www.metal-rules.com/interviews/MegadethHeros.htm"} +{"d:Title": "MetalJazz.com: Interview with Dave Mustaine", "d:Description": "The band leader gets asked about his equipment, jazz and pro wrestling.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth/Interviews", "url": "http://www.metaljazz.com/2008/07/interview_and_scene_observatio.php"} +{"d:Title": "Knac: Interview with Dave Mustaine", "d:Description": "The banleader gets asked about doing interviews, the internet, giving advises to aspiring metal musicians and his appearance in the movie Some Kind of Monster.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth/Interviews", "url": "http://knac.com/article.asp?ArticleID=6281"} +{"d:Title": "Rockmagic.net", "d:Description": "Numerous guitar tabs, guitar chords and bass tablatures for fifty four songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Megadeth/Tablature", "url": "http://www.rockmagic.net/guitar-tabs/megadeth/"} +{"d:Title": "Randy Meisner Discography", "d:Description": "Singles, albums, and guest appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meisner,_Randy", "url": "http://www.eaglesfans.com/randy-meisner-discography/"} +{"d:Title": "All Music Guide: Randy Meisner", "d:Description": "Profile, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meisner,_Randy", "url": "http://www.allmusic.com/artist/p4886"} +{"d:Title": "Meja Official Website", "d:Description": "Includes news, biography, discography, audio and video clips, photos, poems, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meja", "url": "http://www.meja.net/"} +{"d:Title": "Yahoo Groups: Meja", "d:Description": "An e-mail based discussion list for Meja fans. Hosted by Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Meja", "url": "http://groups.yahoo.com/group/meja/"} +{"d:Title": "Club Mekon", "d:Description": "Fan site for one of the longest-running British punk rock bands, formed in 1977 by a group of Leeds University art students. Includes profiles and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mekons", "url": "http://www.mekons.de/mekonhom.htm"} +{"d:Title": "Melanie's Place", "d:Description": "Includes pictures, news, appearances, discussion list real audio clips, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melanie", "url": "http://www.patswayne.com/melanie/"} +{"d:Title": "Melanie-Music", "d:Description": "Features news, discography, news, lyrics, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melanie", "url": "http://www.melanie-music.org/"} +{"d:Title": "Melanie Safka", "d:Description": "Official site with the artist's weblog, tour schedule, message forum (free registration required) and music sales.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melanie", "url": "http://www.melaniesmusic.com/"} +{"d:Title": "All Music Guide: Melanie", "d:Description": "Contains biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melanie", "url": "http://www.allmusic.com/artist/melanie-mn0000409670"} +{"d:Title": "John Mellencamp", "d:Description": "Official site includes news, tour dates and information, discography with lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mellencamp,_John_Cougar", "url": "http://mellencamp.com/"} +{"d:Title": "Mellenhead's Page", "d:Description": "Fan site features news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mellencamp,_John_Cougar", "url": "http://members.tripod.com/~Mellenhead/JCMindex.html"} +{"d:Title": "Rollingstone.com: John Mellencamp", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mellencamp,_John_Cougar", "url": "http://www.rollingstone.com/music/artists/john-mellencamp"} +{"d:Title": "Rock and Roll Hall of Fame: John Mellencamp", "d:Description": "Biography and career highlights for the 2008 inductee.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mellencamp,_John_Cougar", "url": "http://rockhall.com/inductees/john-mellencamp/"} +{"d:Title": "A Melt-Banana Fan Site", "d:Description": "Fan site containing information, multimedia files, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melt-Banana", "url": "http://meltbanana.freewebspace.com/"} +{"d:Title": "Melt-Banana", "d:Description": "Official site. Contains history, discography and show schedule. [English and Japanese]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melt-Banana", "url": "http://www.geocities.jp/azaplink/mb/mxbx.html"} +{"d:Title": "All Music Guide: Melt Banana", "d:Description": "Includes a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melt-Banana", "url": "http://www.allmusic.com/artist/melt-banana-mn0000412047"} +{"d:Title": "Katie Melua", "d:Description": "Official site, biography, photos, discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melua,_Katie", "url": "http://www.katiemelua.com/"} +{"d:Title": "BBC News: New Music - Katie Melua", "d:Description": "Georgian jazz/blues singing sensation Katie Melua talks about her rapid rise to stardom - helped by Terry Wogan.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melua,_Katie", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/3243501.stm"} +{"d:Title": "R.O.C. Linkbase", "d:Description": "Directory of Melvins links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melvins", "url": "http://www.theroc.org/mus-link/bands/m/melvins.htm"} +{"d:Title": "The Melvins", "d:Description": "Official website of the Melvins. A few rare live MP3s covers for download, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melvins", "url": "http://www.melvins.com/"} +{"d:Title": "TheMelvins.net: A Melvins Fan-Site", "d:Description": "Contains a biography, lyrics, pictures, sounds, MP3s, tablatures, discography, articles, interviews, reviews, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melvins", "url": "http://www.themelvins.net/"} +{"d:Title": "The Melvins", "d:Description": "Contains articles, interviews, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melvins", "url": "http://www.angelfire.com/sk/seattlebands/melvins.html"} +{"d:Title": "Yahoo! Groups melvinsarmy2000", "d:Description": "A club for Melvins fans to join and interact with each other.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Melvins", "url": "http://groups.yahoo.com/group/melvinsarmy2000/"} +{"d:Title": "LetsSingIt.com: Maria Mena", "d:Description": "Offers lyrics for songs by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mena,_Maria", "url": "http://www.letssingit.com/maria-mena-tqmcm.html"} +{"d:Title": "Maria Mena", "d:Description": "Official site by Sony Music. Audio samples, videos, news, photographs and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mena,_Maria", "url": "http://mariamena.no/"} +{"d:Title": "MTV.com - Maria Mena", "d:Description": "Artist profile with audio samples, videos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mena,_Maria", "url": "http://www.mtv.com/artists/maria-mena/"} +{"d:Title": "Slipcue: Sergio Mendes Discography", "d:Description": "Profile and discography with some album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mendes,_Sergio", "url": "http://www.slipcue.com/music/brazil/mendes.html"} +{"d:Title": "Space Age Pop Music: Sergio Mendes", "d:Description": "Biography of Sergio Mendes and the history of his band, Brasil '66.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mendes,_Sergio", "url": "http://www.spaceagepop.com/mendes.htm"} +{"d:Title": "Sergio Mendes: \"The Swinger From Rio\"", "d:Description": "Review of one of his earliest albums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mendes,_Sergio", "url": "http://www.317x.com/albums/m/sergiomendes/card.html"} +{"d:Title": "Cover Art:\u00a0 Sergio Mendes and Brasil 66: \"Look Around\"", "d:Description": "Track listing and photograph of the front and back cover of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mendes,_Sergio", "url": "http://tralfaz-archives.com/coverart/M/mendes.html"} +{"d:Title": "Brazil: \"A Night in Brasil '99\"", "d:Description": "press release of this December 1999 concert.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mendes,_Sergio", "url": "http://www.brazzil.com/prmnov98.htm"} +{"d:Title": "William Morris Agency: Sergio Mendes", "d:Description": "An international talent agency provides his biography in PDF format.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mendes,_Sergio", "url": "http://www.wma.com/sergio_mendes/summary/"} +{"d:Title": "All Music Guide: Men At Work", "d:Description": "Provides a biography, discography, photo, song highlights, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Men_at_Work", "url": "http://www.allmusic.com/artist/men-at-work-p4894"} +{"d:Title": "Pieces of Paradise: The Men They Couldn't Hang", "d:Description": "Official site includes news, history, reviews and interviews, pictures, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Men_They_Couldn't_Hang,_The", "url": "http://www.tmtch.net/"} +{"d:Title": "All Music Guide: The Men They Couldn't Hang", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Men_They_Couldn't_Hang,_The", "url": "http://www.allmusic.com/artist/the-men-they-couldnt-hang-mn0000474215"} +{"d:Title": "Yahoo Groups: FHChildren", "d:Description": "Fan email list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://groups.yahoo.com/group/FHChildren/"} +{"d:Title": "NatalieMerchant.com", "d:Description": "Official site. Offers news, timeline, questions and answers, image gallery and a chat room.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://www.nataliemerchant.com/"} +{"d:Title": "Ectophiles' Guide: Natalie Merchant", "d:Description": "Information and comments about Natalie and her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://www.ectoguide.org/guide.cgi?alpha/m/merchant.natalie"} +{"d:Title": "For Her Children", "d:Description": "Mailing list related to Natalie Merchant.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://launch.groups.yahoo.com/group/FHChildren/"} +{"d:Title": "Gregg's Natalie Merchant page", "d:Description": "Paintings and stories related to Natalie.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://gwagener.tripod.com/natalie.html"} +{"d:Title": "Natalie Merchant Is My Goddess", "d:Description": "Offers image galleries and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://denia.tripod.com/merchant.html"} +{"d:Title": "AskMen.com: Natalie Merchant", "d:Description": "Pictures, short biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://www.askmen.com/celebs/women/singer_100/142_natalie_merchant.html"} +{"d:Title": "MTV: Natalie Merchant", "d:Description": "News, biography, musical influences, audio clips, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merchant,_Natalie", "url": "http://www.mtv.com/artists/natalie-merchant/"} +{"d:Title": "Mercury Rev", "d:Description": "Official site, with news, tour details, discography, gigography, sound clips, photos and chat areas. Also regular exclusives and competitions.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mercury_Rev", "url": "http://www.mercuryrev.com/"} +{"d:Title": "Metacritic: All Is Dream", "d:Description": "Multiple reviews by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mercury_Rev", "url": "http://www.metacritic.com/music/all-is-dream/mercury-rev"} +{"d:Title": "Not Quite the Playboy Interview", "d:Description": "An interview by Daniel Ewacha.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merlin", "url": "http://dropd.com/issue/10/MerlinInterview/"} +{"d:Title": "Tift Merrit", "d:Description": "Artist's official site includes song clips, biography, news, tour information, booking information, videos, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merritt,_Tift", "url": "http://www.tiftmerritt.com/"} +{"d:Title": "MusicWorld: Tift Merritt", "d:Description": "Album review of Bramble Rose.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Merritt,_Tift", "url": "http://www.bmi.com/news/entry/233329"} +{"d:Title": "Official Mesh Page", "d:Description": "Official English site for Mesh - somewhere between Synthpop and EBM/Industrial.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mesh", "url": "http://www.mesh.co.uk/"} +{"d:Title": "Jim Messina", "d:Description": "Official site with discography of his work in Buffalo Springfield, Poco, Loggins and Messina, and solo recordings. Also provides examples of his art work.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Messina,_Jim", "url": "http://www.jimmessina.com/"} +{"d:Title": "Songwriters Performance Workshop", "d:Description": "Information about four and six-day workshops for singer songwriters and performing artists, created and facilitated by Jimmy Messina.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Messina,_Jim", "url": "http://www.songwritersperformanceworkshop.com/"} +{"d:Title": "MEST", "d:Description": "Official site with news items, track downloads, and social media links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mest", "url": "http://theofficialmest.com/"} +{"d:Title": "Metallica", "d:Description": "Official site features news, tour dates, fan club, forum, chat, timeline, FAQ, pictures and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.metallica.com/"} +{"d:Title": "MetalliZone", "d:Description": "News, forum, tour dates, videos, pictures, wallpapers, and tablature. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.musicfanclubs.org/metallica/"} +{"d:Title": "Metboard - Metallica Forum", "d:Description": "A Metallica forum that covers the latest events and news in the world of Metallica, as well as general discussions.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.metboard.com/"} +{"d:Title": "Metallichicks", "d:Description": "A forum for female Metallica fans.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://com4.runboard.com/bmetallichicks"} +{"d:Title": "LiveMetallica.com", "d:Description": "Official site that offers livve mp3 downloads from Metallica concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.livemetallica.com/"} +{"d:Title": "Music Olympus: Metallica", "d:Description": "Gallery of pictures, song lyrics, music, video, biography, merchandise, tablatures, posters, wallpaper, and books.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.musicolympus.com/metallica/index.htm"} +{"d:Title": "Metallica News: Topix", "d:Description": "News about Metallica continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.topix.com/who/metallica"} +{"d:Title": "Topix: Metallica", "d:Description": "News about Metallica, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.topix.com/rss/who/metallica.xml"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Metallica", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://sfloman.com/metallica.html"} +{"d:Title": "MusicMight: Metallica", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.musicmight.com/artist/united+states/california/los+angeles/metallica"} +{"d:Title": "RollingStone.com: Metallica", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.rollingstone.com/music/artists/metallica"} +{"d:Title": "Rock and Roll Hall of Fame: Metallica", "d:Description": "Biography, career highlights, pictures and videos for the 2009 inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://rockhall.com/inductees/metallica/"} +{"d:Title": "Setlist.fm: Metallica", "d:Description": "User-maintained collection of tour setlists and song statistics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.setlist.fm/setlists/metallica-3bd680c8.html"} +{"d:Title": "MTV: Metallica", "d:Description": "MTV's Metallica site features music video clips, album reviews, and exclusive news features.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica", "url": "http://www.mtv.com/artists/metallica/"} +{"d:Title": "The Metallica Club", "d:Description": "The official Metallica fanclub website.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Clubs", "url": "http://www.metallica.com/metclub/metclub-more-info.asp"} +{"d:Title": "The Beth Page", "d:Description": "Features pictures, videos and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/on3/beth/index.html"} +{"d:Title": "Dave and Dave's Metallica Web Page", "d:Description": "Includes tabs, sounds, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/ok2/metallicats/index.html"} +{"d:Title": "Sandro's Metallica Page", "d:Description": "Fan page with sounds, tabs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://members.tripod.com/page64/index-2.html"} +{"d:Title": "Insanity Palace of Metallica", "d:Description": "Features news, lyrics, lyric theories, reviews, message boards, links, complete tour archive, quotes, virtual reality, faq, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.ipom.com/"} +{"d:Title": "Metallica For All", "d:Description": "Includes MP3, lyrics, and links to tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.metmusic.8m.com/"} +{"d:Title": "Damage, Inc.", "d:Description": "Includes information on members including Cliff, discography, photos, plus original art, and pushead.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/ny/Sistinas/index.html"} +{"d:Title": "Encyclopedia Metallica", "d:Description": "Large Metallica site with tablatures, updated news, free newsletter, quiz, photos, illustrated discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.encycmet.com/"} +{"d:Title": "For Whom The Bell Tolls", "d:Description": "Chilean Metallica with rare/live mp3s, metallica themes, old band pictures, chat, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://members.tripod.com/city_2/"} +{"d:Title": "Metallica", "d:Description": "Contains lyrics, midi, real audio, and links. Graphics Intensive.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/ms/rollysmusic/metallica.html"} +{"d:Title": "Metallica", "d:Description": "Features history, tablatures and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/de/cend/METALLICA.html"} +{"d:Title": "All Metallica", "d:Description": "Includes news, lyrics, biographies, pictures, discography, and videography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.allmetallica.com/"} +{"d:Title": "Carl's Metallica Page", "d:Description": "Band biographies, pictures, lyrics, and fonts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/rock3/metallica14/"} +{"d:Title": "Metallica Page", "d:Description": "Lyrics, guitar tablatures, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://thebestmetallicapage.tripod.com/"} +{"d:Title": "Metallica Archive", "d:Description": "Has lyrics, RealAudio, biographies, tablatures, history, quotes, interpretations, videoclips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/mn/metarchive/aframez.html"} +{"d:Title": "Thru the Never", "d:Description": "Site with music, photos, art, biography, discography, chatroom, message boards, media room, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/tx2/met/index.html"} +{"d:Title": "Metallica", "d:Description": "Contains lyrics, albums, biography, links, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/ia/MichelleMcLean/metallica.html"} +{"d:Title": "Metallica", "d:Description": "Metallica pictures, polls, MIDIs, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/band/poopness/"} +{"d:Title": "The Ultimate Metallica Site", "d:Description": "Pictures, news, tourdates and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://metmaster2001.tripod.com/"} +{"d:Title": "The Site that Jarge Built", "d:Description": "Pictures, lyrics, tablature, information, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://jarge0.tripod.com/thesitethatjargebuilt/index.html"} +{"d:Title": "The Unforgiven", "d:Description": "Tribute with history, biographies, lyrics, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://members.tripod.com/metalhead30/"} +{"d:Title": "Jantzen's Metallica Page", "d:Description": "Full biographies, news, lyrics, and mp3s.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/tx4/metallica00000/"} +{"d:Title": "Metallica fan art", "d:Description": "Metallica fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/music2/bigbigmetal/"} +{"d:Title": "Metallica Mayhem", "d:Description": "Lyrics, biographies, history, store, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/rock/metallicaismayhem/index.html"} +{"d:Title": "The 4 Horsemen", "d:Description": "News, pictures, discography, message board and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.4horsemen.4t.com/"} +{"d:Title": "Mark's Metallica Homepage", "d:Description": "News, tabs, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://marcos94.tripod.com/"} +{"d:Title": "Metallica PhotoArchive", "d:Description": "An archive of unofficial and fan photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.angelfire.com/mt2/metsite/"} +{"d:Title": "Metallica DVD", "d:Description": "Collector's guide to videos and DVDs featuring the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.metallicadvd.com/"} +{"d:Title": "The unofficial Metallica page", "d:Description": "A site dedicated to trading, collecting and chatting about Metallica with a huge worldwide community.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Fan_Pages", "url": "http://www.metpage.org/"} +{"d:Title": "Metallica", "d:Description": "Pictures of band members, logos, and album covers.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Image_Galleries", "url": "http://www.1metallica.s5.com/"} +{"d:Title": "Rockmagic.net: Metallica Lyrics", "d:Description": "Metallica song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/metallica/"} +{"d:Title": "Metallica Lyrics", "d:Description": "All Metallica lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Lyrics", "url": "http://members.tripod.com/d_dauksa/"} +{"d:Title": "Metallica Lyrics Site", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Lyrics", "url": "http://www.angelfire.com/wizard/all-lyrics/main_metallica.html"} +{"d:Title": "Lyrics Freak: Metallica", "d:Description": "An alphabetical listing of Metallica Lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Lyrics", "url": "http://www.lyricsfreak.com/m/metallica/"} +{"d:Title": "Metallica Guitar Tabs", "d:Description": "Guitar and bass tablatures, and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Tablatures", "url": "http://www.rockmagic.net/guitar-tabs/metallica/"} +{"d:Title": "Metallica Tabs", "d:Description": "An alphebetical listing of Metallica tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Tablatures", "url": "http://www.guitaretab.com/m/metallica/"} +{"d:Title": "Big Bass Tabs: Metallica", "d:Description": "Bass tablature sorted by song name.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Tablatures", "url": "http://www.bigbasstabs.com/m/metallica_bass_tabs.html"} +{"d:Title": "Misery", "d:Description": "Canadian Metallica tribute band that has toured worldwide. Gig dates, pictures, sound and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Metallica/Tribute_Bands", "url": "http://www.metallicatribute.com/"} +{"d:Title": "Who 2 - Tommy Lee Profile", "d:Description": "Short biography and basic facts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Methods_of_Mayhem/Lee,_Tommy", "url": "http://www.who2.com/bio/tommy-lee"} +{"d:Title": "Method Man", "d:Description": "Includes a biography of the rap artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Method_Man", "url": "http://www.angelfire.com/mo/realHiphop/methodman.html"} +{"d:Title": "MethodMan_Fan", "d:Description": "Includes pictures and lyrics for Method Man and the Wu-Tang Clan.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Method_Man", "url": "http://www.members.tripod.com/methodman_fan/"} +{"d:Title": "Method Man News: Topix", "d:Description": "News about Method Man continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Method_Man", "url": "http://www.topix.com/who/method-man"} +{"d:Title": "MTV.com: Method Man", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Method_Man", "url": "http://www.mtv.com/artists/method-man/"} +{"d:Title": "Mew", "d:Description": "Official site with news, show dates, band biography, discography, lyrics, forum, audio and video clips, and pictures. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mew", "url": "http://www.mewsite.com/"} +{"d:Title": "Roddy's Irish Music Show: Mexican Pets", "d:Description": "Interview with Pat Clafferty.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mexican_Pets", "url": "http://www.almostfabulous.com/rc/interviews/mexicanpets.php"} +{"d:Title": "George Michael", "d:Description": "Official site includes news, audio and video files, discography, lyrics, history, pictures, and articles.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George", "url": "http://www.georgemichael.com/"} +{"d:Title": "RollingStone.com: George Michael", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George", "url": "http://www.rollingstone.com/music/artists/george-michael"} +{"d:Title": "MTV Online: George Michael", "d:Description": "News, audio clips, album details, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George", "url": "http://www.mtv.com/artists/george-michael/"} +{"d:Title": "BBC: George Michael Video Case Dismissed", "d:Description": "An attempt by an undercover Los Angeles policeman to sue George Michael has been thrown out by a court in California.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/world/americas/646004.stm"} +{"d:Title": "BBC: George Michael Buys Lennon's Piano", "d:Description": "George Michael pays \u00a31.45 million for the piano on which John Lennon wrote Imagine and vows to keep it in the UK.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/974485.stm"} +{"d:Title": "BBC: Michael and Pavarotti Double Act", "d:Description": "George Michael teams up with Luciano Pavarotti to perform a duet at the star tenor's annual charity concert.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/774172.stm"} +{"d:Title": "CNN.com: George Michael Denied Bid to Choose Sentence", "d:Description": "George Michael requests to fulfill his sentence by delivering meals to AIDS patients but is denied.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/News/9811/24/showbuzz/#story4"} +{"d:Title": "CNN.com: George Michael Spoofs Lewd Conduct Incident", "d:Description": "Brief report on the 'Outside' video.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/News/9811/02/showbuzz/#story5"} +{"d:Title": "CNN.com: George Michael Cancels Plans for World Tour", "d:Description": "George Michael cancels tour plans due to personal traumas.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/News/9809/04/showbuzz/#story2"} +{"d:Title": "CNN.com: George Michael Acknowledges Homosexuality", "d:Description": "Article about George Michael's CNN interview, with sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/9804/11/george.michael/index.html"} +{"d:Title": "BBC: Michael Brands Cliff Campaign 'Vile'", "d:Description": "George Michael has attacked the campaign to make Sir Cliff Richard's Millennium Prayer the Christmas number one as \"vile.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/558321.stm"} +{"d:Title": "BBC: Radio DJ Debut for George Michael", "d:Description": "George Michael makes his debut as a radio DJ by co-hosting a show on London's Capital FM. [Scroll down for story]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/556828.stm"} +{"d:Title": "BBC: GeorgeMichael's Red Light Video", "d:Description": "George Michael goes into Amsterdam's red light district in his latest video, \"Roxanne,\" which shows real-life prostitutes at work in Amsterdam.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/536673.stm"} +{"d:Title": "BBC: George's NetAid Set Gets BBC Screening", "d:Description": "The BBC is allowed to air part of GM's NetAid performance.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/472839.stm"} +{"d:Title": "BBC: George Michael Blocks BBC NetAid Show", "d:Description": "The BBC says it is \"disappointed\" after George Michael refused to let the corporation show his performance at the weekend's NetAid charity show.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/471335.stm"} +{"d:Title": "CNN: Whose Sex Does George Michael Want?", "d:Description": "Summary of a British tabloid interview.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/News/9909/20/showbuzz/#story3"} +{"d:Title": "BCC: George Michael Faces Second Lawsuit", "d:Description": "George Michael says he is now facing two lawsuits totalling $20 million from the LA police officer who arrested him for lewd behaviour.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/450799.stm"} +{"d:Title": "BBC: George Michael Offers Tabloid Deal", "d:Description": "GM offers to speak openly to the UK's tabloid newspapers in return for compassionate coverage of a charity concert.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/449376.stm"} +{"d:Title": "BBC: George Michael Faces $10 Million Lawsuit", "d:Description": "A Beverly Hills police officer involved in the arrest of George Michael for lewd behaviour is suing the singer for alleged slander.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/446721.stm"} +{"d:Title": "CNN Interview Transcript", "d:Description": "Transcript of a CNN interview.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://george.michael.szm.com/Esection/E1998cnn.html"} +{"d:Title": "David Letterman Interview", "d:Description": "Complete transcript of George Michael's appearance on Letterman, with RealAudio recording of the interview.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://george.michael.szm.com/Esection/E1998letterm.html"} +{"d:Title": "JAM! Showbiz: George Michael", "d:Description": "Archive of articles from the Associated Press and Toronto Sun, by a Canadian entertainment news website.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/M/Michael_George/"} +{"d:Title": "BBC Radio 4: Desert Island Discs, George Michael", "d:Description": "Audio of George's choice of eight tracks, while retracing his life with Kirsty Young.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews/Interviews", "url": "http://www.bbc.co.uk/programmes/b008006s"} +{"d:Title": "BBC: Songs From the Last Century", "d:Description": "Review by Nigel Packer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews/Reviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/548614.stm"} +{"d:Title": "Salon.com: Man in a Minor Key", "d:Description": "Review of \"Older.\" (May 20, 1996)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Articles_and_Interviews/Reviews", "url": "http://www.salon.com/1996/05/20/michael960520/"} +{"d:Title": "George Michael's Santa Barbara Home", "d:Description": "Pictures and plans of the house he owned from 1989 to 1996. Site by Hickman Designs, who built the house.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Biographies", "url": "http://hickmandesigns.com/2Mt.Calvary/MC.htm"} +{"d:Title": "The Box of Fame", "d:Description": "Slovak fan page with English and German sections. Press transcripts, TV and radio interviews, transcripts of two entire Wham! and GM books, excerpts from \"Bare\" and unofficial biography \"Older\", merchandise lists, and collection of midi songs and RealAudio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Biographies", "url": "http://george.michael.szm.com/"} +{"d:Title": "George Michael Midi Files", "d:Description": "A few Wham! and George Michael midi songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Downloads", "url": "http://easyweb.easynet.co.uk/~mbull/HTML/george.htm"} +{"d:Title": "Waterfalls: George Michael Fan Site", "d:Description": "Japanese fan site, in English and Japanese. Biography, discography, poll, links, message board, and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.geocities.co.jp/MusicStar/2373/gm.htm"} +{"d:Title": "Spark's GM Site", "d:Description": "Image gallery and songs in midi format.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.angelfire.com/bc/SparkaMu/"} +{"d:Title": "Yours Only George", "d:Description": "A very large collection of George Michael website links, as well as news, message board, chatroom, classified ad board to buy and sell GM items, and feature articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.yogworld.com/"} +{"d:Title": "George Michael Universe", "d:Description": "News page, FAQ, discography with RealAudio clips, videos in RealVideo, mp3 player skin, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.angelfire.com/tv/GeorgeMichael/"} +{"d:Title": "Freedom 98", "d:Description": "Biography, discography with lyrics, music downloads, trivia and links in Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://members.tripod.com/~freedom98/"} +{"d:Title": "Pavarotti and Friends 2000", "d:Description": "Fans relate experiences from going to the Modena concert.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.cyprusjack.com/modena/"} +{"d:Title": "Here, There and Everywhere", "d:Description": "A group of fans comes together for the tribute concert for Linda McCartney in April 1999... and creates a website to remember the experience.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.cyprusjack.com/london.html"} +{"d:Title": "Keeping the Faith", "d:Description": "Fan tribute to George Michael with discography, lyrics, news, message board, trivia, items for sale/trade and links to other sites.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.angelfire.com/ca/LadyMisty/faith.html"} +{"d:Title": "Box of Fame: George Michael", "d:Description": "Slovakian fan page with English and German sections. Includes lyrics, discography, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://george.michael.szm.com/"} +{"d:Title": "George Michael Live Tour Archive", "d:Description": "News, list of shows with setlists, list of media appearances, and career calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Michael,_George/Fan_Pages", "url": "http://www.georgemichaelconcerts.co.uk/"} +{"d:Title": "Midnight Oil", "d:Description": "Official site includes news, history, discography, lyrics, sound files, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Midnight_Oil", "url": "http://www.midnightoil.com/"} +{"d:Title": "Entertainment Ave: Midnight Oil", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Midnight_Oil", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/midnight_oil/mo101096.htm"} +{"d:Title": "Midnight Syndicate", "d:Description": "Official website of the Ohio-based band, with news, interviews, and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Midnight_Syndicate", "url": "http://www.midnightsyndicate.com/"} +{"d:Title": "Midnight Syndicate", "d:Description": "Interview with Gavin Goszka from \"Mourning the Ancient\" e-zine.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Midnight_Syndicate", "url": "http://www.mourningtheancient.com/mid.htm"} +{"d:Title": "Yahoo! Groups : midnightsyndicate", "d:Description": "Official message board for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Midnight_Syndicate", "url": "http://groups.yahoo.com/group/midnightsyndicate/"} +{"d:Title": "Midnight Syndicate's Legions of the Night", "d:Description": "Legions of the Night - Fan site featuring poetry, photos, artwork and other writings inspired by the music of Midnight Syndicate.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Midnight_Syndicate", "url": "http://www.legionsofthenight.com/"} +{"d:Title": "TrouserPress.com: Mighty Lemon Drops", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Lemon_Drops,_The", "url": "http://www.trouserpress.com/entry.php?a=mighty_lemon_drops"} +{"d:Title": "The Mighty Lemon Drops on TweeNet", "d:Description": "Discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Lemon_Drops,_The", "url": "http://www.twee.net/bands/m/mightylemond.html"} +{"d:Title": "MTV: The Mighty Lemon Drops", "d:Description": "Biography, discography, reviews and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Lemon_Drops,_The", "url": "http://www.mtv.com/artists/the-mighty-lemon-drops/"} +{"d:Title": "Heck of a Homepage", "d:Description": "Discography, videography and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Mighty_Bosstones", "url": "http://www.3feetunder.com/bosstones/"} +{"d:Title": "Mightiest Joes In Town", "d:Description": "Includes photos, biographies, discography, polls, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Mighty_Bosstones", "url": "http://www.angelfire.com/wi/kkimska/index2.html"} +{"d:Title": "Matt's Mighty Mighty Bosstones Page", "d:Description": "Features images, sound files, video clips, lyrics and guitar tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Mighty_Bosstones", "url": "http://www.mattsmusicpage.com/nbosstone.htm"} +{"d:Title": "Entertainment Ave: The Mighty Mighty Bosstones", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Mighty_Bosstones", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/mmb.htm"} +{"d:Title": "MTV: Mighty Mighty Bosstones", "d:Description": "News, tour dates, album reviews, biography, discography, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mighty_Mighty_Bosstones", "url": "http://www.mtv.com/artists/the-mighty-mighty-bosstones/"} +{"d:Title": "MikaSounds", "d:Description": "Official site features news, blog, discography, videos, pictures, shows, press, fan community and art.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mika", "url": "http://www.mikasounds.com/"} +{"d:Title": "Mika Fan Club", "d:Description": "Forums, pictures, event calendar, audio, videos and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mika", "url": "http://www.mikafanclub.com/"} +{"d:Title": "Mikaila Town", "d:Description": "Fan site offers a biography, lyrics, photos and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mikaila", "url": "http://mikailatown.stormpages.com/"} +{"d:Title": "The Mike and The Mechanics Webring", "d:Description": "Information about the webring and news about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mike_and_the_Mechanics", "url": "http://www.angelfire.com/pop/mechanics/index.html"} +{"d:Title": "Lynn Miles with Bill Morrissey", "d:Description": "Review of concert at the Borderline, London, England, 11 November 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miles,_Lynn", "url": "http://www.rambles.net/miles01_live.html"} +{"d:Title": "Rambles Magazine: Lynn Miles, Night in a Strange Town", "d:Description": "Reviews of Lynn's second album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miles,_Lynn", "url": "http://www.rambles.net/miles_town.html"} +{"d:Title": "True North Records: Lynn Miles", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miles,_Lynn", "url": "http://www.truenorthrecords.com/Artists.php?artist_id=70"} +{"d:Title": "Canoe: Lynn Miles", "d:Description": "Various articles from the Ottawa Sun and Edmonton Sun.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miles,_Lynn", "url": "http://jam.canoe.com/Music/Artists/M/Miles_Lynn/"} +{"d:Title": "AskMen.com - Christina Milian Interview", "d:Description": "One-on-one interview with the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milian,_Christina", "url": "http://www.askmen.com/toys/interview/29_christina_milian_interview.html"} +{"d:Title": "Lindzi.com's Christina Milian Interview", "d:Description": "Christina discusses AM to PM, working with Ja Rule, and dating.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milian,_Christina", "url": "http://www.lindzi.com/interviews/christinam.htm"} +{"d:Title": "Milk", "d:Description": "Guitar-pop/rock band from Liverpool. News, profiles, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milk", "url": "http://milkweb.tripod.com/"} +{"d:Title": "DanceVibes.be: Milk Inc. Dance Music", "d:Description": "A biography, a discography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milk_Incorporated", "url": "http://dancevibes.be/artists/milkinc.jsp"} +{"d:Title": "The Belgian Pop and Rock Archives: Milk Inc.", "d:Description": "A biography, with forum, links, and a CD list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milk_Incorporated", "url": "http://houbi.com/belpop/groups/milkinc.htm"} +{"d:Title": "Dance Artist Info: Milk Inc.", "d:Description": "Summary of artists and producers, album list, and list of all tracks on each album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milk_Incorporated", "url": "http://www.danceartistinfo.com/milkinc.htm"} +{"d:Title": "Milk Inc.", "d:Description": "Biography, discography, audio samples and over two thousand pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milk_Incorporated", "url": "http://www.milkinc.be/"} +{"d:Title": "Discogs: Milk Inc.", "d:Description": "Profile with list of releases, remixes, and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Milk_Incorporated", "url": "http://www.discogs.com/artist/Milk+Inc."} +{"d:Title": "Millencolin", "d:Description": "Official homepage with lyrics, biografies, tour information, merchandise and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Millencolin", "url": "http://www.millencolin.com/"} +{"d:Title": "Penguins and Polarbears", "d:Description": "Photographs, lyrics, tablatures, and audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Millencolin", "url": "http://www.angelfire.com/az3/millencolin/"} +{"d:Title": "Dominic Miller", "d:Description": "The official web site contains texts about the artist, his album First Touch and how he met Sting. Available are some sound clips and a link to the homepage of his son.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miller,_Dominic", "url": "http://www.dominicmiller.com/"} +{"d:Title": "Siri&Heidi - Dominic Miller", "d:Description": "The fanpage contains pictures, information about the artist, an interview and information about Rufus Miller and his band Asylum.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miller,_Dominic", "url": "http://www.dominicmiller.bappy.com/"} +{"d:Title": "Steve Miller Band", "d:Description": "Official homepage.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miller,_Steve", "url": "http://www.stevemillerband.com/"} +{"d:Title": "AMG: The Mills Brothers", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mills_Brothers,_The", "url": "http://www.allmusic.com/artist/the-mills-brothers-p3129"} +{"d:Title": "Mindless Self Indulgence", "d:Description": "The official web site of Mindless Self Indulgence. Check out the home of NYC's first industrial, jungle, rock, punk, techno freaks. Music to write mom about.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mindless_Self_Indulgence", "url": "http://www.mindlessselfindulgence.com/"} +{"d:Title": "Mindlessly Indulged", "d:Description": "Biographies, photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mindless_Self_Indulgence", "url": "http://www.angelfire.com/myband/MindlesslyIndulged/"} +{"d:Title": "a serious page for an unserious band", "d:Description": "Fan site with lyrics, discography, biography, polls.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mindless_Self_Indulgence", "url": "http://www.angelfire.com/music2/msirules/"} +{"d:Title": "Just One Fix", "d:Description": "News, information, links, multimedia, current releases, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ministry", "url": "http://www.prongs.org/ministry/"} +{"d:Title": "NYRock Interview with Paul Barker", "d:Description": "Gabriella interviews Paul Barker about the album \"Dark Side of the Spoon.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ministry", "url": "http://www.nyrock.com/interviews/ministry_int.htm"} +{"d:Title": "UnRated Magazine: Ministry Raw as Ever", "d:Description": "Review of a concert in Chicago performed on April 22, 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ministry", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=87"} +{"d:Title": "TrouserPress.com: Ministry", "d:Description": "Extensive biography and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ministry", "url": "http://www.trouserpress.com/entry.php?a=ministry"} +{"d:Title": "Denver Westword: Hometown Boy Makes Bad", "d:Description": "Interview with Al Jourgensen by Michael Roberts, describing the evolution of the band from the very beginning.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ministry", "url": "http://westword.com/2003-04-03/music/hometown-boy-makes-bad/"} +{"d:Title": "MTV: Ministry", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ministry", "url": "http://www.mtv.com/artists/MINISTRY"} +{"d:Title": "Liza May Minnelli Club", "d:Description": "Yahoo! fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minnelli,_Liza", "url": "http://groups.yahoo.com/group/lizamayminnelliclub/"} +{"d:Title": "The Liza Minnelli Home Page", "d:Description": "Schedule information and reviews (from newspapers and by the web-master).", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minnelli,_Liza", "url": "http://www.users.qwest.net/~rsguyer/liza/"} +{"d:Title": "Lovin' Liza Minnelli", "d:Description": "Features information about Liza, the winner of three Tony Awards, an Oscar, a golden Globe and an Emmy. Provides news about her concerts, performances, stage, television, and film.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minnelli,_Liza", "url": "http://www.angelfire.com/musicals/lizaminnelli/"} +{"d:Title": "Liza Minnelli News: Topix", "d:Description": "News about Liza Minnelli continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minnelli,_Liza", "url": "http://www.topix.com/who/liza-minnelli"} +{"d:Title": "TV Guide - Liza Minnelli", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minnelli,_Liza", "url": "http://www.tvguide.com/celebrities/liza-minnelli/162554"} +{"d:Title": "Minor Threat", "d:Description": "Biography, pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minor_Threat", "url": "http://www.angelfire.com/ok/endall/"} +{"d:Title": "Prindle Record Reviews", "d:Description": "Minor Threat history and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minor_Threat", "url": "http://www.markprindle.com/minora.htm"} +{"d:Title": "Satan Stole My Teddybear", "d:Description": "Reviews of Minor Threat records.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minor_Threat", "url": "http://www.ssmt-reviews.com/artist/minor.html"} +{"d:Title": "All Music Guide: Minor Threat", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minor_Threat", "url": "http://www.allmusic.com/artist/minor-threat-p13686"} +{"d:Title": "Cosmopolis.com: Mint Condition", "d:Description": "The rising American Band, biographies and new CD.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mint_Condition", "url": "http://www.cosmopolis.ch/english/cosmo1/mint.htm"} +{"d:Title": "Mint Condition", "d:Description": "Information on the band, including links to other Minty sites. Bulletin board topics, or start your own.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mint_Condition", "url": "http://forums.delphiforums.com/gumbo/"} +{"d:Title": "Universal Trendsetter", "d:Description": "Scott McCaughey fan site with news, biography, discographies, lyrics, press, downloads, pictures, gig list and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minus_5,_The", "url": "http://www.universaltrendsetter.org/"} +{"d:Title": "All Music Guide: The Minus 5", "d:Description": "Biography, discography and links to related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minus_5,_The", "url": "http://www.allmusic.com/artist/the-minus-5-p165396"} +{"d:Title": "Yep Roc Records: The Minus 5", "d:Description": "U.S. label site with biography, news and list of releases", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minus_5,_The", "url": "http://www.yeproc.com/artists/the-minus-5"} +{"d:Title": "Discography: Minutemen / fIREHOSE / Watt", "d:Description": "All releases including collaborations and compilations.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minutemen", "url": "http://idiot-dog.com/music/minutemen/"} +{"d:Title": "Mike Watt's Hoot Page", "d:Description": "Minutemen member Mike Watt's personal site, including Minutemen links and personal views on the band and its legacy.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minutemen", "url": "http://www.hootpage.com/"} +{"d:Title": "Minutemen Tabs@ Ultimate-Guitar.Com", "d:Description": "Minutemen tablature for guitar and bass.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minutemen", "url": "http://www.ultimate-guitar.com/tabs/minutemen_tabs.htm"} +{"d:Title": "Satan Stole My Teddy Bear Minutemen Discography", "d:Description": "Discography for all major releases, with reviews and background information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minutemen", "url": "http://www.ssmt-reviews.com/artist/minute.html"} +{"d:Title": "All Music Guide: Minutemen", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Minutemen", "url": "http://www.allmusic.com/artist/minutemen-mn0000474482"} +{"d:Title": "Trouser Press: Miracle Legion", "d:Description": "Reviews of the band's albums through 1989.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miracle_Legion", "url": "http://www.trouserpress.com/entry.php?a=miracle_legion"} +{"d:Title": "Mezzotint: Miracle Legion", "d:Description": "Biography of the band from frontman Mark Mulcahy's label.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miracle_Legion", "url": "http://www.mezzotint.com/miraclelegion.html"} +{"d:Title": "Misia, Official Website", "d:Description": "Official website of the singer available in 5 languages. Biography, music with video and samples, wallpaper, pictures, news, fanclub, and concerts dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Misia", "url": "http://www.misia-online.com/"} +{"d:Title": "TE Archive: Miss Radio", "d:Description": "Concert preview and photo.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Miss_Radio", "url": "http://15min.org/articles/2001/october/17/rock_2.html"} +{"d:Title": "The Joni Mitchell Homepage", "d:Description": "The official website for the renowned singer-songwriter who has written classic songs such as \"Clouds\" and \"The Circle Game.\"", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mitchell,_Joni", "url": "http://www.jonimitchell.com/"} +{"d:Title": "Rollingstone.com: Joni Mitchell", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mitchell,_Joni", "url": "http://www.rollingstone.com/music/artists/joni-mitchell"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mitchell,_Joni", "url": "http://rockhall.com/inductees/joni-mitchell/"} +{"d:Title": "Joni Mitchell", "d:Description": "Filmography at IMDb with biography and actress, composer, and related credits.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mitchell,_Joni", "url": "http://www.imdb.com/name/nm0593474/"} +{"d:Title": "Wikipedia: Lisa Mitchell", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mitchell,_Lisa", "url": "http://en.wikipedia.org/wiki/Lisa_Mitchell"} +{"d:Title": "Tonya Mitchell Online", "d:Description": "Includes a biography, a tour schedule, pictures, news, lyrics and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mitchell,_Tonya", "url": "http://www.tonyamitchellonline.0catch.com/"} +{"d:Title": "Moby", "d:Description": "The official website featuring news, photographs, message boards, biography and discography, and Moby's web journal.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby", "url": "http://www.moby.com/"} +{"d:Title": "RollingStone.com: Moby", "d:Description": "Includes a biography, discography, photos, articles, videos, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby", "url": "http://www.rollingstone.com/music/artists/moby"} +{"d:Title": "MTV: Moby", "d:Description": "Biography, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby", "url": "http://www.mtv.com/artists/moby/"} +{"d:Title": "Queer in Your Ear: Moby", "d:Description": "Review of 'Everything is Wrong.'", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.joeclark.org/QiYE46.html"} +{"d:Title": "All-Reviews.com: Everything is Wrong", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.all-reviews.com/music/everythingiswrong.htm"} +{"d:Title": "Queer in Your Ear: Moby Is Woman, Hear Him Roar", "d:Description": "An interview with Moby.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.joeclark.org/QiYE50.html"} +{"d:Title": "Wired: Organization Moby", "d:Description": "Article by Ethan Smith: \"Tech-smart, self-effacing, and supremely market-savvy, electronica superstar Moby isn't a cog in the machine. He is the machine.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.wired.com/wired/archive/10.05/moby.html"} +{"d:Title": "Perfect Sound Forever: Moby Interview", "d:Description": "Moby discusses musical influences and hobbies with Billy Bob Hargus.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.furious.com/perfect/moby.html"} +{"d:Title": "Entertainment Ave: Moby", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/moby.htm"} +{"d:Title": "The Onion AV Club: Moby", "d:Description": "Interview with the versatile techno/pop/punk icon on politics, war, death, and sampling.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.avclub.com/articles/moby,13602/"} +{"d:Title": "Chaos Control", "d:Description": "An interview with Moby from 1993.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.chaoscontrol.com/moby/"} +{"d:Title": "Salon.com: Can Moby Save Pop?", "d:Description": "Michelle Goldberg dissects Moby's sudden and unlikely fame after the release of the album '18.'", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moby/Articles_and_Interviews", "url": "http://www.salon.com/2002/06/04/moby_4/"} +{"d:Title": "4AD: Modern English", "d:Description": "Profile, label discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_English", "url": "http://www.4ad.com/artists/modernenglish"} +{"d:Title": "All Music Guide: Modern English", "d:Description": "Provides a brief biography, photo, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_English", "url": "http://www.allmusic.com/artist/modern-english-mn0000574033"} +{"d:Title": "Thomas Anders's Official Website", "d:Description": "Includes latest news and all dates about Modern Talking and Thomas Anders's activities outside of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_Talking", "url": "http://www.thomas-anders.com/"} +{"d:Title": "Musicolympus.com: Modern Talking", "d:Description": "Includes picture gallery, lyrics, biography, merchandises and midi files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_Talking", "url": "http://www.musicolympus.com/moderntalking/"} +{"d:Title": "Dieter Bohlen Mailing List", "d:Description": "Internet e-mail discussion group for fans to discuss about Dieter's life, music and productions.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_Talking", "url": "http://groups.yahoo.com/group/dieter-bohlen"} +{"d:Title": "Yahoo! Groups: Dieter Bohlen Productions Mailing List", "d:Description": "Talk about Dieter Bohlen productions, Modern Talking, and Thomas Anders.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_Talking", "url": "http://groups.yahoo.com/group/dieterbohlenproductions"} +{"d:Title": "Live Modern Talking", "d:Description": "Includes news and song downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_Talking", "url": "http://www.live-mt.com/"} +{"d:Title": "Modern Talking Webring", "d:Description": "Webring for sites related to the band or one of its members.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modern_Talking", "url": "http://www.webring.org/hub?ring=moderntalking"} +{"d:Title": "Modest Mouse", "d:Description": "Interview from when the group played at the New Music West Festival. Includes photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modest_Mouse", "url": "http://members.tripod.com/~corporatedethburger/modestmouse.html"} +{"d:Title": "Lazyeye Interview: Modest Mouse", "d:Description": "Tim McMahan's interview with lead singer Isaac Brock.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modest_Mouse", "url": "http://www.timmcmahan.com/modest.htm"} +{"d:Title": "Interstate-8: A Modest Mouse Fan Collaborative", "d:Description": "News, tour dates, biographies of core members, discography and song guide, set lists, tablatures, message board and video links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modest_Mouse", "url": "http://www.interstate-8.com/"} +{"d:Title": "Salon: Modest Mouse - Building Nothing Out of Something", "d:Description": "Joe Heim's review: \"offers enough variety to serve as a competent introduction.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modest_Mouse/Reviews/Building_Nothing_Out_of_Something", "url": "http://www.salon.com/2000/01/31/mouse/"} +{"d:Title": "Almost Cool: Modest Mouse - Building Nothing Out Of Something", "d:Description": "Aaron Coleman's review: \"While it may not be the best way to introduce yourself to the group, there is a lot of good music...\". Rated 7.75.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modest_Mouse/Reviews/Building_Nothing_Out_of_Something", "url": "http://www.almostcool.org/mr/751/"} +{"d:Title": "The Austin Chronicle: Modest Mouse - Building Nothing Out of Something", "d:Description": "Christopher Hess' review: \"If nothing else, this collection will further cauterize Modest Mouse's schizophrenia...\". Rated 3.5/5.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Modest_Mouse/Reviews/Building_Nothing_Out_of_Something", "url": "http://www.austinchronicle.com/music/2000-01-21/75581/"} +{"d:Title": "moeLinks.com", "d:Description": "Fan site with pictures, MP3s, articles and interviews, news, tour dates, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/M/moe.", "url": "http://www.moelinks.com/"} +{"d:Title": "The Official moe. Website", "d:Description": "Tour information, photos, mailing list, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/moe.", "url": "http://www.moe.org/"} +{"d:Title": "Rory's Moffatts Pix", "d:Description": "Live pictures for sale and trade.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts", "url": "http://moffpix.8m.com/"} +{"d:Title": "You Can't Do That On Television: The Moffatts Gallery", "d:Description": "Contains pictures from their appearance on the show.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts", "url": "http://www.ycdtotv.de/moffatts/index.htm"} +{"d:Title": "Fright Night", "d:Description": "This site contains a great horror movie script starring the Moffatts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Fiction", "url": "http://angelfire.com/band/moffrightnight"} +{"d:Title": "The Violet Vine Online", "d:Description": "Moffatt Fan Fiction from the vine.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Fiction", "url": "http://www.angelfire.com/stars2/thevioletvine/"} +{"d:Title": "Ch. 1: Moffatt Stories", "d:Description": "Includes 'Power of Goodbye', 'What A Girl Wants', and 'Celebrity Island' with pleanty of other Moffatt fan fictions.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Fiction", "url": "http://www.angelfire.com/in/hansonstories/moffattstories.html"} +{"d:Title": "Starstruck i*s", "d:Description": "\"The Perfect Harmony\" and various short stories.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Fiction", "url": "http://www.angelfire.com/band/starstruckis/"} +{"d:Title": "Ashlee's The Moffatts Page", "d:Description": "Includes profiles, pictures, lyrics, sounds, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/rock/scbd/"} +{"d:Title": "Bob Knows His Calculus", "d:Description": "Contains pictures, fan fiction, biographies, a voting booth, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/ca3/jensync/"} +{"d:Title": "3 Guys and a Drummer", "d:Description": "News, song dedications, stories, personal biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/nc2/moffattbabiesrock/index.html"} +{"d:Title": "Images of the Mind", "d:Description": "Including lyrics, pictures, and places to put your own work on The Moffatts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/mb/scbd/"} +{"d:Title": "Moffatt Mafia", "d:Description": "Includes lyrics, photos, message board, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/band/moffattmafia/index.html"} +{"d:Title": "Moffatt Mania", "d:Description": "Fan page with pictures, quotes, dedications and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/hi/moffpop/"} +{"d:Title": "The Moffatts: 24/7", "d:Description": "Offers biographical information, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/mo/Moffattz/start.html"} +{"d:Title": "My Scott Moffatt Page", "d:Description": "Pictures and some information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://www.angelfire.com/ma2/luvscott/"} +{"d:Title": "Typically Weird", "d:Description": "Fan page with biographies, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Fan_Pages", "url": "http://members.tripod.com/typicallyweird/"} +{"d:Title": "All Music Guide: The Moffats", "d:Description": "Biography, audio clips, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Resources", "url": "http://www.allmusic.com/artist/the-moffatts-p168320"} +{"d:Title": "MTV.com: The Moffatts", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moffatts/Resources", "url": "http://www.cmt.com/artists/the-moffatts/"} +{"d:Title": "Official Mogwai Information", "d:Description": "Created and run by the Scottish band Mogwai; the official source of information on the band and its music.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://www.mogwai.co.uk/"} +{"d:Title": "The Mogwai Artzine", "d:Description": "Online fanzine dedicated to writing inspired by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://www.diskant.net/mogwai/"} +{"d:Title": "Bright Light", "d:Description": "Includes news, tour dates, gigography, discography, lyrics, articles, interviews, links, a bootlegs catalog, and a list of Mogwai traders.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://brightlight.youngteam.co.uk/"} +{"d:Title": "Sine Wave", "d:Description": "Includes news, band history, articles, discography, song archive, images, and a gear section.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://www.angelfire.com/indie/sinewave/"} +{"d:Title": "Ex-cowboy(s)", "d:Description": "Includes guitar tablatures, equipment, live pictures, and a list of the group's live appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://excowboy.online.fr/"} +{"d:Title": "All Music Guide: Mogwai", "d:Description": "Includes a profile of the band and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://www.allmusic.com/artist/mogwai-p224692"} +{"d:Title": "MTV: Mogwai", "d:Description": "Includes news, tour dates, videos, a message board, links, and a list of related bands.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://www.mtv.com/artists/mogwai/"} +{"d:Title": "Metacritic: Mogwai - Rock Action", "d:Description": "Links to a variety of reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai", "url": "http://www.metacritic.com/music/rock-action/mogwai"} +{"d:Title": "Crud Magazine: Mogwai at Brixton Academy", "d:Description": "Review of the gig at Brixton Academy.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai/Reviews", "url": "http://www.2-4-7-music.com/newsitems/nov01/mogwai.asp"} +{"d:Title": "Almost Cool: Mogwai - Come On Die Young", "d:Description": "Aaron Coleman's review: \"There are a few scattered moments of loudness, but the disc is more of an exercise in subtlety for the group.\" Rated 7.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai/Reviews/Come_On_Die_Young", "url": "http://www.almostcool.org/mr/726/"} +{"d:Title": "Pitchfork: Mogwai - Rock Action", "d:Description": "Matt LeMay's review: \"those of you who seriously dug the band's earlier work will find a lot to like about the record.\" Rated 8.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mogwai/Reviews/Rock_Action", "url": "http://pitchfork.com/reviews/albums/5365-rock-action/"} +{"d:Title": "Deadly Clear Addiction", "d:Description": "Photo galleries, lyrics, discography, tablatures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moist", "url": "http://members.tripod.com/nauselbaum/"} +{"d:Title": "Ophelia: A Moist Page", "d:Description": "Extensive picture gallery, audio and video clips, discography, lyrics, biographies, newsletters, press archive, interviews, message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moist", "url": "http://www.moistonline.com/"} +{"d:Title": "Hybrid Magazine: Mercedes Five and Dime", "d:Description": "A review by David DeVoe of the album that \"gives us a fuller, more mature sound than ever before, with smoother songs and less angst.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moist", "url": "http://www.hybridmagazine.com/reviews/0101/moist.shtml"} +{"d:Title": "Eyesore: Mojave 3", "d:Description": "Discography with cover images of the band's releases on 4AD Records.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mojave_3", "url": "http://quimby.gnus.org/html/group/mojavethree.html"} +{"d:Title": "4AD: Mojave 3", "d:Description": "News, biography, discography, and video files from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mojave_3", "url": "http://www.4ad.com/artists/mojave3"} +{"d:Title": "Metacritic: Excuses for Travelers", "d:Description": "Reviews of Mojave 3's third album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mojave_3", "url": "http://www.metacritic.com/music/excuses-for-travelers/mojave-3"} +{"d:Title": "Molly Hatchet", "d:Description": "Official site includes current and past tour dates, history, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Molly_Hatchet", "url": "http://www.mollyhatchet.com/"} +{"d:Title": "Dirk's Unofficial Molly Hatchet Website", "d:Description": "Fan page containing news, tour dates, a history, and related links. [English and German]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Molly_Hatchet", "url": "http://www.mollyhatchet.de/"} +{"d:Title": "Molly Hatchet", "d:Description": "Official mailing list at Yahoo! Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Molly_Hatchet", "url": "http://groups.yahoo.com/group/mollyhatchet"} +{"d:Title": "Party Weirdos", "d:Description": "Fan site including a biography, discography, lyrics, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moloko", "url": "http://www.users.globalnet.co.uk/~terese/moloko/"} +{"d:Title": "Yahoo! Groups: Moloko", "d:Description": "An unmoderated mailing list about the group. An archive is available online.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moloko", "url": "http://groups.yahoo.com/group/moloko"} +{"d:Title": "Yahoo! Groups: Moloko", "d:Description": "A place for fans to post messages.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moloko", "url": "http://groups.yahoo.com/group/moloko2/"} +{"d:Title": "Absent Minded Fans", "d:Description": "A fan site with news, discography, pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moloko", "url": "http://www.absentmindedfans.pl/"} +{"d:Title": "Sound On Sound", "d:Description": "Bill Bruce interviews the duo on recording the hit \"Sing It Back\".", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moloko", "url": "http://www.soundonsound.com/sos/oct99/articles/tracks.htm"} +{"d:Title": "Phespirit.info: Momus", "d:Description": "Discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Momus", "url": "http://www.phespirit.info/momus/"} +{"d:Title": "Radio Free Momus", "d:Description": "Personal site of the Scottish oddball pop star with audio samples, biography, Flash videos, news, pictures and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Momus", "url": "http://www.imomus.com/"} +{"d:Title": "The Onion AV Club: Momus", "d:Description": "Interview with the arch Scottish ironist about Stars Forever and rock journalism.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Momus", "url": "http://www.avclub.com/articles/momus,13616/"} +{"d:Title": "Momus at LFL Gallery", "d:Description": "Momus: Folktronia (songs and myths about the electronic age). Sound/video/performance/installation art at LFL Gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Momus", "url": "http://www.zachfeuer.com/exhibitions/momus-folktronia-myths-and-songs-of-the-electronic-age/"} +{"d:Title": "Momus Pays Back His Patrons With Stars Forever", "d:Description": "Double album features 30 songs about fans, stores, labels and others that paid for them. From SonicNet Music News of the World. (Sept. 4, 1999)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Momus", "url": "http://www.mtv.com/news/articles/517182/momus-pays-back-his-patrons.jhtml"} +{"d:Title": "For $1,000, Momus Will Write A Song About You", "d:Description": "Upcoming Stars Forever album intended to help pay legal bills of experimental singer/songwriter's U.S. label. From SonicNet Music News of the World. (Jan. 7, 1999)", "topic": "Top/Arts/Music/Bands_and_Artists/M/Momus", "url": "http://www.mtv.com/news/articles/510632/best-99-momus-sells-slots-on-album.jhtml"} +{"d:Title": "TheForce.net Meco Interview", "d:Description": "Interview discussing disco versions of Star Wars music.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monardo,_Meco", "url": "http://www.theforce.net/jedicouncil/interview/meco.shtml"} +{"d:Title": "Meco Monardo Tribute Disco-Disco.com", "d:Description": "Information on recording and production work.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monardo,_Meco", "url": "http://www.disco-disco.com/tributes/meco.html"} +{"d:Title": "DiscoMusic.com: Interview With Meco Monardo", "d:Description": "Includes information about his recordings including Star Wars and his production work with Gloria Gaynor.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monardo,_Meco", "url": "http://www.discomusic.com/people-more/39_0_11_0_M76"} +{"d:Title": "Eddie Money", "d:Description": "Official site featuring tour dates, audio clips, photos and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Money,_Eddie", "url": "http://www.eddiemoney.com/"} +{"d:Title": "All Music Guide: Eddie Money", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Money,_Eddie", "url": "http://www.allmusic.com/artist/eddie-money-p4937"} +{"d:Title": "MTV.com: Money Mark", "d:Description": "Biography, discography, audio clips, reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Money_Mark", "url": "http://www.mtv.com/artists/money-mark/"} +{"d:Title": "Monica: After The Storm", "d:Description": "Official site includes biography, audio and video, news, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica", "url": "http://www.monica.com/"} +{"d:Title": "All Music Guide: Monica", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica", "url": "http://www.allmusic.com/artist/monica-p106830"} +{"d:Title": "AskMen.com: Monica", "d:Description": "Biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica", "url": "http://www.askmen.com/celebs/women/singer_60/67_monica_arnold.html"} +{"d:Title": "MTV: Monica", "d:Description": "Includes news, album reviews, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica", "url": "http://www.mtv.com/artists/MONICA"} +{"d:Title": "Daryl's Monica Site", "d:Description": "Includes pictures, information, links, audio/video, message board, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica/Fan_Pages", "url": "http://www.angelfire.com/mo/monicaa/"} +{"d:Title": "Monica's Site", "d:Description": "Contains news, pictures, audio files, lyrics, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica/Fan_Pages", "url": "http://www.monicaarnold.8m.com/"} +{"d:Title": "Miss Thang's Diva page", "d:Description": "Includes pictures and bio of Monica.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica/Fan_Pages", "url": "http://members.tripod.com/~L0W/monica.html"} +{"d:Title": "Monica 4 Life", "d:Description": "News, picture gallery, media, articles, message board, poll, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica/Fan_Pages", "url": "http://www.angelfire.com/rnb/monica4life/"} +{"d:Title": "An Official Unofficial Page", "d:Description": "Pictures, videos, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monica/Fan_Pages", "url": "http://www.angelfire.com/ak/Soulzone/"} +{"d:Title": "The Monkees Home Page", "d:Description": "The original site. Includes downloads, biographies, TV show information, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees", "url": "http://www.monkees.net/"} +{"d:Title": "Monkeesrule43 Online", "d:Description": "An official site with news, biographies, tour dates, history, articles, discography, free email accounts, email list, rare MP3s, video files, quiz, quotes, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees", "url": "http://www.monkeesrule43.com/"} +{"d:Title": "Monkees 101", "d:Description": "A guide to the group with FAQs and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees", "url": "http://www.monkees101.com/"} +{"d:Title": "The Monkees Film and TV Vault", "d:Description": "Companion to the Monkees' work on TV and in film. Includes extensive data on their TV show, movie, and specials as well as transcripts and WAVs of their original commercials.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees", "url": "http://ahiii.tripod.com/monkeesfilmTV.html"} +{"d:Title": "The Monkees Music Vault", "d:Description": "Complete Monkees discography with information on foreign releases too", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees", "url": "http://colli.tripod.com/monkees.html"} +{"d:Title": "Monkees Lyrics", "d:Description": "An alphebetical listing of Monkees lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees", "url": "http://www.lyricsfreak.com/m/monkees/"} +{"d:Title": "Monkees Fan Fiction Page", "d:Description": "A variety of Monkees stories, including crossovers with Quantum Leap and Scooby-Doo!", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://members.tripod.com/~Lenora_McCoy/monkees.html"} +{"d:Title": "The Power of Four", "d:Description": "Short story involving a plane crash.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://www.angelfire.com/in/mickydolenz/story.html"} +{"d:Title": "TippTee's Fanfic Library", "d:Description": "Monkees fan fiction. The Time Machine and the Beat Goes On series.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://www.angelfire.com/il2/fic/"} +{"d:Title": "The Zone of Weirdness", "d:Description": "Fan fiction as well as original works.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://www.angelfire.com/md/weirdzone/"} +{"d:Title": "Monkees/Beatles Fan Fiction Webring", "d:Description": "Ring for sites featuring either Monkees or Beatles fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://canyoudigit.tripod.com/joinring.html"} +{"d:Title": "The Realm", "d:Description": "Collection of original Monkees related fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://www.angelfire.com/de/ejones/index.html"} +{"d:Title": "GleebGirl's Monkees Fanfic", "d:Description": "A Monkees original story in progress", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://gleebgirl.tripod.com/fanfic.html"} +{"d:Title": "The Al&BT Chronicles", "d:Description": "A serial fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Fiction", "url": "http://alnbt.purplelemon.org/"} +{"d:Title": "Love Is Only Sleeping", "d:Description": "Information, parodies, guitar parts, stories, poetry, and alternative song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.loveisonlysleeping.com/"} +{"d:Title": "Monkees - Thirty Three and A Third", "d:Description": "Monkees Fan Site with windows wallpapers, e-cards, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://monkees.fanspace.com/"} +{"d:Title": "Hippie Chick's Peace, Love and The Monkees Page", "d:Description": "Pictures, dreams, fan-fiction, links, Adopt-A-Monkee.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.angelfire.com/ky2/monkeepeace/index.html"} +{"d:Title": "Sleepy Jean's Groovy Monkee Site", "d:Description": "A site dedicated to The Monkees. Features quotes from the show, fan-fiction and episode guide.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.angelfire.com/band/monkeestuff/"} +{"d:Title": "The Monkees Then and Now", "d:Description": "Photos, biographies, poll, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.angelfire.com/music2/hansongirl/monkees.html"} +{"d:Title": "Monkee Central", "d:Description": "Fan site with pictures, conspiracies, clone fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.angelfire.com/music2/monkeecentral/"} +{"d:Title": "Al and Er's Monkees Extravaganza", "d:Description": "Includes a woolhat adoption agency, poll, pictures, and information on each Monkee.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.angelfire.com/band/wideprairie/"} +{"d:Title": "Three Psychos' Home Page", "d:Description": "Site includes Monkees fan fiction, storybook, pictures, MIDIs, comics, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.angelfire.com/md/monkeelove2/"} +{"d:Title": "Tippy's Share of the Sidewalk", "d:Description": "Links, favorite songs, Monkees and Muppets comparison, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.angelfire.com/il2/tipptee2/tippy.html"} +{"d:Title": "Torky the Kid", "d:Description": "A site devoted to the Monkees with pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://members.tripod.com/~Torky_the_Kid/index-2.html"} +{"d:Title": "The Monkees at the Hatch Shell in Boston 7/14/01", "d:Description": "Photographs from their 2001 Boston concert. Includes links to other Monkees sites.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://monkeesinboston.5u.com/"} +{"d:Title": "Monkeegirl.com", "d:Description": "News, pictures, tour dates, midis, and link directory.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.monkeegirl.com/"} +{"d:Title": "Estrella's Monkee TeleVision", "d:Description": "Includes various pages of direct Monkee relation on television. Ranging from profiles of lead characters to an essay on whereabouts of the Monkees' bathroom.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://s3tar.tripod.com/monkees/"} +{"d:Title": "The Card-Carrying Red Shoes", "d:Description": "Features a monthly quiz, photos, and a collection of fan fiction and dreams.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://lucys_diamonds.tripod.com/monkpg.html"} +{"d:Title": "The Monkees Fan Page", "d:Description": "Monkees news, concert information, fan stories, sounds and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://cas_4.tripod.com/"} +{"d:Title": "Monkee Mania", "d:Description": "Tribute includes screensavers, photos, message board, information, concert dates, VCR alerts, ecards, store, parodies, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://sites.google.com/site/itsmonkeemania"} +{"d:Title": "Psycho Jello", "d:Description": "Includes large photo collection, forums, and features.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Fan_Pages", "url": "http://www.psycho-jello.com/monkees"} +{"d:Title": "Official Davy Jones Website", "d:Description": "Official site. Includes merchandise, news, family, photos, and fan club.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Jones,_Davy", "url": "http://www.davyjones.net/"} +{"d:Title": "The David Jones Worship Site", "d:Description": "Includes news, fan reviews, stories of lives that David has touched, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Jones,_Davy", "url": "http://luvdavy.tripod.com/"} +{"d:Title": "The Monkee Connection: Davy Jones", "d:Description": "Profile, photographs, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Jones,_Davy", "url": "http://www.angelfire.com/boybands/DavyJones/"} +{"d:Title": "Davy Jones Forever Club", "d:Description": "Yahoo! Group devoted to Davy Jones.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Jones,_Davy", "url": "http://groups.yahoo.com/group/davyjonesforever/"} +{"d:Title": "Davy Jones Fan Club", "d:Description": "Discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Jones,_Davy", "url": "http://groups.yahoo.com/group/davyjonesfanclub/"} +{"d:Title": "IMDb: Davy Jones (I)", "d:Description": "Filmography and biographical trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Jones,_Davy", "url": "http://www.imdb.com/name/nm0427888/"} +{"d:Title": "Monkees Memorabilia Corner", "d:Description": "This site showcases Monkees memorabilia and photos of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Memorabilia", "url": "http://www.monkeespage.com/"} +{"d:Title": "The Monkees Collector's Home Page", "d:Description": "Informative page of Monkees collectibles, trading, values and shop.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Memorabilia", "url": "http://www.themonkees.com/"} +{"d:Title": "The Monkees Connection: Mike Nesmith", "d:Description": "Profile, news, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Nesmith,_Mike", "url": "http://www.angelfire.com/boybands/MikeNesmith/"} +{"d:Title": "Videoranch", "d:Description": "Official site. Includes background information, FAQs, photos, video clips, news, and product details.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Nesmith,_Mike", "url": "http://www.videoranch.com/"} +{"d:Title": "Kitty's Baby Face Mike Nesmith Page", "d:Description": "Includes pictures, biography and Monkees information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Nesmith,_Mike", "url": "http://greenwoolhat.tripod.com/mike.html"} +{"d:Title": "Linda's NEZ OBSESSION page", "d:Description": "Fan site dedicated to the Monkees' Mike Nesmith", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Nesmith,_Mike", "url": "http://nezaholic.tripod.com/index-1.html"} +{"d:Title": "Michael Nesmith", "d:Description": "Filmography for Michael Nesmith from the IMDB", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Nesmith,_Mike", "url": "http://www.imdb.com/name/nm0626452/"} +{"d:Title": "The Monkees Connection: Peter Tork", "d:Description": "Pictures, profile, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Tork,_Peter", "url": "http://www.angelfire.com/boybands/PeterTork/"} +{"d:Title": "PTsgirl Purple Haze", "d:Description": "Web site by a Peter Tork and Monkees fan.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Tork,_Peter", "url": "http://www.angelfire.com/pa2/leothelion/"} +{"d:Title": "Peter Tork", "d:Description": "Filmography for Peter Tork from the IMDB", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkees/Tork,_Peter", "url": "http://www.imdb.com/name/nm0868074/"} +{"d:Title": "Grunnen Rocks: Monkeywrench", "d:Description": "Member information, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monkeywrench,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/m/monkeywrench.htm"} +{"d:Title": "Chaos Control: Mono", "d:Description": "An interview with Siobhan De Mare, vocalist of Mono.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mono", "url": "http://www.chaoscontrol.com/current/mono/"} +{"d:Title": "Mono", "d:Description": "Discography with name and length of each track.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mono", "url": "http://members.tripod.com/~jblair01/mono.html"} +{"d:Title": "All Music Guide: Mono", "d:Description": "Contains discography, biography, list of members, similar bands, and influences.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mono", "url": "http://www.allmusic.com/artist/mono-p279098"} +{"d:Title": "Rick Monroe", "d:Description": "Official site includes tour dates, biography, photos, audio/video files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monroe,_Rick", "url": "http://www.rickmonroe.com/"} +{"d:Title": "MTV: Monster Magnet", "d:Description": "Includes news, sound files, reviews, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monster_Magnet", "url": "http://www.mtv.com/artists/monster-magnet/"} +{"d:Title": "Metacritic: God Says No", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Monster_Magnet", "url": "http://www.metacritic.com/music/god-says-no/monster-magnet"} +{"d:Title": "Monte Montgomery", "d:Description": "Official site includes news, tour dates, gallery, videos, audio, interviews, and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Montgomery,_Monte", "url": "http://www.montemontgomery.net/"} +{"d:Title": "Kenster's Moody Blues Links", "d:Description": "Features information on web sites, pictures, lyrics, concerts and tickets, FAQs, and FTP download sites.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The", "url": "http://www.tstonramp.com/~macleod/moodylk.htm"} +{"d:Title": "Official John Lodge Site", "d:Description": "Includes a message board, biography, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The", "url": "http://www.johnlodge.com/"} +{"d:Title": "The Official Justin Hayward Site", "d:Description": "Includes news, an online store, vintage photos, audio files, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The", "url": "http://justinhayward.com/"} +{"d:Title": "The Moody Blues Online", "d:Description": "Official site, includes a group history, photographs, member biographies, upcoming tour and show dates, audio, and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The", "url": "http://www.moodyblues.co.uk/"} +{"d:Title": "Bob Ribokas' Moody Blues Page", "d:Description": "Photos, album covers, lyrics, and discography are featured at Bob Ribokas' site.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The", "url": "http://www.bobspixels.com/kaibab.org/moodies/moodies.htm"} +{"d:Title": "Music Web Express: John Lodge Interview", "d:Description": "An interview with John Lodge regarding the future activities of the group and himself as a solo artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Articles_and_Interviews", "url": "http://www.mwe3.com/archive/pastfeature/mblues/moodyblues00b.htm"} +{"d:Title": "Music Web Express: Justin Hayward Interview", "d:Description": "A question and answer session with guitarist Justin Hayward.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Articles_and_Interviews", "url": "http://www.mwe3.com/archive/pastfeature/mblues/moodyblues00a.htm"} +{"d:Title": "Prindle Record Reviews - The Moody Blues", "d:Description": "Moody Blues album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Articles_and_Interviews/Reviews", "url": "http://www.markprindle.com/moodya.htm"} +{"d:Title": "Yahoo! Justin Hayward and Moody Blues", "d:Description": "A Yahoo! group to chat and post messages to other Justin Hayward and Moody Blues fans.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/justinhaywardandmoodyblues/"} +{"d:Title": "Yahoo! Strange Times The Moodys Hangout", "d:Description": "A place for friends to chat about the Moody Blues.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/strangetimesthemoodyshangout/"} +{"d:Title": "New Horizons", "d:Description": "A list devoted to discussion of the spirituality in Moody Blues lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Chats_and_Forums/Mailing_Lists", "url": "http://julieb1000.tripod.com/NewHorizons/"} +{"d:Title": "Tony Brown's Moody Blues Gallery", "d:Description": "Tribute site devoted to the group and its members. Includes a history, tour programs, show contracts, discography and label photos, personal record collection of the host and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Fan_Pages", "url": "http://www.themoodyblues.co.uk/"} +{"d:Title": "Doctor Who's Home Page", "d:Description": "Bill \"The Doctor\" Rudloff's page features Moody MIDIs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Fan_Pages", "url": "http://billrudloff.com/"} +{"d:Title": "Moody Blues - The Kings of Classic Rock", "d:Description": "Featuring lyrics to all studio albums and solos and lists many compilations and miscellaneous works.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Lyrics", "url": "http://www.webwriter.f2s.com/"} +{"d:Title": "Moody Blues Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/moody_blues/"} +{"d:Title": "Best Way To Travel", "d:Description": "A webring dedicated to the legendary rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Web_Rings", "url": "http://www.webring.org/hub?ring=thebestwaytotrav"} +{"d:Title": "The Moody Blues", "d:Description": "A webring for the group and their related websites.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moody_Blues,_The/Web_Rings", "url": "http://www.webring.org/hub?ring=themoodybluesweb"} +{"d:Title": "Twin Piloda", "d:Description": "Official site for Swedish singer/songwriter Mans Wieslander, the bass player from Moonbabies who is also involved in several other recording projects.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moonbabies", "url": "http://piloda.tripod.com/"} +{"d:Title": "Moonbabies", "d:Description": "Official website with tour dates, news, MP3s and Videos, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moonbabies", "url": "http://www.moonbabiesmusic.com/"} +{"d:Title": "Moonspell", "d:Description": "Official site. Contains a biography, discography, lyrics, audio and video files, photographs, news, tour information and net releases.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moonspell", "url": "http://www.moonspell.com/"} +{"d:Title": "All Music Guide: Moonspell", "d:Description": "Contains a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moonspell", "url": "http://www.allmusic.com/artist/moonspell-mn0000591187"} +{"d:Title": "Moon Seven Times", "d:Description": "Rock band. Biography, interviews, discography, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moon_Seven_Times", "url": "http://www.irridia.com/M7x/"} +{"d:Title": "Abra Moore", "d:Description": "Official site includes news, biography, tour dates, journal, photo gallery, audio, video, and merchandise. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Abra", "url": "http://www.abramoore.com/"} +{"d:Title": "All Music Guide: Abra Moore", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Abra", "url": "http://www.allmusic.com/artist/abra-moore-p144184"} +{"d:Title": "Gary Moore", "d:Description": "Official site includes news, tour dates, biography, discography, videography, FAQ, and equipment galleries.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Gary", "url": "http://www.gary-moore.com/"} +{"d:Title": "Rockmagic.net", "d:Description": "Gary Moore lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Gary", "url": "http://lyrics.rockmagic.net/lyrics/moore_gary/"} +{"d:Title": "Harry's Blues Lyrics Online", "d:Description": "Song lyrics from several of Gary Moore's blues albums. RealAudio files available for some songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Gary", "url": "http://blueslyrics.tripod.com/artistswithsongs/gary_moore_1.htm"} +{"d:Title": "All Music Guide: Gary Moore", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Gary", "url": "http://www.allmusic.com/artist/gary-moore-p4950"} +{"d:Title": "MTV: Gary Moore", "d:Description": "Biography, discography, reviews, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Gary", "url": "http://www.mtv.com/artists/gary-moore/"} +{"d:Title": "Electric Blues", "d:Description": "Review of Still Got The Blues.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Gary/Articles_and_Interviews", "url": "http://www.electricblues.com/archive/1999/stillgot.html"} +{"d:Title": "Sound Waves Magazine", "d:Description": "Review of Out in the Fields - The Very Best of Gary Moore.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Gary/Articles_and_Interviews", "url": "http://www.swaves.com/Back_Issues/Jan99/gary_moore.htm"} +{"d:Title": "Ian Moore Concert Photos", "d:Description": "6 page photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Ian", "url": "http://adammuffitt.tripod.com/IanPhotos1.html"} +{"d:Title": "WholeNote: Ian Moore", "d:Description": "March 28, 2000 article. Ian Moore Shows His True Colors by Richard Skanse", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Ian/Articles_and_Interviews", "url": "http://www.wholenote.com/news/item.asp?i=172"} +{"d:Title": "On That Note: Ian Moore", "d:Description": "Concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Ian/Articles_and_Interviews", "url": "http://www.onthatnote.com/ianmoore.htm"} +{"d:Title": "MusicOlympus.com: Mandy Moore", "d:Description": "Provides a gallery of pictures, lyrics, a biography, merchandise, audio samples, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy", "url": "http://www.musicolympus.com/mandymoore/index.htm"} +{"d:Title": "Matt's Music Page: Mandy Moore", "d:Description": "Images, midi, chat, forum, videos, lyrics, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy", "url": "http://www.mattsmusicpage.com/nmandy.htm"} +{"d:Title": "Mandy Moore News: Topix", "d:Description": "News about Mandy Moore continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy", "url": "http://www.topix.com/who/mandy-moore"} +{"d:Title": "IMDb: Mandy Moore", "d:Description": "Offers trivia, a mini biography and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy", "url": "http://www.imdb.com/name/nm0601553/"} +{"d:Title": "All Music Guide: Mandy Moore", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy", "url": "http://www.allmusic.com/artist/mandy-moore-p373915"} +{"d:Title": "MTV.com: Mandy Moore", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy", "url": "http://www.mtv.com/artists/mandy-moore/"} +{"d:Title": "Christian Science Monitor", "d:Description": "Interview about her movie A Walk to Remember.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy/Articles_and_Interviews", "url": "http://csmonitor.com/2002/0125/p17s01-almo.html"} +{"d:Title": "Lindzi.com: The Next Big Thing", "d:Description": "Interview about her music, movies, and life. Includes photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy/Articles_and_Interviews", "url": "http://www.lindzi.com/interviews/mandy.htm"} +{"d:Title": "AskMen.com : Mandy Moore", "d:Description": "Pictures, biography, ratings and links on the beautiful young singer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy/Articles_and_Interviews", "url": "http://www.askmen.com/celebs/women/singer/31_mandy_moore.html"} +{"d:Title": "Mandy Moore 2000", "d:Description": "Fan site with news, tour dates, pictures, journal, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy/Fan_Pages", "url": "http://www.angelfire.com/ma3/Mandy2000/MandyMoore2000.html"} +{"d:Title": "Mandy UK Online", "d:Description": "Contains news, pictures, a biography, music and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy/Fan_Pages", "url": "http://www.mandy-moore.s5.com/"} +{"d:Title": "Any Song Lyrics : Mandy Moore", "d:Description": "A complete listing of Mandy Moore lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Mandy/Lyrics", "url": "http://www.anysonglyrics.com/lyrics/m/mandymoore.htm"} +{"d:Title": "Wikipedia: Scotty Moore", "d:Description": "Offers biography, musical background and external links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Scotty", "url": "http://en.wikipedia.org/wiki/Scotty_Moore"} +{"d:Title": "Scotty Moore", "d:Description": "Official site includes the musician's history, discography, studio sessions, tour dates, articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Scotty", "url": "http://www.scottymoore.net/"} +{"d:Title": "Rock and Roll Hall of Fame: Scotty Moore", "d:Description": "Inductee profile, timeline and essential recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moore,_Scotty", "url": "http://www.rockhall.com/inductees/scotty-moore/"} +{"d:Title": "All Music Guide: Michael Morales", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morales,_Michael", "url": "http://www.allmusic.com/artist/michael-morales-p13715"} +{"d:Title": "Chaos Control: Morcheeba", "d:Description": "A humorous interview with the band, conducted while they were on the Lilith Fair tour in 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morcheeba", "url": "http://www.chaoscontrol.com/morcheeba/"} +{"d:Title": "Salon | Sharps and Flats: Morcheeba", "d:Description": "A review of The Big Calm.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morcheeba", "url": "http://www.salon.com/1998/03/24/sharps_131/"} +{"d:Title": "Metacritic: Fragments of Freedom", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morcheeba/Reviews", "url": "http://www.metacritic.com/music/fragments-of-freedom/morcheeba"} +{"d:Title": "Audio Video Revolution: Morcheeba - Big Calm", "d:Description": "Jason Karsh's review: \"This is an album certainly worth adding to your current rotation.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morcheeba/Reviews/Big_Calm", "url": "http://www.avrev.com/music-disc-reviews/audio-cd/morcheeba-big-calm.html"} +{"d:Title": "Charlie Morgan", "d:Description": "Site of the former Elton John drummer. Includes a tour diary and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morgan,_Charlie", "url": "http://www.manicdrums.com/"} +{"d:Title": "Absolute Divas: Alanis Morissette", "d:Description": "Featuring a biography, discography, lyrics, and picture sections.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://www.absolutedivas.com/alanis/"} +{"d:Title": "Anagram Genius: Alanis Morissette", "d:Description": "Provides a list of anagrams for the artist's name.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://www.anagramgenius.com/archive/alanis.html"} +{"d:Title": "IMDb: Alanis Morissette", "d:Description": "Includes biography, trivia, photos, and filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://www.imdb.com/name/nm0001551/"} +{"d:Title": "All Music Guide: Alanis Morissette", "d:Description": "Includes biography, profile, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://www.allmusic.com/artist/alanis-morissette-p144717"} +{"d:Title": "AskMen: Alanis Morissette", "d:Description": "Contains pictures, biography, ratings and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://www.askmen.com/celebs/women/singer/50_alanis_morissette.html"} +{"d:Title": "Yahoo Music: Alanis Morissette", "d:Description": "Includes biography, pictures, video and audio clips, news, album and concert reviews, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://new.music.yahoo.com/alanis-morissette/"} +{"d:Title": "RollingStone: Alanis Morissette", "d:Description": "Includes a biography, discography, photos, articles, trivia, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://www.rollingstone.com/music/artists/alanis-morissette"} +{"d:Title": "MTV: Alanis Morissette", "d:Description": "Includes sound files, news, reviews, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis", "url": "http://www.mtv.com/artists/alanis-morissette/"} +{"d:Title": "Alanis Utopia", "d:Description": "Forum for discussing general issues and analyzing Morissette's music, as well as sharing and trading audio and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Chats_and_Forums", "url": "http://www.alanisutopia.com/"} +{"d:Title": "AlanisMorissette.info", "d:Description": "A large, active forum for fans to discuss her songs, music, lyrics, life, career and just about everything else.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Chats_and_Forums", "url": "http://www.alanismorissette.info/"} +{"d:Title": "Pure Alanis", "d:Description": "Provides links to other related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Directories", "url": "http://members.tripod.com/purealanis/"} +{"d:Title": "Alanis Morissette Joining You Webring", "d:Description": "A form for joining the fan web ring.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Directories", "url": "http://www.angelfire.com/ia/pandora/alanisring.html"} +{"d:Title": "Right Through You", "d:Description": "Includes studio and live audio files. [English and Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Downloads", "url": "http://www.alanisaudio.galeon.com/"} +{"d:Title": "Teresa's Alanis Morissette Site", "d:Description": "Includes tour dates, news, rumors, video and song guide, discography, articles, lyrics, audio, video, FAQ, bootlegs, photos, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Fan_Pages", "url": "http://alanismorissette.8m.com/"} +{"d:Title": "Alanis Morissette Site", "d:Description": "Includes wallpapers, biography, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Fan_Pages", "url": "http://alanisdg.tripod.com/"} +{"d:Title": "Alanis Morissette Live", "d:Description": "Information on the Junkie Tour, 99-00. Includes information on Alanis, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Fan_Pages", "url": "http://www.pyramid.8m.com/alanis"} +{"d:Title": "Alanis Morissette Lyrical Analysis", "d:Description": "Includes photos, lyrics, and analyses of several of her songs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Fan_Pages", "url": "http://lyricanalysis.tripod.com/"} +{"d:Title": "@lanis", "d:Description": "Includes biography, discography, lyrics, pictures galleries and links. In English, French, German, Dutch, Portuguese, Spanish, and Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Fan_Pages", "url": "http://pagesperso-orange.fr/jproblin/"} +{"d:Title": "Charlie Anderson's Alanis Page", "d:Description": "Includes quotes, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Fan_Pages", "url": "http://www.charlieanderson.com/Alanis.htm"} +{"d:Title": "You Can't Do That On Television", "d:Description": "A photo gallery of her appearances on the show.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Image_Galleries", "url": "http://www.ycdtotv.de/wlpg/yalani01.htm"} +{"d:Title": "CurrentFilm.com: Alanis Morissette - Feast On Scraps", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews", "url": "http://www.currentfilm.com/dvdreviews4/feastonscrapsdvd.html"} +{"d:Title": "CurrentFilm.com: Alanis Morissette - Jagged Little Pill-Live", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews", "url": "http://www.currentfilm.com/dvdreviews/jaggedlittlepilldvd.html"} +{"d:Title": "Entertainment Ave: Alanis Morissette", "d:Description": "Review of a concert at The New World Music Centre in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/alanis_morissette/am090196.htm"} +{"d:Title": "CANOE: Alanis Morissette - So-Called Chaos", "d:Description": "Darryl Sterdan's review for the Winnipeg Sun: \"On the 10 relentlessly confessional tracks, Morissette is still hauling around more baggage than J.Lo on safari.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews/So-Called_Chaos", "url": "http://jam.canoe.com/Music/Artists/M/Morissette_Alanis/AlbumReviews/2004/05/14/771457.html"} +{"d:Title": "Music Critic: Alanis Morissette - Supposed Former Infatuation Junkie", "d:Description": "Bill Aicher's review: \"I know I sound unprofessional, but this album does not deserve a professional review\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews/Supposed_Former_Infatuation_Junkie", "url": "http://www.music-critic.com/pop/alanis_junkie.htm"} +{"d:Title": "Music-Critic: Alanis Morissette - Under Rug Swept", "d:Description": "Peter Naldrett's review: \"While lacking the completeness of its predecessor, this is yet another collection of mature, insightful and addictive tracks that confirm Morissette's growing stature.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews/Under_Rug_Swept", "url": "http://www.music-critic.com/pop/alanis_underrugswept.htm"} +{"d:Title": "PlayLouder: Alanis Morissette - Under Rug Swept", "d:Description": "Sarah Bee's review: \"The good news is that despite the excess verbiage (which at least is hardly a shock), this is a Good Album.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews/Under_Rug_Swept", "url": "http://playlouder.com/dhtml/#/content/11349/under-rug-swept"} +{"d:Title": "TIME.com: Alanis Morissette - Under Rug Swept", "d:Description": "Kimberly Reyes' review: \"The singer/songwriter hits some sweet notes, but her subject matter's getting a bit tired.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews/Under_Rug_Swept", "url": "http://www.time.com/time/arts/article/0,8599,220205,00.html"} +{"d:Title": "CANOE: Alanis Morissette: Under Rug Swept", "d:Description": "Paul Cantin's review: \"she makes what would seem to be unworkable lyrics work.\"", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morissette,_Alanis/Reviews/Under_Rug_Swept", "url": "http://jam.canoe.com/Music/Artists/M/Morissette_Alanis/AlbumReviews/2002/02/21/771458.html"} +{"d:Title": "Maki's Cafe", "d:Description": "Fan site dedicated to Gotou Maki. Profile, news, icon and media downloads, Wallpapers and galleries. [Popups]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morning_Musume", "url": "http://members.tripod.com/md_maki/"} +{"d:Title": "Le Nuage d'Hitomi", "d:Description": "Yoshizawa Hitomi fan site. Videos, songs and galleries available.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morning_Musume", "url": "http://pages.infinit.net/yossui/"} +{"d:Title": "Aya Matsuura", "d:Description": "Hello Project's Aya Matsuura. Profile, galleries, audio samples and links available. [Popups]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morning_Musume", "url": "http://ayayachan.tripod.com/"} +{"d:Title": "Megchan's J-Pop Pages", "d:Description": "Related lyrics in English, Kana and Romanized versions.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morning_Musume", "url": "http://www.megchan.com/lyrics2/artists/morning_musume.html"} +{"d:Title": "Boston Phoenix: Mark Sandman 1952-1999", "d:Description": "A memorial to Sandman and an overview of his music career.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morphine", "url": "http://www.bostonphoenix.com/archive/music/99/07/08/MARK_SANDMAN.html"} +{"d:Title": "The Other Side", "d:Description": "Ian Hadfield's \"fanzine\", with information on Morphine's catalogue, tour history, and a guest book for fans to comment on the site and the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morphine", "url": "http://www.lukin.com/tos/"} +{"d:Title": "Gary Morris", "d:Description": "Official site. Information and updates on the country music entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morris,_Gary", "url": "http://www.garymorris.com/"} +{"d:Title": "CMT: Gary Morris", "d:Description": "Biography of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morris,_Gary", "url": "http://www.cmt.com/artists/gary-morris/"} +{"d:Title": "American Legends - Jim Morrison", "d:Description": "Articles, extracts, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://www.americanlegends.com/morrison/"} +{"d:Title": "Astrocartography of Jim Morrison", "d:Description": "Biography, astro-map, and analysis.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://www.dominantstar.com/b_mor.htm"} +{"d:Title": "Roman Wilderness", "d:Description": "Photos, album covers, autographs, poetry, and FBI files.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://www.angelfire.com/tx4/tapintoiggy7613/american_prayer/thedoors.html"} +{"d:Title": "Tribute", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://joffee.tripod.com/VA/ProjectX/tributes/morrison.htm"} +{"d:Title": "Jim Morrison - The Erotic Politician", "d:Description": "Biography, lyrics, galleries, quotes, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://angelfire.com/music4/jim_morrison"} +{"d:Title": "Waiting For The Sun: The Spirit of Jim Morrison", "d:Description": "Articles, interviews, and influences.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://www.waiting-forthe-sun.net/"} +{"d:Title": "Mary and Jim to the end.", "d:Description": "Account of Morrison's relationship with Mary Werbelow.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://www.sptimes.com/2005/webspecials05/mary-and-jim/"} +{"d:Title": "Cienega32", "d:Description": "Tour of Alta Cienega Room 32, studios, and other haunts.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://www.cienega32.com/"} +{"d:Title": "The Smoking Gun: Jim Morrison's Trouser Transcript", "d:Description": "Miami-Dade County trial transcript.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim", "url": "http://www.thesmokinggun.com/documents/crime/jim-morrisons-trouser-transcript-0"} +{"d:Title": "Grave of Pamela Susan Morrison (Courson)", "d:Description": "Burial information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim/Courson,_Pamela", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4355"} +{"d:Title": "Grave of Jim Morrison", "d:Description": "Photos of gravesite, and Paris apartment.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim/Death", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=740"} +{"d:Title": "Jim Morrison Grave Tour", "d:Description": "Offers a personal account of grave site visit on May 4, 1983, photo tour and video.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim/Death", "url": "http://jimmorrisongrave.homestead.com/"} +{"d:Title": "Rumors, Myths and Urban Legends", "d:Description": "Article by conspiratologist Thomas Lyttle.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Jim/Death", "url": "http://www.spunk.org/library/altern/pub/keith/sp000436.txt"} +{"d:Title": "Rollingstone.com: Van Morrison", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Van", "url": "http://www.rollingstone.com/music/artists/van-morrison"} +{"d:Title": "Rock and Roll Hall of Fame: Van Morrison", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Van", "url": "https://www.rockhall.com/inductees/van-morrison"} +{"d:Title": "Interview from 1971 with Rick McGrath", "d:Description": "Rare Vancouver interview with Van Morrison and members of the Street Band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Van", "url": "http://suncoastvanfans.blogspot.com/2011/12/rick-mcgrath-interview-with-van-1971.html"} +{"d:Title": "Van Morrison", "d:Description": "Filmography at IMDb with actor, composer, and TV guest credits.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Van", "url": "http://www.imdb.com/name/nm0607341/"} +{"d:Title": "Van Morrison", "d:Description": "Official site of the musician born in Northern Ireland, including biography, performance schedule, photographs, videos, discography, and interactive map of important places from his life in east Belfast.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrison,_Van", "url": "http://www.vanmorrison.com/"} +{"d:Title": "Morrissey: Pashernate Place", "d:Description": "Chords and lyrics to songs from his solo career, pictures, interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.angelfire.com/or2/gumbomusic/"} +{"d:Title": "Morrissey in Love and Hate", "d:Description": "Article from Queer in Your Ear.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.joeclark.org/QiYE4.html"} +{"d:Title": "Whatever Happens, I Love You", "d:Description": "Morrissey fan page includes articles, quotes, pictures, and fan convention information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.angelfire.com/80s/morrissey/"} +{"d:Title": "Hold On To Your Friends", "d:Description": "Trivia, pictures, news, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://members.tripod.com/~hotyf/home.htm"} +{"d:Title": "Musicfolio: Morrissey", "d:Description": "Discography with album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://musicfolio.com/modernrock/morrissey.html"} +{"d:Title": "Morrissey and The Smiths Ringtones", "d:Description": "Provides free ringtones, album by album, for programming into Nokia phones that support the 'Composer' function.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.morrisseyringtones.com/"} +{"d:Title": "ArtistDirect: Morrissey", "d:Description": "Links, CDs, biographies, homepages, contests, tour dates and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,470496,00.html"} +{"d:Title": "Morrissey Lyrics", "d:Description": "Song titles arranged in alphabetical order.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.lyricsdepot.com/morrissey/"} +{"d:Title": "Wikipedia: Morrissey", "d:Description": "Biography, discography, bibliography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://en.wikipedia.org/wiki/Morrissey"} +{"d:Title": "True To You: A Morrissey Zine", "d:Description": "Fan site and magazine dedicated to the artist Morrissey and his work.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.true-to-you.net/"} +{"d:Title": "RollingStone.com: Morrissey", "d:Description": "Includes a biography, discography, photos, videos, song clips, album reviews, RSS feed, articles, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.rollingstone.com/music/artists/morrissey"} +{"d:Title": "It May All End Tomorrow", "d:Description": "Lyrics, interviews, FAQs, fan survey and a list of original sources for the singer's song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey", "url": "http://www.compsoc.manchester.ac.uk/~moz/"} +{"d:Title": "Bill Morrissey", "d:Description": "Official site with news, discography, audio samples, press, book information, pictures, FAQs and the \"Birches\" discussion group.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Morrissey,_Bill", "url": "http://billmorrissey.net/"} +{"d:Title": "M\u00f6tley.c\u00f6m", "d:Description": "Official website featuring the latest news, band biographies, Nikki's diary, pictures, and MP3s.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.motley.com/"} +{"d:Title": "The Drummers of M\u00f6tley Cr\u00fce", "d:Description": "Contains pictures and biographies of former drummer Tommy, temporary stand in Sam and current drummer Randy.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.cruesticks.8m.com/"} +{"d:Title": "Rockmagic.net: M\u00f6tley Cr\u00fce", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://lyrics.rockmagic.net/lyrics/motley_crue/"} +{"d:Title": "Motley Crue Psycho", "d:Description": "Dedicated to the original Crue band members. Articles, pictures, and band member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.angelfire.com/80s/motleycruepsycho/"} +{"d:Title": "Entertainment Ave: Motley Crue and Megadeth", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/motley_crue/mc082000.htm"} +{"d:Title": "UnRated Magazine: Motley Crue", "d:Description": "Biography and CD review by Anthony Kuzminski.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.unratedmagazine.com/Bios/MotleyCrue.cfm"} +{"d:Title": "Motley Crue News: Topix", "d:Description": "News about Motley Crue continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.topix.com/who/motley-crue"} +{"d:Title": "RollingStone.com: Motley Crue", "d:Description": "Includes a brief biography, discography, photographs, articles and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.rollingstone.com/music/artists/motley-crue"} +{"d:Title": "MTV: Motley Crue", "d:Description": "A fan club with the latest news, upcoming concerts, message boards, audio/video, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://www.mtv.com/artists/motley-crue/"} +{"d:Title": "Chronological Crue", "d:Description": "Contains history, biography, discography including chart information, a list of past shows, pictures of fan tattoos, a chat and a filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motley_Crue", "url": "http://members.ozemail.com.au/~cruekiss/"} +{"d:Title": "Motorbaby", "d:Description": "Official site containing a biography, audio and video files, photographs, articles, merchandise, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motorbaby", "url": "http://www.motorbaby.com/"} +{"d:Title": "Rev Up Your Motorbaby", "d:Description": "Article by Amanda Hanlin from Renegade Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motorbaby", "url": "http://realalliance.com/renegade/spring00/motorbab.html"} +{"d:Title": "All Music Guide: Motorbaby", "d:Description": "Contains a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Motorbaby", "url": "http://www.allmusic.com/artist/motorbaby-mn0000928739"} +{"d:Title": "Motorhead - Metal Forever", "d:Description": "The heavy metal band's official site with news, band history, tattoo gallery, tour dates, photos, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://www.imotorhead.com/"} +{"d:Title": "Roughedge.com", "d:Description": "Reviews of the band's releases.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://www.roughedge.com/cdreviews/m/motorhead.htm"} +{"d:Title": "Alan Burridge's website", "d:Description": "Archive materials related to the band. From the head of the official Motorhead fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://www.alanburridge.freeuk.com/"} +{"d:Title": "Wikipedia", "d:Description": "Open-content encyclopedia article covering the band's history, discography, and influence.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://en.wikipedia.org/wiki/Mot%C3%B6rhead"} +{"d:Title": "Encyclopaedia Metallum - Mot\u00f6rhead", "d:Description": "Info on lineup changes, detailed discography, links, album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://www.metal-archives.com/band.php?id=203"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Motorhead", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://sfloman.com/motorhead.html"} +{"d:Title": "No Sleep 'Til Your Lawn's Dead", "d:Description": "Fan forum dedicated to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://motorhead.proboards.com/"} +{"d:Title": "MTV: Mot\u00f6rhead", "d:Description": "Music news, multimedia, and a short biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://www.mtv.com/artists/motorhead/"} +{"d:Title": "BNR Metal Pages: Motorhead", "d:Description": "Past and current band lineup, discography, and short biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mot\u00f6rhead", "url": "http://www.bnrmetal.com/v3/band/band/Moto"} +{"d:Title": "Just a Buzz Online", "d:Description": "News, upcoming dates, biographies, discographies, timeline, and information archives for the group, its members, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mott_the_Hoople", "url": "http://www.justabuzz.com/"} +{"d:Title": "Mott Archive", "d:Description": "News, plus detailed discographies, sleeve illustrations, cover versions, and guest appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mott_the_Hoople", "url": "http://home.lyse.net/mott/"} +{"d:Title": "96 Decibel Freaks", "d:Description": "Fan site with photos, interviews, and trade lists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mott_the_Hoople", "url": "http://users.wowway.com/~rmanson/"} +{"d:Title": "Mott The Hoople and Ian Hunter", "d:Description": "Lyrics, tablature, tour reports, photo galleries, discographies, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mott_the_Hoople", "url": "http://www.hunter-mott.com/"} +{"d:Title": "Granary Music", "d:Description": "Official site. Includes biography, discography, press and media, links, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mould,_Bob", "url": "http://www.granarymusic.com/"} +{"d:Title": "Drop-D Magazine: Diamonds in the Dirt", "d:Description": "Pieter Hofmann's review of the live show featuring Bob Mould and Mark Eitzel, in Vancouver, British Columbia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mould,_Bob", "url": "http://dropd.com/issue/30/BobMould/"} +{"d:Title": "All Music Guide: Bob Mould", "d:Description": "Biography, discography, song highlights and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mould,_Bob", "url": "http://www.allmusic.com/artist/bob-mould-p4968"} +{"d:Title": "The Mountain Goats", "d:Description": "Fan site includes discography, tour dates, database of past shows and song titles, mailing list, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mountain_Goats,_The", "url": "http://www.themountaingoats.net/"} +{"d:Title": "King of Spain for Unix Weenies", "d:Description": "\"Translation\" of the lyrics into geek-speak.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moxy_Fr\u00fcvous", "url": "http://www.mit.edu/~elliot/fruvous.html"} +{"d:Title": "Fruhead.Com", "d:Description": "Resource for the \"Fruhead Community\", includes bulletin boards for tape trading and trip planning.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moxy_Fr\u00fcvous", "url": "http://www.fruhead.com/"} +{"d:Title": "Alison Moyet Forums", "d:Description": "Fansite with forum (now closed) and gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moyet,_Alison", "url": "http://www.alisonmoyetforums.net/"} +{"d:Title": "Alison Moyet", "d:Description": "Official site. Includes biography, discography, news, multimedia and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Moyet,_Alison", "url": "http://www.alisonmoyet.com/"} +{"d:Title": "Mr. Big", "d:Description": "Official site. Includes band biography, discography, sound clips in MP3 and RealAudio formats, and photographs.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big", "url": "http://www.mrbigsite.com/"} +{"d:Title": "Mr. Big Bug", "d:Description": "Fan site with a news archive, a photograph gallery, and set lists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big", "url": "http://www.yeehaw.org/mbb/"} +{"d:Title": "MTV.com: Mr. Big", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big", "url": "http://www.mtv.com/artists/mr-big/"} +{"d:Title": "Paul Gilbert", "d:Description": "Official site of the former Mr. Big member. Includes news, photographs, and a discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big/Gilbert,_Paul", "url": "http://www.paulgilbert.com/"} +{"d:Title": "Paul Gilbert", "d:Description": "Fan site, with news and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big/Gilbert,_Paul", "url": "http://www.yeehaw.org/pg/"} +{"d:Title": "Vinyl Girl's Paul Gilbert Fan Page", "d:Description": "Photographs and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big/Gilbert,_Paul", "url": "http://members.tripod.com/~Vinyl_Girl/"} +{"d:Title": "Richie Kotzen", "d:Description": "Official site of the singer and guitarist. Includes news, biography, discography, a list of upcoming tour dates, and photographs.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big/Kotzen,_Richie", "url": "http://www.richiekotzen.com/"} +{"d:Title": "Billy Sheehan Online", "d:Description": "Official site with news, photographs, a discography, MP3 and RealAudio clips, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big/Sheehan,_Billy", "url": "http://www.billysheehan.com/"} +{"d:Title": "Billy Sheehan Collection", "d:Description": "Fan's collection of albums tied to the bass player.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Big/Sheehan,_Billy", "url": "http://www.billysheehancollection.com/"} +{"d:Title": "Bungle Fever", "d:Description": "An unofficial site with lyrics, news, tour dates, sound files, discography, and images.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Bungle", "url": "http://www.bunglefever.com/"} +{"d:Title": "Mr. Scruff", "d:Description": "Includes a profile, FAQs, tour dates, news and discography. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Scruff", "url": "http://www.mrscruff.com/"} +{"d:Title": "The Beatbox Story: Mr Scruff", "d:Description": "Dan Hodgett's four-part interview with Mr Scruff.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._Scruff", "url": "http://www.thebeatboxonline.50megs.com/story/beatbox2001/scruff1.html"} +{"d:Title": "Empty Starship: MTX", "d:Description": "News, commentary, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mr._T_Experience,_The", "url": "http://aquabotic.com/mtx/"} +{"d:Title": "Ms. Dynamite", "d:Description": "Fan site dedicated to the artist includes news, polls, photos, audio, biography, discography, lyrics, fan area, wallpaper, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ms_Dynamite", "url": "http://www.angelfire.com/rnb/msdynamiteuk/"} +{"d:Title": "BBC News: Ms Dynamite wins Mercury prize", "d:Description": "Rising rhythm and blues star Ms Dynamite is speechless after beating the favourite The Streets to win the Mercury prize.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ms_Dynamite", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/2262195.stm"} +{"d:Title": "Girl.com: Ms Dynamite", "d:Description": "Profile.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ms_Dynamite", "url": "http://www.girl.com.au/msdynamite.htm"} +{"d:Title": "The Oberver: Ms Dynamite: Blast of fresh air", "d:Description": "Interview after winning the Mercury prize.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ms_Dynamite", "url": "http://www.theguardian.com/music/2002/sep/22/mercuryprize2002.mercuryprize"} +{"d:Title": "The Guardian: Dynamite's victory blasts Mercury norms", "d:Description": "News item on Ms Dynamite winning the Mercury prize in 2002.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ms_Dynamite", "url": "http://www.theguardian.com/uk/2002/sep/18/arts.mercuryprize2002"} +{"d:Title": "BMI: Ms. Dynamite", "d:Description": "Profile.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Ms_Dynamite", "url": "http://www.bmi.com/news/entry/200059"} +{"d:Title": "Mudhoney from Seattle, WA", "d:Description": "A Mudhoney page containing the tourbook, a discography, and guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mudhoney", "url": "http://www.ocf.berkeley.edu/~ptn/mudhoney/"} +{"d:Title": "Sara's Mudhoney Page", "d:Description": "A history of the band and its links to other Seattle bands.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mudhoney", "url": "http://www.angelfire.com/sk/seattlebands/mudhoney.html"} +{"d:Title": "Mudhoney March To Fuzz", "d:Description": "Fan site offers a discography, pictures, lyrics, links, tablatures and tour dates. [English/Finnish]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mudhoney", "url": "http://www.mudhoneysite.com/"} +{"d:Title": "Gutter Punks' Muffs Page", "d:Description": "A fan site with photos, lyrics and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muffs,_The", "url": "http://www.angelfire.com/punk/themuffs/"} +{"d:Title": "Shawntabs.tripod.com", "d:Description": "Shawn Mullins guitar tab collection.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mullins,_Shawn", "url": "http://shawntabs.tripod.com/"} +{"d:Title": "Shawn Mullins - Beneath the Velvet Sun", "d:Description": "Official website with photos, news, multimedia, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mullins,_Shawn", "url": "http://www.shawnmullins.com/"} +{"d:Title": "Metacritic: Beneath the Velvet Sun", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mullins,_Shawn", "url": "http://www.metacritic.com/music/beneath-the-velvet-sun/shawn-mullins"} +{"d:Title": "Samantha Mumba Ireland", "d:Description": "Pictures and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mumba,_Samantha", "url": "http://samanthamumbaireland.tripod.com/"} +{"d:Title": "AskMen.com : Samantha Mumba", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mumba,_Samantha", "url": "http://www.askmen.com/celebs/women/singer_60/69_samantha_mumba.html"} +{"d:Title": "All Music Guide: Samantha Mumba", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mumba,_Samantha", "url": "http://www.allmusic.com/artist/samantha-mumba-mn0000832833"} +{"d:Title": "Mundy", "d:Description": "Official site includes news, lyrics, and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mundy", "url": "http://www.mundy.ie/"} +{"d:Title": "Music on CLUAS", "d:Description": "Interview with Mundy.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mundy", "url": "http://www.cluas.com/music/features/mundy.htm"} +{"d:Title": "Consumable Online", "d:Description": "Review of Jelly Legs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mundy", "url": "http://www.westnet.com/consumable/1997/06.24/revmundy.html"} +{"d:Title": "Roddy's Irish Music Show: Mundy", "d:Description": "Interview with the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mundy", "url": "http://www.almostfabulous.com/rc/interviews/mundy.php"} +{"d:Title": "Manic Mundy", "d:Description": "Niall Byrne interviews the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mundy", "url": "http://www.sortedmagazine.com/archive/magazine/features/mundy.htm"} +{"d:Title": "Donnie Munro", "d:Description": "Official site. Includes news, reviews, photos, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Munro,_Donnie", "url": "http://www.donniemunro.co.uk/"} +{"d:Title": "Murphey, Michael Martin", "d:Description": "Singer of American Cowboy Music and a leading promoter of the Western life style.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Murphey,_Michael_Martin", "url": "http://www.michaelmartinmurphey.com/"} +{"d:Title": "Michael Martin Murphey News: Topix", "d:Description": "News about Michael Martin Murphey continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Murphey,_Michael_Martin", "url": "http://www.topix.com/who/michael-martin-murphey"} +{"d:Title": "Elliott Murphy", "d:Description": "Official page with the latest news, MP3, information, mailing list, and complete discography for the legendary NY singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Murphy,_Elliott", "url": "http://www.elliottmurphy.com/"} +{"d:Title": "Gandalf Murphy and the Slambovian Circus of Dreams", "d:Description": "Official site includes biography, concert calendar, lyrics, reviews, sound files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Murphy,_Gandalf_and_the_Slambovian_Circus_of_Dreams", "url": "http://www.slambovia.com/"} +{"d:Title": "Anne Murray", "d:Description": "Official site with a discography, upcoming performances, biography, news and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Murray,_Anne", "url": "http://www.annemurray.com/"} +{"d:Title": "Anne Murray News: Topix", "d:Description": "News about Anne Murray continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Murray,_Anne", "url": "http://www.topix.com/who/anne-murray"} +{"d:Title": "AllMusic.com: Anne Murray", "d:Description": "Profile includes a biography, related artists and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Murray,_Anne", "url": "http://www.allmusic.com/artist/anne-murray-mn0000490549"} +{"d:Title": "Microcuts", "d:Description": "News, audio, tour dates, photos, discography,biography, multimedia, competitions, gear, lyrics, and tablature. [French and English]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.microcuts.net/"} +{"d:Title": "The Official Muse Website", "d:Description": "Contains news, videos, tour dates, discography, links, chat and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.muse.mu/"} +{"d:Title": "Crazybobbles", "d:Description": "Contains news, covers and various articles about the band Muse", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.crazybobbles.org/"} +{"d:Title": "NME.com: Muse", "d:Description": "Contains discography, articles, reviews, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.nme.com/artists/muse"} +{"d:Title": "Muse&Amuse", "d:Description": "Biography, discography, photos, news, tabs, lyrics, downloads, and MP3.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://mapage.noos.fr/maa3/"} +{"d:Title": "MuseWiki", "d:Description": "Includes news, discography, biography, tour dates, media articles, and videography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.musewiki.org/"} +{"d:Title": "Muse Live", "d:Description": "Lyrics, reviews, discography, images and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.muselive.com/"} +{"d:Title": "Setlist.fm: Muse", "d:Description": "User-maintained collection of show and setlist details.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.setlist.fm/setlists/muse-53d6ebd5.html"} +{"d:Title": "All Music Guide: Muse", "d:Description": "Short biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muse", "url": "http://www.allmusic.com/artist/muse-mn0000514563"} +{"d:Title": "The Music Online", "d:Description": "Fan site containing lyrics, a fan forum, audio files, a discography, a fan database, a chat room and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Music,_The", "url": "http://themusic1.tripod.com/"} +{"d:Title": "Muslimgauze: The Messenger", "d:Description": "Authorized site; includes interviews, articles, links, and a memorial to the late Bryn Jones.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muslimgauze", "url": "http://pretentious.net/Muslimgauze/"} +{"d:Title": "AmbiEntrance: Muslimgauze Tribute", "d:Description": "Tribute to the late Bryn Jones, with links to an interview and several album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muslimgauze", "url": "http://www.spiderbytes.com/ambientrance/mustrib.htm"} +{"d:Title": "Islamaphonia 2: The Muslimgauze Mailing List", "d:Description": "Group for discussion of the band's music, politics, and culture. [Requires Yahoo membership.]", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muslimgauze", "url": "http://groups.yahoo.com/group/islamaphonia2/"} +{"d:Title": "Arabbox", "d:Description": "Muslimgauze fan site with archive of show dates, discography, and list of the albums that are still unreleased.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Muslimgauze", "url": "http://arabbox.free.fr/"} +{"d:Title": "At The Show", "d:Description": "MxPx music videos, over 100 pictures, every released song by MxPx on RealAudio, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MxPx", "url": "http://www.mxpx.com/"} +{"d:Title": "Punk Rawk", "d:Description": "Includes news, pictures, biography, interviews and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MxPx", "url": "http://www.angelfire.com/va2/mxpx/"} +{"d:Title": "MxPx Magnified", "d:Description": "Fan site with biography, pictures, discography and lyrics. Also has information on side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MxPx", "url": "http://www.angelfire.com/punk2/mxpx1/"} +{"d:Title": "Decapolis: MxPx Interview", "d:Description": "Andrea Scratch interviews Mike of MxPx about his beliefs and about being a part of the Christian music scene.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MxPx", "url": "http://www.decapolis.com/musicreviews/interviews/mxpxinterview.shtml"} +{"d:Title": "The Punk Rawk Years", "d:Description": "A fan site that focuses on the old \"punk rawk\" days of the band. Includes MP3s, RealPlayer audio, midis, music videos, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MxPx", "url": "http://punkprincesskris.tripod.com/"} +{"d:Title": "Entertainment Ave: MxPx", "d:Description": "Review of a concert at The House of Blues in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MxPx", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/mxpx/mx081798.htm"} +{"d:Title": "MyNis", "d:Description": "Pictures and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/M/MyNis", "url": "http://www.angelfire.com/ca2/Cyrow/"} +{"d:Title": "Soundclick: Mytown", "d:Description": "MP3s, photos, message board and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/M/Mytown", "url": "http://www.soundclick.com/bands/default.cfm?bandID=3368"} +{"d:Title": "Perfect Sounds Forever - My Bloody Valentine", "d:Description": "A personal view of My Bloody Valentine.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://www.furious.com/perfect/mbv.html"} +{"d:Title": "WholeNote: My Bloody Valentine Guitar Tab", "d:Description": "Features list of guitar tablature at WholeNote.com, with interactive on-line guitar lessons, and guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://www.wholenote.com/tab/artist.asp?i=1912"} +{"d:Title": "Komakino Fanzine: My Bloody Valentine", "d:Description": "MP3 downloads and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://www.inkoma.com/pages/my_bloody_valentine.html"} +{"d:Title": "My Bloody Valentine", "d:Description": "Japanese fan site, with album covers and track lists.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://www.st.rim.or.jp/~seven/artist/mbv/mybloody.html"} +{"d:Title": "Kevin Shields/My Bloody Valentine Since Loveless", "d:Description": "Discography of Kevin Shields and My Bloody Valentine since 1992, with emphasis on side projects and remixes.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://mybloodyvalentine2.tripod.com/"} +{"d:Title": "To Here Knows Web", "d:Description": "Fan site includes news, discography, lyrics, images, MP3 clips, tablature, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://www.mybloodyvalentine.net/"} +{"d:Title": "My Bloody Valentine News: Topix", "d:Description": "News about My Bloody Valentine continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://www.topix.com/who/my-bloody-valentine"} +{"d:Title": "My Bloody Valentine: Loveless", "d:Description": "My Bloody Valentine: Loveless album review.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "http://yaleherald.com/archive/xxviii/1999.10.15/ae/p15bloody.html"} +{"d:Title": "Yahoo Music - My Bloody Valentine", "d:Description": "Message boards, song downloads, and links to related Yahoo Clubs.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Bloody_Valentine", "url": "https://music.yahoo.com/artist/my-bloody-valentine/"} +{"d:Title": "My Chemical Romance", "d:Description": "Official site for the East Coast rock band contains news, show dates, pictures, lyrics, audio clips, and a forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Chemical_Romance", "url": "http://www.mychemicalromance.com/"} +{"d:Title": "I'm Not Okay", "d:Description": "Fan site features news, discography, biography, lyrics, song meanings, wallpapers, fan art, forums and site history.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Chemical_Romance", "url": "http://www.imnotokay.net/"} +{"d:Title": "The My Chemical Romance Fan Fiction Community", "d:Description": "LiveJournal group featuring original stories about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Chemical_Romance", "url": "http://my-chemical-fic.livejournal.com/"} +{"d:Title": "Rockmagic.net: My Dying Bride", "d:Description": "Collection of lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Dying_Bride", "url": "http://lyrics.rockmagic.net/lyrics/my_dying_bride/"} +{"d:Title": "Lost Souls Domain: My Dying Bride", "d:Description": "Discography with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Dying_Bride", "url": "http://www.zenial.nl/html/mydyingb.htm"} +{"d:Title": "Satan Stole my Teddy Bear: My Dying Bride", "d:Description": "Discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Dying_Bride/Articles_and_Interviews", "url": "http://www.ssmt-reviews.com/artist/mydy.html"} +{"d:Title": "Sinsation", "d:Description": "General band information, a few pictures, two sound clips and an answer to the question \"Why Isn't TKK Ever On MTV?\".", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Life_With_The_Thrill_Kill_Kult", "url": "http://members.tripod.com/~homeygfunk/tkk.htm"} +{"d:Title": "The Velvet Edge", "d:Description": "News, tour dates, discography, pictures, sounds, biography, interviews and reviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Life_With_The_Thrill_Kill_Kult", "url": "http://velvet-edge.tripod.com/"} +{"d:Title": "Thrill Kill Kult", "d:Description": "Mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Life_With_The_Thrill_Kill_Kult", "url": "http://groups.yahoo.com/group/ThrillKillKult/"} +{"d:Title": "WBER", "d:Description": "A picture of Jackie Black and Groovie Mann at a college radio station.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Life_With_The_Thrill_Kill_Kult", "url": "http://wber.monroe.edu/gallery/thrill.html"} +{"d:Title": "Yahoo Groups: The Shock of Point 6", "d:Description": "Forum with news and rumors.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Life_With_The_Thrill_Kill_Kult", "url": "http://groups.yahoo.com/group/shockofpoint6/"} +{"d:Title": "Vox Album Reviews", "d:Description": "Review of Hit and Run Holiday.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Life_With_The_Thrill_Kill_Kult/Articles_and_Interviews", "url": "http://www.ucalgary.ca/UofC/students/VOX/Albums/thrillkill.htm"} +{"d:Title": "Queer in Your Ear", "d:Description": "Short review of Sexplosion.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Life_With_The_Thrill_Kill_Kult/Articles_and_Interviews", "url": "http://www.joeclark.org/QiYE1.html"} +{"d:Title": "My Vitriol", "d:Description": "News, biographies and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Vitriol", "url": "http://www.angelfire.com/oh/myvitriol/main.html"} +{"d:Title": "MyVitriol.com", "d:Description": "Official site. Offers news, biographies, audio downloads, tour schedule, pictures, message board, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/M/My_Vitriol", "url": "http://www.myvitriol.com/"} +{"d:Title": "Nasrot", "d:Description": "Hardcore band from Czech Republic. Includes sound files, biography, show dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nasrot.cz/"} +{"d:Title": "Nos Dos", "d:Description": "A small steel band based in the Netherlands, performing chamber music by old masters such as Bach, Beethoven and Mozart. Site contains a biography, photographs, and booking information. [English/Dutch]", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nosdos.freewebspace.com/"} +{"d:Title": "New Risen", "d:Description": "Synthpop-gothic musician from Nantes, France. Official site includes news, lyrics, audio, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://newrisen.free.fr/"} +{"d:Title": "Newmark, Mary Lou", "d:Description": "Electric violinist and composer. Includes music clips, original poetry, performance schedule, and pictures of her green violin.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.greenangelmusic.com/"} +{"d:Title": "NanyaNa", "d:Description": "Atlanta, Georgia funk rock band. Audio files, news, pictures, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nanyana.com/"} +{"d:Title": "Noel, Cecilia and The Wild Clams", "d:Description": "Salsa/soul vocalist. Includes biography, audio and video, lyrics, image gallery, wallpaper, news, show dates, reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.cecilianoel.com/"} +{"d:Title": "Neurosis Inc.", "d:Description": "Colombian heavy metal band. Pictures, discography, MP3s, links, guestbook, videos, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.neurosiscolombia.com/"} +{"d:Title": "Nebel", "d:Description": "German one-man band fuses black metal, grindcore, and industrial. News and music to download.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://nebel.org/"} +{"d:Title": "N.G.I.", "d:Description": "Punk/Alternative Australian band. Pictures, lyrics, and some tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/al/ngipage/"} +{"d:Title": "No Shadow Kick", "d:Description": "Western Massachusetts rock band. Biography, MP3s, links, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.noshadowkick.com/"} +{"d:Title": "Nashville Teens", "d:Description": "British Invasion sixties band. Discography, history, photo album, audio clips, gig list, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nashville-teens.com/"} +{"d:Title": "New Middle Class", "d:Description": "A folk/pop duo based in Westchester, New York. Biography, discography, CD-ROM release news, reviews, and upcoming performances.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.newmiddleclass.com/"} +{"d:Title": "Nato", "d:Description": "Pop/rock performing songwriter from Burlington, Vermont. Biography, discography, video, ordering information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://natosongs.com/"} +{"d:Title": "Nits", "d:Description": "Official site. News, discography, photos, links, timeline, reviews, guestbook, and store.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nits.nl/"} +{"d:Title": "n'evergreen", "d:Description": "Danish pop solo project; includes news, biography, pictures, song lyrics and samples.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nevergreen.dk/"} +{"d:Title": "Nervebreakers, The", "d:Description": "Texas punkabilly band. History, photos, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nervebreakers.com/"} +{"d:Title": "National Park", "d:Description": "Glasgow, Scotland indie pop band. News, reviews, audio samples, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nationalparksite.com/"} +{"d:Title": "Nimbus", "d:Description": "Profile and pictures for the Michigan band.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://nimbus9.tripod.com/main.html"} +{"d:Title": "Nex", "d:Description": "Homepage to the Zurich, Switzerland based alternative band Nex. Their music is a wild mixture of Surf, Metal, Bossa Nova, Punk and Ragga.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/boybands/nex/"} +{"d:Title": "No Authority", "d:Description": "No Authority are a 9 piece ska punk band from Germany.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.skapunk.de/"} +{"d:Title": "Nyle", "d:Description": "Contemporary pop/rock music. Audio files, concert dates, biography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nyle.ch/"} +{"d:Title": "New Zion", "d:Description": "Profile, sound files, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/oh3/NewZion/"} +{"d:Title": "Newhouse, Kari", "d:Description": "Official site contains notes from Kari, MP3 and RealMedia downloads, show dates, bio, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://karinewhouse.com/"} +{"d:Title": "Neurobox", "d:Description": "New York based band. Biography, photos, gig dates, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.neurobox.8m.com/"} +{"d:Title": "NarrowBacks, The", "d:Description": "San Francisco rock band. Song list, photos, links, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.narrowback.com/"} +{"d:Title": "Nuclear Junior", "d:Description": "Steve's webpage for Nuclear Junior. Photo gallery, sound bytes, band information, upcoming gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/music2/nuclearjunior/"} +{"d:Title": "Noyes, Jeanine", "d:Description": "Singer/songwriter. Biography, discography, and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.jeaninenoyes.com/"} +{"d:Title": "Neycha", "d:Description": "News, MP3s, message board, links, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.neycha.com/"} +{"d:Title": "Northey, Craig", "d:Description": "Official site with biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.craignorthey.com/"} +{"d:Title": "Noble, Bud", "d:Description": "The pianist's home page with biography, CD information, and a tribute to his uncle, Ray Noble.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nobello.com/"} +{"d:Title": "Nuncyspungen", "d:Description": "Official site of the Philippine indie band includes a profile, news, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://nuncyspungen.iwarp.com/"} +{"d:Title": "NRG", "d:Description": "Dance band specializing in costuming, choreography and themes. Biographies, songlist, news, sound and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nrgband.com/"} +{"d:Title": "Neotrash", "d:Description": "German metal band. Official site, with news, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.neotrash.de/"} +{"d:Title": "Nineteen Five", "d:Description": "Groove-oriented metal band. Biography, links, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nineteen5.com/"} +{"d:Title": "Nyles, Ronnie", "d:Description": "Singer/songwriter with a powerful vocal style.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.ronnienyles.com/"} +{"d:Title": "New Orleans Wonderdogs", "d:Description": "A traditional/dixieland Jazz band featuring banjo, drums, reeds, cornet, bass and sousaphone plus vocals.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/jazz/wonderdogs/"} +{"d:Title": "No End", "d:Description": "Punk music from Frederick, Maryland. News, profiles, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/in2/noend/index.html"} +{"d:Title": "Nu Ground", "d:Description": "Fan site for the rhythm and blues act Nu Ground. Tour information, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/music2/ng/"} +{"d:Title": "Nitecrew", "d:Description": "Seattle-Tacoma area band. Official site includes biographies, show dates, repertoire, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nitecrew.net/"} +{"d:Title": "Noonan, Carol", "d:Description": "Official site includes CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.carolnoonanmusic.com/"} +{"d:Title": "Noon", "d:Description": "CD information and sound files for the triphop, or abstract hiphop, artist.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.ddrecords.com/noon.html"} +{"d:Title": "Never Never Land", "d:Description": "Band information and show dates. Local band in OKC soon to be hitting the scenes. Pages also includes biographical information and pictures of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nevernever.faithweb.com/"} +{"d:Title": "Navis, Kevin", "d:Description": "Roots rock singer. News, photos, biography, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/rock3/navismusic/"} +{"d:Title": "Nye, Cheryl", "d:Description": "Canadian singer/songwriter. News, photos, biography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.cherylnye.com/"} +{"d:Title": "Newton, Phil", "d:Description": "English singer/songwriter. News, MP3s, show dates, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.philnewton.de/"} +{"d:Title": "Niklbeer", "d:Description": "8 piece horn/accordion band playing the south Louisiana festival scene for 20 years. Zydeco, blues, Cajun, soul, old rock and roll, and country.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.niklbeer.com/"} +{"d:Title": "Nozari, Keo", "d:Description": "Music, design, writing, biography, news, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.keonozari.com/"} +{"d:Title": "Newbury, Mickey", "d:Description": "Late songwriter-performer's cite includes biography, news, CD sales and a listing of others' recordings of his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.mickeynewbury.com/"} +{"d:Title": "Nole, Chris", "d:Description": "Nashville pianist and producer. Biography, photos, discography, show dates, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.chrisnole.com/"} +{"d:Title": "Nelson, Paul", "d:Description": "Nelson's skillful guitar playing is melodic, bluesy and full of lyricism. MP3 samples, bio, photo gallery, and releases.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.paulnelsonguitar.com/"} +{"d:Title": "Nataraj XT", "d:Description": "French band that plays traditional Indian music. News, biography, discography, mailing list, message board, links, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.natarajxt.com/"} +{"d:Title": "Nelson, Sam", "d:Description": "The first exclusive interview with Sam Nelson about his upcoming debut CD.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/la2/samnelson/interview.html"} +{"d:Title": "Neilly, Vernon", "d:Description": "Find information on jazz musician Vernon Neilly.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://vernon.indiegroup.com/"} +{"d:Title": "Neptune Thomas", "d:Description": "Modern Rock/PowerPop band with a touch of punk, out of Orange County, California.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.neptunethomas.com/"} +{"d:Title": "Nexx", "d:Description": "Spanish melodic rock band. Biography, MP3s, reviews, photos, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.galeon.com/nexx"} +{"d:Title": "New Orleans Rhythm&Blues Company", "d:Description": "The Crescent City's hottest band.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.rhythmandbluescompany.com/"} +{"d:Title": "No Ropes", "d:Description": "A pop, euro-cowboy, alpine band.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.noropes.com/noropes.html"} +{"d:Title": "Nowhere Fast", "d:Description": "Punk band from Mason City Iowa's official website.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/band/NowhereFast/"} +{"d:Title": "New River Coal", "d:Description": "Folk/Rock Band from West Virginia.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.newrivercoal.com/"} +{"d:Title": "No Idea", "d:Description": "Official site of the San Antonio based alternative/punk band No Idea.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/band/NoIdea/"} +{"d:Title": "namesuppressed", "d:Description": "Australian techno grunge band. MP3s, discography, mailing list, biography, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.namesuppressed.com/"} +{"d:Title": "No Fronts", "d:Description": "The homepage of the hardcore band No Fronts.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nofronts.purespace.de/"} +{"d:Title": "Nichols, Grady", "d:Description": "Grady Nichols is a smooth jazz saxophonist with radio airplay and a dynamic stage show.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.gradynichols.com/"} +{"d:Title": "Newport, Kim", "d:Description": "Toured the Irish dance and cabaret circuit in the early 1980s.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.kimnewport.com/"} +{"d:Title": "Nadir D'Priest", "d:Description": "Fan site for 80s metal singer. Photos, news, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.chaoticworks.com/dpriest/"} +{"d:Title": "Nekropolis", "d:Description": "Horrorcore rap duo of Mr. Skillzz and M.C. Trauma. Includes biographies, news, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/myband/nekropolis/"} +{"d:Title": "No Kill I", "d:Description": "Star Trek punk rock band from Sacramento.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nokilli.com/"} +{"d:Title": "NorrisTones, The", "d:Description": "Biographies, pictures, sounds, upcoming gigs of The NorrisTones, an A Cappella, doo wop group from Norristown, Pennsylvania.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.thenorristones.com/"} +{"d:Title": "Nobody Special", "d:Description": "Central Florida's upcoming hardcore band, with song lists, biographies, upcoming shows, releases, and links to other local bands.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/band/NobodySpecial/Enterband.html"} +{"d:Title": "No Choice", "d:Description": "UK punk band. Discography, news, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://no-choice.20m.com/"} +{"d:Title": "Nelson, Kathy", "d:Description": "Sample some of Kathy's music, read her lyrics, see her picture, and find out how to contact her or buy a CD. From Boulder, Colorado.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.kathy-nelson.com/"} +{"d:Title": "Nicole, Sarah", "d:Description": "Music, news, pictures and rumors on Sarah Nicole.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/pop/sarahnicole/"} +{"d:Title": "Nikolaos, Ioannidis", "d:Description": "Young intellectual, composer, lyricist, guitar soloist and writer. Expresses revolutionary ideas by combining classical music with rock and Greek folk music. Lyrics profound, simple, coherent.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://homoecumenicus.com/ioannidis.htm"} +{"d:Title": "Nocturnus", "d:Description": "Nocturnus song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://lyrics.rockmagic.net/lyrics/nocturnus/"} +{"d:Title": "No Drinks For Jimmy", "d:Description": "Official home of Columbia Maryland's hottest modern rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/md/NoDrinksForJimmy/"} +{"d:Title": "Norway", "d:Description": "All up-to-date and official information on the melodic rock band Norway.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.norwayrocks.com/"} +{"d:Title": "New Earth", "d:Description": "This site contains MP3s, news, lyrics, pictures, and other stuff for the band New Earth. New Earth is an all original band with songs from the rock, blues, pop and country styles. Much of the music is styled after the great music of the late 60's and early 70's.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.new-earth.net/"} +{"d:Title": "NewSkin Music", "d:Description": "The official NewSkin website. NewSkin are a screamo metal band from England who sound like Glassjaw meets Mudvayne.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://newskinmusic.tripod.com/"} +{"d:Title": "Noise of Reality", "d:Description": "A Swedish heavy metal rock band. News, history, discography, song clips, photos, gig dates, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.truemetal.org/noise/"} +{"d:Title": "Nemesis Extinction Factor", "d:Description": "Canadian hard rock band. Biography, photos, media, shows, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://nemesisef.tripod.com/nemesisextinctionfactor/"} +{"d:Title": "No Excuse", "d:Description": "South Jersey Punk/emo/hardcore/poppunk band. They do originals and also covers from bands like Green Day, Millencolin.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/punk2/Noexcuse/"} +{"d:Title": "Nuit Band, The", "d:Description": "Biography, links, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://thenuitband.20m.com/"} +{"d:Title": "November Factory", "d:Description": "New York band. Official site includes biography, MP3s, photos, and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.novemberfactory.com/"} +{"d:Title": "New Territory", "d:Description": "Atlanta, Georgia jazz band. Show dates, audio clips, songlists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.new-territory.net/"} +{"d:Title": "Novembers Doom", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://lyrics.rockmagic.net/lyrics/november_doom/"} +{"d:Title": "Necromantia", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://lyrics.rockmagic.net/lyrics/necromantia/"} +{"d:Title": "Nightfall", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://lyrics.rockmagic.net/lyrics/nightfall/"} +{"d:Title": "Neutral", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://lyrics.rockmagic.net/lyrics/neutral/"} +{"d:Title": "None Other", "d:Description": "Orlando, Florida boy band. News, biography, photos, tour dates, reviews, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.noneother.com/"} +{"d:Title": "Nim Vind and Mr.Underhill", "d:Description": "Vamp rock band. Biography, audio samples, show dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nimvind.com/"} +{"d:Title": "Need For Panic", "d:Description": "New Hampshire indie rock band. Biography, pictures, upcoming shows, lyrics, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://npfap22.tripod.com/n4p2/top.htm"} +{"d:Title": "Naked Before God", "d:Description": "Official site for the Christian rock band from Dallas, Texas includes profile, pictures, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://nbeforegod.www2.50megs.com/"} +{"d:Title": "Nadir", "d:Description": "New Zealand hard rock band. MP3s, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://nadir.stormpages.com/"} +{"d:Title": "No Soap Rodeo", "d:Description": "Dark Pop music from Seattle.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.seanet.com/~kste/index.htm"} +{"d:Title": "Nabokov Project, The", "d:Description": "Pittsburgh, Pennsylvania based folk-alternative rock band. Site contains biography, lyrics, and link to MP3.com page.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.thenabokovproject.com/"} +{"d:Title": "New Alias, A", "d:Description": "Cromwell, Connecticut based punk/rock band. Biography, show dates, photos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.angelfire.com/band2/anewalias/"} +{"d:Title": "Nadsat Fashion", "d:Description": "Rock band based in New York City. Contains audio samples and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nadsatfashion.com/"} +{"d:Title": "Nuevos, The", "d:Description": "Sarasota, Florida based alternative rock band. Biography, photos, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.thenuevos.com/"} +{"d:Title": "New Orleans Delight", "d:Description": "New Orleans and Creole jazz band based in Denmark. Biography, show sates, member profiles, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.new-orleans-delight.dk/"} +{"d:Title": "Nelson, John", "d:Description": "Los Angeles based jazz pianist and composer. Biography, discography, show dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.joshnelsonmusic.com/"} +{"d:Title": "NB Ridaz", "d:Description": "Fan site with news, lyrics, photos, discography, fan club, reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://dreamyazteka.tripod.com/nb_ridaz/"} +{"d:Title": "North Sea Gas", "d:Description": "North Sea Gas from Edinburgh, Scotland have been performing for over 20 years. Find pictures, news and music clips on their official home page.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.schuerkamp.de/zope/nsg/"} +{"d:Title": "Norge, Kaare", "d:Description": "Guitarist from Denmark with a wide repertoire ranging from baroque to Latin inspired rhythms.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.kaare-norge.dk/"} +{"d:Title": "Newport, Alex", "d:Description": "Guitarist, vocalist, engineer, and producer. Discography and information on the various bands he has played in.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.alexnewport.com/"} +{"d:Title": "Nerves, The", "d:Description": "German punk band. Biography, news, show dates, audio, guestbook, quiz, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.cptproton.com/index.htm"} +{"d:Title": "NSPS", "d:Description": "History, band members, discography, lyrics and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nsps.net/"} +{"d:Title": "NoMotiv", "d:Description": "Band's site features news, shows, pictures, video, biography and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nomotiv.com/"} +{"d:Title": "Nienhuis, Kraig and the 9 House Band", "d:Description": "Press, events, audio samples and pictures for the Detroit-area band.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.9house.net/"} +{"d:Title": "Notstandskomitee", "d:Description": "Electronic industrial project by Malte Steiner. Pictures, technical details, video, remixes and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.block4.com/index.php?id=10"} +{"d:Title": "Nothing Inside", "d:Description": "Discography, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nothinginside.com/"} +{"d:Title": "Norcross, Todd", "d:Description": "Official site for the musician and recording artist containing news, lyrics, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.toddnorcross.net/"} +{"d:Title": "Nightmessager", "d:Description": "Discography, lyrics, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nightmessager.com/"} +{"d:Title": "Nivens, The", "d:Description": "Includes a band history, personnel information, photos, discography, sound files and equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.studiowizard.co.uk/nivens.html"} +{"d:Title": "Norulak, Tom and Carole", "d:Description": "Biographies, upcoming show dates, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://mysite.verizon.net/tomnorulak/biog.htm"} +{"d:Title": "New Teen Titans, The", "d:Description": "Contact information and audio samples from the San Antonio, Texas power trio.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://shinny99.tripod.com/"} +{"d:Title": "Nolan, Brendan", "d:Description": "An Irish folksinger and musician appearing regularly in the Tampa Bay area.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://brendannolan.com/index.html"} +{"d:Title": "None So Vile", "d:Description": "Discussion forum for the death metal band, None So Vile.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://nonesovile.yuku.com/"} +{"d:Title": "Nuages Jazz Guitar Duo", "d:Description": "North Wiltshire and South Oxfordshire based Jazz guitar duo playing standards, Swing, Latin and Gypsy Jazz", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.nuages.co.uk/"} +{"d:Title": "Negative Slope", "d:Description": "Modern rock with a punk gothic metal funk blend. Band information, merchandise, photos, song and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.negativeslope.com/"} +{"d:Title": "Namaste, Trevor", "d:Description": "Performs with didgeridoo, bansuri, and hang. Provides instrument background, performances and information on lessons.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://trevornamaste.nl/"} +{"d:Title": "Nickerson, Scott", "d:Description": "Drummer, percussionist and harmonica player; includes news and history.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.scottnickerson.com/"} +{"d:Title": "Nebel, Steve and Kristi", "d:Description": "Washington state Americana duo. Biography, calendar, audio clips, and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://sknebel.com/"} +{"d:Title": "Nielsen, Winn", "d:Description": "Sounds files and lyrics by the singer/songwriter from Denmark.", "topic": "Top/Arts/Music/Bands_and_Artists/N", "url": "http://www.winn.dk/"} +{"d:Title": "Lance of 'N Sync", "d:Description": "Includes links, biography, pictures, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://members.tripod.com/~SUNSHINE4EVER98/lance.html"} +{"d:Title": "Lance's Lair 4 Mature Fans", "d:Description": "Features photos, links, and greeting cards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://www.angelfire.com/music/lanceslair/"} +{"d:Title": "N'Love with Lance Bass", "d:Description": "Includes pictures, news, appearances, quotes, biography, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://www.angelfire.com/boybands/nsyncjameslancebass/"} +{"d:Title": "Lance Bass", "d:Description": "Contains biography and favorites list.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://www.angelfire.com/ny3/Butterflie/"} +{"d:Title": "Lovn' Lance", "d:Description": "Includes pictures, biography, and TV listings.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://www.angelfire.com/band/poofu/"} +{"d:Title": "Lance", "d:Description": "Contains pictures, links, and other features.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://www.angelfire.com/celeb/jlancebass/"} +{"d:Title": "Livin La Vida Lance", "d:Description": "Includes pictures, news, links, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://www.angelfire.com/me2/mylilpage/"} +{"d:Title": "Lance Bass Lovers Anonymous", "d:Description": "Includes news, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Bass,_Lance", "url": "http://groups.yahoo.com/group/lancebassloversanonymous/"} +{"d:Title": "Liz's Joshua Scott Chasez Site", "d:Description": "Includes biography, fun facts, e-mail and fan mail addresses, awards, polls, and quizzes.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Chasez,_JC", "url": "http://jcz_grl_lz.tripod.com/index-1.html"} +{"d:Title": "JC Chasez = Hotness", "d:Description": "Contains pictures, guest book for concert reviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Chasez,_JC", "url": "http://www.angelfire.com/music2/nsync143/"} +{"d:Title": "Chasez Zone, The", "d:Description": "Contains pictures, information, games, and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Chasez,_JC", "url": "http://www.angelfire.com/boybands/jchasezone/"} +{"d:Title": "Maria's JC Paradise", "d:Description": "Includes pictures, news, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Chasez,_JC", "url": "http://www.angelfire.com/wy/jcheaven/"} +{"d:Title": "JC Chasez Website, The", "d:Description": "Features information, pictures, and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Chasez,_JC", "url": "http://www.angelfire.com/me3/jcscottchasez/welcome.html"} +{"d:Title": "JC Chasez - The best part of 'N Sync", "d:Description": "Contains pictures, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Chasez,_JC", "url": "http://members.tripod.com/bubba_gump16/"} +{"d:Title": "Cursors", "d:Description": "You can change your arrow pointer into Justin, Lance, JC, Justin, and Chris. Make your cursor become any member of 'N Sync.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Downloads", "url": "http://yellowtail9.tripod.com/Nsync/index2.htm"} +{"d:Title": "Cimorene's 'N Sync Fan Fiction", "d:Description": "Offers serial, humorous fan fiction set in exotic Japan.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/cimfic/"} +{"d:Title": "Cloud Nine", "d:Description": "Contains fan fiction, fan art, poems, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/ct2/nsyncfanfiction/"} +{"d:Title": "Crunk Fan Fiction", "d:Description": "Stories about 'N Sync, mainly focusing on Chris.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/crunkfanfic/"} +{"d:Title": "Digital Getdown", "d:Description": "Offers a collection of stories and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://dgdnfanfics.tripod.com/"} +{"d:Title": "Doozer's Fan Fiction", "d:Description": "Includes stories, poems, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/nm/DoozerFanFic/"} +{"d:Title": "Eva's 'N Sync Fan Fiction", "d:Description": "Contains original and hosted stories. Offers pictures, lyrics, links, and story hosting.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/ky2/evaajr/home.html"} +{"d:Title": "Dare To Dream", "d:Description": "Includes stories and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/ns/nsyncfiction/"} +{"d:Title": "FuBabyz R Us", "d:Description": "Features stories, links, banners, and cliques.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/gaiazfanfic/"} +{"d:Title": "Fiction Gurls 'N Sync Fiction", "d:Description": "Features stories by two authors.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/oh4/FictionGurls/"} +{"d:Title": "When Dreams Do Come True", "d:Description": "Contains fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/jessashfanfic/"} +{"d:Title": "Wishful Thinking", "d:Description": "Includes stories, rants, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/ny5/wishfulthinking/index2.html"} +{"d:Title": "Lost In A Dream", "d:Description": "Contains original and hosted stories, links, journal, free e-mail, and story hosting.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/kimmisnsyncplace/"} +{"d:Title": "Justin Corner, The", "d:Description": "Contains Justin fiction, contests, and banners.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://members.tripod.com/~desireedelaine/index2.html"} +{"d:Title": "'N Our Dreams", "d:Description": "Features stories, links, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/catiebug/"} +{"d:Title": "Nsynchronicity", "d:Description": "Features stories and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/pop/nsynchronicity/home.html"} +{"d:Title": "'N Sync's Orlando Stories", "d:Description": "Features two stories.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/fl4/orlandostories/"} +{"d:Title": "'N Sync Fiction", "d:Description": "Features original fiction and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/music2/fanfic/"} +{"d:Title": "'N Sync Fiction", "d:Description": "Features stories, links, web rings, and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/nsyncgurl4/"} +{"d:Title": "Planet 'N Sync Fiction", "d:Description": "Includes original and hosted stories.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/in2/nsyncfic/"} +{"d:Title": "Space Cowgirlz Prettiful 'N Sync Fan Fiction", "d:Description": "Includes original stories and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/boybands/n2nsyncfiction/"} +{"d:Title": "Vivid Images", "d:Description": "Contains stories, links and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/indie/CruizeNessa1/"} +{"d:Title": "Venus's 'N Sync Fan Fiction", "d:Description": "Includes original and hosted stories, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/pop/venusfiction/"} +{"d:Title": "Dolphin Princess' 'N Sync Fiction", "d:Description": "Featuring novels, short stories, co-written, campaigns, links, and hosted section.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/ri/dolphinprincess/"} +{"d:Title": "Writer of Fiction", "d:Description": "Includes stories and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/pop2/fiction_writer/"} +{"d:Title": "No Dreads Attached", "d:Description": "Featuring humor, fiction, and graphics. Main focus on Chris Kirkpatrick.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://ck.s5.com/"} +{"d:Title": "NSYNC-Fiction.com", "d:Description": "Searchable archive of fiction from multiple authors.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.nsync-fiction.com/"} +{"d:Title": "*NPerspective", "d:Description": "Long and short works by a single author.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Fiction", "url": "http://www.angelfire.com/fl3/fanpix/fiction/Index.html"} +{"d:Title": "'N Sync Keepin' It Real", "d:Description": "Contains biographies, pictures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/me3/HoJospage/"} +{"d:Title": "'N Sync and Backstreet Boy World", "d:Description": "Contains quiz, polls, jokes, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/tv/crazyme/"} +{"d:Title": "'N Sync Hype", "d:Description": "Contains pictures, biographies, chat room, lyrics, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://members.tripod.com/nsynchype/"} +{"d:Title": "'N Sync Creations", "d:Description": "Contains facts, concert reviews, news, TV listings, quizzes, pictures, and obsession signs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ca4/NsyncDrivesMeCrazy/"} +{"d:Title": "'N Sync Quotes", "d:Description": "Offers quotes by the boys.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/nsyncquotes/"} +{"d:Title": "'N Sync Fantasy", "d:Description": "Includes humor, biographies, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ca4/caknsyncfantasy/"} +{"d:Title": "'N Sync Zone", "d:Description": "Contains scrapbook, survey, message board, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://lazydaisy15.tripod.com/"} +{"d:Title": "'N Sync: Nterrupted", "d:Description": "Features information, quotes, multimedia, biographies, lyrics, fan fiction, a trade/buy/sell page, girlfriend information, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/getdigital/main.html"} +{"d:Title": "N'Space With 'N Sync", "d:Description": "Includes biographies, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/celeb/nsyncfanpage/"} +{"d:Title": "'N Sync's Digital Getdown", "d:Description": "Includes pictures, tour dates, biographies, personal encounters, surveys, links, and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/stars/NsyncsDigitalGetdown/"} +{"d:Title": "'N Sync Lobby", "d:Description": "Includes news, rumors, links, fan fiction, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/mac/nsynclobby/"} +{"d:Title": "'N Sync Rules My World", "d:Description": "Humor, vital statistics, fan fiction, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/NSyncRulestheWorld/"} +{"d:Title": "'N Sync Crunk 4Ever", "d:Description": "Contains games, pictures, multimedia, lyrics, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/newnsynccrunk4ever/splash.html"} +{"d:Title": "'N Sync 4 U", "d:Description": "Contains pictures, news, information, multimedia, and secrets.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/co3/nsync4u/intro.html"} +{"d:Title": "'N Sync and More", "d:Description": "Information on the band members, pictures, polls, fun things to do, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/fl4/miniE/"} +{"d:Title": "'N Sync: Behind The Nsanity", "d:Description": "Contains biographies, discography, quotes, pictures, appearances, fan fiction, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/music/nsync559/main.html"} +{"d:Title": "'N Sync Mania", "d:Description": "Contains news, pictures, concert, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://members.tripod.com/~CarissaWhite/"} +{"d:Title": "'N Sync Are Space Cowboys", "d:Description": "Includes humor, pictures, fan fiction, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/nsyncarespacecowboys/"} +{"d:Title": "'N Sync and 98 Degrees All The Way", "d:Description": "Contains pictures, lyrics, tour dates, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/98andnsyncalltheway/"} +{"d:Title": "'N Sync Heaven", "d:Description": "Includes profiles, photographs, lyrics, schedule, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ns/crunk4curlycutie/"} +{"d:Title": "'N Sync Hot Spot", "d:Description": "Contains pictures, stories, sound and video files, and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ns/nsynchotspot/"} +{"d:Title": "'N Sync Live 5", "d:Description": "Contains multimedia files, lyrics, schedules, history, biographies, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/NSYNCLive5/"} +{"d:Title": "'N Sync Page, The", "d:Description": "Includes pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/mt/nsync12/"} +{"d:Title": "'N Sync Palace", "d:Description": "Features pictures, news, tour dates, lyrics, biographies, games, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://members.tripod.com/nsync-palace/"} +{"d:Title": "'N Sync 'Nsiders", "d:Description": "Includes pictures, news, family page, behind the scenes, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/music/nsyncnsiders/"} +{"d:Title": "'N Sync Paradise", "d:Description": "Contains sound and video files, pictures, stories, articles, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/tn/kateevie/"} +{"d:Title": "'N Sync Rocks", "d:Description": "Includes pictures and pen pal section.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/me2/nsyncrocks/"} +{"d:Title": "'N Sync Rocks Da House", "d:Description": "Contains pictures, fan fiction, lyrics, message board, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/nsynceriffic/"} +{"d:Title": "'N Sync: They Had Strings But Now They're Free", "d:Description": "Includes biographies, pictures, news, lyrics, links, polls, and cliques.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/stringfree/"} +{"d:Title": "'N Sync Window, The", "d:Description": "Includes news, biographies, pictures, sound files, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/thensyncwindow/"} +{"d:Title": "'N Sync Zone", "d:Description": "Includes biographies, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/nsyncpuppets/enter.html"} +{"d:Title": "'N Sync-O-Rama", "d:Description": "Contains pictures, polls, message board, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/me2/Crunk4NSYNC/"} +{"d:Title": "'N Sync's Caribbean Island", "d:Description": "Contains biographies, photographs, schedule, chat room, lyrics, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/music/nsyncscarribeanisle/"} +{"d:Title": "'N Sync Dreams", "d:Description": "Includes news, billboard charts, NSA tour reviews, pictures, TRL charts, lyrics, and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/nsyncdreams/"} +{"d:Title": "'Ncredible 'N Sync Site", "d:Description": "Offers news, photographs, information, and rumors.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ky/nita/main.html"} +{"d:Title": "'N Sync Mania", "d:Description": "Includes pictures, biographies, adoptions, and cards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/fl2/nsyncmania1/"} +{"d:Title": "'N Sync All Around", "d:Description": "Contains quotes, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/PsychoSpatula/"} +{"d:Title": "'N Sync Dream Boys", "d:Description": "Contains facts, photos, humor, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/nsyncdreamboys/"} +{"d:Title": "'N Sync Mania", "d:Description": "Contains pictures and information on all the members.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://bananasplit216.tripod.com/"} +{"d:Title": "'N Sync Iz Da Bomb", "d:Description": "Contains biographies, pictures, history, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/nsyncizdabomb/"} +{"d:Title": "'N Sync's Hiccups", "d:Description": "Offers news, photos, graphics, biographies, lyrics, sound files, TV appearances, concert dates, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ca5/nsync5/"} +{"d:Title": "'N Sync Central", "d:Description": "Contains news, biographies, rumors, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ab/nsync8/"} +{"d:Title": "Nsync UK Fansite", "d:Description": "Features dates, news, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ns2/nsyncuk/menu.html"} +{"d:Title": "Gettin Freaky Deaky with 'N Sync", "d:Description": "Contains pictures, sound clips, articles, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://members.tripod.com/~curlyncrunk/FeakyDeakyNsync_index.html"} +{"d:Title": "Get 'N or Get Out", "d:Description": "Includes news, fan fiction, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/band/getNorgetOUT/"} +{"d:Title": "Gettin Crunk wit JC", "d:Description": "Includes pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/celeb/gettincrunkwitjc/frames.html"} +{"d:Title": "Get 'N Sync with the Backstreet Boys", "d:Description": "Offers biographies, tour information, pictures, reviews, links, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pa2/hotmusic/"} +{"d:Title": "Honu's 'N Sync Site", "d:Description": "Contains photos, information, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://honu78.tripod.com/honusnsync/"} +{"d:Title": "All Access Unofficial 'N Sync Page, The", "d:Description": "Includes lyrics, information, biography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/nj2/noeyspage/"} +{"d:Title": "Addicted 2 'N Sync", "d:Description": "Includes photographs, tour dates, TV listings, lyrics, articles, news, quiz, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/il2/a2nsyncers/"} +{"d:Title": "All Access 'N Sync", "d:Description": "Includes news, pictures, information, lyrics, newsletter, animations, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/vt/nsync/"} +{"d:Title": "A Little Bit Of 'N Sync", "d:Description": "Contains biographies, pictures and, links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop2/alwaysnsync4ever/"} +{"d:Title": "Are You Gunna Be There?", "d:Description": "Contains news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/areyougunnabethere/"} +{"d:Title": "Andrea's 'N Sync Page", "d:Description": "Contains pictures and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ar/tweetycat/"} +{"d:Title": "Anything 'N Sync", "d:Description": "Features chat, message board, polls, pictures, links, free e-mail, and e-cards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/nsyncsback/"} +{"d:Title": "All For *NSYNC", "d:Description": "Includes news, TV appearances, pictures, tour information and, search engine.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.nsync-fans.com/"} +{"d:Title": "Totally 'N Sync", "d:Description": "Contains biographies, reviews, quotes, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/nsyncfanatic4e/"} +{"d:Title": "Totally Cool 'N Sync Page", "d:Description": "Includes dolls, lyrics, pictures, and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/totallycoolnsyncpage/"} +{"d:Title": "Talented Members of 'N Sync, The", "d:Description": "Includes pictures, information, concert experiences, pictures, and funny stuff.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ny/hollywoodhotties/"} +{"d:Title": "They Drive Us Crazy", "d:Description": "Offers news, lyrics, fan fiction, humor, an audio file, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ia/tiffysync/"} +{"d:Title": "Yo-Yo's 'N Sync Galaxy", "d:Description": "Includes photographs and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/yt/yoyosnsync/"} +{"d:Title": "Wonderful World of 'N Sync, The", "d:Description": "Includes news, biographies, discography, pictures, lyrics, rumors, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/myband/laughylinda/"} +{"d:Title": "We Love 'N Sync", "d:Description": "Offers discography, biographies, photos, lyrics, fan fiction, quotes, tour dates, poetry, and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/mi3/welovensync/"} +{"d:Title": "We're 'N 2 'N Sync", "d:Description": "Includes fan fiction, news, chart standings, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ca2/n2nsync/"} +{"d:Title": "Our Own 'N Sync Page", "d:Description": "Includes pictures, multimedia, humor, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/on2/nsyncffiction/"} +{"d:Title": "Marie's 'N Sync Site", "d:Description": "Contains newsletter, biographies, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/mariesnsyncsite/"} +{"d:Title": "More Than a Feeling For 'N Sync", "d:Description": "With pictures, biographies, lyrics, and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/fl2/JandDsnsyncsite/"} +{"d:Title": "Bumpin' and Grindin' With 'N Sync", "d:Description": "Contains humor and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/oh2/bumpngrindwitnsync/"} +{"d:Title": "Crunk Inc.", "d:Description": "Includes biographies, lyrics, tour dates, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/nc2/crunkinc/"} +{"d:Title": "Crazy4juju", "d:Description": "Features information on CDs, unreleased songs, profiles of the boys, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/crazy4juju/"} +{"d:Title": "Crunk Love 'N Sync Style", "d:Description": "Includes pictures, biographies, fan fiction, tour dates, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/nsyncbabez/"} +{"d:Title": "Crunk Baby's Page", "d:Description": "Includes pictures, links, lyrics, biographies, stories, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/me2/crunkbaby/"} +{"d:Title": "Crazy 'Bout 'N Sync", "d:Description": "Contains pictures, profiles, adoptions, banners, quotes, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/md2/crazyboutnsync/"} +{"d:Title": "In The Sink", "d:Description": "Contains pictures, biographies, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://inthesink.fanspace.com/"} +{"d:Title": "Insane 4 'N Sync", "d:Description": "Includes pictures, lyrics, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/Nsynchotties/"} +{"d:Title": "It Gonna Be 'N Sync", "d:Description": "Includes poems, fan reviews, wallpaper, humor, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://it-gonna-be-nsync.tripod.com/"} +{"d:Title": "Justin's 'N Sync", "d:Description": "Includes photos and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/fl2/justinsnsync/"} +{"d:Title": "Just 'N Sync", "d:Description": "Contains news, biographies, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/stars/JustNsync/"} +{"d:Title": "Joanna's 'N Sync Paradise", "d:Description": "Includes biographies, pictures, links, polls, lyrics, schedule, obsession signs, encounters, MIDI's, news, and a quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://joannasnsyncparadise.htmlplanet.com/"} +{"d:Title": "JessC's 'N Sync Page", "d:Description": "Contains pictures, WAVs, facts, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/oh4/thensyncfans/"} +{"d:Title": "Jaime's 'N Sync Page", "d:Description": "Contains pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/biz5/jaimespage/"} +{"d:Title": "Jaime's 'N Sync Place", "d:Description": "Includes pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/music/nsyncplace/"} +{"d:Title": "Samantha and Jessica's 'N Sync World", "d:Description": "Includes lyrics and personal information on each member.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/boysofmusic/"} +{"d:Title": "Spice Boys", "d:Description": "Includes fan fiction, humor, polls, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/spiceboysnsync/home.html"} +{"d:Title": "Steph's 'N Sync Heaven", "d:Description": "Offers biographies, news, tour dates, quotes, and galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ns/StephsNsyncHeaven/"} +{"d:Title": "Let's Get 'N Sync", "d:Description": "Contains information, music clips, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/biz2/letsgetnsync/"} +{"d:Title": "Lauren's Pop Muzic Page", "d:Description": "Includes information, pictures, lyrics, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/pop/muzicpage/"} +{"d:Title": "Digital Getdown", "d:Description": "Contains pictures, biographies, sound files, polls, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/fl4/nsync131/"} +{"d:Title": "Daydreaming of 'N Sync", "d:Description": "Includes pictures and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://members.tripod.com/nsyncboo/"} +{"d:Title": "Deeply N' Love With 'N Sync", "d:Description": "Includes news, lyrics, and personal concert pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/ns/allfornsync/"} +{"d:Title": "Digital Connection, The", "d:Description": "Includes news, fan fiction, multimedia, and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/zine/thedigitalconnection/"} +{"d:Title": "Dirty Pop Forever", "d:Description": "Contains lyrics, pictures, album reviews, news, links, quotes, and TV listings.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://dirtypopforever.tripod.com/"} +{"d:Title": "Phat 'N Sync Fics and Pics", "d:Description": "Contains stories, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/nsync2822/"} +{"d:Title": "Pay Homage to the Gods of 'N Sync", "d:Description": "Contains transcripts, photographs, stories, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/mi2/promisesofnsync/"} +{"d:Title": "Universal 'N Sync", "d:Description": "Contains biographies, news, pictures, free e-mail, polls, and feedback options.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/boybands/UniversalNsync/"} +{"d:Title": "Ultimate 'N Sync World, The", "d:Description": "Contains biographies, pictures, links, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fan_Pages", "url": "http://www.angelfire.com/band/NsyncForever16/"} +{"d:Title": "Unofficial Joey Fatone Jr. Home Page", "d:Description": "Includes pictures, multimedia, humor, contests, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fatone,_Joey", "url": "http://members.tripod.com/joey-fatone/"} +{"d:Title": "Joey's Lair", "d:Description": "Contains biography, quotes, puzzles, pictures, cliques, sounds, wallpapers, dolls, icons, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fatone,_Joey", "url": "http://www.angelfire.com/music2/joeyslair/enter.html"} +{"d:Title": "Daniel's Kick Ass Joey Site", "d:Description": "Contains humor, pictures, and member biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fatone,_Joey", "url": "http://www.angelfire.com/tn/joeyzhott/"} +{"d:Title": "Joey Fatone's Fan Club", "d:Description": "Features photos, links, and greeting cards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fatone,_Joey", "url": "http://www.angelfire.com/pop/joeyfatonesfanclub/"} +{"d:Title": "'N Heaven with Joey Fatone", "d:Description": "Includes pictures, quotes, sound files, movies, facts, ex-girlfriends, biography, and quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fatone,_Joey", "url": "http://www.angelfire.com/pa3/joeyfatone/frames.html"} +{"d:Title": "Fatone Fever", "d:Description": "Contains pictures, information, links, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Fatone,_Joey", "url": "http://www.angelfire.com/music4/joeyfatone/"} +{"d:Title": "Stop The Nsanity", "d:Description": "Includes stories, pictures, and recreation.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/tn/nsynchumor1/"} +{"d:Title": "Justin and Four Other Members", "d:Description": "Includes reviews, skits, images, and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://alex-nikki.tripod.com/"} +{"d:Title": "Yo Yo Yo Dis be mah 'N Sync Webpage", "d:Description": "Contains pictures, links, and original insight.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/la2/lacigirlie/"} +{"d:Title": "JayLancie's Babes in Cyberland", "d:Description": "The place to find old fashioned satire.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/co2/JayLancie/"} +{"d:Title": "C 4 J 'N Sync Humor", "d:Description": "Contains news, reviews, tour information, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/fl3/c4jnsynclinks/"} +{"d:Title": "Everybody's Free (to make fun of 'N Sync)", "d:Description": "Contains humor stories, pictures, personal encounters, reviews, fun stuff, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/mn/nsynchumor/"} +{"d:Title": "Just Crunk It 2000", "d:Description": "Features humor.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/pop/welcometonsynchumor/"} +{"d:Title": "Tomato's 'N Sync Section", "d:Description": "Offers parodies, humor, news, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/ca6/tomatojewels/nsync.html"} +{"d:Title": "JC and The Hershey's Syrup", "d:Description": "Contains humor and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/pop/jcnthehersheyssyrup/"} +{"d:Title": "'N Sync Comics", "d:Description": "Features a comic book parody starring 'N Sync as superheroes.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://comics.polter.net/"} +{"d:Title": "N'side 'N Sync", "d:Description": "Contains humor and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/music2/LancesLuva/"} +{"d:Title": "'N Sync Funky Style", "d:Description": "Contains humor, interviews, and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/biz3/nsinwithnsync/"} +{"d:Title": "'N Sync Humor Archive", "d:Description": "Funny photos of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/boybands/nsynchumorarchive/"} +{"d:Title": "'N Sync 'N Sane", "d:Description": "Includes pictures, profiles, lyrics, quizzes, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/wv/crunkin4justy/"} +{"d:Title": "Barrel O Laughs, A", "d:Description": "Contains links, web rings, cliques, parodies, pictures, reviews, and chipmunk request live.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/oh3/lindsaymichelle/barrel.html"} +{"d:Title": "'Nuff 'N Sync", "d:Description": "Includes lyrics, pictures, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/boybands/NufNsync/intro.html"} +{"d:Title": "Why Dese Boys So Whack?", "d:Description": "Includes humor and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/boybands/nsynchumor2/whack.html"} +{"d:Title": "Some Strings Attached", "d:Description": "Contains humor and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Humor", "url": "http://www.angelfire.com/boybands/somestringsattached/"} +{"d:Title": "My 'N Sync Place", "d:Description": "Features a collection of pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Image_Galleries", "url": "http://www.angelfire.com/ne/bufydawsonshomepage/index.html"} +{"d:Title": "Galactic 'N Sync Gallery, The", "d:Description": "Image galleries with profiles and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Image_Galleries", "url": "http://www.angelfire.com/ky2/galacticgallary/"} +{"d:Title": "Froggie's 'N Sync World", "d:Description": "An unofficial website featuring pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Image_Galleries", "url": "http://www.angelfire.com/al2/froggie/"} +{"d:Title": "Marcy's 'N Sync Site", "d:Description": "Includes pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Image_Galleries", "url": "http://www.angelfire.com/il2/marcys/enter.html"} +{"d:Title": "Clover's Open Diary", "d:Description": "Includes pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Image_Galleries", "url": "http://angelfire.com/biz6/miki"} +{"d:Title": "'N Sync Archive", "d:Description": "Provides hundreds of pictures, videos, and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Image_Galleries", "url": "http://celebarchive.20m.com/nsync/"} +{"d:Title": "Chris Kirkpatrick", "d:Description": "Contains pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://www.angelfire.com/oh4/KirkpatrickLucky/"} +{"d:Title": "Crazy Chris City", "d:Description": "Includes profile, pictures, tour dates, rumors, jokes, and poetry.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://www.angelfire.com/on2/rosechild/"} +{"d:Title": "Chris Me Baby", "d:Description": "Contains a Chris website and an 'N Sync humor website.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://www.angelfire.com/rant/CAK4ever/"} +{"d:Title": "Chris Kirkpatrick", "d:Description": "Includes multimedia, interviews, photos, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://www.angelfire.com/oh4/chrisk/"} +{"d:Title": "Livin' on the Tour Bus with CK n Busta", "d:Description": "Includes 100+ pictures, games, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://www.angelfire.com/fl2/NSyncCK/"} +{"d:Title": "I Just Wanna Be With Chris", "d:Description": "Includes appearances, facts, photo gallery, poems, and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://www.angelfire.com/boybands/nsyncschrisk/"} +{"d:Title": "Kirkpatrick.nu", "d:Description": "Contains pictures, sound files, news, games, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://kirkpatrick.nu/"} +{"d:Title": "CK Files", "d:Description": "Includes profile, quotes, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Kirkpatrick,_Chris", "url": "http://kirkpatrick.nu/ckfiles"} +{"d:Title": "Dwee-dop, Dwee-doo", "d:Description": "Contains lyrics to all albums, misheard lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Lyrics", "url": "http://www.incredible.nu/lyrics/"} +{"d:Title": "MTV: 'N Sync", "d:Description": "MTV's 'N Sync site features music video clips, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Resources", "url": "http://www.mtv.com/artists/nsync/"} +{"d:Title": "Digital 'N Sync 2 the Webring", "d:Description": "Webring for 'N Sync sites.", "topic": "Top/Arts/Music/Bands_and_Artists/N/'N_Sync/Web_Rings", "url": "http://www.angelfire.com/tx4/digitalnsync/digitalnsync.html"} +{"d:Title": "n-trance online in '99", "d:Description": "News, information, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/N-Trance", "url": "http://www.n-trance.co.uk/"} +{"d:Title": "N-Trance", "d:Description": "Artist information, and song information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/N-Trance", "url": "http://www.danceartistinfo.com/n-trance.htm"} +{"d:Title": "NWA - Art or Irresponsibility?", "d:Description": "BAM Magazine interview.", "topic": "Top/Arts/Music/Bands_and_Artists/N/N.W.A.", "url": "http://www.daveyd.com/nwa.html"} +{"d:Title": "NWA: Ruthless Villains", "d:Description": "Articles, interviews, and photos of NWA.", "topic": "Top/Arts/Music/Bands_and_Artists/N/N.W.A.", "url": "http://www.angelfire.com/ma2/gwl620/"} +{"d:Title": "DonMega: NWA", "d:Description": "Biography compilation, and several downloadable images and movies.", "topic": "Top/Arts/Music/Bands_and_Artists/N/N.W.A.", "url": "http://www.donmega.com/nwa.html"} +{"d:Title": "Rollingstone.com: N.W.A.", "d:Description": "Includes biography, pictures, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/N.W.A.", "url": "http://www.rollingstone.com/music/artists/n-w-a"} +{"d:Title": "All Music Guide: NWA", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/N.W.A.", "url": "http://www.allmusic.com/artist/nwa-mn0000314793"} +{"d:Title": "All Music Guide: Nadanuf", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nadanuf", "url": "http://www.allmusic.com/artist/nadanuf-p225421"} +{"d:Title": "Nada Surf", "d:Description": "Official site, maintained by the band, includes tour dates, information, biographies, photos, lyrics, tablature, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nada_Surf", "url": "http://www.nadasurf.com/"} +{"d:Title": "BUMrock: Nada Surf Interview", "d:Description": "Nada Surf answers questions submitted by BUMrock readers in text and quicktime video.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nada_Surf", "url": "http://www.bumrock.com/articles/00/interview/1119nadasurf.html"} +{"d:Title": "Satoshi Nagasaki", "d:Description": "Biography of the rock guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nagasaki,_Satoshi", "url": "http://www.perfectflaw.ca/SatoshiMore.html"} +{"d:Title": "Nailbomb", "d:Description": "Fan site, with biography, discography, lyrics, links, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nailbomb", "url": "http://www.angelfire.com/band/Nailbomb/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nailbomb", "url": "http://lyrics.rockmagic.net/lyrics/nailbomb/"} +{"d:Title": "All Music Guide: Nailbomb", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nailbomb", "url": "http://www.allmusic.com/artist/nailbomb-mn0000856763"} +{"d:Title": "Anna Nalick", "d:Description": "Artist's official website has news, biography, photographs, sound clips, message board and the artist's journal.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://www.annanalick.com/"} +{"d:Title": "PopEntertainment.com: Anna Nalick - Breathtaking", "d:Description": "The young singer talks to Jay S. Jacobs about her debut album \"Wreck of the Day,\" vaudeville, Rainbow Brite and her coffee addiction.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://www.popentertainment.com/nalick.htm"} +{"d:Title": "Bella Online: Anna Nalick - The Singer, Her Story", "d:Description": "The singer tells Sheila M. Goss about writing her debut, high school battles of the bands and reality shows she would watch starring her cat.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://www.bellaonline.com/articles/art28049.asp"} +{"d:Title": "Top 40 Charts: Anna Nalick re-visits hometown with 'Joan Of Arcadia'", "d:Description": "Brief article about the singer's music being used on the TV series.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://top40-charts.com/news.php?nid=10993"} +{"d:Title": "USATODAY.com: 'Breathe' a bit of fresh air", "d:Description": "Anna tells Elysa Gardner about singing for her cat, her early Rush cover band and the healing power of fans.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://www.usatoday.com/life/music/news/2005-02-07-otv-nalick_x.htm"} +{"d:Title": "Get Ready to Rock: Wreck Of The Day", "d:Description": "Peter Whalley reviews Nalick's first CD.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://www.getreadytorock.com/reviews/anna_nalick.htm"} +{"d:Title": "AskMen.com: Anna Nalick", "d:Description": "Feature includes pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://www.askmen.com/celebs/women/singer_250/286_anna_nalick.html"} +{"d:Title": "MTV: Anna Nalick", "d:Description": "Artist information on Anna Nalick, including news, biography, message boards and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nalick,_Anna", "url": "http://www.mtv.com/artists/anna-nalick/"} +{"d:Title": "Nancy Boy", "d:Description": "Fan page with news, photos, biographies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nancy_Boy", "url": "http://ghiageocities.com/nancyboy.htm"} +{"d:Title": "Gianna Nannini", "d:Description": "The Italian singer's official home page. Biography, discography, press, a/v clips, fan club information, tour dates, and links. Content in Italian and English.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nannini,_Gianna", "url": "http://www.giannanannini.com/"} +{"d:Title": "Nanochrist", "d:Description": "Official site for the Canadian industrial metal band includes biography, sound files and samples, lyrics, reviews, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nanochrist", "url": "http://www.nanochrist.com/"} +{"d:Title": "Nanosecond", "d:Description": "The official website for Nanosecond has music videos and full CD in streaming MP3 and streaming Quicktime formats. This original artist website even has an indies link page for independent artists.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nanosecond", "url": "http://www.musicinit.com/"} +{"d:Title": "Napalm Death Lyrics at Rockmagic.net", "d:Description": "Napalm Death song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Napalm_Death", "url": "http://lyrics.rockmagic.net/lyrics/napalm_death/"} +{"d:Title": "Monica Naranjo", "d:Description": "Official site of Spanish vocalist includes news, biography, discography, lyrics, and photos. [English and Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/N/Naranjo,_M\u00f3nica", "url": "http://www.monicanaranjo.com/"} +{"d:Title": "qvMagazine Artist of the Month: Monica Naranjo", "d:Description": "An interview with the artist to discuss her Minage album.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Naranjo,_M\u00f3nica", "url": "http://www.qvmagazine.com/qvArtist/monica/"} +{"d:Title": "M\u00f3nica Naranjo", "d:Description": "Fan site includes biography, discography, lyrics, picture gallery, wallpaper, and articles. [English and Spanish]", "topic": "Top/Arts/Music/Bands_and_Artists/N/Naranjo,_M\u00f3nica", "url": "http://minage.tripod.com/"} +{"d:Title": "Nas News: Topix", "d:Description": "News about Nas continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nas", "url": "http://www.topix.com/who/nas"} +{"d:Title": "Rollingstone.com: Nas", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nas", "url": "http://www.rollingstone.com/music/artists/nas"} +{"d:Title": "MTV: Nas", "d:Description": "Nas album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nas", "url": "http://www.mtv.com/artists/nas/"} +{"d:Title": "PopMatters - Nas: Nastradamus", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nas/Reviews", "url": "http://www.popmatters.com/review/nas-nastradamus/"} +{"d:Title": "Pitchfork: Nas - God's Son", "d:Description": "Sam Chennault's review: \"In many ways, God's Son is lyrically superior to Illmatic. Nas has created an album that is at once mournful and resilient, street-savvy and academic.\" Rated 8.6: exceptional. (Jan. 13, 2003)", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nas/Reviews/God's_Son", "url": "http://pitchfork.com/reviews/albums/5710-gods-son/"} +{"d:Title": "A.V. Club: Nas - God's Son", "d:Description": "Nathan Rabin's review: \"God's Son is a worthy follow-up to Stillmatic, but The Lost Tapes is even better.\"", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nas/Reviews/God's_Son", "url": "http://www.avclub.com/review/nas-emgods-sonem-12137"} +{"d:Title": "Altrap.com: Nas - Illmatic", "d:Description": "Adrunk's review: \"Don't wait for, or expect, Nas to make another LP which is anything like 'Illmatic', because he won't. No one will.\" Rated 5/5.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nas/Reviews/Illmatic", "url": "http://www.altrap.com/write/?p=207"} +{"d:Title": "Nash Editions", "d:Description": "Conceived by R. Mac Holbert and Graham Nash in 1990 to develop methods of outputting Nash's digitally manipulated black and white photographs, Nash Editions is a traditional fine art printmaking studio that utilizes state-of-the-art digital imaging and output devices to produce limited edition prints. Among other cool items, it features a walk-through gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nash,_Graham", "url": "http://www.nasheditions.com/"} +{"d:Title": "All Music Guide: Johnny Nash", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nash,_Johnny", "url": "http://www.allmusic.com/artist/johnny-nash-p108898"} +{"d:Title": "Nashville Pussy", "d:Description": "Official site includes biography, news, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nashville_Pussy", "url": "http://www.nashvillepussy.com/"} +{"d:Title": "The Gauntlet: Nashville Pussy", "d:Description": "Information on the band and its members.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nashville_Pussy", "url": "http://www.thegauntlet.com/bio.php?id=283"} +{"d:Title": "ArtistDirect: Nashville Pussy", "d:Description": "Nashville Pussy Feature on iMusic. Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nashville_Pussy", "url": "http://www.artistdirect.com/artist/nashville-pussy/661038"} +{"d:Title": "Nash the Slash's Gravesite", "d:Description": "Official site of the late musician, announcing his retirement from music, and a link to a notice of his death.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nash_the_Slash", "url": "http://www.nashtheslash.com/"} +{"d:Title": "Nash the Slash", "d:Description": "Biography from the Artist Direct Network.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nash_the_Slash", "url": "http://www.artistdirect.com/artist/nash-the-slash/472150"} +{"d:Title": "Get Nasty", "d:Description": "News, discography, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nasty,_The", "url": "http://thenasty.tripod.com/new.html"} +{"d:Title": "The Nasty Stats", "d:Description": "News, setlists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nasty,_The", "url": "http://www.angelfire.com/il3/thenasty/index.html"} +{"d:Title": "Nasum", "d:Description": "Official site. Discography, news, lyrics, tour dates, guestbook, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nasum", "url": "http://www.nasum.com/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nasum", "url": "http://lyrics.rockmagic.net/lyrics/nasum/"} +{"d:Title": "Natural", "d:Description": "Fan site with biography, tour dates, poll, photos, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Natural", "url": "http://www.angelfire.com/boybands/naturalhotties/"} +{"d:Title": "Natural", "d:Description": "Orlando group made of five guys. Updates, concert dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Natural", "url": "http://www.angelfire.com/fl2/keepitnatural/"} +{"d:Title": "100% All Natural", "d:Description": "Fan site including pictures, bio, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Natural", "url": "http://allnaturalok.tripod.com/"} +{"d:Title": "Naturally Amazing", "d:Description": "Includes pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Natural", "url": "http://www.sitepalace.com/naturally/"} +{"d:Title": "Naughty by Nature", "d:Description": "Official site includes a history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Naughty_by_Nature", "url": "http://www.naughtybynature.com/"} +{"d:Title": "Dave Navarro's Guitars", "d:Description": "A site dedicated to the various guitars Dave Navarro has used in his career with bands such as Jane's Addiction, Red Hot Chili Peppers, Spread, and Disaster.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Navarro,_Dave", "url": "http://www.angelfire.com/tx/Brian817/dave.html"} +{"d:Title": "Not For Nothing - Dave Navarro", "d:Description": "Complete Dave Navarro discography and live show listing. articles, audio, pictures,wallpapers,aim buddy icons,and some links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Navarro,_Dave", "url": "http://notfornothing.net/"} +{"d:Title": "ArtistDirect: Dave Navarro", "d:Description": "Biography, tour dates, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Navarro,_Dave", "url": "http://www.artistdirect.com/artist/dave-navarro/472320"} +{"d:Title": "Official Nazareth Lyrics Site", "d:Description": "Album information, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nazareth", "url": "http://members.tripod.com/~nazarethman/index.html"} +{"d:Title": "Higginz Nazareth page", "d:Description": "News, pictures, albums, reviews, biographies, sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nazareth", "url": "http://members.tripod.com/Higgnaz/index.htm"} +{"d:Title": "Rock Photos", "d:Description": "Nazareth photos by Mats Andersson are available for purchase.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nazareth", "url": "http://home3.swipnet.se/~w-31333/nazareth.htm"} +{"d:Title": "Nazareth", "d:Description": "Official site for the legendary rockers from Scotland. Includes band history and member biographies, news, tour dates, discography, and album art.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nazareth", "url": "http://www.nazarethdirect.co.uk/"} +{"d:Title": "MTV: Nazareth", "d:Description": "News, biography, discography, reviews, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nazareth", "url": "http://www.mtv.com/artists/nazareth/"} +{"d:Title": "Good Old Jukebox", "d:Description": "Links and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nazareth", "url": "http://jukebox.au.nu/"} +{"d:Title": "Nazareth Sitering", "d:Description": "Web ring with Nazareth and band member related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nazareth", "url": "http://pub39.bravenet.com/sitering/show.php?usernum=3327296622"} +{"d:Title": "NPR Music: MeShell NdegeOcello in Concert", "d:Description": "A concert from WXPN and World Cafe Live in Philadelphia performed on January 11, 2008. Available as streaming audio.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Ndegeocello,_Me'Shell", "url": "http://www.npr.org/templates/story/story.php?storyId=17992251"} +{"d:Title": "NPR: Interview: Meshell Ndegeocello", "d:Description": "Interview which aired on The Tavis Smiley Show, October 16, 2003. Available as streaming audio.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Ndegeocello,_Me'Shell", "url": "http://www.npr.org/templates/story/story.php?storyId=1467764"} +{"d:Title": "Necrophobic", "d:Description": "Official site. News, biography, discography, tour dates, gallery, guestbook, links, forum, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Necrophobic", "url": "http://www.necrophobic.net/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Necrophobic", "url": "http://lyrics.rockmagic.net/lyrics/necrophobic/"} +{"d:Title": "All Music Guide: Necrophobic", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Necrophobic", "url": "http://www.allmusic.com/artist/necrophobic-mn0000384703"} +{"d:Title": "Neds Atomic Dustbin", "d:Description": "Official site with news items, videos, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Ned's_Atomic_Dustbin", "url": "http://www.nedsatomicdustbin.com/"} +{"d:Title": "MTV.com: Ned's Atomic Dustbin", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Ned's_Atomic_Dustbin", "url": "http://www.mtv.com/artists/neds-atomic-dustbin/"} +{"d:Title": "Negativworldwidewebland", "d:Description": "Negativland's official site. Samples of their recordings, dissertations on pop culture and copyright law, and information on their ''Over the Edge'' radio show (which can be heard live during broadcasts).", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://www.negativland.com/"} +{"d:Title": "KUCI: Negativland", "d:Description": "Unedited interview with Mark Hosler.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://www.kuci.org/text/interviews/nland.html"} +{"d:Title": "Suits, Lawsuits, and Art: Negativland Takes On the Man", "d:Description": "by Godfrey Daniels", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://www.deuceofclubs.com/write/negativl.htm"} +{"d:Title": "NPR Morning Edition: Music Industry Fights Piracy", "d:Description": "\"Madeline Brand reports on the music industry's latest crackdown on the illegal use of copyrighted material.\" Negativland is interviewed in this radio piece, archived in RealAudio format", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1024747"} +{"d:Title": "TrouserPress.com: Negativland", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://www.trouserpress.com/entry.php?a=negativland"} +{"d:Title": "The Onion's AV Club: Negativland", "d:Description": "Interview with band leader Mark Hosler on Pepsi and the modern condition.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://www.avclub.com/articles/negativland,13477/"} +{"d:Title": "All Music Guide: Negativland", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://www.allmusic.com/artist/negativland-mn0000863413"} +{"d:Title": "Wired: The Letter U and the Numeral 2", "d:Description": "Article by Colin Berry about the band's legal problems.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negativland", "url": "http://www.wired.com/1995/01/negativland/"} +{"d:Title": "All Music Guide: Chuck Negron", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negron,_Chuck", "url": "http://www.allmusic.com/artist/chuck-negron-p109129"} +{"d:Title": "Chuck Negron", "d:Description": "Former lead vocalist of Three Dog Night. Official site with news, photos, guestbook, biography, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negron,_Chuck", "url": "http://www.chucknegron.com/"} +{"d:Title": "AMG: Frankie Negron", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Negr\u00f3n,_Frankie", "url": "http://www.allmusic.com/artist/frankie-negron-p207271"} +{"d:Title": "Nelly", "d:Description": "Official site featuring news, photos, audio clips, biography, and tour information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly", "url": "http://www.nelly.net/"} +{"d:Title": "AskMen.com: Nelly", "d:Description": "Pictures, biography, comments, quote and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly", "url": "http://www.askmen.com/celebs/men/entertainment_60/93_nelly.html"} +{"d:Title": "MTV.com: Nelly", "d:Description": "Includes Nelly album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly", "url": "http://www.mtv.com/artists/nelly/"} +{"d:Title": "Nelly Lyrics", "d:Description": "Lyrics to Country Grammar.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly/Fan_Pages", "url": "http://kevin_berman_1.tripod.com/nelly.html"} +{"d:Title": "NellySource.com", "d:Description": "MP3s, pictures, discography, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly/Fan_Pages", "url": "http://www.angelfire.com/ri/dazzlerz/nellysite.html"} +{"d:Title": "Nelly Lyrics", "d:Description": "Lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly/Fan_Pages", "url": "http://www.davemcnally.com/Lyrics/Nelly/"} +{"d:Title": "Nelly Project", "d:Description": "Pictures, audio, video, lyrics, screen savers, wallpaper, and AIM icons.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly/Fan_Pages", "url": "http://www.angelfire.com/hiphop2/blazzin24/blazzin24.html"} +{"d:Title": "Nelly Uncut", "d:Description": "News, biography, discography, tattoos, quotes, interviews, audio, video, forum, polls, links, and quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelly/Fan_Pages", "url": "http://www.angelfire.com/hiphop2/nellyuncut/index.html"} +{"d:Title": "The Nelsons - Unofficially", "d:Description": "Information on twin rockers Gunnar and Matthew Nelson.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson", "url": "http://www.angelfire.com/band/thenelsons/"} +{"d:Title": "The Nelson Caravan", "d:Description": "Includes photo gallery, links, information, games, and fan mail.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson", "url": "http://nelsonline.8m.com/"} +{"d:Title": "The Nelson Interview", "d:Description": "2000 interview with Gunnar Nelson from Melodicrock.com.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson", "url": "http://www.melodicrock.com/interviews/gunnarnelson.html"} +{"d:Title": "Brother Harmony", "d:Description": "Includes biography, discography, lyrics, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson", "url": "http://www.sitepalace.com/nelsons/"} +{"d:Title": "MTV.com: Nelson", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson", "url": "http://www.mtv.com/artists/nelson/"} +{"d:Title": "Matthew and Gunnar Nelson", "d:Description": "Official site for the twin sons of late teen-idol, Rick Nelson, includes news, biographies, tour dates, sound files, lyrics, and CD information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson", "url": "http://www.matthewandgunnarnelson.com/"} +{"d:Title": "Official Website for Rick Nelson", "d:Description": "Tour his life through music, and photos. Buy merchandise and see video here.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson,_Rick", "url": "http://www.rickynelson.com/"} +{"d:Title": "Rick/Ricky Nelson's Official Website", "d:Description": "Informative site including Rick Nelson merchandise, photos, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson,_Rick", "url": "http://www.ricknelson.com/"} +{"d:Title": "Rockabilly Hall of Fame: Rick Nelson", "d:Description": "Features a brief biography, a list of links, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson,_Rick", "url": "http://www.rockabillyhall.com/RickyNelson.html"} +{"d:Title": "Ricky Nelson: A Tribute", "d:Description": "Fan tribute site with photos and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson,_Rick", "url": "http://members.tripod.com/~SharonTate/ricky.html"} +{"d:Title": "Rollingstone.com: Rick Nelson", "d:Description": "Includes biography, recordings, sound files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson,_Rick", "url": "http://www.rollingstone.com/music/artists/rick-nelson"} +{"d:Title": "Rock and Roll Hall of Fame and Museum", "d:Description": "Inductee detail for artist Rick Nelson", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nelson,_Rick", "url": "http://rockhall.com/inductees/ricky-nelson/"} +{"d:Title": "The Unofficial Nena Website", "d:Description": "News, biography, discography, gallery, lyrics, links, polls, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nena", "url": "http://www.angelfire.com/band/nenaband/"} +{"d:Title": "Nena Mania", "d:Description": "Biography, discography, lyrics, pictures and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nena", "url": "http://nenamania.weebly.com/"} +{"d:Title": "All Music Guide: Nena", "d:Description": "Provides a biography, discography, photo, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nena", "url": "http://www.allmusic.com/artist/nena-mn0000395187"} +{"d:Title": "Nerve", "d:Description": "An entire fan site for fans by fans, dedicated to punk band Nerve.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nerve,_The", "url": "http://www.nerve.8k.com/"} +{"d:Title": "Official Mike Ness Website", "d:Description": "Links to MP3s, lyrics, pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Ness,_Mike", "url": "http://mikeness.com/"} +{"d:Title": "ArtistDirect: Mike Ness", "d:Description": "Includes biography, links to websites, mp3, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Ness,_Mike", "url": "http://www.artistdirect.com/artist/mike-ness/558792"} +{"d:Title": "Neurosis", "d:Description": "Official site includes sound files, biography, tour dates, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Neurosis", "url": "http://www.neurosis.com/"} +{"d:Title": "Neutral Milk Hotel: In The Aeroplane Over the Sea", "d:Description": "Pitchforkmedia Review.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Neutral_Milk_Hotel/Articles_and_Interviews", "url": "http://pitchfork.com/reviews/albums/5758-in-the-aeroplane-over-the-sea/"} +{"d:Title": "Neve", "d:Description": "Columbia Records. All the news and information regarding Neve.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Neve", "url": "http://www.neve.com/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nevermore", "url": "http://lyrics.rockmagic.net/lyrics/nevermore/"} +{"d:Title": "Official Robbie Nevil Web Site", "d:Description": "Biography, his new artist search, discography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nevil,_Robbie", "url": "http://www.robbienevil.com/"} +{"d:Title": "All Music Guide: Robbie Nevil", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nevil,_Robbie", "url": "http://www.allmusic.com/artist/robbie-nevil-p5007"} +{"d:Title": "Official Aaron Neville Website", "d:Description": "Includes biography, discography, photos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Neville,_Aaron", "url": "http://www.aaronneville.com/"} +{"d:Title": "All Music Guide: Aaron Neville", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Neville,_Aaron", "url": "http://www.allmusic.com/artist/aaron-neville-mn0000582253"} +{"d:Title": "Mark Nevin", "d:Description": "UK singer, formerly of Fairground Attraction. Biography, discography, news, guestbook, audio samples, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nevin,_Mark", "url": "https://www.marknevin.com/"} +{"d:Title": "Mark Nevin at the Minx Club", "d:Description": "Photos of performance at the Minx Club, London, 24th July 2002.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nevin,_Mark", "url": "http://www006.upp.so-net.ne.jp/rocknsoul/fa/english/mark_photos_minx_e.html"} +{"d:Title": "Randy Newman", "d:Description": "Includes complete discography, lyrics, timeline showing movies and awards, his journal from European tour, schedule of performances, a biography written by David Wild, and interviews with text and audio.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.randynewman.com/"} +{"d:Title": "Walt Disney Soundtracks: \"Toy Story 2\"", "d:Description": "Disney's page for the soundtrack album containing Newman-composed songs and score; includes track list and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://disney.go.com/disneyrecords/toystory2/index.html"} +{"d:Title": "Yahoo! Groups: Randygroup", "d:Description": "Email community that discusses Randy Newman, his work and related topics. This group was founded in 1996.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://groups.yahoo.com/group/randygroup"} +{"d:Title": "Concert Review: Randy Newman", "d:Description": "Review of a concert in Winterthur, Switzerland.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.westnet.com/consumable/1995/Feb06.1995/revnewm.html"} +{"d:Title": "Singer's Humor Strikes a Chord", "d:Description": "Review of a concert at Sarasota, Florida's Van Wezel Hall.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.sptimes.com/News/102900/Artsandentertainment/Singer_s_humor_strike.shtml"} +{"d:Title": "Randy Newman Scores", "d:Description": "Newman discusses the financial aspects of movie scoring, and money issues in general, with Larry Getlen of bankrate.com", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.bankrate.com/brm/news/investing/20001221b.asp?keyword="} +{"d:Title": "Randy Newman", "d:Description": "A collection of Newman-related information, including photos of Taiwanese CD-ROM wraparounds and a transcription of Randy Newman's February 2001 questions and answers with the members of his Internet fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.romanization.com/personal/randy/"} +{"d:Title": "Getting the Score on Veteran Showman Randy Newman", "d:Description": "Interview just prior to a concert with the Oregon Symphony in Portland, Oregon.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.oregonlive.com/enter/index.ssf?/music/index.ssf%3F/music/00/11/al_61mnew1110.frame"} +{"d:Title": "Hoarse Foreman of the Apocalypse", "d:Description": "A detailed essay on Newman's early work by Clive James, published in \"Cream.\"", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.peteatkin.com/cjcream7.htm"} +{"d:Title": "The Village Voice: Old People Got No Reason", "d:Description": "A review of \"Bad Love\".", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.villagevoice.com/1999-06-29/music/old-people-got-no-reason/1"} +{"d:Title": "Rolling Stone: Randy Newman", "d:Description": "Reviews, a biography, a trivia quiz and a photo of the 1972 RS cover.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.rollingstone.com/music/artists/randy-newman"} +{"d:Title": "Dallas Observer Online: Maybe He's Doing It Wrong", "d:Description": "Lengthy interview, with biography and career review, upon release of \"Guilty\".", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.dallasobserver.com/1998-11-05/music/maybe-he-s-doing-it-wrong/"} +{"d:Title": "New Statesman: Love Bites", "d:Description": "Promotional interview for \"Bad Love\".", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.newstatesman.com/node/135096"} +{"d:Title": "The Graham Weekly Album Review", "d:Description": "Review of \"Bad Love\".", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.georgegraham.net/reviews/newman.html"} +{"d:Title": "IMDb: Randy Newman (I)", "d:Description": "Information on his soundtracks and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.imdb.com/name/nm0005271/"} +{"d:Title": "Salon Brilliant Careers: Randy Newman", "d:Description": "A three-part overview of Randy's career.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.salon.com/1999/08/24/newman/"} +{"d:Title": "MTV: Randy Newman", "d:Description": "News, profile, discography, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://www.mtv.com/artists/randy-newman/"} +{"d:Title": "Jam Showbiz: Randy Newman", "d:Description": "Several newspaper articles.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newman,_Randy", "url": "http://jam.canoe.com/Music/Artists/N/Newman_Randy/"} +{"d:Title": "Free Williamsburg: Joanna Newsom", "d:Description": "Interview and images.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newsom,_Joanna", "url": "http://www.freewilliamsburg.com/july_2003/newsom.html"} +{"d:Title": "Drag City: Joanna Newsom", "d:Description": "Discography, images, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newsom,_Joanna", "url": "http://www.dragcity.com/artists/joanna-newsom"} +{"d:Title": "Pitchfork Media: Joanna Newsom", "d:Description": "Review of The Milk-Eyed Mender.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newsom,_Joanna", "url": "http://pitchfork.com/reviews/albums/5893-the-milk-eyed-mender/"} +{"d:Title": "Entertainment Ave: Wayne Newton", "d:Description": "Review of a concert at The Wayne Newton Theatre in Las Vegas, Nevada.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton,_Wayne", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/n/wayne_newton/wn072901.htm"} +{"d:Title": "Only Olivia", "d:Description": "International fan club. Provides membership details, store, newsletters, music, memorabilia, biography, FAQs, news, mailing list, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.onlyolivia.com/"} +{"d:Title": "Goodman's Olivia Newton-John Fan Page", "d:Description": "Links, merchandise, chat, fan club information, news, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://gbmarks.com/olivia/"} +{"d:Title": "Olivia Newton-John", "d:Description": "Photos, postcards, and poetry. In English and Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.olivianj.com/"} +{"d:Title": "Werner's Olivia Newton-John Page", "d:Description": "Biography, collectables, links, message board, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.oliviaweb.de/start.htm"} +{"d:Title": "Forever and Always, Olivia Newton-John", "d:Description": "Photo galleries, news, articles, television schedule, wallpapers, message board and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.alwaysolivia.com/"} +{"d:Title": "OldieLyrics.com: Olivia Newton-John", "d:Description": "Features a collection of song lyrics ordered by albums.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.oldielyrics.com/o/olivia_newton-john.html"} +{"d:Title": "Olivia Newton-John News: Topix", "d:Description": "News about Olivia Newton-John continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.topix.com/who/olivia-newton-john"} +{"d:Title": "More Than Physical", "d:Description": "Provides information, news, downloads, biography, discography, tour dates, and images. By DJPaulT.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://morethanphysical.blogspot.com/"} +{"d:Title": "AskMen.com", "d:Description": "Career and personal biography, photos, comments and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.askmen.com/celebs/women/singer_150/160_olivia_newton_john.html"} +{"d:Title": "The Official Olivia Newton-John Website", "d:Description": "News, tour information, discography, biography, photo gallery, press kit, online store and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://olivianewton-john.com/"} +{"d:Title": "All Music Guide: Olivia Newton-John", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Newton-John,_Olivia", "url": "http://www.allmusic.com/artist/olivia-newton-john-mn0000473554"} +{"d:Title": "New Bohemians", "d:Description": "Official site. Features news, reviews, merchandise, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Bohemians", "url": "http://www.newbohemians.com/"} +{"d:Title": "Brickell, Edie and New Bohemians", "d:Description": "A multimedia discography including pictures, drawings, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Bohemians", "url": "http://annette.net/music/ebnb"} +{"d:Title": "New Edition", "d:Description": "Fan site, with biography, discography, photo gallery, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Edition", "url": "http://www.angelfire.com/la2/edition/"} +{"d:Title": "New Edition", "d:Description": "Dedicated to the rhythm and blues super group; lyrics, pictures, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Edition", "url": "http://www.angelfire.com/ne/NE/index.html"} +{"d:Title": "New Edition", "d:Description": "A tribute to this supergroup, Ralph Tresvant, Ron DeVoe, Ricky Bell, Mike Bivins, Bobby Brown, Johnny Gill", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Edition", "url": "http://members.tripod.com/Starrizzo/nehtml/ne.html"} +{"d:Title": "Artist Direct: New Fast Automatic Daffodils", "d:Description": "Profile, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Fast_Automatic_Daffodils", "url": "http://www.artistdirect.com/artist/new-fast-automatic-daffodils/472900"} +{"d:Title": "New Found Glory's Official Site", "d:Description": "The official site of the punk band on Drive Thru/MCA Records.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Found_Glory", "url": "http://www.newfoundglory.com/"} +{"d:Title": "New Found Glory's Punk Ass Club", "d:Description": "The official Yahoo Group for New Found Glory. Includes contests, member chat, files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Found_Glory", "url": "http://www.groups.yahoo.com/group/newfoundgloryspunkassclub"} +{"d:Title": "New Jerusalem", "d:Description": "A hard rock act with a spiritual groove. Positive vibes, messages and attitudes.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Jerusalem", "url": "http://www.graydot.com/support/njx.html"} +{"d:Title": "NKOTB.com", "d:Description": "Official site. Blogs, media, message board, community and reunion tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block", "url": "http://www.nkotb.com/"} +{"d:Title": "Twitter.com: NKOTB", "d:Description": "Official Twitter profile of the New Kids on the Block.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block", "url": "http://www.twitter.com/nkotb"} +{"d:Title": "New Kids On The Block Fan Club", "d:Description": "Over 50 members share the message board, chat room and photo album.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/newkidsontheblockfanclub/"} +{"d:Title": "NKOTB Still Rule", "d:Description": "Over 50 members who still love New Kids. Chat room, message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/nkotbstillrule/"} +{"d:Title": "69_89_99", "d:Description": "Shrine to Jordan Knight and Donnie Wahlberg, the site is open-minded and anything goes in the way of fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/69_89_99"} +{"d:Title": "Blockheads", "d:Description": "This mailing list is for all fans of Jordan Knight, Joe McIntyre, Donnie Wahlberg, Danny Wood and Jonathan Knight. This list is meant to keep all fans updated on events in their careers and as a way of keeping fans in touch.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/blockheads/"} +{"d:Title": "Day Dreaming of NKOTB", "d:Description": "Join and share the latest news and gossip about NKOTB.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/DayDreamingofNKOTB/"} +{"d:Title": "Euro NKOTB Fans", "d:Description": "This mailing list is solely for the fans of the rock band New Kids On The Block. A citizenship of any European country is an advantage but not absolute requirement to join.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/euroNKOTBfans"} +{"d:Title": "Global NK Club", "d:Description": "A list for fans from all over the world to join to talk about NKOTB.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/globalNKclub"} +{"d:Title": "I Love NKOTB", "d:Description": "A list for anyone who is a true fan of NKOTB.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/IloveNKOTB"} +{"d:Title": "2Loyal", "d:Description": "This is a list strictly for fans of New Kids on the Block (NKOTB), no non-believers. This is for fans all around the world that like to meet other fans and discuss what's happening with the guys now.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/2Loyal"} +{"d:Title": "NK Canada", "d:Description": "A list for Canadian fans of NKOTB to chat. Talk about NK, buy/sell/trade without worrying about converting your money to American.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/nkcanada"} +{"d:Title": "NK SoCali Fans Unite", "d:Description": "For any NK fans that live in Southern California.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/NKSoCaliFansUnite"} +{"d:Title": "NKOTB Gay", "d:Description": "For any gay, lesbian or bisexual NKOTB fans to chat in a supportive setting. Visuals are welcome.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/NkotbGay/"} +{"d:Title": "4 NKOTB Trade", "d:Description": "For people who are looking to buy, sell, or trade NKOTB related items.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/4-NKOTB-trade"} +{"d:Title": "NKSistas", "d:Description": "A list for black fans (and anyone who wants to join) who support the New Kids. Discuss the guys with no talk of other boybands or girlbands.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/NKSistas"} +{"d:Title": "Still Luv NKOTB", "d:Description": "Do you still love NKOTB? Do you sing \"Hangin' Tough\" in the shower? Then you are a perfect person to join this list.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://groups.yahoo.com/group/STILL-LUV-NKOTB"} +{"d:Title": "The NKOTB", "d:Description": "A forum for the former group New Kids On The Block.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://z3.invisionfree.com/The_NKOTB/index.php"} +{"d:Title": "New Kids On The Block", "d:Description": "An unmoderated list from Topica, devoted to NKOTB.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://lists.topica.com/lists/NewKidsOnTheBlock"} +{"d:Title": "NKOTB Uncensored", "d:Description": "A message board where you can talk about anything NKOTB.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://nkotbstories.yuku.com/"} +{"d:Title": "New Kids On The Block Forum", "d:Description": "Forum with games, general postings, literature and discussion of the group's music.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Chats_and_Forums", "url": "http://hangintough.proboards.com/"} +{"d:Title": "5 Bad Brother's", "d:Description": "Profiles and other information on the NKOTB.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Fan_Pages", "url": "http://www.angelfire.com/oh/jordy1/index.html"} +{"d:Title": "Beantown Boys", "d:Description": "Sounds, photo galleries, and member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Fan_Pages", "url": "http://www.angelfire.com/mo2/nkotb/"} +{"d:Title": "Confessions of a Recovering NKOTB Addict", "d:Description": "Photos, writing, links, archive.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Fan_Pages", "url": "http://www.lifeaskew.com/nkotb/index.html"} +{"d:Title": "NKOTB Never Let Them Go Fan Club", "d:Description": "Application for membership, forum, club information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Fan_Pages", "url": "http://www.angelfire.com/boybands/nkotbfanclub/index.html"} +{"d:Title": "NKOTB Star Committee", "d:Description": "A fan-based group to help NKOTB get their Star on the Hollywood Walk of Fame.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Fan_Pages", "url": "http://www.angelfire.com/stars2/nkotbstar/index.html"} +{"d:Title": "NKOTB Are Back!", "d:Description": "Devoted to the return of NKOTB and their fans in Mexico and other countries.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Fan_Pages", "url": "http://nkotbmexicanfanclub.yolasite.com/"} +{"d:Title": "The New Kids Pages", "d:Description": "SmileyJay's NK pages with 4 photo galleries of pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Image_Galleries", "url": "http://members.tripod.com/~smileyjay/newk.html"} +{"d:Title": "Twitter.com: Jonathan Knight", "d:Description": "Official Twitter feed of Jonathan Knight of New Kids on the Block.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jonathan", "url": "http://twitter.com/JonathanRKnight"} +{"d:Title": "Jon's CoffeeGirl's Place", "d:Description": "Over 80 members. Message board, chat room, photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jonathan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jonscoffeegirlsplace"} +{"d:Title": "Niki's Jonathan Knight Spot", "d:Description": "Over 90 members. Chat room, message board, photo gallery and member profile.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jonathan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/nikisjonathanknightspot"} +{"d:Title": "Sexiest Knight Alive", "d:Description": "Just over 30 members, sharing news, chat, message board and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jonathan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sexiestknightalive"} +{"d:Title": "Jon NKOTB", "d:Description": "A mailing list for NKOTB in general and Jonathan Knight in particular.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jonathan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jon_nkotb"} +{"d:Title": "Only Jonathan", "d:Description": "It's all about Jonathan, pictures, stories, poems or fan meetings.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jonathan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/OnlyJonathan/"} +{"d:Title": "Jordan Knight Official Website", "d:Description": "Chat room, latest news, merchandise, tour information, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan", "url": "http://www.jordanknight.com/"} +{"d:Title": "The Official Jordan Knight UK/Ireland", "d:Description": "Official site for Jordan Knight, geared towards fans in the United Kingdom and Ireland.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan", "url": "http://www.jordanknight-uk.com/"} +{"d:Title": "Twitter.com: Jordan Knight", "d:Description": "Official Twitter feed of Jordan Knight of New Kids on the Block.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan", "url": "http://twitter.com/jordanknight"} +{"d:Title": "Jordan Knight Lovers", "d:Description": "Over 35 members, message board, chat room, photos, links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jordanknightlovers"} +{"d:Title": "Knight of My Life", "d:Description": "Over 40 members with profiles discuss Jordan and his career on the message board and in the chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/knightofmylife/"} +{"d:Title": "Jordan Knight", "d:Description": "Over 200 subscribers.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jordanknight"} +{"d:Title": "Jordan Knight News Mailing List", "d:Description": "A Jordan Knight newsletter with all the up-to-date information about Jordan.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jnmk-news"} +{"d:Title": "Knightingales", "d:Description": "A list designed for Jordan Knight fans.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Knightingales"} +{"d:Title": "Arielle's Jordan Knight Website", "d:Description": "Fact page, photo gallery, message board, chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://members.tripod.com/~Jordan_Knight/"} +{"d:Title": "Behind the Man: Jordan Knight", "d:Description": "Links, encounters, and WAVs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://www.angelfire.com/ca3/sexyjordanknight/"} +{"d:Title": "JK World", "d:Description": "Fan information, pictures, links, pen pals, Jordan encounters.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://www.angelfire.com/music/JKworld/"} +{"d:Title": "Quest For Jordan", "d:Description": "Original WAVs, fan fiction, pictures, reviews, articles, quotes, links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://www.angelfire.com/ak2/jordanslove/"} +{"d:Title": "Knight of My Life", "d:Description": "Unofficial home of Jordan Knight and the former NKOTB. Message board, chat room, articles, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://www.angelfire.com/oh/Loveknight/"} +{"d:Title": "Memory Lane - A Jordan Knight Tribute", "d:Description": "Statistics, message board, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://members.tripod.com/~JordanKnight/"} +{"d:Title": "Only Jordan", "d:Description": "All about Jordan and his solo career.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://www.angelfire.com/pop/onlyjordan/"} +{"d:Title": "SeaHorse21's Jordan Knight Page", "d:Description": "Photos, book information, news, lyrics, links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://www.angelfire.com/ca2/galaktikalsea/"} +{"d:Title": "Around the World With Jordan Knight", "d:Description": "News, pictures, message board, links, articles and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Knight,_Jordan/Fan_Pages", "url": "http://www.angelfire.com/celeb2/jordanknight/"} +{"d:Title": "NKOTB Lyrics", "d:Description": "All lyrics, sorted by album for easy searching.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Lyrics", "url": "http://www.azlyrics.com/n/newkids.html"} +{"d:Title": "Misheard Lyrics, New Kids On The Block", "d:Description": "Some funny misheard lyrics from NKOTB songs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Lyrics", "url": "http://www.amiright.com/misheard/artist/newkidsontheblock.shtml"} +{"d:Title": "Artist Direct: New Kids On The Block", "d:Description": "Includes biography, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Resources", "url": "http://www.artistdirect.com/artist/new-kids-on-the-block/472920"} +{"d:Title": "New Kids on the Block - Legacy Recordings", "d:Description": "Record label site. Includes news, videos, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Resources", "url": "http://www.legacyrecordings.com/a/#/artist/new-kids-on-the-block/970/"} +{"d:Title": "MTV: New Kids On The Block", "d:Description": "New Kids on the Block music news, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Resources", "url": "http://www.mtv.com/artists/new-kids-on-the-block/"} +{"d:Title": "Donnie's Den", "d:Description": "Come hang around in Donnie Wahlberg's Den.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie", "url": "http://members.tripod.com/~goldeelox/index.html"} +{"d:Title": "Wahlberg World", "d:Description": "The place for all Wahlberg fans - Donnie, Mark, Robert.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie", "url": "http://wahlbergworld.tripod.com/"} +{"d:Title": "Those Wahlberg Men", "d:Description": "A fun ride into the world of Donnie and Mark Wahlberg. Pictures, biographies, facts, profiles, filmographies, quotes, links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie", "url": "http://www.thosewahlbergmen.com/"} +{"d:Title": "Simply Donnie", "d:Description": "Biography, filmography, Boomtown episode guide, photo gallery and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie", "url": "http://www.angelfire.com/film/jknoxvillefan21/index.html"} +{"d:Title": "Twitter.com: Donnie Wahlberg", "d:Description": "Official Twitter feed of Donnie Wahlberg.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie", "url": "http://twitter.com/DonnieWahlberg"} +{"d:Title": "IMDB.com: Donnie Wahlberg", "d:Description": "Donnie's page of TV and movie appearances from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie", "url": "http://www.imdb.com/name/nm0005531/"} +{"d:Title": "Donnie's Precious Angels", "d:Description": "Over 20 members of this club share stories about Donnie on the message board and in the chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/donniespreciousangels"} +{"d:Title": "Official Donnie Wahlberg Club", "d:Description": "Over 150 members interact with photos, links, chat room and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/officialdonniewahlbergclub"} +{"d:Title": "Donald E", "d:Description": "Donnie fans unite to share stories, pictures and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Donald_E"} +{"d:Title": "Donnie Wahlberg 2", "d:Description": "This list is for all NK fans as well as Donnie fans. Discussion can include anyone related in anyway to NKOTB. A place to share stories of your experiences throughout your journey with the guys.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wahlberg,_Donnie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/donnie_wahlberg"} +{"d:Title": "Addicted To Danny", "d:Description": "Chat, message boards, news and other Danny information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wood,_Danny", "url": "http://groups.yahoo.com/group/addictedtodanny"} +{"d:Title": "Twitter.com: Danny Wood", "d:Description": "Official Twitter feed of Danny Wood from New Kids on the Block.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wood,_Danny", "url": "http://twitter.com/dannywood"} +{"d:Title": "The Danny Wood Issue", "d:Description": "A Yahoo club with a message board, chat room, photos and over 300 members.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wood,_Danny/Chats_and_Forums", "url": "http://groups.yahoo.com/group/thedannywoodissue"} +{"d:Title": "Puff McCloud", "d:Description": "A list to chat about Danny. Visuals are welcome.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Kids_on_the_Block/Wood,_Danny/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Puff_Mcloud"} +{"d:Title": "New Model Army", "d:Description": "Official band site, with news, discography, tour dates, lyrics, MP3s, album artwork, press, store, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Model_Army", "url": "http://www.newmodelarmy.org/"} +{"d:Title": "New Model Army Biffer's Page", "d:Description": "A self-indulgence look into the past when the author followed the band in 84/85. With pictures, articles, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Model_Army", "url": "http://freespace.virgin.net/biffer.a/"} +{"d:Title": "Peter's New Model Army Page", "d:Description": "With discography of official and unofficial releases, album artwork, listing of past shows with posters, MP3s, and links to shops, traders, and related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Model_Army", "url": "http://128.130.177.2/zych/privat/nma/nma-main.htm"} +{"d:Title": "Chaos Control: New Model Army", "d:Description": "A 2003 interview with frontman Justin Sullivan.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Model_Army", "url": "http://www.chaoscontrol.com/new-model-army/"} +{"d:Title": "New Monkees", "d:Description": "Created by a member of the show's crew and featuring biographies, episode list, articles, promotional materials, and a behind-the-scenes photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Monkees", "url": "http://www.angelfire.com/80s/newmonkees/index.html"} +{"d:Title": "Yahoo Groups: The New Monkees", "d:Description": "Discussion list for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Monkees", "url": "http://launch.groups.yahoo.com/group/thenewmonkees/"} +{"d:Title": "New Order Lyrics", "d:Description": "Lyrics from the albums Republic, Substance and Technique", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://obscure.org/~vlad/lyrics/new.order.html"} +{"d:Title": "New Order Lyrics at Rockmagic.net", "d:Description": "New Order song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://lyrics.rockmagic.net/lyrics/new_order/"} +{"d:Title": "World In Motion", "d:Description": "New Order and related bands, interviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://www.worldinmotion.net/"} +{"d:Title": "New Order Online", "d:Description": "Contains news, biography, discography, timeline, concert archive, multimedia archive, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://www.neworderonline.com/"} +{"d:Title": "New Order Net", "d:Description": "Contains gigography, discography and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://www.new-order.net/"} +{"d:Title": "New Order Discography", "d:Description": "Discography of all of New Order's work with comments, notes and cross references.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://www.niagara.edu/neworder/"} +{"d:Title": "Ceremony", "d:Description": "Tribute album featuring indie artists. Information on bands, songs, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://newordertribute.com/"} +{"d:Title": "MTV: New Order", "d:Description": "New Order music news, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Order", "url": "http://www.mtv.com/artists/new-order/"} +{"d:Title": "Matador Records: The New Pornographers", "d:Description": "Biography, pictures, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The", "url": "http://www.matadorrecords.com/the_new_pornographers/"} +{"d:Title": "Aural Gratification: The New Pornographers Do It In Your Earhole", "d:Description": "Interview with Carl Newman. From Space City Rock.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The", "url": "http://www.spacecityrock.com/issue5/newpornographers1.html"} +{"d:Title": "The New Pornographers Official Website", "d:Description": "Includes discography, audio and video files, photos, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The", "url": "http://www.thenewpornographers.com/"} +{"d:Title": "Soaring Pop Romance - The New Pornographers Play Well Together", "d:Description": "Article by Kathleen Wilson of The Stranger.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The", "url": "http://www.thestranger.com/seattle/soaring-pop-romance/Content?oid=7768"} +{"d:Title": "All Music Guide: The New Pornographers", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The", "url": "http://www.allmusic.com/artist/the-new-pornographers-mn0000477787"} +{"d:Title": "MTV: The New Pornographers", "d:Description": "Articles, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The", "url": "http://www.mtv.com/artists/the-new-pornographers/"} +{"d:Title": "Mark's Record Reviews: The New Pornographers", "d:Description": "Reviews of 'Mass Romantic' and 'Electric Version' with user comments.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The/Reviews", "url": "http://www.markprindle.com/newpornographers.htm"} +{"d:Title": "Pitchfork Review: The New Pornographers", "d:Description": "Review of 'Electric Version' by Matt LeMay. Rated 8.1.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The/Reviews/Electric_Version", "url": "http://pitchfork.com/reviews/albums/5772-electric-version/"} +{"d:Title": "Almost Cool Music Reviews: The New Pornographers", "d:Description": "Review of 'Electric Version'. Rated 8.25.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The/Reviews/Electric_Version", "url": "http://www.almostcool.org/mr/886/"} +{"d:Title": "Pitchfork Review: The New Pornographers", "d:Description": "Richard M. Juzwiak's review of 'Mass Romantic'. Rated 8.4. (March 31, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The/Reviews/Mass_Romantic", "url": "http://pitchfork.com/reviews/albums/5771-mass-romantic/"} +{"d:Title": "The Austin Chronicle Music: Record Reviews", "d:Description": "Jim Caligiuri's review of 'Mass Romantic'. Rated 3 1/2 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Pornographers,_The/Reviews/Mass_Romantic", "url": "http://www.austinchronicle.com/music/2001-01-19/80245/"} +{"d:Title": "Wikipedia: New Radicals", "d:Description": "Encyclopedia article about the band, its members, history and releases.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals", "url": "http://en.wikipedia.org/wiki/New_Radicals"} +{"d:Title": "The New Radicals", "d:Description": "Angelfire-hosted fansite with pictures, lyrics, guitar tabs, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals", "url": "http://www.angelfire.com/ca2/TechnicolorLover/newradenter.html"} +{"d:Title": "Sissel's New Radicals Website", "d:Description": "Fansite including a large selection of reviews, articles and interviews, as well as lyrics, pictures, biographies and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals", "url": "http://www.angelfire.com/sc/someday/index.html"} +{"d:Title": "Geffen: New Radicals", "d:Description": "Label's official site for the band, including biography and a few photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals", "url": "http://www.geffen.com/newradicals"} +{"d:Title": "Allmusic: The New Radicals", "d:Description": "Includes band biography, discography, reviews and Billboard chart listings.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals", "url": "http://www.allmusic.com/artist/the-new-radicals-p347309"} +{"d:Title": "MTV: New Radicals", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals", "url": "http://www.mtv.com/artists/new-radicals/"} +{"d:Title": "IMDb: Danielle Brisebois", "d:Description": "Filmography (including soundtrack listings), along with awards listing, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals/Brisebois,_Danielle", "url": "http://www.imdb.com/name/nm0109815/"} +{"d:Title": "Danielle Brisebois - Wikipedia", "d:Description": "Short biography and information on her music and acting careers.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_Radicals/Brisebois,_Danielle", "url": "http://en.wikipedia.org/wiki/Danielle_Brisebois"} +{"d:Title": "New York Dolls", "d:Description": "At NYRock.com - Text and sound clips from interviews with the band members.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_York_Dolls", "url": "http://www.nyrock.com/misc/nydolls.htm"} +{"d:Title": "OldieLyrics: New York Dolls", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/N/New_York_Dolls", "url": "http://www.oldielyrics.com/n/new_york_dolls.html"} +{"d:Title": "Nickelback", "d:Description": "Official site with tour information, photos, lyrics, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nickelback", "url": "http://www.nickelback.com/"} +{"d:Title": "Nickelback News: Topix", "d:Description": "News about Nickelback continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nickelback", "url": "http://www.topix.com/who/nickelback"} +{"d:Title": "Guitar Tabs Explorer: Nickelback", "d:Description": "Guitar and bass tablatures, along with links to video lessons.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nickelback", "url": "http://www.guitartabsexplorer.com/nickelback-tabs/"} +{"d:Title": "The Nicks Fix", "d:Description": "The Official Stevie Nicks Website. News, photos, articles, games, chats, discography, sightings, and almost anything else about her.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://nicksfix.com/"} +{"d:Title": "Nightbird's Nest", "d:Description": "A site for all hopelessly enchanted Stevie Nicks and Fleetwood Mac fans. Includes photos, sounds, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.angelfire.com/rock/Nightbird/"} +{"d:Title": "Stevie Nicks In Her Own Words", "d:Description": "Interprets the magic of Stevie's songs and life in her own words. Combines images and photos, along with quotes, her artwork and her songs.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.inherownwords.com/"} +{"d:Title": "Rhinestone Collections - Stevie Mini-Albums", "d:Description": "Collage pictures of Stevie.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.angelfire.com/nf/mjmirabella/mira4.html"} +{"d:Title": "The Blue Crystal Mirror", "d:Description": "Includes photos, hot news, links, discography, biography, and a \"Stevie on TV\" Schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.angelfire.com/music/StevieNicks1/"} +{"d:Title": "The Song of Rhiannon", "d:Description": "Stevie Nicks and Fleetwood Mac Photo, Poster and Picture Sleeve Galleries. Stevie Tour Diaries, a database of Stevie's live musical appearances. Memorabilia for sale or trade.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.purplemoon.com/stevienicks.html"} +{"d:Title": "Stevie Nicks Tribute Page", "d:Description": "Biography, discography, news articles, tour information, links, and recent TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.angelfire.com/music3/cds/Karen.html"} +{"d:Title": "Stevie Nicks Fans Rule the Net", "d:Description": "Biography, MP3s, photos, polls, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://slnfans.tripod.com/"} +{"d:Title": "After The Glitter Fades", "d:Description": "Biography, pictures, art, lyrics, forum, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.angelfire.com/rock2/stevie/"} +{"d:Title": "Gypsy Boots", "d:Description": "Fan site with pictures, news, and Stevie's horoscope.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://sableandblonde.tripod.com/gypsy_boots/"} +{"d:Title": "Stevie Nicks: Dreamweaver of Shadow and Light", "d:Description": "Fan site with an emphasis on her fashions. Photos of Stevie, her muses and her admirers plus shopping tips.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.catanna.com/stevienicks.htm"} +{"d:Title": "Dreams", "d:Description": "Includes biography, discography, photo albums, desktop themes, screensavers and quote tribute to Stevie and Christine McVie.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.crystalvisionsdesigns.com/"} +{"d:Title": "Night of 1000 Stevies", "d:Description": "An annual event in New York City for Stevie Nicks fans. Includes photos and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.mothernyc.com/stevie/"} +{"d:Title": "ArtistDirect: Stevie Nicks", "d:Description": "Biography, links, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.artistdirect.com/artist/stevie-nicks/473404"} +{"d:Title": "AskMen.com - Stevie Nicks", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.askmen.com/celebs/women/singer_100/117_stevie_nicks.html"} +{"d:Title": "The Changing Times of Stevie Nicks", "d:Description": "Calendar, tour archives, discography, photo galleries and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://bellaillume.com/"} +{"d:Title": "Inspired Angel", "d:Description": "Lyrics, literary inspirations, pictures and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.inspiredangel.com/"} +{"d:Title": "Stevie Nicks Gypsy Webring", "d:Description": "Sites specifically about Stevie.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.webring.org/hub?ring=steviegypsy83&list"} +{"d:Title": "Stevie Nicks is Everywhere", "d:Description": "Webring.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.webring.org/hub?ring=stevienicksiseve"} +{"d:Title": "Metacritic: Trouble In Shangri-La", "d:Description": "Multiple critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie", "url": "http://www.metacritic.com/music/trouble-in-shangri-la/stevie-nicks"} +{"d:Title": "Bella Donna", "d:Description": "Live band tribute show of the music of Stevie Nicks, including songs she sang with Fleetwood Mac. Sound files, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nicks,_Stevie/Tribute_Acts", "url": "http://www.belladonna-music.com/"} +{"d:Title": "The Nields Official Web Site", "d:Description": "The official site of the folk band with biography, discography, tour dates, articles, merchandise, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nields,_The", "url": "http://www.nields.com/"} +{"d:Title": "Aku Aku: Nigel Pepper Cock", "d:Description": "Show review.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nigel_Pepper_Cock", "url": "http://www.akuaku.org/2002/09/nigel-peppercock.html"} +{"d:Title": "Nightwish", "d:Description": "Official site of Finnish metal band, containing lyrics, pictures, news, tour dates, contacts, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nightwish", "url": "http://www.nightwish.com/"} +{"d:Title": "Rockmagic.net: Nightwish", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nightwish", "url": "http://lyrics.rockmagic.net/lyrics/nightwish/"} +{"d:Title": "Musicfolio: Nightwish", "d:Description": "Discography, album reviews and ratings, with links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nightwish", "url": "http://musicfolio.com/modernrock/nightwish.html"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Night_In_Gales", "url": "http://lyrics.rockmagic.net/lyrics/night_in_gales/"} +{"d:Title": "Night In Gales", "d:Description": "Official site. News, discography, biography, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Night_In_Gales", "url": "http://www.night-in-gales.com/"} +{"d:Title": "Night Ranger", "d:Description": "Official site with news, history, member profiles, tour dates, discography and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Night_Ranger", "url": "http://www.nightranger.com/"} +{"d:Title": "MTV: Night Ranger", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Night_Ranger", "url": "http://www.mtv.com/artists/night-ranger/"} +{"d:Title": "Willie Nile", "d:Description": "1980s rock recording artist. Official site includes show dates and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nile,_Willie", "url": "http://www.willienile.com/"} +{"d:Title": "Harry Nilsson", "d:Description": "Includes discography, timeline, bibliography, pictures, FAQs, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nilsson,_Harry", "url": "http://www.harrynilsson.com/"} +{"d:Title": "MTV: Harry Nilsson", "d:Description": "Biography, discography, reviews, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nilsson,_Harry", "url": "http://www.mtv.com/artists/harry-nilsson/"} +{"d:Title": "Nine Days", "d:Description": "Official site. News, biography, audio, video, tour dates, photos, message board, and online store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Days", "url": "http://www.nine-days.com/"} +{"d:Title": "Digital Noise", "d:Description": "Unofficial Nothing Records news, articles, reviews, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://digitalnoise.org/"} +{"d:Title": "Lyrics Freak: Nine Inch Nails", "d:Description": "Lyrics sorted alphabetically or by album.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.lyricsfreak.com/n/nine-inch-nails/"} +{"d:Title": "Nine Inch Nails", "d:Description": "Official site includes news, discography, tour dates, video clips, and answers to submitted questions. RSS feed available.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.nin.com/"} +{"d:Title": "The NIN Hotline", "d:Description": "Frequently updated source for news and events. RSS feed available", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.theninhotline.net/"} +{"d:Title": "RollingStone.com: Nine Inch Nails", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.rollingstone.com/music/artists/nine-inch-nails"} +{"d:Title": "MTV.com: Nine Inch Nails", "d:Description": "Features music video clips, album reviews, live performances, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.mtv.com/artists/nine-inch-nails/"} +{"d:Title": "Songsterr: Nine Inch Nails Bass Tabs", "d:Description": "bass tabs for a number of songs", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.songsterr.com/a/wsa/nine-inch-nails-tabs-a4369?inst=bass"} +{"d:Title": "The Nine Inch Nails Wiki", "d:Description": "Fan-curated encyclopedia on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.nin.wiki/"} +{"d:Title": "Reflecting in the Chrome", "d:Description": "Archive of live recordings from the band and Trent Reznor.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails", "url": "http://www.ninlive.com/"} +{"d:Title": "Fragile", "d:Description": "Pictures, lyrics, and discography - find out what all those halos mean.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://www.angelfire.com/on2/nails/"} +{"d:Title": "Fragility 2000", "d:Description": "Australian site commemorating the 2000 Fragility tour. Includes pictures, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://www.angelfire.com/ct2/ninbdo/"} +{"d:Title": "Heresy's Empire Of Dirt", "d:Description": "Lyrics, discography, images, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://www.angelfire.com/band/9inchnailz/home.html"} +{"d:Title": "Pretty Hate Machine", "d:Description": "Thumbnailed pictures, fonts, MIDI's, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://www.angelfire.com/goth/prettyhatemachine/"} +{"d:Title": "NINLand", "d:Description": "Large selection of guitar, bass, drum, and piano tablature, articles, song parodies, lyrics, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://ninland.0catch.com/"} +{"d:Title": "Unofficial NIN Home Page", "d:Description": "Song parodies, trivia, discography, FAQs, tablature and chords, lyrics, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://nothing.nin.net/"} +{"d:Title": "Perfect Little Dream: Nine Inch Nails", "d:Description": "Discography, lyrics, photographs, articles, polls, Winamp skins, games, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://www.nineinchnails.at/"} +{"d:Title": "ThePerfectDrug", "d:Description": "A collection of digital artwork of done by fans, as well as a collection of japanese halo scans and related japanese media. Also offers essays.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Pages", "url": "http://www.0-halo.net/"} +{"d:Title": "NINRemixes", "d:Description": "An extended database of fan remixes and media inspired by Nine Inch Nails.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Fan_Works", "url": "http://www.ninremixes.com/"} +{"d:Title": "Renholder", "d:Description": "Site contains biography, images, interviews, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Lohner,_Danny", "url": "http://dannylohner.0catch.com/"} +{"d:Title": "Trent Reznor: The Public Person", "d:Description": "Biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Reznor,_Trent", "url": "http://www.trentreznor.org.uk/"} +{"d:Title": "The Temple of Trent Reznor", "d:Description": "Tribute includes biography, trivia, fan art, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/Reznor,_Trent", "url": "http://www.angelfire.com/band/nintrent/"} +{"d:Title": "Jeordie White", "d:Description": "Contains news, credit listings, photos, tabs and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nine_Inch_Nails/White,_Jeordie", "url": "http://www.basetendencies.com/"} +{"d:Title": "Live Nirvana", "d:Description": "Information on all Nirvana concerts and recording sessions. Pages in 12 languages.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.livenirvana.com/"} +{"d:Title": "The Cobain Memorial: Cobain.Com", "d:Description": "Includes lyrics, biography, links, pictures, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.cobain.com/"} +{"d:Title": "ABC to Nirvana", "d:Description": "Origins of the band and biographies of its members, album lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.thedogsbollocks.co.uk/nirvana/"} +{"d:Title": "The Internet Nirvana Fan Club", "d:Description": "Includes news, images, audio and video files, interviews, articles, and a guide to incorrectly labeled bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.nirvanaclub.com/"} +{"d:Title": "Nirvana - Live Tonight - Sold Out", "d:Description": "Pictures, information, quotes, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.angelfire.com/mi/a/nirvana.html"} +{"d:Title": "Digital Nirvana", "d:Description": "Resources, including a Nirvana video guide, discography, and bootleg guide.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.digitalnirvana.net/"} +{"d:Title": "Newsgroup alt.music.nirvana FAQ", "d:Description": "Documents the newsgroup 'AMN', which was popular in the mid 1990s.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://members.tripod.com/~justin_slade/amn_faq/frames.html"} +{"d:Title": "Nirvana News: Topix", "d:Description": "News about Nirvana continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.topix.com/who/nirvana"} +{"d:Title": "Topix: Nirvana", "d:Description": "News about Nirvana, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.topix.com/rss/who/nirvana.xml"} +{"d:Title": "RollingStone.com: Nirvana", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.rollingstone.com/music/artists/nirvana"} +{"d:Title": "Nirvana Archive", "d:Description": "Cross-referenced listing of bootlegs, recordings, concerts and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.nirvanaarchive.com/"} +{"d:Title": "MTV: Nirvana", "d:Description": "Features music video clips, exclusive online performances and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana", "url": "http://www.mtv.com/artists/nirvana/"} +{"d:Title": "Nirvana Fan Club Interview with Burnyce and Doug Channing", "d:Description": "The Channings share their memories about Chad.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Channing,_Chad", "url": "http://www.nirvanaclub.com/index.php?section=info/nfcinterviews&file=channing.htm"} +{"d:Title": "Kurt Cobain Lives On In Our Hearts", "d:Description": "Tribute to the life and death of Kurt Cobain.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt", "url": "http://members.tripod.com/~react2/kurt.htm"} +{"d:Title": "It's Kurt's World Now", "d:Description": "A tribute to Cobain, easily one of the most important people of music history.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt", "url": "http://www.angelfire.com/in/kurtsworld/index.html"} +{"d:Title": "Kurt Cobain - Zero or Hero?", "d:Description": "A balanced look at the life and legacy of Kurt Cobain.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt", "url": "http://www.furious.com/perfect/cobain.html"} +{"d:Title": "AskMen.com - Kurt Cobain", "d:Description": "Article on Kurt Cobain and the rise and fall of grunge music.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt", "url": "http://www.askmen.com/toys/entertainment/35_gossip.html"} +{"d:Title": "Nirvana", "d:Description": "Includes information on Kurt's life, equipment, lyrics, behind the scenes in MTV's unplugged, web polls, and photographs of Kurt.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt", "url": "http://www.kurtkobain.s5.com/"} +{"d:Title": "A Tribute To Kurt Cobain", "d:Description": "Biography, pictures, and information on the theory that Kurt was murdered.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt", "url": "http://kurtcobain.imess.net/"} +{"d:Title": "Kurt Cobain and a Dream about Pop", "d:Description": "A lengthy article on Cobain's life and times. [Salon]", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt", "url": "http://www.salon.com/2001/09/24/cobain/"} +{"d:Title": "Kurt Cobain Was Murdered", "d:Description": "A forum for constructive debate regarding the Kurt Cobain case with debate on getting the Kurt's death case to be reopened.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt/Murder_Theory", "url": "http://groups.yahoo.com/group/kcobainwasmurdered/"} +{"d:Title": "Cobain Murder Investigation", "d:Description": "Investigation into the events surrounding the death of musician Kurt Cobain. The theories by Tom Grant a private investigator stating that it was a murder.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Cobain,_Kurt/Murder_Theory", "url": "http://www.cobaincase.com/"} +{"d:Title": "Who Is Jason Everman?", "d:Description": "From the Soundgarden FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Everman,_Jason", "url": "http://web.stargate.net/soundgarden/faq/#1_9"} +{"d:Title": "BurntOut", "d:Description": "Collection of resources to do with Kurt Cobain and Nirvana.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.burntout.com/"} +{"d:Title": "Drain You", "d:Description": "Song list, articles and interviews, brief biographies, and sound files for Nirvana. Also includes a copy of Kurt Cobain's death certificate.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/sc/inbloom/index.html"} +{"d:Title": "Nirvana Tribute", "d:Description": "Lyrics, pictures, and biographies of the band members.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/music2/nirvanatribute/"} +{"d:Title": "PenCapChew.com", "d:Description": "News, pictures, books, and map of Aberdeen with Nirvana-related landmarks.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.pencapchew.com/"} +{"d:Title": "Nirvana Origins", "d:Description": "Timeline of Nirvana's beginning as a short-lived band called Fecal Matter through the success of Nevermind.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.thedogsbollocks.co.uk/nirvana/origins.htm"} +{"d:Title": "Joey's Nirvana Site", "d:Description": "Profile of the band, pictures, lyrics, and Kurt's suicide note.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/extreme2/Nirvana/"} +{"d:Title": "SmackCandy", "d:Description": "Fan site with photos, news, police reports, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.smackcandy.8k.com/"} +{"d:Title": "Teenage Angst Nirvana Page", "d:Description": "Dedicated to punk rock icon Kurt Cobain and his legendary band. Contains photos, lyrics, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://pages.intnet.mu/cobain/"} +{"d:Title": "Nirvana", "d:Description": "Lyrics, MP3s, CD buying information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/myband/nirvanarules/index.html"} +{"d:Title": "Matt's Nirvana Page", "d:Description": "Includes images, chat, forum, sound clips and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.mattsmusicpage.com/nnirvana.htm"} +{"d:Title": "Jad's Nirvana Page", "d:Description": "Lyrics, pictures, tablature, and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/rock/nirjam/"} +{"d:Title": "The Rebellion", "d:Description": "Nirvana tablatures and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/rock2/Riccidipshan/nirvana.html"} +{"d:Title": "Nirvana: A Grunge Legend", "d:Description": "Lyrics, pictures, biographies, tablatures, videos, MP3 files, song meanings, and a transcription and scan of Kurt's suicide note.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/rock/NIIRVana/"} +{"d:Title": "The Grunge Pit", "d:Description": "Information on the band, lyrics, pictures, and interactive features such as polls and a large message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.network54.com/Realm/Nirvana"} +{"d:Title": "Chuck's Cobain Page", "d:Description": "Pictures, lyrics, album information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Fan_Pages", "url": "http://www.angelfire.com/ca3/ChucksCobainPage/"} +{"d:Title": "Unofficial Krist Novoselic Fan Page", "d:Description": "Guide to the once Nirvana bassist and current leader of Sweet 75.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Novoselic,_Krist", "url": "http://members.tripod.com/~NYRfan25/novoselic.html"} +{"d:Title": "The Krist Novoselic Dedication Page", "d:Description": "Bass and guitar tablatures, sounds, pictures, articles, interviews, chat transcripts, and information on Nirvana and Sweet 75.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Novoselic,_Krist", "url": "http://www.novoselic.com/"} +{"d:Title": "Nirvanalogy: Pat Smear", "d:Description": "Brief profile of the guitarist and his work with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Smear,_Pat", "url": "http://www.musicfanclubs.org/nirvana/pat.htm"} +{"d:Title": "All Music Guide: Pat Smear", "d:Description": "Information about Pat as a solo artist as well as his work with Nirvana, the Germs, and the Foo Fighters.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Smear,_Pat", "url": "http://www.allmusic.com/artist/p23396"} +{"d:Title": "Nirvana Tabs and Chords", "d:Description": "Chords and tablature for guitar and bass.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nirvana/Tablature", "url": "http://www.rockmagic.net/guitar-tabs/nirvana/"} +{"d:Title": "The Dry Town Tavern", "d:Description": "Fan message board with recent posts, archives of older conversations, a trivia test, and links to official and unofficial sites.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nitty_Gritty_Dirt_Band,_The", "url": "http://www.tennessee-connection.com/ngdb.htm"} +{"d:Title": "Metroactive Music: Nitty Gritty Dirt Band", "d:Description": "Review and comments on reissue of Will the Circle Be Unbroken, emphasizing the impact of the World Trade Center bombings and the movie O Brother, Where Art Thou on its renewed success.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nitty_Gritty_Dirt_Band,_The", "url": "http://www.metroactive.com/papers/sonoma/03.28.02/bluegrass-0213.html"} +{"d:Title": "Nitty Gritty Dirt Band", "d:Description": "Official site. News, tour dates, history, discography, links, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nitty_Gritty_Dirt_Band,_The", "url": "http://www.nittygritty.com/"} +{"d:Title": "Nitty Gritty Dirt Band: Will The Circle Be Unbroken", "d:Description": "In Music We Trust review of a reissue of the seminal album. Background of its making, comments on tracks, and rating by Alex Steininger.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nitty_Gritty_Dirt_Band,_The", "url": "http://www.inmusicwetrust.com/articles/47c06.html"} +{"d:Title": "Mojo Nixon.com", "d:Description": "Tour dates, photos, videos, merchandise, contact information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nixon,_Mojo", "url": "http://www.mojonixon.com/"} +{"d:Title": "Artrocity's Mojo Nixon Live Pix and MP3 Page", "d:Description": "Rare live photos and live MP3s of Mojo Nixon. Plus all the best fan links you can chew on.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nixon,_Mojo", "url": "http://www.artrocity.com/rockshots/mojo1.htm"} +{"d:Title": "Onion AV Club: Mojo Nixon", "d:Description": "Interviews the man behind \"Don Henley Must Die\" on his dislike of Scientology, Pat Buchanan, Morrissey and HMOs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nixon,_Mojo", "url": "http://www.avclub.com/articles/mojo-nixon,13613/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nocturnal_Rites", "url": "http://lyrics.rockmagic.net/lyrics/nocturnal_rites/"} +{"d:Title": "Nonpoint", "d:Description": "Fan site. Biography, photos, links, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nonpoint", "url": "http://members.tripod.com/nonpoint5850/"} +{"d:Title": "Stina Nordenstam Lyrics", "d:Description": "Fan site with lyrics and links", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nordenstam,_Stina", "url": "http://www.alwaysontherun.net/stina.htm"} +{"d:Title": "The Covers Project: Stina Nordenstam", "d:Description": "Fan site with information about cover songs from and by Stina Nordenstam", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nordenstam,_Stina", "url": "http://coversproject.com/artist/stina%20nordenstam"} +{"d:Title": "Northern Pikes, The", "d:Description": "The official site features news, tour dates, discography and booking contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Northern_Pikes", "url": "http://www.thepikes.com/"} +{"d:Title": "Jay Semko", "d:Description": "The official site of the band's bass player/vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Northern_Pikes", "url": "http://www.jaysemko.com/"} +{"d:Title": "Northern Pikes, The", "d:Description": "Band history and discography from the Canadian Music Encyclopedia.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Northern_Pikes", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/N/Northern_Pikes.html"} +{"d:Title": "ArtistDirect: Northside", "d:Description": "Provides discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Northside", "url": "http://www.artistdirect.com/artist/northside/474082"} +{"d:Title": "Notorious B.I.G.", "d:Description": "Biography, pictures, and music videos of the Notorious B.I.G.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notorious_B.I.G.", "url": "http://www.notoriousbig.4mg.com/"} +{"d:Title": "Rollingstone.com: Notorious B.I.G.", "d:Description": "Includes biography, recordings, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notorious_B.I.G.", "url": "http://www.rollingstone.com/music/artists/notorious-b-i-g"} +{"d:Title": "MTV: Notorious B.I.G.", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notorious_B.I.G.", "url": "http://www.mtv.com/artists/the-notorious-big/"} +{"d:Title": "KindaMuzik: The Notwist", "d:Description": "Interview with the band about making the album Shrink, the music they have been listening to and the importance of experimentation.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notwist,_The", "url": "http://www.kindamuzik.net/q_and_a/article.shtml?id=873"} +{"d:Title": "The Notwist", "d:Description": "Official site, provides details of releases and live dates, shop, forum and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notwist,_The", "url": "http://www.notwist.com/"} +{"d:Title": "ArtistDirect: The Notwist", "d:Description": "Provides discography, biography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notwist,_The", "url": "http://www.artistdirect.com/artist/notwist/575355"} +{"d:Title": "Luna Kafe: The Notwist", "d:Description": "Interview with band member Markus Acher, focusing on the differences between The Notwist and his side project Tied and Tickled, and giving background information on the group.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notwist,_The", "url": "http://lunakafe.com/moon37/de37.php"} +{"d:Title": "Cityslang: Notwist", "d:Description": "Record label's page dedicated to the band, including tour dates, discography on the label, timeline of their history, photos, mp3 and video downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notwist,_The", "url": "http://www.cityslang.com/the-notwist/"} +{"d:Title": "Lazyeye: The Notwist", "d:Description": "Review of their album Shrink by Tim McMahan.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notwist,_The/Reviews", "url": "http://www.timmcmahan.com/notwist.htm"} +{"d:Title": "Pitchfork: Notwist: Neon Golden:", "d:Description": "Review of the album by Luke Buckman, that provides a synopsis of the band's development as well as analysis of this record.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Notwist,_The/Reviews", "url": "http://pitchfork.com/reviews/albums/5845-neon-golden/"} +{"d:Title": "All Music Guide: Aldo Nova", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nova,_Aldo", "url": "http://www.allmusic.com/artist/aldo-nova-p5042"} +{"d:Title": "Canadian Pop Music Encyclopedia: Aldo Nova", "d:Description": "Biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nova,_Aldo", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/N/Nova_Aldo.html"} +{"d:Title": "Heather Nova Resource", "d:Description": "Small webpage with a discography and links to other sites.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nova,_Heather", "url": "http://members.tripod.com/heather_nova/"} +{"d:Title": "Heather Nova Online Community", "d:Description": "Dedicated to Heather Nova and her fans. Discussion board, interviews, music, links and other interesting topics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nova,_Heather", "url": "http://www.heathernova.us/"} +{"d:Title": "Heather Nova Lyrics", "d:Description": "A list of Heather's lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nova,_Heather", "url": "http://www.alwaysontherun.net/heather.htm"} +{"d:Title": "Heather Nova Discography and Song List", "d:Description": "A discography of (almost) all of Heather's releases.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nova,_Heather", "url": "http://www.heathernova-info.com/"} +{"d:Title": "The Heather Nova Network", "d:Description": "Biggest and most active Heather Nova forum/community.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nova,_Heather", "url": "http://www.heathernova.net/"} +{"d:Title": "Novak", "d:Description": "Summary discography, news, and links for this Birmingham UK band, which split up in 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Novak", "url": "http://www.rbrwr.org/novak/"} +{"d:Title": "Nowottny, Marianne", "d:Description": "At this site you can view Ms. Nowottny's first music video \"The Deep End\" Directed by Steve Doughton.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nowottny,_Marianne", "url": "http://www.liketelevision.com/liketelevision/tuner.php?channel=346&format=tv&theme=guide"} +{"d:Title": "Bee's No Authority Page", "d:Description": "Includes pictures, quotes, information, lyrics, and media. Also features an almost complete list of radio stations that play No Authority's songs and contacts.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/mn/beenoauth/"} +{"d:Title": "Into Their Eyes-A NA Site", "d:Description": "Articles, late breaking news, rare features and information, and fan interactive section.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/mn/noauthnikki/"} +{"d:Title": "Heather and Heather's No Authority Site", "d:Description": "Pictures, WAV's, news, tour dates and links to other sites.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/nj2/NAnoauthority/"} +{"d:Title": "No Authority", "d:Description": "Includes quotes, experiences, links, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/pop/NA/"} +{"d:Title": "Da No Authority Hotties", "d:Description": "Includes biographies, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/pop/danoauthorityhotties/"} +{"d:Title": "Nina's No Authority Site", "d:Description": "Includes pictures, information, sound files, and new NA Soap opera.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/music/noauthority00/start.html"} +{"d:Title": "Nudity Advertised", "d:Description": "News, biography, pictures, quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/nj2/NAnoauthority/main.html"} +{"d:Title": "Can't Go On", "d:Description": "Features pictures, sound clips and movie clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority", "url": "http://www.angelfire.com/ga3/cantgoon1/index.html"} +{"d:Title": "*Faithfully*", "d:Description": "A site all for Ricky Godinez of No Authority.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority/Godinez,_Ricky", "url": "http://www.angelfire.com/ca6/rickygodinez/"} +{"d:Title": "Just Take My Hand - Eric Stretch", "d:Description": "Pictures, quotes, lyrics, fun stuff, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Authority/Stretch,_Eric", "url": "http://justtakemyhand.tripod.com/"} +{"d:Title": "No Doubt", "d:Description": "Official site with news, biographies, discography with lyrics and clips, tour dates, and a gallery.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.nodoubt.com/"} +{"d:Title": "No Doubt Online", "d:Description": "Fan site with news, pictures, MP3 samples, video, lyrics, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.nodoubtonline.com/"} +{"d:Title": "Rockmagic.net: No Doubt", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://lyrics.rockmagic.net/lyrics/no_doubt/"} +{"d:Title": "Unrated Online Magazine: No Doubt", "d:Description": "Anthony Kuzminski's review of the Chicago concerts of 2002.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=43"} +{"d:Title": "Entertainment Ave: No Doubt", "d:Description": "Brief review with pictures of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/no_doubt.htm"} +{"d:Title": "All Music Guide: No Doubt", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.allmusic.com/artist/no-doubt-p23015"} +{"d:Title": "RollingStone.com: No Doubt", "d:Description": "Includes a biography, articles, discography, photos, trivia, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.rollingstone.com/music/artists/no-doubt"} +{"d:Title": "MTV: No Doubt", "d:Description": "Includes biography, news, interviews, reviews, links, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.mtv.com/artists/no-doubt/"} +{"d:Title": "Meta Critic: No Doubt - Return of Saturn", "d:Description": "Reviews and information for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt", "url": "http://www.metacritic.com/music/return-of-saturn/no-doubt"} +{"d:Title": "The New York City No Doubt Fanclub", "d:Description": "A fanclub for all No Doubt fans in New York City.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fanclubs", "url": "http://www.justagirl.net/fanclub/"} +{"d:Title": "The Southwest No Doubt Fanclub", "d:Description": "The only No Doubt Fanclub representing fans from Arizona, Colorado, New Mexico, Nevada and Utah.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fanclubs", "url": "http://groups.yahoo.com/group/swndfc"} +{"d:Title": "The Philadelphia No Doubt Fan Club", "d:Description": "A No Doubt fan club for fans in Philadelphia.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fanclubs", "url": "http://www.angelfire.com/band2/phillyfancllub/Philadelphia"} +{"d:Title": "Artificial Sweetener", "d:Description": "A No Doubt page with pictures, lyrics, discography, and band member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/nd/artsweet/"} +{"d:Title": "No Doubt Country", "d:Description": "A brief page with lyrics, animations, and a picture collection.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://members.tripod.com/shnoz68/"} +{"d:Title": "Sunpist Groves", "d:Description": "A No Doubt site with news, biography, discography, features, band history, pictures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://members.tripod.com/~gwenrenee/"} +{"d:Title": "Girly Chick's No Doubt Page", "d:Description": "A site where fans can find pen pals from all over the world. With contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://members.tripod.com/~girly_chick/GIRL.HTM"} +{"d:Title": "My No Doubt Page", "d:Description": "A brief page with pictures, band member profiles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/rock/nodoubtrulz/"} +{"d:Title": "No Doubt: By The Way", "d:Description": "Includes articles, pictures, biographies, bootlegs, collectibles, and 'Gwenabees'.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/nd/tragickingdom2/"} +{"d:Title": "Undoubtedly No Doubt", "d:Description": "Includes picture galleries, discography, desktop wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://undoubt.tripod.com/"} +{"d:Title": "Return of No Doubt", "d:Description": "Includes news, discography, a picture gallery, lyrics, guitar tabs, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://myndpage.tripod.com/"} +{"d:Title": "So Saturnesque", "d:Description": "No Doubt \"Return of Saturn\" site with a couple of pictures and a track listing.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/rock/saturnesque/"} +{"d:Title": "Comforting Lie", "d:Description": "A No Doubt site with news, tour dates, wallpaper, pictures, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://comfortinglie.8m.com/"} +{"d:Title": "A Simple No Doubt Site", "d:Description": "Fan site with an extensive discography, including pictures, a lot of photos, lyrics, music video stills, polls, writeups, links, and free song downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://guitargrl22.tripod.com/nodoubt.html"} +{"d:Title": "Magics in the Makeup", "d:Description": "A No Doubt site with links, pictures, fan art, biographies, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/rock/gwenstefani/"} +{"d:Title": "Ex-Girlfriend", "d:Description": "A No Doubt site with news, pictures, lyrics, documentary, poll, message board, links, audio, interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/band/nodoubt0000/nd"} +{"d:Title": "Big Distraction", "d:Description": "Resource for No Doubt: downloads, lyrics, news, photo gallery, and video vault.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/yt2/BigDistraction/"} +{"d:Title": "Now Doubtless", "d:Description": "A site for all fans to roam around and gather pictures, video clips, sound clips, news, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://nowdoubtless.tripod.com/"} +{"d:Title": "No Doubt...Happy Now?", "d:Description": "A fan site with pictures, audio and video clips, fan art, biography, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/ne/saf513/nodoubt.html"} +{"d:Title": "Kamm's No Doubt Site", "d:Description": "Discography, biography, videography, lyrics, links, live sets, merchandise, message board, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.angelfire.com/rock2/kammnodoubt/"} +{"d:Title": "Matt's No Doubt Page", "d:Description": "Includes images, audio and video clips, lyrics, guitar tablature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.mattsmusicpage.com/nnodoubt.htm"} +{"d:Title": "No Doubt Web", "d:Description": "Includes news, biographies, discography, lyrics, audio and video files, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://www.nodoubtweb.com/"} +{"d:Title": "Greener Pastures", "d:Description": "Audio and video, biographies, lyrics, pictures and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Fan_Pages", "url": "http://greenerpastures.us/"} +{"d:Title": "Absolute Divas - Gwen Stefani", "d:Description": "Includes a discography with lyrics and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Stefani,_Gwen", "url": "http://www.absolutedivas.com/gwen/"} +{"d:Title": "AskMen.com: Gwen Stefani", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Stefani,_Gwen", "url": "http://www.askmen.com/celebs/women/singer_60/70_gwen_stefani.html"} +{"d:Title": "Ex-Girlfriends, The", "d:Description": "No Doubt tribute band from the Netherlands; includes MP3s, pictures, biography, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Tribute_Bands", "url": "http://home.kabelfoon.nl/~amakker/"} +{"d:Title": "No Duh", "d:Description": "California-based No Doubt tribute band. Includes videos, MP3s, pictures, upcoming shows, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_Doubt/Tribute_Bands", "url": "http://www.noduh.net/"} +{"d:Title": "No One", "d:Description": "Interview with popentertainment.com.", "topic": "Top/Arts/Music/Bands_and_Artists/N/No_One", "url": "http://www.popentertainment.com/noone.htm"} +{"d:Title": "NRBQ.com", "d:Description": "Official website of the band. Includes concert schedule, photos, news and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/N/NRBQ", "url": "http://www.nrbq.com/"} +{"d:Title": "Me&The Boys: StaceyQ's NRBQ Tribute Site", "d:Description": "Fan site includes news, online photo gallery, merchandise, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/NRBQ", "url": "http://www.ispacedesigns.com/nrbq/"} +{"d:Title": "Wild Weekend", "d:Description": "Information on concert featuring the New Rhythm and Blues Quartet and The Chandler Travis Philharmonic.", "topic": "Top/Arts/Music/Bands_and_Artists/N/NRBQ", "url": "http://www.ispacedesigns.com/Wild_Weekend"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nuclear_Assault", "url": "http://lyrics.rockmagic.net/lyrics/nuclear_assault/"} +{"d:Title": "All Music Guide: Nuclear Assault", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nuclear_Assault", "url": "http://www.allmusic.com/artist/nuclear-assault-p5046"} +{"d:Title": "Entertainment Ave: Ted Nugent", "d:Description": "Review of a concert at The Star Plaza Theatre in Merrillville, Indiana.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nugent,_Ted", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/n/ted_nugent/tn122796.htm"} +{"d:Title": "Ted Nugent", "d:Description": "Official site includes tour information, reviews, news, photos, and discography. Also, includes information on Ted Nugent Sunrize Safaris.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nugent,_Ted", "url": "http://www.tnugent.com/"} +{"d:Title": "Ted Nugent Tabs", "d:Description": "Guitar tabs for Ted Nugent songs.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nugent,_Ted", "url": "http://www.ultimate-guitar.com/tabs/ted_nugent_tabs.htm"} +{"d:Title": "Rollingstone.com: Ted Nugent", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nugent,_Ted", "url": "http://www.rollingstone.com/music/artists/ted-nugent"} +{"d:Title": "MTV: Ted Nugent", "d:Description": "Biography, discography, reviews, news and articles, sound files, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nugent,_Ted", "url": "http://www.mtv.com/artists/ted-nugent/"} +{"d:Title": "AFENeT: The Interactive Gary Numan Site", "d:Description": "Community site for fans. News, interviews, reviews, chat, polls, surveys, an interactive quiz and discussion forums.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Numan,_Gary", "url": "http://www.afenet.com/"} +{"d:Title": "Nuworld", "d:Description": "Official site with news, interviews, FAQ, images, sound clips, tour dates, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/N/Numan,_Gary", "url": "http://www.garynuman.co.uk/"} +{"d:Title": "Musicfolio: Gary Numan", "d:Description": "Discography, album reviews and ratings, with links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Numan,_Gary", "url": "http://musicfolio.com/modernrock/garynuman.html"} +{"d:Title": "All Music Guide: Gary Numan", "d:Description": "Biography, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Numan,_Gary", "url": "http://www.allmusic.com/artist/p5049"} +{"d:Title": "The Gary Numan Webring", "d:Description": "Links and how to join.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Numan,_Gary", "url": "http://www.webring.org/hub?ring=numanring"} +{"d:Title": "Metacritic: Gary Numan: Pure", "d:Description": "Multiple critic and user reviews for Pure by Gary Numan.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Numan,_Gary", "url": "http://www.metacritic.com/music/pure/gary-numan"} +{"d:Title": "Gary P. Nunn", "d:Description": "Official site. Features news, tour schedule, photographs, store, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nunn,_Gary_P.", "url": "http://www.garypnunn.com/"} +{"d:Title": "Siti Nurhaliza Da'Princess Of Love", "d:Description": "Offers a profile, images, and articles. [English/Malaysian]", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nurhaliza,_Siti", "url": "http://members.tripod.com/gadiss_safa/"} +{"d:Title": "Post Operative Aural Medicine: Nurse with Wound", "d:Description": "Article by Paul Condon covering the band's early releases and influences.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nurse_with_Wound", "url": "http://www.uncarved.org/music/nww.html"} +{"d:Title": "Nurse with Wound", "d:Description": "Official site includes news, discography, and cast of characters.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nurse_with_Wound", "url": "http://www.brainwashed.com/nww/"} +{"d:Title": "LCD: Nurse With Wound i/v", "d:Description": "Article about the band from the WFMU program guide. Includes a ten best album list from the band's United Dairies record label.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nurse_with_Wound", "url": "http://www.wfmu.org/LCD/21/nurse.html"} +{"d:Title": "Nurse With Wound", "d:Description": "Article from alt.surrealism by Harvey Thornburg about the influence of surrealism on the band's work.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nurse_with_Wound", "url": "http://www.madsci.org/~lynn/juju/surr/music/NWW.html"} +{"d:Title": "Yahoo! Groups: nww", "d:Description": "Message board for discussion of the experimental group Nurse with Wound and anything related.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nurse_with_Wound", "url": "http://launch.groups.yahoo.com/group/nww/"} +{"d:Title": "TrouserPress.com: Nurse with Wound", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nurse_with_Wound", "url": "http://www.trouserpress.com/entry.php?a=nurse_with_wound"} +{"d:Title": "Artist Direct: Michael Nyman", "d:Description": "Contains a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nyman,_Michael", "url": "http://www.artistdirect.com/artist/michael-nyman/474377"} +{"d:Title": "Laura Nyro Official Home Page", "d:Description": "Laura designed this page several months before her passing in April 1997, and is based around the theme of the \"Stoned Soul Picnic\" double-CD compilation album.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nyro,_Laura", "url": "http://lauranyro.com/"} +{"d:Title": "Laura Nyro Discussion Group", "d:Description": "Messages, files, photos and chat regarding the late musician. Free membership is required to view contents.", "topic": "Top/Arts/Music/Bands_and_Artists/N/Nyro,_Laura", "url": "http://groups.yahoo.com/group/nyro/"} +{"d:Title": "Ofarim, Esther", "d:Description": "Biography, discography, photos, lyrics, and audio samples of the 1960s' artist.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.esther-ofarim.de/"} +{"d:Title": "Ot Azoj", "d:Description": "Biography, member profiles, album details, contact and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.otazoj.nl/"} +{"d:Title": "O'Malley, Peter", "d:Description": "Biography and discography of this Japanese jazz trumpeter.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.shunzoohno.com/"} +{"d:Title": "Orlando, Tony", "d:Description": "Official site with biography, current itinerary, photo album, acting credits, media flashes, veteran's page, and contacts.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.tonyorlando.com/"} +{"d:Title": "Outsider", "d:Description": "Sample MP3s, lyrics and band information from this United Kingdom based heavy rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.outsider.indiegroup.com/"} +{"d:Title": "Ostara", "d:Description": "The group consists of Richard Leviathan and Timothy Jenn. This is the official site.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.ostara.net/"} +{"d:Title": "Oldham, Will", "d:Description": "Includes a complete discography and news for Bonnie Prince Billy.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://users.bart.nl/~ljmeijer/oldham/index.htm"} +{"d:Title": "Oxymorons", "d:Description": "A seriously fun seven piece band which has been exciting audiences in the greater Washington area with its irresistibly danceable blend of blues-rock-funk music.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.oxymorons.com/"} +{"d:Title": "Otway, John", "d:Description": "British singer and songwriter. Site contains biography and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.johnotway.com/"} +{"d:Title": "Overblue", "d:Description": "Overblue's shadowy lyrics are drenched in thick Manchester guitar washes while the rhythm section provide the cast-iron anchor in a sea of fluid grooves and complex chordal arrangements.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.tt.net/prospective/overblue.html"} +{"d:Title": "Orange Kandy", "d:Description": "They call their music \"garage flower.\" This Japanese 4-piece band (guitar, bass, drums, and vocals) is fronted by 2 girls (vocals and guitar).", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://mrhollywood.0catch.com/OKWEB.html"} +{"d:Title": "O'Neil, Marykate", "d:Description": "Singer/songwriter recently moved from Boston to New York City. Download MP3s and view schedules of upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.marykateoneil.com/"} +{"d:Title": "Orange Spade", "d:Description": "A punk/ska/rock band from Windber, PA. Shows, pictures, mp3s, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.orangespade.8m.com/"} +{"d:Title": "Opiate Void", "d:Description": "San Francisco groove, funk, rock, rap, \"everything\" band. Pictures, samples, gigs, biographies, booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/il/opiatevoid/index.html"} +{"d:Title": "Old Core", "d:Description": "Official site. Contains news, multimedia downloads, lyrics, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.oldcore.com/"} +{"d:Title": "One Day Henry", "d:Description": "A christian punk band from Woodinville, WA. Official web site.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/band/onedayhenry/"} +{"d:Title": "O'Kelley, Jeff", "d:Description": "Includes photos, lyrics, tour dates, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.jeffokelley.com/"} +{"d:Title": "One Last Score", "d:Description": "Punk band. Song download, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/geek/ols/"} +{"d:Title": "Orange Cotton", "d:Description": "A tribute to the great lost East Midlands band Orange Cotton. Dunbobbin, Mussett, Williams, Page, Martin - your memory lives on.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/indie/orangecotton/"} +{"d:Title": "Our Moms Say We're Cool", "d:Description": "Official site for the punk band founded in 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/band/omswc/"} +{"d:Title": "Optimum", "d:Description": "Family band consisting of father and 2 sons. Their debut double album \"Everything\" consists of 22 tracks. Sample music in MP3 format plus link to 2 free tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.optimummusic.com/"} +{"d:Title": "One Half Monk", "d:Description": "Irish 4 piece rock band from Dublin.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://homepage.eircom.net/~ohm1/"} +{"d:Title": "Orchid Highway, The", "d:Description": "Canadian band's site features news, audio and video.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.orchidhighway.com/"} +{"d:Title": "O'Neill, Steve", "d:Description": "Official site for this recording and video artist.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.steveoneill.com/"} +{"d:Title": "Original Stiefelbein Bluhs-Band", "d:Description": "Folk, blues, country, Cajun and TexMex band from Germany. Profile, discography, pictures, and sound files. In German and English.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.stiefelbein.com/"} +{"d:Title": "Ole Lukk\u00f8ye", "d:Description": "An ethno-trance-psychedelic-rock band from St. Petersburg, Russia. About the cd Crystal Crow Bar.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.aural-innovations.com/issues/issue13/lukkoye1.html"} +{"d:Title": "Of A Revolution", "d:Description": "News, setlist archive and music tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.oarsa.org/"} +{"d:Title": "Oil 10", "d:Description": "News, MP3 files, photos, reviews and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.oil10.com/"} +{"d:Title": "Original Virgins, The", "d:Description": "All-original trans-genre, acoustic duo. Debut self-titled CD released November 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/myband/theoriginalvirgins/"} +{"d:Title": "Opus Anglicanum", "d:Description": "Performing unusual site-specific programmes and meditations for music, literature, and religious festivals. UK.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.opus-anglicanum.com/"} +{"d:Title": "O'Rourke, Sean", "d:Description": "A working resume including audio and video with pictures of gigs and travels of this drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://seanorourke.com/"} +{"d:Title": "One by 6", "d:Description": "Elegant music, from classical to jazz, featuring vocalist and guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://oneby6.tripod.com/"} +{"d:Title": "Orchid Pool, The", "d:Description": "Features news, upcoming shows, CD ordering and information on this Pennsylvania based indie pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.theorchidpool.com/"} +{"d:Title": "Oster, Michael", "d:Description": "A blurring of music and sound effects; \"Fluid\" is his latest CD. Includes press kit and RealAudio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.f7sound.com/fluid.htm"} +{"d:Title": "One for the Road", "d:Description": "Official site includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.onefortheroad.com/"} +{"d:Title": "Orion", "d:Description": "Official site featuring news, press reviews, and band information for this Celtic folk act.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.orionceltic.com/"} +{"d:Title": "Organical", "d:Description": "Official site for Toronto based alternative band. Includes lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.organical.net/"} +{"d:Title": "Odessa", "d:Description": "Official site. Includes a schedule of appearances, pictures, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.odessamusic.com/"} +{"d:Title": "Oblivious Shade of Grey, An", "d:Description": "Local band from Akron, Ohio. Contains biographies and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/band2/aosog/index.html"} +{"d:Title": "Offlimitz", "d:Description": "Angry metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/scifi/offlimitz/index.html"} +{"d:Title": "Ott, Mary", "d:Description": "Folk artist, Singer/songwriter/musician.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.maryott.com/"} +{"d:Title": "Obituary", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/obituary/"} +{"d:Title": "One of Us", "d:Description": "Music, band information, free MP3s, art gallery with photography and painting, and a page on the sport of freediving.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.oneofusband.com/"} +{"d:Title": "O'Brien Brothers", "d:Description": "Gerard and Donal are from Dublin's Northside. They perform both their own pop compositions as well as traditional/contemporary Irish music.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.obrienbrothers.com/index.htm"} +{"d:Title": "Optimus Prime", "d:Description": "A 4-piece funk/punk band from Morristown, New Jersey. With background, show dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/band2/optimusprime/"} +{"d:Title": "Osmose", "d:Description": "Rock band from Casablanca, Morocco.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://osmosecasa.tripod.com/"} +{"d:Title": "Old Scratch", "d:Description": "The official, uncensored story of an Irish band of the last century and their spiral into tragedy.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://homepage.eircom.net/~oldscratch/index.html"} +{"d:Title": "Oracle", "d:Description": "Christian alternative rock band. Member profiles and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://oraclecom.tripod.com/Oracle/index.html"} +{"d:Title": "ON inc.", "d:Description": "Sydney, Australia based, news, member biographies, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://oninc.tripod.com/"} +{"d:Title": "One Year Nothing", "d:Description": "Upstate New York punk/emo band.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/emo/oyn/home.html"} +{"d:Title": "Orphanage", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/orphanage/"} +{"d:Title": "O'Neary, Emily", "d:Description": "Official site features brief biography, news articles and links to MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.esunit16.com/emily.htm"} +{"d:Title": "Oomph", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/oomph/"} +{"d:Title": "Old Man's Child", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/old_man_s_child/"} +{"d:Title": "Ophthalamia", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/ophthalamia/"} +{"d:Title": "Opera IX", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/opera_ix/"} +{"d:Title": "Onslaught Lyrics", "d:Description": "Indexed by album and alphabetically at Rockmagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/onslaught/"} +{"d:Title": "On Thorns I Lay", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/on_thorns_i_lay/"} +{"d:Title": "Obliveon", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/obliveon/"} +{"d:Title": "O.L.D.", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://lyrics.rockmagic.net/lyrics/o_l_d/"} +{"d:Title": "Onelessthing", "d:Description": "News, pictures, shows, lyrics, and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/d20/onelessthing/"} +{"d:Title": "Open for Business", "d:Description": "Biography, discography, news, lyrics, show dates, and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://smash61785.tripod.com/ofb/"} +{"d:Title": "Orpheus", "d:Description": "Electronic music, news, music streams and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.metempsychosis.com/orpheus/"} +{"d:Title": "Osoff, Dave", "d:Description": "Boston keyboardist/songwriter. Biography, pictures, gigs calendar, Real player streams and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://daveosoff.com/"} +{"d:Title": "Onyx", "d:Description": "Features MP3s, videos, biographies, and pictures for this hip hop/rap group.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.onyxdomain.com/"} +{"d:Title": "Opium", "d:Description": "Official site. Information includes free downloads of video and music files, photographs and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.opiummusic.com/"} +{"d:Title": "Oscar Goldmann Overthrow Initiative, The", "d:Description": "Official Page. Contains band photos, itinerary, contact information, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.ogoiops.com/"} +{"d:Title": "Overflower", "d:Description": "Official Page. Contains lyrics, photos, press, and bandmember biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.overflower.com/"} +{"d:Title": "Okay Samurai", "d:Description": "Official site featuring audio clips, photos, and concert news for this Maryland band.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://okaysamurai.tripod.com/"} +{"d:Title": "Oysters, The", "d:Description": "Official site for rock band. Offers history, audio clips, contact information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.the-oysters.com/"} +{"d:Title": "O'Neill Brothers, The", "d:Description": "Contains biographical information, album information, events calendar, and audio samples of Tim and Ryan's solo piano music.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.pianobrothers.com/"} +{"d:Title": "Orphan", "d:Description": "Official site of death metal band from Kazakhstan. Includes news, audio and video, lyrics, and photos. [English and Russian]", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://orphan.musica.mustdie.ru/"} +{"d:Title": "Ordinary Place", "d:Description": "Pop alternative band from northern Virginia. Lyrics, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.angelfire.com/dc2/ordinaryplace/"} +{"d:Title": "\u00d6ztop, Serdar", "d:Description": "Turkish rock guitarist and producer. Biography, audio samples, event dates, and photos. [English and Turkish]", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.serdaroztop.com/"} +{"d:Title": "Outerspace Band, The", "d:Description": "MP3s and photos from this rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.outerspaceband.com/"} +{"d:Title": "Oteri, Willie", "d:Description": "Sound files, reviews, biography, and CD information for the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.willieoteri.com/"} +{"d:Title": "Onyan Art", "d:Description": "Rock, soca, calypso, and reggae. A fresh sound that has bridged World Music with Pop Music.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://swordinthestonerecords.com/onion/"} +{"d:Title": "O.T.T. Chicago Swing", "d:Description": "The premier jazz and swing band from Perth, Western Australia.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.ottcs.com/"} +{"d:Title": "Original Skin", "d:Description": "Upcoming shows, lyrics, MP3 downloads, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.originalskin.com/"} +{"d:Title": "O'Malley, Peter", "d:Description": "Irish singer/songwriter giging a lot around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.peteromalley.net/"} +{"d:Title": "Okkervil River", "d:Description": "Folk-punk rock.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.okkervilriver.com/"} +{"d:Title": "Ocean", "d:Description": "Ocean, singer-songwriter-producer, has infused the magical feel of the seven seas into Mermaid Music,her debut international release. Her hypnotic vocals and raps drift mesmerizingly aloft the hard funk of danceable rhythms.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://sites.google.com/site/musicoceans/"} +{"d:Title": "Ortiz, Claudette", "d:Description": "Provides pictures, a biography, and commentary for this City High singer.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.askmen.com/celebs/women/singer_100/133_claudette_ortiz.html"} +{"d:Title": "Onomatopea", "d:Description": "Official site for this post modern pop act. Provides audio clips, press reviews, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.onomatopea.com/"} +{"d:Title": "Osborne, Anders", "d:Description": "Biography, lyrics, photos, tour dates, and sound clips from this Grammy Award winning songwriter and producer.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.andersosborne.com/"} +{"d:Title": "Out of Bodies", "d:Description": "Biographies, sound files, and photos for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://outofbodies.com/"} +{"d:Title": "Orthodox Celts", "d:Description": "Irish folk-rock band from Belgrade, Serbia. They released three CDs and held numerous concerts, both in Yugoslavia and abroad.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://orthodoxcelts.com/"} +{"d:Title": "Ozark Mountain Daredevils", "d:Description": "News, history, discography, merchandise, concerts and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.ozarkdaredevils.com/"} +{"d:Title": "Ol' Yeller", "d:Description": "Rock band from Minneapolis; biography, discography, MP3s, show dates, press and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.richmattsonmusic.com/Ol'%20Yeller/oyindex.html"} +{"d:Title": "Olsen, Kristina", "d:Description": "Folk singer-songwriter and multi-instrumentalist. Concert dates, discography, reviews, pictures, press kit, message board and sheet music.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.kristinaolsen.net/"} +{"d:Title": "Oxford Belles", "d:Description": "All-female a cappella ensemble of students from the University of Oxford and Oxford Brookes University in England. Biographies, videos, pictures and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://theoxfordbelles.webs.com/"} +{"d:Title": "Okham's Razor", "d:Description": "Wisconsin-based rock band utilizes 12-string guitar, upright bass, mandolin and congas.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "http://www.roysongs.net/okhams-razor/"} +{"d:Title": "Originals, The", "d:Description": "Wikipedia page for the band. Includes history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "https://en.wikipedia.org/wiki/The_Originals"} +{"d:Title": "Ojal\u00e1", "d:Description": "World music sung in Persian and Spanish. Biography, performance dates, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/O", "url": "https://www.facebook.com/ojalamusic"} +{"d:Title": "Des O'Connor", "d:Description": "The official site of the British entertainer. Career highlights and trivia, biography, recordings and details of his published autobiography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Des", "url": "http://desoconnornow.com/"} +{"d:Title": "Breaking Glass", "d:Description": "1981 film about a New Wave band, starring Hazel O'Connor, Phil Daniels, Mark Wingett, and Gary Tibbs. Includes a presentation of the story with pictures, cast information, and RealAudio samples and lyrics of the songs.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Hazel", "url": "http://www.breakingglass.net/"} +{"d:Title": "Will You", "d:Description": "Fan site features tour dates, projects, news, pictures, discography, audio samples, acting credits, lyrics, press and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Hazel", "url": "http://willyou.free.fr/"} +{"d:Title": "Hazel O'Connor Official", "d:Description": "News, tour schedule, discography, reviews, video, audio, pictures and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Hazel", "url": "http://www.hazeloconnor.com/"} +{"d:Title": "The Ultra Modern Ancient Gaelic Mystic Siren", "d:Description": "Fan site with song analysis, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.morethings.com/music/sinead"} +{"d:Title": "From a Whisper to a Scream", "d:Description": "Offers a biography, lyrics, news, and sheet music.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.angelfire.com/music4/sineadoconnor/"} +{"d:Title": "Ectophiles' Guide - Sin\u00e9ad O'Connor", "d:Description": "Information and comments about Sin\u00e9ad and her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.ectoguide.org/guide.cgi?alpha/o/oconnor.sinead"} +{"d:Title": "RollingStone.com: Sinead O'Connor", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.rollingstone.com/music/artists/sinead-oconnor"} +{"d:Title": "Pitchfork Review - Sean-N\u00f3s Nua", "d:Description": "Review by Mark Richardson [Rating: 6.3/10]. (Jan. 16, 2003)", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://pitchfork.com/reviews/albums/5942-sean-nos-nua/"} +{"d:Title": "Pitchfork Review - Faith and Courage", "d:Description": "Review by Kristin Sage Rockermann [Rating: 3.8/10]. (July 31, 2000)", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://pitchfork.com/reviews/albums/5944-faith-and-courage/"} +{"d:Title": "MTV - Sin\u00e9ad O'Connor", "d:Description": "Video downloads, news, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.mtv.com/artists/sinead-oconnor/"} +{"d:Title": "Guardian Unlimited - Sean-Nos Nua", "d:Description": "Review by Betty Clarke [Rating: 3/5].", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.theguardian.com/music/2002/oct/04/popandrock.artsfeatures1"} +{"d:Title": "MetaCritic - Faith and Courage", "d:Description": "Summary of reviews from numerous music critics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.metacritic.com/music/faith-and-courage/sinead-oconnor"} +{"d:Title": "IMDb - Sin\u00e9ad O'Connor", "d:Description": "Filmography, awards, biography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.imdb.com/name/nm0640521/"} +{"d:Title": "The Beauty Of Sinead O'Connor", "d:Description": "Gallery of photos and video captures. Also contains news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://sineadlover.tripod.com/"} +{"d:Title": "Salon.com - Priestess Sin\u00e9ad O'Connor abandons neo-Catholic celibacy", "d:Description": "Article by Hank Hyena.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://www.salon.com/1999/11/05/sinead/"} +{"d:Title": "Jam! Showbiz - Sin\u00e9ad O'Connor", "d:Description": "Archive of articles published by Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Connor,_Sinead", "url": "http://jam.canoe.com/Music/Artists/O/OConnor_Sinead/"} +{"d:Title": "Wilson and Allroy's Album Reviews: The O'Jays", "d:Description": "Short reviews with star ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Jays,_The", "url": "http://www.warr.org/ojays.html"} +{"d:Title": "The O'Jays: Rollingstone.com", "d:Description": "Includes biography, recordings, pictures, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Jays,_The", "url": "http://www.rollingstone.com/music/artists/the-ojays"} +{"d:Title": "Rock and Roll Hall of Fame: O'Jays", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Jays,_The", "url": "http://rockhall.com/inductees/the-ojays/"} +{"d:Title": "Jim O'Rourke Discography.", "d:Description": "Complete discography includes guest appearances and his work as a producer and remixer.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Rourke,_Jim", "url": "http://tisue.net/orourke/"} +{"d:Title": "Drag City: Jim O'Rourke", "d:Description": "Official site from his record company includes a discography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Rourke,_Jim", "url": "http://www.dragcity.com/artists/jim-orourke"} +{"d:Title": "Alone Again", "d:Description": "Gilbert O'Sullivan fan shares their personal collection.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Sullivan,_Gilbert", "url": "http://www.angelfire.com/pq/deardream/"} +{"d:Title": "Gilbert O'Sullivan Himself", "d:Description": "Dedicated to the Irish-English singer songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O'Sullivan,_Gilbert", "url": "http://www.gosullivan.com/"} +{"d:Title": "Angelic Ashley Angel Fan Fiction", "d:Description": "Stories based upon the O-Town performer.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Angel,_Ashley_Parker", "url": "http://www.angelfire.com/pop2/ashleyangel/"} +{"d:Title": "A True Angel by Heart", "d:Description": "Contains image gallery, biography, rumors, look-a-likes and news.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Angel,_Ashley_Parker", "url": "http://www.angelfire.com/biz6/ashleya/"} +{"d:Title": "A Real Angel", "d:Description": "Includes news, biography, pictures, fan encounters, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Angel,_Ashley_Parker", "url": "http://www.angelfire.com/music2/ashleyangel/"} +{"d:Title": "Ashley A. my Angel", "d:Description": "Offers biography, picture gallery, quotes, fan fiction, parodies, transcripts, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Angel,_Ashley_Parker", "url": "http://www.angelfire.com/pop2/ashleyamyangel/"} +{"d:Title": "All 4 Ashley Parker Angel", "d:Description": "A yahoo club dedicated to Ashley Angel. Includes up-to-date information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Angel,_Ashley_Parker", "url": "http://launch.groups.yahoo.com/group/all4ashleyparkerangel/"} +{"d:Title": "PopMatters: Making the Band", "d:Description": "Review of the television show by Fred Kovey.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Articles_and_Interviews", "url": "http://www.popmatters.com/tv/reviews/m/making-the-band.html"} +{"d:Title": "Lindzi.com: O-Town", "d:Description": "Offers an interview with Ashley and Trevor discussing \"Making the Band\", their upcoming album, and life.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Articles_and_Interviews", "url": "http://www.lindzi.com/interviews/otown.htm"} +{"d:Title": "Erik-Michael Estrada Fan Page", "d:Description": "Offers biography and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Estrada,_Erik-Michael", "url": "http://www.angelfire.com/mi2/raeychael15/index.html"} +{"d:Title": "Erik-Michael Estrada", "d:Description": "Includes biography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Estrada,_Erik-Michael", "url": "http://www.angelfire.com/boybands/erikmichealestrada/frames.html"} +{"d:Title": "O-Town Ultimate", "d:Description": "Provides photo archive, news, bios, multimedia, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/pop2/OTownUltimate/"} +{"d:Title": "O-Town Direct", "d:Description": "Offers news, tour dates, pics, chart listings, multimedia, message board, chat room, fan section, MTB recaps, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/pop/otownonline/"} +{"d:Title": "O-Town Connection", "d:Description": "Features song lyrics, pictures and chat transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/boybands/boyzsband/index.html"} +{"d:Title": "O-Town 5", "d:Description": "Offers pictures, quotes, polls, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/music2/all4otown/"} +{"d:Title": "O-Town", "d:Description": "Features pictures, biographies, quotes, lyrics and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/pq/otown/"} +{"d:Title": "O-Town Avenue", "d:Description": "Contains latest news, pictures, discography, audio, video, international TV guide, international tour dates, ticket info, chat transcripts, and screen caps.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://o-town.bravepages.com/"} +{"d:Title": "Luv 4 O-Town", "d:Description": "Provides pictures, biographies, multimedia, lyrics, and appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://boycrazy251.tripod.com/"} +{"d:Title": "My O-Town Boys", "d:Description": "Features biographies and pictures from Erik Michael Estrada, Jacob Underwood, and Trevor Penick.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/boybands/erikjacobtrevor/"} +{"d:Title": "O God O-Town", "d:Description": "Provides humorous pictures, biographies, top ten lists, mock fan fiction, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/boybands/ogodtown/index.html"} +{"d:Title": "UK O-Town Fan Page", "d:Description": "Includes pictures, biographies, quizzes, quotes, polls, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/boybands/ukotownfanpage/"} +{"d:Title": "O-Town's Unofficial Fan Page", "d:Description": "Includes, biographies, lyrics, pics, interviews, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/boybands/Otownsangel/"} +{"d:Title": "O-Town 4 Life", "d:Description": "Includes news, tour dates, TV listings, pictures, biographies, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://o-town4life.freewebspace.com/"} +{"d:Title": "The O-Town Thang", "d:Description": "Features profiles, pictures, appearances, discography, lyrics, reviews, fan pictures, and drawings.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/celeb/otownthang/"} +{"d:Title": "O-Town 4 Ever", "d:Description": "Provides articles, biographies, interviews, lyrics, news, pictures, schedule, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/myband2/otown2001/"} +{"d:Title": "Ashley Parker Angel Studio", "d:Description": "Provides biographies, pictures, articles, and transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/boybands/ashleyotown/"} +{"d:Title": "O-Town 4 Eva", "d:Description": "Contains bandmember biographies, pictures, lyrics, and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/pop2/ashleyangel0/index.html"} +{"d:Title": "Chelly's O-Town 4 Eva", "d:Description": "Offers biographies, pictures, news articles, and TRL statistics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/music4/angelsgirl/index.html"} +{"d:Title": "O-Town Online", "d:Description": "Contains news articles, wallpaper, biographies, discographies, pictures, and chat transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/music3/otownonline/index.html"} +{"d:Title": "O-Town Rocks", "d:Description": "Provides audio and video, games, graphics, screen caps, tour guide, and transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/ga4/otownrox/"} +{"d:Title": "O-Town's Finest: O2", "d:Description": "Provides biographies, audio and video, chat room, fan encounters, links, pictures, rumors, and tour guide.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://otownsfinest02.tripod.com/"} +{"d:Title": "O-Town Pix", "d:Description": "Contains pictures and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/super/otownpix/"} +{"d:Title": "O-Town World", "d:Description": "Offers pictures, facts, audio and video samples, transcripts, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://otowncom.tripod.com/"} +{"d:Title": "Pink Ripples", "d:Description": "Provides fan fiction, fan poems, quizzes, biographies, pictures, lyrics, reviews, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://www.angelfire.com/mac/pink_ripples/otownsection.html"} +{"d:Title": "O-Town Street Team of MA", "d:Description": "Includes ticket information, appearances, and shows.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/otownstreetteamofma/"} +{"d:Title": "My Liquid Dreams", "d:Description": "Offers news, biographies, appearances, picture gallery, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://liquiddreams269.tripod.com/"} +{"d:Title": "O-Town Love", "d:Description": "Includes Making the Band 2 review and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Fan_Pages", "url": "http://o-townlove.tripod.com/"} +{"d:Title": "Jacob Underwood World", "d:Description": "Features image gallery, appearances, news and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Underwood,_Jacob", "url": "http://www.angelfire.com/boybands/jacobunderwood/"} +{"d:Title": "Jake's Nails", "d:Description": "Provides news, biography, pictures, media, wallpapers, fan art, and guitar chords.", "topic": "Top/Arts/Music/Bands_and_Artists/O/O-Town/Underwood,_Jacob", "url": "http://www.angelfire.com/nc3/jakesnails/frontpage.html"} +{"d:Title": "Paul Oakenfold Official Webpage", "d:Description": "Includes chat, tour dates, reviews, news, mail, shopping, tickets, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oakenfold,_Paul", "url": "http://www.pauloakenfold.com/"} +{"d:Title": "AskMen.com: Paul Oakenfold", "d:Description": "Pictures, biography, commentary and links on the talended trance DJ.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oakenfold,_Paul", "url": "http://www.askmen.com/celebs/men/entertainment/53_paul_oakenfold.html"} +{"d:Title": "Oasisinet", "d:Description": "Official band site includes fan sections, lyrics, press releases, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.oasisinet.com/"} +{"d:Title": "Rock Lyrics", "d:Description": "Song lyrics for the band indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://lyrics.rockmagic.net/lyrics/oasis/"} +{"d:Title": "Bring It On Down", "d:Description": "James Kenward's fan site for the group and their music. Offers profiles of individual band members, photo gallery, guitar tabs, fan guestbook and contact email.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.members.tripod.com/jameshakermakery2k/index.htm"} +{"d:Title": "Music Olympus: Oasis", "d:Description": "Offers a discography, song lyrics, two photo galleries of the band members, guitar tablatures, MIDI music and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.musicolympus.com/oasis/"} +{"d:Title": "NME: Oasis", "d:Description": "Featuring reviews of albums, single and gigs, full biography, and image archive.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.nme.com/artists/oasis"} +{"d:Title": "ArtistDirect: Oasis", "d:Description": "Contains a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,474758,00.html"} +{"d:Title": "Oasis Tablatures", "d:Description": "Features an alphabetical listing of the groups tablatures for their songs.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.guitaretab.com/o/oasis/"} +{"d:Title": "Contactmusic - Oasis", "d:Description": "Featuring the news, reviews, biography, links and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.contactmusic.com/info/oasis"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Oasis", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://sfloman.com/oasis.html"} +{"d:Title": "RollingStone.com: Oasis", "d:Description": "Includes biography, recordings, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.rollingstone.com/music/artists/oasis"} +{"d:Title": "MTV: Oasis", "d:Description": "MTV's band site features music video clips, album reviews, exclusive online performances and news features.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.mtv.com/artists/oasis/"} +{"d:Title": "All Music Guide: Oasis", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis", "url": "http://www.allmusic.com/artist/oasis-mn0000393345"} +{"d:Title": "All Alone at Dawn", "d:Description": "Band site with news, tablatures, lyrics, complete biographies, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.angelfire.com/va2/maintable20/345.swf"} +{"d:Title": "Helter Skelter Oasis", "d:Description": "Provides photos, news, profiles and discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://oasis_15.tripod.com/"} +{"d:Title": "It All Adds Up To Oasis", "d:Description": "Band site with discography, news, links and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://supernova191.fanspace.com/"} +{"d:Title": "Pat's Oasis Page", "d:Description": "Features rare band photos, tablatures, news and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.angelfire.com/music2/oasisvip/"} +{"d:Title": "Ste's Oasis Fan Site", "d:Description": "A tribute to band, with lyrics, tablatures, pictures, videos, reviews, facts, information, and WAVs.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://steoasisfan.tripod.com/pages/startup.html"} +{"d:Title": "The Fame", "d:Description": "Tribute to the life and music of the band and its members. Offers latest band news, biographies of the members, list of singles, albums and b-sides, several photo galleries and unreleased tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.thefame.dk/"} +{"d:Title": "Ultimate Oasis Fan Site", "d:Description": "Offers a selection of song lyrics and band member quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.angelfire.com/music2/oasisfans/index.html"} +{"d:Title": "Waterfalls", "d:Description": "Tribute site to the band from a Japanese fan. Offers band members biographies, discography, guestbook and online band music poll.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.geocities.co.jp/MusicStar/2373/oasis.htm"} +{"d:Title": "Supersonic", "d:Description": "Pictures, discography, screensavers, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://supersonicoasis.tripod.com/Oasis/"} +{"d:Title": "Oasisinet.50megs.com", "d:Description": "Fan site provided by Morgan from Northern Ireland. Includes several photos of the band members, concert and record news and information about the host.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.oasisinet.50megs.com/"} +{"d:Title": "Rock Planet's Oasis Page", "d:Description": "Offers song lyrics, guestbook, forum, online favorite song poll and tablatures for their songs.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.rock-planet.co.uk/oasis/index.php"} +{"d:Title": "Oasis Fanatic", "d:Description": "Established in 2001 for hard-core fans of the band. Offers a photo gallery, forums with moderators, chat room, discography, news features and headlines with ratings, short biographies of the members and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.oasisfanatic.com/"} +{"d:Title": "Live4ever", "d:Description": "Tribute to the group from a New York City fan. Offers latest band news, musical reviews, message board, video streams, archives and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Fan_Pages", "url": "http://www.live4ever.uk.com/"} +{"d:Title": "No Way Sis", "d:Description": "Yahoo Group for the tribute band supported by Oasis.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oasis/Tribute_Bands", "url": "http://launch.groups.yahoo.com/group/no_way_sis/"} +{"d:Title": "OBC: Bleed", "d:Description": "Track listing and review of the first cd by Obscured By Clouds, by Jurriaan Hage.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Obscured_By_Clouds", "url": "http://www.cs.uu.nl/people/jur/reviews/bleed.html"} +{"d:Title": "Obscured By Clouds", "d:Description": "Got ilk? Early Floyd meets early Crimson, maybe Nick Drake, and Syd Barrett may be lurking in there somewhere as well.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Obscured_By_Clouds", "url": "http://www.obscuredbyclouds.com/"} +{"d:Title": "Deep into the Ocean Blue", "d:Description": "Unofficial fan site. Includes news, tours, interviews, multimedia, discography, and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ocean_Blue,_The", "url": "http://www.pianalto.com/tob/"} +{"d:Title": "Ocean Blue Guitar Chord Archive, The", "d:Description": "Guitar tablatures sorted by album, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ocean_Blue,_The", "url": "http://www.danmarx.org/tob/"} +{"d:Title": "TheOceanBlue Discussions", "d:Description": "Yahoo! Group community.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ocean_Blue,_The", "url": "http://launch.groups.yahoo.com/group/TheOceanBlue/"} +{"d:Title": "Pop Matters: The Ocean Blue", "d:Description": "Davy Jones' Locker album review by Patrick Schabe.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ocean_Blue,_The", "url": "http://www.popmatters.com/review/oceanblue-davy/"} +{"d:Title": "ArtistDirect.com: The Odds", "d:Description": "Includes a biography, photos, audio clips and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Odds,_The", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,474885,00.html"} +{"d:Title": "Put A Little Ketchup On It", "d:Description": "Odds tribute page includes news, discography, quotes, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Odds,_The", "url": "http://jimmismith.tripod.com/Odds/odds.htm"} +{"d:Title": "Canoe.ca: The Odds", "d:Description": "Features a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Odds,_The", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/O/Odds.html"} +{"d:Title": "716", "d:Description": "Fan site includes news, biography, discography, lyrics, audio sample, video screen captures, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ofarim,_Gil", "url": "http://www.yongsi.com/gil/"} +{"d:Title": "The Gil Ofarim Malaysia Fan Club", "d:Description": "Message board devoted to the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ofarim,_Gil", "url": "http://www.angelfire.com/celeb/gfc/"} +{"d:Title": "Offspring", "d:Description": "Official site with news, tour dates, discography, interviews, and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring", "url": "http://www.offspring.com/"} +{"d:Title": "Matt's Music Page: Offspring", "d:Description": "Images, MIDI, videos, chat, forum, WAVs, tour dates, lyrics, guitar tablature, sound clips, sheet music, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring", "url": "http://www.mattsmusicpage.com/noffspri.htm"} +{"d:Title": "Offspring Guitar Tabs at RockMagic.net", "d:Description": "Guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring", "url": "http://www.rockmagic.net/guitar-tabs/offspring/"} +{"d:Title": "Offspring Tabs", "d:Description": "An alphabetic listing of tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring", "url": "http://www.guitaretab.com/o/offspring/"} +{"d:Title": "ArtistDirect: The Offspring", "d:Description": "Biography, links to websites, MP3, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,474954,00.html"} +{"d:Title": "MTV.com: Offspring", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring", "url": "http://www.mtv.com/artists/the-offspring/"} +{"d:Title": "Yahoo Groups: Offspring Anarchy Zone", "d:Description": "Message board, chat, and pictures. Requires a Yahoo ID to join.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/offspringanarchyzone/"} +{"d:Title": "Matt's Offspring Page", "d:Description": "Images, MIDI, videos, chat, forum, WAVs, tour dates, Real Audio samples, lyrics, guitar tablature, sound clips, sheet music, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://www.mattsmusicpage.com/noffspri.htm"} +{"d:Title": "The Offspring", "d:Description": "Biography, discography, lyrics, tablature, articles, interviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://huggle.8m.com/"} +{"d:Title": "Dexter Holland For Life", "d:Description": "Pictures, little known information, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://www.angelfire.com/punk/4everdexter/"} +{"d:Title": "The Offspring", "d:Description": "Pictures, biographies, the latest news, quizzes, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://the-offspring.8k.com/"} +{"d:Title": "The Offspring", "d:Description": "Pictures, tablature, information, and tour dates, maintained by Kurt Kuenzle.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://www.100megsfree.com/pop/protrons/"} +{"d:Title": "Youth Energy", "d:Description": "Lyrics, audio, video, tablature, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://www.angelfire.com/pa3/theoffspring/"} +{"d:Title": "Offspring-Punk", "d:Description": "Bootlegs, photos, tablature, interviews, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://gregpunk.free.fr/"} +{"d:Title": "The Offspring Online", "d:Description": "Turkish fan site includes news, biographies, lyrics, tour dates, photos, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Fan_Pages", "url": "http://theoffspringlive.50megs.com/"} +{"d:Title": "Rockmagic.net: The Offspring", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/offspring/"} +{"d:Title": "Lyricsfreak.com: Offspring", "d:Description": "An alphebetical listing of Offspring lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Lyrics", "url": "http://www.lyricsfreak.com/o/offspring/"} +{"d:Title": "CD Review: The Offspring", "d:Description": "A review of the CD \"Ixnay on the Hombre\".", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Reviews", "url": "http://dropd.com/issue/67/CD/Offspring/"} +{"d:Title": "Entertainment Ave: Offspring", "d:Description": "A concert review of Offspring.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1999/offspring.htm"} +{"d:Title": "Entertainment Ave: Offspring", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/o/offspring/of120298.htm"} +{"d:Title": "Metacritic: Conspiracy of One", "d:Description": "Reviews of \"Conspiracy of One\".", "topic": "Top/Arts/Music/Bands_and_Artists/O/Offspring/Reviews", "url": "http://www.metacritic.com/music/conspiracy-of-one/the-offspring"} +{"d:Title": "Oh Susanna - Singer, Songwriter", "d:Description": "Biography, discography, news and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oh_Susanna", "url": "http://www.swellinteractive.com/ohsusanna2/"} +{"d:Title": "Triste Magazine: Oh Susanna", "d:Description": "Interview with Iain Smith, while a solo tour of the UK in 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oh_Susanna", "url": "http://www.triste.co.uk/os.htm"} +{"d:Title": "Canoe: Oh Susanna", "d:Description": "Various news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oh_Susanna", "url": "http://jam.canoe.com/Music/Artists/O/Oh_Susanna/"} +{"d:Title": "Dan's Boingo Page", "d:Description": "Fan site includes news, articles and interviews, guitar chords, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oingo_Boingo", "url": "http://www.boingo.org/"} +{"d:Title": "Allmusic: Oingo Boingo", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oingo_Boingo", "url": "http://www.allmusic.com/artist/oingo-boingo-mn0000390532"} +{"d:Title": "OK Go", "d:Description": "Official site with news, tour dates, discography, biography, photos and weblog.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ok_Go", "url": "http://www.okgo.net/"} +{"d:Title": "OK GO lyrics", "d:Description": "Song lyrics indexed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ok_Go", "url": "http://www.azlyrics.com/o/okgo.html"} +{"d:Title": "MTV: Ok Go", "d:Description": "News, biographies, discography message board, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ok_Go", "url": "http://www.mtv.com/artists/ok-go/"} +{"d:Title": "MTV: Ol' Dirty Bastard", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ol'_Dirty_Bastard", "url": "http://www.mtv.com/artists/ol-dirty-bastard/"} +{"d:Title": "Mike Oldfield - Tubular.net", "d:Description": "Daily news, forums, discography, interviews, artwork, photos, links, lyrics, analysis, and tabs.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oldfield,_Mike", "url": "http://tubular.net/"} +{"d:Title": "Dutch-based Mike Oldfield fan site", "d:Description": "Mike Oldfield dedicated site with trades, news, media and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oldfield,_Mike", "url": "http://www.oldfield.nl/"} +{"d:Title": "Official Mike Oldfield News Service", "d:Description": "Recent news, archive, and information about Dark Star magazine.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oldfield,_Mike", "url": "http://www.mikeoldfield.org/"} +{"d:Title": "Hans Claesson's Wallpapers&Graphics", "d:Description": "3D wallpapers and graphics inspired by the world of Mike Oldfield, designed by Hans Claesson.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oldfield,_Mike", "url": "http://kebawe.com/wallpapers/oldfield/"} +{"d:Title": "Mike Oldfield", "d:Description": "Official site features news, biography, pictures, video, discography and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oldfield,_Mike", "url": "http://mikeoldfieldofficial.com/"} +{"d:Title": "Only Mike Oldfield", "d:Description": "In English and Spanish, includes chords, guitar tablatures, midi files, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oldfield,_Mike", "url": "http://oldfield.byaku.net/"} +{"d:Title": "Terry Oldfield", "d:Description": "Chatroom, discography, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oldfield,_Terry", "url": "http://www.terryoldfield.com/"} +{"d:Title": "Old 97s World", "d:Description": "Fan site with reviews, pictures and personal musings.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Old_97s", "url": "http://www.angelfire.com/tx/FunnyLikeOnTV/index.html"} +{"d:Title": "Tour photos", "d:Description": "The Old's 97's touring with The Gourds during Feb. 1998. Photos by Joe Ryan.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Old_97s", "url": "http://www.ryanfoto.com/gallery/7510836_VQyKk"} +{"d:Title": "Oleander", "d:Description": "Official site includes biography, tour dates, photos, music samples and lyrics. Requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oleander", "url": "http://www.oleander.net/"} +{"d:Title": "Olivia Tremor Control: Kindamusic article", "d:Description": "A short post-Black Foliage article labeling the Olivia Tremor Control as one of the \"Next Big Things.\"", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olivia_Tremor_Control/Articles_and_Interviews", "url": "http://www.kindamuzik.net/article.shtml?id=450"} +{"d:Title": "Olivia Tremor Control: Singles and Beyond", "d:Description": "Pitchforkmedia review.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olivia_Tremor_Control/Reviews", "url": "http://pitchfork.com/reviews/albums/5981-singles-and-beyond/"} +{"d:Title": "Olivia Tremor Control: Black Foliage", "d:Description": "Pitchforkmedia review.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olivia_Tremor_Control/Reviews", "url": "http://pitchfork.com/reviews/albums/5982-black-foliage-animation-music-vol-1/"} +{"d:Title": "Olsen Brothers", "d:Description": "The official website with news, history, pictures, discography, booking and the Olsen Club.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olsen_Brothers", "url": "http://www.olsen-brothers.dk/"} +{"d:Title": "Evan Olson", "d:Description": "Official site from Universal Records features fanclub, song clips, video, pictures, biography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olson,_Evan", "url": "http://www.evanolson.com/"} +{"d:Title": "The Nigel Olsson Fan Club", "d:Description": "Internet-based fan club for the former Elton John drummer and solo artist.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olsson,_Nigel", "url": "http://www.angelfire.com/ca/nigelfanclub/index.html"} +{"d:Title": "Drummers Can Sing Too", "d:Description": "Dedicated to Nigel Olsson, former Elton John drummer, featuring drawings of him.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olsson,_Nigel", "url": "http://longdancer.tripod.com/index.html"} +{"d:Title": "A Site for Sore Eyes", "d:Description": "Photos of former Elton John drummer Nigel Olsson.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Olsson,_Nigel", "url": "http://nigelfan.tripod.com/index.html"} +{"d:Title": "BBC News: Reality pop band confirm split", "d:Description": "News story from the BBC confirming that One True Voice have split up. (Aug. 2003)", "topic": "Top/Arts/Music/Bands_and_Artists/O/One_True_Voice", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/3145325.stm"} +{"d:Title": "AIU: A Yoko Ono Box", "d:Description": "Includes news, biography, interviews, discography, bibliography, art, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ono,_Yoko", "url": "http://www.a-i-u.net/"} +{"d:Title": "AskMen.com - Yoko Ono", "d:Description": "Includes profile, photos, and interview.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ono,_Yoko", "url": "http://www.askmen.com/toys/interview/57_yoko_ono_interview.html"} +{"d:Title": "junk magnet : ooioo", "d:Description": "Article about the band and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/OOIOO", "url": "http://www.junkmagnet.com/music/jpop/ooioo.html"} +{"d:Title": "OOIOO", "d:Description": "Official site with the history of the band, tour schedule and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/OOIOO", "url": "http://www.thrilljockey.com/artists/?id=10034"} +{"d:Title": "All Music Guide: Opal", "d:Description": "Biography of the duo, and a discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Opal", "url": "http://www.allmusic.com/artist/opal-mn0000889471"} +{"d:Title": "Yahoo Groups: Rip it Up", "d:Description": "Mailing list for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orange_Juice", "url": "http://launch.groups.yahoo.com/group/rip_it_up/"} +{"d:Title": "Tweenet: Orange Juice", "d:Description": "Release information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orange_Juice", "url": "http://www.twee.net/bands/o/orangejuice.html"} +{"d:Title": "Headquarters of the Orange Peels", "d:Description": "Home of the Bay Area's critcially acclaimed popsters, The Orange Peels, featuring tour dates, new releases and song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orange_Peels,_The", "url": "http://www.theorangepeels.com/"} +{"d:Title": "Badorb.com", "d:Description": "Label releasing exclusive aural delicacies monthly. The Orb's latest album, Bless You, is signed Badorb.com instead of The Orb.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orb", "url": "http://www.badorb.com/"} +{"d:Title": "Ultraworld", "d:Description": "The official site of The Orb, with news about the band, live dates and an Orbsaurus, which is a thesaurus of terms used by The Orb.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orb", "url": "http://www.theorb.com/"} +{"d:Title": "Cydonia", "d:Description": "Multiple critic and user reviews for Cydonia by The Orb. From Metacritic.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orb", "url": "http://www.metacritic.com/music/cydonia/the-orb"} +{"d:Title": "Grave of Roy Orbison", "d:Description": "Pictures of and directions for visiting his grave.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbison,_Roy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1463"} +{"d:Title": "RollingStone.com: Roy Orbison", "d:Description": "Includes biography, discography, links, message board, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbison,_Roy", "url": "http://www.rollingstone.com/music/artists/roy-orbison"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbison,_Roy", "url": "http://rockhall.com/inductees/roy-orbison/"} +{"d:Title": "Roy Orbison Official Website", "d:Description": "Includes a biography, discography, photos, full length songs and an interview in Real Audio.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbison,_Roy", "url": "http://www.royorbison.com/"} +{"d:Title": "Bourne, Dean", "d:Description": "Australian Roy Orbison tribute artist. Includes biography, audio samples, photos, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbison,_Roy/Tribute_Acts", "url": "http://www.deanbourne.com.au/"} +{"d:Title": "WilliamOrbit.com", "d:Description": "Artist/producer; featuring audio and interviews. Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbit,_William", "url": "http://www.williamorbit.com/"} +{"d:Title": "Metacritic: Pieces In A Modern Style", "d:Description": "Multiple critic and user reviews for Pieces In A Modern Style.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbit,_William", "url": "http://www.metacritic.com/music/pieces-in-a-modern-style/william-orbit"} +{"d:Title": "Orbital Interview", "d:Description": "From Chaos Control Digizine.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbital", "url": "http://www.chaoscontrol.com/orbital/"} +{"d:Title": "Lazlo's Discography Machine: Orbital", "d:Description": "A searchable Orbital discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbital", "url": "http://www.studio-nibble.com/lazlo-bin/discogs/orbital"} +{"d:Title": "MTV: Orbital", "d:Description": "Includes music news, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orbital", "url": "http://www.mtv.com/artists/orbital/"} +{"d:Title": "Orchards and Vines", "d:Description": "Site features MP3 downloads, streaming Windows Media Audio and band information. Female-fronted soaring rock band from Vancouver, Canada. Entry at SoundClick.com.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orchards_and_Vines", "url": "http://www.soundclick.com/bands/default.cfm?bandID=2357"} +{"d:Title": "Orchestral Manoeuvres in the Dark", "d:Description": "Official website of the Liverpool band OMD.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orchestral_Manoeuvres_in_the_Dark", "url": "http://www.omd.uk.com/"} +{"d:Title": "Musicfolio: OMD", "d:Description": "Discography, album reviews and recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orchestral_Manoeuvres_in_the_Dark", "url": "http://musicfolio.com/modernrock/omd.html"} +{"d:Title": "Orchestral Manoeuvres in the Dark - Discography", "d:Description": "An illustrated listing of releases by OMD.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orchestral_Manoeuvres_in_the_Dark", "url": "http://www3.telus.net/cathedral/omd/"} +{"d:Title": "Compiled", "d:Description": "Discography and archive for OMD.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orchestral_Manoeuvres_in_the_Dark", "url": "http://www.omdweb.com/compiled/"} +{"d:Title": "Motion and Heart", "d:Description": "News, lyrics, interviews, games, screensavers, mailing list, forum and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orchestral_Manoeuvres_in_the_Dark", "url": "http://www.omd.me.uk/"} +{"d:Title": "Pat's OMD Page", "d:Description": "News, interviews, fan made cover songs, photos, lyrics, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orchestral_Manoeuvres_in_the_Dark", "url": "http://www.omdweb.com/"} +{"d:Title": "BleedingMetal.com: Orgy", "d:Description": "Featuring lyrics, image gallery, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy", "url": "http://www.bleedingmetal.com/orgy/"} +{"d:Title": "Artist Direct: Orgy", "d:Description": "Includes profile, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,679352,00.html"} +{"d:Title": "Metacritic: Orgy: Vapor Transmissions", "d:Description": "Multiple critic and user reviews for the CD.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy", "url": "http://www.metacritic.com/music/vapor-transmissions/orgy"} +{"d:Title": "MTV: Orgy", "d:Description": "Includes news, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy", "url": "http://www.mtv.com/artists/orgy/"} +{"d:Title": "Orgy", "d:Description": "A fan page with tour dates, photos, lyrics and band member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/rock/OrgyLovas/index.html"} +{"d:Title": "Twisted Jinx", "d:Description": "An Orgy site with Depeche Mode. Complete with biographies, lyrics, images, video stills and news.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/ca2/twistedjinx/"} +{"d:Title": "The Opticon", "d:Description": "A fan site for Orgy containing news, biographies, pictures, lyrics, tablatures, multimedia, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/band/theopticon/index.htm"} +{"d:Title": "A Shucky Ryan Page", "d:Description": "Biography, tour dates, pictures, for Orgy's Ryan Shuck.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/co2/ryanshuck/index.html"} +{"d:Title": "So Differently Divine", "d:Description": "Information on Orgy as well as lyrics, audio, video, articles, pictures, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/ne/orgy/"} +{"d:Title": "a PiXiE pLaYLaNd", "d:Description": "Fan site with pictures, news, awards, chat, and art.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://ryanaevagordon.tripod.com/"} +{"d:Title": "Orgy's Playhose", "d:Description": "Fan site with pictures, news, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://orgysdevilette.tripod.com/orgygirlsite/"} +{"d:Title": "Social Crazed", "d:Description": "News, tour dates, visuals, links and a picture of the week. [No longer updated.]", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/music3/socialcrazed/"} +{"d:Title": "The Orgy Chamber", "d:Description": "Includes biographies, visuals, quotes, multimedia, lyrics, tour dates, merchandise, news, quizzes, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/band/orgychamber/"} +{"d:Title": "Hooray For Orgy", "d:Description": "A fan site with biographies, lyrics, pictures, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/music3/KissTheFuture/"} +{"d:Title": "Ami's Orgy Underground", "d:Description": "An Orgy fansite with pictures, biography, videos, games, and promotion efforts.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.angelfire.com/band2/theorgyunderground/"} +{"d:Title": "Orgy Vapors", "d:Description": "A fan site with news, tour dates, pictures, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://www.orgyvapors.20m.com/custom2.html"} +{"d:Title": "The Beautiful Orgy", "d:Description": "A place for Orgy fans to chat.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/thebeautifulorgy/"} +{"d:Title": "Bubble of Reality", "d:Description": "Fansite includes pictures, biography, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://sfoo13.tripod.com/index.htm"} +{"d:Title": "107", "d:Description": "An Orgy fanpage with art and fiction, as well as band quotes and descriptions.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orgy/Fan_Pages", "url": "http://animemirmir.tripod.com/"} +{"d:Title": "AZ Lyrics: Stacie Orrico", "d:Description": "Lyrics to the songs by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orrico,_Stacie", "url": "http://www.azlyrics.com/o/orrico.html"} +{"d:Title": "Stacie Orrico News: Topix", "d:Description": "News about Stacie Orrico continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orrico,_Stacie", "url": "http://www.topix.com/who/stacie-orrico"} +{"d:Title": "AskMen.com: Stacie Orrico", "d:Description": "Pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orrico,_Stacie", "url": "http://www.askmen.com/celebs/women/singer_150/181_stacie_orrico.html"} +{"d:Title": "Stacie Orrico Online", "d:Description": "Features pictures, personal quotes, and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orrico,_Stacie", "url": "http://www.sostacie.blogspot.com/"} +{"d:Title": "All Music Guide: Stacie Orrico", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orrico,_Stacie", "url": "http://www.allmusic.com/artist/stacie-orrico-mn0000165077"} +{"d:Title": "Stacie Orrico", "d:Description": "Official site includes news, tour dates, wallpaper, icons, audio and video, photos, biography, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orrico,_Stacie", "url": "https://www.facebook.com/stacieorrico"} +{"d:Title": "Somebody's Pages", "d:Description": "Fan site with biography, lyrics, reviews, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://www.beth-orton.co.uk/"} +{"d:Title": "Beth Orton Lyrics", "d:Description": "Song lyrics for Beth's albums and b-tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://www.alwaysontherun.net/beth.htm"} +{"d:Title": "Ectophiles' Guide - Beth Orton", "d:Description": "Information and comments about Beth and her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://www.ectoguide.org/guide.cgi?alpha/o/orton.beth"} +{"d:Title": "Consumable Online: Beth Orton - Trailer Park", "d:Description": "Patrick Carmosino's review: \"a fine debut effort to sink into as the long hot summer passes you by.\"", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://www.westnet.com/consumable/1997/06.03/revorton.html"} +{"d:Title": "Almost Cool: Beth Orton - Trailer Park", "d:Description": "Aaron Coleman's review: \". It's all very mellow and nice, and it makes for a great morning listening album.\" Rated 7.5.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://www.almostcool.org/mr/912/"} +{"d:Title": "MTV.com: Beth Orton", "d:Description": "News, biography, interviews and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://www.mtv.com/artists/beth-orton/"} +{"d:Title": "MTV.com: Beth Orton - Daybreaker", "d:Description": "Review by Gil Kaufman: \"The LP bears her signature mix of dusky folk arrangements imbued with everything from full string sections and bossa nova horns to electronic beatscapes\".", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://www.mtv.com/news/articles/1456959/beth-orton-explores-mad-bad-sad-love.jhtml"} +{"d:Title": "Canoe: Beth Orton", "d:Description": "Collection of articles and reviews from Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Orton,_Beth", "url": "http://jam.canoe.com/Music/Artists/O/Orton_Beth/"} +{"d:Title": "Entertainment Ave: Joan Osborne", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osborne,_Joan", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/o/joan_osborne/jo051096.htm"} +{"d:Title": "Joan Osborne", "d:Description": "Official site with news, photos, bulletin board, and music clips.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osborne,_Joan", "url": "http://www.joanosborne.com/"} +{"d:Title": "NPR Music: Joan Osborne in Concert", "d:Description": "Streaming audio concert performed at World Cafe Live in Philadelphia on November 3, 2006.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osborne,_Joan", "url": "http://www.npr.org/templates/story/story.php?storyId=6412892"} +{"d:Title": "AskMen.com: Joan Osborne", "d:Description": "Includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osborne,_Joan", "url": "http://www.askmen.com/celebs/women/singer_150/188_joan_osborne.html"} +{"d:Title": "Metacritic: Righteous Love", "d:Description": "Multiple critic and user reviews for Righteous Love by Joan Osborne.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osborne,_Joan", "url": "http://www.metacritic.com/music/righteous-love/joan-osborne"} +{"d:Title": "All Music Guide: Joan Osborne", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osborne,_Joan", "url": "http://www.allmusic.com/artist/joan-osborne-mn0000524522"} +{"d:Title": "Kellyosbournefans", "d:Description": "Fans of Kelly Osbourne, with over 2000 members. [Yahoo! Groups]", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Kelly", "url": "http://groups.yahoo.com/group/kellyosbournefans/"} +{"d:Title": "Kelly in the Land of Ozz", "d:Description": "Kelly Osbourne fansite. Biography, news, appearances, audio, photos, and the Osbournes episode guide.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Kelly", "url": "http://www.angelfire.com/goth/kellyosbournefan/"} +{"d:Title": "Contactmusic - Kelly Osbourne", "d:Description": "Featuring news and biographical article.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Kelly", "url": "http://www.contactmusic.com/info/kelly_osbourne"} +{"d:Title": "The Official Ozzfest Website", "d:Description": "Contains tour dates, pictures, information, and news about Ozzfest.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.ozzfest.com/"} +{"d:Title": "Ozzy.com", "d:Description": "Official site. Contains news, biography, tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.ozzy.com/"} +{"d:Title": "Entertainment Ave: Ozzy Osbourne", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/o/ozzy_osbourne/oo062896.htm"} +{"d:Title": "Wikipedia: Ozzy Osbourne", "d:Description": "Encyclopedia article on Ozzy Osbourne about his career, recent news, his solo discography, list of hit singles, duets and his favourite British albums.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://en.wikipedia.org/wiki/Ozzy_Osbourne"} +{"d:Title": "LyricsFreak: Ozzy Osbourne", "d:Description": "View lyrics Alphebetically or by Album.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.lyricsfreak.com/o/ozzy+osbourne/"} +{"d:Title": "Ozzy Osbourne News: Topix", "d:Description": "News about Ozzy Osbourne continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.topix.com/who/ozzy-osbourne"} +{"d:Title": "Artist Direct: Ozzy Osbourne", "d:Description": "Links to MP3, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,475844,00.html"} +{"d:Title": "Rockdetector: Ozzy Osbourne", "d:Description": "Features biography including full discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.musicmight.com/artist/united+kingdom/ozzy+osbourne"} +{"d:Title": "RollingStone.com: Ozzy Osbourne", "d:Description": "Includes biography, recordings, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.rollingstone.com/music/artists/ozzy-osbourne"} +{"d:Title": "MTV.com: Ozzy Osbourne", "d:Description": "Contains news, multimedia, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.mtv.com/artists/ozzy-osbourne/"} +{"d:Title": "All Music Guide: Ozzy Osbourne", "d:Description": "Detailed biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.allmusic.com/artist/ozzy-osbourne-mn0000424244"} +{"d:Title": "Songsterr: Osbourne Ozzy", "d:Description": "A collection of tabs for Ozzy Osbourne", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy", "url": "http://www.songsterr.com/a/wsa/ozzy-osbourne-tabs-a105?inst=bass"} +{"d:Title": "The Land of Ozzy", "d:Description": "Ozzy pictures, interesting facts, bio, and a tribute page to Randy Rhoads.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Fan_Pages", "url": "http://www.angelfire.com/rock/ozzyland/"} +{"d:Title": "Ozzy Guitar Tabs", "d:Description": "Guitar and bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Fan_Pages", "url": "http://www.rockmagic.net/guitar-tabs/ozzy-osbourne/"} +{"d:Title": "Ozzy Osbourne Fan Club", "d:Description": "Japanese fan club with discography, news and member information in Japanese and English.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Fan_Pages", "url": "http://www.metal.or.jp/~ozzy/"} +{"d:Title": "Brians Ozzy Tribute Site", "d:Description": "Fan site dedicated to lyrics, photos, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Fan_Pages", "url": "http://members.tripod.com/brians_music/"} +{"d:Title": "OzzyNet", "d:Description": "Links, mailing list archives, contests, merchandise, a biography and guestbook entries about Ozzy Osbourne.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Fan_Pages", "url": "http://www.ozzy.net/"} +{"d:Title": "OzzyTheLegend", "d:Description": "A free fan club to Chat with other Ozzy fans , share photos, files, links and stayed informed of the latest Ozzy news.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Fan_Pages", "url": "http://launch.groups.yahoo.com/group/ozzythelegend/"} +{"d:Title": "The Ozzy Asylum", "d:Description": "Includes profile, pictures, forum, lyrics, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Fan_Pages", "url": "http://www.ozzyasylum.com/"} +{"d:Title": "Wrege, Don", "d:Description": "A chronicle of experiences of an Ozzy Osbourne lookalike.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osbourne,_Ozzy/Impersonators", "url": "http://www.myyearasozzy.com/"} +{"d:Title": "Osker Tab", "d:Description": "Chords for close to 20 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Osker", "url": "http://www.angelfire.com/punk/oskertab/index.html"} +{"d:Title": "OtherOnes.Net", "d:Description": "News and information on The Other Ones, Phil and Friends, Ratdog, and other projects.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Other_Ones,_The", "url": "http://www.otherones.net/"} +{"d:Title": "Johnny Otis World", "d:Description": "Official site, offering CDs, vintage photos, original art work, and instruments.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Otis,_Johnny", "url": "http://www.johnnyotisworld.com/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Otis,_Johnny", "url": "http://rockhall.com/inductees/johnny-otis/"} +{"d:Title": "All Music: Johnny Otis", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Otis,_Johnny", "url": "http://www.allmusic.com/artist/johnny-otis-mn0000819894"} +{"d:Title": "This Passion of Ours", "d:Description": "Fansite with pictures, lyrics, tour dates, news, discography, biography, and multimedia archive.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ours", "url": "http://www.angelfire.com/music3/Ours/"} +{"d:Title": "Ours", "d:Description": "Tour Dates and Store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ours", "url": "http://www.ours.net/"} +{"d:Title": "Kill The Band", "d:Description": "Fansite with biography, archive, discography, message board and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ours", "url": "http://www.killtheband.com/"} +{"d:Title": "Ours ~ Dancing Alone", "d:Description": "Canadian fansite with pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ours", "url": "http://pilotgirl.tripod.com/ours.html"} +{"d:Title": "Freak me out jimmy", "d:Description": "Twisted vivisection of inspired art, sound manipulation, and thought re-programming.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ours", "url": "http://www.angelfire.com/weird2/blackpopsicle/"} +{"d:Title": "Live Music Archive: Ours", "d:Description": "Archive of live shows.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ours", "url": "http://www.archive.org/details/Ours"} +{"d:Title": "MTV.com - Ours", "d:Description": "Features music video clips, album reviews and exclusive live performances and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ours", "url": "http://www.mtv.com/artists/ours/"} +{"d:Title": "OurLadyPeace.com - Official Site", "d:Description": "SonyMusic presents an extensively artistic repository for all things Our Lady Peace. The starting point for any loyal fan.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace", "url": "http://www.ourladypeace.com/"} +{"d:Title": "Caffeinated Places Headquarters", "d:Description": "Rotating list of OLP fansites.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace", "url": "http://www.angelfire.com/ga/caffeinated/"} +{"d:Title": "Our Lady Peace.net", "d:Description": "Virtual Fan Club Site for Our Lady Peace", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace", "url": "http://www.ourladypeace.net/"} +{"d:Title": "Canadian Music Encyclopedia: Our Lady Peace.", "d:Description": "Biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/O/Our_Lady_Peace.html"} +{"d:Title": "Entertainment Ave: The Concert Hall", "d:Description": "A concert review of Our Lady Peace", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/o/our_lady_peace/op031298.htm"} +{"d:Title": "JAM! Showbiz: Our Lady Peace", "d:Description": "Various news articles related to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/O/Our_Lady_Peace/"} +{"d:Title": "100 Aisles of Our Lady Peace", "d:Description": "Includes pictures, articles, biographies, links, history, lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://www.angelfire.com/band/ourladypeacedomain/"} +{"d:Title": "The Supersatellite Our Lady Peace Page", "d:Description": "Multimedia and information on all albums, over 70 articles, detailed biographies, audio/video interviews, current news/tours, song explanations, original sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://members.tripod.com/~transparent_neon/"} +{"d:Title": "Clumsy: a Our Lady Peace Site", "d:Description": "Games, pictures, biographies, polls, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://olpfan68.tripod.com/ourladypeace/"} +{"d:Title": "Trapeze", "d:Description": "Latest news, pictures, lyrics, album information, links, and other information on Canada's alternative band.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://www.angelfire.com/ca/trapeeze/"} +{"d:Title": "TheOnlineMusicSource", "d:Description": "Includes biography, pictures, lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://www.theonlinemusicsource.com/olp.html"} +{"d:Title": "One Man Army", "d:Description": "News, concerts, album list, pictures, newsletter and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://1_man_army.tripod.com/"} +{"d:Title": "Our Lady Peace", "d:Description": "Features news, links, individual as well as band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://rainedaniels.tripod.com/HisBloodIsBlue.html"} +{"d:Title": "Sherilyn's Our Lady Peace Page", "d:Description": "Providing up-to-date history, band member biographies, tablatures and lyrics since May 24, 1997.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Our_Lady_Peace/Fan_Pages", "url": "http://transparenthuman.tripod.com/"} +{"d:Title": "OutKast", "d:Description": "Includes pictures, mp3s, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.angelfire.com/wi/outkast/"} +{"d:Title": "Outkast", "d:Description": "Official home page with news, biographies, lyrics, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.outkast.com/"} +{"d:Title": "Stankonia.com", "d:Description": "Weblog focused on the group.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.stankonia.com/"} +{"d:Title": "Div's Crib", "d:Description": "Biography, reviews, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.div.ca/outkast/"} +{"d:Title": "Netweed", "d:Description": "Links page focused on the group.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.netweed.com/nchiphop/outkast"} +{"d:Title": "Outkast News: Topix", "d:Description": "News about Outkast continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.topix.com/who/outkast"} +{"d:Title": "Topix: Outkast", "d:Description": "News about Outkast, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.topix.com/rss/who/outkast.xml"} +{"d:Title": "RollingStone.com: OutKast", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.rollingstone.com/music/artists/outkast"} +{"d:Title": "AskMen.com - Outcast", "d:Description": "Feature includes pictures, biography and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.askmen.com/celebs/men/entertainment_100/148_outkast.html"} +{"d:Title": "Metacritic: OutKast: Stankonia", "d:Description": "Multiple critic and user reviews of the CD.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.metacritic.com/music/stankonia/outkast"} +{"d:Title": "MTV: OutKast", "d:Description": "Featuring news, biography, discography, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outkast", "url": "http://www.mtv.com/artists/outkast/"} +{"d:Title": "All Music Guide: The Outlaws", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Outlaws,_The", "url": "http://www.allmusic.com/artist/the-outlaws-mn0000891264"} +{"d:Title": "A Conversation with Markus Popp of Oval and Microstoria", "d:Description": "Disquiet e-zine interview of Markus Popp, by Marc Weidenbaum.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oval", "url": "http://www.disquiet.com/popp-script.html"} +{"d:Title": "Oval, Microstoria, and the Man Behind their Curtains", "d:Description": "Disquiet e-zine article and interview, written by Marc Weidenbaum, on Markus Popp's work in Oval and Microstoria.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oval", "url": "http://www.disquiet.com/popp.html"} +{"d:Title": "Wolf's Compact Discography: Markus Popp", "d:Description": "Discography of Oval and Microstoria, with complete track listings and times, and album art.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oval", "url": "http://www.kompaktkiste.de/popp_m.htm"} +{"d:Title": "Rockmagic.net: Overkill", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Overkill", "url": "http://lyrics.rockmagic.net/lyrics/overkill/"} +{"d:Title": "Overkill UK", "d:Description": "Overkill fan site based within the UK. Includes interviews from the band dating back to 1989.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Overkill", "url": "http://www.thirkell.co.uk/"} +{"d:Title": "UnRated Magazine: Overkill", "d:Description": "Review and photos from Joe's Sports Bar in Chicago, IL on April 10, 2005.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Overkill", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=217"} +{"d:Title": "XGuitar.com: Overkill", "d:Description": "Guitar and bass tabs indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Overkill", "url": "http://www.xguitar.com/tabs/overkill/"} +{"d:Title": "Overkill Wrecking Crew", "d:Description": "Official site includes tour dates, pictures, discography, audio files, news, chat room and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/O/Overkill", "url": "http://www.wreckingcrew.com/"} +{"d:Title": "KK's Spiritual Void", "d:Description": "Pictures of the band from several concerts, polls, guitar tabs, tour dates, CD covers, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Overkill", "url": "http://bbddandco.tripod.com/spiritualvoid.html"} +{"d:Title": "Over the Rhine", "d:Description": "Official site includes news, discography, biography, pictures, tour dates, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Over_the_Rhine", "url": "http://www.overtherhine.com/"} +{"d:Title": "Mark Owen Mailing List", "d:Description": "List information and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Owen,_Mark", "url": "http://www.moml.8m.com/"} +{"d:Title": "Ginny Owens", "d:Description": "Official site. Includes an autobiography, lyrics, details of the album, an interview, a tour schedule, news, and a photograph gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Owens,_Ginny", "url": "http://ginnyowens.com/"} +{"d:Title": "Owsley", "d:Description": "Official site with biography, lyrics, news, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Owsley", "url": "http://www.owsleymusic.com/"} +{"d:Title": "All-Reviews.com - Owsley", "d:Description": "Review of this debut album. 4 stars out of 4.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Owsley", "url": "http://www.all-reviews.com/music/owsley.htm"} +{"d:Title": "Cooking Vinyl on the WWW", "d:Description": "Oysterband's record company with a biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oyster_Band", "url": "http://www.cookingvinyl.com/"} +{"d:Title": "Oyster Band", "d:Description": "Electric folk rock five piece.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Oyster_Band", "url": "http://www.oysterband.co.uk/"} +{"d:Title": "Mike Werning's Ozric Tentacles Page", "d:Description": "Discography, photos, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/O/Ozric_Tentacles", "url": "http://ozrics.proboards.com/"} +{"d:Title": "Paola and Chiara", "d:Description": "Italian sister duet. Biography, discography, lyrics, audio and video clips. [Italian and English]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://spazioinwind.libero.it/paolaechiara/"} +{"d:Title": "Prakesh", "d:Description": "Band mixing rock and classical music. Contains news, history, member profiles, tour dates, audio files, and photos. [English / German]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.prakesh.com/"} +{"d:Title": "Psycho Key", "d:Description": "News, biography, show information, audio files and photographs from the Canadian progressive rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.psychokey.com/"} +{"d:Title": "Pullus, Penny Jo", "d:Description": "Sounds, message board, and show dates for the Austin pop country singer.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://pennyjopullus.com/"} +{"d:Title": "Poston, Francesca", "d:Description": "Biography and pictures from the singer/actress.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.francescaposton.com/"} +{"d:Title": "Portnoy, Mike", "d:Description": "Official site for the Dream Theater drummer includes news, tour dates, forum, audio and video clips, biography and frequently asked questions.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.mikeportnoy.com/"} +{"d:Title": "Powers Court", "d:Description": "Official site for the dark aggressive power metal band includes news, sound clips, reviews, interviews, lyrics, biographies and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.powers-court.com/"} +{"d:Title": "Pastrami Bodyslam", "d:Description": "Hard rock/punk garage band. News, band profiles, history, photos, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://nesnate216.tripod.com/"} +{"d:Title": "Papa Doo Run Run", "d:Description": "Surf and classic rock from the California band. Biography, photos, concert dates, news, song list, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.papadoo.com/"} +{"d:Title": "Park, Remo", "d:Description": "German rock/industrial/electronica/progressive musician; includes history, lyrics, and song downloads. [German and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.remopark.com/"} +{"d:Title": "Puente Jr., Tito", "d:Description": "Official website for the King of Timbales' son includes biography, pictures and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.titopuentejr.freeservers.com/"} +{"d:Title": "Porchdogs", "d:Description": "Florida Cajun and zydeco band. Photographs, biography, reviews, discography, links and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.theporchdogs.com/"} +{"d:Title": "Phelps, Kelly Joe", "d:Description": "News, biography, discography, tour dates, pictures and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.kellyjoephelps.net/"} +{"d:Title": "Pyne, Michael J.", "d:Description": "Sound files and discography for the Wisconsin keyboardist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.keyboardmusician.com/"} +{"d:Title": "Princess Capri", "d:Description": "New York rock band. Sound files, reviews, pictures, profile, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.princesscapri.com/"} +{"d:Title": "Pernice, Joe", "d:Description": "The Onion AV Club interviews Joe on name changes and the future of music.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.avclub.com/articles/dee-snider,13560/"} +{"d:Title": "Page, Gregory", "d:Description": "Official website for the Californian singer-songwriter includes news, sound clips, tour dates, pictures, discography, press and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.gregorypage.com/"} +{"d:Title": "Poster Children", "d:Description": "Official page for the Illinois band includes news, biography, audio and video clips, press, pictures, discography, diary and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.posterchildren.com/"} +{"d:Title": "Pardesi, Silinder", "d:Description": "UK based Bhangra singer. Profile, albums, performance information and fan club. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pardesi.co.uk/"} +{"d:Title": "Pawnshop", "d:Description": "New York alternative rock band. Biography, sound samples, articles, mailing list and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.web-ho.com/Pawnshop/"} +{"d:Title": "Path, Joshua", "d:Description": "Official site for the Los Angeles singer-songwriter includes lyrics, pictures and appearances. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.joshuapath.com/"} +{"d:Title": "Passing Blue", "d:Description": "Concord, New Hampshire rhythm and blues band. Shows, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/nh/passingblue/"} +{"d:Title": "Pooh Sticks, The", "d:Description": "Unofficial site with a biography, discography, lyrics, sleeve notes and cover art.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://thepoohsticks.tripod.com/index.html"} +{"d:Title": "Paisley Brain Cells", "d:Description": "Rock band based in Reno, Nevada. CD information and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.cheakamus.com/pbc/"} +{"d:Title": "Puppet", "d:Description": "Includes biography, news, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://digilander.libero.it/puppetweb/"} +{"d:Title": "Pouliot, Steve", "d:Description": "Los Angeles-based singer/songwriter. Song samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.electricearl.com/steve.html"} +{"d:Title": "Palmer, Carl", "d:Description": "Official site for the drummer from Asia, Arthur Brown, Atomic Rooster, and Emerson Lake and Palmer. Includes news, interview, pictures and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.carlpalmer.com/"} +{"d:Title": "Promise, The", "d:Description": "Melodic rock band from Aberdeen, Scotland. Biographies, discography, sound clips, pictures, reviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.the-promise.co.uk/"} +{"d:Title": "Photoglo, Jim", "d:Description": "Singer/songwriter's official site features news, biography, sound samples and recipes.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.jimphotoglo.com/"} +{"d:Title": "Pousette-Dart, Jon", "d:Description": "Official for the country/folk singer/songwriter includes CDs, downloads, performance schedules and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pousette-dart.com/"} +{"d:Title": "Ply", "d:Description": "Philadelphia-area band. Includes pictures, a discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.burnttoastvinyl.com/ply"} +{"d:Title": "Polarity", "d:Description": "Australian electronica group. News and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://polarity101.tripod.com/"} +{"d:Title": "Pearlfishers, The", "d:Description": "Official site for the Glasgow pop band includes news, biography, reviews, discography, lyrics, pictures and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pearlfishers.co.uk/"} +{"d:Title": "Plexus", "d:Description": "Christian pop/rock band from Atlanta. Profile, shows, pictures and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/ga/plexus/"} +{"d:Title": "Pellinger, Jim", "d:Description": "Minneapolis pop-rock singer/songwriter. Profile, tour schedule, reviews and information on his band The Folkups.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www2.bitstream.net/~jpell/"} +{"d:Title": "Pike, Kevin", "d:Description": "Musician performing jazz, blues, funk, pop and world styles. Concert schedule, news, booking and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.kpikemusic.com/"} +{"d:Title": "Plajia", "d:Description": "Solo project of Canadian artist Patrick Pleau. Includes news, biography and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://plajia.com/"} +{"d:Title": "Pond Monkeys", "d:Description": "The Kansas band's official site includes biography, news, sound clips and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://pond_monkey.tripod.com/"} +{"d:Title": "Phillips, Grae", "d:Description": "Singer and drag performer; image galleries, online memoir, MP3s, games and information on talk show appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.graephillips.com/"} +{"d:Title": "Preston, Chris", "d:Description": "Homepage of New Jersey musician and writer.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.chrispreston.com/"} +{"d:Title": "Powering the Divine Machine", "d:Description": "Coldwave/industrial band. News, pictures, audio, and upcoming show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/pq/tunnelwitch/"} +{"d:Title": "Piners, The", "d:Description": "Audiogrid.com review of \"Music From the Heart\".", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://audiogrid.com/piners/"} +{"d:Title": "Phil Hilborne Band", "d:Description": "News, member profiles, show dates, and photos of the London based rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.philhilborne.com/"} +{"d:Title": "Plastic Nebraska", "d:Description": "News, sound clips, shows, booking and reviews from the New York band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.plasticnebraska.com/"} +{"d:Title": "Patrelakis, Nikko", "d:Description": "Biography, discography, music samples, press and forum. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.patrelakis.com/"} +{"d:Title": "Panama", "d:Description": "Official site of the progressive hard rock band from Germany. Includes band profiles, news, MP3 downloads, tour dates, reviews, and photos. [German and English]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.panama-online.de/"} +{"d:Title": "Particle Zoo", "d:Description": "Gigs, MP3s, pictures, press, mailing list and message board for the Rockaway, New Jersey-based band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pzoo.com/"} +{"d:Title": "Perfect Farenheit", "d:Description": "Official site for the New York band includes profiles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/perfect/"} +{"d:Title": "Parry, Ian", "d:Description": "Official site for the hard rock guitarist includes biography, discography, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.ianparry.com/"} +{"d:Title": "Patchwork", "d:Description": "Austrian pop, jazz and funk band. Profiles, sound samples, shows and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.patchwork.fm/"} +{"d:Title": "Premonition", "d:Description": "Official site for the New York hard rock/metal band includes show dates, pictures, news, band profiles, audio/video downloads, merchandise and journal.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/rock2/premonition/"} +{"d:Title": "Pain Within", "d:Description": "Philadelphia band with a wide range of influences. Includes news, show dates, history, pictures, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.breakeven.org/painwithin/"} +{"d:Title": "Praise", "d:Description": "Fan page includes band information, sound clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.imjohn.com/praise/index.htm"} +{"d:Title": "Potion", "d:Description": "San Francisco based indie-pop duo. Contains news, show dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.potionmusic.com/"} +{"d:Title": "Penance", "d:Description": "Canadian underground band. News, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://penance666.tripod.com/"} +{"d:Title": "Poppermost", "d:Description": "'60s and '70s style pop/rock band based in Las Vegas. Includes MP3 downloads, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.poppermost.com/"} +{"d:Title": "Paul, Gayla Drake", "d:Description": "Iowa guitarist. Biography, discography, lyrics, and media reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.skep.com/gdpaul/"} +{"d:Title": "Park Row", "d:Description": "Canadian pop/rock 3-piece band. Songlist, sound clips and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.feature.xux.net/park_row.htm"} +{"d:Title": "Possession", "d:Description": "Official site includes music, band information, news, updates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/mo/tripodmusic/index.html"} +{"d:Title": "Peterson, Ricky", "d:Description": "Minnesota keyboardist and jazz vocalist. Biography, discography, tour dates and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.rickypeterson.com/"} +{"d:Title": "Pothead", "d:Description": "Official site includes news, gig dates, and sound files for the rock band from Berlin.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pothead.de/"} +{"d:Title": "Purpose, Darryl", "d:Description": "Official site for the folk singer includes information, sample songs, photos, show dates, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.darrylpurpose.com/"} +{"d:Title": "Peacock, Alice", "d:Description": "MP3s, news, schedule and reviews for the Chicago-based singer/songwriter. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.alicepeacock.com/"} +{"d:Title": "Prototype", "d:Description": "Progressive aggressive metal quartet from Los Angeles. Includes news, profiles, MP3s, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.prototypeonline.com/"} +{"d:Title": "Peterik, Jim", "d:Description": "Guitarist and songwriter. Biography, tour dates, reviews and song details.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.jimpeterik.com/"} +{"d:Title": "Patillo, Leon", "d:Description": "California gospel singer and former Santana lead vocalist. Tour schedule, CDs and tapes.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.leonpatillo.org/"} +{"d:Title": "Pungent Stench", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://lyrics.rockmagic.net/lyrics/pungent_stench/"} +{"d:Title": "Plump", "d:Description": "Jam band with roots in funk, soul, rock and roll, reggae, hip hop, and bluegrass. Contains biography, photos, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.plumpsounds.com/"} +{"d:Title": "Prins, Gert-Jan", "d:Description": "Shows, biography, projects and list of recordings for the Dutch noise artist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.gjp.info/"} +{"d:Title": "Plankton", "d:Description": "Includes biography, sound clips and tour dates for the Indiana band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://weareplankton.tripod.com/"} +{"d:Title": "Prasanna", "d:Description": "Accomplished jazz guitarist/composer integrating South Indian classical carnatic music with classical Western, jazz, rock, and blues. News, profile, media and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.guitarprasanna.com/"} +{"d:Title": "Platinum Needles, The", "d:Description": "Official site for the '80s punk band. Band history, photos, news, multimedia and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.platinum-needles.co.uk/"} +{"d:Title": "Parallax", "d:Description": "Progressive rock/techno/metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.umop.com/umopapis.htm"} +{"d:Title": "Pure Fire Project", "d:Description": "Progressive hardcore band from Hattiesburg, Mississippi. Profile, news and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/ms/PureFireProject/"} +{"d:Title": "Pro-Pain", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://lyrics.rockmagic.net/lyrics/pro_pain/"} +{"d:Title": "Poland, Chris", "d:Description": "Official site for the former Megadeth guitarist features projects, news, sound clips and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.chrispoland.com/"} +{"d:Title": "Parton, Stella", "d:Description": "Official site for the Nashville country singer includes news, biography, discography, photographs, itinerary, sound samples, reviews and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.stellaparton.com/"} +{"d:Title": "Pasternak, Jeff", "d:Description": "Hollywood-based singer-songwriter; includes album information, biography, list of bands, press and audio sample.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pasternakmusic.com/"} +{"d:Title": "Phlebotomized", "d:Description": "Song lyrics, indexed by album and alphabetically. From RockMagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://lyrics.rockmagic.net/lyrics/phlebotomized/"} +{"d:Title": "Penitent", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://lyrics.rockmagic.net/lyrics/penitent/"} +{"d:Title": "Plaid Tongued Devils", "d:Description": "Official site for the Canadian folk/pop band includes album news, tour dates, biography, discography, photos, artwork, merchandise and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.thedevils.com/"} +{"d:Title": "Parsons, Nick", "d:Description": "Herts, UK based symphonic electronica artist. Includes discography, MP3 downloads and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.nickparsons.co.uk/"} +{"d:Title": "Picott, Rod", "d:Description": "Official site for the Nashville singer/songwriter includes biography, shows and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.rodpicott.com/"} +{"d:Title": "Penfield, Holly", "d:Description": "Reviews, photos, MP3s and message board for the London-based singer/songwriter and performance artist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.hollypenfield.com/"} +{"d:Title": "Plunk", "d:Description": "Profiles, pictures, lyrics, and tablatures for the band from Connell, Washington.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/wa2/plunk/"} +{"d:Title": "Pablo Antonio y La Firma", "d:Description": "Tropical Latin band based in Washington, D.C.; includes pictures, lyrics, video and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.lafirma.com/"} +{"d:Title": "Porteous, Wyckham", "d:Description": "Official site of Canadian singer/songwriter. Pictures, biography, tour schedule and press quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.wyckhamporteous.org/"} +{"d:Title": "Peterson, Alan", "d:Description": "Biography, song information, calendar and audio samples from the Oregon singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.alanpeterson.net/"} +{"d:Title": "Pink Belgian", "d:Description": "Rock band. Background information, biographies, audio files, reviews, drum tablatures and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/ms/PinkBelgian/"} +{"d:Title": "Prusik, Griz", "d:Description": "Folk singer and author. Biography, album information and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/music/griz/"} +{"d:Title": "Panics, The", "d:Description": "A history of the 1980s Southern Indiana punk rock band; includes reviews/articles, image galleries and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/myband2/panics/"} +{"d:Title": "Patrick, Lynn", "d:Description": "Colorado acoustic guitarist performing folk, light jazz and country. Reviews, song samples, biography and performances.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.lynnpatrick.com/"} +{"d:Title": "Prophecy412", "d:Description": "Official page for the Christian alternative funk rapcore band includes news, biography, audio clips and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/nc2/P412/"} +{"d:Title": "Person, Eric", "d:Description": "New York saxophonist/composer/arranger. Includes biography, discography, news and performances.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.ericperson.com/"} +{"d:Title": "Polyethylene", "d:Description": "Charlestown, Massachusetts based indie rock band. Contains biography, show dates, photos, audio files,", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.polyethylenemusic.com/"} +{"d:Title": "Proper Word", "d:Description": "California band. Show information and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/or/properword/index.html"} +{"d:Title": "Pitch Black", "d:Description": "Florida rock band. Biography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/rock3/pitch_black/main.html"} +{"d:Title": "Poltergeist", "d:Description": "Lyrics for three of the German heavy metal band's albums. From RockMagic.net.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://lyrics.rockmagic.net/lyrics/poltergeist/"} +{"d:Title": "Pornoheft", "d:Description": "Punk band from Frankfurt, Germany. MP3s, pictures, gigs and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://aka.ip-technik.net/pornoheft/"} +{"d:Title": "Perry, Rain", "d:Description": "Official website of the California singer/songwriter includes news, show dates, biography, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.rainperry.com/"} +{"d:Title": "Pragmatic Illusion", "d:Description": "Musical composition and performance group in central Ohio. Sound files, pictures, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://pi.softpixel.com/"} +{"d:Title": "Portabello", "d:Description": "UK funk rock group. CD-ROM news, biography, MP3 downloads, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.portabello.co.uk/"} +{"d:Title": "Pris", "d:Description": "Sound files, pictures, and show dates for the Seattle pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.prispop.com/"} +{"d:Title": "Pernice Brothers", "d:Description": "Contains news, discography, tour dates, member profiles, photos, audio and video files of the indie rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pernicebrothers.com/"} +{"d:Title": "Palmer, Suzanne", "d:Description": "Official site for the Chicago gospel/jazz vocalist includes news, biography, press, pictures and appearance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.suzannepalmer.com/"} +{"d:Title": "Protohuman", "d:Description": "Experimental electronic rock band. Includes news, tour dates, and picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://protohuman.tripod.com/terminala.html"} +{"d:Title": "PainFace", "d:Description": "Five-piece American group influenced by Slipknot. Fan site includes frequently asked questions, pictures, line-up, lyrics, discography, merchandise and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/music2/painface66669666/index3.html"} +{"d:Title": "Paradigm", "d:Description": "Web site of the Northeast Ohio rock band includes discography, biographies, pictures and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://paradigmcds.tripod.com/paradigm"} +{"d:Title": "ParkSlope", "d:Description": "News, biographies and show dates from the punk/rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/ab7/parkslope/"} +{"d:Title": "Piper Downs, The", "d:Description": "Los Angeles-based band; features show listings, reviews, pictures, news, lyrics and tour journal.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.piperdowns.com/"} +{"d:Title": "Parish, Jedediah", "d:Description": "Boston-based solo pop/rock performer and singer for The Gravel Pit. Includes lyrics, discography, picture gallery and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.jedparish.com/"} +{"d:Title": "PopSuicide", "d:Description": "Rock band from Wisconsin. Show dates, profiles, links, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/music/PopSuicide/"} +{"d:Title": "Plethora", "d:Description": "South African rock band. Member list, lyrics, contact information and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/plethora/"} +{"d:Title": "Pan Out Now", "d:Description": "Canadian recombinant rock band. MP3s/RealAudio, lyrics, gigs, reviews, contact information and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.panoutnow.com/"} +{"d:Title": "Pollock, John", "d:Description": "Singer/songwriter. MP3s, lyrics and a profile.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://jpsongs.com/"} +{"d:Title": "Preville Big Band", "d:Description": "Montreal based \"pop\" big band; song list, pictures, history, calendar, members and wedding planning tips.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.preville.qc.ca/bigbande.htm"} +{"d:Title": "Parsons, Kat", "d:Description": "Biography, discography, journal, news, press and tour dates for the singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.katparsons.com/"} +{"d:Title": "Pirojenko, Alexandre", "d:Description": "Pianist from Russia. Profile, resume, repertoire and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.private.peterlink.ru/pirozhenko"} +{"d:Title": "Pore", "d:Description": "Finnish pop/rock band. Includes history, news, lyrics, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.kolumbus.fi/closenough/pore/"} +{"d:Title": "Pizzle", "d:Description": "Indianapolis punk rock band. Includes show dates, pictures, releases, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk/pizzle/"} +{"d:Title": "Paper Bag", "d:Description": "Official site for the improvisational band includes history, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.paperbagtheory.com/"} +{"d:Title": "Pooley, Ian", "d:Description": "Official site includes discography, pictures, audio and video files. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.ianpooley.com/"} +{"d:Title": "Public House Ceili Band", "d:Description": "Irish traditional dance band out of Wisconsin. Profile, calendar, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://publichouseband.com/"} +{"d:Title": "Pigment", "d:Description": "Hard rock/alternative band from Arkansas. Official site includes biography, pictures, news and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/ar2/martyr/"} +{"d:Title": "Praetzel, Conrad", "d:Description": "Ethno-ambient composer. Discography, images, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.paleomusic.com/"} +{"d:Title": "Poor Conrad", "d:Description": "Grunge rock band from Indiana. Member profiles, pictures, shows and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://poorconrad.tripod.com/"} +{"d:Title": "Proby, P.J.", "d:Description": "Information, videos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://home.swipnet.se/~w-25396/"} +{"d:Title": "Plastic Pill", "d:Description": "Alternative/rock band from California. Pictures, gig dates, profile, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/de/AngieLive/plasticpillpage.html"} +{"d:Title": "Philippe, Louis", "d:Description": "French singer-songwriter; includes discography, MP3s, message board, e-mail newsletter and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.louisphilippe.co.uk/"} +{"d:Title": "Penultima", "d:Description": "Three-piece group from Sydney, Australia. Includes history, news, MP3 audio and cover art.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/me/CyberLove/"} +{"d:Title": "Putnam, Melinda", "d:Description": "Singer/songwriter. Biography, MP3s, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://melindas.htmlplanet.com/"} +{"d:Title": "Paper Dolls", "d:Description": "Girl group from Southern California. Contains pictures, biographies, news and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://paperdolls3.tripod.com/"} +{"d:Title": "Persephone's Dream", "d:Description": "Pittsburgh rock band. News, chat room, discography, photographs, audio clips and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.persephonesdream.com/"} +{"d:Title": "Paint", "d:Description": "Original rock/funk band from Denver, Colorado; includes biography, pictures, press, mailing list, lyrics, sound samples and show dates. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.paintsound.com/"} +{"d:Title": "Perception 100", "d:Description": "Rock band based in Seattle; includes lyrics, downloads and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://gyroscope.iwarp.com/p100/"} +{"d:Title": "Phobics, The", "d:Description": "Gig dates, photos, news and links for the London punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://thephobics.tripod.com/thephobics/"} +{"d:Title": "Punching Bag", "d:Description": "Punk rock band from Paducah, Kentucky. Includes news, show dates, pictures, and links for sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk/punchingbag/"} +{"d:Title": "Pictures, The", "d:Description": "Austin-based band. Booking information, schedule, repertoire and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.thepictures.com/"} +{"d:Title": "Pray for Rain", "d:Description": "Hardcore band. Includes lyrics, audio, CD and merchandise information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/band2/prayforrain/"} +{"d:Title": "Pearce, Don Everett", "d:Description": "New York based folk-rock/alt-country singer-songwriter. Contains biography, MP3 samples, performance listings and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.doneverettpearce.com/"} +{"d:Title": "Playground Psychotic", "d:Description": "Rock band based in Dublin, Ireland. Includes pictures, biography of the group, news, guestbook, and MP3 audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/playgroundpsychotic/"} +{"d:Title": "PotatoeBabies", "d:Description": "Unofficial site of the alternative/comedy band. Includes overview of the group, photographs, message board, WAV audio clips, and poll.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/fl2/potatoebabies/index.html"} +{"d:Title": "Perfect Mercy", "d:Description": "Alternative rock band with female vocals. includes news, biography, show dates, photos, lyrics, and sound files. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.perfectmercy.com/"} +{"d:Title": "Piccolo, Steve", "d:Description": "Biography, concert dates, pictures and discography for the jazz instrumentalist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.undo.net/stevepiccolo/index.cgi"} +{"d:Title": "Permanent Wave", "d:Description": "Contra dance band in Houston, Texas. CD and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/tx/PermanentWave/"} +{"d:Title": "Pyogenesis", "d:Description": "Official site for the German rock/metal band includes news, pictures, lyrics, tour dates, MP3 samples and wallpaper. [Also in German.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pyogenesis.com/"} +{"d:Title": "Pires, Sandra", "d:Description": "Official site. Includes news, press, biography, FAQs, photo gallery, audio/video downloads, tour dates, and movie appearances [English and German]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.sandrapires.com/"} +{"d:Title": "Professor D and the Playschool", "d:Description": "Funk and rhythm and blues band from Texas. News, video, biographies, pictures, song list and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.professord.com/"} +{"d:Title": "Progressive Olive", "d:Description": "Toronto-based instrumental jam band. Includes history, band profiles, show dates, poll, drummer's rant, favourite album list, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/progressiveolive/"} +{"d:Title": "Perfect Monday", "d:Description": "Alternative pop band from Virginia. Includes news, history, CD information, MP3 downloads, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://perfectmonday.tripod.com/"} +{"d:Title": "Paul, Lyn", "d:Description": "British entertainer and former member of the New Seekers. Includes news, timeline, discography, articles, pictures and frequently asked questions.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.lynpaulwebsite.org/"} +{"d:Title": "Pig Farmer", "d:Description": "Punkabilly band from northwest Missouri. Member profiles, history, schedule, discography, pictures and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://pigfarmer.tripod.com/"} +{"d:Title": "Private Issue", "d:Description": "Southwestern Ohio band whose styles include country and rock. History, schedule, equipment and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.privateissue.bizhosting.com/"} +{"d:Title": "Porter, Jen", "d:Description": "Chicago-based female singer/songwriter/performer. Includes news, history, discography, tour dates, photos, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.jenporter.com/"} +{"d:Title": "Petterson, Bill", "d:Description": "Songwriter and performer with the band Parts and Labor. Schedule, contact information, pictures, biography, discography and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.billpetterson.com/"} +{"d:Title": "Pho Co.", "d:Description": "Fan site includes MP3s and video downloads, lyrics and MIDI files.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://camelot.kingarthur.com/phoco/lyrics/Pho_Co.html"} +{"d:Title": "Park Avenue Music", "d:Description": "Electronic noise-pop duo from California. News, pictures and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.parkavenuemusic.com/"} +{"d:Title": "Psychomancer", "d:Description": "Death metal band from Indiana. Includes biography, show dates, photographs, reviews, and interview.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/music3/psychomancer/"} +{"d:Title": "Pistol Whippin' Ike", "d:Description": "Concert dates, biography, pictures and song list from the Texas rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pistolwhippinike.net/"} +{"d:Title": "Postal Blue", "d:Description": "Brazilian indie-pop band. News, reviews and RealAudio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.indiepages.com/postalblue"} +{"d:Title": "Prokai Project, The", "d:Description": "Guitar-oriented instrumental rock influenced by artists such as Joe Satriani, the Allman Brothers, Steve Vai and Rush. Biography, MP3s and equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.prokai.com/prokaiproject/default2.html"} +{"d:Title": "Penis Fly Trap", "d:Description": "Boston punk band's official site includes MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.penisflytrap.com/"} +{"d:Title": "Phine", "d:Description": "Fan site for the skate-punk band includes mailing list, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://phine9.tripod.com/"} +{"d:Title": "Phelan, Mike", "d:Description": "News, lyrics and song descriptions for the San Francisco singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.cyberbites.com/mikephelan/"} +{"d:Title": "Paul Cox Band", "d:Description": "Biographies, pictures, set lists, reviews, stage plan and gigs for the blues, soul and jazz band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.note-music.co.uk/paulcoxbandinfo.html"} +{"d:Title": "Prosaics", "d:Description": "Show dates and mailing list for the post-punk band from New York.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.prosaics.com/"} +{"d:Title": "Papakonstantinou, Thanasis", "d:Description": "A review of the Greek entechno musician's roots music project, \"Vrachnos Profitis\" (The Hoarse Prophet).", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.rootsworld.com/reviews/prophet.shtml"} +{"d:Title": "Pegasus", "d:Description": "News, lyrics, pictures and information on the rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/music4/iceboy122184/"} +{"d:Title": "Pavic", "d:Description": "Hard rock band's official website contains discography, pictures, biography and MP3s. [Also in Italian.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.markopavic.com/"} +{"d:Title": "p3", "d:Description": "MP3s from the Chicago band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.p3mediaworks.com/"} +{"d:Title": "Prentice, Britt", "d:Description": "Contemporary rhythm and blues vocalist, singer and actor. Includes news, biography, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.brittprentice.com/"} +{"d:Title": "Paper Clip Trick, The", "d:Description": "Quebec group influenced by the Dave Matthews Band. Biographies, sound clips, pictures, set lists and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/band2/pct/pctintro3.htm"} +{"d:Title": "Plaid Hatters", "d:Description": "Discography, biographies, show dates and pictures from the Montgomery, Alabama chick rock group.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/music4/plaidhatters/"} +{"d:Title": "Paul Winter Sextet, The", "d:Description": "Biography and discography of the '60s jazz group.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.livingmusic.com/catalogue/nonlivingmusic/pwsextet.html"} +{"d:Title": "Plan, The", "d:Description": "Biographies and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.vanbergenbravenboer.nl/Theodor-en.html"} +{"d:Title": "Phat Daddy", "d:Description": "Denver-based band; news, calendar, member profiles and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.phatdaddy.biz/"} +{"d:Title": "Plattner, Christian", "d:Description": "Jazz artist based in Vienna, Austria. Contact information, book overview and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.christianplattner.com/"} +{"d:Title": "Politano, Dan", "d:Description": "Solo artist from Victoria, British Columbia. Biography, pictures, lyrics and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://danpolitano.homestead.com/"} +{"d:Title": "Phantasia", "d:Description": "Wedding music for Sydney, Australia. Classical flute/piano duo and dynamic jazz quartet. Biography, photos, contact details, audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://members.ozemail.com.au/~loomes/phantasia.htm"} +{"d:Title": "Peppermint Creeps", "d:Description": "California-based glam rock band; news, pictures, MP3s, show dates and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://peppermintcreeps.tripod.com/"} +{"d:Title": "Profugus Mortis", "d:Description": "Black metal band from Quebec, Canada; site features news, pictures, message board and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://profugusmortis.tripod.com/"} +{"d:Title": "Perkins, Al", "d:Description": "Biography, news, pictures, and contact information for the country-rock steel guitar and dobro player.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.alperkinsmusic.com/"} +{"d:Title": "Pekar, Bill", "d:Description": "Texas-based songwriter/singer and band playing venues throughout the state. Includes band biographies, press, schedule, lyrics, photo gallery, and booking information", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.billpekar.com/"} +{"d:Title": "Pindrop Club", "d:Description": "Acoustic collective from Bristol, England. News, biographies, events and sound clips. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pindropclub.co.uk/"} +{"d:Title": "Princes of Serendip, The", "d:Description": "Woodstock, New York group grounded in classical, folk and Celtic music. Discography, lyrics, biography, pictures and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.princesofserendip.info/"} +{"d:Title": "Punck", "d:Description": "Electronic music artist; biography, news, MP3s, art, press and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://digilander.libero.it/punck2002/"} +{"d:Title": "Pain Factor", "d:Description": "News, biography and show dates from the metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/al4/painfactor/"} +{"d:Title": "Pepek, Bob", "d:Description": "Lyrics, audio samples, pictures, gig schedule and biography from the acoustic pop artist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/music4/epitome/"} +{"d:Title": "Peasants, The", "d:Description": "Boston rock/punk band. Tour updates, news, pictures and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.thepeasants.net/"} +{"d:Title": "Psyche", "d:Description": "Horror electronic group; history, press, tour diary, discography, lyrics, pictures, member pages, show dates and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.psyche-hq.de/"} +{"d:Title": "Pidgeon, Pete and Arcoda", "d:Description": "Boston-based jazz/funk/rock band. News, schedule, setlist, MP3s, press, song list and pictures. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.petepidgeon.com/"} +{"d:Title": "Porcupine", "d:Description": "Belgian rock trio; includes biography, audio samples and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://users.skynet.be/fa461217/"} +{"d:Title": "The Problems", "d:Description": "Official site of the New York-based band. Includes band biography, lyrics, show dates, CD and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.theproblems.com/"} +{"d:Title": "Portus", "d:Description": "Rock/pop band from the Netherlands; features biographies, pictures, lyrics, playlist and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.portus.nl/"} +{"d:Title": "Parsignault, Jennifer", "d:Description": "Los Angeles singer/songwriter. Biography, news, album information and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.greene-house.com/"} +{"d:Title": "Persil", "d:Description": "A punky, poppy, rocky, noisy duo from Amsterdam. Lyrics, press, audio and video clips, news and discography", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.persilmusic.com/"} +{"d:Title": "Perry, Rose Cora", "d:Description": "A singer, songwriter, guitarist, model and writer from London, Ontario. Features news, profile, photos, interviews and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.rosecoraperry.com/"} +{"d:Title": "Poem de Terre", "d:Description": "Canadian folk music ensemble featuring original sung and spoken word performances in live venues and on recordings, mostly featuring the writing of poet Bob MacKenzie.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://poemdeterre.com/"} +{"d:Title": "Purple Merkins, The", "d:Description": "Information about the 1990s garage band and their album \"Merkinmania.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.purple-cactus.tv/garage-nation/purplemerkins.html"} +{"d:Title": "Pelletier, Joel", "d:Description": "Los Angeles composer, musician and multimedia artist. Audio, animations, biography, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.joelp.com/"} +{"d:Title": "Panics, The", "d:Description": "Biography, pictures, discography and MP3s from the Perth, Australia band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.thepanics.com.au/"} +{"d:Title": "Passierzettel", "d:Description": "German improvisational group. History, profiles, pictures, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://passierzettel.de/"} +{"d:Title": "Perry, Roxy", "d:Description": "New York blues singer. Sound samples, reviews, schedule and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.roxyperry.com/"} +{"d:Title": "Purim, Flora", "d:Description": "Details about the Brazilian latin/jazz/rock artist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.melt.co.za/index.php?main_page=page&id=28&chapter=0"} +{"d:Title": "Papadimitriou, Dimosthenis", "d:Description": "Canadian-born Greek music artist. Site offers a biography, news, reviews and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://dimosthenis.8m.com/"} +{"d:Title": "Prince Myshkins, The", "d:Description": "Musical duo of Rick Burkhardt and Andy Gricevich. Features biography, photos, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.princemyshkins.com/"} +{"d:Title": "Pertout, Andrian", "d:Description": "Australian composer, performer, producer, arranger and writer. Biography, works, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pertout.com/"} +{"d:Title": "Paul, Billy", "d:Description": "News, biography and discography for the Philadelphia soul singer.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.billypaul.com/"} +{"d:Title": "Preeta", "d:Description": "News, sound clips, show dates, biography, and photos from the Hawaiian pop singer and guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.preeta.com/"} +{"d:Title": "PreFeKt", "d:Description": "Unsigned rock and metal band from Melbourne, Australia. Includes members' biographies, news, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.angelfire.com/realm/prefekt/"} +{"d:Title": "Pattysplanet", "d:Description": "Official site. Female electronic music artist from Germany. Includes news, history, sound files, lyrics, and CD information. [English and German versions]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pattysplanet.de/de/"} +{"d:Title": "Pale, The", "d:Description": "MP3 samples, pictures, discography, message board, and news for the Irish alternative pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.thepale.ie/"} +{"d:Title": "Palmer, Tom", "d:Description": "Includes pictures, CD information, and link to sound files for the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://tompalmer_2.tripod.com/"} +{"d:Title": "Pro-t76", "d:Description": "Emo and punk band from West Tennessee. Audio files, biography, tour dates, news, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://frayser.tripod.com/boards.html"} +{"d:Title": "Phathom", "d:Description": "Seattle hip-hop band. News, profile and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://phathomfunk0.tripod.com/"} +{"d:Title": "Positive Youth Outreach", "d:Description": "Straight-edge hardcore pop punk band from Missouri. News, mission statement, lyrics, pictures and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://punkman5000.tripod.com/id3.htm"} +{"d:Title": "Phatfunk Clique", "d:Description": "News, discography and links to MP3s from the jazzy hip-hop group.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://phatfunk.tripod.com/"} +{"d:Title": "Priggen, Spike", "d:Description": "Official site of the New York singer/songwriter. Includes news, history, pictures, sound files, press, tour dates, lyrics, and merchandise. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://spikepriggen.blogs.com/"} +{"d:Title": "Primevals, The", "d:Description": "Rock group based in Scotland. Includes biography, discography, news, interviews, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.limbos.org/primevals/"} +{"d:Title": "Polonsky, Jonny", "d:Description": "The Onion AV Club interviews the singer/songwriter about his search for a label.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.avclub.com/articles/jonny-polonsky,13551/"} +{"d:Title": "Phillips, Sandra", "d:Description": "Biography, audio clips, and ordering information for the St. Louis singer-songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.sandraphillips.com/"} +{"d:Title": "Pure Genius", "d:Description": "Party and wedding band in Northampton, England. Information on band members with photographs, videos, mp3s and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.puregenius.co.uk/"} +{"d:Title": "Plums, The", "d:Description": "Welsh band. MP3s, pictures, biographies, contact information and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.the-plums.demon.co.uk/"} +{"d:Title": "Pills, The", "d:Description": "Boston-based pop band's site with discography, biography, show dates, tour diaries, photographs and press.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pillsrock.com/"} +{"d:Title": "Phelps, Shelly", "d:Description": "Home page for the Oklahoma singer/songwriter includes performance dates, lyrics, pictures and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.shellyphelps.com/"} +{"d:Title": "Paradox", "d:Description": "Official site of the Irish alternative rock act includes MP3s, biography, pictures, releases, news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.paradoxrock.com/"} +{"d:Title": "Pike, Jeff", "d:Description": "Discography, news, mailing list, reviews, biography and photographs from the Georgia acoustic pop/rock artist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.jeffpike.com/"} +{"d:Title": "Parker, Tony", "d:Description": "Pennsylvania folk singer/songwriter and inventor of the titanium six-string guitar. Discography, audio samples and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://tonyparkermusic.com/"} +{"d:Title": "Primitive Reason", "d:Description": "Hip-hop, reggae, ska and hardcore band from Portugal. Includes news, tour details, audio, photographs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.primitivereason.net/"} +{"d:Title": "Poptart Monkeys", "d:Description": "Northeast Pennsylvania rock band. Tour schedule, news, CDs for purchase, photos and audio clips, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.poptartmonkeys.com/"} +{"d:Title": "Pertout, Alex", "d:Description": "Australian percussionist and composer. News, biography, discography and bibliography, articles and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.alexpertout.com/"} +{"d:Title": "Prada, Amancio", "d:Description": "Spanish composer and classical guitarist. Includes biography, discography, and concert information. [English and Spanish.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.amancioprada.com/index.php?lang=en"} +{"d:Title": "Pivot", "d:Description": "Rock band from Raleigh, North Carolina. News, show dates, biography, audio, video and press.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pivotband.com/"} +{"d:Title": "Phillips, Wendy", "d:Description": "Information on and lyrics for the dance vocalist's single \"Stay.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://dance.richii.com/wendy-phillips"} +{"d:Title": "Pounce International", "d:Description": "MP3 song samples, interview and reviews, and music industry links from the Ohio-based ambient electronic music group.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.pounceinternational.com/"} +{"d:Title": "Pettis, Pierce", "d:Description": "Nashville folk singer-songwriter. Biography, concert updates, photographs and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.piercepettis.com/"} +{"d:Title": "Pipapelli", "d:Description": "Bagpipe-centered rock/blues group based in western North Carolina. Includes pictures, album information and booking contact. [Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://pipapelli.wix.com/home"} +{"d:Title": "Peppermint Circus", "d:Description": "English pop group 1967 - 1970. Includes discography, newspaper articles, photographs and other memorabilia from Alan Tallis, the band's bassist.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://www.peppermintcircus.co.uk/"} +{"d:Title": "Pure Prairie League", "d:Description": "Country-rock band began in 1970, whose most well-known hit is \"Amie\". Includes tour schedule, forum, discography, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://pureprairieleague.com/"} +{"d:Title": "Pajo, David", "d:Description": "Discography, tour dates, sound files, pictures, and merchandise for the band from Louisville, Kentucky.", "topic": "Top/Arts/Music/Bands_and_Artists/P", "url": "http://davidpajo.simpl.com/"} +{"d:Title": "My Dedication to P.M. Dawn", "d:Description": "News, pictures, lyrics, fan's collection and magazine covers.", "topic": "Top/Arts/Music/Bands_and_Artists/P/P.M._Dawn", "url": "http://www.angelfire.com/va/dreamrib/pmdawn.html"} +{"d:Title": "Leonard's Lair: P.M. Dawn", "d:Description": "Reviews of the album \"Of The Heart, Of The Soul And Of The Cross: The Utopian Experience.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/P.M._Dawn", "url": "http://www.leonardslair.co.uk/pmdawn.htm"} +{"d:Title": "All Music Guide: P.M. Dawn", "d:Description": "Biography, discography, reviews and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/P.M._Dawn", "url": "http://www.allmusic.com/artist/pm-dawn-p111835"} +{"d:Title": "The Albums of Pablo Cruise", "d:Description": "Includes brief history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pablo_Cruise", "url": "http://hannahtoes.tripod.com/pablo.html"} +{"d:Title": "Pablo Cruise Official Site", "d:Description": "Includes information about the band, its history, music, photos, links, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pablo_Cruise", "url": "http://pablocruise.com/"} +{"d:Title": "YouTube - Lindsay Pagano", "d:Description": "The official you tube channel.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pagano,_Lindsay", "url": "http://www.youtube.com/user/LindsayPaganoMusic"} +{"d:Title": "Enjoy the Music: Mondo Rama", "d:Description": "Album review by Srajan Ebaen.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pagan_Love_Orchestra", "url": "http://www.enjoythemusic.com/magazine/globalcaravan/0502/uttal.htm"} +{"d:Title": "Entertainment Ave: Jimmy Page with The Black Crowes", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Page,_Jimmy", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/black_crowes/bc062400.htm"} +{"d:Title": "Jimmy Page Online", "d:Description": "Includes news, concert information, message board, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Page,_Jimmy", "url": "http://www.jimmypageonline.com/"} +{"d:Title": "Rolling Stone: Jimmy Page", "d:Description": "Includes brief biography, discography, pictures, articles, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Page,_Jimmy", "url": "http://www.rollingstone.com/music/artists/jimmy-page"} +{"d:Title": "Lyrics World: Patti Page", "d:Description": "Lyrics to over 20 of her songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Page,_Patti", "url": "http://ntl.matrix.com.br/pfilho/html/main_index/by_artist/page_patti.html"} +{"d:Title": "Miss Patti Page", "d:Description": "Official site includes biography, event calendar, chart listings, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Page,_Patti", "url": "http://www.misspattipage.com/"} +{"d:Title": "Jennifer Paige", "d:Description": "Official site with blog, biography, audio, video and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paige,_Jennifer", "url": "http://www.jenniferpaige.com/"} +{"d:Title": "MySpace.com - Jennifer Paige", "d:Description": "Official music profile for Jennifer Paige.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paige,_Jennifer", "url": "https://myspace.com/jenniferpaigemusic"} +{"d:Title": "Paleday", "d:Description": "Audio clips, pictures, biographies and contact information for this UK pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paleday", "url": "http://www.paleday.com/"} +{"d:Title": "MusicOMH: Paleday @ The Water Rats, London", "d:Description": "Review of a recent Paleday gig by musicOMH.com", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paleday", "url": "http://www.musicomh.com/reviews/live/paleday-the-water-rats"} +{"d:Title": "MusicOMH: Paleday", "d:Description": "Interview with Anthony Stubbs and Stephen Farrier.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paleday", "url": "http://www.musicomh.com/features/interviews/interview-paleday"} +{"d:Title": "Leonard's Lair: In Ribbons", "d:Description": "Review of the group's second album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pale_Saints", "url": "http://www.leonardslair.co.uk/ribbons.htm"} +{"d:Title": "Eyesore: Pale Saints", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pale_Saints", "url": "http://quimby.gnus.org/html/group/palesaints.html"} +{"d:Title": "Pale Saints Lyrics", "d:Description": "Discography with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pale_Saints", "url": "http://quimby.gnus.org/html/html-subdirs/PaleLyrics/index.html"} +{"d:Title": "4AD: Pale Saints", "d:Description": "Discography, profile, images, and video from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pale_Saints", "url": "http://www.4ad.com/artists/palesaints/"} +{"d:Title": "Robert Palmer.Com", "d:Description": "Official site dedicated to the singer songwriter and arranger.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Palmer,_Robert", "url": "http://www.robertpalmer.com/"} +{"d:Title": "BBC News: Obituary: Robert Palmer", "d:Description": "Obituary of the successful and multi-talented \"blue-eyed soul singer\" who disliked the rock and roll lifestyle.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Palmer,_Robert", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/3143104.stm"} +{"d:Title": "Paloalto", "d:Description": "Official MySpace page with a biography, news and audio clips. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paloalto", "url": "https://myspace.com/paloalto"} +{"d:Title": "The Onion AV Club: Pansy Division", "d:Description": "Interview with queer punk Jon Ginoli about producer Steve Albini and new directions.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pansy_Division", "url": "http://www.avclub.com/articles/pansy-division,13554/"} +{"d:Title": "Vinnie and Dime.com", "d:Description": "News, band member profiles and information on related groups.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.angelfire.com/band2/wolvie/enter.html"} +{"d:Title": "Kanged.com: Pantera", "d:Description": "Pictures, audio files, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.kanged.com/pantera"} +{"d:Title": "Primal Concrete", "d:Description": "Contains news, tour dates, pictures, MP3s, videos, lyrics, personal information, fonts.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://members.tripod.com/~panterasite/"} +{"d:Title": "Pantera", "d:Description": "History, lyrics, tablatures, and recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.angelfire.com/de/cend/PANTERA.html"} +{"d:Title": "Cad's Vulgar Display of Pantera", "d:Description": "Contains history, lyrics, pictures, chat, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.angelfire.com/band/pantera42/"} +{"d:Title": "Crazy Person's Pantera Page", "d:Description": "A fan page with tablatures, photos and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.angelfire.com/band/crazpan/"} +{"d:Title": "Pantera UMS", "d:Description": "Contains guitar and bass tablature, a complete discography and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://members.tripod.com/ums02/pantera/"} +{"d:Title": "Pantera Online", "d:Description": "Contains news and information about Pantera and Dimebag Darrell.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.angelfire.com/dc/dimebagdarrell/"} +{"d:Title": "Dimebag Darrell Tribute", "d:Description": "A weblog for the guitarist for Damageplan and Pantera, who was killed during a shooting spree.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://dimebagdarrelltribute.blogspot.com/"} +{"d:Title": "A Vulgar Display of Pantera", "d:Description": "Contains band information, news, tour information, MP3s, songs, lyrics, tablature, video, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.vdopantera.com/"} +{"d:Title": "MusicMight: Pantera", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.musicmight.com/artist/united+states/texas/arlington/pantera"} +{"d:Title": "MTV.com: Pantera", "d:Description": "Includes news, album reviews, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://www.mtv.com/artists/PANTERA"} +{"d:Title": "Pantera", "d:Description": "Official site with news, tour information, discography, biography, and forums.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera", "url": "http://pantera.com/"} +{"d:Title": "The Pantera Ring", "d:Description": "Web ring for the band. Information on how to join or edit your site if you're already a member.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera/Directories", "url": "http://members.tripod.com/~panteraring/"} +{"d:Title": "Metal Paradox: Pantera Lyrics", "d:Description": "Contains lyrics for all of the songs and links to lyrics for other heavy metal bands.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera/Lyrics", "url": "http://members.tripod.com/metalparadox/pantera_lyrics.htm"} +{"d:Title": "Rockmagic.net: Pantera", "d:Description": "Contains song lyrics indexed by album and alphabetically", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/pantera/"} +{"d:Title": "LyricsFreak: Pantera", "d:Description": "Collection of lyrics sorted alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera/Lyrics", "url": "http://www.lyricsfreak.com/p/pantera/"} +{"d:Title": "Pantera Tabs", "d:Description": "Hand-picked collection of guitar and bass tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera/Tablature", "url": "http://www.xguitar.com/guitar-tabs/pantera/"} +{"d:Title": "Guitaretab.com: Pantera", "d:Description": "Tablatures listed alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pantera/Tablature", "url": "http://www.guitaretab.com/p/pantera/"} +{"d:Title": "Piero Scaruffi Interview with Pan American", "d:Description": "Mark Nelson discusses the reasons for forming as a side arm of Labradford and plans for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pan_American", "url": "http://www.scaruffi.com/interv/panam.html"} +{"d:Title": "ArtistDirect: Pan American", "d:Description": "Biography, message board and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pan_American", "url": "http://www.artistdirect.com/nad/music/artist/bio/0,,661048,00.html"} +{"d:Title": "Meddle: Pan American - 360 Business 360 Bypass", "d:Description": "Short review with links to audio samples. \"This might just be the first masterpiece of the year 2000.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pan_American/Reviews/360_Business_360_Bypass", "url": "http://brainwashed.com/weddle/reviews/360.html"} +{"d:Title": "Metacritic: Aaltopiiri", "d:Description": "Links to several reviews of the Pan Sonic album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pan_Sonic", "url": "http://www.metacritic.com/music/artists/pansonic/aaltopiiri"} +{"d:Title": "Adventures In Sound: Pan Sonic", "d:Description": "Interview with Pan Sonic, the Finnish minimal techno outfit, by Adventures In Sound / Stylus Magazine in 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pan_Sonic", "url": "http://wlt4.home.mindspring.com/adventures/articles/pan-sonic.htm"} +{"d:Title": "FinnDiscog: Pan Sonic", "d:Description": "The site contains the band history, the discography, the latest Pan Sonic news and many articles.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pan_Sonic", "url": "http://www.phinnweb.org/panasonic/"} +{"d:Title": "FinnDiscog - Corporate 09", "d:Description": "The site contains a brief introduction to Corporate 09, a discography and additional information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pan_Sonic/Related_Artists", "url": "http://www.phinnweb.org/finndiscog/corporate09/"} +{"d:Title": "Papas Fritas", "d:Description": "Official site includes news, biography, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papas_Fritas", "url": "http://www.papasfritas.com/"} +{"d:Title": "Paparoach.com", "d:Description": "Official site contains news, tour information, merchandise, photos, lyrics and links. [Flash.]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Roach", "url": "http://www.paparoach.com/"} +{"d:Title": "XGuitar.com: Papa Roach Guitar Tablatures", "d:Description": "Guitar and bass tabs by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Roach", "url": "http://www.xguitar.com/guitar-tabs/papa_roach/"} +{"d:Title": "AskMen.com: Papa Roach", "d:Description": "Online interview with pictures, quotes, and secret facts.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Roach", "url": "http://www.askmen.com/toys/interview_150/150_papa_roach_interview.html"} +{"d:Title": "ArtistDirect: Papa Roach", "d:Description": "Includes biography, links to websites, MP3s, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Roach", "url": "http://www.artistdirect.com/artist/papa-roach/994774"} +{"d:Title": "MTV: Papa Roach", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Roach", "url": "http://www.mtv.com/artists/PAPA-ROACH"} +{"d:Title": "Papa Roach Web", "d:Description": "German and English fansite with the latest news, wallpapers, audio, video, tour dates, and facts.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Roach/Fan_Pages", "url": "http://paparoachweb.de/"} +{"d:Title": "Viva la Cucaracha", "d:Description": "Tour information, lyrics, pictures and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Roach/Fan_Pages", "url": "http://www.angelfire.com/wi2/here/"} +{"d:Title": "The Net Net: Hello Vertigo by Papa Vegas", "d:Description": "Jenine Abarbanel's review: \"If you like this sort of thing, this is exactly the kind of thing you'll like.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Papa_Vegas", "url": "http://thenetnet.theanteroom.com/reviews/vertigo.html"} +{"d:Title": "RockMagic.net: Paramaecium", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paramaecium", "url": "http://lyrics.rockmagic.net/lyrics/paramaecium/"} +{"d:Title": "Paramore Fans", "d:Description": "Fan site with audio and video clips, links, a photo gallery, and discussion boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paramore", "url": "http://www.paramorefans.com/"} +{"d:Title": "Paramore", "d:Description": "Official site with band information, audio and video clips, photos, downloads, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paramore", "url": "http://www.paramore.net/"} +{"d:Title": "Wikipedia: Paramore", "d:Description": "Background information, band history, and detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paramore", "url": "http://en.wikipedia.org/wiki/Paramore"} +{"d:Title": "MySpace.com: Paramore", "d:Description": "The band's official MySpace page with audio clips, music videos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paramore", "url": "https://myspace.com/paramore"} +{"d:Title": "Paramore.org", "d:Description": "Fan site with news, image gallery, band information, discussion boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paramore", "url": "http://paramore.org/"} +{"d:Title": "Gadfly Records: Graham Parker", "d:Description": "Track listing and interview with the artist, about the album Live Alone, Discovering Japan.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parker,_Graham", "url": "http://www.gadflyrecords.com/products/238.htm"} +{"d:Title": "Squeezing Out Sparks: Graham Parker", "d:Description": "Artist-supported site includes monthly column, answers to readers' questions, news, tour information, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parker,_Graham", "url": "http://www.grahamparker.net/"} +{"d:Title": "RollingStone.com: Graham Parker", "d:Description": "Includes biography, recordings, sound files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parker,_Graham", "url": "http://www.rollingstone.com/music/artists/graham-parker"} +{"d:Title": "Graham Parker Lyrics and Guitar Chords", "d:Description": "Lyrics, and guitar tablatures from 1976 to the present. Sorted by albums or song index.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parker,_Graham", "url": "http://rob.toadshow.com.au/01_cms/details.asp?ID=46"} +{"d:Title": "Yahoo Music: Graham Parker", "d:Description": "Pictures, audio clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parker,_Graham", "url": "http://music.yahoo.com/artist/graham-parker/"} +{"d:Title": "All Music Guide: John Parr", "d:Description": "Profile, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parr,_John", "url": "http://www.allmusic.com/artist/john-parr-p19779"} +{"d:Title": "The Gram Parsons Homepage", "d:Description": "Includes a discography, lyrics and tablature, a bulletin board, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parsons,_Gram", "url": "http://www.gramparsons.com/"} +{"d:Title": "Kate Derr's Gram Page", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Parsons,_Gram", "url": "http://emmylou.net/gram.html"} +{"d:Title": "Canoe.ca: A Passing Fancy", "d:Description": "Offers a brief biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Passing_Fancy,_A", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/P/A_Passing_Fancy.html"} +{"d:Title": "The Pastels", "d:Description": "Biography, albums and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pastels,_The", "url": "http://www.sheepish.org/pastels/"} +{"d:Title": "Bungle to Fantomas: Six Degrees of Mike Patton", "d:Description": "A website about Mr. Bungle, Fantomas and various Mike Patton projects. News, pictures, tablature, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Patton,_Mike", "url": "http://www.bungletofantomas.com/"} +{"d:Title": "The Onion AV Club: Mike Patton", "d:Description": "Interview with the Faith No More and Mr. Bungle frontman on his feud with Anthony Kiedis.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Patton,_Mike", "url": "http://www.avclub.com/articles/mike-patton-of-mr-bungle,13625/"} +{"d:Title": "Patton Mad", "d:Description": "Mike Patton fan site with discographies and show lists by band, as well as VCDs and DVDs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Patton,_Mike", "url": "http://www.pattonmad.com/"} +{"d:Title": "Pat McGee", "d:Description": "Includes news, biography, tour dates, discography, sound files and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pat_McGee", "url": "http://patmcgee.net/"} +{"d:Title": "Les Paul: The Trio's Complete Decca Recordings Plus", "d:Description": "Review from The Boston Phoenix of a reissue of his recordings from 1936-1947.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paul,_Les", "url": "http://www.bostonphoenix.com/archive/music/98/01/22/OTR/LES_PAUL.html"} +{"d:Title": "Rock and Roll Hall of Fame: Les Paul", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paul,_Les", "url": "http://rockhall.com/inductees/les-paul/"} +{"d:Title": "The New York Times: The Wizard of Pop Who Turned On the Guitar", "d:Description": "Article by Bryan Miller examining the guitarist and inventor's career as he turned 80.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paul,_Les", "url": "http://www.nytimes.com/1995/06/04/arts/pop-music-the-wizard-of-pop-who-turned-on-the-guitar.html"} +{"d:Title": "Paul Colman Trio", "d:Description": "Official site. Includes biography, tours, music samples, video clips, photos and media releases.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Paul_Colman_Trio", "url": "http://www.paulcolmantrio.com/"} +{"d:Title": "Laura Pausini", "d:Description": "Official site for the Italian singer includes lyrics, discography, biography, tour dates, video clips, photo gallery, and fan club section. [Requires Flash, several languages]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pausini,_Laura", "url": "http://www.laurapausini.com/"} +{"d:Title": "All Music Guide: Laura Pausini", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pausini,_Laura", "url": "http://www.allmusic.com/artist/laura-pausini-mn0000138235"} +{"d:Title": "Matador Records: Pavement", "d:Description": "Site from the band's U.S. label includes news, biography, discography, sound clips and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement", "url": "http://www.matadorrecords.com/pavement/"} +{"d:Title": "Domino Records: Pavement", "d:Description": "Site from the band's UK label includes discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement", "url": "http://www.dominorecordco.com/artists/pavement/"} +{"d:Title": "RollingStone.com: Pavement", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement", "url": "http://www.rollingstone.com/music/artists/pavement"} +{"d:Title": "MTV.com: Pavement", "d:Description": "Includes news, album reviews, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement", "url": "http://www.mtv.com/artists/PAVEMENT"} +{"d:Title": "Pavement Tunes Played Live", "d:Description": "Setlists for shows from 1989 to 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement", "url": "http://pavedshows.stormloader.com/"} +{"d:Title": "The Over Friendly Concierge", "d:Description": "Lyrics and album covers.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement", "url": "http://stipey.chez.com/pave.html"} +{"d:Title": "CNN: \"Pavement's Stephen Malkmus: Viva la anti-diva\"", "d:Description": "Interview about the album \"Terror Twilight.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/Music/9906/21/pavement/"} +{"d:Title": "Salon: Pavement - Brighten the Corners", "d:Description": "David Fenton's review: \"It's not the first listen, and it's not the second, but somewhere around three or four you'll find this album making perfect sense.\" With audio clips. (Feb. 17, 1997)", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement/Reviews/Brighten_the_Corners", "url": "http://www.salon.com/1997/03/17/sharps_101/"} +{"d:Title": "All-Reviews: Crooked Rain, Crooked Rain", "d:Description": "Larry G's review: \"The band's most tuneful record.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement/Reviews/Crooked_Rain,_Crooked_Rain", "url": "http://www.all-reviews.com/music/crookedrain.htm"} +{"d:Title": "Lazyeye: Pavement - Terror Twilight", "d:Description": "Tim McMahan's review: \"this time they take the song writing to another level, one step closer to mainstream.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement/Reviews/Terror_Twilight", "url": "http://www.timmcmahan.com/pavement.htm"} +{"d:Title": "All-Reviews.com: Pavement", "d:Description": "LarryG's review: \"Terror Twilight is more hit and miss.\" 2.5 stars out of 4.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement/Reviews/Terror_Twilight", "url": "http://www.all-reviews.com/music/terrortwilight.htm"} +{"d:Title": "PopMatters: Pavement - Terror Twilight", "d:Description": "Sarah Zupko's review: \"It is best recommended as a \"hold-you-over\" until Radiohead unleashes their new oeuvre next year.\" Rated 6.5.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pavement/Reviews/Terror_Twilight", "url": "http://www.popmatters.com/review/pavement-terror/"} +{"d:Title": "Jah Warriors HQ", "d:Description": "Fan site with images, lyrics, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://www.angelfire.com/rock/jahwarrior/"} +{"d:Title": "Tribal Warriors Online", "d:Description": "Fan site with news, pictures, lyrics, articles, audio, discography, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://www.angelfire.com/band/tribalwarriors/"} +{"d:Title": "Tyler's P.O.D Site", "d:Description": "Pictures, links and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://www.angelfire.com/rock2/podman/"} +{"d:Title": "Warriors Online", "d:Description": "Includes lyrics, pictures, audio samples, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://www.angelfire.com/band/warriorsonline/"} +{"d:Title": "XGuitar.com: P.O.D. tabs", "d:Description": "Guitar and bass tabs sorted by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://www.xguitar.com/guitar-tabs/p_o_d/"} +{"d:Title": "cMusicWeb.com: P.O.D.", "d:Description": "Reviews, news and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://cmusicweb.com/hardrock/pod/index.shtml"} +{"d:Title": "Payable on Death", "d:Description": "Official site for the band includes news, history, pictures, sound and video clips and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://www.payableondeath.com/"} +{"d:Title": "Officer Phil: P.O.D.", "d:Description": "Pictures from an April 2008 TV performance.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payable_on_Death", "url": "http://americanindian.net/kusi/POD/index.html"} +{"d:Title": "Rambles: Kendall Payne - Jordan's Sister", "d:Description": "Audrey Clark's review: \"Kendall Payne proves she's talented enough to carve out her own place in the hierarchy.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payne,_Kendall", "url": "http://rambles.net/payne_sister.html"} +{"d:Title": "CD Shakedown: Kendall Payne - Jordan's Sister", "d:Description": "Review of the album: \"Drawing solidly on a rock sound, the new album has winning moments.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payne,_Kendall", "url": "http://www.cdshakedown.com/122499.htm"} +{"d:Title": "The Phantom Tollbooth: Kendall Payne - Jordan's Sister", "d:Description": "James Stewart's review: \"There are hints of interesting songwriting within this album but it is difficult to say how much potential Payne shows, wrapped as she is in a fairly predictable girl-pop blanket.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payne,_Kendall", "url": "http://www.tollbooth.org/2000/reviews/kpayne.html"} +{"d:Title": "Jesus Freak Hideout: Not Just Jordan's Sister", "d:Description": "John's review: \"With a down-to-earth feel and a honest message, Kendall makes you feel like you're listening to the thoughts and feelings of a friend.\" Includes audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payne,_Kendall", "url": "http://www.jesusfreakhideout.com/cdreviews/JordansSister.asp"} +{"d:Title": "Kendall Payne", "d:Description": "Official site includes biography, audio clips, calendar and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Payne,_Kendall", "url": "http://www.kendallpayne.com/"} +{"d:Title": "A Slice of Peach", "d:Description": "Fan site with biography, discography, videos, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peach", "url": "http://www.inspiracy.com/peach/"} +{"d:Title": "Anything Very Pleasant - The Peach Pit", "d:Description": "Fan site with history, discographies, lyrics, video captures, press and a mini-site on Lisa Lamb.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peach", "url": "http://easyweb.easynet.co.uk/~clrlogic/peachpit.htm"} +{"d:Title": "Black Red Yellow: A Poster Place and Pearl Jam Database", "d:Description": "Database for all Pearl Jam shows to date, with various extensive statistics for every song, show and tour. Also included is a rating system for registered members to rate the shows they've attended and a forum to discuss shows, posters, and anything else Pearl Jam.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.blackredyellow.com/"} +{"d:Title": "Guitaretab.com", "d:Description": "Alphabetical listing of guitar tablatures. Almost every original song in their catalog is listed, in addition to covers, b-sides, entries for whole albums and acoustic versions of many songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.guitaretab.com/p/pearl-jam/"} +{"d:Title": "Wikipedia: Pearl Jam", "d:Description": "General information about the band including but not limited to: History, current and former band members, photos, discography and singles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://en.wikipedia.org/wiki/Pearl_jam"} +{"d:Title": "Garden-of-Stone.com", "d:Description": "Lists almost every official Pearl Jam, Temple of the Dog, and Mother Love Bone audio and video recording known to exist in every possible recording format.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.garden-of-stone.com/start.htm"} +{"d:Title": "PJCollectors.com", "d:Description": "A very exhaustive and definitive discography for Pearl Jam and the side-projects of many of the band members. Also included is a messageboard to communicate with other Pearl Jam fans and collectors from all around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.pjcollectors.com/"} +{"d:Title": "PearlJam.com", "d:Description": "The band's official site which contains current news, fan club membership information, merchandise, links to causes the band supports, a discography and a comprehensive timeline for the band and its past and current members. Also included is an archive containing a song database, current tour dates, past set lists, and other tour-related items.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.pearljam.com/"} +{"d:Title": "The Colors Blend", "d:Description": "An unofficial concert poster archive which features an extensive collection of Pearl Jam posters from 1990 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.thecolorsblend.com/"} +{"d:Title": "Topix: Pearl Jam News", "d:Description": "News about Pearl Jam continually updated from various sources around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.topix.com/who/pearl-jam"} +{"d:Title": "Myspace - Stone Gossard", "d:Description": "Official My Space page for Stone Gossard, one of the band's guitarists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "https://myspace.com/stonegossard"} +{"d:Title": "Sparta Cemetery and The Leather Man", "d:Description": "Tells the story of the Leather Man, who was the inspiration for the Pearl Jam song \"Leatherman.\" The site includes a photo of the Leather Man, a few paragraphs about him and where he came from, and the lyrics to the Pearl Jam song.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.hudsonvalleyruins.org/yasinsac/briarcliff/leather.html"} +{"d:Title": "Twitter: Pearl Jam", "d:Description": "Pearl Jam's official Twitter page where the band posts messages to keep the fans informed on what they are doing in real-time.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://twitter.com/PearlJam"} +{"d:Title": "Rolling Stone: Pearl Jam", "d:Description": "Includes a biography, pictures, articles, videos, and a place to hear the band's five most popular tracks from Rhapsody.com.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.rollingstone.com/music/artists/pearl-jam"} +{"d:Title": "Andrew Wood -- Stardog of the Celestial", "d:Description": "A tribute to the front man for the band Mother Love Bone whose death in 1990 was the impetus for the formation of Pearl Jam. Includes lyrics, videos, a photo gallery, a short biography and personal stories from friends and associates of Andy.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://kuriakon00.tripod.com/andy/mother_love_bone.html"} +{"d:Title": "MTV: Pearl Jam", "d:Description": "Contains news, biography, audio clips, photo galleries, music videos, a message board, and links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.mtv.com/artists/pearl-jam/"} +{"d:Title": "Songsterr", "d:Description": "Bass tablatures of almost every song sorted by title.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam", "url": "http://www.songsterr.com/a/wsa/pearl-jam-tabs-a84?inst=bass"} +{"d:Title": "Yahoo! Group: Pearljamposters", "d:Description": "Post messages about show posters and/or album posters/promotional fliers, handbills, etc.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/pearljamposters/"} +{"d:Title": "Satan's Bed a Pearl Jam Message Board", "d:Description": "Several forums including trading, photos, sports, and off-topic.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Chats_and_Forums", "url": "http://satansbedbbs.com/"} +{"d:Title": "Red Mosquito", "d:Description": "Connect with fans from all over the world and discuss all things Pearl Jam including bootlegs, lyrics, side projects, past performances and upcoming tours.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Chats_and_Forums", "url": "http://forums.theskyiscrape.com/"} +{"d:Title": "Pearl Jammers' Journal", "d:Description": "An open community and meeting-ground for Pearl Jam fans who are members of the Livejournal website. Page contains a calendar, a list of members and a page with several Eddie Vedder images that can be used as avatars or buddy icons.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Chats_and_Forums", "url": "http://pearljammers.livejournal.com/"} +{"d:Title": "Five Horizons: A Pearl Jam Fanzine", "d:Description": "Concert chronology, video guide, news, photographs, events, and articles. No longer updated but still maintained and full of information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.fivehorizons.com/index.shtml"} +{"d:Title": "Footsteps", "d:Description": "Lyrics, articles, pictures, band-member profiles, a discography and images of concert posters are all included on this page.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.angelfire.com/rock3/footstepspj/main.html"} +{"d:Title": "Lee's Pearl Jam Art Gallery", "d:Description": "Original artwork of the band and Eddie Vedder.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.angelfire.com/il3/leespjartpage/index.htm"} +{"d:Title": "Live On Pearl Jam", "d:Description": "Biography, sound files, videos, lyrics, guitar tablature, and the meanings of selected songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.members.tripod.com/opuszone/pj.htm"} +{"d:Title": "Lukin: A Pearl Jam Information Page", "d:Description": "Includes information about the band up to the year 2003. Some things included are: information on all past tours, a drummer history section with drum music, complete lyrics and interpretations, articles and mp3s of demos and rough-mixes.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.lukin.com/"} +{"d:Title": "Pearl Jam Club", "d:Description": "History, discography, reviews, FAQ, lyrics, pictures and articles. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://pearljamclub.tripod.com/"} +{"d:Title": "Pearl Jam Education", "d:Description": "The Pearl Jam reference library. Among other things it contains reveiews, interviews, quotes from the band members, and several articles from 1989 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.freewebs.com/pearljamstudy/index.htm"} +{"d:Title": "Igotbugs.net", "d:Description": "Wiki-based international mailing list focusing on European fans with 'homepages' for each country that has registered members. Each user can also have their own userpage for whatever Pearl Jam content they wish. Also includes an extensive t-shirt archive.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.igotbugs.net/"} +{"d:Title": "Tickle My Nausea: a print Pearl Jam zine", "d:Description": "Home for the fan-owned zine that is published quarterly and delivered through snail-mail.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://members.tripod.com/~Jesskid/index2.html"} +{"d:Title": "Touring Van 2005", "d:Description": "Tells the story of 3 people who bought a van to follow the band across Canada on their 2005 Canadian tour. Stories, photos, and information regarding a DVD documenting the journey.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.touringvan.com/index.html"} +{"d:Title": "PJ Poster Archive", "d:Description": "Archive of posters dating back to the band's days as Mookie Blaylock. Includes a message board, links to several online poster retailers, real-time eBay listing of current auctions, and information on purchasing poster books both Pearl Jam related and not.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://pjposters.tripod.com/"} +{"d:Title": "Stian's Pearl Jam page", "d:Description": "Focuses on the album No Code. Song interpretations, band-member quotes about the album, lyrics and suggestions about what certain photos and symbols on the album represent.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://members.tripod.com/nocodepage/index.htm"} +{"d:Title": "Two Feet Thick", "d:Description": "Various Pearl Jam information not always found on other websites. Concert chronology, a \"wishlist\" for traders looking for shows, stories and articles not found anywhere else.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.twofeetthick.com/"} +{"d:Title": "The Army Reserve", "d:Description": "Weblog-style setup featuring current news, a poll, and links to dozens of downloadable shows in FLAC format.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://thearmyreserve.blogspot.com/"} +{"d:Title": "Brain of P.J.", "d:Description": "Explains where to find all the hidden Yield signs in the liner notes to the album Yield.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://yield.8m.com/index.html"} +{"d:Title": "Clouds Roll By", "d:Description": "Site dedicated to the bass player, Jeff Ament. Photos of Jeff, examples of his artwork and photographs, quotes, archived news and a listing of some of the equipment he's used in the past are all included.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.angelfire.com/la/ament/"} +{"d:Title": "The Sky I Scrape - WikiJam", "d:Description": "A free online encyclopedia concerning everything related to the band and their music. Currently in its BETA phase, the wiki is a fan based website and maintained by Pearl Jam fans around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.theskyiscrape.com/"} +{"d:Title": "Naked Eye: Backstage with Pearl Jam", "d:Description": "Specializing in photos of backstage passes from dozens of venues and tours up through the year 2000. Also contains photos of several fakes and some of unknown origin.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.angelfire.com/nf/cameronpasses/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Pearl Jam", "d:Description": "Reviews and analysis of each of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.sfloman.com/pearljam.html"} +{"d:Title": "setlist.fm: Pearl Jam", "d:Description": "A user-maintained collection of Pearl Jam setlists and song statistics from all of their tours around the world.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://www.setlist.fm/setlists/pearl-jam-23d6b80b.html"} +{"d:Title": "Given To Cast", "d:Description": "Weblog-style page for the owner's podcast where he talks about current Pearl Jam news, does a song of the week, asks a trivia question, and talks about some of his favorite live shows throughout the band's career.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://giventocast.blogspot.com/"} +{"d:Title": "Pearl Jammer", "d:Description": "Biography and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Fan_Pages", "url": "http://robertwhughes.com/pearljam/"} +{"d:Title": "Wellwater Conspiracy", "d:Description": "The official site of drummer Matt Cameron's side project Wellwater Conspiracy with several articles, guitar tabs, a message board and a thorough discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Side_Projects", "url": "http://nowinvisibly.com/wwc/"} +{"d:Title": "MySpace: The Rockfords", "d:Description": "MySpace Music profile for Mike McCready's side project The Rockfords. Download singles, watch music videos, listen to free streaming mp3s,&read The band's blog.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Side_Projects", "url": "https://myspace.com/therockfords"} +{"d:Title": "PearlJamLive.com", "d:Description": "Audio and video streams of the band's concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Streaming_and_Downloadable_Audio", "url": "http://www.pearljamlive.com/"} +{"d:Title": "Pearl Jam Bootlegs", "d:Description": "Fan-run site that has hundreds of shows available for downloading or streaming in an easy to navigate format. A message board is also available for registered users.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Streaming_and_Downloadable_Audio", "url": "http://www.pearljambootlegs.org/"} +{"d:Title": "Just Want to Scream Hello", "d:Description": "German fan with hundreds of Pearl Jam CDs, VCDs and DVDs for trade.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Trading", "url": "http://www.justwanttoscreamhello.de/"} +{"d:Title": "db.etree.org - Pearl Jam", "d:Description": "Find and trade live concerts from fans anywhere in the world.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Trading", "url": "http://db.etree.org/bs_d.php?artist_key=23"} +{"d:Title": "I Trade PJ", "d:Description": "Uses the traditional blanks and postage system to trade hundreds of live shows in both audio and video format.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Trading", "url": "http://www.itradepj.com/"} +{"d:Title": "Ten", "d:Description": "Quintet of musicians based in Pittsburgh, Pennsylvania. Includes show dates, song list, photos, audio files and links to their MySpace and ReverbNation pages.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Tribute_Bands", "url": "http://www.tenband.com/"} +{"d:Title": "RearViewMirror", "d:Description": "Five guys From Ontario, Canada. Included are samples from the band's live shows, photos, and contact/booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Tribute_Bands", "url": "http://www.pjtribute.com/home.cfm"} +{"d:Title": "Backseat Lover", "d:Description": "Myspace profile for a Boston area cover band. Listen to samples of their covers and check out upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Tribute_Bands", "url": "https://myspace.com/backseatlover"} +{"d:Title": "Once", "d:Description": "MySpace page for 4 guys paying tribute to the band in and around the Atlanta, Georgia area.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pearl_Jam/Tribute_Bands", "url": "https://myspace.com/onceband"} +{"d:Title": "Pedro the Lion", "d:Description": "Fan site includes news, articles, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pedro_the_Lion", "url": "http://www.angelfire.com/ne/pedrothelion/"} +{"d:Title": "Pedro the Lion", "d:Description": "Overview of the band from songwriter David Bazan.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pedro_the_Lion", "url": "http://www.davidbazan.com/info/pedro-the-lion/"} +{"d:Title": "Metacritic: Winners Never Quit", "d:Description": "Links to several reviews of the Pedro the Lion album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pedro_the_Lion", "url": "http://www.metacritic.com/music/winners-never-quit/pedro-the-lion"} +{"d:Title": "Phoenix New Times: That's Just Peechee", "d:Description": "Article by Brendan Kelley with short interview with Molly.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peechees,_The", "url": "http://www.phoenixnewtimes.com/1996-11-14/music/that-s-just-peechee/"} +{"d:Title": "Jock Rock Band Guide", "d:Description": "Short Feature.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peeps_into_Fairyland", "url": "http://www.vacant.org.uk/jockrock/bands/peeps.html"} +{"d:Title": "AskMen.com: Jennifer Pena", "d:Description": "Pictures, biography and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pe\u00f1a,_Jennifer", "url": "http://www.askmen.com/celebs/women/singer_200/204_jennifer_pena.html"} +{"d:Title": "The Penguin Cafe Orchestra", "d:Description": "Official site includes history, member profiles, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penguin_Cafe_Orchestra", "url": "http://www.penguincafe.com/"} +{"d:Title": "Penguin Cafe Orchestra", "d:Description": "Includes discography, review, and history, as well as a biography and tribute to Simon Jeffes.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penguin_Cafe_Orchestra", "url": "http://www.iceforce.co.uk/PCO/"} +{"d:Title": "All Music Guide: The Penguin Cafe Orchestra", "d:Description": "Brief profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penguin_Cafe_Orchestra", "url": "http://www.allmusic.com/artist/penguin-cafe-orchestra-q141355"} +{"d:Title": "Michael Penn", "d:Description": "Official site with news, mailing list, audio samples, lyrics and tour dates. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael", "url": "http://www.michaelpenn.com/"} +{"d:Title": "Bunker Hill", "d:Description": "Fan site with news, lyrics, tablatures and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael", "url": "http://onbunkerhill.com/index.html"} +{"d:Title": "Facebook: Michael Penn", "d:Description": "Artist's profile page features news, discussion, pictures and tracks for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael", "url": "http://www.facebook.com/michaelpennmusic"} +{"d:Title": "All Music Guide: Michael Penn", "d:Description": "Biography, related artists and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael", "url": "http://www.allmusic.com/artist/michael-penn-p5126"} +{"d:Title": "NPR: Singer Michael Penn Travels to \"1947\"", "d:Description": "Audio interview and live performance from WXPN's \"World Cafe.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=4753476"} +{"d:Title": "CNN: Musician finds second act -- and Second Life", "d:Description": "Interview about his involvement in the virtual world and the best-of compilation \"Palms and Runes, Tarot and Tea.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Articles_and_Interviews", "url": "http://www.cnn.com/2007/SHOWBIZ/Music/04/25/michael.penn/index.html"} +{"d:Title": "IFC: Michael Penn Knows the Score", "d:Description": "Interview about his film scores, including \"Bollywood Hero.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Articles_and_Interviews", "url": "http://www.ifc.com/fix/2009/08/michael-penn"} +{"d:Title": "Blogcritics Interview: Michael Penn", "d:Description": "Penn discusses the real-life Walter Reed and his body of work.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Articles_and_Interviews", "url": "http://blogcritics.org/interview-michael-penn/"} +{"d:Title": "Consumable: Michael Penn, Live at Southern Tracks Atlanta", "d:Description": "Review of a recording session for a radio broadcast.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Reviews", "url": "http://www.westnet.com/consumable/1997/08.07/revpenn.html"} +{"d:Title": "Stylus Magazine: \"No Myth\"", "d:Description": "Alfred Soto re-examines Penn's most famous song.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Reviews", "url": "http://www.stylusmagazine.com/articles/seconds/michael-penn-no-myth.htm"} +{"d:Title": "MusicTap: Mr. Hollywood Jr., 1947", "d:Description": "DW Dunphy's review: \"...one gets the feeling Penn is holding back, like he sees the next phase looming in the distance but is hesitant to go there.\" Rated 3.5 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Reviews/Mr._Hollywood_Jr.,_1947", "url": "http://www.musictap.net/Reviews/PennMichaelMrHollywoodCD.htm"} +{"d:Title": "PopMatters: Mr. Hollywood Jr., 1947", "d:Description": "Zeth Lundy's review: \"Still, as elegantly constructed as it may be, something's missing...\" Rated 5 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michael/Reviews/Mr._Hollywood_Jr.,_1947", "url": "http://www.popmatters.com/review/pennmichael-mrhollywood/"} +{"d:Title": "Michelle Penn", "d:Description": "Official website for the guitar pop singer/songwriter includes biography, tour dates, reviews and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Penn,_Michelle", "url": "http://www.michellepenn.com/"} +{"d:Title": "Church of Pennywise", "d:Description": "Features lyrics, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pennywise", "url": "http://www.members.tripod.com/bud-good/pennywise.html"} +{"d:Title": "Motion Magazine: Jim Pepper Legacy in Recorded Music: a Treasure Chest", "d:Description": "Article by Jim Olding including an annotated discography and interview with Native American filmmaker Sandra Osawa who directed the documentary \"Pepper's Pow Wow.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pepper,_Jim", "url": "http://www.inmotionmagazine.com/pepper.html"} +{"d:Title": "All Music Guide: Jim Pepper", "d:Description": "Includes profile, bands he played in, related artists, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pepper,_Jim", "url": "http://www.allmusic.com/artist/jim-pepper-p7312"} +{"d:Title": "The Belgian Pop&Rock Archives: Belle Perez", "d:Description": "Includes biography, discography, audio clips and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perez,_Belle", "url": "http://houbi.com/belpop/groups/perez.htm"} +{"d:Title": "Ubu Web: Pere Ubu's Avant Garage", "d:Description": "Includes discography, biography, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu", "url": "http://users.rcn.com/obo/ubu/"} +{"d:Title": "TrouserPress.com: Pere Ubu", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu", "url": "http://www.trouserpress.com/entry.php?a=pere_ubu"} +{"d:Title": "All Music Guide: Pere Ubu", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu", "url": "http://www.allmusic.com/artist/pere-ubu-p5128"} +{"d:Title": "21C: David Thomas - Interpreting Hieroglyphs", "d:Description": "Ashley Crawford's 2012 interview with the band's frontman, plus a 2000 interview originally published in Disinformation.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu", "url": "http://www.21cmagazine.com/David-Thomas-Interpreting-Hieroglyphs"} +{"d:Title": "Ubu Projex", "d:Description": "Official site includes news, calendar, band protocol, biographies, discographies, lyrics, and the Ubutique.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu", "url": "http://www.ubuprojex.com/"} +{"d:Title": "Ink 19: Pere Ubu", "d:Description": "Concert review of a date at The Echo Lounge, Atlanta, Georgia, by James Mann. \"Pere Ubu remains alone as America's most challenging rock band.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews", "url": "http://www.ink19.com/issues/october2002/eventReviews/pereUbu.html"} +{"d:Title": "EvilSponge: Pere Ubu w/ The Features", "d:Description": "Concert review of the show at The Echo Lounge, Atlanta, Georgia by PostLibyan, rated 3 out of 7 sponges.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews", "url": "http://www.evilsponge.org/concert/PereUbu__27Sept02.htm"} +{"d:Title": "Mark Prindle: Pere Ubu", "d:Description": "Reviews of most of their albums, with reader comments.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews", "url": "http://www.markprindle.com/pere.htm"} +{"d:Title": "George Staroshin: Pere Ubu", "d:Description": "Reviews of \"The Modern Dance,\" \"Ray Gun Suitcase,\" and \"Pennsylvania.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews", "url": "http://starling.rinet.ru/music/pereubu.htm"} +{"d:Title": "The Onion A.V. Club: Pere Ubu: Apocalypse Now", "d:Description": "Positive review by Joshua Klein, \"casts Pere Ubu in a light as unexpected as the group's impressive life span: unplugged rock stars.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews/Apocalypse_Now", "url": "http://www.avclub.com/review/pere-ubu-emapocalypse-nowem-21554"} +{"d:Title": "The Onion A.V. Club: Pere Ubu: Pennsylvania", "d:Description": "Review by Joshua Klein: \"Ubu's art is as unclassifiable, unpolished, and unpredictable as it ever was.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews/Pennsylvania", "url": "http://www.avclub.com/review/pere-ubu-empennsylvaniaem-21219"} +{"d:Title": "Delusions of Adequacy: Pere Ubu: St. Arkansas", "d:Description": "Positive review by Patrick; \"Pere Ubu is the most consistent band I can think of.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews/St._Arkansas", "url": "http://www.adequacy.net/2002/06/pere-ubu-st-arkansas/"} +{"d:Title": "Pitchfork: Pere Ubu: St. Arkansas", "d:Description": "Review by Jason Nickey with a rating of 8.5 of 10. \"St. Arkansas is the complex tale of a sharp-dressed trucker ... whose passion in life is the open road.\" (June 28, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews/St._Arkansas", "url": "http://pitchfork.com/reviews/albums/6230-st-arkansas/"} +{"d:Title": "The Onion A.V. Club: Pere Ubu: St Arkansas", "d:Description": "Positive review by Noel Murray: \"One of Pere Ubu's best works, displaying the kind of intelligence and imagination that gives the avant-garde a good name.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews/St._Arkansas", "url": "http://www.avclub.com/review/pere-ubu-emst-arkansasem-17288"} +{"d:Title": "Jared's Pick: Pere Ubu - Terminal Tower", "d:Description": "Album review: \"a collection of Pere Ubu's groundbreaking early singles, a perfect introduction to their fascinating sound.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pere_Ubu/Reviews/Terminal_Tower", "url": "http://www.angelfire.com/nh/jaredspick/pereubu.html"} +{"d:Title": "A Perfect Circle", "d:Description": "Official site with tour information, a gallery, music, reviews, and band merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perfect_Circle,_A", "url": "http://www.aperfectcircle.com/"} +{"d:Title": "Lyrics on Demand: A Perfect Circle", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perfect_Circle,_A", "url": "http://www.lyricsondemand.com/a/aperfectcirclelyrics/index.html"} +{"d:Title": "Perfect Tool: A Perfect Circle", "d:Description": "Fan site. Includes news, pictures, lyrics, discography, and audio/video downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perfect_Circle,_A", "url": "http://perfecttool.tripod.com/aperfectcircle/"} +{"d:Title": "A Perfect Circle Forum", "d:Description": "Discussion of music, the band, tours, and other subjects.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perfect_Circle,_A", "url": "http://forums.delphiforums.com/aperfectcircle"} +{"d:Title": "Music Frisk: A Perfect Circle", "d:Description": "Interview with drummer Josh Freese.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perfect_Circle,_A", "url": "http://www.musicfrisk.com/picture/interview/a.perfect.circle.10.21.2003.php"} +{"d:Title": "XGuitar.com: A Perfect Circle guitar tabs", "d:Description": "Guitar and bass tablatures sorted by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perfect_Circle,_A", "url": "http://www.xguitar.com/guitar-tabs/a_perfect_circle/"} +{"d:Title": "Epinions: Thirteenth Step", "d:Description": "Collection of reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perfect_Circle,_A", "url": "http://www.epinions.com/search/?search_string=musc+mu-493825"} +{"d:Title": "Rockabilly Hall of Fame : Carl Perkins", "d:Description": "Includes discography and extensive biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perkins,_Carl", "url": "http://www.rockabillyhall.com/CarlPerkins.html"} +{"d:Title": "History of Rock: Carl Perkins", "d:Description": "Features biography and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perkins,_Carl", "url": "http://www.history-of-rock.com/perkins.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Carl Perkins", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perkins,_Carl", "url": "http://rockhall.com/inductees/carl-perkins/"} +{"d:Title": "Vitamin C at ASAP", "d:Description": "Biography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perkins,_Christopher", "url": "http://www.asaprecords.net/Vitamin.html"} +{"d:Title": "Perpetual Hype Engine", "d:Description": "Fan site includes biography, photographs and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perpetual_Hype_Engine", "url": "http://onlyrnroll.tripod.com/phe/phe.htm"} +{"d:Title": "Katy Perry", "d:Description": "Official site for the American singer and songwriter. Biography, news, videos, lyrics, ringtones, pictures and a show calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perry,_Katy", "url": "http://www.katyperry.com/"} +{"d:Title": "Wikipedia: Katy Perry", "d:Description": "Biography and guide to the musician's projects.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perry,_Katy", "url": "http://en.wikipedia.org/wiki/Katy_Perry"} +{"d:Title": "MTV: Katy Perry", "d:Description": "Videos, interviews, news, pictures, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perry,_Katy", "url": "http://www.mtv.com/artists/katy-perry/"} +{"d:Title": "Linda Perry, High Priestess of Pop", "d:Description": "Interview with Jin Moon from ASCAP's Playback Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perry,_Linda", "url": "http://www.ascap.com/playback/2003/fall/perry.html"} +{"d:Title": "Steve Perry Portfolio", "d:Description": "Tribute site with pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perry,_Steve", "url": "http://www.stormpages.com/tedispicer/"} +{"d:Title": "Unofficial Steve Perry Fan Club", "d:Description": "Biography, discography, news, photographs, press library, voting polls, and clubhouse.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Perry,_Steve", "url": "http://steveperryfanclub.homestead.com/"} +{"d:Title": "Ultimate Doro Clan", "d:Description": "Official fan club. Offers news, discography, videography, tour dates, pictures, lyrics, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pesch,_Doro", "url": "http://www.doro.de/"} +{"d:Title": "Doro Pesch", "d:Description": "Official site includes tour dates, links, news, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pesch,_Doro", "url": "http://www.doropesch.com/"} +{"d:Title": "Metal-Elf's Doro Pages", "d:Description": "Fan site with news, tour information, video clips, picture gallery, concert reviews, and media clippings.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pesch,_Doro", "url": "http://www.metalelf.de/doro.htm"} +{"d:Title": "DoroKult", "d:Description": "Fan site with news, tour dates, images, discography, biography and puzzles. [English and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pesch,_Doro", "url": "http://www.dorokult.de/"} +{"d:Title": "Peter, Paul and Mary", "d:Description": "Official site for the folk trio includes lyrics and chords, discography, tour information, pictures, biographies, news and downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peter,_Paul_and_Mary", "url": "http://www.peterpaulandmary.com/"} +{"d:Title": "ClassicBands.com: Peter, Paul and Mary", "d:Description": "Biography and pictures of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peter,_Paul_and_Mary", "url": "http://www.classicbands.com/ppm.html"} +{"d:Title": "Three&A Half Decades of Peter, Paul&Mary", "d:Description": "An interview by Tina Alvarez following the \"PPM&(Lifelines)\" tour.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peter,_Paul_and_Mary", "url": "http://emol.org/music/tina/peterpaulmary.html"} +{"d:Title": "Peter Paul And Mary Tabs", "d:Description": "Guitar tabs for some of their well known songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peter,_Paul_and_Mary", "url": "http://www.guitaretab.com/p/peter-paul-and-mary/"} +{"d:Title": "The Alarm", "d:Description": "Official site includes news, pictures, history, discography and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peters,_Mike", "url": "http://www.thealarm.com/"} +{"d:Title": "The Official Peter and Gordon Web Site", "d:Description": "Includes biography, discography and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peter_and_Gordon", "url": "http://www.peterandgordon.net/"} +{"d:Title": "Petland", "d:Description": "News, biographies, lyrics, pictures and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petland", "url": "http://www.gopetland.com/"} +{"d:Title": "Hybrid Magazine: Emerge", "d:Description": "Ewan Wadharmi's review of the album: \"If one is 'Curse Of The Pink Panther', and ten is 'A Shot In The Dark'; 'Emerge' rates an eight, the original 'Pink Panther'.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petroleum", "url": "http://www.hybridmagazine.com/reviews/1101/petroleum.shtml"} +{"d:Title": "The Official John Petrucci Web Site", "d:Description": "Includes news, biography, equipment details, merchandise, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petrucci,_John", "url": "http://www.johnpetrucci.com/"} +{"d:Title": "Tom Petty and the Heartbreakers", "d:Description": "Official site includes tour dates, discography, press clippings, chat room, video and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://www.tompetty.com/"} +{"d:Title": "The Wild Blue Gator", "d:Description": "Fan site about Heartbreakers Mike Campbell and Benmont Tench includes photographs, RealAudio, biographies, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://www.wildbluegator.com/"} +{"d:Title": "UnRated Magazine: Tom Petty and the Hearbreakers", "d:Description": "Review of the group's April 13, 2003, show at the Vic Theater in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=82"} +{"d:Title": "Oldie Lyrics: Tom Petty and the Heartbreakers", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://www.oldielyrics.com/t/tom_petty_the_heartbreakers.html"} +{"d:Title": "ArtistDirect: Tom Petty", "d:Description": "Biography, photograph, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://www.artistdirect.com/artist/tom-petty/478975"} +{"d:Title": "RollingStone.com: Tom Petty", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://www.rollingstone.com/music/artists/tom-petty"} +{"d:Title": "Rock and Roll Hall of Fame: Tom Petty and the Heartbreakers", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://rockhall.com/inductees/tom-petty-and-the-heartbreakers/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Tom Petty&The Heartbreakers", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://sfloman.com/tompetty.html"} +{"d:Title": "MTV: Tom Petty", "d:Description": "News, biography, musical influences, audio clips, photos, music videos, bulletin boards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom", "url": "http://www.mtv.com/artists/tom-petty/"} +{"d:Title": "Breakdown", "d:Description": "Arizona-based tribute; includes pictures, biography, audio and video samples, and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom/Tribute_Bands", "url": "http://www.tompettytribute.com/"} +{"d:Title": "The Refugees", "d:Description": "San Francisco Bay area tribute band. News, gigs, audio, pictures and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom/Tribute_Bands", "url": "http://www.refugeesrock.com/"} +{"d:Title": "Petty Theft", "d:Description": "San Francisco Bay Area tribute band. Biography, show schedule, videos, latest photos, music and promotional materials.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Petty,_Tom/Tribute_Bands", "url": "http://www.pettytheftrocks.com/"} +{"d:Title": "At Dead of Night", "d:Description": "Includes news, lyrics, song commentaries, discography, pictures, MIDI, sheet music, chords and newsletter. [English and Czech.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://psb-atdeadofnight.net/"} +{"d:Title": "Absolutely Pet Shop Boys", "d:Description": "An unofficial web site containing general information, reviews, discography, interviews, magazine articles, news and gossip.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.petshopboys.net/"} +{"d:Title": "Enthusiasm Online", "d:Description": "Official site of Pet Shop Boys Club Austria, with biography, news, photos, tour dates and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://mdp.at/psb/"} +{"d:Title": "PetShopBoys.co.uk", "d:Description": "The official PSB provides all the latest news and sound samples. The site also gives you the opportunity to ask questions to the Pet Shop Boys.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.petshopboys.co.uk/"} +{"d:Title": "10 Years of Being Boring.", "d:Description": "Fan site includes band history, discography, videography, trivia, photos, and video stills, articles/interviews/reviews, and quotes. Downloads include: sheet music, tablatures, lyrics, wallpaper and fonts.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.10yearsofbeingboring.com/"} +{"d:Title": "Pet Shop Boys Online", "d:Description": "Fan site with news, tour dates, album reviews, chat, forum, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.petshopboys-online.com/"} +{"d:Title": "So Pet Shop Boys", "d:Description": "Forum, chat, UK discography, quotes, pictures, competitions, news, timeline, interview, fan's collection, Spaghetti studio information, and wallpaper download.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.sopetshopboys.co.uk/"} +{"d:Title": "Yahoo! Groups: Neil Tennant", "d:Description": "Includes message archives, chat and membership instructions.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://groups.yahoo.com/group/neiltennant/"} +{"d:Title": "Pet Shop Boys Commentary", "d:Description": "Song-by-song analysis of the group's works.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.geowayne.com/psbhtml.htm"} +{"d:Title": "Oldie Lyrics: Pet Shop Boys", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.oldielyrics.com/p/pet_shop_boys.html"} +{"d:Title": "Pet Shop Boys: Covers&Quotations", "d:Description": "More than 1500 pictures of CD and record covers, with estimated prices in UK pounds.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.psbcq.it/"} +{"d:Title": "The Pet Shop Boys Museum", "d:Description": "Wallpapers inspired by the group.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://jcrz.free.fr/musee/psb/"} +{"d:Title": "Artist Direct: Pet Shop Boys", "d:Description": "Includes photographs, biography, links, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.artistdirect.com/artist/pet-shop-boys/478722"} +{"d:Title": "Chaos Control: Pet Shop Boys", "d:Description": "Neil Tennant talks about the ill-fated WOTAPALAVA tour featuring gay artists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.chaoscontrol.com/pet-shop-boys/"} +{"d:Title": "Sketch Shop Boys", "d:Description": "Fan's cartoon sketches of the duo.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.sketchshopboys.com/"} +{"d:Title": "Lazlo's Discography Machine: Pet Shop Boys", "d:Description": "Includes discography, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.studio-nibble.com/lazlo-bin/discogs/psb"} +{"d:Title": "MTV: Pet Shop Boys", "d:Description": "Includes album reviews, news, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://www.mtv.com/artists/PET-SHOP-BOYS"} +{"d:Title": "Pet Shop Boys Technology", "d:Description": "Profiles, discography, and pictures. [English and Czech.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pet_Shop_Boys", "url": "http://pet-shop-boys.eu/"} +{"d:Title": "Madeleine Peyroux", "d:Description": "The official site of the French-American singer, with biography, photographs, news and media.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peyroux,_Madeleine", "url": "http://www.madeleinepeyroux.com/"} +{"d:Title": "Always on the Run: Madeleine Peyroux", "d:Description": "Biography, photograph and lyrics of her songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peyroux,_Madeleine", "url": "http://www.alwaysontherun.net/madeleine.htm"} +{"d:Title": "Madeleine Peyroux", "d:Description": "Fan site for the singer with news, reviews, biography, discography, photographs and discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Peyroux,_Madeleine", "url": "http://www.madeleinepeyroux.org/"} +{"d:Title": "Angels Fallen", "d:Description": "Biography, pictures and press clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://members.tripod.com/~KillYrBF/snova.html"} +{"d:Title": "Matador Records: Liz Phair", "d:Description": "Site from Phair's former label includes tour dates, photographs and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.matadorrecords.com/liz_phair/"} +{"d:Title": "Cool, Tall, Vulnerable and Luscious", "d:Description": "Lyrics, photographs, information, news, message board, Winamp skins, and MP3.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.saylerfamily.com/jas/"} +{"d:Title": "AskMen.com: Liz Phair", "d:Description": "Interview with biography, quotes and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.askmen.com/toys/interview_100/107_liz_phair_interview.html"} +{"d:Title": "ArtistDirect: Liz Phair", "d:Description": "Photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.artistdirect.com/artist/liz-phair/479036"} +{"d:Title": "All Music Guide: Liz Phair", "d:Description": "References to discography, biography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.allmusic.com/artist/liz-phair-p40921"} +{"d:Title": "RollingStone.com: Liz Phair", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.rollingstone.com/music/artists/liz-phair"} +{"d:Title": "AskMen.com: Liz Phair", "d:Description": "Includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.askmen.com/celebs/women/singer_200/201_liz_phair.html"} +{"d:Title": "Mesmerizing: Another Liz Phair Website", "d:Description": "A collection of pictures, discography, information on her music and life, and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.mesmerizingtoo.com/"} +{"d:Title": "MTV.com: Liz Phair", "d:Description": "News, album reviews, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz", "url": "http://www.mtv.com/artists/liz-phair/"} +{"d:Title": "Glorious Noise: Exile in Hitsville xxoo Liz Phair", "d:Description": "Essay analyzing Phair's comments on her upcoming fourth album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Articles_and_Interviews", "url": "http://www.gloriousnoise.com/arch/000746.php"} +{"d:Title": "Entertainment Ave: Liz Phair", "d:Description": "Review of a concert at The Vic in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/p/liz_phair/lp102598.htm"} +{"d:Title": "LA Weekly: Neoconservative", "d:Description": "Article about \"Whitechocolatespaceegg\" and how Phair's music and life changed after her debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Articles_and_Interviews", "url": "http://www.laweekly.com/1998-08-27/music/neoconservative/"} +{"d:Title": "Billboard: \"Phair Play: Liz Indulges in the Major Label Game\"", "d:Description": "Article on Phair's self-titled fourth album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Articles_and_Interviews", "url": "http://www.billboard.com/#/news/phair-play-liz-indulges-in-the-major-label-1933414.story"} +{"d:Title": "Billboard: Liz Phair Ready to Rock", "d:Description": "Preview of Phair's new album based on her performance at South by Southwest.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Articles_and_Interviews", "url": "http://www.billboard.com/#/news/liz-phair-ready-to-rock-1838745.story"} +{"d:Title": "Trouser Press: Liz Phair", "d:Description": "Analyses of \"Exile in Guyville,\" \"Whip-Smart\" and the \"Juvenilia\" EP.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Reviews", "url": "http://trouserpress.com/entry.php?a=liz_phair"} +{"d:Title": "Music-Critic.com: Liz Phair - Liz Phair", "d:Description": "Bill Aicher's review: \"the album as a whole has a musically vapid, cookie cutter pop sound.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Reviews/Liz_Phair", "url": "http://www.music-critic.com/rock/phairliz_lizphair.htm"} +{"d:Title": "PopEntertainment.com: Liz Phair - Liz Phair", "d:Description": "Jay S. Jacobs' review: \"every bit as deep and touching as Phair's previous work\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Reviews/Liz_Phair", "url": "http://www.popentertainment.com/phair.htm"} +{"d:Title": "Music-Critic.com: Liz Phair - Whitechocolatespaceegg", "d:Description": "Bill Aicher's review: \"more mature than her earlier works, but not too much that she has lost the Phair flair.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Reviews/Whitechocolatespaceegg", "url": "http://www.music-critic.com/rock/phairliz_whitechocolatespaceegg.htm"} +{"d:Title": "Salon Entertainment: The Original Regular", "d:Description": "Cynthia Joyce's review: \"What ultimately makes 'Whitechocolatespaceegg' compelling is not clever song craft or cunning lyrics, but the very adultlike air of acceptance that pervades the album.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phair,_Liz/Reviews/Whitechocolatespaceegg", "url": "http://www.salon.com/1998/08/21/21feature/"} +{"d:Title": "Phantom Planet Is Cool", "d:Description": "Lyrics, MP3 files, images, biographies, tour dates, video clips, wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phantom_Planet", "url": "http://www.angelfire.com/ca5/phantomplanet/"} +{"d:Title": "Fine On My Own", "d:Description": "Recent news, pictures, links, strange interviews, and a section called \"What the hell?\" where visitors can come up with ideas about what Jacques is thinking/saying in a picture.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phantom_Planet", "url": "http://fineonmyown.tripod.com/"} +{"d:Title": "Phantom Planet Strikes Back", "d:Description": "Star Wars themed site with news, interviews, pictures, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phantom_Planet", "url": "http://www.angelfire.com/pq/phantomplanet/"} +{"d:Title": "The Sleep Machine", "d:Description": "Member profiles, RealAudio files, lyrics, images, concert reviews, links, and fan creations.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phantom_Planet", "url": "http://members.tripod.com/sleepmachine/"} +{"d:Title": "The Phantom Planet Shrine", "d:Description": "Lyrics, images, band information, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phantom_Planet", "url": "http://members.tripod.com/Phantom_Planet/"} +{"d:Title": "Woohoo Phantom Planet Yeah", "d:Description": "Member profiles, band history, images, interviews, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phantom_Planet", "url": "http://www.angelfire.com/la/whataload/phantomplanet/main.html"} +{"d:Title": "The Jacques Brautbar Fanclub", "d:Description": "Club member profiles and information, images, surveys and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phantom_Planet/Brautbar,_Jacques", "url": "http://www.angelfire.com/theforce/leia/jacques.html"} +{"d:Title": "ThePharcyde.com", "d:Description": "Official site includes photographs, merchandise, soundclips, and current activities.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pharcyde", "url": "http://www.thepharcyde.com/"} +{"d:Title": "Metacritic: Plain Rap", "d:Description": "Links to several reviews of the Pharcyde album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pharcyde", "url": "http://www.metacritic.com/music/artists/pharcyde/plainrap"} +{"d:Title": "Phatfish", "d:Description": "Official site includes news, gig information and MP3 sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phatfish", "url": "http://www.phatfish.net/"} +{"d:Title": "Phatfish Artist Profile", "d:Description": "Includes artist profile, news and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phatfish", "url": "http://www.crossrhythms.co.uk/artists/Phatfish/7077/"} +{"d:Title": "Glen Phillips", "d:Description": "Official site of former Toad the Wet Sprocket singer/songwriter. Features diary, show dates, sample music and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phillips,_Glen", "url": "http://glenphillips.com/"} +{"d:Title": "Victim: Glen Phillips Fans", "d:Description": "Mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phillips,_Glen", "url": "http://groups.yahoo.com/group/victim/"} +{"d:Title": "Grant-Lee Phillips", "d:Description": "Official site includes autobiography, news, mailing list and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phillips,_Grant-Lee", "url": "http://www.grantleephillips.com/"} +{"d:Title": "Homespun: The Unofficial Grant Lee Buffalo/Grant Lee Phillips Archive", "d:Description": "Fan site with discography, lyrics, tablature, audio downloads and streams, and Phillips' comments on his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phillips,_Grant-Lee", "url": "http://www.homespunarchive.com/"} +{"d:Title": "Sam Phillips", "d:Description": "Official site with tour dates, biography, news, message board and Long Play subscription program.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phillips,_Sam", "url": "http://samphillips.com/"} +{"d:Title": "Salon.com: \"The Ghost of Pop\"", "d:Description": "Interview about Sam's career, influences and reaction to current Christian music.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phillips,_Sam", "url": "http://www.salon.com/2002/06/12/phillips_5/"} +{"d:Title": "George Graham Reviews Sam Phillips' \"Fan Dance\"", "d:Description": "RealAudio and script for a radio review of her recent album, with a recap of her career.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phillips,_Sam", "url": "http://georgegraham.net/reviews/samphil.html"} +{"d:Title": "The Philosopher Kings", "d:Description": "Official site includes sound files, biography, news, tour dates, photos and buying information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Philosopher_Kings,_The", "url": "https://myspace.com/philosopherkings"} +{"d:Title": "The Phish.Net", "d:Description": "Fan community includes background and taping information, FAQs, tour dates and events, discussions, sounds, and a setlist archive.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.phish.net/"} +{"d:Title": "The Official Phish Web Site", "d:Description": "News, merchandise, tour dates, band information, list of official releases, Web audio and taping policies, and \"This Month in Phish History\" feature.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.phish.com/"} +{"d:Title": "The International House of ZZYZX: Phish Stats", "d:Description": "Information on songs played live by the band, including raw totals, year-by-year lists, pattern matcher and personal statistics generator.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.ihoz.com/PhishStats.html"} +{"d:Title": "LyricsFreak: Phish", "d:Description": "Lyrics sorted by title and album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.lyricsfreak.com/p/phish/"} +{"d:Title": "The Mockingbird Foundation", "d:Description": "Fan-created charity organization's site includes book and cover album information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.mockingbirdfoundation.org/"} +{"d:Title": "Phish News: Topix", "d:Description": "News about Phish continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.topix.com/who/phish"} +{"d:Title": "RollingStone.com: Phish", "d:Description": "Includes biography, recordings, pictures, articles, audio/video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.rollingstone.com/music/artists/phish"} +{"d:Title": "MTV: Phish", "d:Description": "News, biography, musical influences, audio clips, photos, music videos, bulletin boards, links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish", "url": "http://www.mtv.com/artists/phish/"} +{"d:Title": "CNN.com: \"Trey Anastasio Makes a Splash\"", "d:Description": "Interview on Anastasio's self-titled album and touring as a solo artist.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Anastasio,_Trey", "url": "http://www-cgi.cnn.com/2002/SHOWBIZ/Music/09/27/motr.02.Anastasio/"} +{"d:Title": "All Music Guide: Trey Anastasio", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Anastasio,_Trey", "url": "http://www.allmusic.com/artist/trey-anastasio-p51703"} +{"d:Title": "The Onion AV Club: Phish", "d:Description": "Singer/guitarist Trey Anastasio talks about hippies, Napster, and the album \"Farmhouse.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Articles_and_Interviews", "url": "http://www.avclub.com/articles/phish,13655/"} +{"d:Title": "Andy Gadiel's Phish Page", "d:Description": "Includes news, tour information, message board, chat, rumors and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.gadiel.com/phish/"} +{"d:Title": "Phans.com", "d:Description": "Includes set lists, lyrics, pictures, tabs, chords, reviews, links, news, message board, chat, tour dates, rumors, tape lists, j-cards, tape covers, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.phans.com/"} +{"d:Title": "The Phunky Bitches", "d:Description": "Phish women's group (though men are welcome). Member pages, columns, tour information, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://phunky.com/"} +{"d:Title": "Brett Boardman's Page of Phunk", "d:Description": "Fan's trading list and setlist game.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.angelfire.com/ri/funkdown1257/"} +{"d:Title": "Jim's Phish Page", "d:Description": "Setlists, news, albums, tapelist and history.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.angelfire.com/wy/meatkills/phish.html"} +{"d:Title": "Just Another Phish Page", "d:Description": "Tape list, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.angelfire.com/vt/phree/index.html"} +{"d:Title": "Phriendly's Phish Page", "d:Description": "Links, message board, chat, tapetrading, discography, poetry, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.angelfire.com/ny3/phriendlyphish/index.html"} +{"d:Title": "BrianRobert.com", "d:Description": "Community for gay and lesbian Phish fans includes profiles and discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.brianrobert.com/"} +{"d:Title": "Mickey's Phishbowl", "d:Description": "News, pictures, discussion and complete live shows.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://www.phishvt.com/"} +{"d:Title": "The European Phishheads Homepage", "d:Description": "Tour dates, venues, reviews, pictures and video tree information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Fan_Pages", "url": "http://users.telenet.be/steve/"} +{"d:Title": "Andy's Slow Funk Phish Graphics", "d:Description": "Web graphics and 1999 Big Cypress pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Image_Galleries", "url": "http://www.angelfire.com/jazz/slowphunk/"} +{"d:Title": "Guyutee", "d:Description": "Documents Phish's following from a collection of tours.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Image_Galleries", "url": "http://members.tripod.com/guyutee/"} +{"d:Title": "etree.org", "d:Description": "Etree.org is a community committed to providing the highest quality live concerts in a lossless, downloadable format from bands including Phish, The Grateful Dead, String Cheese Incident, The Slip, Medeski, Martin and Wood, Umphrey's McGee, The Big Wu, Amphibian and The New Deal.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://www.etree.org/"} +{"d:Title": "Dave's trading page", "d:Description": "Live CDR's of Phish, GD, and SCI.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://www.angelfire.com/ny5/chutz99/"} +{"d:Title": "LivePhish.org", "d:Description": "The complete online Phish trading community.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://livephish.org/"} +{"d:Title": "Mo Trades 4 US", "d:Description": "Nice Phish list, with a few others, and wishlist.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://www.angelfire.com/mo/phish4u/tapes.html"} +{"d:Title": "Kevin Schuetz's tapelist.", "d:Description": "List of Phish, Ominous Seapods, solo Trey, moe. and other live tapes and CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://www.angelfire.com/ak3/FlipperBabies/"} +{"d:Title": "Phish Tape and CD-R Trading", "d:Description": "Contains details of Phish tapes and CD-R's.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://angelfire.com/music/phish5"} +{"d:Title": "Mark's Tape List", "d:Description": "Fan's list of shows and sets for trade.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://members.tripod.com/mglix/index.htm"} +{"d:Title": "Mike's CD-R List", "d:Description": "Includes links to setlist information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://www.angelfire.com/pa2/phish/"} +{"d:Title": "The Pharm", "d:Description": "Fan's tape list and e-tree information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Trading", "url": "http://www.angelfire.com/co/harpua/"} +{"d:Title": "Phix", "d:Description": "Colorado based Phish tribute. Includes tour dates, song list, member profiles, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Tribute_Bands", "url": "http://www.phixband.com/"} +{"d:Title": "Flow, The", "d:Description": "Musical group covering Phish tunes, from Long Island, New York.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phish/Tribute_Bands", "url": "http://flowphish.tripod.com/"} +{"d:Title": "Phoenix", "d:Description": "Astralwerks Records site includes biography, news, sound and video clips and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Phoenix", "url": "http://www.astralwerks.com/artist/phoenix/"} +{"d:Title": "Piano Magic", "d:Description": "Official site. Includes: band information, discography, interviews with band members, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piano_Magic", "url": "http://www.piano-magic.co.uk/"} +{"d:Title": "Leonard's Lair: The Troubled Sleep of Piano Magic", "d:Description": "Album review: \"Very few bands have mastered the art of leaden-paced laments in such an articulate and emotionally expressive way\".", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piano_Magic", "url": "http://www.leonardslair.co.uk/troubled.htm"} +{"d:Title": "All Music Guide: Piano Magic", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piano_Magic", "url": "http://www.allmusic.com/artist/piano-magic-p276002"} +{"d:Title": "4AD: Piano Magic", "d:Description": "News, biography, and label discography; from their recent record label.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piano_Magic", "url": "http://www.4ad.com/artists/pianomagic"} +{"d:Title": "Piazzolla.org", "d:Description": "Archive of information including discography, interviews, RealAudio and RealVideo clips, FAQ and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piazzolla,_Astor", "url": "http://www.piazzolla.org/"} +{"d:Title": "RootsWorld - Remembrance of Astor Piazzolla", "d:Description": "A brief appreciation and record reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piazzolla,_Astor", "url": "http://www.rootsworld.com/rw/feature/astor.html"} +{"d:Title": "Cosmopolis: Astor Piazzolla", "d:Description": "Biography and brief reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piazzolla,_Astor", "url": "http://www.cosmopolis.ch/english/cosmo5/piazzolla.htm"} +{"d:Title": "ArtistDirect: Wilson Pickett", "d:Description": "Biography, links, tour dates, audio, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pickett,_Wilson", "url": "http://www.artistdirect.com/artist/wilson-pickett/479401"} +{"d:Title": "Rock and Roll Hall of Fame: Wilson Pickett", "d:Description": "Includes biography, timeline of his life, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pickett,_Wilson", "url": "http://rockhall.com/inductees/wilson-pickett/"} +{"d:Title": "Piebald", "d:Description": "Official site. Includes band profiles, discography, photographs, lyrics, tour dates, MP3 downloads, forum, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piebald", "url": "http://www.piebald.com/"} +{"d:Title": "All Music Guide: Piebald", "d:Description": "Discography, reviews, biography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Piebald", "url": "http://www.allmusic.com/artist/piebald-p311864"} +{"d:Title": "Yahoo Groups: The Pietasters", "d:Description": "Fan group for fans of the band and ska in general.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pietasters,_The", "url": "http://groups.yahoo.com/group/The_Pietasters"} +{"d:Title": "The Pietasters", "d:Description": "Official site for the band includes news, biography, pictures, lyrics, tablature and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pietasters,_The", "url": "http://www.thepietasters.com/"} +{"d:Title": "Onion AV Club", "d:Description": "Interview with Martin Atkins on Invisible Records and planting trees for Bon Jovi drummer, Tico Torres.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pigface", "url": "http://www.avclub.com/articles/martin-atkins,13507/"} +{"d:Title": "Pillar Pipeline", "d:Description": "Fan site. News, information, biographies, photographs, video downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pillar", "url": "http://hppyslmdnc.tripod.com/"} +{"d:Title": "Pillar Fans", "d:Description": "Yahoo! club includes message archives, pictures, chat and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pillar", "url": "http://groups.yahoo.com/group/pillarfans/"} +{"d:Title": "Pillar", "d:Description": "Official site. Tour dates, news, photographs, band facts and profiles, street team, message board, and merchandise. Downloads include audio/video clips, wallpaper, lyrics and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pillar", "url": "http://www.pillartour.com/"} +{"d:Title": "Pinback", "d:Description": "Official site includes MP3s, show dates, contact information and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pinback", "url": "http://www.pinback.com/"} +{"d:Title": "blue screen life", "d:Description": "A Japanese fan page for Pinback.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pinback", "url": "http://pinback.s9.xrea.com/"} +{"d:Title": "Nabou: Pink", "d:Description": "Photos and wallpapers. Also includes profile, biography, discography, lyrics, videos and community fan chat.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.nabou.com/celebrities/pink/"} +{"d:Title": "Pink", "d:Description": "Official site; includes online journal, photos, audio and video clips, latest news, biography, and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.pinkspage.com/"} +{"d:Title": "Unrated Magazine: Pink", "d:Description": "Favorable review of a July 2002 performance supporting Lenny Kravitz in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=24"} +{"d:Title": "The official Pink forums", "d:Description": "Discuss American pop artist Pink.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://pink.shread.net/forum/"} +{"d:Title": "Pink News: Topix", "d:Description": "News about Pink continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.topix.com/who/pink"} +{"d:Title": "Lindzi.com: Pink Interview", "d:Description": "The singer discusses having a split personality, her take on life, and the single \"There You Go.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.lindzi.com/interviews/pink.htm"} +{"d:Title": "All Music Guide: Pink", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.allmusic.com/artist/pnk-p365124"} +{"d:Title": "Ask Men: Pink", "d:Description": "Pictures, biography, and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.askmen.com/celebs/women/singer/58_pink.html"} +{"d:Title": "MTV: Pink", "d:Description": "Features music video clips, exclusive online interviews and live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink", "url": "http://www.mtv.com/artists/pink/"} +{"d:Title": "Can't Take Me Home: Pink", "d:Description": "Fan site. Includes biography, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink/Fan_Pages", "url": "http://canttakemehome.fanspace.com/frames.html"} +{"d:Title": "Here She Comes", "d:Description": "Fan site includes biography, news, tour dates, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink/Fan_Pages", "url": "http://www.angelfire.com/rnb/Pink/"} +{"d:Title": "Pink's Fan Club", "d:Description": "Unofficial fan club. Includes letter from the artist, articles, interviews, joining information, appearances, photo gallery, biography, discography, lyrics, audio, and opinion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink/Fan_Pages", "url": "http://pinksfanclub.faithweb.com/"} +{"d:Title": "PinksDaBomb", "d:Description": "Includes profile, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink/Fan_Pages", "url": "http://pinksdabomb.tripod.com/"} +{"d:Title": "Everything Pink", "d:Description": "Profile, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink/Fan_Pages", "url": "http://cleopatratombraider.tripod.com/"} +{"d:Title": "Floydian Slip", "d:Description": "Syndicated Pink Floyd radio show available for barter to classic rock stations. Hosted by Craig Bailey.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://www.floydianslip.com/"} +{"d:Title": "Neptune Pink Floyd", "d:Description": "Includes news, articles, biographies, album information, tablature, audio/video/MIDI files, photos, flash movies, themes, fonts, browser skins and phone melodies.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://www.neptunepinkfloyd.co.uk/"} +{"d:Title": "Pink Floyd HyperBase", "d:Description": "Hypertext guide with lyrics and detailed information on every band and solo album, video, and other related material.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://pinkfloydhyperbase.dk/"} +{"d:Title": "The Pink Floyd Fan Club", "d:Description": "Contains interviews, articles, news, Echoes FAQ 4.0 and recommended links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://www.pink-floyd.org/"} +{"d:Title": "Wikipedia: Pink Floyd", "d:Description": "Article featuring the history of the band and overviews of their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://en.wikipedia.org/wiki/Pink_Floyd"} +{"d:Title": "The Pink Floyd Concert Database", "d:Description": "Listing of live performances, show recordings and incidental details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://www.pf-db.com/"} +{"d:Title": "The Pink Floyd Archives", "d:Description": "Archive documenting the history of the band. Includes discographies by country, concert dates, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://pinkfloydarchives.com/"} +{"d:Title": "Pink Floyd Art Gallery", "d:Description": "An extensive photo gallery of Floyd art pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://pinkfloydartgallery.com/"} +{"d:Title": "Pink Floyd", "d:Description": "Features audio samples and evocative pictures related to the band's albums. [Requires Flash.]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd", "url": "http://www.pinkfloyd.com/"} +{"d:Title": "Allusions to Classical Chinese Poetry in Pink Floyd", "d:Description": "Information on poems referenced in the band's songs, including texts in English and Chinese.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Articles_and_Interviews", "url": "http://cjvlang.com/Pfloyd/"} +{"d:Title": "Pink Floyd / Psychology / Enneagram", "d:Description": "Describes the Band members psychology (the Enneagram).", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Articles_and_Interviews", "url": "http://www.members.tele2.nl/puk253/"} +{"d:Title": "Rock and Roll Hall of Fame: Pink Floyd", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Articles_and_Interviews", "url": "http://rockhall.com/inductees/pink-floyd/"} +{"d:Title": "Seventh Realm reviews Pink Floyd", "d:Description": "The Trendy Album Review of Pink Floyd.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Articles_and_Interviews", "url": "http://www.angelfire.com/planet/fallenempiretrendy/floyd.html"} +{"d:Title": "MTV: Pink Floyd", "d:Description": "Includes album reviews, news, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Articles_and_Interviews", "url": "http://www.mtv.com/artists/PINK-FLOYD"} +{"d:Title": "The Echoes list web page", "d:Description": "Web home of the well-known historical \"echoes\" mailing list, related to Floyd.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Chats_and_Forums", "url": "http://www.meddle.org/"} +{"d:Title": "Pink Floyd Fan Club", "d:Description": "A forum where people are invited to talk about the progressive rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Chats_and_Forums", "url": "http://s7.invisionfree.com/pinkfloyd/"} +{"d:Title": "NPF forum", "d:Description": "A large Pink Floyd fan forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Chats_and_Forums", "url": "http://forum.neptunepinkfloyd.co.uk/"} +{"d:Title": "Pink Floyd Links", "d:Description": "About 400 Pink Floyd Links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Directories", "url": "http://www.jam.ca/bokomaru/floyd.html"} +{"d:Title": "Another Link on the Wall", "d:Description": "Categorized list of links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Directories", "url": "http://www.pink-floyd.com/"} +{"d:Title": "Gav's Pink Floyd CD ScaNZ", "d:Description": "A Pink Floyd CD reference page showing the CD label art for different releases.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Discography", "url": "http://www.pinkfloydcd.com/"} +{"d:Title": "Pink Floyd RoIO Database", "d:Description": "Index of unofficial/bootleg recordings of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Discography", "url": "http://www.pf-roio.de/"} +{"d:Title": "The Mr.Pinky Discography", "d:Description": "A complete data-base of all the Pink Floyd official LPs pressings.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Discography", "url": "http://digilander.libero.it/mrpinky"} +{"d:Title": "Pink Floyd CD Discography", "d:Description": "A detailed Pink Floyd and solo CD-Discography from Europe, USA, Japan, Canada and Australia. Every issue with cover and label photo and catalog numbers.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Discography", "url": "http://www.pink-floyd.org/discography/"} +{"d:Title": "The Pink Floyd Vinyl Bootleg Guide", "d:Description": "A guide to collecting Pink Floyd vinyl bootlegs with hundreds of pages and pictures, including a history of bootleg labels.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Discography", "url": "http://floydboots.com/"} +{"d:Title": "Free Download Center: Pink Floyd Screen Saver", "d:Description": "Offers a PC screensaver with background tracks and pictures of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Downloads", "url": "http://www.freedownloadscenter.com/Shell_and_Desktop/Music_Screen_Savers/Pink_Floyd.html"} +{"d:Title": "The International Echoes Hub", "d:Description": "Live lossless music of Pink Floyd and solo works of each member.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Downloads", "url": "http://www.echoeshub.com/"} +{"d:Title": "In Floyd We Trust", "d:Description": "Registration site for the \"In Floyd We Trust\" hub.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Downloads", "url": "http://www.infloydwetrust.com/"} +{"d:Title": "Pink Floyd", "d:Description": "Pink Floyd MIDI Files Collection (Listed by Date and Album).", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Downloads/MIDI_Files", "url": "http://members.hellug.gr/vyruss/PinkFloyd/"} +{"d:Title": "The Kubrick-Floyd Site", "d:Description": "Draws a cultural parallel between the music of Floyd and the films of Kubrick.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.angelfire.com/co/1x137/home.html"} +{"d:Title": "Brain Damage Online", "d:Description": "Related to the magazine, contains news, tour information, articles, interviews, images and a mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.brain-damage.co.uk/"} +{"d:Title": "The Pink Floyd Fandom", "d:Description": "General band news including tour information, albums, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.pinkfloyd.net/"} +{"d:Title": "Chapter 24", "d:Description": "History, pictures, sounds, faq, game, guitar, chat and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://home.nvg.org/~oyvind/chapter24/"} +{"d:Title": "A Fleeting Glimpse", "d:Description": "Pink Floyd news that includes interviews, concert pictures, exhibitions and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.pinkfloydz.com/"} +{"d:Title": "Pink Floyd Sweden - In Floyd We Trust", "d:Description": "Swedish news about Pink Floyd. Links, photos, concert-tickets collection and lots of other stuff.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.pinkfloydsweden.com/"} +{"d:Title": "Pink Floyd Style", "d:Description": "Biography, discography, images, arts and an attention for spirit, mystery and style of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.pinkfloydstyle.com/homepageinglese.htm"} +{"d:Title": "All Floyd Live", "d:Description": "Roio and classifieds for Pink Floyd fans.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.allfloydlive.com/"} +{"d:Title": "Pink Floyd Infromation", "d:Description": "Old but still updated Pink Floyd Information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages", "url": "http://www.angelfire.com/band/waitingfortheworms"} +{"d:Title": "Publius Enigma: The Final Message", "d:Description": "Intended purpose and designed solution to the concept.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages/Publius_Enigma", "url": "http://www.angelfire.com/co/1x137/enigma.html"} +{"d:Title": "Pink Floyd and the Publius Enigma", "d:Description": "Includes history, analyses, interviews, conclusion, screen saver, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages/Publius_Enigma", "url": "http://folk.uio.no/ericsp/"} +{"d:Title": "Publius Enigma Solvere", "d:Description": "Discussion forum dedicated to solving the Publius Enigma.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Fan_Pages/Publius_Enigma", "url": "http://lrtc.proboards.com/"} +{"d:Title": "~Lost-In-Floyd~ Artworks", "d:Description": "Pink Floyd Art Work and History with a Discography of Seventeen of there Official Releases with Lyrics also have some small Animations on each page.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Image_Galleries", "url": "http://lostinfloyd.tripod.com/"} +{"d:Title": "Pink Floyd RoIO Cover Art Site", "d:Description": "Pink Floyd RoIO Cover Art.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Image_Galleries", "url": "http://floydart.org/"} +{"d:Title": "Pink Floyd TV", "d:Description": "A large collection of videos, concerts, documentary, interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Image_Galleries", "url": "http://pinkfloydtv.blogspot.com/"} +{"d:Title": "Dave's Lyrics Archive: Pink Floyd", "d:Description": "Lyrics to 13 albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics", "url": "http://www.davemcnally.com/Lyrics/PinkFloyd/"} +{"d:Title": "Rock Lyrics: Pink Floyd", "d:Description": "Lyrics and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/pink_floyd/"} +{"d:Title": "Pink-Floyd-Lyrics.com", "d:Description": "Offers a collection of lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics", "url": "http://www.pink-floyd-lyrics.com/"} +{"d:Title": "OldieLyrics: Pink Floyd Lyrics", "d:Description": "Pink Floyd lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics", "url": "http://www.oldielyrics.com/p/pink_floyd.html"} +{"d:Title": "LyricsFreak.com: Pink Floyd", "d:Description": "Lyrics listed alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics", "url": "http://www.lyricsfreak.com/p/pink+floyd/"} +{"d:Title": "Pink Floyd Lyrics", "d:Description": "An accurate lyrics site.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics", "url": "http://sites.google.com/site/pinkfloydlyrics/"} +{"d:Title": "DM's Rock Music Site: Pink Floyd", "d:Description": "Complete song index with lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics", "url": "http://www.dmrockmusic.com/artist.php?artist=9"} +{"d:Title": "The Darkside of Oz", "d:Description": "Details the connection between Pink Floyd and Wizard of Oz.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Lyrics/Album_Synchronization", "url": "http://www.angelfire.com/oh3/darkside/darksideofoz.html"} +{"d:Title": "Beyond the Darkside", "d:Description": "Live theatrical performance celebrating the music of Pink Floyd.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Related_Productions", "url": "http://www.beyondthedarkside.com/"} +{"d:Title": "Ram Samudrala's reviews", "d:Description": "Short reviews of some albums, concerts, and the film \"the Wall\".", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Reviews", "url": "http://www.ram.org/music/reviews/pink_floyd.html"} +{"d:Title": "Pink Floyd's The Wall: A Complete Analysis", "d:Description": "A fan interpretation of the album and movie.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Reviews", "url": "http://www.thewallanalysis.com/"} +{"d:Title": "Pink Floyd Guitar", "d:Description": "Learn Pink Floyd music with Pink Floyd tabs and lessons, video tutorials, riffs, solos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tablature", "url": "http://www.pinkfloyd-guitar.com/"} +{"d:Title": "GuitareTAB: Pink Floyd", "d:Description": "An alphabetical listing of tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tablature", "url": "http://www.guitaretab.com/p/pink-floyd/"} +{"d:Title": "Pink Floyd Bass Tabs", "d:Description": "A collection of tabs for Pink Floyd.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tablature", "url": "http://www.songsterr.com/a/wsa/pink-floyd-tabs-a79?inst=bass"} +{"d:Title": "Distant Bells", "d:Description": "From Brno, Czech republic. Includes news, tour dates, band information, images, video and audio, repertoire, guest-book, links, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://distantbells.net/"} +{"d:Title": "Pink Division, The", "d:Description": "Based in Norway. With news, MP3 files, pictures, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pink-division.com/"} +{"d:Title": "Which One's Pink?", "d:Description": "A Los Angeles-based musical tribute to Pink Floyd. Gig dates, photos, band and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.whichonespink.com/"} +{"d:Title": "Dark Side of the Wall", "d:Description": "Based in the UK. Features news, gig schedule, band information, pictures, merchandise, links, guest-book, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pinkfloydtribute.co.uk/"} +{"d:Title": "Pink Voyd", "d:Description": "Boston tribute show presents the sights and sounds of Pink Floyd. Includes photos, set list, equipment list, gig dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pinkvoyd.com/"} +{"d:Title": "Machine, The", "d:Description": "New York based. News, biography, and information about their live CDs with sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.themachinelive.com/"} +{"d:Title": "Several Species", "d:Description": "Pink Floyd experience based in Baltimore, Maryland.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.severalspecies.com/"} +{"d:Title": "Bricks in the Wall", "d:Description": "Based in Dallas, Texas. Features tour dates, images, repertoire, contact details, links, fan forum, merchandise, and booking details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.bricksinthewall.com/"} +{"d:Title": "Australian Pink Floyd Show, The", "d:Description": "Based in Australia but touring worldwide. Includes, tour dates, band information, video samples, band history, news, reviews, image gallery, fan forum, merchandise, blog and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.aussiefloyd.com/"} +{"d:Title": "Pink Floyd Project, The", "d:Description": "Based in Germany. Includes, band information, gig listings, repertoire, audio, video, reviews, links, guestbook and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pinkfloydproject.de/"} +{"d:Title": "Think Floyd USA", "d:Description": "Pictures, video, see show schedules and get news on what's happening with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.thinkfloydlive.com/"} +{"d:Title": "Think Floyd", "d:Description": "UK tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.thinkfloyd.net/"} +{"d:Title": "Shine On", "d:Description": "Ten members band from Sweden, with a very large show and professional musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.shineon.se/"} +{"d:Title": "Time To Breathe", "d:Description": "Eleven members tribute band based in Northamptonshire UK: two hour of laser show with professional lighting effects.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.timetobreathe.org.uk/"} +{"d:Title": "Pigs on the Wing", "d:Description": "Based in Oregon. Includes gig listings, audio, video, images, band information, press information, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pig-wing.com/"} +{"d:Title": "Echoes of Pink Floyd", "d:Description": "Michigan, USA. Includes biography, shows, songlist, articles, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pinkfloydtributeband.net/"} +{"d:Title": "Think Floyd UK", "d:Description": "Formed in 1994. Their albums of self penned songs \"Hope\" and \"Beyond Boundaries\" have received worldwide critical acclaim.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.think-floyd.com/"} +{"d:Title": "House of Floyd", "d:Description": "Based in California. Includes gig lists, band information, images, video, audio, press, links, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.houseoffloyd.com/"} +{"d:Title": "The Polka Floyd Show", "d:Description": "Pictures, biography and contact information for the Toledo/Detroit area band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.polkafloyd.com/"} +{"d:Title": "Floyd Factor", "d:Description": "Canadian stage tribute circa 1967-1980. News, audio and video, pictures, song list, biographies, schedule and equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.floydfactor.com/"} +{"d:Title": "Pink Floyd Project, The", "d:Description": "Based in Denmark. Includes news, band information, gig listings, images, audio, video, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pinkfloydproject.dk/indexuk.html"} +{"d:Title": "All In All, It's Just", "d:Description": "Inactive band. Based in Canada. Includes past info.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.allinall.ca/"} +{"d:Title": "Beyond the Darkside", "d:Description": "Australian based with photographs, video, gig listings, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.beyondthedarkside.com/"} +{"d:Title": "Pink Froyd", "d:Description": "Based in California. Features gig listings, photographs, audio, band information, repertoire, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pinkfroyd.com/"} +{"d:Title": "Wall of Echoes", "d:Description": "Based in central Florida. Includes gig lists, audio, news, biography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.angelfire.com/ny4/indicafilms/"} +{"d:Title": "The Pure Floyd Show", "d:Description": "Based in Norfolk, includes gig list, video, biography, news and contact details", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.thepurefloydshow.co.uk/"} +{"d:Title": "Darkside of Pink Floyd, The", "d:Description": "Based in south west England, includes, gig listings, band information, video, photographs, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.thedarksideofpinkfloyd.co.uk/"} +{"d:Title": "Wall, The", "d:Description": "Myspace profile for New Jersey based band. Includes images video and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "https://myspace.com/thewallzzz"} +{"d:Title": "Brit Floyd", "d:Description": "Based in the UK. Contains news, reviews, tour dates, blog, band biography, photo and video galleries, contact details, and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.britfloyd.com/"} +{"d:Title": "Just Floyd", "d:Description": "Based in England. Includes news, reviews, images, audio, video, gig listings, links, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://justfloyd.co.uk/"} +{"d:Title": "The Pink Floyd Dimension", "d:Description": "The Pink Floyd Dimension", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.tpfd.co.uk/"} +{"d:Title": "The Floyd Effect", "d:Description": "Based in the UK. Includes video, gig listings, reviews, merchandise, photographs, links, press cuttings and radio interviews, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.thefloydeffect.com/"} +{"d:Title": "Pig Floyd", "d:Description": "Based in the UK. Myspace profile with audio, photographs, video, contact details and gig listings.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "https://myspace.com/pigfloyd"} +{"d:Title": "Pink Floyd Experience", "d:Description": "Based in San Diego, covering the USA and Canada. Features band information, audio, video, photography, gig listings, merchandise, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.thepinkfloydexperience.net/"} +{"d:Title": "Think Pink Floyd", "d:Description": "Based in Pennsylvania USA. Includes basic band information, gig listings, links to you-tube videos, audio samples, guestbook, and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.thinkpinkfloyd.com/"} +{"d:Title": "Relics- Pink Floyd Tribute Band", "d:Description": "Based in South East England. Includes news, band member profiles, gig listings, photographs, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.relicstheband.com/"} +{"d:Title": "Shine On", "d:Description": "Based in the UK. Includes band biographies, gig listings, photographs, video, fan comments page, booking and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.crazydiamonds.co.uk/"} +{"d:Title": "Comfortably Numb", "d:Description": "Based in Canada. Features, tour dates, news, band information, images, video, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.comfortablynumblive.com/"} +{"d:Title": "Sons Of Nothing", "d:Description": "Based in Utah, United States. Includes information about the band including details of their original music, gig listings, photography, reviews, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.sonsofnothing.com/"} +{"d:Title": "The Pink Floyd Experience", "d:Description": "Based in New Zealand. Includes information about the band, and members as well as information about Pink Floyd. Audio, video, photographs, and wallpapers, blog, fanzine, contact details and useful links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.pinkfloydexperience.co.nz/"} +{"d:Title": "Wish You Were Here", "d:Description": "Based in Ohio, United States. Includes band and member biographies, gig listings, photographs, audio, video, contact details, guestbook, and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.floydtribute.com/"} +{"d:Title": "Perfect Alibi", "d:Description": "Based in the UK. With news, blog, band and member biographies, gig listings, photographs, videos, merchandise, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "http://www.perfect-alibi.net/"} +{"d:Title": "Shine On", "d:Description": "Based in California. Facebook profile, features user interactions, photographs, band information, gig listings, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pink_Floyd/Tribute_Bands", "url": "https://www.facebook.com/ShineOnLIVE/"} +{"d:Title": "A Better Lie", "d:Description": "Fan site with pictures, interviews, lyrics, discography and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitchshifter", "url": "http://betterlie.tripod.com/"} +{"d:Title": "Gene Pitney Home Page, The", "d:Description": "News, biography, photograph gallery, and detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://members.tripod.com/~colli/pitney/pitney.html"} +{"d:Title": "Gene Pitney", "d:Description": "Biography of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://www.tsimon.com/pitney.htm"} +{"d:Title": "Gene Pitney Lyrics Site", "d:Description": "Lyrics and fan's collection.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://members.iinet.net.au/~nderby"} +{"d:Title": "The Rockville Rocket's Fan Club", "d:Description": "Fan site with photos, reviews, biography, discography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://www.rockvillerocket.bravepages.com/home.htm"} +{"d:Title": "Gene Pitney", "d:Description": "Biographical information plus transcript of interview with the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://www.classicbands.com/pitney.html"} +{"d:Title": "History of Rock: Gene Pitney", "d:Description": "Biographical details and information on fan clubs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://www.history-of-rock.com/gene_pitney.htm"} +{"d:Title": "Gene Pitney", "d:Description": "Official site; audio, biography, fan club, and picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://genepitney.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Gene Pitney", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene", "url": "http://rockhall.com/inductees/gene-pitney/"} +{"d:Title": "Gene Pitney Tribute", "d:Description": "Tony Lee sings the music of this performer. Includes photos and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pitney,_Gene/Tribute_Acts", "url": "http://www.tonyleemusic.com/"} +{"d:Title": "Alec Eiffel", "d:Description": "Extensive site in English, Spanish, and French, with discography, pictures, news, press, audio.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://aleceiffel.free.fr/"} +{"d:Title": "The Pixies - Gougeaway.tk", "d:Description": "Fan site with news, videos, history, pictures, waiting room, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://homepage.eircom.net/~djshithead2006/"} +{"d:Title": "Bailey's Walk", "d:Description": "Named after the Pixies B-side. News, tour dates, setlists, music, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://www.baileyswalk.com/"} +{"d:Title": "eMusic: The Pixies", "d:Description": "Contains biography, statistics, and mp3s of Pixies albums, including an exclusive live album released by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://www.emusic.com/artist/11488/11488305.html"} +{"d:Title": "Wikipedia: Pixies", "d:Description": "Band article on the free encyclopedia that anyone can edit. Contains biography, discography, audio, trivia, links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://en.wikipedia.org/wiki/Pixies"} +{"d:Title": "MySpace: Pixies", "d:Description": "Large, but unofficial profile on the popular social networking site.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "https://myspace.com/pixies"} +{"d:Title": "Pixies Music", "d:Description": "Fan site contains news and tour information, images, discography, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://www.pixiesmusic.com/"} +{"d:Title": "MTV: The Pixies", "d:Description": "Includes album reviews, news, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://www.mtv.com/artists/pixies/"} +{"d:Title": "Debaser", "d:Description": "One of the oldest Pixies sites on the Web, it contains guitar tabs, discography, bootlegs, lyrics, audio, images.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies", "url": "http://dag.wiee.rs/debaser/"} +{"d:Title": "Mark's Record Reviews: Pixies", "d:Description": "Short reviews of all the band's work, including readers' comments.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies/Reviews", "url": "http://www.markprindle.com/pixiesa.htm"} +{"d:Title": "Scott's Rock and Soul Album Reviews", "d:Description": "Reviews and analysis.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies/Reviews", "url": "http://sfloman.com/pixies.html"} +{"d:Title": "Luna Kaf\u00e9 E-Zine: Pixies - Death to the Pixies", "d:Description": "H\u00e5vard Opp\u00f8yen's review: \"this album shows the incredible qualities of a band that lived to short, ending in a sad and touchy break-up.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies/Reviews/Death_to_the_Pixies", "url": "http://www.lunakafe.com/moon13/usma13.php"} +{"d:Title": "MMMM: Death to the Pixies Review", "d:Description": "British fan's review of the band's 1997 highlights album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies/Reviews/Death_to_the_Pixies", "url": "http://www.mmmm.eclipse.co.uk/records/pixiesdp.htm"} +{"d:Title": "The Pixies - Surfer Rosa", "d:Description": "Ryan Chittum's review: \"Great song follows great song, making this album the Pixies' greatest and most influential.\" With pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pixies/Reviews/Surfer_Rosa", "url": "http://www.ou.edu/class/webstudy/DEC98/gallery/rc/SurferRosa.html"} +{"d:Title": "Metacritic: The Fifth Release From Matador", "d:Description": "Links to several reviews of the Pizzicato Five album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pizzicato_Five", "url": "http://www.metacritic.com/music/artists/pizzicato5/fifthrelease"} +{"d:Title": "P5ML", "d:Description": "Instructions and archives for the international Pizzicato Five mailing list. At Yahoo! Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pizzicato_Five", "url": "http://groups.yahoo.com/group/p5ml/"} +{"d:Title": "Matador Records", "d:Description": "Includes a discography of their US releases and an extensive biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pizzicato_Five", "url": "http://www.matadorrecords.com/pizzicato_five/"} +{"d:Title": "The Pizzicato Five Discography", "d:Description": "Song by song index.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pizzicato_Five", "url": "http://www.tedmills.com/songbysong/"} +{"d:Title": "The Pizzicato Five Lyrics Database", "d:Description": "Includes lyrics to songs from over 30 albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pizzicato_Five", "url": "http://www.tedmills.com/pizzlyrics/"} +{"d:Title": "MTV: Pizzicato Five", "d:Description": "Includes album reviews, music news, biography, discography, links and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pizzicato_Five", "url": "http://www.mtv.com/artists/pizzicato-five/"} +{"d:Title": "Bionic", "d:Description": "Bilingual English/Spanish site for the UK band with news, discography, lyrics, images, interviews, band info, curiosity, fan art and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo", "url": "http://bionic-club.com/"} +{"d:Title": "PlaceboWorld.co.uk", "d:Description": "Official site with news, tour dates, discography, articles, media, forums, downloads, merchandise and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo", "url": "http://www.placeboworld.co.uk/"} +{"d:Title": "Swallow Forum", "d:Description": "Forum requires registration.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo", "url": "http://s3.invisionfree.com/Swallow/"} +{"d:Title": "NME", "d:Description": "News, reviews and a discography section.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo", "url": "http://www.nme.com/artists/placebo"} +{"d:Title": "Contactmusic - Placebo", "d:Description": "Placebo artist page featuring news, reviews and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo", "url": "http://www.contactmusic.com/info/placebo"} +{"d:Title": "MTV.com: Placebo", "d:Description": "Placebo music news, album reviews, music videos, biography, discography, links, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo", "url": "http://www.mtv.com/artists/PLACEBO"} +{"d:Title": "Bionic", "d:Description": "Includes news, biographies, lyrics, dictionary, images, forum and chat. [English and Spanish.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://bionic-club.com/"} +{"d:Title": "Eyeholes in a Paper Bag", "d:Description": "Pictures, news, lyrics and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://members.tripod.com/~eyeholes/"} +{"d:Title": "Flesh Mechanic", "d:Description": "Includes lyrics, pictures, links, webrings, message forum, chat room, music and concert reviews, music and band polls, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://members.tripod.com/black_market_blood/"} +{"d:Title": "Lipstick Trace", "d:Description": "Band member information, discography, photos and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://www.angelfire.com/nb/placebo/"} +{"d:Title": "Mistresses of the Masters of Poof", "d:Description": "A fansite with a splash of David Bowie, even more Placebo, and Brian Molko beaucoup. Includes photos, comments, links, and lyrical story.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://www.angelfire.com/music/BrianMolkoFan/"} +{"d:Title": "Placebopassion", "d:Description": "Images, lyrics, discography, biography, and a RealPlayer interview with Brian Molko and Steve Hewitt.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://www.angelfire.com/band2/placebopassion/"} +{"d:Title": "Placebo Planet", "d:Description": "News, pictures, tour dates, press, biography, chat, and audio and video samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://homepage.eircom.net/~cebo/"} +{"d:Title": "Blister", "d:Description": "An unofficial Placebo site that includes stills from Placebo videos, published photos, live backstage photos, real audio b-sides, live audio, interviews. This site even has a Placebo quiz, comic strip, and links to sister sites.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://members.tripod.com/placeboUK/index.html"} +{"d:Title": "A Place for Us to Dream", "d:Description": "Includes biographies, pictures, news, lyrics, audio and video clips, fan fiction and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Fan_Pages", "url": "http://www.angelfire.com/ne2/placebo/intro.html"} +{"d:Title": "Just Hewitt: An Unofficial Steve Hewitt Fansite", "d:Description": "Learn all there is to know about Steve Hewitt, Placebo's drummer. Includes news, biography, discography, images, quotes, interviews, mailing lists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Hewitt,_Steve", "url": "http://www.angelfire.com/bc/JustHewitt/"} +{"d:Title": "Brian Molko Is God", "d:Description": "All about Brian Molko frontman of Placebo.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Molko,_Brian", "url": "http://www.angelfire.com/rock/ilovebrianmolko/"} +{"d:Title": "Yahoo Groups: Nancy Boy Dressed in Leather", "d:Description": "A club dedicated to Brian Molko.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Molko,_Brian", "url": "http://groups.yahoo.com/group/nancyboydressedinleather/"} +{"d:Title": "Metacritic: Black Market Music", "d:Description": "Multiple critic and user reviews for Black Market Music by Placebo.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Placebo/Reviews", "url": "http://www.metacritic.com/music/black-market-music/placebo"} +{"d:Title": "Artist Direct: Planet X", "d:Description": "Includes biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Planet_X", "url": "http://www.artistdirect.com/artist/planet-x/994422"} +{"d:Title": "The Robert Plant Home Page", "d:Description": "Unofficial site features information about current solo career as well as quotes, pictures, wallpaper, history and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plant,_Robert", "url": "http://www.robertplanthomepage.com/"} +{"d:Title": "Robert Plant Dreamland", "d:Description": "Robert Plant's Official Website", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plant,_Robert", "url": "http://www.robertplant.com/"} +{"d:Title": "Mark's Record Reviews", "d:Description": "Mark Prindle offers pointed, humorous and scathing reviews of Plant's solo works. Readers may add their own comments.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plant,_Robert", "url": "http://www.markprindle.com/plant.htm"} +{"d:Title": "Oldie Lyrics: Robert Plant", "d:Description": "Sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plant,_Robert", "url": "http://www.oldielyrics.com/r/robert_plant.html"} +{"d:Title": "Pale Moon", "d:Description": "Artistic site featuring concert photos and reviews of Strange Sensation appearances in New York from 2001 and 2002. Also contains Page and Plant material.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plant,_Robert", "url": "http://www.palemoon.com/RobertPlant01/index.html"} +{"d:Title": "RollingStone.com: Robert Plant", "d:Description": "Contains exclusive photos, articles, video interviews and message boards. Allows voting on albums and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plant,_Robert", "url": "http://www.rollingstone.com/music/artists/robert-plant"} +{"d:Title": "Plastikman", "d:Description": "aka Richie Hawtin, Official site", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plastikman", "url": "http://www.plastikman.com/"} +{"d:Title": "The (Currently UN)Official Platinum Blonde Web Site", "d:Description": "Fan site offers a discography, member profiles, photos, chronology of magazine appearances, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Platinum_Blonde", "url": "http://members.tripod.com/~Platinum_Blonde/"} +{"d:Title": "Interview with Mark Holmes from Platinum Blonde", "d:Description": "Interview with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Platinum_Blonde", "url": "http://www.angelfire.com/rock2/rockinterviews/platinum.html"} +{"d:Title": "Platinum Blonde", "d:Description": "Official site includes biography, discography, videography, photos, audio samples, and news. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Platinum_Blonde", "url": "http://www.platinumblonde.com/"} +{"d:Title": "Platinum Blonde", "d:Description": "Fansite dedicated to the 80's Canadian band featuring news, tour dates, discography, filmography, awards, image gallery, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Platinum_Blonde", "url": "http://www.musicbymailcanada.com/PlatinumBlonde/intro.html"} +{"d:Title": "Canoe.ca: Platinum Blonde", "d:Description": "Offers an original biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Platinum_Blonde", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/P/Platinum_Blonde.html"} +{"d:Title": "Official Sonny Turner Website", "d:Description": "Lead singer of The Platters during the 1960s. Includes tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Platters,_The", "url": "http://www.sonnyturner.com/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Platters,_The", "url": "http://rockhall.com/inductees/the-platters/"} +{"d:Title": "Play-Mania at Blogspot.com", "d:Description": "News on the band's former members.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Play", "url": "http://www.playmaniafans.blogspot.com/"} +{"d:Title": "All Music Guide: Play", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Play", "url": "http://www.allmusic.com/artist/play-mn0000286639"} +{"d:Title": "Electronic Beauty Parlour", "d:Description": "Fan site includes discography, album reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plone", "url": "http://www.diskobox.net/plone/"} +{"d:Title": "Austin Chronicle: Texas Platters", "d:Description": "Trespassing record review.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plum", "url": "http://www.austinchronicle.com/issues/vol18/issue39/music.recviews.html"} +{"d:Title": "All Music Guide: Plum", "d:Description": "Discography, brief biography, album covers.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plum", "url": "http://www.allmusic.com/artist/plum-p141877"} +{"d:Title": "George Graham Reviews: Plum", "d:Description": "The Luxury of Wings album review.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plum", "url": "http://georgegraham.net/plum.html"} +{"d:Title": "L'entrepot: Plush", "d:Description": "Brief review of More You Becomes You. Includes contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plush", "url": "http://users.skynet.be/entrepot/rev/p/plush.html"} +{"d:Title": "Liam Hayes and Plush", "d:Description": "Official site features news, online store, videos, photos and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plush", "url": "http://www.liamhayesandplush.com/"} +{"d:Title": "Soul Tattoo: Plus One", "d:Description": "Fan site. Home video quotes, pictures, biographies, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plus_One", "url": "http://plusonesoultattoo.tripod.com/"} +{"d:Title": "Plus One", "d:Description": "Includes news, lyrics, profiles, tour dates, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plus_One", "url": "http://sgurl2003.tripod.com/plusone/"} +{"d:Title": "Plus One-We Promise", "d:Description": "Includes news, profiles, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plus_One", "url": "http://www.angelfire.com/band/plusone/"} +{"d:Title": "Plus One Iz Kool", "d:Description": "Fan site. Band profiles, photo gallery, lyrics, games, screensavers, wallpapers, WinAmp skins, and AIM buddy icons, games, quizzes, and humour.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plus_One", "url": "http://www.angelfire.com/boybands/plusoneizkool/"} +{"d:Title": "PlusOne Fanz 4 Ever", "d:Description": "Pictures, lyrics, biographies, discography and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Plus_One", "url": "http://plusonechick87.tripod.com/plusonefanz4ever/"} +{"d:Title": "Deliverin'", "d:Description": "A database and archive of live performances by the country-rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poco", "url": "http://www.angelfire.com/rock3/deliverin/pocoindex.htm"} +{"d:Title": "Poco Official Site", "d:Description": "Includes news, tour dates, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poco", "url": "http://www.poconut.org/"} +{"d:Title": "Podunk", "d:Description": "Fan site; includes band news, photographs, brief discography, dates of live performances, and photograph gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Podunk", "url": "http://www.angelfire.com/band/Podunk/"} +{"d:Title": "Podunk Club", "d:Description": "Members can post, chat and access the photo gallery. Messages can be read by the Public.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Podunk", "url": "http://groups.yahoo.com/group/Podunk_Rocks/"} +{"d:Title": "Entertainment Ave: Poe", "d:Description": "Review of a concert with Seven Mary Three and The Refreshments at The Vic Theatre in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poe", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/7m3/7m3073196.htm"} +{"d:Title": "Poe.org", "d:Description": "The fan site that Poe is known to visit. Includes a lot of information, exclusive news and sound clips, and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poe", "url": "http://www.poe.org/"} +{"d:Title": "Evil Poe", "d:Description": "Humorous take on musical artist, Poe.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poe", "url": "http://www.rocktoons.com/poe/evil/"} +{"d:Title": "A Haunting Hello", "d:Description": "Tribute includes profile, links, news and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poe", "url": "http://smileyllama.20m.com/poe/"} +{"d:Title": "Metacritic: Haunted", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poe", "url": "http://www.metacritic.com/music/artists/poe/haunted"} +{"d:Title": "Entertainment Ave: Poe", "d:Description": "Review of a concert at The Tweeter Center in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poe", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/p/poe/po062201.htm"} +{"d:Title": "All Music Guide: Poe", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poe", "url": "http://www.allmusic.com/artist/poe-p169531"} +{"d:Title": "The Parting Glass", "d:Description": "Annotated lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://www.poguetry.com/"} +{"d:Title": "The Pogues: In the Wake of the Medusa", "d:Description": "Contains articles, reviews, chat forum, sound clips, lyrics, biographies, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://www.pogues.com/"} +{"d:Title": "The Pogues - Irish Soul", "d:Description": "One man's thoughts on what the Pogues were and Shane MacGowan is", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://www.furious.com/perfect/pogues.html"} +{"d:Title": "The Pogues Gigs Listing", "d:Description": "Lists concerts from 1982 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://p.bruhat.free.fr/pogues/pog-live.html"} +{"d:Title": "Yeah Yeah Yeah Yeah Yeah", "d:Description": "A discography of the Pogues and the band members' solo projects.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://home.arcor.de/yeah/pogues.htm"} +{"d:Title": "Waiting For Shane", "d:Description": "Links, articles, MP3s and current news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://www.ktv.no/~oyl-ktv/"} +{"d:Title": "Come to the Bower", "d:Description": "Tidbits from the Pogues universe. Stories behind the songs, the albums, and fanfics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://www.dzm.com/~zuzana/"} +{"d:Title": "RollingStone.com: The Pogues", "d:Description": "Includes biography, recordings, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://www.rollingstone.com/music/artists/the-pogues"} +{"d:Title": "The Pogues@Everything2.com", "d:Description": "Everything2 Node describing the history of The Pogues.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues", "url": "http://www.everything2.com/title/The+Pogues"} +{"d:Title": "LONGPLAYER", "d:Description": "A computer generated musical creation from Jem Finer designed to play for 1,000 years", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues/After_Pogues_-_Solo_Work", "url": "http://www.longplayer.org/"} +{"d:Title": "Jem Finer", "d:Description": "CDs, live shows, current projects", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues/After_Pogues_-_Solo_Work", "url": "http://elrino.co.uk/JemFiner/JemFiner.html"} +{"d:Title": "The Radiators From Space", "d:Description": "Official site for Philip Chevron's Irish punk group.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pogues/After_Pogues_-_Solo_Work", "url": "http://www.theradiators.tv/"} +{"d:Title": "Wilson and Alroy's Record Reviews - Pointer Sisters", "d:Description": "Short reviews of their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pointer_Sisters,_The", "url": "http://www.warr.org/pointers.html"} +{"d:Title": "PoisonWeb", "d:Description": "The Official Poison Web Site. Includes news, history, tour information, discography, press, pictures, merchandise and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison", "url": "http://www.poisonweb.com/"} +{"d:Title": "Poison People", "d:Description": "Mailing list formerly known as Crack a Smile; includes archives and membership instructions. At Yahoo! Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison", "url": "http://groups.yahoo.com/group/poisonpeople"} +{"d:Title": "The Web Rage: Poison", "d:Description": "Photos and concert review of the band at the American Bandstand, Noblesville, Indiana.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison", "url": "http://members.tripod.com/~shealynn_dawn/Poison/poison.htm"} +{"d:Title": "Yahoo Groups: Poison Luvers", "d:Description": "Includes message board, chat, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison", "url": "http://groups.yahoo.com/group/poisonluvers/"} +{"d:Title": "Artist Direct: Poison", "d:Description": "Includes biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison", "url": "http://www.artistdirect.com/artist/poison/480207"} +{"d:Title": "MTV: Poison", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison", "url": "http://www.mtv.com/artists/POISON"} +{"d:Title": "Sammi's Theatre of Rock 'n Roll", "d:Description": "Has video stills of Bobby Dall.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Dall,_Bobby", "url": "http://www.angelfire.com/sk/personalpics/index.html"} +{"d:Title": "Yahoo! Groups: bobbydall", "d:Description": "Discussion forum about Bobby Dall.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Dall,_Bobby", "url": "http://groups.yahoo.com/group/bobbydall/"} +{"d:Title": "Yahoo! Groups: thestepmothersccdeville", "d:Description": "Discussion forum for C.C. and Samantha 7 (formerly The Stepmothers).", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/DeVille,_C._C.", "url": "http://groups.yahoo.com/group/thestepmothersccdeville/"} +{"d:Title": "Yahoo! Groups: ccdeville", "d:Description": "C.C. discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/DeVille,_C._C.", "url": "http://groups.yahoo.com/group/ccdeville/"} +{"d:Title": "Aisling13's Poison Page", "d:Description": "Includes news, pictures, MP3, profiles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://members.tripod.com/~aisling13/"} +{"d:Title": "Can't Bring Me Down", "d:Description": "Pictures, past concert reviews, memorabilia including ticket stubs, backstage passes, and newspaper articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://www.angelfire.com/80s/poisoned87/"} +{"d:Title": "IronManBZ's Poison Page", "d:Description": "Includes tour dates, pictures and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://www.angelfire.com/az2/Poison/"} +{"d:Title": "Poison - Flesh and Blood", "d:Description": "Includes audio, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://members.tripod.com/~ccpoison/"} +{"d:Title": "A Poison Fan Site", "d:Description": "Includes photos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://www.angelfire.com/wi/poisonrules/"} +{"d:Title": "Poisoned", "d:Description": "Pictures, biography, discography and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://markbradley_1.tripod.com/"} +{"d:Title": "LT's Unofficial Poison Site", "d:Description": "Biography, pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://lil.texas.tripod.com/"} +{"d:Title": "Wiccania's Dose of Poison", "d:Description": "Includes profiles, poetry, pictures, and personal stories.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Fan_Pages", "url": "http://www.wiccania.com/poison/poison.html"} +{"d:Title": "Bret Michaels Entertainment", "d:Description": "Official page for Bret Michaels Entertainment.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Michaels,_Bret", "url": "http://www.bretmichaels.com/"} +{"d:Title": "UnRated Magazine: Bret Michaels", "d:Description": "Live review from Joe's Sports Bar in Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Michaels,_Bret", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=198"} +{"d:Title": "Yahoo! Groups: rikkirockett", "d:Description": "Rikki discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Rockett,_Rikki", "url": "http://groups.yahoo.com/group/rikkirockett/"} +{"d:Title": "Yahoo! Groups: rikkirockettfanatics", "d:Description": "Discussion forum about Rikki.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Rockett,_Rikki", "url": "http://groups.yahoo.com/group/rikkirockettfanatics/"} +{"d:Title": "Famous Veggie: Rikki Rockett", "d:Description": "An interview with Rikki about being a vegetarian.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Rockett,_Rikki", "url": "http://www.famousveggie.com/interviews/rikki_rockett.aspx"} +{"d:Title": "Hollyweird", "d:Description": "Tribute band with look, energy and music strictly inspired by the official band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poison/Tribute_Bands", "url": "http://www.hollyweirdrocks.com/"} +{"d:Title": "Poi Dog Pondering", "d:Description": "Official site includes news, concert dates, pictures, history, and articles. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poi_Dog_Pondering", "url": "http://www.poidogpondering.com/"} +{"d:Title": "Discography: Poi Dog Pondering", "d:Description": "Includes a summary of releases, compilations, and side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poi_Dog_Pondering", "url": "http://idiot-dog.com/music/poi.dog.pondering/"} +{"d:Title": "Mezzotint: Polaris", "d:Description": "Reviews and a video clip of the band's \"Adventures of Pete and Pete\" appearance.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polaris", "url": "http://www.mezzotint.com/polaris.html"} +{"d:Title": "Rose Polenzani", "d:Description": "Official site offering MP3s, tour schedule, and concert photographs. Also includes merchandise, lyrics, and notes from the artist.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polenzani,_Rose", "url": "http://www.rosepolenzani.com/"} +{"d:Title": "Rose Polenzani @ The Boston Phoenix", "d:Description": "May 2001 article about Rose Polenzani\u2019s move to Boston. Includes a short interview with good insights into the meanings and inspirations for a couple of her songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polenzani,_Rose", "url": "http://www.bostonphoenix.com/boston/music/cellars/documents/01532583.htm"} +{"d:Title": "Rose Polenzani on Myspace.com", "d:Description": "Provides news bulletins, full MP3s of several songs, and a comment section.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polenzani,_Rose", "url": "https://myspace.com/rosepolenzani"} +{"d:Title": "Voices Past the Verge - Female Ensemble Gets Live in Boston", "d:Description": "Concert review of Voices on the Verge's October 2, 2001, show at the Paradise Rock Club in Boston. Voices was Rose's collaboration with Erin McKeown, Beth Amsel, and Jess Klein. Review includes the set list. From The Tech, MIT's newspaper.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polenzani,_Rose", "url": "http://tech.mit.edu/V121/N51/Voices_Past_Ver.51a.html"} +{"d:Title": "Daemon Records - Rose Polenzani", "d:Description": "Rose\u2019s page at her record label, Daemon Records. Talks about her musical family. Provides the entire albums Anybody and Dragersville via streaming in Real Audio format.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polenzani,_Rose", "url": "https://www.daemonrecords.com/rose_anybody.html"} +{"d:Title": "Rose Polenzani @ The Celebrity Cafe", "d:Description": "Interview with TheCelebrityCafe.com. Discusses her move to Santa Barbara from Chicago. Discusses why \u201cOmen\u201d is her favorite of her own songs. Covers her musical influences and artists she likes to cover, and talks about playing with Lorna Hunt.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polenzani,_Rose", "url": "http://thecelebritycafe.com/1999/09/polenzani-rose/"} +{"d:Title": "Bring on the Night", "d:Description": "Fansite with history, discography, videography, lyrics, and downloads. In Italian and English.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://www.thepolice.it/"} +{"d:Title": "Rogier's Police Page", "d:Description": "Look through items for trade and sale, as well as discography and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://www.cybercomm.nl/~gugten/"} +{"d:Title": "Stingus", "d:Description": "Information about Sting, Andy, and Stewart in English and in Dutch.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://www.stingus.net/"} +{"d:Title": "Rockmagic.net: Police Lyrics", "d:Description": "Words to songs, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://lyrics.rockmagic.net/lyrics/police/"} +{"d:Title": "Oldie Lyrics: The Police", "d:Description": "Sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://www.oldielyrics.com/t/the_police.html"} +{"d:Title": "BR's Classic Rock Concert Photos", "d:Description": "Photos from a Landover, Maryland show.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://www.e-rockworld.com/police.htm"} +{"d:Title": "RollingStone.com: The Police", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://www.rollingstone.com/music/artists/the-police"} +{"d:Title": "Rock and Roll Hall of Fame: The Police", "d:Description": "Detailed biography of the 2003 inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://rockhall.com/inductees/the-police/"} +{"d:Title": "MTV: The Police", "d:Description": "News, full biographies, musical influences, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police", "url": "http://www.mtv.com/artists/the-police/"} +{"d:Title": "Love is the Seventh Tape", "d:Description": "Database of fans' tape trading lists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police/Trading", "url": "http://members.tripod.com/~blueturtles/index.html"} +{"d:Title": "Spiffie's Spot", "d:Description": "Fan's list of bootlegs and trading requirements.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police/Trading", "url": "http://members.ams.chello.nl/j.elk/"} +{"d:Title": "The Secret Police", "d:Description": "General information, pictures, reviews, biographies and gig dates for the British tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police/Tribute_Bands", "url": "http://www.thesecretpolice.co.uk/"} +{"d:Title": "Stung", "d:Description": "MP3s, gigs, message board, biography and quiz from the San Francisco Bay Area tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Police/Tribute_Bands", "url": "http://www.stunglive.com/"} +{"d:Title": "Steve Poltz Lyric Archive", "d:Description": "Lyrics to Steve's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poltz,_Steve", "url": "http://members.tripod.com/~stevepoltz/"} +{"d:Title": "Steve Poltz - The Poltz Diner", "d:Description": "An Australian fan site with pictures, biography, discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poltz,_Steve", "url": "http://members.tripod.com/poltzie/poltz_diner.html"} +{"d:Title": "Steve Poltz - Tabs", "d:Description": "Guitar tablatures page from a Swedish fan.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poltz,_Steve", "url": "http://www.angelfire.com/de/jeweltab/steve.html"} +{"d:Title": "Steve Poltz", "d:Description": "Official site with pictures, audio clips, discussion board, tour dates and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poltz,_Steve", "url": "http://www.poltz.com/"} +{"d:Title": "Prindle Record Reviews: Polvo", "d:Description": "In-depth reviews of various Polvo releases.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polvo", "url": "http://www.markprindle.com/polvoa.htm"} +{"d:Title": "Bend or Break", "d:Description": "Fan site includes discography, lyrics, links, photos, press and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polvo", "url": "http://www.chrisnj.com/archive/polvo/"} +{"d:Title": "The Polyphonic Spree", "d:Description": "Official site with performances, articles, profiles, pictures and MP3s. [Requires Flash.]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polyphonic_Spree,_The", "url": "http://www.thepolyphonicspree.com/"} +{"d:Title": "The Guardian: Spree at Last", "d:Description": "The author describes getting on stage with the group at a Dallas concert.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Polyphonic_Spree,_The", "url": "http://www.theguardian.com/music/2002/oct/04/artsfeatures.popandrock"} +{"d:Title": "New Gibraltar Encyclopedia of Progressive Rock: Popol Vuh", "d:Description": "Discography and reviews of several albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Popol_Vuh", "url": "http://www.gepr.net/po.html#POPOLVUH"} +{"d:Title": "Chaos Control: Pop Will Eat Itself", "d:Description": "Interview with frontman Clint Mansell shortly after the release of \"Dos Dedos Mis Amigos\" in 1994.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pop_Will_Eat_Itself", "url": "http://www.chaoscontrol.com/pop-will-eat-itself/"} +{"d:Title": "PWEI Nation", "d:Description": "Extensive fan site, with news, discography, lyrics, media clips, information on memorabilia, reviews, sample list and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pop_Will_Eat_Itself", "url": "http://pweination.com/"} +{"d:Title": "Lazlo's Discography Machine: Pop Will Eat Itself", "d:Description": "A discography, last updated in 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pop_Will_Eat_Itself", "url": "http://www.studio-nibble.com/lazlo-bin/discogs/pwei"} +{"d:Title": "In Memory of: Jeff Porcaro", "d:Description": "Tribute site, includes photographs, links, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Porcaro,_Jeff", "url": "http://jeffporcaro.net/"} +{"d:Title": "Jeff Porcaro Session Tracks", "d:Description": "A co-operative discography, organized alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Porcaro,_Jeff", "url": "http://www.frontiernet.net/~cybraria/"} +{"d:Title": "Portishead Remix Discography", "d:Description": "Listing of tracks remixed by Geoff Barrow. Includes cover art and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead", "url": "http://geoff_45.tripod.com/"} +{"d:Title": "Scorn", "d:Description": "A Portishead discography in progress.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead", "url": "http://www.room101.com/scorn/"} +{"d:Title": "Phead", "d:Description": "Including latest news, tour dates, community forums, reviews, live photos, lyrics and song interpretations.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead", "url": "http://www.pheadweb.com/"} +{"d:Title": "Portishead", "d:Description": "Official website of the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead", "url": "http://www.portishead.co.uk/"} +{"d:Title": "Queer in Your Ear: Off with Portishead", "d:Description": "Article questioning why Portishead is more popular than Basehead.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead/Articles", "url": "http://www.joeclark.org/QiYE44.html"} +{"d:Title": "RollingStone.com: Portishead", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead/Articles", "url": "http://www.rollingstone.com/music/artists/portishead"} +{"d:Title": "MTV: Portishead", "d:Description": "Album reviews, news, audio files, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead/Articles", "url": "http://www.mtv.com/artists/portishead/"} +{"d:Title": "Canoe: Portishead", "d:Description": "News articles, album reviews, and concert reviews from Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead/Articles", "url": "http://jam.canoe.com/Music/Artists/P/Portishead/"} +{"d:Title": "NY Times: Beth Gibbons Live", "d:Description": "A live review of a New York concert.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead/Reviews", "url": "http://www.nytimes.com/2003/10/14/arts/pop-review-for-the-one-that-got-away.html"} +{"d:Title": "MusicOmh: \"Out Of Season\" Review", "d:Description": "British review of Beth's debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead/Reviews", "url": "http://www.musicomh.com/reviews/albums/beth-gibbons-rustin-man-out-of-season"} +{"d:Title": "Guardian: \"Out Of Season\" Review", "d:Description": "A review of Beth's solo album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Portishead/Reviews", "url": "http://www.theguardian.com/music/2002/oct/25/popandrock.artsfeatures3"} +{"d:Title": "The Posies (Dear 23)", "d:Description": "Home of the Dear23 mailing list. Links, biography, FAQ, lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Posies,_The", "url": "http://www.slumberland.org/dear23.html"} +{"d:Title": "The Posies' Progress", "d:Description": "Week-by-week studio diary of the Making of 'Amazing Disgrace', by Ken Stringfellow.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Posies,_The", "url": "http://www.gweep.net/~sfoskett/texts/posies.html"} +{"d:Title": "RockMagic.net: Possessed", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Possessed", "url": "http://lyrics.rockmagic.net/lyrics/possessed/"} +{"d:Title": "Grace Potter and the Nocturnals", "d:Description": "Official site with band profile, tour dates, press quotes, photos, videos, music, and a discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Potter,_Grace_and_the_Nocturnals", "url": "http://www.gracepotter.com/"} +{"d:Title": "This Is Somewhere", "d:Description": "Fan site for Grace Potter and the Nocturnals with news, audio and video clips, images, and live recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Potter,_Grace_and_the_Nocturnals", "url": "http://www.thisissomewhere.com/"} +{"d:Title": "Wikipedia: Grace Potter and the Nocturnals", "d:Description": "Includes background information, discography, album details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Potter,_Grace_and_the_Nocturnals", "url": "http://en.wikipedia.org/wiki/Grace_Potter_and_the_Nocturnals"} +{"d:Title": "Internet Archive: Grace Potter and the Nocturnals", "d:Description": "Hundreds of live recordings, sanctioned by the band. Available in FLAC and other audio file formats.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Potter,_Grace_and_the_Nocturnals", "url": "http://archive.org/details/GracePotterandtheNocturnals"} +{"d:Title": "YouTube: Grace Potter&The Nocturnals", "d:Description": "The band's official YouTube channel with assorted live videos and a series of acoustic sessions.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Potter,_Grace_and_the_Nocturnals", "url": "http://www.youtube.com/user/gracepotterofficial"} +{"d:Title": "Facebook: Grace Potter and the Nocturnals", "d:Description": "The band's official Facebook page with news, music, photos, videos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Potter,_Grace_and_the_Nocturnals", "url": "http://www.facebook.com/GracePotter"} +{"d:Title": "Yahoo! Groups: Poundhoud Fan Club", "d:Description": "A place for fans to chat or post messages.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Poundhound", "url": "http://groups.yahoo.com/group/poundhoundfanclub/"} +{"d:Title": "The Parables: Powderfinger Dedication", "d:Description": "News, photographs, biography, tour dates, lyrics, side projects, mailing list and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powderfinger", "url": "http://www.angelfire.com/pq/parables/theparables.html"} +{"d:Title": "Powderfinger Official Site", "d:Description": "News, tour dates, releases, audio/video samples, articles, biography, discography, images, merchandise, fan club and discussion board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powderfinger", "url": "http://www.powderfinger.com/"} +{"d:Title": "Love Police", "d:Description": "Official manufacturer of Powderfinger clothing, posters and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powderfinger", "url": "http://www.lovepolice.com.au/"} +{"d:Title": "Rage Guest Programmers: Powderfinger", "d:Description": "Short video clip and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powderfinger", "url": "http://www.abc.net.au/rage/guest/2000/powderfinger.htm"} +{"d:Title": "ArtistDirect: Powderfinger", "d:Description": "Biography, discography, merchandise, tour dates, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powderfinger", "url": "http://www.artistdirect.com/artist/powderfinger/568022"} +{"d:Title": "Cozy Powell", "d:Description": "Official site. Contains memorial postings, news, biography, discography, photos and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powell,_Cozy", "url": "http://www.cozypowell.com/"} +{"d:Title": "Powerman 5000", "d:Description": "News, biography, tour dates, discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powerman_5000", "url": "http://pm5000.s5.com/"} +{"d:Title": "Powerman5000.com", "d:Description": "Official site includes news, tour dates, FAQ, pictures, multimedia, newsletter, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powerman_5000", "url": "http://www.powerman5000.com/"} +{"d:Title": "MTV: Powerman 5000", "d:Description": "Features music video clips, album reviews, exclusive online performances and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powerman_5000", "url": "http://www.mtv.com/artists/powerman-5000/"} +{"d:Title": "All Music Guide: The Power Station", "d:Description": "Biography, related artists and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Power_Station,_The", "url": "http://www.allmusic.com/artist/the-power-station-p5172"} +{"d:Title": "The Kettle Black", "d:Description": "Interview with Powles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Powles,_Tim", "url": "http://members.tripod.com/kettleblack/features/tyg.htm"} +{"d:Title": "My Mary Prankster Experience", "d:Description": "Fan site includes show reviews, interviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prankster,_Mary", "url": "http://maritorri.tripod.com/maryprankster.html"} +{"d:Title": "Mary Prankster: Queen of Cowpunk", "d:Description": "Fan site with pictures, discography, show reviews, news and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prankster,_Mary", "url": "http://goober13.tripod.com/maryprankster/"} +{"d:Title": "Official Mary Prankster Website", "d:Description": "Contains news, tour dates, photos, discography, lyrics, and contact and booking information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prankster,_Mary", "url": "http://www.maryprankster.com/"} +{"d:Title": "Ink 19: Mary Prankster - Tell Your Friends", "d:Description": "Phil Bailey's review: \"at the least a fine follow up to her previous records, and when it all shakes out, may be her best album to date.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prankster,_Mary", "url": "http://www.ink19.com/issues/september2002/musicReviews/musicP/maryPrankster.html"} +{"d:Title": "Mary Prankster", "d:Description": "A gallery of live pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prankster,_Mary", "url": "http://mypeoplepc.com/members/rob5b/photosbyjenniferbuhite/id9.html"} +{"d:Title": "ArtistDirect: Pras", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pras", "url": "http://www.artistdirect.com/artist/pras/680062"} +{"d:Title": "MTV: Pras", "d:Description": "Includes biography, discography, album reviews, RealAudio clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pras", "url": "http://www.mtv.com/artists/PRAS/"} +{"d:Title": "The Prayer Boat home pages", "d:Description": "Official site for the Irish band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prayer_Boat,_The", "url": "http://homepage.tinet.ie/~prayerboat/"} +{"d:Title": "Roddy's Irish Music Show: The Prayer Boat", "d:Description": "Interview with Emmett Tinley.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prayer_Boat,_The", "url": "http://www.almostfabulous.com/rc/interviews/prayerboat.php"} +{"d:Title": "Leonard's Lair: Polichinelle", "d:Description": "Jonathan Leonard's review of the band's debut album: \"Not often, but just occasionally, a band arrives refreshingly free of irony with an album's worth of romantic longing and good old-fashioned songwriting.\" 5 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prayer_Boat,_The", "url": "http://www.leonardslair.co.uk/prayer.htm"} +{"d:Title": "The Prayer Boat", "d:Description": "Includes biography, discography, lyrics, images, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prayer_Boat,_The", "url": "http://www.irishmusiccentral.com/theprayerboat/"} +{"d:Title": "Prefab Sprout SongBook", "d:Description": "Lyrics to most Prefab Sprout songs, a tool for printing cassette labels and links to sites selling their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prefab_Sprout", "url": "http://members.tripod.com/~prefab/"} +{"d:Title": "Leonard's Lair: Prefab Sprout", "d:Description": "Review of a concert in Nottingham, England.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prefab_Sprout", "url": "http://www.leonardslair.co.uk/prefablive.htm"} +{"d:Title": "A Life of Surprises", "d:Description": "Lyrics, album covers, press, screen savers and trading list. [Also in Spanish.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prefab_Sprout", "url": "http://www.ferhiga.com/prefab/"} +{"d:Title": "ItalianProg: Premiata Forneria Marconi", "d:Description": "Band history, line-up, and discography. Also includes album cover images and concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Premiata_Forneria_Marconi", "url": "http://www.italianprog.com/a_pfm.htm"} +{"d:Title": "Hippyland: Per Un Amico", "d:Description": "Review of this 1972 album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Premiata_Forneria_Marconi", "url": "http://www.hippy.com/php/review-404.html"} +{"d:Title": "Premiata Forneria Marconi", "d:Description": "A fan page with a discography, album reviews, photo gallery, and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Premiata_Forneria_Marconi", "url": "http://www.gaudela.net/pfm/"} +{"d:Title": "Premiata Forneria Marconi Official Site", "d:Description": "Band history, discography, band members, tour photographs and song lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Premiata_Forneria_Marconi", "url": "http://www.pfmpfm.it/eng/index.htm"} +{"d:Title": "All Music Guide: PFM", "d:Description": "A discography with disc reviews, and a little general information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Premiata_Forneria_Marconi", "url": "http://www.allmusic.com/artist/pfm-p5138"} +{"d:Title": "Moesup and Monkeyman's President Lyrics", "d:Description": "Album covers, lyrics, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presidents_of_the_United_States_of_America", "url": "http://www.angelfire.com/band/tikilounge/"} +{"d:Title": "PUSAbase", "d:Description": "Band and concert history, discography, songs, audio, lyrics and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presidents_of_the_United_States_of_America", "url": "http://pusabase.com/"} +{"d:Title": "Metacritic: Freaked Out and Small", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presidents_of_the_United_States_of_America", "url": "http://www.metacritic.com/music/freaked-out-and-small/the-presidents-of-the-united-states-of-america"} +{"d:Title": "Truly the King of Rock and Roll", "d:Description": "Includes an Elvis quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.valdosta.edu/~dlscott/elvis/elvis.html"} +{"d:Title": "For Elvis CD Collectors Only", "d:Description": "Includes news, photos, message forum, reviews, interviews, DVD, VCD, and discography information organised by region.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.elvis-collectors.com/"} +{"d:Title": "Jordan's Elvis World", "d:Description": "Includes news, audio and video downloads, events, articles, image galleries, memorabilia and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.jordans-elvis-world.com/"} +{"d:Title": "Elvis Presley CD Info", "d:Description": "Information on albums from the RCA-BMG-Follow That Dream label, including cover scans, track listings and worldwide catalog numbers. [German and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.elvisoncd.com/"} +{"d:Title": "For Elvis Fans Only", "d:Description": "Contains detailed information on the singer's movies, subsequent soundtracks, concert documentaries and television specials. Includes biography and personal history.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.elvispresley.com.au/"} +{"d:Title": "Elvis Presley News: Topix", "d:Description": "News about Elvis Presley continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.topix.com/who/elvis-presley"} +{"d:Title": "Topix: Elvis Presley", "d:Description": "News about Elvis Presley, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.topix.com/rss/who/elvis-presley.xml"} +{"d:Title": "Wikipedia: Elvis Presley", "d:Description": "Includes biography, legacy, awards, discography, filmography and external links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://en.wikipedia.org/wiki/Elvis_presley"} +{"d:Title": "EP-Tunes.de: Elvis Presley", "d:Description": "Offers photos, discography and press releases. [English/German]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.ep-tunes.de/"} +{"d:Title": "Elvis The Music", "d:Description": "Official Sony Music site.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.elvisthemusic.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Elvis Presley", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://rockhall.com/inductees/elvis-presley"} +{"d:Title": "Elvis Presley's Graceland", "d:Description": "The official authorized website of Elvis Presley and Graceland.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis", "url": "http://www.graceland.com/"} +{"d:Title": "ElvisNews.com", "d:Description": "News related to the artist, updated regularly.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.elvisnews.com/"} +{"d:Title": "Dead Elvis", "d:Description": "Postings from people who claim to have seen the artist after his death.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.deadelvis.com/sighting/seedeadc.html"} +{"d:Title": "Elvis Presley News", "d:Description": "Includes interviews with family and members of the infamous Memphis Mafia.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://elvispresleynews.com/"} +{"d:Title": "Paris Woman Journal: Elvis is Everywhere", "d:Description": "Article about the singer's lasting impact by writer Brian W. Fairbanks.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.angelfire.com/oh2/writer/elvis.html"} +{"d:Title": "The Word Made Flesh: Elvis Presley", "d:Description": "Original commentaries on some of the singer's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.morethings.com/music/elvis"} +{"d:Title": "AskMen.com: Elvis Presley", "d:Description": "Biography and analysis of why the King's name is still a hot Internet search term.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.askmen.com/toys/special_feature/27_special_feature.html"} +{"d:Title": "Elvis Australia", "d:Description": "News and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.elvis.com.au/"} +{"d:Title": "Elvis's Tooth Reappears on eBay - A Crown Without A King", "d:Description": "To mark the 51st anniversary of Elvis's debut appearance on the Ed Sullivan Show, Elvis's tooth made its final appearance on EBay Sunday, September 9th, 2007.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.prweb.com/releases/2007/9/prweb552280.htm"} +{"d:Title": "Variety: Brooks passes Presley in sales", "d:Description": "Garth Brooks has surpassed Elvis Presley as the top-selling solo artist in history, moving 123 million units in his career.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.variety.com/article/VR1117975393.html?categoryid=16&cs=1"} +{"d:Title": "Hail to the Kings of Rock and Bach", "d:Description": "Christian Science Monitor article comparing him and organist E. Power Biggs following their deaths in 1977.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "http://www.csmonitor.com/2000/0918/p22s1.html"} +{"d:Title": "DVD Review: Elvis - The Hollywood Collection, Vol. 1", "d:Description": "To coincide with the 30th anniversary of Elvis' death, Warner Brothers has taken six Elvis movies, of varying degrees of quality, from this period and put them in a DVD box set called The Hollywood Collection, Vol. 1. Review by Dave Lifton.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Articles_and_Interviews", "url": "https://blogcritics.org/dvd-review-elvis-the-hollywood-collection/"} +{"d:Title": "Elvis The Legend Lives On", "d:Description": "Find photos, information and the latest Elvis news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/Elvis-TheLegendLivesOn/"} +{"d:Title": "Topix: Elvis Presley Forum", "d:Description": "Forums and message boards devoted to the entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Chats_and_Forums", "url": "http://www.topix.com/forum/who/elvis-presley"} +{"d:Title": "Elvis Presley Fans of Alabama", "d:Description": "E-mail discussion group open to fans worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/ElvispresleyfansofAlabama/"} +{"d:Title": "Elvis in the Machine", "d:Description": "Cursors, screen savers and games.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Downloads", "url": "http://www.ibiblio.org/elvis/download.html"} +{"d:Title": "Elvis Presley Home", "d:Description": "Includes WAV downloads, quotes, photo gallery, biography, chart positions, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Downloads", "url": "http://www.angelfire.com/rock3/ephome/"} +{"d:Title": "Tupelo Elvis Festival", "d:Description": "Annual gathering in Tupelo, Mississippi, with celebrity guests. Information, schedule, photos, map, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Events", "url": "http://www.tupeloelvisfestival.com/"} +{"d:Title": "Michigan Elvisfest", "d:Description": "Annual celebration held in Ypsilanti. Events, artists, ticket and travel information, chat and past festival details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Events", "url": "http://www.mielvisfest.org/"} +{"d:Title": "Collingwood Elvis Festival", "d:Description": "Schedule and ticket information for the two-day Canadian festival.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Events", "url": "http://www.collingwoodelvisfestival.com/"} +{"d:Title": "Night of 100 Elvises", "d:Description": "Annual Elvis-intensive night to benefit the Johns Hopkins Children's Center. Photos, links, reviews, CDs, performance details and sponsors.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Events", "url": "http://www.nightof100elvises.com/"} +{"d:Title": "The Elvis Connection", "d:Description": "Based in Madison, Wisconsin. Includes history, pictures and media links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Clubs", "url": "http://members.tripod.com/~TheElvisConnection77/"} +{"d:Title": "Memories of Elvis Fan Club", "d:Description": "New Zealand-based group; includes membership information, news, pictures and events.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Clubs", "url": "http://elvis_nzl.tripod.com/"} +{"d:Title": "Elvis Touch, The", "d:Description": "Glasgow branch of the official fan club of Great Britain. Upcoming dances and events, as well as a history of the club.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Clubs", "url": "http://webspace.webring.com/people/el/lillian_cumming/"} +{"d:Title": "Elvis Extravaganza", "d:Description": "Claims to be the world's largest fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Clubs", "url": "http://www.elviscontest.com/"} +{"d:Title": "Elvis World", "d:Description": "Japanese tribute. Includes news, latest releases, Lisa Marie information, photo gallery, discography sorted by region, filmography, and chart history. [Japanese and English versions]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://www.biwa.ne.jp/~presley/"} +{"d:Title": "Elvis Presley File, The", "d:Description": "Contains photo gallery, lyrics, facts, trading, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://theelvispresleyfile.freeservers.com/"} +{"d:Title": "Teresa's Safe Haven for Elvis", "d:Description": "Includes artist's history, fan letters, quotes, movies fan club information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://safehaven.0catch.com/"} +{"d:Title": "My Presley Gallery", "d:Description": "Photo galleries, including the artist's family, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://www.angelfire.com/ca4/mypresleygallery/"} +{"d:Title": "Lee Roy Brown", "d:Description": "Image galleries, artwork and links to tribute artists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://www.leeroybrown.com/"} +{"d:Title": "Original Unofficial Elvis Home Page, The", "d:Description": "Includes software, sightings, pen pals, lyrics, and last will and testament.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://www.ibiblio.org/elvis/elvishom.html"} +{"d:Title": "It's Only Elvis", "d:Description": "News, Graceland tribute and fan's personal collection.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://www.itsonlyelvis.com/"} +{"d:Title": "Always the King", "d:Description": "Includes history, photos, and this fan's discography collection. [German and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://www.always-the-king.de/"} +{"d:Title": "Canberra Elvis", "d:Description": "Based in Canberra, Australia. Includes news, reviews, interviews, photographs, and impersonators.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://www.canberraelvis.com/"} +{"d:Title": "Shae's Elvis Hangout", "d:Description": "Offers timeline with photos, including Graceland.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Fan_Pages", "url": "http://webspace.webring.com/people/vs/shaeville/"} +{"d:Title": "Elvis Lives, Princess Dies", "d:Description": "Drawing similarities between Princess Di's death and Elvis's life. Pure coincidence? You be the judge.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Humor", "url": "http://www.esquilax.com/elvis"} +{"d:Title": "Grudge Match", "d:Description": "Fictional tag-team match between Oprah and Elvis.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Humor", "url": "http://www.grudge-match.com/History/elvii-oprahs.shtml"} +{"d:Title": "Tickle Me Elvis", "d:Description": "Move your cursor over Elvis to tickle him; click on him and he will laugh.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Humor", "url": "http://www.austinchronicle.com/mrpants/elvis.html"} +{"d:Title": "Graceland Coming Right Up", "d:Description": "A photographic tour of Graceland.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Image_Galleries", "url": "http://www.soultones.com/elvis.html"} +{"d:Title": "ElvisPhotos.com", "d:Description": "Official stock photography gallery run by the artist's estate. Includes galleries and purchasing information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Image_Galleries", "url": "http://www.elvisphotos.com/"} +{"d:Title": "Elvis in Hawaii", "d:Description": "Story of the Aloha Special, with photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Image_Galleries", "url": "http://www.elvisinhawaii.com/"} +{"d:Title": "Elvis: Images In Concert", "d:Description": "Devoted to concert photos of Elvis Presley on tour from 1970 to 1977. Find a concert list, concert photo database, news and updates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Image_Galleries", "url": "http://www.imagesinconcert.com/"} +{"d:Title": "Brian's Elvis Corner", "d:Description": "Features image galleries of Elvis Presley on tour as well as candid photos of the entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Image_Galleries", "url": "http://www.brian56.dk/"} +{"d:Title": "Candid Central", "d:Description": "Image gallery for Elvis Presley sorted by event and year. Includes photographer contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Image_Galleries", "url": "http://www.elvis-collectors.com/candid-central/central.html"} +{"d:Title": "Boomers Pinups of Elvis", "d:Description": "Favorite photos, pictures, and memories from the 1950s.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Image_Galleries", "url": "http://boomers-fifties-pinups.com/elvis_presley.html"} +{"d:Title": "Elvis by Request", "d:Description": "Based in London; includes list of appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvisbyrequest.co.uk/"} +{"d:Title": "Hyu, Paul", "d:Description": "UK-based Chinese Elvis impersonator; features biography, pictures and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.chineseelvis.com/"} +{"d:Title": "The Flying Elvi", "d:Description": "Elvis impersonators with parachutes.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.flyingelvi.com/"} +{"d:Title": "Memories Theatre", "d:Description": "Lou Vuto and Charlie Hodge in their salute to Elvis, in Pigeon Forge, Tennessee.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.memoriestheatre.com/"} +{"d:Title": "Flagg, Quentin", "d:Description": "Schedule and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://quentinflagg2000.freeservers.com/"} +{"d:Title": "LeDoyt, Travis", "d:Description": "Profile, schedule, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.angelfire.com/ma3/travisledoyt/"} +{"d:Title": "Bruce, Scot", "d:Description": "Los Angeles based, a tribute act of the \"young Elvis\". Includes biography, photographs and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.scotbruce.com/"} +{"d:Title": "Elvis in Branson", "d:Description": "Pictures and reservations information for the Branson, Missouri show.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvisinbranson.com/"} +{"d:Title": "King Memphis", "d:Description": "An Elvis impersonator from Denmark.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.kingmemphis.dk/"} +{"d:Title": "Morgan, Dave and the Jailhouse Rockers", "d:Description": "Pictures, profiles, and song list. New Jersey based.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://dm-jhrockers.bizhosting.com/"} +{"d:Title": "Parsley, James", "d:Description": "Download Elvis songs performed by the impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://members.tripod.com/singingfool847/"} +{"d:Title": "MacDonald, Chris and the Moments", "d:Description": "Elvis Presley tribute band headquartered in south Florida. Articles, pictures, calendar, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.chrismacdonaldselvis.com/"} +{"d:Title": "Emergency Memories", "d:Description": "Elvis and Buddy Holly impersonation images. Also contact information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.angelfire.com/music/emergencymemories/"} +{"d:Title": "Halverstadt, Paul", "d:Description": "Profile and show information. Cincinnati-based.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.ladyluckmusic.com/artists/paulh/"} +{"d:Title": "James, Janis", "d:Description": "Pictures, biographies, events and demos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://janjames2000.tripod.com/"} +{"d:Title": "Vegas, Dean", "d:Description": "Based in Queensland, Australia. Biography, appearances and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.deanvegas.com/"} +{"d:Title": "Dunham, Rick", "d:Description": "MP3 samples, pictures, links and gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://elvishimselvis.tripod.com/"} +{"d:Title": "Kistler, Art", "d:Description": "Biography, pictures, song samples and schedule. Based in Minneapolis.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://elvistributeartist.com/"} +{"d:Title": "Reflections of Elvis", "d:Description": "Ontario-based live tribute by Wayne Creeden. Biography, contact information, show dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.angelfire.com/music3/reflections/index.html"} +{"d:Title": "Elvis and The Roustabouts", "d:Description": "General information, pictures and biographies from the Washington-based tribute act.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://elvis_theroustabouts.tripod.com/"} +{"d:Title": "Sanders, Walt and the Cadillac Band", "d:Description": "Tribute band from Northern Ohio. Includes introductions, song samples, guestbook, schedule and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://onenightwithyou.net/"} +{"d:Title": "Lee, Ricky", "d:Description": "Las Vegas-style impersonator. Profile, pictures, and events.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.angelfire.com/oh5/elvisbyrickylee/"} +{"d:Title": "Lovett, Johnny", "d:Description": "Show dates, sound clips and pictures. Based in Dallas.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://members.tripod.com/johnnylovett/"} +{"d:Title": "Powers, Eddie", "d:Description": "Based in Las Vegas; pictures, event information, biography and tips on picking an Elvis.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.bestelvisinvegas.com/"} +{"d:Title": "King, R.D.", "d:Description": "Based in Denver. Includes pictures and past performance information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://rdkingiselvis.tripod.com/"} +{"d:Title": "Walker, Randy", "d:Description": "Pictures, biography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.randyelviswalker.com/"} +{"d:Title": "Randolph, Reggie", "d:Description": "Biography, pictures, audio samples and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.reggierandolph.com/"} +{"d:Title": "Mario", "d:Description": "Tribute artist from the UK. Includes schedule, pictures, audio and video clips and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvisartist.com/"} +{"d:Title": "Bartlett, Tom", "d:Description": "North Carolina-based impersonator; biography, image gallery and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.tombartlett.net/"} +{"d:Title": "David Lee", "d:Description": "Based in Birmingham, Alabama. Includes pictures, schedule, biography, voice sample, and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://elvis4u.homestead.com/"} +{"d:Title": "Santana, Jim", "d:Description": "Wakefield, England-based tribute artist. Information on performances, with MP3s and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.jimsantana.co.uk/"} +{"d:Title": "Vuto, Lou", "d:Description": "Performs at the Reflections Theater in Gatlinburg, Tennessee. Pictures, video clips, biography, newsletter, fan club and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.louvuto.com/"} +{"d:Title": "Muse, Bryan", "d:Description": "Based in Muscle Shoals, Alabama; biography, show information, pictures and booking details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.bryanmuse.com/"} +{"d:Title": "El King and His Court", "d:Description": "Pictures, video clips, show information and media appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elkingandhiscourt.com/"} +{"d:Title": "Foster, Johnny", "d:Description": "References, pictures, list of CDs and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://elvisfoster01.tripod.com/"} +{"d:Title": "Gascon, Irby", "d:Description": "Audio samples, pictures and contact information for the Los Angeles performer.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://home.earthlink.net/~tributeproductions/elvisimpersonatorirbygascon/"} +{"d:Title": "Kolb, Dennis", "d:Description": "Appearances and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.junctionentertainment.com/junctionentertainment/elvis.html"} +{"d:Title": "Spalding, Matthew", "d:Description": "Pictures and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.matthewspalding.50megs.com/"} +{"d:Title": "Furr, Ron", "d:Description": "St. Louis, Missouri based Elvis tribute artist who performs concerts and club shows. Includes his biography, fan club news, show schedules, and news about his band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.touchofelvis.net/"} +{"d:Title": "Connolly, Steve", "d:Description": "Elvis impersonator offering shows in Las Vegas, weddings and other concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.spiritoftheking.com/"} +{"d:Title": "Solano, Chris", "d:Description": "Based in Michigan; photos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://56elvis.net/"} +{"d:Title": "elvis2.com", "d:Description": "UK Elvis Presley tribute artist presents full Las Vegas show.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvis2.com/"} +{"d:Title": "Triana, Manny", "d:Description": "Impersonator performing in the Dallas/Fort Worth area; includes biography, pictures, audience feedback and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.singliketheking.com/"} +{"d:Title": "Jaqua, Greg", "d:Description": "Detroit-based tribute artist. Booking information, biography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.angelfire.com/biz2/artsunlimited/index.html"} +{"d:Title": "Bringing it Back", "d:Description": "Brian Lee Howell in his Elvis Presley tribute act portrays Elvis from the 50s to the 70s. Find biography, audio clips, schedule, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.brianleehowell.com/"} +{"d:Title": "Wolfe, Fred", "d:Description": "Michigan based, all era Elvis impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.fredwolfe.com/"} +{"d:Title": "Schulz, Harold", "d:Description": "Atlanta-based '50s to '60s-era impersonator; includes pictures, song list and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.bluesuedeent.com/"} +{"d:Title": "Miller, Greg", "d:Description": "Las Vegas-area stage act; includes biography, credits, audio and video clips, pictures, memorabilia collection and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvisinvegas.net/"} +{"d:Title": "Henderson, Keith", "d:Description": "Chapel Hill, N.C., tribute artist. History, CDs, audio samples, pictures and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.keithillusions.com/"} +{"d:Title": "Elvis Shmelvis", "d:Description": "U.K. tribute act. Pictures, press, video clips, biography, services and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.shmelvis.com/"} +{"d:Title": "Fox, Martin", "d:Description": "Appearances, pictures, music and video samples and contact information. Based in the UK.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvis2k.co.uk/"} +{"d:Title": "Ratcliffe, Mike", "d:Description": "Pictures, show dates, and sound files. Based in Virginia Beach, Virginia.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.lvismiker.com/"} +{"d:Title": "Billy Rebel Official Site", "d:Description": "Canadian singer songwriter and Elvis Tribute artist, Billy Rebel offers a detailed biography, sound clips, and photo album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.billyrebel.com/"} +{"d:Title": "Myspace: William Stiles In The STILE of Elvis", "d:Description": "Myspace page of world champion Elvis tribute artist William Stiles. Resume, pictures, music, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "https://myspace.com/williamstilestcb"} +{"d:Title": "Hawkins, Chris", "d:Description": "UK-based Elvis impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvisforhire.co.uk/"} +{"d:Title": "Rivers, Darren", "d:Description": "Based in West Yorkshire, England. Photographs and details of the singer, audio clips, gig guide and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.ukelvistribute.co.uk/"} +{"d:Title": "Marvin, Paul", "d:Description": "Elvis impersonator in Ohio. Provides photos and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.paulmarvin.bravehost.com/"} +{"d:Title": "Pilarm, Eilert", "d:Description": "Profile, news, discography, and sound files. Based in Sweden.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.pergunnareriksson.se/eilert.html"} +{"d:Title": "Anderson, Todd", "d:Description": "Biography, pictures, schedule and booking contact for the Minnesota impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://sites.google.com/site/toddandersonaselvis/"} +{"d:Title": "Bair, Robert 'Teddy'", "d:Description": "Includes pictures and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvisteddybair.com/"} +{"d:Title": "Klush, Shawn", "d:Description": "Pennsylvania tribute artist's biography, discography, videos, schedule, fan club information and media.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.shawnklush.com/"} +{"d:Title": "Kleiner, Art", "d:Description": "Based in Bellingham, Washington. Biography, demos, bookings, pictures and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.crooner4hire.com/?page=elvis"} +{"d:Title": "Richie, Paul", "d:Description": "Tribute artist, impersonator and lookalike from the United Kingdom. Includes biography, photos, video clips and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.elvistribute.me/"} +{"d:Title": "Thompson, Johnny", "d:Description": "Based in Las Vegas, tribute artist Johnny Thompson offers audio and video clips, booking information, and calendar of events.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators", "url": "http://www.vegas-elvis.com/"} +{"d:Title": "Pure Elvis", "d:Description": "Elvis entertainment agency based in the UK. Includes photographs and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators/Agencies", "url": "http://www.pure-elvis.com/"} +{"d:Title": "Vuto, Lou", "d:Description": "Exclusive interview with Lou, and a voting booth.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators/Fan_Pages", "url": "http://www.angelfire.com/tn/LouVuto/"} +{"d:Title": "The Elvis Impersonator's Support Page", "d:Description": "References and links for supplies such as jumpsuits, music and accessories.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators/Resources", "url": "http://members.tripod.com/elvislives99/"} +{"d:Title": "Elvis Tribute Radio", "d:Description": "Offers monthly radio show featuring Elvis Presley impersonators, their music, interviews, and venues.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators/Resources", "url": "http://www.ladyluckmusic.com/"} +{"d:Title": "E-Impersonators.com", "d:Description": "Features, impersonator of the month, and listings of tribute artists and accessory dealers.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Impersonators/Resources", "url": "http://www.eimpersonators.com/"} +{"d:Title": "Oldie Lyrics: Elvis Presley", "d:Description": "Sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Lyrics", "url": "http://www.oldielyrics.com/e/elvis_presley.html"} +{"d:Title": "LyricsFreak: Elvis Presley", "d:Description": "Sorted by album and song title.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Lyrics", "url": "http://www.lyricsfreak.com/e/elvis+presley/"} +{"d:Title": "Elvis Presley's Hollywood", "d:Description": "Placed to visit in Hollywood related to the artist's life.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.seeing-stars.com/StarIndexes/Elvis.shtml"} +{"d:Title": "The Early Years", "d:Description": "The Fifties website spotlights Elvis with facts, figures, pictures and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.fiftiesweb.com/elvis.htm"} +{"d:Title": "Elvis Forever Museum", "d:Description": "Collection of 20,000 items listed in G\u00e9rard Vachon's Museum, Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://elvisforever.tripod.com/"} +{"d:Title": "Jerry Scheff", "d:Description": "The bass player in TCB. Includes memories, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.scheff.com/jerry/"} +{"d:Title": "Elvis on the Air", "d:Description": "Information about the only radio show endorsed and licensed by Elvis Presley Enterprises.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvisontheair.com/"} +{"d:Title": "Elvis Presley in Concert", "d:Description": "Archive of information on live appearances from 1968 to 1977.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvis-in-concert.com/"} +{"d:Title": "ElvisFind", "d:Description": "Search engine and site directory with e-cards, downloads and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvisfind.com/"} +{"d:Title": "Elvis' Women", "d:Description": "Devoted to Elvis' leading ladies and co-stars.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://elviswomen.greggers.net/"} +{"d:Title": "The Smoking Gun", "d:Description": "Documents that show the FBI's knowledge of Elvis' addiction to cocaine.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.thesmokinggun.com/archive/elvis1.html"} +{"d:Title": "Elvis Presley WWW Virtual Library", "d:Description": "Elvis Presley Internet resources.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvicities.com/~ep_www_vl/"} +{"d:Title": "Wikiquote: Elvis Presley", "d:Description": "Quotes attributed to the entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://en.wikiquote.org/wiki/Elvis_Presley"} +{"d:Title": "Elvis Presley in Concert", "d:Description": "Includes concert details, photos, reviews and searchable jumpsuit files.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvisconcerts.com/"} +{"d:Title": "Elvis Presley Music", "d:Description": "Contains music videos, audio and video interviews and a day by day biography of Elvis Presley's life from 1935 to 1977, including all concerts, movies and important events.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvispresleymusic.com.au/"} +{"d:Title": "Rotten Tomatoes: Elvis Presley", "d:Description": "Filmography, links to reviews and news, posters and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.rottentomatoes.com/celebrity/elvis_presley/"} +{"d:Title": "Elvis The King", "d:Description": "Comprehensive resource of information about Elvis Presley and all manner of Elvis merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvis.net/"} +{"d:Title": "MTV: Elvis Presley", "d:Description": "Includes album reviews, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.mtv.com/artists/elvis-presley/"} +{"d:Title": "Elvis Museum", "d:Description": "Based in Hawera, New Zealand.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elvismuseum.co.nz/"} +{"d:Title": "Country Music Hall of Fame and Museum", "d:Description": "Elvis Presley inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.countrymusichalloffame.org/artists/artist-detail/elvis-presley"} +{"d:Title": "Elvis Collector", "d:Description": "Includes a large collection of rare Elvis Presley photos.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Presley,_Elvis/Resources", "url": "http://www.elviscollector.info/"} +{"d:Title": "The Band: Billy Preston", "d:Description": "Brief explanation of Billy's link to The Band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Preston,_Billy", "url": "http://theband.hiof.no/band_members/billy_preston.html"} +{"d:Title": "Rock on the Net: Billy Preston", "d:Description": "Highlights from Preston's life.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Preston,_Billy", "url": "http://www.rockonthenet.com/artists-p/billypreston_main.htm"} +{"d:Title": "Billy Preston Portfolio", "d:Description": "Short biography and sound clips discussing Preston's work with the Beatles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Preston,_Billy", "url": "http://www.getback.org/bbilly.html"} +{"d:Title": "Billy Preston", "d:Description": "Official site includes the late performer's biography and discography, videos, and links to news and tributes.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Preston,_Billy", "url": "http://www.billypreston.net/"} +{"d:Title": "Jiblet's Pretenders Place", "d:Description": "Provides information about the band, photos, chat, and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretenders", "url": "http://www.pretenders.org/"} +{"d:Title": "Pretenders Archives", "d:Description": "Contains information on the band's members, a discography, news, photographs, collectibles, lyrics, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretenders", "url": "http://www.pretendersarchives.com/"} +{"d:Title": "Triple J: Fifty Pretenders Facts", "d:Description": "Trivia about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretenders", "url": "http://www.abc.net.au/triplej/jfiles/files/s360904.htm"} +{"d:Title": "Rock and Roll Hall of Fame: The Pretenders", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretenders", "url": "http://rockhall.com/inductees/the-pretenders/"} +{"d:Title": "Pretenders 977 Radio", "d:Description": "Archive of videos, live shows, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretenders", "url": "http://www.pretenders.org.uk/"} +{"d:Title": "MTV: The Pretenders", "d:Description": "News, biography, albums, audio clips, pictures, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretenders", "url": "http://www.mtv.com/artists/the-pretenders/"} +{"d:Title": "Revenge of the Electric Banana, The", "d:Description": "Fan site containing band history, stories, profiles, discography, lyrics, news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretty_Things,_The", "url": "http://www.pretties.de/"} +{"d:Title": "Leonard's Lair: S.F.Sorrow", "d:Description": "Jonathan Leonard's review of the album: \"Along with 'Odessey And Oracle' by The Zombies this is one of those lost great rock albums which has only been appreciated retrospectively.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretty_Things,_The", "url": "http://www.leonardslair.co.uk/sfsorrow.htm"} +{"d:Title": "Search for: Atlantis", "d:Description": "Fan site with general information, links, and album cover scans.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pretty_Violet_Stain", "url": "http://www.angelfire.com/mt/ozcats/pvs/mainpage.html"} +{"d:Title": "Atlyrics.com - Kelly Price", "d:Description": "Lyrics for Price's first two albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Price,_Kelly", "url": "http://www.atlyrics.com/k/kellyprice/index.html"} +{"d:Title": "History of Rock: Lloyd Price", "d:Description": "Biography and picture.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Price,_Lloyd", "url": "http://www.history-of-rock.com/price.htm"} +{"d:Title": "All Music Guide: Lloyd Price", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Price,_Lloyd", "url": "http://www.allmusic.com/artist/lloyd-price-p5179"} +{"d:Title": "Rock and Roll Hall of Fame: Lloyd Price", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Price,_Lloyd", "url": "http://rockhall.com/inductees/lloyd-price/"} +{"d:Title": "Prick Your Imagination", "d:Description": "A short biography, interviews, lyrics, tour information, pictures, and sound clips [RealAudio].", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prick", "url": "http://prick.myplace.org/"} +{"d:Title": "ArtistDirect.com: Prick", "d:Description": "Biography and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prick", "url": "http://www.artistdirect.com/artist/prick/513973"} +{"d:Title": "Jazz Crowd", "d:Description": "E-mail discussion list about Prieboy's music and other artistic endeavors. Archives and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prieboy,_Andy", "url": "http://groups.yahoo.com/group/JazzCrowd"} +{"d:Title": "LA Weekly: \"The Music Man\"", "d:Description": "Feature on Prieboy and the creation of \"White Trash Wins Lotto.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prieboy,_Andy", "url": "http://www.laweekly.com/1999-03-04/music/the-music-man/"} +{"d:Title": "Primalscream.org", "d:Description": "Official site done entirely in Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primal_Scream", "url": "http://www.primalscream.org/"} +{"d:Title": "Webadelica", "d:Description": "One of the first unofficial Primal Scream websites.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primal_Scream", "url": "http://www.theprimalscream.com/index.html"} +{"d:Title": "Carling Homecoming: Primal Scream", "d:Description": "Review and video clips from an April 2003 performance at The Garage in Glasgow.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primal_Scream", "url": "http://www.carlinglive.com/homecoming/primal_scream.html"} +{"d:Title": "NME.com: Primal Scream.", "d:Description": "News, reviews, features, discography, e-cards, pictures and links from the British music magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primal_Scream", "url": "http://www.nme.com/artists/primal-scream"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Primal Scream", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primal_Scream", "url": "http://sfloman.com/primalscream.html"} +{"d:Title": "Metacritic: XTRMNTR", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primal_Scream", "url": "http://www.metacritic.com/music/xtrmntr/primal-scream"} +{"d:Title": "The Cheesy Homepage", "d:Description": "A Primus page including a discography, polls, trivia and other information about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://www.ram.org/music/primus/"} +{"d:Title": "Them Crazy Bastards", "d:Description": "Dedicated to the men who would call them themselves bastards. Information on the band, a discography, news, lyrics, sound clips, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://members.tripod.com/~Mickey6/bastards.htm"} +{"d:Title": "Jim Wade's Sucky Primus Page", "d:Description": "Lots of band information, bass tablature, tape trading, concert reviews, pictures and opinions.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://mama.indstate.edu/users/primus/"} +{"d:Title": "Lyrics at Rockmagic: Primus", "d:Description": "Song lyrics to the band's three albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://lyrics.rockmagic.net/lyrics/primus/"} +{"d:Title": "Primus Aleksplasty", "d:Description": "Biography, lyrics, tablature, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://primus47.tripod.com/"} +{"d:Title": "Toasterland", "d:Description": "Pictures of Primus in concert, memorabilia such as ticket stubs and postcards, setlists, and band-related landmarks.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://www.toasterland.com/"} +{"d:Title": "Primusville", "d:Description": "Official web site, featuring audio and video, updates, and information on the band and their albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://primusville.com/"} +{"d:Title": "MTV: Primus", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Primus", "url": "http://www.mtv.com/artists/primus/"} +{"d:Title": "WWWF Grudge Match: Michael Jackson vs. Prince", "d:Description": "Commentary on a fictional fight between the two artists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.grudge-match.com/History/prince-jackson.shtml"} +{"d:Title": "DoveCity: Prince Fan Club", "d:Description": "Atlanta based fan activities, gatherings and events including charities. Includes pictures, postcards, weekly discussions, Atlanta entertainment.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://dovecity.fanspace.com/"} +{"d:Title": "Beatles of Funk, The: Prince", "d:Description": "Analysis of six songs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.morethings.com/music/prince"} +{"d:Title": "TAFKAP: The Artist Prince", "d:Description": "Includes discography, article, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.angelfire.com/wv/Royalbadness/"} +{"d:Title": "Prince In Print: Prince", "d:Description": "A black-and-white history, including album reviews, interviews, news articles and chart positions.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://princetext.tripod.com/"} +{"d:Title": "Prince Essential Bootlegs", "d:Description": "Collector's resource for identifying and ranking bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.smallclub.org/"} +{"d:Title": "Erotic City NPG", "d:Description": "Yahoo fan discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://groups.yahoo.com/group/EroticCityNPG/"} +{"d:Title": "Goldies Parade", "d:Description": "Unofficial and independent fansite with latest news on tours and releases.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.goldiesparade.co.uk/"} +{"d:Title": "Princeworld", "d:Description": "A source for setlists, latest releases and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.princeworld.net/"} +{"d:Title": "Goldnigga - Prince", "d:Description": "Discography, reviews and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.goldnigga.nl/"} +{"d:Title": "Guide2Prince", "d:Description": "Worldwide discography including cover versions, promos, vinyl, CDs, books and magazines.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://guide2prince.org/"} +{"d:Title": "Sleevographia", "d:Description": "Guide to vinyl picture sleeves with pictures. [English and French]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://sleevographia2.free.fr/"} +{"d:Title": "Rolling Stone: Prince", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.rollingstone.com/music/artists/prince"} +{"d:Title": "Rock and Roll Hall of Fame: Prince", "d:Description": "Inductee profile and bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://rockhall.com/inductees/prince/"} +{"d:Title": "2 Nigs United 4 Webdom", "d:Description": "Jokes, articles, pictures, parodies and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.imprintimaging.com.au/nigs/2nigs%20index.html"} +{"d:Title": "Diamonds and PURLs", "d:Description": "A record of all the sites that the artist has been instrumental in having closed, whether directly or indirectly. Also links to information on the lawsuit and site closures.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://valsadie.com/aolpages/prince.htm"} +{"d:Title": "AprilSnow", "d:Description": "Collectors' reference guide to Prince, NPG, bootlegs, books, movies and items for sale and trade.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.aprilsnow.be/"} +{"d:Title": "Prince Vault", "d:Description": "Encyclopedia covering the artist and related personnel.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.princevault.com/"} +{"d:Title": "MTV: Prince", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince", "url": "http://www.mtv.com/artists/prince/"} +{"d:Title": "PrinceLyrics.co.uk", "d:Description": "Searchable database of official songs and outtakes sorted by title and album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prince/Lyrics", "url": "http://www.princelyrics.co.uk/"} +{"d:Title": "Princess Superstar", "d:Description": "Information on the rock/rap group in the East Village.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Princess_Superstar", "url": "http://princesssuperstar.com/"} +{"d:Title": "John Prine Shrine, The", "d:Description": "Tour information and reviews, biographies, discography, lyrics, pictures, newsletter, mailing list, listing of chat rooms.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prine,_John", "url": "http://www.jpshrine.org/"} +{"d:Title": "A Big, Fat Retrospective: John Prine", "d:Description": "A look at the artist's career.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prine,_John", "url": "http://www.furious.com/perfect/prine.html"} +{"d:Title": "Oh Boy Records", "d:Description": "Prine's label - tour dates, information and sales.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prine,_John", "url": "http://www.ohboy.com/"} +{"d:Title": "The John Prine Backpage", "d:Description": "Discography including collaborative works and a short biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prine,_John", "url": "http://www.shrout.co.uk/jpbackpage.html"} +{"d:Title": "Rolling Stone: John Prine", "d:Description": "Includes biography, photo gallery, discography, album reviews and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prine,_John", "url": "http://www.rollingstone.com/music/artists/john-prine"} +{"d:Title": "MTV: John Prine", "d:Description": "Reviews, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prine,_John", "url": "http://www.mtv.com/artists/john-prine/"} +{"d:Title": "Maddy Prior", "d:Description": "Fan site devoted to the lead singer of Steeleye Span for much of the band's long history.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prior,_Maddy", "url": "http://www.gaudela.net/prior/"} +{"d:Title": "Maddy Prior and the Carnival Band", "d:Description": "Review of the concert at the Concert Hall, Reading, Dec 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prior,_Maddy", "url": "http://www.rambles.net/prior1201_live.html"} +{"d:Title": "Maddy Prior at Stones Barn", "d:Description": "Biography. Also information about various arts courses run at her home in North Cumbria.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prior,_Maddy", "url": "http://www.maddyprior.co.uk/"} +{"d:Title": "Maddy Prior's \"Ravenchild\"", "d:Description": "Review by George Graham.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prior,_Maddy", "url": "http://georgegraham.net/reviews/priorrc.html"} +{"d:Title": "The Proclaimers", "d:Description": "Official site of the Scottish group includes news, history, audio and video files, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Proclaimers,_The", "url": "http://www.proclaimers.co.uk/"} +{"d:Title": "Ernie's Proclaimers Site", "d:Description": "Includes discography, lyrics, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Proclaimers,_The", "url": "http://www.ernie.dds.nl/proclaim/index.htm"} +{"d:Title": "The Prodigy", "d:Description": "Official site. Band news, downloads, discussion forums, tour dates and online shop.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy", "url": "http://www.theprodigy.com/"} +{"d:Title": "Breathe66's page", "d:Description": "Contains discography, pictures, lyrics, sounds, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Fan_Pages", "url": "http://breathe66.tripod.com/"} +{"d:Title": "Claustrophobic Prodigy Page", "d:Description": "Includes 1500 pictures, MP3, videos, samples, latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Fan_Pages", "url": "http://www.brainkiller.it/"} +{"d:Title": "Juge's Prodigy Pages", "d:Description": "Includes news, quotes, articles, discography, tablatures, lyrics, videography, history, gig guide, pictures, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Fan_Pages", "url": "http://www.theprodigy.info/"} +{"d:Title": "TheProdigy.nl", "d:Description": "News, history, bandmembers, discography, downloads, articles, forums, tour dates and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Fan_Pages", "url": "http://www.theprodigy.nl/"} +{"d:Title": "Nekosite", "d:Description": "Includes audio, exclusive pictures, live reviews, insider information, news, tour dates, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Fan_Pages", "url": "http://www.nekozine.co.uk/"} +{"d:Title": "Kotta's Prodigy Site", "d:Description": "Discography with rare collectibles and bootlegs, 200 rare pictures, a Longman and a Maxim section, and tourdates.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Fan_Pages", "url": "http://www.kotta.se/prodigy/"} +{"d:Title": "Liam Howlett DJ Reviews", "d:Description": "Reviews, soundfiles, and pictures from DJ sets.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Howlett,_Liam", "url": "http://www.nekozine.co.uk/liamdj/liamdj.html"} +{"d:Title": "MTV.com: The Prodigy", "d:Description": "Video and audio downloads, news, photos, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prodigy/Resources", "url": "http://www.mtv.com/artists/the-prodigy/"} +{"d:Title": "Decapolis: Project 86", "d:Description": "Interview with Andrew.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Project_86", "url": "http://www.decapolis.com/musicreviews/interviews/project86.shtml"} +{"d:Title": "Project 86", "d:Description": "Official site has lyrics, sounds, articles, tour dates, discography, pictures, FAQ, and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Project_86", "url": "http://www.project86.com/"} +{"d:Title": "ArtistDirect: Promise Ring", "d:Description": "Includes photos, audio clips, biography, album info, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Promise_Ring,_The", "url": "http://www.artistdirect.com/artist/promise-ring/571051"} +{"d:Title": "Pitchfork: Promise Ring: Electric Pink EP", "d:Description": "Mostly unfavorable review by Brent DiCrescenzo.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Promise_Ring,_The", "url": "http://pitchfork.com/reviews/albums/6495-electric-pink-ep/"} +{"d:Title": "RockMagic.net: Prong", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prong", "url": "http://lyrics.rockmagic.net/lyrics/prong/"} +{"d:Title": "Devil Man's Realm: Prong", "d:Description": "History, discography, lyrics and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prong", "url": "http://members.tripod.com/~devl_man/Prong/prong.html"} +{"d:Title": "Scorpio Rising", "d:Description": "Unofficial site with news, tour details, tablatures, pictures, press and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prong", "url": "http://www.harkin.org/prong/"} +{"d:Title": "Official Prong Website", "d:Description": "News, tour dates, biography, MP3s, pictures and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prong", "url": "http://www.prongmusic.com/"} +{"d:Title": "MTV.com: Propellerheads", "d:Description": "Includes album reviews, music news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Propellerheads", "url": "http://www.mtv.com/artists/propellerheads/"} +{"d:Title": "Chuck Prophet", "d:Description": "A site dedicated to Chuck Prophet and Green on Red. Latest tour dates, news, articles, interviews, biographies, discography, band members, and albums.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prophet,_Chuck", "url": "http://www.chuckprophet.com/"} +{"d:Title": "Prozzak / Simon and Milo Page, The", "d:Description": "A fan site dedicated to the pop band Prozzak / Simon and Milo. Provides pictures, links, lyrics and music clips.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prozz\u00e4k", "url": "http://www.angelfire.com/ab/artictrading/prozzak.html"} +{"d:Title": "The official unofficial prozz\u00e4k faq", "d:Description": "Brief information about the band and their album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Prozz\u00e4k", "url": "http://prozzak.tripod.com/faq"} +{"d:Title": "Burned Down Days", "d:Description": "Fan tribute offers a discography, gigography, videography, multimedia downloads, photos, discussion forum, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Psychedelic_Furs", "url": "http://www.burneddowndays.com/"} +{"d:Title": "Ink19: The Psychedelic Furs", "d:Description": "Band overview, and interview with frontman Richard Butler (January 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/P/Psychedelic_Furs", "url": "http://www.ink19.com/issues/january2002/interviews/psychedelicFurs.html"} +{"d:Title": "TrouserPress: The Psychedelic Furs", "d:Description": "Band history and brief album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Psychedelic_Furs", "url": "http://www.trouserpress.com/entry.php?a=psychedelic_furs"} +{"d:Title": "Musicfolio: The Psychedelic Furs", "d:Description": "Discography, album reviews and ratings, with links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Psychedelic_Furs", "url": "http://musicfolio.com/modernrock/psychedelicfurs.html"} +{"d:Title": "All Music Guide: Psychedelic Furs", "d:Description": "Provides a biography, photo, member profiles, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Psychedelic_Furs", "url": "http://www.allmusic.com/artist/the-psychedelic-furs-mn0000418662"} +{"d:Title": "Ptarmigan", "d:Description": "Progressive folk band that released only one LP. Includes pictures, information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Ptarmigan", "url": "http://psychedelicfolk.homestead.com/Ptarmigan.html"} +{"d:Title": "Rockmagic.net: Public Enemy Lyrics", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Enemy", "url": "http://lyrics.rockmagic.net/lyrics/public_enemy/"} +{"d:Title": "Public Enemy", "d:Description": "Official site features information on the group, Chuck D's blog, news and events, record releases, and the Enemy Board forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Enemy", "url": "http://www.publicenemy.com/"} +{"d:Title": "Rolling Stone: Public Enemy", "d:Description": "Includes biography, recordings, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Enemy", "url": "http://www.rollingstone.com/music/artists/public-enemy"} +{"d:Title": "Riot Show", "d:Description": "Riot Show at the Ritz, 1981- an insider's account.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Image_Limited", "url": "http://www.furious.com/perfect/pil.html"} +{"d:Title": "Rockmagic: Public Image Ltd.", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Image_Limited", "url": "http://lyrics.rockmagic.net/lyrics/public_image_ltd/"} +{"d:Title": "Oldie Lyrics: Public Image Limited", "d:Description": "Sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Image_Limited", "url": "http://www.oldielyrics.com/p/public_image_limited.html"} +{"d:Title": "Fodderstompf", "d:Description": "Extensive archives, discography, history and information. Also includes audio and interaction for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Image_Limited", "url": "http://www.fodderstompf.com/fodhome.html"} +{"d:Title": "Public Image Ltd. Official", "d:Description": "Includes tour dates, news, discography, biography, and images for the reformed band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Image_Limited", "url": "http://www.pilofficial.com/"} +{"d:Title": "MusicOMH: Jah Wobble and Bill Laswell", "d:Description": "Radioaxiom: A Dub Transmission review of released on Axiom Records in 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Public_Image_Limited", "url": "http://www.musicomh.com/reviews/albums/jah-wobble-bill-laswell-radioaxiom-a-dub-transmission"} +{"d:Title": "Puddle of Mudd", "d:Description": "Fan site includes news, biography, discography, lyrics, photo gallery, tablatures, facts, message board and MP3 download.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Puddle_of_Mudd", "url": "http://members.tripod.com/pom_fans/"} +{"d:Title": "Puddle of Mudd", "d:Description": "Official site includes news, audio and video clips, pictures, tour dates, message board and chat. [Requires Flash.]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/P/Puddle_of_Mudd", "url": "http://www.puddleofmudd.com/"} +{"d:Title": "Puffy AmiYumi", "d:Description": "Official site with biography, discography, audio samples, message board, wallpaper and diaries. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/P/Puffy", "url": "http://www.puffyamiyumi.com/"} +{"d:Title": "A Common Person Like You", "d:Description": "Interview with Nick Banks from the Pulp's US tour in June 1996.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://members.tripod.com/~fritzh/Music/Pulp.htm"} +{"d:Title": "Pulp World", "d:Description": "Profiles, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://www.mackers.com/pulpworld/"} +{"d:Title": "Fear, The: Pulp Fansite", "d:Description": "Includes profiles, pictures, discography, video clip downloads, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://members.tripod.com/~pink_glove/"} +{"d:Title": "Rockmagic: Pulp", "d:Description": "Thirty-four song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://lyrics.rockmagic.net/lyrics/pulp/"} +{"d:Title": "Leonard's Lair: We Love Life", "d:Description": "Jonathan Leonard's review of the album: \"Given the energy and invigorating feel of this album the title seems remarkably apt.\" 4 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://www.leonardslair.co.uk/pulp2.htm"} +{"d:Title": "Hardcore Pulp", "d:Description": "A fan site offering up-to-date news, tour information, exclusive audio, reviews, discography and an online store specialising in UK and Japanese releases.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://www.users.totalise.co.uk/~jaay/"} +{"d:Title": "We Love Pulp", "d:Description": "News, pictures, sounds, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://www.welovepulp.8k.com/"} +{"d:Title": "Acrylic Afternoons", "d:Description": "Unofficial website. Discography, news, gigography, press interviews, tv appearances, reviews and rare tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://www.acrylicafternoons.com/"} +{"d:Title": "Pulp - Wikipedia", "d:Description": "History of the band and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://en.wikipedia.org/wiki/Pulp_(band)"} +{"d:Title": "Pulp reviews - sputnikmusic", "d:Description": "Pulp biography, news, music reviews, downloads, ratings and discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://www.sputnikmusic.com/bands.php?full=1&bandid=2004"} +{"d:Title": "Bar Italia", "d:Description": "Discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://baritalia.activeboard.com/"} +{"d:Title": "MTV: Pulp", "d:Description": "Includes album reviews, news, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pulp", "url": "http://www.mtv.com/artists/pulp/"} +{"d:Title": "Leonard's Lair Review of 'Planet Helpless'", "d:Description": "Rated 4 out of 5. \"If Manchesterneeded a soundtrack to capture the Zeitgeist this deserves to be it.\"", "topic": "Top/Arts/Music/Bands_and_Artists/P/Puressence", "url": "http://www.leonardslair.co.uk/puressence.htm"} +{"d:Title": "Really, Honest, I'm a Nice Guy!", "d:Description": "Live review of The Pursuit of Happiness with Queazy from Drop-D Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pursuit_of_Happiness,_The", "url": "http://dropd.com/issue/45/TPOH/"} +{"d:Title": "Canadian Music Encyclopedia: The Pursuit of Happiness", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Pursuit_of_Happiness,_The", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/P/Pursuit_Of_Happiness.html"} +{"d:Title": "She Don't Like Me", "d:Description": "Fan site includes pictures, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Push_Down_and_Turn", "url": "http://members.tripod.com/theskyisfallingdown/"} +{"d:Title": "The Other World: The Push Stars", "d:Description": "Fan page includes images, news, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Push_Stars,_The", "url": "http://www.angelfire.com/music/pushstars/"} +{"d:Title": "Push Stars", "d:Description": "Official site. Includes band biography, profiles and history, audio, photo gallery, press, discography, filmography, show dates, reviews, news, merchandise store, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Push_Stars,_The", "url": "http://www.thepushstars.com/"} +{"d:Title": "Ground Puya", "d:Description": "Includes news, tour dates, band information, lyrics, pictures, polls, chat, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Puya", "url": "http://www.angelfire.com/rock/fundamental/main.html"} +{"d:Title": "Music-Critic.com", "d:Description": "Review of Fundamental.", "topic": "Top/Arts/Music/Bands_and_Artists/P/Puya", "url": "http://www.music-critic.com/rock/puya_fundamental.htm"} +{"d:Title": "Jammin' With PYT", "d:Description": "Information, pictures, biographies, news, appearances, articles.", "topic": "Top/Arts/Music/Bands_and_Artists/P/PYT", "url": "http://www.angelfire.com/yt/PYT/index.html"} +{"d:Title": "PYT Music", "d:Description": "News, links, video, audio, biographies and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/PYT", "url": "http://jondisc_44.tripod.com/pyt/index.html"} +{"d:Title": "PYT's Fan Attic", "d:Description": "Includes biographies, pictures, schedules, songs, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/PYT", "url": "http://www.angelfire.com/band/PYT/"} +{"d:Title": "Down For PYT", "d:Description": "Biography, news, schedule, pictures, lyrics, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/P/PYT", "url": "http://www.angelfire.com/pa3/downforpyt/"} +{"d:Title": "PYT Zone, The", "d:Description": "Pictures, audio, video, information, appearances, biographies, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/P/PYT", "url": "http://www.angelfire.com/pop/PYT/enter.html"} +{"d:Title": "Lindzi.com: PYT", "d:Description": "The group discusses crazy moments, their upcoming album, and life on the road.", "topic": "Top/Arts/Music/Bands_and_Artists/P/PYT", "url": "http://www.lindzi.com/hotstuff"} +{"d:Title": "Qynn, Selia", "d:Description": "Singer/songwriter/musician/poet of original acoustic folk-jazz music with a soft, seductive edge.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.selia.com/"} +{"d:Title": "Qwestion", "d:Description": "Official site of band from the USA with MP3s, biography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.qwestion.net/"} +{"d:Title": "Quichua Mashis", "d:Description": "A band formed by Quichua Indians from northern Ecuador. Sells music CDs, instruments, and clothing from the Andes region of South America.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.incasite.com/"} +{"d:Title": "Quinn", "d:Description": "Official site for the American songwriter/composer. Mystical and rhythmic world music. Features RealAudio, requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.orisonmusic.com/"} +{"d:Title": "Quixotic", "d:Description": "Fan site for the D.C. band with photos, news, and CD art.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://members.tripod.com/foy-jeff/"} +{"d:Title": "Question of Honour", "d:Description": "The official site for the rock band. Band information, song clips, CD information and ordering, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.strangehues.com/"} +{"d:Title": "Quain, Kevin", "d:Description": "Pictures, information, soundfiles, listing of upcoming events related to the musician, songwriter and cabaret artist.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.kevinquain.com/"} +{"d:Title": "Quigley, Jack", "d:Description": "Home of the acoustic roots musician playing music described as \"Full Contact Folk Music\". Biography, discography, CD ordering information, song clips, gig dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.whatanicewebsite.com/jack/"} +{"d:Title": "Quateman, Bill", "d:Description": "Fan site for one of Chicago's best loved 70s singer-songwriters; featuring biography, CDs and other merchandise, club dates, photos and sound bites. Requires Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.billquateman.com/"} +{"d:Title": "Quest For Tibet", "d:Description": "Techno/trance fusion artist. Includes MP3 audio clips of his work, software used to record the songs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://questfortibet3.tripod.com/questfortibet3_music/"} +{"d:Title": "Quick, Kevin", "d:Description": "Biography, discography, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.kevinquick.com/kkrecords/"} +{"d:Title": "Quakes, The", "d:Description": "American psychobilly band since 1986. Toured all over Europe and Japan and released seven albums.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.thequakes.com/"} +{"d:Title": "Quistaday", "d:Description": "Fan site includes lyrics, tour dates, and photos for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.angelfire.com/rock/quistaday/"} +{"d:Title": "Quintessence", "d:Description": "Fan tribute to the 70s British psychedelic band.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.mooncowhq.ch/Psychedelia.htm/Psychedelia_htm.htm"} +{"d:Title": "Quietpanic and Twoheadedcow", "d:Description": "A home page containing MP3 and other related material for the two bands Quietpanic and Twoheadedcow.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://www.angelfire.com/weird/quietpanic/index.html"} +{"d:Title": "Qwerty", "d:Description": "Four member pop and rock band from Woodbury, New Jersey. Offers member profiles, history, lyrics, the history behind the songs, news, audio samples and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://band.qwerty.tripod.com/index.htm"} +{"d:Title": "Quasars", "d:Description": "Official site for the Italian band, performing space rock music in the style of the '70s and '80s.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://digilander.libero.it/Quasars/index-eng.htm"} +{"d:Title": "Question Rig", "d:Description": "The official homepage this indie-rock band based out of Burlington, North Carolina. Includes pictures and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Q", "url": "http://questionrig.tripod.com/"} +{"d:Title": "Quarashi Music", "d:Description": "Fan blog features news, biography, member profiles, downloads and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quarashi", "url": "http://www.quarashimusic.blogspot.com/"} +{"d:Title": "Scorpion Publications", "d:Description": "From Quarryman Rod Davis, with band news, CD samples, and books.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quarrymen,_The", "url": "http://www.scorpweb.co.uk/"} +{"d:Title": "The Quarrymen", "d:Description": "The official website for The Quarrymen, with photos and biographies of the members, and offering their 40-year reunion CD.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quarrymen,_The", "url": "http://www.originalquarrymen.co.uk/"} +{"d:Title": "Metacritic: Quasi: Sword Of God", "d:Description": "Multiple reviews for Quasi's Sword Of God by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quasi", "url": "http://www.metacritic.com/music/sword-of-god/quasi"} +{"d:Title": "Suzi Quatro Rock Legend", "d:Description": "Biography, current projects, news, images and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quatro,_Suzi", "url": "http://www.suziquatro.com/"} +{"d:Title": "Metacritic: Vanguard", "d:Description": "Links to several reviews of the Finley Quaye album.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quaye,_Finley", "url": "http://www.metacritic.com/music/vanguard/finley-quaye"} +{"d:Title": "Official Queen Site", "d:Description": "Official site includes news, pictures, and information on new releases.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.queenonline.com/"} +{"d:Title": "Official International Queen Fan Club", "d:Description": "Features news, member profiles, discography, videography, event dates, and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.queenworld.com/"} +{"d:Title": "Queen Concerts", "d:Description": "Detailed Queen concertography which includes a detailed list of all guest appearances of Queen members.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.queenconcerts.com/"} +{"d:Title": "Rockmagic: Queen", "d:Description": "Guitar and bass tablatures and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.rockmagic.net/guitar-tabs/queen/"} +{"d:Title": "Rockmagic.net: Queen Lyrics", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://lyrics.rockmagic.net/lyrics/queen/"} +{"d:Title": "XGuitar.com: Queen Tablatures", "d:Description": "Guitar and bass tabs indexed by albums and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.xguitar.com/guitar-tabs/queen/"} +{"d:Title": "Queen News: Topix", "d:Description": "News about Queen continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.topix.com/who/queen"} +{"d:Title": "Queen Diary", "d:Description": "Searchable database of events in the lives of the band and its members.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.queendiary.info/"} +{"d:Title": "Queen Songs", "d:Description": "Guitar tablatures and chords, piano sheet music, album and song analyses, and recording details.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.queensongs.info/"} +{"d:Title": "Queenpedia", "d:Description": "Wiki-based encyclopedia on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.queenpedia.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Queen", "d:Description": "Inductee profile, bibliography, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://rockhall.com/inductees/queen/"} +{"d:Title": "RollingStone.com: Queen", "d:Description": "Includes biography, discography, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.rollingstone.com/music/artists/queen"} +{"d:Title": "Queen Tabs", "d:Description": "An alphebetic listing of Queen tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.guitaretab.com/q/queen/"} +{"d:Title": "Yahoo Music: Queen", "d:Description": "Biography, video clips, discography, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://new.music.yahoo.com/queen/"} +{"d:Title": "Wikipedia.org: Queen", "d:Description": "General information about the band including but not limited to: History, band members, photos, discography and singles.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://en.wikipedia.org/wiki/Queen_(band)"} +{"d:Title": "Ultimate Queen", "d:Description": "Comprehensive song lyrics, versions, album scans, discographies, biographies, concerts, video and DVD's for Queen, Roger Taylor, Brian May, Freddie Mercury&John Deacon.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.ultimatequeen.co.uk/"} +{"d:Title": "MTV: Queen", "d:Description": "Includes news, biographies, musical influences, tour dates, audio clips, pictures, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen", "url": "http://www.mtv.com/artists/queen/"} +{"d:Title": "We Believe in John Deacon", "d:Description": "Message board where fans can leave messages for Deacon.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Deacon,_John", "url": "http://www.angelfire.com/rock2/johndeacon/"} +{"d:Title": "Frances' Queen", "d:Description": "Biography, discography, karaoke, lyrics, MIDI, tabs, chords, photos, wallpapers, calendar, forum, search engine, and the solo collection. Italian and English language.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://digilander.libero.it/francesqueen/"} +{"d:Title": "Queen Box", "d:Description": "Discography, videography, and lyrics. In English and Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://xoomer.virgilio.it/djoab/"} +{"d:Title": "Queen - The Complete Words", "d:Description": "Lyrics of recorded and live works.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.queenwords.com/"} +{"d:Title": "Queen Zone", "d:Description": "Includes sounds, pictures, links, biographies, chat, postcards, games, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.queenzone.com/"} +{"d:Title": "Queen Fan Mixers", "d:Description": "Fan made remixes of Queen songs.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.floss.co.uk/"} +{"d:Title": "Queen Tribute", "d:Description": "Includes lyrics, discography, tablatures, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://tributetoqueen.htmlplanet.com/"} +{"d:Title": "Queen Ogre Battle 2002", "d:Description": "Includes MP3s, pictures, guitar tablatures, news, lyrics, history, news and a webring.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.angelfire.com/rock2/Queen2/"} +{"d:Title": "Queen Heaven", "d:Description": "Contains pictures, member biographies and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.angelfire.com/stars2/Queenheaven/"} +{"d:Title": "Pekka's Virtual Queen Pages", "d:Description": "Discography, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.student.oulu.fi/~yliluoma/queen/queen.html"} +{"d:Title": "Meddows.com - Alex Smirnov's Queen page", "d:Description": "Has Flash. Videography, performances, special events, unreleased songs, statistics, pre-Queen bands, and information on the crew.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.meddows.com/"} +{"d:Title": "The Crown Jewels", "d:Description": "News, biography, discography, video, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://thetoon.free.fr/"} +{"d:Title": "Queen Will Rock You", "d:Description": "Includes MIDI flies, discography, band members, biographies, pictures, album covers, links and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://leanne4eva2000.tripod.com/queenwillrockyou/"} +{"d:Title": "Mathos' Queen Page", "d:Description": "Includes MIDI, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://home.scarlet.be/~jctaa5/queen/"} +{"d:Title": "Queen Rocks by Eva", "d:Description": "Member profiles, photos, and the owner's collection.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://eva.aws-it.at/"} +{"d:Title": "Shanes Queen Site", "d:Description": "Includes polls, forum, discography and videography, chords and tabs, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://queen.shanemcdonald.org/"} +{"d:Title": "The Queen Comic World", "d:Description": "Dedicated to the group with a comic design drawn by Jef Simonet.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://pagesperso-orange.fr/queen.comic.world/"} +{"d:Title": "Tag's Queen Page", "d:Description": "Queen lyric analysis, pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.thealmightyguru.com/Music/Queen/"} +{"d:Title": "Queen Forever", "d:Description": "Biography, discography, news, lyrics, mods, tabs and chords, concertography, bibliography, midi, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://queen.wz.cz/"} +{"d:Title": "A Friend of Queen", "d:Description": "A personal Queen website of one man's obsession with the best band ever. Loads of information, downloads, lyrics, Queen room and over 1300 photos of Queen merchandise and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Fan_Pages", "url": "http://www.afriendofqueen.co.uk/"} +{"d:Title": "Eric's Brian May Site", "d:Description": "Pictures, lyrics, early years, and concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/May,_Brian", "url": "http://duckent.tripod.com/"} +{"d:Title": "Rockmagic.net: Freddie Mercury", "d:Description": "Song lyrics indexed by album and alphabetically", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Mercury,_Freddie", "url": "http://lyrics.rockmagic.net/lyrics/mercury_freddie/"} +{"d:Title": "Freddie Mercury", "d:Description": "News, biography, discography, midi, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Mercury,_Freddie", "url": "http://www.fmercury.narod.ru/"} +{"d:Title": "Planet Mercury", "d:Description": "Fansite containing videos, photos and tribute section.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Mercury,_Freddie", "url": "http://www.nicholasjdanton.supanet.com/"} +{"d:Title": "QEII", "d:Description": "British tribute to Freddie Mercury and the band Queen. Features show dates, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Tribute_Bands", "url": "http://www.qeii.net/"} +{"d:Title": "Miracle", "d:Description": "The Dutch Queen cover band. Includes pictures, history, news and gigs, reviews and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Tribute_Bands", "url": "http://www.miracle-online.nl/"} +{"d:Title": "Fat Bottomed Queens, The", "d:Description": "Band information, pictures and history.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Tribute_Bands", "url": "http://www.angelfire.com/music5/fatbottomedqueens/"} +{"d:Title": "Rhapsody", "d:Description": "English Queen tribute band. News, show dates, member profiles, MP3 files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Tribute_Bands", "url": "http://www.queentribute.co.uk/"} +{"d:Title": "Killer Queen", "d:Description": "UK tribute band, featuring Patrick Myers as Freddie Mercury. Streaming video, timeline, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Tribute_Bands", "url": "http://killerqueenonline.com/"} +{"d:Title": "Gary Mullen and The Works", "d:Description": "UK Queen tribute band led by the winner of Stars in their Eyes. Includes member profiles and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen/Tribute_Bands", "url": "http://www.garymullenandtheworks.com/"} +{"d:Title": "Queensryche Global Empires Webring", "d:Description": "Information on joining the ring.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://members.tripod.com/~qremp/"} +{"d:Title": "GeoffTate.Com", "d:Description": "Unofficial site of Geoff Tate, lead vocalist for Queensryche features biography, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://www.geofftate.com/"} +{"d:Title": "Queensr\u00ffche Coven", "d:Description": "Pictures and quotes from the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://members.tripod.com/~rychecov/index.html"} +{"d:Title": "I Will Remember", "d:Description": "Pictures, lyrics, and MIDI files.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://queensryche.8m.com/"} +{"d:Title": "Rock Lyrics Queensryche", "d:Description": "Lyrics from the albums of Queensryche.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://lyrics.rockmagic.net/lyrics/queensryche/"} +{"d:Title": "The Queensryche Page", "d:Description": "Discography and news about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://members.tripod.com/~recondite/queen.htm"} +{"d:Title": "Lady Jane's Queensryche Playground", "d:Description": "Profiles, graphics, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://www.angelfire.com/fl/outofmind/"} +{"d:Title": "Grahams Queensryche Homepage", "d:Description": "Pictures, reviews, features, and articles on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://www.users.totalise.co.uk/~bigoleg/queensryche/index.html"} +{"d:Title": "Empire Visions", "d:Description": "Images and lyrics combined together as well as links to other sites that are Queensryche related.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://eryche420ca.tripod.com/"} +{"d:Title": "UnRated Magazine: Queensryche", "d:Description": "Interview with Geoffe Tate and review of the Chicago HOB show on April 17, 2004", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=154"} +{"d:Title": "All Music Guide: Queensr\u00ffche", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://www.allmusic.com/artist/queensrche-p5206"} +{"d:Title": "Ryche Items", "d:Description": "Queensryche releases and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://rycheitems.com/"} +{"d:Title": "MTV.com - Queensryche", "d:Description": "Includes biography, discography information. News, interviews, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://www.mtv.com/artists/queensryche/"} +{"d:Title": "The Queensryche", "d:Description": "Official site includes pictures, discography, lyrics, FAQ, sound files, desktop wallpaper, tablatures, and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche", "url": "http://www.queensrycheofficial.com/"} +{"d:Title": "Silent Lucidity", "d:Description": "Chicago-based Queensryche tribute band. Information on upcoming shows, band biography, booking information, pictures and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queensryche/Tribute_Bands", "url": "http://www.silentlucidity.com/"} +{"d:Title": "Official Queens of the Stone Age", "d:Description": "The band's official website features news, image gallery, biography and tour dates. Also album information and an online journal.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queens_of_the_Stone_Age", "url": "http://www.qotsa.com/"} +{"d:Title": "LyricsOnDemand", "d:Description": "Features lyrics for all the band's songs arranged by album.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queens_of_the_Stone_Age", "url": "http://www.lyricsondemand.com/q/queensofthestoneagelyrics/index.html"} +{"d:Title": "Queens of the Stone Age News: Topix", "d:Description": "News about Queens of the Stone Age continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queens_of_the_Stone_Age", "url": "http://www.topix.com/who/queens-of-the-stone-age"} +{"d:Title": "Rollingstone.com: Queens of the Stone Age", "d:Description": "Includes biography, discography, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queens_of_the_Stone_Age", "url": "http://www.rollingstone.com/music/artists/queens-of-the-stone-age"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Queens Of The Stone Age", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queens_of_the_Stone_Age", "url": "http://sfloman.com/queensofthestoneage.html"} +{"d:Title": "All Music Guide: Queen Ida", "d:Description": "Provides a biography, discography, photo, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Queen_Ida", "url": "http://www.allmusic.com/artist/queen-ida-p89074"} +{"d:Title": "96 Tears", "d:Description": "Fan site features news, biography and history, discography, sound files, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Question_Mark_and_the_Mysterians", "url": "http://www.pharaohweb.com/96tears.html"} +{"d:Title": "? and the Mysterians", "d:Description": "Biography of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Question_Mark_and_the_Mysterians", "url": "http://www.tsimon.com/mysteria.htm"} +{"d:Title": "ClassicBands: ? and the Mysterians", "d:Description": "Offers a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Question_Mark_and_the_Mysterians", "url": "http://www.classicbands.com/question.html"} +{"d:Title": "Question Mark and the Mysterians Official Website", "d:Description": "See and hear the latest music and photos. Get the news and the reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Question_Mark_and_the_Mysterians", "url": "http://96tears.net/"} +{"d:Title": "All Music Guide: ? and the Mysterians", "d:Description": "Contains a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Question_Mark_and_the_Mysterians", "url": "http://www.allmusic.com/artist/p5207"} +{"d:Title": "Shady Grove - The Quicksilver Messenger Service Page", "d:Description": "Official site with information about the history of and current incarnation of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quicksilver_Messenger_Service", "url": "http://www.penncen.com/quicksilver/"} +{"d:Title": "Quicksilver Messenger Service Discography", "d:Description": "Albums, singles, compilations, and occurrences on various artists collections.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quicksilver_Messenger_Service", "url": "http://www.deaddisc.com/GDFD_QMS.htm"} +{"d:Title": "Quickspace", "d:Description": "Information about Tom Cullinan's new band Quickspace, a.k.a. Quickspace Supersport.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quickspace", "url": "http://mailbox.univie.ac.at/~prillih3/healers/quickspace.html"} +{"d:Title": "MTV: Quiet Riot", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Q/Quiet_Riot", "url": "http://www.mtv.com/artists/quiet-riot/"} +{"d:Title": "Ramazzotti, Eros", "d:Description": "Official site of the well-known Italian pop star. Biography, discography, sample audio files, photographic gallery and news.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.ramazzotti.com/"} +{"d:Title": "Rondat, Patrick", "d:Description": "Information on the hard rock guitarist including his appearance in Greece with Jean-Michel Jarre in June 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rondat.com/"} +{"d:Title": "Rephlex", "d:Description": "FAQ, illustrated artist profiles, detailed discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rephlex.com/"} +{"d:Title": "Redding, JoAnne", "d:Description": "Blues-infused country and roots rock singer/songwriter's biography, discography, sound samples, radio station list, and itinerary.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.joanneredding.com/"} +{"d:Title": "Red to Violet", "d:Description": "European rock duo. Pictures, music, and music video captures.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.redtoviolet.com/"} +{"d:Title": "Rosz, Andrew", "d:Description": "Easy listening guitar music for party and dance. Smooth jazz; light acoustic rock. Current radio hits. Listen to streaming RealAudio samples from Andrew's entire CD collection.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.andrewrosz.com/"} +{"d:Title": "Roach, Steve", "d:Description": "Official website for the ambient music composer and performer. Includes news, concert dates, discography, MP3 streaming, and shopping.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.steveroach.com/"} +{"d:Title": "Rawls, Lou", "d:Description": "Official website including biography, discography, itinerary, acting credits, photo album, news, links and contacts.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.lourawls.com/"} +{"d:Title": "Romislokus", "d:Description": "Russian progressive rock artist. Includes audio clips, lyrics, biography, photographs, articles, and news updates.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.romislokus.com/"} +{"d:Title": "Ramzeus", "d:Description": "Hard and heavy rock band from St. Louis. Show dates, biography, news, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/rock/ramzeus/"} +{"d:Title": "Repose", "d:Description": "Hardcore punk band from the Seattle, WA area. Biographies, lyrics, show dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/punk/REPOSE/index.html"} +{"d:Title": "Riff Randells", "d:Description": "Tour diary, biographies, photographs, news, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www3.telus.net/riffrandells"} +{"d:Title": "Radiotones", "d:Description": "Alt-blues band from the UK. Gig dates, photographs, Liquid Audio sound bites, CD information, biographies, fact sheet, mailing list, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.radiotones.com/"} +{"d:Title": "Rhodes, Kimmie", "d:Description": "Austin singer-songwriter's site features biography, videos, discography, news, press, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://kimmierhodes.com/"} +{"d:Title": "Red Meat", "d:Description": "A honky-tonk band mixing bluegrass, country, western swing, and gospel harmonies. Show dates, band information, reviews, CD ordering, song clips and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.redmeat.net/"} +{"d:Title": "Route Sixty-Six", "d:Description": "Western swing band from Austria. Official site includes history, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.r-66.com/"} +{"d:Title": "Rare Blend", "d:Description": "Jazz fusion, instrumental rock quartet. Discography, audio, photographs, reviews, schedule, booking information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rareblend.net/"} +{"d:Title": "Reeves, Dianne", "d:Description": "Official site of the jazz vocalist. Biography, discography, sound samples, photographs, tour dates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://diannereeves.com/"} +{"d:Title": "Rocket From The Crypt", "d:Description": "San Diego-based rock band. News, discography, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rftc.com/"} +{"d:Title": "RAW Kinder", "d:Description": "Nerve center site for the nervy, sensual East Village outfit on Home Office Records.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.web-ho.com/RAWK/"} +{"d:Title": "Raymonde", "d:Description": "The London-based band Raymonde lead by James Maker, ex-member of the Smiths. Lyrics, MP3s, articles, reviews, message forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://raymonde.maker.free.fr/raymonde.html"} +{"d:Title": "Reaganomics", "d:Description": "Columbus, Ohio-based, rock/pop/new wave band. Photographs, movies, audio, song list, reviews, booking information, performance dates, screen saver, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.reaganomics.com/"} +{"d:Title": "Rachael's.Surrender", "d:Description": "Darkwave, ethereal, industrial Gothic band. Discography, audio samples, booking information, press kit, and merchandise link.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.surrender.com/"} +{"d:Title": "Reyes Jr, Walfredo", "d:Description": "World-renowned drummer for Santana, and Steve Winwood. Includes photo gallery, itinerary, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.walfredoreyesjr.com/"} +{"d:Title": "Rosin Coven", "d:Description": "A 7-piece San Francisco based band that dabbles in Lounge, Orchestral, Alternative, and Jazz. The result is a fresh sound that is becoming a Bay Area favorite. At our site, listen to sound clips and find out about upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rosincoven.com/"} +{"d:Title": "Roub, Paul", "d:Description": "South Florida-based singer/songwriter/guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.paulroub.com/"} +{"d:Title": "Riistetyt", "d:Description": "HC-band from Finland. News, interviews, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.riistetyt.com/"} +{"d:Title": "Rouse, Drew and the Gathering", "d:Description": "American singer-songwriter. Pictures, reviews, album information, scheduled shows, MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.drewrouse.com/"} +{"d:Title": "Rose, Brian", "d:Description": "Photographer and musician. Contains biography, photographic portfolio, discography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.brianrose.com/index.htm"} +{"d:Title": "Reiff, S\u00f8ren", "d:Description": "Guitarist has worked as Musical Director for Chaka Khan and David Sanborn. Also known as Reiff jr.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.reiff.dk/"} +{"d:Title": "Rowe, Monk", "d:Description": "Jazz, Delta Blues, Swing, Rock and Roll: America's great art forms. This is the music that Monk Rowe knows, loves and plays; and teaches, at workshops for teachers, and innovative educational programs for youths.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.monkrowe.com/"} +{"d:Title": "Red White and Blues", "d:Description": "Northern rock, southern rock, originals, and blues band based in Plymouth, MA. Performance dates, photographs, audio samples, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.redwhiteandblues.com/"} +{"d:Title": "Rogers, Roy", "d:Description": "Blues slide guitarist. Official site with bio, discography, interviews, news, tour dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.roy-rogers.com/"} +{"d:Title": "Raven, Susan", "d:Description": "Sound files, pictures, lyrics, and CD information for the singer and songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.izun.co.uk/"} +{"d:Title": "Rel\u00e2che Ensemble", "d:Description": "Performance information for the group from Philadelphia.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.relache.org/"} +{"d:Title": "Roadrunners, The", "d:Description": "Official site of Liverpool's 60s rhythm and blues band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.liverpool-roadrunners.org.uk/"} +{"d:Title": "Rose, Maria", "d:Description": "Official site with biography, discography, photographs, schedule, information about PerformAbilities and the Special Olympics, and cassette and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.mariarose.com/"} +{"d:Title": "Radke-Sieb, Heiko", "d:Description": "Biography, recording credits, pictures, MP3 files and equipment information for the session guitarist. [German and English]", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.radke-sieb.de/"} +{"d:Title": "Raft of Dead Monkeys", "d:Description": "Unofficial homepage for the Seattle band. Information, sound samples, and links to gig dates and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/band/raft/"} +{"d:Title": "Roze", "d:Description": "Rock band from Iowa. Photographs and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/ia/rozerocks/"} +{"d:Title": "Raczynski, Frank", "d:Description": "Instrumental. Discography, audio samples, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.raczynski.net/"} +{"d:Title": "Ros, Edmundo", "d:Description": "Official site for the Latin American musician includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.edmundoros.com/"} +{"d:Title": "Rockaholics", "d:Description": "Rock and Roll band based in Fort Mill, SC. Photographs, audio samples, show schedule, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rockaholics.net/"} +{"d:Title": "Rocket Science", "d:Description": "Australian band with biography, reviews, interviews, discography, gig list, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rocketscience1.tripod.com/"} +{"d:Title": "Rolen", "d:Description": "Progressive, heavy metal power band. Real audio and video, MP3 files, heavy metal links, pictures, biographies, and free metal newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rolen.com/"} +{"d:Title": "Randwulf", "d:Description": "Empathic music in the pop, dance, new age, and piano solo genres. Audio samples, photographs, biography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://randwulf.com/"} +{"d:Title": "Rainone, Lou", "d:Description": "Reviews, recordings, sound samples, biography, and appearances of the Baltimore-based jazz pianist.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://lourainone.com/"} +{"d:Title": "Rock Hollywood", "d:Description": "Rock quartet from Greenville, Texas.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/tx3/rockhollywoodrecords/"} +{"d:Title": "Rouse, Josh", "d:Description": "Includes music, photos, tour dates and press clippings.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.joshrouse.com/"} +{"d:Title": "Remember Jonah", "d:Description": "Jazz/funk/rock band from Morehead City, NC. Gig dates and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rememberjonah.tripod.com/"} +{"d:Title": "Rickets", "d:Description": "Official site of the Huntsville, Alabama based band. Contact information, and show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/al/yutang/rickets.html"} +{"d:Title": "Reverend Rusty and The Case", "d:Description": "European blues rock trio: discography with sound samples, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.stone-music.com/"} +{"d:Title": "Rivers, Jim", "d:Description": "A singer/songwriter, storyteller and multi-media artist from the NW corner of the USA. Comparisons include Eric Clapton, Cris Isaak, Dave Matthews, Days of the New, and U2.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.jimrivers.8m.com/index.htm"} +{"d:Title": "Relections, The", "d:Description": "Performing since the mid-1950's with Top 40 hits in the 1960's. Profiles, history, tour dates, discography, audio samples, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.reflections-music.com/"} +{"d:Title": "R-Three", "d:Description": "Official site of the progressive electro-pop/post-rock band. Features streaming MP3s, reviews, album information, FAQs, news and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.r-three.com/"} +{"d:Title": "Rescue", "d:Description": "Official site for the Northwest USA Gospel contemporary foursome. Listen to MP3s, read lyrics and find out about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rescuemusic.com/"} +{"d:Title": "Rockit Science", "d:Description": "6 piece rock band from Cedar Rapids, Iowa. Music from 70s, 80s, 90s, originals and covers.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/ia/rockitscience/"} +{"d:Title": "Rare Bird", "d:Description": "Fan site of the 70's progressive rock band. Discography, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/nc/jupiterspace/jspage7.html"} +{"d:Title": "Ray, Carl", "d:Description": "African-American country music singer/song writer's official site.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.carlray.com/"} +{"d:Title": "Rawmania", "d:Description": "A rock'n roll band from Sweden. Sound samples, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://home.swipnet.se/rawmania/"} +{"d:Title": "Real-Y-T", "d:Description": "Young rap/rock/reggae band from the UK. Gig dates, photographs, and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/yt/realyt/"} +{"d:Title": "Roll&Go", "d:Description": "Sea group from Maine performing sea shanties, fo'c'sle ballads and wild sea-going stories. MP3s, song sheets, booking contact, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rollandgoseasongs.com/"} +{"d:Title": "Renzetti, Joe", "d:Description": "Official site of Gothic Ambient Artist. News, information, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.joerenzetti.com/"} +{"d:Title": "Reed, Norman Scott", "d:Description": "New York melodic vocal jazz. Image, brief description and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.garnetrecords.com/homepage.html"} +{"d:Title": "Redneck Roulette", "d:Description": "Hear sovereign culture set to music. First band to be inspired by the Michigan Militia.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/mi/militious/index.html"} +{"d:Title": "Renfroe, Anita", "d:Description": "Christian singer. Biography, news, schedule of appearances, photographs, audio, merchandise, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.anitarenfroe.com/"} +{"d:Title": "Runaway Joeys", "d:Description": "Unofficial site for the punk band from Alabama includes show dates, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/punk/runawayjoeys/"} +{"d:Title": "Red Herrings Street Band", "d:Description": "A samba band based in West Yorkshire, UK", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.curvature.f9.co.uk/redherrings/"} +{"d:Title": "Rauscher, Peter", "d:Description": "Lute, guitar and wine.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://members.aon.at/prauscher/"} +{"d:Title": "Rosie and the Originals", "d:Description": "Official site of the first Hispanic woman in the Rock and Roll Hall of Fame with biography, artwork, photo album, booking information, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rosieandtheoriginals.com/"} +{"d:Title": "Raymen, The", "d:Description": "Discography and photographs for the German rock/country band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://home.datacomm.ch/daniel.lerch/raymen.html"} +{"d:Title": "Reel", "d:Description": "Indie guitar music from a leading female guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://newreelmusic.indiegroup.com/"} +{"d:Title": "Rhythm Dawgs, The", "d:Description": "Austin-based blues/rock band. News, gigs, biography, photographs, MP3s, song and equipment lists, booking information, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rhythmdawgs.com/"} +{"d:Title": "Robert Minden Duo", "d:Description": "History, discography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://lostsound.com/rmd/default.html"} +{"d:Title": "Rowland, Kevin", "d:Description": "Article on the singer, previously with Dexys Midnight Runners.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.oilzine.com/search/kelvin.htm"} +{"d:Title": "Russell, Janet Lillian", "d:Description": "Official site of the singer/songwriter includes biography, images, lyrics, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://janetrussell.com/"} +{"d:Title": "Robinsons, The", "d:Description": "New Orleans pop-punk band. News, concert dates, sound clips, lyrics, pictures, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/boybands/therobinsons/"} +{"d:Title": "Robin Cox Ensemble, The", "d:Description": "Jazz/avant-garde percussion, violin and cello group. Contact information, sound and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.robincoxensemble.com/"} +{"d:Title": "Revelation Theory", "d:Description": "Acoustic rock. News, band history, press release, interview, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/in2/revelationtheory/"} +{"d:Title": "Rubykhan", "d:Description": "Power trio from New York. Gig list, audio sample, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rubykhan.com/"} +{"d:Title": "Relentless", "d:Description": "Member profiles, images, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/rock/relentless/index.html"} +{"d:Title": "Robbins, Brian", "d:Description": "News, biography, reviews, and sound files for the slide guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.brianrobbins.com/"} +{"d:Title": "Radio Silence", "d:Description": "MP3s, videos, and web radio for the post-modern garage band from Massachusetts.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.radiosilencerocks.com/"} +{"d:Title": "Rawson, Tom", "d:Description": "Folk singer and storyteller. Profile, photographs, and upcoming performances.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.tomrawson.com/"} +{"d:Title": "Roughly Enforcing Nostalgia", "d:Description": "Illinois-based indie band playing sampled-based, tongue-in-cheek experimental and traditional pop/rock music. Discography, sound samples, contact, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.roughly.net/"} +{"d:Title": "Rucci, Janet", "d:Description": "Includes audio mp3 samples, photos, and performance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.janetjam.com/"} +{"d:Title": "Robinson, Joe", "d:Description": "Biography, news, sound samples and video, show information, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.joerobinson.net/"} +{"d:Title": "Rigby, Amy", "d:Description": "Pop singer/songwriter. Album reviews, interviews, tour dates, photographs, discography, audio, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.amyrigby.com/"} +{"d:Title": "Reckless", "d:Description": "Retrospective of the Canadian heavy metal band. Discography, news, articles, reviews, photographs, profiles with audio, paternity suits, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/myband/reckless/"} +{"d:Title": "Rocket Science", "d:Description": "Toronto based rock band. Biography, audio samples, photographs, tablatures, reviews, articles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rocketsciencesite.tripod.com/"} +{"d:Title": "Robustelli, Anthony", "d:Description": "Soul/rock/jazz/rhythm and blues fusion musician. Biography, discography, audio samples and MP3s, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.anthonyrobustelli.com/"} +{"d:Title": "Ring of Fire", "d:Description": "Fan site for new band of ex-Yngwie vocalist Mark Boals. Features news and CD release information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://markboals.8m.net/"} +{"d:Title": "Random", "d:Description": "Los Angeles based alternative/emo core band. Includes lyrics, audio samples, pictures, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://random.8k.com/"} +{"d:Title": "Regan, Seth", "d:Description": "Official site dedicated to lyrics, audioclips, a biography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.sethregan.com/"} +{"d:Title": "Raise", "d:Description": "Official site featuring pictures, MIDIs, and a band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.raise.8m.net/"} +{"d:Title": "Rooftop Ruckus", "d:Description": "Ska-core band from Stoughton, MA. Includes pictures, biographies, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rooftopruckus.tripod.com/"} +{"d:Title": "Raines, Geoffrey", "d:Description": "Download audio clips for the album \"Bardo Music\".", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.bardomusic.com/"} +{"d:Title": "Rob and Friends", "d:Description": "Official site for solo acoustic singer/songwriter's. Offers pictures and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.robandfriends.com/"} +{"d:Title": "Risk, Laura", "d:Description": "Scottish fiddler based in Montreal. Official site with tour schedule, biography, discography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://laurarisk.tripod.com/"} +{"d:Title": "Random Disorder", "d:Description": "Official site for Michigan and Florida band. Contains pictures and studio information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/fl5/random_disorder/"} +{"d:Title": "Rockenbach, Jock", "d:Description": "Official site dedicated to audio, video, and biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.jockrockenbach.com/"} +{"d:Title": "Riddlin' Kids", "d:Description": "Fan site dedicated to this punk band. Features music, mp3s, tour information, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www-personal.umich.edu/~bmcfarli/riddlinkids/index.html"} +{"d:Title": "Randall, Elliot", "d:Description": "Official site dedicated to images, videos, audio clips, and background information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.elliott-randall.com/"} +{"d:Title": "RuneKJ", "d:Description": "Find audio clips, lyrics, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://runekj_music.tripod.com/"} +{"d:Title": "Red Tails", "d:Description": "Photos, performance schedule, discography, chat and review.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.hockingcounty.com/"} +{"d:Title": "Racer X", "d:Description": "Official site includes biography, discography, lyrics, pictures, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.racerxband.com/"} +{"d:Title": "Rush, John", "d:Description": "Official website with tour dates, sound clips, a biography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.johnrush.com/"} +{"d:Title": "Runt", "d:Description": "5 piece band from Buffalo, NY. Provides a biography, pictures, news, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://runtmusic.tripod.com/"} +{"d:Title": "Reed, Rebeka", "d:Description": "Features audio clips, lyrics, touring information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rebekareed.com/"} +{"d:Title": "Reilly", "d:Description": "Five piece Irish Rock Band from Milwaukee. Offers pictures, a tour schedule, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.reillyrocks.com/"} +{"d:Title": "Rose, Cali", "d:Description": "Official Site for singer/songwriter. Offers videos, audio clips, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.calirose.com/"} +{"d:Title": "Rigor Mortis", "d:Description": "Song lyrics indexed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://lyrics.rockmagic.net/lyrics/rigor_mortis/"} +{"d:Title": "Raised on Mars", "d:Description": "Audio clips for this hard-rock group.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://raisedonmars.tripod.com/"} +{"d:Title": "Reagon, Toshi", "d:Description": "Singer and songwriter. Including biography, photos, and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.toshireagon.com/"} +{"d:Title": "Reinventing Eve", "d:Description": "Provides lyrics, biographies, and pictures for this rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/rock2/reinventingeve/index.html"} +{"d:Title": "Rodriguez, Daniel", "d:Description": "A fan-based community dedicated to this tenor.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://groups.yahoo.com/group/DanielRodriguez/"} +{"d:Title": "Realism", "d:Description": "Features band animations, biographies, and news updates.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/myband2/realism/"} +{"d:Title": "Rush, Jennifer", "d:Description": "Official Site. Contains news updates, a biography, lyrics, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.jennifer-rush.com/"} +{"d:Title": "Richard and the Young Lions", "d:Description": "Official website for legendary 60s rockers. Contains music, videos, news updates, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://richardandtheyounglions.com/"} +{"d:Title": "Rock: Impellitteri, The", "d:Description": "Features the band's profile, discography, news, directory, and a tribute to Rob Rock.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/yt/triad/main.html"} +{"d:Title": "Rough Diffusion", "d:Description": "Offers lyrics and biographies for this grunge band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://heeroyuyrpg.tripod.com/roughdiffusion/"} +{"d:Title": "Resistance D", "d:Description": "Sound samples, video samples, interview, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.youwerethere.de/"} +{"d:Title": "Riley, Rick", "d:Description": "Contact information and resume for jazz, classical, and rock, performer.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://bandofone.tripod.com/"} +{"d:Title": "Reilly and Maloney", "d:Description": "Complete music discography, available recordings, and concerts dates for San Francisco and Seattle based folk singers.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.reillyandmaloney.com/"} +{"d:Title": "Ruskin, Rick", "d:Description": "Fingerstyle guitarist. Provides discography, touring information and a biography", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://liondogmusic.com/"} +{"d:Title": "Rollo", "d:Description": "Official site for alternative band. Offers lyrics, audio clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rollorocks.com/"} +{"d:Title": "Rains, Chris", "d:Description": "Official site for singer/songwriter from Jamestown, TN. Offers a diary, thoughts, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rains7.tripod.com/"} +{"d:Title": "Rollins, Jim", "d:Description": "News articles, audio clips, and contact information for this pianst based in Chicago, IL.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.jimrollins.50megs.com/"} +{"d:Title": "Random Conflict", "d:Description": "Melodic hardcore punk band from Huntsville, AL. Show list, news, images, band biography, MP3s, links, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://brconflict.tripod.com/random_conflict.htm"} +{"d:Title": "Ranch House Favorites", "d:Description": "Dutch hillybilly and western swing band's site features news, biography, pictures, MP3s, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.danceranch.com/"} +{"d:Title": "Rage", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://lyrics.rockmagic.net/lyrics/rage/"} +{"d:Title": "Risk Involved, The", "d:Description": "News, band history, photos, upcoming shows, and MP3s for the Florida punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/emo/theriskinvolved/"} +{"d:Title": "Raytown Hustlas", "d:Description": "Rap group straight from Racine, Wisconsin. Provides photos, audio clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/hiphop2/raytownhustlas/"} +{"d:Title": "Riptide", "d:Description": "Includes sound clips, photographs, and songlist for this beach/top-40 dance band that plays throughout the Carolinas and Georgia.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/sc/Riptideband/"} +{"d:Title": "Retroliners, The", "d:Description": "New Jersey band's site features news, biography, member profiles, upcoming shows, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.retroliners.com/"} +{"d:Title": "Rosario, Luisito", "d:Description": "News, biography, pictures, Mp3s, and tour dates for the Latin singer.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://luisitorosario.com/"} +{"d:Title": "Rashed, Ed", "d:Description": "Singer/songwriter for many genres. Offers news, reviews, a biography, discography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.bigtreehouse.com/"} +{"d:Title": "Riffhouse", "d:Description": "Columbia, Missouri rock band's site features news, biography, discography, MP3s, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/wizard/riffhouse/"} +{"d:Title": "Righteous Mothers, The", "d:Description": "Washington band offering feminist folk music and humor.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.righteousmothers.com/"} +{"d:Title": "Rustic Poets", "d:Description": "Manitoban band combining grunge, classical guitaring, and victorian lyrics. Site contains photos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rusticpoets.tripod.com/"} +{"d:Title": "Rose, Paul", "d:Description": "UK guitarist. Biography, reviews, gig listings and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.paulrose.co.uk/"} +{"d:Title": "Root, Wade", "d:Description": "Audiogrid.com review of \"Bed of Roses\".", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://audiogrid.com/waderoot/"} +{"d:Title": "Raine", "d:Description": "Canadian singer/songwriter. Reviews, photo gallery, audio downloads, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.raine.ca/"} +{"d:Title": "Red Clay Ramblers", "d:Description": "American folk band and theater performers. Site contains news, tour schedule, audio samples, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.redclayramblers.com/"} +{"d:Title": "Rockem Sockem Robots", "d:Description": "News, MP3s, lyrics, tablature, videos and biographies for the grunge band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/rock3/night_of_black_ninja/Home"} +{"d:Title": "Read, Otis", "d:Description": "Musician and performer, whose career has touched rock, blues, folk, American traditional, jazz and classical music. Includes show dates, reviews, lyrics, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.otisread.com/"} +{"d:Title": "Ross, Sandy", "d:Description": "Contemporary folk and acoustic blues performer. Contains biography, reviews, and link to MP3.com page.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.sandyross.com/"} +{"d:Title": "Robato", "d:Description": "Brazilian electronic band. News, biography, MP3s, influences and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.robato.com/"} +{"d:Title": "Rose, William", "d:Description": "Offers lyrics and performance setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.willrose.ca/"} +{"d:Title": "Riggert-Harris, Mike", "d:Description": "Official site for Caribbean musician from Phoenix, Arizona. Offers biographical information, partial songlist, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://azpanman.tripod.com/home.html"} +{"d:Title": "Red Elvises, The", "d:Description": "Fanzine updated monthly. Show and album reviews, photographs, live chats with the band, mailing list, MP3s, and a FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.redelvises.com/"} +{"d:Title": "Riggs, Susan", "d:Description": "Offers pictures, audio clips, news updates, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.riggsmusic.com/"} +{"d:Title": "Richards, J. D.", "d:Description": "Offers tunes from all of his CDs, photos, lyrics, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://jdrichardstexas7.tripod.com/"} +{"d:Title": "Rankin, Kenny", "d:Description": "Official page for the late vocalist features biography, discography, and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.kennyrankin.com/"} +{"d:Title": "Roar Engelberg&Stein-Erik Olsen Duo", "d:Description": "Biography, reviews and discography for the Norwegian duo on pan flute and guitar. Also contains samples of their music in mp3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.panflute-guitar.com/"} +{"d:Title": "Random Dudes", "d:Description": "Original rock and roll from Oakland, CA. Audio samples, lyrics, upcoming gigs, biographies, merchandise, links, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.ericdelore.com/"} +{"d:Title": "Roadside Attraction", "d:Description": "Official site with tour dates, merchandise, pictures, games, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.roadsideattraction.com/"} +{"d:Title": "Riddle, Danny", "d:Description": "Unique gospel music singer. Introduction, contact information, discography, cd ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.dannyriddle.com/"} +{"d:Title": "Real Group, The", "d:Description": "Five voices from heaven. Discography, audio, and tour dates. (In English and Swedish.)", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.realgroup.se/"} +{"d:Title": "Rosenberg, John Matthew", "d:Description": "Features information for this pianist, singer, songwriter, and composer for film and television. Download audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.hip-hip-beret.com/"} +{"d:Title": "Roberts, Marc", "d:Description": "Singer/songwriter who represented Ireland in the 1997 Eurovision song contest.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.marcroberts.ie/"} +{"d:Title": "Reverend Peyton's Big Damn Band, The", "d:Description": "Country blues band from Brown County, Indiana; features news, biographies, upcoming shows, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.bigdamnband.com/"} +{"d:Title": "Rivals", "d:Description": "San Francisco Bay Area experimental rock band. Photographs, upcoming shows, message board, contact and booking information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rivalsmusic.com/"} +{"d:Title": "Redmond", "d:Description": "Rock band. News, show dates, lyrics, photographs, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.redmondband.com/"} +{"d:Title": "Rockfour", "d:Description": "Israel-based psychelic pop band. News, profiles, photographs, equipment list, performance dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rockfour.com/"} +{"d:Title": "Rossoni, Mary Ann", "d:Description": "News on the songwriter and where she's touring, biography, and music reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rossoni.com/"} +{"d:Title": "Remotely Controlled", "d:Description": "Official site for Peterborough, Ontario, band. Contains pictures, a biography, and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.remotelycontrolled.ca/"} +{"d:Title": "Roostar", "d:Description": "MP3s, biography, pictures, and upcoming shows for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.roostar.com/"} +{"d:Title": "Ruby Red's Band, The", "d:Description": "Atlanta-area band plays Dixieland, blues, honky-tonk classics, rock n' roll, rhythm and blues, swing, bluegrass and funk.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rubyredsband.com/"} +{"d:Title": "Red Box", "d:Description": "Fan site for the defunct 80's band features biography and discography. Also includes past and present members current activities.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.lewisslade.com/redboxmusic"} +{"d:Title": "Ryan, Kate", "d:Description": "Belgian singer and songwriter. News, agenda, gallery, webcam, chat room, biography and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.kateryan.be/"} +{"d:Title": "Ramases", "d:Description": "Fan tribute to a South African musician of the early 1970s.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rock.co.za/ramases/index.html"} +{"d:Title": "The Restarts", "d:Description": "Punk rock band formed around East and South London's squats and pubs. Includes CD list and sales, photos and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.restarts.co.uk/"} +{"d:Title": "Renegade", "d:Description": "Official site of the Los Angeles rock band. Offers news, photo gallery and band member biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://renegadeusa.com/"} +{"d:Title": "Radiolaria", "d:Description": "Official website includes discography, tour dates, contact information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://radiolariaonline.tripod.com/"} +{"d:Title": "Roaring Jack", "d:Description": "Archive of interviews, songs and lyrics relating to this Celtic folk punk rock band from Sydney, Australia.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.roaringjack.com/"} +{"d:Title": "Red Mountain White Trash", "d:Description": "Reviews and biography for the Alabama old-time string band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://sites.google.com/site/redmountainstringband/"} +{"d:Title": "Rat Wakes Red", "d:Description": "Songs born in late night living room light, spun sad and sweet. Official site with band history, news, reviews, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.ratdisk.com/"} +{"d:Title": "Real kids", "d:Description": "Provides history, a discography, and side bands.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.limbos.org/realkids/"} +{"d:Title": "Rivers Edge", "d:Description": "Wisconsin based rock band. Schedule, biography, pictures and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://riversedge1.tripod.com/"} +{"d:Title": "Radar Rose", "d:Description": "Tour information, Real Audio files, reviews, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://zbop.tripod.com/rose.html"} +{"d:Title": "Rearanged", "d:Description": "Long Island, NY, punk band. News, sound samples, show dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://rearanged4now.tripod.com/tranced.html"} +{"d:Title": "Riddler", "d:Description": "The official website of the English alt-pop band, with news, pictures, schedule, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.riddlermusic.co.uk/"} +{"d:Title": "Rustcycle", "d:Description": "A fusion music and media project lead by Adrian Johnson. Performance schedule, videos, and merchandise ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rustcycle.com/"} +{"d:Title": "R Band", "d:Description": "Features lyrics, member biographies and MP3s for the adult rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.thebicyclingguitarist.net/songs/"} +{"d:Title": "ReBirth Brass Band", "d:Description": "Funk jazz music from New Orleans. Audio, performance dates, message forum, photographs, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rebirthbrassband.com/"} +{"d:Title": "Rubber City Rebels", "d:Description": "80's power pop/proto punk band from Akron Ohio. News, articles, images, multimedia, contact information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.rubbercityrebels.net/"} +{"d:Title": "Rodriguez, Johnny", "d:Description": "Official site includes biography, photographs, events and band profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://johnnyrodriguezmusic.com/"} +{"d:Title": "Rockets", "d:Description": "A fan archive offers member profiles, tour dates, lyrics, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.lesrockets.com/geocities"} +{"d:Title": "Red Plague", "d:Description": "Includes pictures, sound clips, and biography for the band from The Pas, MB.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://theredplague.pollutionlake.com/"} +{"d:Title": "Ranch Girls and Their Ragtime Wranglers, The", "d:Description": "The official site of the Dutch band, with news, pictures, tour dates, reviews, audio samples, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.missmaryann.com/ranchgirls/story.html"} +{"d:Title": "Royal Jelly Band", "d:Description": "Official site of the band which plays live classic rock and roll and blues in Southern California.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://softrelations.com/Jelly_Site/"} +{"d:Title": "Rambeau, Eddie", "d:Description": "Official site for the 1960s singer/songwriter. Includes album art, discography, artwork and photography by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.craftweb.org/web/ed/"} +{"d:Title": "Ray C.", "d:Description": "Official site for the pop/rock artist contains news updates, photos, a biography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.raycweb.com/"} +{"d:Title": "Rowles, John", "d:Description": "Entertainer from New Zealand. Includes performance dates, discography, pictures, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.showcasegroup.co.nz/johnrowles/"} +{"d:Title": "Rushlow, Tim", "d:Description": "Official news, biography, videos, photos, and music previews for the country and Christian singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.timrushlow.com/"} +{"d:Title": "Raviindra", "d:Description": "Official site provides photos, history, audio clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "http://www.raviindra-music.de/index.php/en/"} +{"d:Title": "Rawkas", "d:Description": "Rock tribute band in East Anglia. Includes news and list of gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/R", "url": "https://www.facebook.com/RAWKAS-270102021870/"} +{"d:Title": "Tour 2003 - Artwork", "d:Description": "Free tour artwork available for personal download from the 2003 European tour.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.torsten-freitag.de/rem/"} +{"d:Title": "R.E.M. HQ", "d:Description": "Official site with news, store, discography, videos and audio. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.remhq.com/"} +{"d:Title": "Askthesky.com", "d:Description": "Fan art, links, photo gallery, concert pictures and Athens sightseeing. Incorporates material from Talk About the Passion and Star69.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://askthesky.com/rem/"} +{"d:Title": "File Under R.E.M.", "d:Description": "News, lyrics, publicity archive and gallery of concert, press and other photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.retroweb.com/rem.html"} +{"d:Title": "R.E.M. Collector's Guide", "d:Description": "Fan site devoted to the music and life of the group. Features discography, lyrics, tablatures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://orangefox.svs.com/rem/"} +{"d:Title": "R.E.M. WebRing", "d:Description": "Links to R.E.M. fan sites, chat rooms and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.remrock.com/"} +{"d:Title": "R.E.M. Timeline", "d:Description": "A complete concert chronology for the band from 1980 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://members.iinet.net.au/~darryl74/"} +{"d:Title": "REM Cover Studio", "d:Description": "A selection of R.E.M. related graphics including concert DVD covers and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.remcoverstudio.com/"} +{"d:Title": "International Movie Database - R.E.M.", "d:Description": "An R.E.M. page including a soundtrack filmography, awards archive, a biography, discussions, news articles and fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.imdb.com/name/nm1032121/"} +{"d:Title": "BBC R.E.M. Profile", "d:Description": "Musical profile of the group including radio interviews, biographies, reviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.bbc.co.uk/music/artists/ea4dfa26-f633-4da6-a52a-f49ea4897b58"} +{"d:Title": "Setlist.fm: R.E.M.", "d:Description": "A user-maintained collection of R.E.M. setlists and song statistics from their beginning up to recent tours.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.setlist.fm/setlists/rem-33d6b859.html"} +{"d:Title": "RollingStone.com: R.E.M.", "d:Description": "Biography, discography/album reviews, links, photographs, trivia and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.rollingstone.com/music/artists/r-e-m"} +{"d:Title": "Mike Mills Tribute", "d:Description": "Website dedicated to the band's bassist, keyboardist and singer, with news, biography, press archive, photo gallery and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://mikemillsfan.com/"} +{"d:Title": "R.E.M. Wikipedia", "d:Description": "A look at the band's history, including discography and further information on the band members.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "https://en.wikipedia.org/wiki/R.E.M."} +{"d:Title": "R.E.M. Discography at Discogs", "d:Description": "Extensive discography and marketplace built by readers", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.discogs.com/artist/74500-REM"} +{"d:Title": "R.E.M. \u2013 MusicBrainz", "d:Description": "Database of R.E.M. releases and extensive links", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://musicbrainz.org/artist/ea4dfa26-f633-4da6-a52a-f49ea4897b58"} +{"d:Title": "remhq \u2013 YouTube", "d:Description": "Official video channel, featuring music videos spanning 30 years", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "https://www.youtube.com/user/remhq"} +{"d:Title": "MTV: R.E.M.", "d:Description": "Album reviews, news, biography, discography, links and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "http://www.mtv.com/artists/rem/"} +{"d:Title": "Yahoo Music: R.E.M.", "d:Description": "Biography, discography, articles, concert and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M.", "url": "https://music.yahoo.com/artist/rem/"} +{"d:Title": "R.E.M. WebRing", "d:Description": "Ring of fan sites, chat room and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Directories", "url": "http://www.remrock.com/"} +{"d:Title": "R.E.M. Lyric Annotations", "d:Description": "Analysis of lyrics from the band's biggest albums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Lyrics", "url": "http://www.flim.com/remlafaq.html"} +{"d:Title": "R.E.M. Songs Lyrics Archive Page", "d:Description": "R.E.M. Discography, songs lyrics collection, and other resources", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Lyrics", "url": "http://remsonglyrics.blogspot.com/"} +{"d:Title": "Wilson and Alroy's Record Reviews: R.E.M.", "d:Description": "Reviews of 11 albums as well as one by the R.E.M. side project Hindu Love Gods.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews", "url": "http://www.warr.org/rem.html"} +{"d:Title": "Stevensworld.biz: R.E.M. Concert Photographs", "d:Description": "Photographs and reviews of two Scottish concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews", "url": "http://www.stevensworld.biz/misc_concerts_rem.html"} +{"d:Title": "Scott's Rock and Soul Album Reviews: R.E.M.", "d:Description": "Ratings and analyses of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews", "url": "http://sfloman.com/rem.html"} +{"d:Title": "All-Reviews.com: Automatic for the People by R.E.M.", "d:Description": "Larry G.'s review: \"probably R.E.M.'s most beautiful record.\" 4 out of 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Automatic_for_the_People", "url": "http://www.all-reviews.com/music/automaticforthepeople.htm"} +{"d:Title": "Teen Ink: Automatic for the People", "d:Description": "Adam G.'s review: \"A strong step beyond 'Out of Time,' and hopefully a look at a strong future for R.E.M.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Automatic_for_the_People", "url": "http://www.teenink.com/reviews/music_reviews/article/7378/Automatic-For-The-People/"} +{"d:Title": "All-Reviews.com - R.E.M: Monster", "d:Description": "Larry G.'s review: \"Probably their best of the 90's, a great band showing they know how to rock.\" 4 out of 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Monster", "url": "http://www.all-reviews.com/music/monster.htm"} +{"d:Title": "Barefoot Jim's Review: R.E.M. - Murmur", "d:Description": "Jim Connelly's review: \"A hypnotic, dreamy trip into ringing guitars, driving drums and exquisite harmonies that is at once beautiful and powerful.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Murmur", "url": "http://home.earthlink.net/~barefootjim/writing/reviews/rem_murmur.html"} +{"d:Title": "Awesome80s.com: R.E.M. - Murmur", "d:Description": "Douglas Wolk's review: \"Peter Buck's chinging guitar, Michael Stipe's impassioned but incomprehensible singing, and the band's unpretentious interaction with its audience were genuinely revolutionary at the time.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Murmur", "url": "http://www.awesome80s.com/Awesome80s/Music/1983/R.E.M.-Murmur.asp"} +{"d:Title": "RollingStone.com: Review: R.E.M., Murmur", "d:Description": "Steve Pond's review: \"What they're saying is less fascinating than how they say it, and Murmur's indelible appeal results from its less elusive charms.\" Rated 4 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Murmur", "url": "http://www.rollingstone.com/music/albumreviews/murmur-19830526"} +{"d:Title": "Entertainment Weekly: Reveal", "d:Description": "Will Hermes' review: \"But like 'Up,' much of 'Reveal' is haunted by an ennui that's curious for a band that made their name by talking about the passion.\" Graded B.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Reveal", "url": "http://www.ew.com/ew/article/0,,281348~4|7388||0~,00.html"} +{"d:Title": "PopMatters: Reveal", "d:Description": "Dave Heaton's review: \"While continuing to develop a more refined and layered sound, the band is tapping back into the more enigmatic side of their music.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Reveal", "url": "http://www.popmatters.com/pm/review/rem-reveal/"} +{"d:Title": "Metacritic", "d:Description": "Multiple critic and user reviews for \"Reveal.\" Metascore of 69.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Reveal", "url": "http://www.metacritic.com/music/reveal/rem"} +{"d:Title": "All-Reviews.com", "d:Description": "Larry G.'s review: \"...a simple, sometimes beautiful work but it generally lacks the energy and virtuousity of their classic works.\" Rated 2.5 stars out of 4.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Reviews/Up", "url": "http://www.all-reviews.com/music/up.htm"} +{"d:Title": "John Michael Stipe - In the Spotlight", "d:Description": "Pictures of and by the musician, articles, quotes and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Stipe,_Michael", "url": "http://www.janavision.com/jms/"} +{"d:Title": "QuoteMonk.com: Michael Stipe", "d:Description": "A profile of the R.E.M. lead vocalist, including a biography and famous quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Stipe,_Michael", "url": "http://www.quotemonk.com/authors/michael-stipe/biography-profile.htm"} +{"d:Title": "Confessions of a Michael Stipe", "d:Description": "Stipe's official blog on Tumblr.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Stipe,_Michael", "url": "http://confessionsofamichaelstipe.tumblr.com/"} +{"d:Title": "Hollywood.com: Michael Stipe", "d:Description": "Movie-based profile, including a biography, filmography and a list of awards and milestones.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Stipe,_Michael", "url": "http://www.hollywood.com/celebrities/1114259/michael-stipe"} +{"d:Title": "The Original REM Guitar Archive", "d:Description": "A selection of tablatures for all R.E.M. albums from 'Chronic Town' to 'Reveal'.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R.E.M./Tablature", "url": "http://zenandjuice.com/music/rem/"} +{"d:Title": "Nausea - The Alternative Rachel Stamp Website", "d:Description": "Includes history, discography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rachel_Stamp", "url": "http://www.users.globalnet.co.uk/~downwest/rachelstamp/"} +{"d:Title": "Black Cherry", "d:Description": "Fansite featuring biography, extensive discography, pictures, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rachel_Stamp", "url": "http://www.angelfire.com/freak/rachelstamp/"} +{"d:Title": "ArtistDirect: Rachel Stamp", "d:Description": "Contains links, tour schedule, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rachel_Stamp", "url": "http://www.artistdirect.com/artist/rachel-stamp/2551937"} +{"d:Title": "Superstarz of Heartache webring", "d:Description": "A webring for Rachel Stamp fans.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rachel_Stamp/Directories", "url": "http://www.webring.org/hub?ring=stampring"} +{"d:Title": "At Ease", "d:Description": "News, extensive discography, lyrics, tour dates, pictures, audio and video clips, archives of the official site, and a forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://www.ateaseweb.com/"} +{"d:Title": "Talk in Maths", "d:Description": "Frequently asked questions for the Radiohead mailing list and alt.music.radiohead newsgroup.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://www.radioheadfaq.co.uk/"} +{"d:Title": "Radiohead.com", "d:Description": "Freeform official site includes a message board, pictures, and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://www.radiohead.com/"} +{"d:Title": "Green Plastic", "d:Description": "Includes news, band history, tour dates, discography, lyrics and song interpretations, multimedia, image gallery, chronology, gigography, articles, gear, tablature, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://www.greenplastic.com/"} +{"d:Title": "Thin Ice", "d:Description": "Includes album reviews, band information, news, video.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://thinice.atspace.com/"} +{"d:Title": "NME - Radiohead", "d:Description": "Archive of Radiohead-related news, album and concert reviews, a band history, and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://www.nme.com/artists/radiohead"} +{"d:Title": "Wikipedia: Radiohead", "d:Description": "Encyclopedia article covers the band's history, members' solo work, trivia, and bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://en.wikipedia.org/wiki/Radiohead"} +{"d:Title": "Computer Hardware Remix of Radiohead's 'Nude'", "d:Description": "\"Based on the lyric (and alternate title) \"Big Ideas: Don't get any\" I grouped together a collection of old redundant hardware, and placed them in a situation where they're trying their best to do something that they're not exactly designed to do, and not quite getting there.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://vimeo.com/1109226"} +{"d:Title": "Radiohead Discography and Track Resource", "d:Description": "A complete list of every officially released version of every Radiohead song the releases where they are found.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://www.rhtrack.com/"} +{"d:Title": "MTV - Radiohead", "d:Description": "Includes album reviews, news, audio downloads, biography, discography and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead", "url": "http://www.mtv.com/artists/radiohead/"} +{"d:Title": "NY Rock - They're Not So Angst-ridden Once You Get to Know Them", "d:Description": "NY Rock freelancer Gabriella talks with Radiohead about their new music.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Articles_and_Interviews", "url": "http://www.nyrock.com/interviews/2000/radiohead.asp"} +{"d:Title": "NME.com - Hail to the Mischief Makers", "d:Description": "Wannabe Hollywood stars looking for their big break are being fooled by a secret poster campaign to promote the new Radiohead album.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Articles_and_Interviews", "url": "http://www.nme.com/news/radiohead/14207"} +{"d:Title": "Radiohead News - Topix", "d:Description": "News about Radiohead continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Articles_and_Interviews", "url": "http://www.topix.com/who/radiohead"} +{"d:Title": "Then It Must Be True - Phil Selway Interview", "d:Description": "2001 interview.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Articles_and_Interviews", "url": "http://citizeninsane.eu/s2001-06TIMBT.htm"} +{"d:Title": "The Observer Interview: Radiohead", "d:Description": "Retrospective about the band and an interview with Johnny and Colin Greenwood.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Articles_and_Interviews", "url": "http://www.theguardian.com/theobserver/2000/oct/01/life1.lifemagazine"} +{"d:Title": "The National Anthem - A Tribute To Colin Greenwood", "d:Description": "Includes a fan club, vital statistics, pictures, articles, quotes, tablature and gear.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Band_Members", "url": "http://www.angelfire.com/home/cg/index.html"} +{"d:Title": "C.A.R.S.", "d:Description": "The Colin Appreciation and Reverence Society. Includes a biography, image gallery, tablature, fan club, 'fun stuff' and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Band_Members", "url": "http://www.angelfire.com/nc/colingreenwood/"} +{"d:Title": "The Rabbit in the Headlights", "d:Description": "Pictures and fan art of Thom Yorke.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Band_Members", "url": "http://www.angelfire.com/music/radiohead/"} +{"d:Title": "How to Be Like Colin Greenwood - In Ten Easy Steps", "d:Description": "Radiohead's bass player has wanna-be's all over the world. This easy peasy, ten-step guide will show you how to be just like him.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Band_Members", "url": "http://colin-greenwood.blogspot.com/"} +{"d:Title": "Yahoo Groups: 18576397", "d:Description": "Radiohead fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Chats_and_Forums", "url": "http://groups.yahoo.com/group/18576397/"} +{"d:Title": "58hours", "d:Description": "Database of radiohead's live performances, searchable by several variables.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Downloads", "url": "http://www.58hours.com/"} +{"d:Title": "Just Radiohead", "d:Description": "Fan site in English and Italian with fan club and fanzine, tour dates, tablature, links to streaming interviews, discography, and profiles of charities the band has supported.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://www.justradiohead.com/"} +{"d:Title": "Come On Kids", "d:Description": "News, pictures, contests, fan art, multimedia, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://www.angelfire.com/zine/comeonkids/"} +{"d:Title": "Radiohead Ross", "d:Description": "Includes news and a hidden guide to OK Computer.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://weescotsman123.tripod.com/radiohead/"} +{"d:Title": "Subterranean Homesick Alien", "d:Description": "Biography, photographs, interviews, discography, lyrics, tablature, gigography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://members.tripod.com/Radiohead_SHA/"} +{"d:Title": "Creephead", "d:Description": "Features band information, a biography, discography, lyrics, artwork, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://creephead.tripod.com/"} +{"d:Title": "Release Me", "d:Description": "Band information, lyrics of official and unreleased songs, tablature, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://releaseme.5u.com/"} +{"d:Title": "Soul Immersion", "d:Description": "Profiles of band members, interviews, lyrics, pictures, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://cokebabie.tripod.com/"} +{"d:Title": "Look at the Sky", "d:Description": "News, lyrics, and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://www.unbornchikken.com/looksky/"} +{"d:Title": "Treefingers", "d:Description": "Pictures, tablature, news, links to articles, lyrics, and archives of Ed O'Brien's web journal.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://www.treefingers.com/"} +{"d:Title": "We Hope That You Choke", "d:Description": "Lyrics, pictures, guitar tablature and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://www.angelfire.com/music2/reptilianus/radiohead/"} +{"d:Title": "The Mongrel Cat", "d:Description": "Discography, lyrics, biographies, and album art.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://cobwebstudios.com/themongrelcat.htm"} +{"d:Title": "Radio Ixtiologica", "d:Description": "Articles, pictures, bibliography and fanzine. [English and Russian.]", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Fan_Pages", "url": "http://radiox.ru/"} +{"d:Title": "Coldbacon Radiohead Reviews", "d:Description": "Reviews of several albums including \"Amnesiac\" and \"OK Computer.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews", "url": "http://www.coldbacon.com/music/radiohead.html"} +{"d:Title": "DooYoo: Immerse Your Soul In Love", "d:Description": "Reviews of \"The Bends.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews", "url": "http://www.dooyoo.co.uk/music-records/the-bends-radiohead/reviews/"} +{"d:Title": "Pitchfork: Pablo Honey: Collector's Edition / The Bends: Collector's Edition / OK Computer: Collector's Edition", "d:Description": "Radiohead's first three albums are given expanded 2xCD reissues. [Scott Plagenhoef]", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews", "url": "http://pitchfork.com/reviews/albums/12938-pablo-honey-collectors-edition-the-bends-collectors-edition-ok-computer-collectors-edition/"} +{"d:Title": "Pitchfork Review: Amnesiac", "d:Description": "\"'Amnesiac' is about as close to 'The Bends' as Miss Cleo is to Jamaican.\" Ryan Schreiber gives the album 9.0 out of 10. (June 4, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Amnesiac", "url": "http://pitchfork.com/reviews/albums/6659-amnesiac/"} +{"d:Title": "The Village Voice: Sounds Like Music", "d:Description": "\"Amnesiac illuminates what Radiohead are now, and will likely be for a long time: an evasive, willfully experimental rock band who feel uncomfortable in their own skins.\" R.J. Smith reviews 'Amnesiac.'", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Amnesiac", "url": "http://www.villagevoice.com/music/sounds-like-music-6415468"} +{"d:Title": "Metacritic: Amnesiac", "d:Description": "Links to several reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Amnesiac", "url": "http://www.metacritic.com/music/amnesiac/radiohead"} +{"d:Title": "Paste: Hail to the Thief", "d:Description": "Matt Fink's review: \"With war, terror and governmental conspiracy converging in increasingly confusing and complicated ways, the real and imagined bogeymen haunting their songs feel even more corporeal.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Hail_to_the_Thief", "url": "http://www.pastemagazine.com/articles/2003/06/radiohead-hail-to-the-thief.html"} +{"d:Title": "The Guardian: Hail to the Thief", "d:Description": "Alexis Petridis' review: \"... bears little comparison to the crowd-pleasing epic rock of OK Computer and a distinct resemblance to the more recherche Amnesiac.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Hail_to_the_Thief", "url": "http://www.theguardian.com/music/2003/jun/06/popandrock.artsfeatures"} +{"d:Title": "Pitchfork Reviews: I Might Be Wrong", "d:Description": "Review by Matt LeMay. (Dec. 1, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/I_Might_Be_Wrong", "url": "http://pitchfork.com/reviews/albums/6657-i-might-be-wrong-live-recordings-ep/"} +{"d:Title": "The Shrubbery: Kid A", "d:Description": "Robert Brandt's review.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Kid_A", "url": "http://www.theshrubbery.com/1000/music3.html"} +{"d:Title": "The Tech: Kid A", "d:Description": "Dan Katz's review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Kid_A", "url": "http://tech.mit.edu/V120/N47/Radiohead.47a.html"} +{"d:Title": "Pitchfork: Kid A", "d:Description": "\"Comparing this to other albums is like comparing an aquarium to blue construction paper.\" Brent DiCrescenzo review. (Oct. 1, 2000)", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Kid_A", "url": "http://pitchfork.com/reviews/albums/13385-kid-a-special-collectors-edition/"} +{"d:Title": "Metacritic: Kid A", "d:Description": "Multiple critic and user reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Kid_A", "url": "http://www.metacritic.com/music/kid-a/radiohead"} +{"d:Title": "MusicOMH.com: Kid A", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/Kid_A", "url": "http://www.musicomh.com/reviews/albums/radiohead-kid-a"} +{"d:Title": "Drop-D Magazine: Radiohead - OK Computer", "d:Description": "Darren Kerr's review with audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/OK_Computer", "url": "http://www.dropd.com/issue/63/CD/Radiohead/"} +{"d:Title": "Music-Critic.com: Radiohead - OK Computer", "d:Description": "Bill Aicher's review.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/OK_Computer", "url": "http://www.music-critic.com/rock/radiohead_okcomputer.htm"} +{"d:Title": "All-Reviews.com: Radiohead - OK Computer", "d:Description": "Reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Reviews/OK_Computer", "url": "http://www.all-reviews.com/music/okcomputer.htm"} +{"d:Title": "About Guitar: Accurate Kid A Tabs", "d:Description": "Detailed tablatures of the entire \"Kid A\" album for guitar.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Tablature", "url": "http://guitar.about.com/library/weekly/aa102400a.htm"} +{"d:Title": "1=2 Radiohead Guitar Tablature", "d:Description": "Tablature and chords for guitar and bass tablature. Includes lyrics and b-sides.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Tablature", "url": "http://www.angelfire.com/electronic/radioheadtab/project.html"} +{"d:Title": "Guitartab.com: Radiohead Tablatures", "d:Description": "Tablatures indexed alphabetically by song title.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Radiohead/Tablature", "url": "http://www.guitaretab.com/r/radiohead/"} +{"d:Title": "All Music Guide: Raekwon", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Raekwon", "url": "http://www.allmusic.com/artist/raekwon-mn0000387973"} +{"d:Title": "Gerry Rafferty - City To City", "d:Description": "Review of the album by Ken Emerson, from Rolling Stone magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rafferty,_Gerry", "url": "http://www.superseventies.com/rafferty.html"} +{"d:Title": "Rage Against The Machine", "d:Description": "Official site includes news, timeline, images, lyrics, sound files, and the Freedom Fighter of the Month.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine", "url": "http://www.ratm.com/"} +{"d:Title": "Rockmagic.net: Rage Against the Machine", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine", "url": "http://lyrics.rockmagic.net/lyrics/rage_against_the_machine/"} +{"d:Title": "RollingStone.com: Rage Against the Machine", "d:Description": "Biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine", "url": "http://www.rollingstone.com/music/artists/rage-against-the-machine"} +{"d:Title": "MTV: Rage Against the Machine", "d:Description": "Album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine", "url": "http://www.mtv.com/artists/rage-against-the-machine/"} +{"d:Title": "Metacritic: Renegades", "d:Description": "Links to critic and user reviews of Renegades, released December, 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine", "url": "http://www.metacritic.com/music/renegades/rage-against-the-machine"} +{"d:Title": "Bram's Rage Page", "d:Description": "News, guitar and bass tablatures, old and new photographs, sounds, lyrics, tour, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://members.tripod.com/thebram/"} +{"d:Title": "Insane Rage", "d:Description": "Includes lyrics, photos, biographies, news, and a look at the meaning of the lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.members.tripod.com/burntfrog6/ratm.html"} +{"d:Title": "Justin's Rage Utopia", "d:Description": "Music, lyrics, biographies, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/ca4/jl/"} +{"d:Title": "Know Your Enemy", "d:Description": "Biographies, pictures, and media.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/ca4/RageATM/"} +{"d:Title": "Mardy's Rage Against the Machine Page", "d:Description": "Biographies, MP3s, pictures, web ring, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/games/siar/"} +{"d:Title": "Mike's Rage Page", "d:Description": "Lyrics, pictures, sounds, news, and other related things.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/nm/ragepage/"} +{"d:Title": "More is Necessary Than Vocabulary War", "d:Description": "Lyric interpretations, profiles, news, tablatures, links, multimedia. [Pop-up windows]", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/mi2/angerisagift/"} +{"d:Title": "Pete's Rage Page", "d:Description": "Photographs, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://trumpy008.tripod.com/petes_rage/"} +{"d:Title": "Rage Against The Machine", "d:Description": "Lyrics, biographies, discography, quotes, photographs, downloads, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.stormpages.com/sanka107/ultimaterage.html"} +{"d:Title": "Rage Against the Machine", "d:Description": "Lyrics, discography, biography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/nt/ragepage1/"} +{"d:Title": "Rage Against The Machine: The Complete Site", "d:Description": "Biographies, tabs, reviews, political information, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.musicfanclubs.org/rage/"} +{"d:Title": "RAGE: What Better Time Than Now?", "d:Description": "Biographies, lyrics, pictures, links, and short articles on Leonard Peltier and Mumia Abu-Jamal.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/nf/ratmgirl/"} +{"d:Title": "Rage_IME", "d:Description": "Links, pictures, biography, FAQ, survey, sounds, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/hi/Levitate/"} +{"d:Title": "Matt's Rage Against the Machine Page", "d:Description": "Images, midi, videos, chat, lyrics, forum, tour dates, wav, bass tabs, guitar tabs, sound clips, sheet music, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.mattsmusicpage.com/nrage.htm"} +{"d:Title": "Zack Shrine", "d:Description": "Profile, images, discography, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/rock/ragezack/entertheWU.html"} +{"d:Title": "The Red Star Activist and Rage Page", "d:Description": "Biography, tablature, lyrics, activists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://the_red_star.tripod.com/"} +{"d:Title": "CJ's Rage Page", "d:Description": "News, music clips, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://calumjack2001.tripod.com/s_rage_page.htm"} +{"d:Title": "Killing In The Name Of....", "d:Description": "Features lyrics and a band profile.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.youneverlisten.com/bands/rage/index.html"} +{"d:Title": "With a Rage Fist", "d:Description": "Biography, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rage_Against_the_Machine/Fan_Pages", "url": "http://www.angelfire.com/biz4/witharagefist/"} +{"d:Title": "Daily 49'er: Rah Digga - Dirty Harriet", "d:Description": "Review by Wes Woods II: \"While no material is truly spectacular, Rah Digga consistently delivers interesting, colorful lyrics and aggressive, head bobbing instrumentals.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rah_Digga", "url": "http://www.csulb.edu/~d49er/spring00/diversions/v7n111-rah.html"} +{"d:Title": "Rockmagic.net: Rainbow Lyrics", "d:Description": "Rainbow song lyrics indexed by album and alphabetically", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rainbow", "url": "http://lyrics.rockmagic.net/lyrics/rainbow/"} +{"d:Title": "RockMagic.net: Rainbow Guitar Tabs", "d:Description": "Guitar tablatures, chords, and bass tablatures", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rainbow", "url": "http://www.rockmagic.net/guitar-tabs/rainbow/"} +{"d:Title": "Green Pages: Rainbow", "d:Description": "Offers a discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rainbow", "url": "http://www.venco.com.pl/~piotrus/greenpages/rainbow/"} +{"d:Title": "BonnieRaitt.com", "d:Description": "Discography, tour dates, cover art, a biography, and a downloadable interactive multimedia file.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Raitt,_Bonnie", "url": "http://www.bonnieraitt.com/"} +{"d:Title": "RollingStone.com: Bonnie Raitt", "d:Description": "Biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Raitt,_Bonnie", "url": "http://www.rollingstone.com/music/artists/bonnie-raitt"} +{"d:Title": "Rock and Roll Hall of Fame: Bonnie Raitt", "d:Description": "Brief biography, timelime, essential recordings, and recommended reading.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Raitt,_Bonnie", "url": "http://rockhall.com/inductees/bonnie-raitt/"} +{"d:Title": "Yahoo Music: Bonnie Raitt", "d:Description": "Feature article, pictures, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Raitt,_Bonnie", "url": "https://music.yahoo.com/artist/bonnie-raitt/"} +{"d:Title": "Salon.com: Fundamental", "d:Description": "A review of the CD by John Milward.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Raitt,_Bonnie/Articles_and_Interviews", "url": "http://www.salon.com/1998/04/23/sharps_140/"} +{"d:Title": "Rammstein", "d:Description": "Official English site. Discography, specials, information on the date and location of new Rammstein concerts, and a restricted access fan area.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein", "url": "http://www.rammstein.de/"} +{"d:Title": "Affenknecht", "d:Description": "News, band information, tour dates, discography, pictures, lyrics and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein", "url": "http://affenknecht.com/"} +{"d:Title": "MTV: Rammstein", "d:Description": "Album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein", "url": "http://www.mtv.com/artists/rammstein/"} +{"d:Title": "Doktor Rammstein's surgery", "d:Description": "Pictures, history, webrings, and a section on the Columbine killings.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Fan_Pages", "url": "http://www.angelfire.com/band/duhast/"} +{"d:Title": "Herzeleid.com", "d:Description": "Lyrics, video clips, articles, pictures, tour schedule, show reviews, guitar tablature, a discography, forums, and free e-mail.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Fan_Pages", "url": "http://herzeleid.com/"} +{"d:Title": "Rammstein Picture Gallery", "d:Description": "Pictures of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Fan_Pages", "url": "http://liveausberlin.tripod.com/"} +{"d:Title": "Hans Claesson", "d:Description": "3D wallpapers and graphics inspired by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Fan_Pages", "url": "http://kebawe.com/wallpapers/rammstein/"} +{"d:Title": "Rammstein Yuku", "d:Description": "A place to gather and discuss the band with other fans.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Fan_Pages", "url": "http://buckdichfurrammstein.yuku.com/"} +{"d:Title": "Rammstein Lyrics Site", "d:Description": "Lyrics and English translations.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Lyrics", "url": "http://rammstein-text.ru/en"} +{"d:Title": "Audio Revolution: Rammstein - Mutter", "d:Description": "Jerry Del Colliano's review: \"It is recorded exceedingly well, considering its genre and the other similar records currently on record store shelves.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Reviews/Mutter", "url": "http://www.avrev.com/music-disc-reviews/audio-cd/rammstein-mutter.html"} +{"d:Title": "Luna Kaf\u00e9 E-Zine: Rammstein - Sehnsucht", "d:Description": "Lonely Locke's review: \"Stylistically Rammstein's sound is a mixture of heavy metal riffs and modern electronic production methods.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Reviews/Sehnsucht", "url": "http://www.lunakafe.com/moon11/de11.php"} +{"d:Title": "Songsterr: Rammstein", "d:Description": "Collection of tabs for the industrial rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rammstein/Tablature", "url": "http://www.songsterr.com/a/wsa/rammstein-tabs-a4223?inst=bass"} +{"d:Title": "Rockometer.com: Ramones", "d:Description": "Original photographs highlight crew profiles, trivia and humor, history of the YooHoo t-shirt, Johnny Ramone's guitars, collectables, and rare vinyl.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://www.rockometer.com/ramones/"} +{"d:Title": "Rockmagic.net: Ramones Lyrics", "d:Description": "Ramones song lyrics indexed by album and alphabetically", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://lyrics.rockmagic.net/lyrics/ramones/"} +{"d:Title": "Mark's Record Reviews: The Ramones", "d:Description": "Reviews of Ramones records with readers' comments.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://www.markprindle.com/ramonesa.htm"} +{"d:Title": "Joey Ramone", "d:Description": "A posthumous celebration of the life and times of the Ramones lead singer. News and reviews, sound clips, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://www.joeyramone.com/"} +{"d:Title": "TrouserPress.com: Ramones", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://www.trouserpress.com/entry.php?a=ramones"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Ramones", "d:Description": "Scott Floman provides ratings and analysis of the Ramones' '70s albums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://sfloman.com/ramones.html"} +{"d:Title": "Rock and Roll Hall of Fame: The Ramones", "d:Description": "Inductee profile, bibliography, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://rockhall.com/inductees/ramones/"} +{"d:Title": "RollingStone.com: The Ramones", "d:Description": "Biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://www.rollingstone.com/music/artists/the-ramones"} +{"d:Title": "MTV: The Ramones", "d:Description": "News, biographies, musical influences, audio and video clips, photographs, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones", "url": "http://www.mtv.com/artists/the-ramones/"} +{"d:Title": "Jari-Pekka Laitio", "d:Description": "Lyrics, pictures, concert reviews, and special discographies .", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones/Fan_Pages", "url": "http://www.kauhajoki.fi/~jplaitio/ramones.html"} +{"d:Title": "Aviv's Rockin' Ramones", "d:Description": "Provides videos, pictures, tabs, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones/Fan_Pages", "url": "http://crimson-mist.tripod.com/ramones/"} +{"d:Title": "Tompa's Ramones Page", "d:Description": "News, discography, chat, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones/Fan_Pages", "url": "http://www.gabba.se/"} +{"d:Title": "Gabba", "d:Description": "British band performing a fusion of ABBA and The Ramones. Gig dates, sound files, member profiles, photos, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones/Tribute_Bands", "url": "http://www.gabba.co.uk/"} +{"d:Title": "Acid Eaters", "d:Description": "Dutch punk-rock band dedicated to playing Ramones songs and turning other, mainly '50s and '60s songs into Ramones songs. News, biography, photo gallery, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones/Tribute_Bands", "url": "http://www.acideaters.com/"} +{"d:Title": "Ramones Mania", "d:Description": "Tribute band based in Israel. Features reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones/Tribute_Bands", "url": "http://crimson-mist.tripod.com/ramones/mania/"} +{"d:Title": "Animal Boys", "d:Description": "Ramones tribute band from Switzerland. News, biography, photos, MP3 files, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramones/Tribute_Bands", "url": "http://www.animalboys.ch/"} +{"d:Title": "WAR", "d:Description": "Official site with concert schedule, news, reviews, biography, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramsey,_Willis_Alan", "url": "http://www.willisalanramsey.com/"} +{"d:Title": "Eclectica Magazine: Willis Alan Ramsey", "d:Description": "Music review by Tom Dooley.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramsey,_Willis_Alan", "url": "http://www.eclectica.org/v3n3/ramsey.html"} +{"d:Title": "All Music Guide: Willis Alan Ramsey", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ramsey,_Willis_Alan", "url": "http://www.allmusic.com/artist/p5224"} +{"d:Title": "Matt's Rancid Page", "d:Description": "Pictures, sound files, lyrics, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rancid", "url": "http://www.mattsmusicpage.com/nrancid.htm"} +{"d:Title": "Rancid", "d:Description": "Official site. Includes news, tour dates, multimedia, radio station, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rancid", "url": "http://www.rancidrancid.com/"} +{"d:Title": "Rancid News: Topix", "d:Description": "News about Rancid continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rancid", "url": "http://www.topix.com/who/rancid"} +{"d:Title": "Clash of the Titans", "d:Description": "The Onion AV Club interviews Lars Frederikson on being punk.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rancid", "url": "http://www.avclub.com/articles/rancid,13562/"} +{"d:Title": "ArtistDirect: Rancid", "d:Description": "Biography, sound files, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rancid", "url": "http://www.artistdirect.com/artist/rancid/482794"} +{"d:Title": "MTV: Rancid", "d:Description": "Album reviews, music news, tour dates, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rancid", "url": "http://www.mtv.com/artists/rancid/"} +{"d:Title": "Metacritic: Rancid", "d:Description": "Links to critic and user reviews for the CD Rancid [2000].", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rancid", "url": "http://www.metacritic.com/music/rancid-2000/rancid"} +{"d:Title": "Random Hold", "d:Description": "Mailing list for discussion of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Random_Hold", "url": "http://groups.yahoo.com/group/RandomHold/"} +{"d:Title": "The Random Hold Archive", "d:Description": "History of the band with articles, reviews, photographs, sound samples, and personal recollections.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Random_Hold", "url": "http://www.randomhold.com/"} +{"d:Title": "The Rankins", "d:Description": "Official site with news, tour schedule, discography, photo gallery, cd ordering information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rankins,_The", "url": "http://www.islandviewcreations.com/rankins/"} +{"d:Title": "The Rankins", "d:Description": "Fansite with photographs, profiles, memorial to John Morris Rankin, FAQ, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rankins,_The", "url": "http://members.tripod.com/rankinstng/rankins.html"} +{"d:Title": "Rambles: The Rankins", "d:Description": "Review of the band's \"Uprooted\" recording, by Amanda Fisher.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rankins,_The", "url": "http://www.rambles.net/rankins_uprooted.html"} +{"d:Title": "Rankin's Rule", "d:Description": "Yahoo! club offering discussion, archived messages, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rankins,_The", "url": "http://groups.yahoo.com/group/rankinsrule/"} +{"d:Title": "Jimmy Rankin Official Website", "d:Description": "Includes news, tour dates, video clips, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rankins,_The", "url": "http://www.jimmyrankin.com/"} +{"d:Title": "Rapoon / Robin Storey", "d:Description": "The official site, including discography, articles and interviews, CD reviews, the visual art of Robin Storey, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rapoon", "url": "http://www.pretentious.net/Rapoon/"} +{"d:Title": "Rapoon Interview", "d:Description": "Sonic Boom interview by Jester, from April, 1998. Includes information on Zoviet France and the art of Robin Storey.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rapoon", "url": "http://www.sonic-boom.com/interview/rapoon.interview.html"} +{"d:Title": "Rapoon: The Fires of the Borderlands", "d:Description": "Spiderbytes review of this Rapoon CD from 1998.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rapoon", "url": "http://www.spiderbytes.com/ambientrance/rap-fob.htm"} +{"d:Title": "The Rascals", "d:Description": "Band history, photographs, articles, and many related links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rascals,_The", "url": "http://members.tripod.com/Ky58/index.htm"} +{"d:Title": "Rock and Roll Hall of Fame: The (Young) Rascals", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rascals,_The", "url": "http://rockhall.com/inductees/rascals/"} +{"d:Title": "The Official Raspberries Website", "d:Description": "News, discography, pictures, videos, tour dates, timeline, biography, press and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Raspberries,_The", "url": "http://www.raspberriesonline.com/"} +{"d:Title": "The Olde Rasputina Page", "d:Description": "A few pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.angelfire.com/la/LolitaLand/Rasputina.html"} +{"d:Title": "The Ladies' Cello Society Fansite", "d:Description": "Tour dates, news, album information, covers and track lists, pictures from the Conan O'Brien performance, promos, and information on \"The Third Chairs.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://members.tripod.com/~Baby_Fox/corsetier/cellohome.html"} +{"d:Title": "Babysue", "d:Description": "Interview with Melora Creager.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.babysue.com/Rasputina.html"} +{"d:Title": "Porcy and Melly's Rasputina Page", "d:Description": "Photographs, merchandise art, discography, biography, interviews, articles, links, lyrics", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.members.tripod.com/~corset_strings/rasputina/raspy.htm"} +{"d:Title": "Rasputina", "d:Description": "Fan tribute containing biographies, images, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.angelfire.com/co4/morerasputina0/home.html"} +{"d:Title": "H2SO4: Rasputina", "d:Description": "Review of the album \"How We Quit the Forest\".", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.h2so4.net/reviews/rasputina.html"} +{"d:Title": "Zoe Keating: Cello", "d:Description": "Personal site of the Rasputina member. Includes information on her different projects, images, show dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.zoekeating.com/"} +{"d:Title": "Chaos Control: Rasputina \"Oh Perilous World\" interview", "d:Description": "Melora Creager talks about the Rasputina release \"Oh Perilous World.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.chaoscontrol.com/rasputina-4/"} +{"d:Title": "Chaos Control interviews Rasputina", "d:Description": "An interview with Melora Creager, focusing on the \"Lost and Found\" EP.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.chaoscontrol.com/rasputina-2/"} +{"d:Title": "Unit Circle", "d:Description": "Interview with Melora Creager.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina", "url": "http://www.unitcircle.com/zine/music/interviews/rasputina.html"} +{"d:Title": "Candy Kisses", "d:Description": "Mailing list hosted by Yahoo Groups for the discussion of the bands Switchblade Symphony and Rasputina.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CandyKisses"} +{"d:Title": "Any Olde Actresses", "d:Description": "Mailing list hosted by Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina/Chats_and_Forums", "url": "http://groups.yahoo.com/group/AnyOldeActresses/"} +{"d:Title": "New Zero", "d:Description": "\"Rasputina Family\" mailing list member information, FAQs, subscription, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina/Chats_and_Forums", "url": "http://groups.yahoo.com/group/new_zero/"} +{"d:Title": "WebRing: Rasputina", "d:Description": "List of sites in the webring.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rasputina/Directories", "url": "http://nav.webring.org/navcgi?ring=rasputina"} +{"d:Title": "RatDog", "d:Description": "Setlists, tour dates, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/RatDog", "url": "http://www.ratdog.org/"} +{"d:Title": "The Ratt Gang", "d:Description": "Fansite in English and Japanese; includes news, tourdates, discography and videography, member profiles, interviews, pictures, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ratt", "url": "http://therattgang.com/"} +{"d:Title": "Warren DeMartini Fansite", "d:Description": "Japanese fan site for the guitarist. Includes news, biography, discography, photos and tour dates. [English and Japanese]", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ratt", "url": "http://www.warrendemartini.net/"} +{"d:Title": "MTV: Ratt", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ratt", "url": "http://www.mtv.com/artists/ratt/"} +{"d:Title": "TrouserPress.com: Rave-Ups", "d:Description": "Reviews of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rave-Ups", "url": "http://www.trouserpress.com/entry.php?a=rave-ups"} +{"d:Title": "Ray J", "d:Description": "Fansite featuring biography, news, reviews, articles, photo galleries, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ray_J", "url": "http://www.angelfire.com/celeb/RayJ4Real/"} +{"d:Title": "Ray J Online", "d:Description": "Atlantic Records site with biography, news, video and audio clips, photos, message board and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ray_J", "url": "http://rayj.com/"} +{"d:Title": "Internet Movie Database: Ray J", "d:Description": "Film and TV credits, biography and images.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ray_J", "url": "http://www.imdb.com/name/nm0005043/"} +{"d:Title": "Rockmagic.net: Razor", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Razor", "url": "http://lyrics.rockmagic.net/lyrics/razor/"} +{"d:Title": "All Music Guide: Re-Flex", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Re-Flex", "url": "http://www.allmusic.com/artist/re-flex-p20126"} +{"d:Title": "MTV: Re-Flex", "d:Description": "Biography, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Re-Flex", "url": "http://www.mtv.com/artists/re-flex-00/"} +{"d:Title": "Eddi Reader", "d:Description": "Official site. Biography, news, album info, tour info, and online shop.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reader,_Eddi", "url": "http://www.eddireader.com/"} +{"d:Title": "Eddi Reader", "d:Description": "Photos of a live performance at 'Guildford Live 2001'.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reader,_Eddi", "url": "http://www.efestivals.co.uk/festivals/guilfest/2001/photos-EddieReader.shtml"} +{"d:Title": "Eddi Reader Lyrics", "d:Description": "Lyrics to some of Eddi's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reader,_Eddi", "url": "http://www.letssingit.com/?http://www.letssingit.com/eddie-reader-rjhdz.html"} +{"d:Title": "The Right Place", "d:Description": "Japanese Eddi Reader fan site includes news, discography, reviews and articles. In Japanese and English.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reader,_Eddi", "url": "http://www006.upp.so-net.ne.jp/rocknsoul/eddi/eddi_top_e.html"} +{"d:Title": "Eddi Reader", "d:Description": "Fan site from Adrian Dover. Biography, picture gallery, discography and news. Includes information about the Honeychildren email list.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reader,_Eddi", "url": "http://www.eddireader.net/"} +{"d:Title": "The Thistle&Shamrock Interview with Eddi Reader", "d:Description": "1998 interview by Fiona Ritchie from the NPR radio show.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reader,_Eddi", "url": "http://thistleradio.com/index.php?option=com_content&view=article&id=72:eddi-reader&catid=41:archived-interview-transcripts&Itemid=69"} +{"d:Title": "RebbeSoul", "d:Description": "Official site featuring news, sound and video clips, pictures, and press.", "topic": "Top/Arts/Music/Bands_and_Artists/R/RebbeSoul", "url": "http://www.rebbesoul.com/"} +{"d:Title": "Rebel Rebel", "d:Description": "Cyber shock band from Los Angeles, California. Includes a biography, news updates, pictures, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rebel_Rebel", "url": "http://www.rebelrebel.org/"} +{"d:Title": "Reckless Kelly", "d:Description": "Official site with news, articles, discography, audio clips, photo gallery, chat, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reckless_Kelly", "url": "http://www.recklesskelly.com/"} +{"d:Title": "MTV: Reckless Kelly", "d:Description": "News, full biography, musical influences, audio clips, photographs, music videos, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reckless_Kelly", "url": "http://www.cmt.com/artists/reckless-kelly/"} +{"d:Title": "Recoiline", "d:Description": "News, discography, history, interview, pictures, Winamp skins, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Recoil", "url": "http://recoil.pimpfdm.com/"} +{"d:Title": "Night Eagle Cafe: Leon Redbone", "d:Description": "Article from acoustic music cafe in Oxford, New York calls him the Friedrich Nietzsche of popular music, mentions connections to Bob Dylan and Saturday Night Live.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redbone,_Leon", "url": "http://www.nighteaglecafe.org/artists/leon_redbone.html"} +{"d:Title": "Leon Redbone", "d:Description": "The performer's home page. Bulletin board, tour schedule, discography, links to booking agency and online CD sales.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redbone,_Leon", "url": "http://www.leonredbone.com/"} +{"d:Title": "Official Helen Reddy Website", "d:Description": "Biography, discography, news and events, including CDs, Broadway shows, and movies.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reddy,_Helen", "url": "http://www.helenreddy.com/"} +{"d:Title": "Louise On The World Wide Web", "d:Description": "No longer updated, but still a good source for Louise pictures, interviews and information. Also has biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redknapp,_Louise", "url": "http://louise.brigatti.co.uk/"} +{"d:Title": "Louise - A Yank's Point Of View", "d:Description": "American Fansite for Louise. Has biography, discography and extensive gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redknapp,_Louise", "url": "http://www.romanorum.net/louise/"} +{"d:Title": "This Is Louise", "d:Description": "Has biography, discography and gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redknapp,_Louise", "url": "http://www.thisislouise.co.uk/"} +{"d:Title": "Louise - Woman In Me", "d:Description": "Has a gallery that's categorized according to source.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redknapp,_Louise", "url": "http://members.tripod.com/~Louben/index.htm"} +{"d:Title": "Louise Redknapp", "d:Description": "Latest news direct from Louise's management as well as music jukebox, gallery, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redknapp,_Louise", "url": "http://www.louiseredknappofficial.co.uk/"} +{"d:Title": "Louise", "d:Description": "The longest running fansite. Has discography, biography, gallery and news.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redknapp,_Louise", "url": "http://www.louiseredknapp.net/"} +{"d:Title": "AskMen.com: Louise Nurding", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redknapp,_Louise", "url": "http://www.askmen.com/celebs/women/singer_60/72_louise_nurding.html"} +{"d:Title": "MTV.com: Redman", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redman", "url": "http://www.mtv.com/artists/redman/"} +{"d:Title": "Joshua Redman on John Coltrane", "d:Description": "Joshua Redman discusses the classic John Coltrane recording A Love Supreme with Jerry Jazz Musician.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redman,_Joshua", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=redman.html"} +{"d:Title": "Official Joshua Redman Site", "d:Description": "News, tour dates, audio samples, video, profile, photographs, mailing list, discussion forum, reviews, contact information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Redman,_Joshua", "url": "http://www.joshuaredman.com/"} +{"d:Title": "Red Hot Chili Peppers Online", "d:Description": "Official site. News, tour dates, discography, photos, chat, audio, video, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers", "url": "http://www.redhotchilipeppers.com/"} +{"d:Title": "RollingStone.com: Red Hot Chili Peppers", "d:Description": "Biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers", "url": "http://www.rollingstone.com/music/artists/red-hot-chili-peppers"} +{"d:Title": "Artist Direct: Red Hot Chili Peppers", "d:Description": "Brief introduction and interview.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers", "url": "http://www.artistdirect.com/artist/red-hot-chili-peppers/483511"} +{"d:Title": "The Red Hot Ring", "d:Description": "Join or list sites in the webring.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers", "url": "http://www.webring.org/hub?ring=rhcp"} +{"d:Title": "MTV: Red Hot Chili Peppers", "d:Description": "Music video clips, album reviews, tour information, and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers", "url": "http://www.mtv.com/artists/red-hot-chili-peppers/"} +{"d:Title": "Hillel Slovak", "d:Description": "Original guitarist of Red Hot Chili Peppers. Brief band history, biography, discography, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Band_Members", "url": "http://www.slovakopedia.com/h/hillel-slovak.htm"} +{"d:Title": "Chili Head", "d:Description": "Lyrics, tattoos, pictures, news, tour dates, polls, and equipment lists.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://www.angelfire.com/music3/Chilihead/index.html"} +{"d:Title": "KiedisGal's Funky Love Homepage", "d:Description": "Personal stories and a concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://kiedisgal.tripod.com/peppered.html"} +{"d:Title": "Lisa's Red Hot Chili Pepper", "d:Description": "A fan page with discography, art work and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://www.angelfire.com/ny3/Silky/"} +{"d:Title": "Sikamikaniko", "d:Description": "Tribute to the band and John Frusciante including history, discography, photo galleries, message board, polls, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://members.tripod.com/hard_core_soft_porn/"} +{"d:Title": "Troublekids In Funk Heaven", "d:Description": "Exclusive pictures, articles, interviews, news, rare sound samples, discography, filmography, musical equipment, chatrooms, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://rhcprock.free.fr/"} +{"d:Title": "Apache Rose Peacock", "d:Description": "Unofficial page features news articles, lyrics, tablatures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://www.angelfire.com/ar3/apacherosepeacock/home.html"} +{"d:Title": "Chilipepperszone", "d:Description": "Site contains pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://redhotchilipeppers9.tripod.com/"} +{"d:Title": "One Hot Site - Unofficial RHCP site", "d:Description": "Unofficial fan site containing biography, discography, lyrics, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Fan_Pages", "url": "http://users.tpg.com.au/lalirra/"} +{"d:Title": "Rockmagic.net: Red Hot Chili Peppers Lyrics", "d:Description": "Red Hot Chili Peppers song lyrics indexed by album and alphabetically", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/red_hot_chili_peppers/"} +{"d:Title": "Lyrics on Demand: Red Hot Chili Peppers", "d:Description": "Text of lyrics for RHCP songs, sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Lyrics", "url": "http://www.lyricsondemand.com/r/redhotchilipepperslyrics/index.html"} +{"d:Title": "Red Hot Chili Peppers Tabs", "d:Description": "Collection of guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Tablatures", "url": "http://www.globaltabs.50megs.com/chilli/Chilli.htm"} +{"d:Title": "RockMagic: Red Hot Chili Peppers Guitar Tabs", "d:Description": "Guitar tablatures, chords, and bass tablatures for over 100 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Tablatures", "url": "http://www.rockmagic.net/guitar-tabs/red-hot-chili-peppers/"} +{"d:Title": "G Tabs: Red Hot Chilli Peppers", "d:Description": "Collection of tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Tablatures", "url": "http://www.gtabs.org/author/Red%20Hot%20Chilli%20Peppers"} +{"d:Title": "Guitar Tabs Explorer: Red Hot Chili Peppers", "d:Description": "Tablatures, chords and video lessons.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Tablatures", "url": "http://www.guitartabsexplorer.com/red_hot_chili_peppers-tabs/"} +{"d:Title": "Funky Monks", "d:Description": "Red Hot Chili Peppers tribute band from Illinois. Includes member profiles, show dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Tribute_Bands", "url": "http://www.funkymonksmusic.com/"} +{"d:Title": "Australian Red Hot Chili Peppers Show", "d:Description": "Gigs, pictures and information on support act.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_Hot_Chili_Peppers/Tribute_Bands", "url": "http://ozredhotchilipeppersshow.com.au/"} +{"d:Title": "Red House Painters", "d:Description": "Eyesore Database with extensive discography and band member information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_House_Painters", "url": "http://quimby.gnus.org/html/group/redhousepainters.html"} +{"d:Title": "Mark Kozelek Guitar Archive", "d:Description": "Includes guitar tablatures and chords, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_House_Painters", "url": "http://www.blueguitar.info/"} +{"d:Title": "Back in Bleak", "d:Description": "Article from SF Weekly.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_House_Painters", "url": "http://www.sfweekly.com/2000-03-08/music/riff-raff"} +{"d:Title": "4AD: Red House Painters", "d:Description": "Label discography, profile, images, and music video from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_House_Painters", "url": "http://www.4ad.com/artists/redhousepainters/"} +{"d:Title": "Metacritic: Red House Painters: Old Ramon", "d:Description": "Links to multiple user and critic reviews for the CD.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Red_House_Painters", "url": "http://www.metacritic.com/music/old-ramon/red-house-painters"} +{"d:Title": "Jerry Reed Fan Club", "d:Description": "An online fan club based at Yahoo.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Jerry", "url": "http://groups.yahoo.com/group/jerryreedjunkies/"} +{"d:Title": "The New York Times - A Conversation with Lou Reed", "d:Description": "Lou Reed discusses his rock music career. Streaming video of a live interview by The New York Times. Fee required.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://www.nytimes.com/criticschoice/purchase_reed.html"} +{"d:Title": "The Unofficial Lou Reed Club", "d:Description": "From Yahoo! Groups. Includes pictures, links, information, news, chat.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://groups.yahoo.com/group/theunofficialloureedclub2/"} +{"d:Title": "The Wild Side of Lou Reed", "d:Description": "Details on Reed's pre- and post-Velvet Underground recordings, plus selected poems and interviews; from the creator of the Velvet Underground Web Page.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://olivier.landemaine.free.fr/loureed/reed.html"} +{"d:Title": "ArtistDirect: Lou Reed", "d:Description": "Includes links to MP3, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://www.artistdirect.com/artist/lou-reed/483685"} +{"d:Title": "Rolling Stone.com: Lou Reed", "d:Description": "Biography, audio, video, discography, album reviews, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://www.rollingstone.com/music/artists/lou-reed"} +{"d:Title": "All Music Guide: Lou Reed", "d:Description": "Comprehensive solo discography and bio.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://www.allmusic.com/artist/p5247"} +{"d:Title": "The Rock 'n Roll Animal Web Page", "d:Description": "Concert setlists, discographies and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://loureed.es/"} +{"d:Title": "IMDb: Lou Reed", "d:Description": "Reed's film and video appearances, from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://www.imdb.com/name/nm0715563/"} +{"d:Title": "Metacritic: Ecstasy", "d:Description": "Links to reviews for Reed's April 2000 CD, Ecstasy.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://www.metacritic.com/music/ecstasy/lou-reed"} +{"d:Title": "Lou Reed/Sister Ray Enterprises", "d:Description": "Reed's official site, with information on his latest projects, a timeline, online diary and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reed,_Lou", "url": "http://www.loureed.com/"} +{"d:Title": "Don't Cry Over Dead Broccoli Aaron - A ReeL Big Fish Page", "d:Description": "News, tour dates, audio, and reviews of shows.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reel_Big_Fish", "url": "http://members.tripod.com/~meghan_c/skatanic.html"} +{"d:Title": "Reel Big Fish Home Entertainment Center", "d:Description": "News, discography, tablatures, multimedia, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reel_Big_Fish", "url": "http://www.angelfire.com/oh/reelbgfish/index.html"} +{"d:Title": "The Official Reel Big Fish Page", "d:Description": "Includes news from RBF themselves, tour dates, song and video clips, merchandise, and games.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reel_Big_Fish", "url": "http://www.reel-big-fish.com/"} +{"d:Title": "Matt's Reel Big Fish Page", "d:Description": "Includes images, song clips, lyrics, videos, chat, forum, and guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reel_Big_Fish", "url": "http://www.mattsmusicpage.com/nrealbigf.htm"} +{"d:Title": "Reel Big Fish Interview", "d:Description": "Lindzi.com asks Reel Big Fish to discuss touring, their upcoming album, and their thoughts on September 11th.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reel_Big_Fish", "url": "http://www.lindzi.com/interviews/reelbigfish.htm"} +{"d:Title": "MTV.com: Reel Big Fish", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reel_Big_Fish", "url": "http://www.mtv.com/artists/reel-big-fish/"} +{"d:Title": "Soul Patrol: Martha Reeves", "d:Description": "Features lyrics, pictures and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reeves,_Martha", "url": "http://www.soul-patrol.com/soul/martha.htm"} +{"d:Title": "Martha Reeves", "d:Description": "Official site includes her biography, tour dates, FAQ and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reeves,_Martha", "url": "http://marthareeves.tripod.com/marthareeves/index.html"} +{"d:Title": "Rock and Roll Hall of Fame: Martha and the Vandellas", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reeves,_Martha", "url": "http://rockhall.com/inductees/martha-and-the-vandellas/"} +{"d:Title": "Reggie and the Full Effect", "d:Description": "Photographs and a plea for Reggie to tour in southern California.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reggie_and_the_Full_Effect", "url": "http://www.angelfire.com/80s/reggietribute/home.html"} +{"d:Title": "Ink 19: Reggie and the Full Effect", "d:Description": "Brief review of \"Promotional Copy\" by Marcel Feldmar.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reggie_and_the_Full_Effect", "url": "http://www.ink19.com/issues/june2000/wetInk/musicRS/reggieFullEffect.html"} +{"d:Title": "CLUAS - War On Plastic Plants", "d:Description": "Review of the album which includes a list of bands who've possibly influenced their sound.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Regular_Fries/Reviews", "url": "http://www.cluas.com/music/albums/regularfries.htm"} +{"d:Title": "Fusion, Confusion, Evolution: The Musical Therapy of TJ Rehmi", "d:Description": "Profile on Rehmi from \"Telepolis\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rehmi,_TJ", "url": "http://www.heise.de/tp/english/inhalt/musik/3426/1.html"} +{"d:Title": "Terry Reid Online", "d:Description": "Biography and discography for the rock singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reid,_Terry", "url": "http://www.angelfire.com/rock/terryreid/index.html"} +{"d:Title": "Yahoo! Groups: The Rembrandts Club", "d:Description": "A place where fans may post messages or chat.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rembrandts,_The", "url": "http://groups.yahoo.com/group/therembrandtsclub/"} +{"d:Title": "Artist Direct: The Rembrandts", "d:Description": "Features a biography, discography, audio clips, message board, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rembrandts,_The", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,484071,00.html"} +{"d:Title": "The Rembrandts", "d:Description": "Official site. Includes a short biography, forum, and streaming music.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rembrandts,_The", "url": "http://www.therembrandts.net/"} +{"d:Title": "Remy Zero Official Site", "d:Description": "The official site for the band featuring discography, sound clips, pictures, music lyrics, chatroom, videos, news.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Remy_Zero", "url": "http://www.remyzero.com/"} +{"d:Title": "EMusic: John Renbourn", "d:Description": "Discography and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Renbourn,_John", "url": "http://www.emusic.com/artist/10565/10565878.html"} +{"d:Title": "Daniel Rene Music", "d:Description": "Official site features news, biography, music, videos, discography, photo gallery and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rene,_Daniel", "url": "http://www.danielrenemusic.com/"} +{"d:Title": "The Replacements: All For Nothing/Nothing For All", "d:Description": "Review of the compilation album, from TransAction magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Replacements,_The", "url": "http://www.rock-the-world.com/mats.html"} +{"d:Title": "True Romance: The Bittersweet Legacy of the Replacements", "d:Description": "Review of the \"All for Nothing/Nothing for All\" compilation album from The Boston Phoenix.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Replacements,_The", "url": "http://www.bostonphoenix.com/archive/music/97/11/06/THE_REPLACEMENTS.html"} +{"d:Title": "Rollingstone.com: Replacements", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Replacements,_The", "url": "http://www.rollingstone.com/music/artists/the-replacements"} +{"d:Title": "Riot in Republica", "d:Description": "A fan site with a collection of MP3s and a section on rare tracks and cover versions.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Republica", "url": "http://members.tripod.com/riotrepublica/"} +{"d:Title": "RES", "d:Description": "Features photos, sound clips, concert reviews, and news updates.", "topic": "Top/Arts/Music/Bands_and_Artists/R/RES", "url": "http://www.sirensofsong.com/res.htm"} +{"d:Title": "AskMen.com - Res", "d:Description": "Pictures, biography, commentary and links on the talented singer.", "topic": "Top/Arts/Music/Bands_and_Artists/R/RES", "url": "http://www.askmen.com/celebs/women/singer_100/143_res.html"} +{"d:Title": "The Residents BOG", "d:Description": "Official news weblog also features discography and photo archive.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Residents,_The", "url": "http://www.residents.com/"} +{"d:Title": "The Moles Present The Residents", "d:Description": "Large archive of great information about the multi-media band from a group of European fans.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Residents,_The", "url": "http://www.theresidents.co.uk/"} +{"d:Title": "The Mick Sinclair Archive: The Residents", "d:Description": "Review of the album Mark of the Mole published in \"Sounds.\" \"Just another Residents album.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Residents,_The", "url": "http://micksinclair.com/sounds/residents.html"} +{"d:Title": "Interzones: The Residents", "d:Description": "Interview by Larry Kay with Hardy Fox from 1995.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Residents,_The", "url": "http://www.altx.com/interzones2/carbon/residents.html"} +{"d:Title": "The Residents Reviews and Interviews", "d:Description": "Reviews of some recent recordings and shows, and an interview with Homer Flynn of The Cryptic Corporation.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Residents,_The", "url": "http://www.ram.org/music/reviews/residents.html"} +{"d:Title": "Fake The Residents", "d:Description": "Japanese tribute band offers RealAudio.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Residents,_The/Tribute_Bands", "url": "http://www.urban.ne.jp/home/tad8/"} +{"d:Title": "Stephen Reso Band", "d:Description": "Official site features news, show dates, video files, photos, and Stephen's journal.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reso,_Stephen", "url": "http://www.stephenreso.com/"} +{"d:Title": "Reverend Horton Heat", "d:Description": "Official site includes news, tour dates, press, message board, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reverend_Horton_Heat", "url": "http://www.reverendhortonheat.com/"} +{"d:Title": "TrouserPress.com: Revolting Cocks", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Revolting_Cocks", "url": "http://www.trouserpress.com/entry.php?a=revolting_cocks"} +{"d:Title": "Tim Reynolds", "d:Description": "Official site includes biography, tour dates, discography, reviews, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Reynolds,_Tim", "url": "http://www.timreynolds.com/"} +{"d:Title": "Punk77: Rezillos", "d:Description": "Profile with photos and an audio clip.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rezillos,_The", "url": "http://www.punk77.co.uk/groups/rezillos.htm"} +{"d:Title": "Jamming Music: The Rezillos -- Back on the (Flying Saucer) Attack", "d:Description": "Positive review of the June 2003 show in New Brunswick, New Jersey by Tony Fletche.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rezillos,_The", "url": "http://www.ijamming.net/Music/Rezillos.html"} +{"d:Title": "Ink 19: The Rezillos: What's Under Their Kilts?", "d:Description": "Interview by David Lee Beowulf.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rezillos,_The", "url": "http://www.ink19.com/issues/september2002/interviews/rezillos.html"} +{"d:Title": "TrouserPress.com: Rezillos", "d:Description": "Review of the recordings of the band and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rezillos,_The", "url": "http://www.trouserpress.com/entry.php?a=rezillos"} +{"d:Title": "Revillos, The", "d:Description": "UK pulp pop band, featuring former members of the Rezillos. News, photographs, audio, video, discography, lyrics, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rezillos,_The", "url": "http://www.revillos.co.uk/"} +{"d:Title": "Women of 70's Punk: Rezillos", "d:Description": "Profile with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rezillos,_The", "url": "http://davidsmythe.org/rezillos/rezillos-rina.html"} +{"d:Title": "Drop D: The Rheostatics", "d:Description": "Reviews of the band's performance with The Inbreds at the Vogue Theatre.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rheostatics", "url": "http://dropd.com/issue/43/Rheostatics/"} +{"d:Title": "Rheostatics Rock", "d:Description": "Picture gallery from various live shows.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rheostatics", "url": "http://www.angelfire.com/band/rheostatics/"} +{"d:Title": "Rheostatics Yahoo! Group", "d:Description": "Mailing list dedicated to discussions about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rheostatics", "url": "http://groups.yahoo.com/group/rheostatics/"} +{"d:Title": "Canoe Jam!: Rheostatics", "d:Description": "Provides an original biography, discography, photo and list of past and present members.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rheostatics", "url": "http://jam.canoe.com/Music/Artists/R/Rheostatics/"} +{"d:Title": "Rhianna", "d:Description": "Fansite for the UK singer features news, lyrics, discography, photographs, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rhianna", "url": "http://rhiannauk.tripod.com/"} +{"d:Title": "Ecto", "d:Description": "This is an offshoot of ecto, the Happy Rhodes mailing list. The site provides a wide range of information relating to Happy Rhodes, and kindred artists.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rhodes,_Happy", "url": "http://www.smoe.org/ecto/"} +{"d:Title": "Excerpted From Mega Therion to Eden", "d:Description": "A series of reviews of eight of Happy Rhodes' albums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rhodes,_Happy", "url": "http://www.furia.com/misc/HappyRhodes.html"} +{"d:Title": "Cliff Richard", "d:Description": "The official website by the Cliff Richard Organisation.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Cliff", "url": "http://www.cliffrichard.org/"} +{"d:Title": "Fan Club of London and Surrey", "d:Description": "News, articles, quizzes, fan club listings, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Cliff", "url": "http://www.cliff-guaranteed.co.uk/"} +{"d:Title": "Pat Irons US Page", "d:Description": "Pat was an energetic American fan right up until her untimely death in 1999. Sally Coltzau from Australia is maintaining the pages Pat created.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Cliff", "url": "http://members.tripod.com/pat_irons/cliffnshadsushomepage.html"} +{"d:Title": "Vibekes Cliff Richard Page", "d:Description": "A Danish fan site with original photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Cliff", "url": "http://www.fibber.dk/cliff/"} +{"d:Title": "Record Collectors Guide", "d:Description": "John Panteny's definitive discography of UK releases.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Cliff", "url": "http://www.cliffrichardsongs.com/cliffandshads/"} +{"d:Title": "Zachary Richard", "d:Description": "The official site of singer, songwriter and poet. Includes discography, news, music clips, poetry, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Zachary", "url": "http://www.zacharyrichard.com/"} +{"d:Title": "OffBeat: Zachary Richard", "d:Description": "Interview with the artist on his success and ongoing projects, by Angelie Alciatore.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Zachary", "url": "http://offbeat.com/1998/02/01/zachary-richard/"} +{"d:Title": "All Music Guide: Zachary Richard", "d:Description": "Provides a biography, discography, photo, song highlights, and a list of similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richard,_Zachary", "url": "http://www.allmusic.com/artist/zachary-richard-mn0000964582"} +{"d:Title": "Chad Richardson's Unofficial Homepage", "d:Description": "A tribute to Chad Richardson's life from the beginning to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richardson,_Chad", "url": "http://www.angelfire.com/ny/Chadrichardson/index.html"} +{"d:Title": "Two People", "d:Description": "Learn all about Chad, his music, and his involvements with Rent. Also get all the latest information on what Chad will be up to after the Canadian tour disbands.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richardson,_Chad", "url": "http://members.tripod.com/~chadr_fan/"} +{"d:Title": "Vapor Records", "d:Description": "Biography, audio, video, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.vaporrecords.com/"} +{"d:Title": "The Maureen 'Moe' Tucker Web Page", "d:Description": "Includes a short article written by Jonathan Richman.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://olivier.landemaine.free.fr/moetucker/tucker.html"} +{"d:Title": "Jojo Loves Salad", "d:Description": "Spoof discography and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.angelfire.com/oh/luvjojo/jojo.html"} +{"d:Title": "Jonathan Richman Bringing Band, New Album to Athens", "d:Description": "Article by Julie Phillips.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.athensnewspapers.com/1996/111796/1117.richman.html"} +{"d:Title": "Tucson Weekly: Jonathan Richman", "d:Description": "Article detailing forthcoming concert.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.tucsonweekly.com/tw/11-07-96/sbites.htm"} +{"d:Title": "Rockin Leprechauns", "d:Description": "Yahoo group with forum, photographs, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://groups.yahoo.com/group/rockinleprechauns/"} +{"d:Title": "Luna Kaf\u00e9 Interview", "d:Description": "A brief interview with Jonathan Richman.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.lunakafe.com/moon30/usca30.php"} +{"d:Title": "Twin/Tone Records - Jonathan Richman", "d:Description": "Brief biography and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.twintone.com/richman.html"} +{"d:Title": "Something About Jonathan", "d:Description": "Article and short interview by Jeff Stark.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.sfweekly.com/1998-08-12/news/something-about-jonathan/"} +{"d:Title": "Jonathan Richman - Wikipedia", "d:Description": "Wikipedia article on Jonathan Richman", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://en.wikipedia.org/wiki/Jonathan_Richman"} +{"d:Title": "Trouser Press: Jonathan Richman", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.trouserpress.com/entry.php?a=jonathan_richman"} +{"d:Title": "Jojoblog", "d:Description": "Fan weblog features news, tour dates and media.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://jojofiles.blogspot.com/"} +{"d:Title": "Punk Godfather", "d:Description": "Soundbites article detailing upcoming concert.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.tucsonweekly.com/tw/02-08-96/sbites.htm"} +{"d:Title": "Mr. Hollywood On-Line's Jonathan Richman Page", "d:Description": "Includes concert photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://mrhollywood.0catch.com/jonx.html"} +{"d:Title": "ArtistDirect: Jonathan Richman", "d:Description": "Links, forum, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.artistdirect.com/artist/jonathan-richman/484904"} +{"d:Title": "Frank Wu's Jonathan Richman Pages", "d:Description": "Features fan's story, song index and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.frankwu.com/JR1.html"} +{"d:Title": "Appetite for Affection", "d:Description": "Article about pop music and food featuring Jonathan Richman. (March 28, 1997)", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.salon.com/1997/03/28/vowell_14/"} +{"d:Title": "Minstrel of Sincerity", "d:Description": "Article by Sam Hurwitt. (Sept. 16, 1996)", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.salon.com/1996/09/16/music2960916/"} +{"d:Title": "High Road Touring", "d:Description": "Biography, tour dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.highroadtouring.com/artists/jonathan-richman/"} +{"d:Title": "MTV: Jonathan Richman", "d:Description": "With biography, news, videos, tour dates, links, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan", "url": "http://www.mtv.com/artists/jonathan-richman/"} +{"d:Title": "Jonathan Wilson&Alroy's Record Reviews", "d:Description": "Reviews of a number of Jonathan Richman records.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan/Reviews", "url": "http://www.warr.org/richman.html"} +{"d:Title": "Entertainment Ave: Jonathan Richman", "d:Description": "Review of a concert at Lounge Ax in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/r/jonathan_richman/jr100396.htm"} +{"d:Title": "The Yale Herald: Jonathan Richman's I'm So Confused", "d:Description": "Review of I'm So Confused.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Richman,_Jonathan/Reviews", "url": "http://www.yaleherald.com/archive/xxvi/12.4.98/ae/record3.html"} +{"d:Title": "Stanridgway.com", "d:Description": "Official site of Stanard \"Stan\" Ridgway; news, MP3 and video files, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ridgway,_Stan", "url": "http://www.stanridgway.com/"} +{"d:Title": "Everybody Does What Nobody Will Allow", "d:Description": "Text and sound files from the promotional materials for Stan Ridgway's debute album \"Mosquitos.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ridgway,_Stan", "url": "http://www.midwinter.com/beyond/mirrors/edwnwa/"} +{"d:Title": "Beyond Tomorrow", "d:Description": "Fan site includes news, lyrics, sound and video files, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ridgway,_Stan", "url": "http://www.midwinter.com/beyond/"} +{"d:Title": "Allied Chemical: Stan Ridgway", "d:Description": "Discography, with sound files from the recent entries.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ridgway,_Stan", "url": "http://www.alliedchemical.com/blackops/ridgway/"} +{"d:Title": "Discography: Stan Ridgway", "d:Description": "Complete discography with solo and collaborative recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ridgway,_Stan", "url": "http://idiot-dog.com/music/ridgway.stan/"} +{"d:Title": "Essays and Reviews on Stan Ridgway", "d:Description": "Essay, interview, and several reviews by English professor Sam Umland.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ridgway,_Stan", "url": "http://www.lopers.net/faculty/unknet/umlands/ridgsearch.html"} +{"d:Title": "The Righteous Brothers News: Topix", "d:Description": "News about The Righteous Brothers continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Righteous_Brothers,_The", "url": "http://www.topix.com/who/the-righteous-brothers"} +{"d:Title": "Rock and Roll Hall of Fame: The Righteous Brothers", "d:Description": "Detailed biography of the 2003 inductees.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Righteous_Brothers,_The", "url": "http://rockhall.com/inductees/righteous-brothers/"} +{"d:Title": "Right Said Fred", "d:Description": "Biography, discography, and news. Fan page.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Right_Said_Fred", "url": "http://misterwonderman.tripod.com/"} +{"d:Title": "Right Said Fred", "d:Description": "Official site with news, fan forum, biography, press, pictures, audio and video clips, and MP3s for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Right_Said_Fred", "url": "http://www.rightsaidfred.com/"} +{"d:Title": "Right Said Fred from Satan Stole My Teddybear", "d:Description": "Review of the seven-track 1991 single \"I'm Too Sexy.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Right_Said_Fred", "url": "http://www.ssmt-reviews.com/artist/right.html"} +{"d:Title": "LeAnn-Rimes.com", "d:Description": "LeAnn-Rimes.com, up-to-date website with chatroom, forum, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rimes,_LeAnn", "url": "http://www.leann-rimes.com/"} +{"d:Title": "MiRea's LeAnn Rimes's Realm of Links", "d:Description": "Large list of links to sites in many languages.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rimes,_LeAnn", "url": "http://www.angelfire.com/realm/mirea/rimes/"} +{"d:Title": "LeAnn Rimes", "d:Description": "Official site. Contains tour information, CD news, and a merchandise page for members of her fan club.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rimes,_LeAnn", "url": "http://www.leannrimesworld.com/"} +{"d:Title": "All Music Guide: LeAnn Rimes", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rimes,_LeAnn", "url": "http://www.allmusic.com/artist/leann-rimes-p182450"} +{"d:Title": "AskMen.com: Leann Rimes", "d:Description": "Pictures, biography, commentary, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rimes,_LeAnn", "url": "http://www.askmen.com/celebs/women/singer/30_leann_rimes.html"} +{"d:Title": "MTV: LeAnn Rimes", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rimes,_LeAnn", "url": "http://www.cmt.com/artists/leann-rimes/"} +{"d:Title": "The Rippingtons", "d:Description": "Official site includes news, profiles, tour dates, discography, FAQ, pictures, video files, and discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rippingtons,_The", "url": "http://www.rippingtons.com/"} +{"d:Title": "All Music Guide: The Rippingtons", "d:Description": "Profile, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rippingtons,_The", "url": "http://www.allmusic.com/artist/the-rippingtons-p7423"} +{"d:Title": "The Night Owl", "d:Description": "Review of Twisted Tunes - Volume 1 and 2.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rivers,_Bob", "url": "http://www.thenightowl.com/reviews/rivers.htm"} +{"d:Title": "Roll over Weird Al; make room for Bob Rivers", "d:Description": "Review by Jamie Brazee.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rivers,_Bob", "url": "http://technique.library.gatech.edu/issues/winter1998/feb13/entertainment5.html"} +{"d:Title": "Wikipedia", "d:Description": "Encyclopedia article covering the history of his radio shows and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rivers,_Bob", "url": "http://en.wikipedia.org/wiki/Bob_Rivers"} +{"d:Title": "The Bob Rivers Show", "d:Description": "Official site with downloads, discography, store, and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rivers,_Bob", "url": "http://www.bobrivers.com/"} +{"d:Title": "Rivers, Johnny", "d:Description": "Blues guitarist and musician. Includes, history, audio files, new recordings, upcoming schedule and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rivers,_Johnny", "url": "http://www.johnnyrivers.com/"} +{"d:Title": "Johnny Rivers", "d:Description": "Biography, career history, and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rivers,_Johnny", "url": "http://www.utopiaartists.com/bio_johnny_rivers.htm"} +{"d:Title": "Riverway", "d:Description": "Fansite for London based rock band. Includes band information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Riverway", "url": "http://www.riverway.8m.com/"} +{"d:Title": "All Music Guide: Brian Robertson", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Brian", "url": "http://www.allmusic.com/artist/brian-robertson-p119210"} +{"d:Title": "The Band: Robbie Robertson", "d:Description": "Brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie", "url": "http://theband.hiof.no/band_members/robbie.html"} +{"d:Title": "Robbie Robertson: Album Discography", "d:Description": "\"and what an impressive discography it is. From Dylan to Scorsese to Native American to Howie B\" Robertson's ever-evolving artistry is unique among the \"classic\" rockers.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie", "url": "http://theband.hiof.no/albums/robertson_index.html"} +{"d:Title": "ArtistDirect: Robbie Robertson", "d:Description": "Photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie", "url": "http://www.artistdirect.com/artist/robbie-robertson/485778"} +{"d:Title": "Robbie Robertson: Making a Noise (NY Rock)", "d:Description": "Review of \"Contact from the Underworld of Redboy\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie/Reviews/Contact_from_the_Underworld_of_Red_Boy", "url": "http://www.nyrock.com/features/robbie_robertson.htm"} +{"d:Title": "CD Review: Robbie Robertson,", "d:Description": "short review", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie/Reviews/Contact_from_the_Underworld_of_Red_Boy", "url": "http://dropd.com/issue/99/CD/RobbieRobertson/"} +{"d:Title": "Robbie Robertson: Music for the Native Americans", "d:Description": "Cover art, songlist with audio clips, and sidemen for this totally original mesh of Native American music with haunting Robertson guitar solos", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie/Reviews/Music_for_the_Native_Americans", "url": "http://theband.hiof.no/albums/music_for_the_native_americans.html"} +{"d:Title": "Trail of Tears", "d:Description": "Review of Music for the Native Americans.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie/Reviews/Music_for_the_Native_Americans", "url": "http://content.time.com/time/magazine/article/0,9171,1101941128-163349,00.html"} +{"d:Title": "Robbie Robertson: Robbie Robertson", "d:Description": "Cover art, songlist with audio clips, sidemen on Robertson's first solo release ... which came a long eleven years after the breakup of The Band", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie/Reviews/Robbie_Robertson", "url": "http://theband.hiof.no/albums/robbie_robertson.html"} +{"d:Title": "Robbie Robertson: Storyville", "d:Description": "Cover art, songlist with audio clips, and sidemen on what is probably Robertson's finest solo effort ... so far.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robertson,_Robbie/Reviews/Storyville", "url": "http://theband.hiof.no/albums/storyville.html"} +{"d:Title": "All Music Guide: Robert Bradley's Blackwater Surprise", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robert_Bradley's_Blackwater_Surprise", "url": "http://www.allmusic.com/artist/robert-bradleys-blackwater-surprise-p481516"} +{"d:Title": "Wilson and Alroy's Record Reviews: Smokey Robinson", "d:Description": "Reviews of albums by the band and Smokey solo.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robinson,_Smokey_and_the_Miracles", "url": "http://www.warr.org/smokey.html"} +{"d:Title": "Soul Patrol: Smokey Robinson and the Miracles", "d:Description": "Includes memories, lyrics, discography, tribute, and a story.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robinson,_Smokey_and_the_Miracles", "url": "http://www.soul-patrol.com/soul/smokey.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Smokey Robinson", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robinson,_Smokey_and_the_Miracles", "url": "http://rockhall.com/inductees/smokey-robinson/"} +{"d:Title": "About.com: Charlie Robison", "d:Description": "Interview and album review by Jennifer Webb.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robison,_Charlie", "url": "http://countrymusic.about.com/library/blcharlieinterview.htm"} +{"d:Title": "Charlie Robison Official Site", "d:Description": "Includes news, tour dates, discography, biography, photos, desktop wallpaper, forum, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robison,_Charlie", "url": "http://www.charlierobison.com/"} +{"d:Title": "ArtistDirect: Robyn", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Robyn", "url": "http://www.artistdirect.com/artist/robyn/582567"} +{"d:Title": "Rocket From the Crypt", "d:Description": "Official site with news, discography, tour dates, contact information, articles, multimedia, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rocket_from_the_Crypt", "url": "http://www.rftc.com/"} +{"d:Title": "Metroactive Music: Rocket From the Crypt", "d:Description": "Article from the February 1-7, 2001 issue of Metro, Silicon Valley's Weekly Newspaper.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rocket_from_the_Crypt", "url": "http://www.metroactive.com/papers/metro/02.01.01/rocketfromcrypt-0105.html"} +{"d:Title": "Metacritic: Group Sounds", "d:Description": "Links to critic and user reviews for the CD Group Sounds released March, 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rocket_from_the_Crypt", "url": "http://www.metacritic.com/music/group-sounds/rocket-from-the-crypt"} +{"d:Title": "All Music Guide: Rockin' Dopsie", "d:Description": "Profile offers a biography, discography, photo, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rockin'_Dopsie", "url": "http://www.allmusic.com/artist/rockin-dopsie-mn0000833677"} +{"d:Title": "All Music Guide: Rockin' Sidney", "d:Description": "Provides a biography, discography, song highlights, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rockin'_Sidney", "url": "http://www.allmusic.com/artist/rockin-sidney-p742"} +{"d:Title": "Official Rockwell Church", "d:Description": "\"Comprised of childhood friends Joti Rockwell and Nathan Church Hubbard, the Washington, D.C. acoustic duo have been writing and performing music since the age of six. Now only twenty- two years old, they exhibit a deep and refined musical maturity that extends well beyond their years. A veteran of the South by Southwest Music Festival (1996) and the CMJ Music Marathon (1996), Rockwell Church also tours the college circuit extensively.\" ~Official Site", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rockwell_Church", "url": "http://www.rockwellchurch.com/"} +{"d:Title": "ArtistDirect: Rockwell Church", "d:Description": "Includes a biography, links, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rockwell_Church", "url": "http://www.artistdirect.com/artist/rockwell-church/680046"} +{"d:Title": "Kenny Rogers", "d:Description": "Official page, with merchandise, tour dates, biography, videos, music, and an extensive discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rogers,_Kenny", "url": "http://www.kennyrogers.com/"} +{"d:Title": "Men Who Look Like Kenny Rogers", "d:Description": "Photographs of men who bear a resemblance to Rogers, tips on achieving the look, places to spot such people, and gifts.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rogers,_Kenny", "url": "http://www.menwholooklikekennyrogers.com/"} +{"d:Title": "Kenny Rogers News: Topix", "d:Description": "News about Kenny Rogers continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rogers,_Kenny", "url": "http://www.topix.com/who/kenny-rogers"} +{"d:Title": "CMT.com: Kenny Rogers", "d:Description": "Includes biography, discography, tour schedule, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rogers,_Kenny", "url": "http://www.cmt.com/artists/kenny-rogers/"} +{"d:Title": "Canoe.ca: Stan Rogers", "d:Description": "Includes a biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rogers,_Stan", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/R/Rogers_Stan.html"} +{"d:Title": "Rollers/Sparkers", "d:Description": "Lyrics, music and pictures from the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rollers-Sparkers", "url": "http://homepage.eircom.net/~rollerssparkers/index.htm"} +{"d:Title": "Flashpoint Rolling Stones", "d:Description": "Dedicated to the group and their music. Offers photographs, bibliography, facts and trivia, band member quotes, tour schedules, songlist, guestbook and the Sticky Fingers Journal.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://home.swipnet.se/rollingstones/"} +{"d:Title": "Rolling Stones 45 Discography, The", "d:Description": "Features the groups worldwide 45 and EPs discography. Includes the UK, Japan, France, Spain, USA with over 3000 entries.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://www.stones7.com/"} +{"d:Title": "Topix: The Rolling Stones", "d:Description": "News about The Rolling Stones, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://www.topix.com/rss/who/the-rolling-stones.xml"} +{"d:Title": "RollingStones.com", "d:Description": "Official Website of the Rolling Stones. Contains the latest news about the band, upcoming concerts, films and a webshop selling merchandise", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://www.rollingstones.com/"} +{"d:Title": "Soundunwound: The Rolling Stones", "d:Description": "Database of Rolling Stones facts including biography, discography, links, photos and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://www.soundunwound.com/sp/contributor/view/The+Rolling+Stones"} +{"d:Title": "Rolling Stones Setlists", "d:Description": "A user-maintained collection of Rolling Stones setlists and song statistics from their beginning up to recent tours.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://www.setlist.fm/setlists/the-rolling-stones-bd6ad22.html"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Rolling Stones", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://sfloman.com/rollingstones.html"} +{"d:Title": "Rock and Roll Hall of Fame: The Rolling Stones", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://rockhall.com/inductees/the-rolling-stones/"} +{"d:Title": "RollingStone.com: The Rolling Stones", "d:Description": "Thirty years of articles, music, photographs, cover articles, a biography, webcasts, discography/album reviews, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://www.rollingstone.com/music/artists/the-rolling-stones"} +{"d:Title": "MTV: The Rolling Stones", "d:Description": "Features news, biographies, musical influences, audio clips, photographs, music videos, group bulletin board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones", "url": "http://www.mtv.com/artists/the-rolling-stones/"} +{"d:Title": "It's A Gas, Gas, Gas Message Board", "d:Description": "Very active message board for the group and their music.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Chats_and_Forums", "url": "http://www.keno.org/gasland/post.asp"} +{"d:Title": "The Rolling Stones Fan Club of Europe", "d:Description": "Provides the latest news, links and photographs of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Clubs", "url": "http://www.iorr.org/"} +{"d:Title": "Why I Still Love the Rolling Stones", "d:Description": "A personal essay about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.furious.com/perfect/rollingstones.html"} +{"d:Title": "Keno's Rolling Stones Web Site", "d:Description": "Album reviews, song and album personnel information, poll on favorite albums and songs, message board, photographs, biographies and updated tour news.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.keno.org/Home.html"} +{"d:Title": "Michael Rolling Stones Site", "d:Description": "A personal web page about the group. Offers photos, logos and band icons, album covers and a short biography of the host.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.iol.ie/~concept/"} +{"d:Title": "Blue Lena's Rolling Stones Page", "d:Description": "A site devoted to the group, complete with pictures and information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.angelfire.com/pa/redlands/index.html"} +{"d:Title": "Cindy's House of Stones", "d:Description": "Fansite of Mick Jagger and the group, Offers a gallery of photographs, autographs, collectible items, tour books, magazines, lyrics, discography and trade list.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://ilovemickjagger.com/"} +{"d:Title": "Ladies And Gentlemen The Rolling Stones", "d:Description": "Offers a discography, lyrics, real audio files and pictures of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://members.chello.nl/~f.dejonge/rs.htm"} +{"d:Title": "ElChae's Vinyl Lounge", "d:Description": "MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://elchae.tripod.com/"} +{"d:Title": "The Rolling Stones Fan Site", "d:Description": "Includes biographies, tablatures, chords, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://users.skynet.be/stones/"} +{"d:Title": "Matt's Rolling Stones Page", "d:Description": "Dedicated to the group and their music. Offers images, chat, lyrics, a forum, videos, sound clips, biography and real audio.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.mattsmusicpage.com/nrolling.htm"} +{"d:Title": "The Keith Shrine", "d:Description": "Dedicated to the life and music of the artist. Offers a variety of concert photos from several different tours, backstage passes, tickets and guitar picks, reviews, news and a show journal by the site hostess.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.members.tripod.com/~Blue_Lena/shrine1.html"} +{"d:Title": "Bon's Rolling Stones Page", "d:Description": "Dedicated to the life and music of the group and its members. Provides several photos, memorabilia, history, reviews, guestbook, links, list of concerts attended and a audio message.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.pitt.edu/~bon/stones1.html"} +{"d:Title": "Time Is On Our Side", "d:Description": "Tribute site dedicated to the group by Ian McPherson. Offers the band history, quotes, concert database, photo galleries and collaborators.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.timeisonourside.com/"} +{"d:Title": "Mr. D's Rolling Stones Home Page", "d:Description": "Offers a biography, history, tongue gallery, music, links and information about the group.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.djolley.com/stones/stones.htm"} +{"d:Title": "Three Decades with the Rolling Stones", "d:Description": "Growing up with the world's favorite rock n' roll band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.sealander.com/Stones.html"} +{"d:Title": "unofficialmickjaggerforum", "d:Description": "A Mick Jagger/Rolling Stones fan website.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Fan_Pages", "url": "http://www.unofficialmickjaggerforum.com/"} +{"d:Title": "Chief Moon's Gallery", "d:Description": "A personal collection of group photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Image_Galleries", "url": "http://www.chief-moons-gallery.com/"} +{"d:Title": "BR's Classic Rock Concert Photos", "d:Description": "Offers several classic group photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Image_Galleries", "url": "http://www.e-rockworld.com/stones.htm"} +{"d:Title": "MickJagger.com", "d:Description": "The singer's official site includes a song gallery with video clips, a timeline, photo gallery, quotes from him, news and a forum. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Jagger,_Mick", "url": "http://www.mickjagger.com/"} +{"d:Title": "OldieLyrics.com: Mick Jagger", "d:Description": "Lyrics from \"She's The Boss\" (1985), \"Primitive Cool\" (1987), \"Wandering Spirit\" (1993), and \"Goddess In The Doorway\" (2001).", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Jagger,_Mick", "url": "http://www.oldielyrics.com/m/mick_jagger.html"} +{"d:Title": "Contactmusic - Mick Jagger", "d:Description": "Featuring news, reviews and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Jagger,_Mick", "url": "http://www.contactmusic.com/info/mick_jagger"} +{"d:Title": "Rollingstone.com: Mick Jagger", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Jagger,_Mick", "url": "http://www.rollingstone.com/music/artists/mick-jagger"} +{"d:Title": "AskMen.com: Mick Jagger", "d:Description": "Features photographs, biography, ratings and links for the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Jagger,_Mick", "url": "http://www.askmen.com/celebs/men/entertainment/39_mick_jagger.html"} +{"d:Title": "The Rolling Stones Exhibition", "d:Description": "A virtual museum of records, LPs, CDs, books, rare studio and live recordings and collector's items.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Personal_Collections", "url": "http://www.stones.at/"} +{"d:Title": "Me and the Rolling Stones", "d:Description": "Pictures with never before stories from someone who was there.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Resources", "url": "http://www.meandtherollingstones.com/"} +{"d:Title": "Rolling Stones Beggars Banquet", "d:Description": "Tribute for the fans of the group. Offers rare photographs, funny stories, current news and rare audio.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Resources", "url": "http://www.beggarsbanquetonline.com/"} +{"d:Title": "The Stones Zone", "d:Description": "Online radio show for fans of the group. Provides a list of stations, archives of past shows, playlist and show guidelines.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Resources", "url": "http://www.beggarsbanquetonline.com/sz/"} +{"d:Title": "The Silver Mine", "d:Description": "Includes a biography, bibliography, filmography, discography, lyrics, artwork and screen saver.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Richards,_Keith", "url": "http://www.djolley.com/silver/silver.htm"} +{"d:Title": "RollingStone.com: Keith Richards", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Richards,_Keith", "url": "http://www.rollingstone.com/music/artists/keith-richards"} +{"d:Title": "Guitar Tabs", "d:Description": "Chords with lyrics, guitar tablatures and bass tablatures for over 100 Rolling Stones songs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tablature", "url": "http://www.rockmagic.net/guitar-tabs/rolling-stones/"} +{"d:Title": "Rolling Stones Tabs", "d:Description": "An alphebetic listing of Rolling Stones tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tablature", "url": "http://www.guitaretab.com/r/rolling-stones/"} +{"d:Title": "Hot Rocks", "d:Description": "Tribute show based in Illinois. Includes upcoming shows, photo album and fan comments.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.hotrocksband.com/"} +{"d:Title": "Rollin' Stoned, The", "d:Description": "Tribute band based in England. Includes gig listings, reviews, and song list with some sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.rollinstoned.com/"} +{"d:Title": "Sticky Fingers", "d:Description": "Tribute band based in New York City.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.stickyfingersband.com/"} +{"d:Title": "Shattered", "d:Description": "Philadelphia, Pennsylvania area Rolling Stones tribute band. Includes pictures and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.stonesband.com/"} +{"d:Title": "Stoned Again", "d:Description": "UK based tribute band. Includes photos, Real Audio and Video, biography, gig dates, and set list.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.stoned-again.co.uk/"} +{"d:Title": "Unauthorized Rolling Stones, The", "d:Description": "Based in San Francisco. Offers biographies, schedule, photos, audio and video files and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.theurs.com/"} +{"d:Title": "Counterfeit Stones, The", "d:Description": "English tribute band and includes news, photo gallery, history and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://thecounterfeitstones.com/"} +{"d:Title": "Not the Rolling Stones", "d:Description": "Authentic Mick Jagger, Rolling Stones tribute band from London, England", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.nottherollingstones.com/"} +{"d:Title": "Rolling Bones, The", "d:Description": "Rolling Stones Tribute Band based in New York. Included pictures and sample mp3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.rollingbones.org/"} +{"d:Title": "Undercover of the Night", "d:Description": "Blog featuring covers of Stones songs by other bands.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://stonescovers.blogspot.com/"} +{"d:Title": "Rolling Clones, The", "d:Description": "A professional worldwide tribute band based in Los Angeles and Las Vegas, Nevada.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Tribute_Bands", "url": "http://www.rollingclones.com/"} +{"d:Title": "Rosebud Agency: Charlie Watts", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Watts,_Charlie", "url": "http://www.rosebudus.com/watts/"} +{"d:Title": "Bill Wyman official site", "d:Description": "The official web site of Bill Wyman - former bass player with the Rolling Stones - including video, music and Bill's blog.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rolling_Stones/Wyman,_Bill", "url": "http://www.billwyman.com/"} +{"d:Title": "Ronson, Mick", "d:Description": "Guitarist who worked with David Bowie on many albums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ronson,_Mick", "url": "http://home.swipnet.se/~w-54404/"} +{"d:Title": "Yahoo! Groups Linda Ronstadt Club", "d:Description": "A place to meet and share comments and questions on Linda.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ronstadt,_Linda", "url": "http://groups.yahoo.com/group/lindaronstadtclub/"} +{"d:Title": "New York Times - Desperadoes", "d:Description": "Opinion piece on how Ronstadt was thrown out of the Aladdin casino and hotel, when maybe the management should have been thrown out instead. [Free registration required.]", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ronstadt,_Linda", "url": "http://www.nytimes.com/2004/07/21/opinion/21wed4.html"} +{"d:Title": "RollingStone: Linda Ronstadt", "d:Description": "Biography, discography, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ronstadt,_Linda", "url": "http://www.rollingstone.com/music/artists/linda-ronstadt"} +{"d:Title": "All Music Guide: Linda Ronstadt", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ronstadt,_Linda", "url": "http://www.allmusic.com/artist/linda-ronstadt-mn0000686897"} +{"d:Title": "San Diego Union-Tribune - Political Praise Polarizes Linda Ronstadt's Fans at Humphrey's", "d:Description": "Explores the uproar caused when Ronstadt expressed support for Michael Moore, and chides those who forget that all art has a responsibility to inspire and provoke, not just soothe and entertain.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ronstadt,_Linda", "url": "http://legacy.sandiegouniontribune.com/news/features/20040720-9999-1c20linda.html"} +{"d:Title": "Root", "d:Description": "Lyrics and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Root", "url": "http://lyrics.rockmagic.net/lyrics/root/"} +{"d:Title": "The Roots News: Topix", "d:Description": "News about The Roots continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roots,_The", "url": "http://www.topix.com/who/the-roots"} +{"d:Title": "Al Rose and the Transcendos", "d:Description": "Biography, discography, audio, articles and reviews, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rose,_Al", "url": "http://www.waterdogmusic.com/ralph/artists/alrose/bio.html"} +{"d:Title": "CANOE: Katy Rose - Because I Can", "d:Description": "Darryl Sterdan of the Winnipeg Sun reviews her first album: \"If there's a weak link in the whole campaign, it might be Katy's inferior vocals.\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rose,_Katy", "url": "http://jam.canoe.com/Music/Artists/R/Rose_Katy/AlbumReviews/2004/01/23/771773.html"} +{"d:Title": "Rosey", "d:Description": "Behind the scenes interview by Jay S. Jacobs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rosey", "url": "http://www.popentertainment.com/rosey.htm"} +{"d:Title": "Diana-web.com", "d:Description": "Diana Ross fan site with discography, filmography, and galleries. Includes The Supremes sections.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ross,_Diana", "url": "http://www.diana-web.com/"} +{"d:Title": "Diana Ross [Black / White]", "d:Description": "A journey through her life and career in black and white images.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ross,_Diana", "url": "http://diana-ross.tripod.com/"} +{"d:Title": "Diana Ross News: Topix", "d:Description": "News about Diana Ross continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ross,_Diana", "url": "http://www.topix.com/who/diana-ross"} +{"d:Title": "ArtistDirect: Diana Ross", "d:Description": "Biography, links to MP3, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ross,_Diana", "url": "http://www.artistdirect.com/artist/diana-ross/487115"} +{"d:Title": "AskMen.com: Diana Ross", "d:Description": "Feature includes biography, pictures, and commentary on the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ross,_Diana", "url": "http://www.askmen.com/celebs/women/singer_200/243_diana_ross.html"} +{"d:Title": "Official David Lee Roth", "d:Description": "News, mailing list, message board, audio, video, and auction.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roth,_David_Lee", "url": "http://www.davidleeroth.com/"} +{"d:Title": "Roubik Records, Inc", "d:Description": "Record label founded by Alan Roubik. Biography, photographs, discography, audio, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roubik,_Alan", "url": "http://www.roubikrecords.com/"} +{"d:Title": "Roxette Radio", "d:Description": "Non-stop broadcast of Roxette's music over the Internet.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette", "url": "http://radio.roxette.cz/"} +{"d:Title": "The Daily Roxette", "d:Description": "Frequently updated news resource. Includes message board for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette", "url": "http://www.dailyroxette.com/"} +{"d:Title": "Roxette", "d:Description": "Official site. News, biography, trivia, diary, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette", "url": "http://www.roxette.se/"} +{"d:Title": "MTV: Roxette", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette", "url": "http://www.mtv.com/artists/roxette/"} +{"d:Title": "The Marie Fredriksson Worship Page", "d:Description": "Discography, lyrics, translations, photographs, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette/Band_Members", "url": "http://marie_fredriksson.tripod.com/"} +{"d:Title": "AskMen.com - Roxette", "d:Description": "Pictures and an in-depth biography of Marie Fredriksson.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette/Band_Members", "url": "http://www.askmen.com/celebs/women/singer_150/187_roxette.html"} +{"d:Title": "Run to Roxette", "d:Description": "News, biography, stories, forum, lyrics, pictures, downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette/Fan_Pages", "url": "http://www.runtoroxette.com/"} +{"d:Title": "Roxbytes", "d:Description": "Contains biography, discography, lyrics, images, multimedia clips, and links. In English and Hungarian.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxette/Fan_Pages", "url": "http://roxbytes.atw.hu/"} +{"d:Title": "Roxy Music, Photos by Jorgen Angel", "d:Description": "Photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxy_Music", "url": "http://www.angel.dk/roxy/"} +{"d:Title": "These Vintage Years", "d:Description": "A virtual museum of Roxy Music, Bryan Ferry, Phil Manzanera, and Andy Mackay. Descriptions and anecdotal information of the albums, lyrics, tours, news, interviews, musician biographies, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxy_Music", "url": "http://www.vivaroxymusic.com/"} +{"d:Title": "Bryan Ferry The Official Site", "d:Description": "The official Bryan Ferry website.Contains biographies of Roxy Music and Bryan Ferry, Merchandise links, Gallery, Tour dates and Guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxy_Music", "url": "http://www.bryanferry.com/"} +{"d:Title": "Bryan Ferry: A Washington Lad", "d:Description": "View photographs of places associated with Bryan in Washington and of Bryan in concert at Adelaide, Australia.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxy_Music", "url": "http://www.washingtonlass.com/BryanFerry.html"} +{"d:Title": "Avalon", "d:Description": "An introduction to a mailing list for people who like the music of Bryan Ferry and Roxy Music. Includes a guide to joining.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxy_Music", "url": "http://smoe.org/lists/avalon.info"} +{"d:Title": "Roxy Magic", "d:Description": "UK based tribute band for Bryan Ferry and Roxy Music. Includes gig list, member profiles, photos, sound file, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Roxy_Music/Tribute_Bands", "url": "http://www.roxymagic.co.uk/"} +{"d:Title": "Royal Hunt Official Site", "d:Description": "All about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Royal_Hunt", "url": "http://www.royalhunt.com/"} +{"d:Title": "Drag City: Royal Trux", "d:Description": "Record label's site, with full discography, picture, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Royal_Trux", "url": "http://www.dragcity.com/artists/royal-trux"} +{"d:Title": "Alternative Cuts: Royal Trux - Veterans of Disorder", "d:Description": "G. Currie's review: \"It's a cool record.\" Rated 8 out of 10.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Royal_Trux/Reviews/Veterans_of_Disorder", "url": "http://www.alternativecuts.co.uk/rec_royaltrux_vod.htm"} +{"d:Title": "R\u00f8yksopp", "d:Description": "Official website with news on releases, biography, discographie and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R\u00f6yksopp", "url": "http://www.royksopp.com/"} +{"d:Title": "R\u00f6yksopp", "d:Description": "Message forum featuring news, tour dates, and general discussions about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/R\u00f6yksopp", "url": "http://www.royksopp.net/"} +{"d:Title": "Beastiemania.com: Rick Rubin", "d:Description": "Profile of Rubin in relation to his work with The Beastie Boys.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubin,_Rick", "url": "http://www.beastiemania.com/whois/rubin_rick/"} +{"d:Title": "Rick Rubin: Life Among the Wildflowers", "d:Description": "Interview by Maureen Droney, originally from Mix magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubin,_Rick", "url": "http://www.digitalprosound.com/Features/Interviews-Discuss/RickRubin.htm"} +{"d:Title": "Allmusic: Rick Rubin", "d:Description": "Biography of the producer.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubin,_Rick", "url": "http://www.allmusic.com/artist/rick-rubin-p120832"} +{"d:Title": "The Onion A.V. Club: Rick Rubin", "d:Description": "Interview by Nathan Rabin, about his career as a producer and label head.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubin,_Rick", "url": "http://www.avclub.com/article/rick-rubin-13908"} +{"d:Title": "Rubio, Paulina", "d:Description": "Colorado-based fansite features biographies, news, interviews, reviws, and ways to help promote the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubio,_Paulina", "url": "http://www.angelfire.com/music2/worldwide2/paulina.html"} +{"d:Title": "AskMen.com - Paulina Rubio", "d:Description": "Interview, pictures and brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubio,_Paulina", "url": "http://www.askmen.com/toys/interview/46_paulina_rubio_interview.html"} +{"d:Title": "Rubio, Paulina", "d:Description": "Provides pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubio,_Paulina", "url": "http://www.askmen.com/celebs/women/singer_100/131_paulina_rubio.html"} +{"d:Title": "Claus: Rubyhorse", "d:Description": "First I'll take Massachusetts, feature article by Frank McNally. Also includes photos, biography, and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rubyhorse", "url": "http://www.cluas.com/music/features/rubyhorse.htm"} +{"d:Title": "Ruff Ryders 2001", "d:Description": "Fan site features news, poll, chat, lyrics, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruff_Ryders", "url": "http://www.ruffryders2001.50megs.com/"} +{"d:Title": "All Music Guide: Julie Ruin", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruin,_Julie", "url": "http://www.allmusic.com/artist/the-julie-ruin-mn0000298479"} +{"d:Title": "Runaway's Story", "d:Description": "A fan site featuring biography, discography, pictures, links, and individual band member pages.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runaways,_The", "url": "http://members.tripod.com/~KenSternation/runawaysstory.html"} +{"d:Title": "Yahoo Groups: Runaways", "d:Description": "An unmoderated mailing list for the discussion of The Runaways' music, career, and band members.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runaways,_The", "url": "http://groups.yahoo.com/group/Runaways/"} +{"d:Title": "Queens of Noise Web Page", "d:Description": "A Runaways fan site with discography, interviews, memorabilia, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runaways,_The", "url": "http://members.tripod.com/~CHERRY_B/queens.html"} +{"d:Title": "Official Website of The Runaways", "d:Description": "Features news, history, member profiles, discography, pictures, lyrics, and merchandise for the band that included Joan Jett, Lita Ford, Cherie Currie and Sandy West.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runaways,_The", "url": "http://www.therunaways.com/"} +{"d:Title": "ArtistDirect: The Runaways", "d:Description": "Includes biography, message board, and a listening room.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runaways,_The", "url": "http://www.artistdirect.com/artist/runaways/487873"} +{"d:Title": "Todd Rundgren", "d:Description": "Official site designed and maintained by Todd himself.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rundgren,_Todd", "url": "http://www.tr-i.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Todd Rundgren", "d:Description": "Reviews and analysis of his albums, both solo and with Utopia.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rundgren,_Todd", "url": "http://sfloman.com/toddrundgren.html"} +{"d:Title": "The Todd Rundgren Connection", "d:Description": "Fan site includes lyrics, pictures, biography and concert schedules.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rundgren,_Todd", "url": "http://trconnection.com/"} +{"d:Title": "Bic Runga", "d:Description": "Official site with tour information, discography, lyrics, music samples and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runga,_Bic", "url": "http://www.bicrunga.com/"} +{"d:Title": "Bic Runga", "d:Description": "Biography, reviews, links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runga,_Bic", "url": "http://www.graman.net/bic/"} +{"d:Title": "Runrig", "d:Description": "The official site of this Scottish folk rock band. News, concert dates, discography, merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runrig", "url": "http://www.runrig.co.uk/"} +{"d:Title": "Runrig's surprising lyrics", "d:Description": "Runrig exudes a spiritual message which does you good. They bear witness to a positive way of life and do not want to force you into a pre-set way of thinking but rather permit you your freedom.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runrig", "url": "http://www.s-line.de/homepages/ross/erunrig.htm"} +{"d:Title": "Rob and Sue's Runrig Pages", "d:Description": "Personal fan site with venue reviews and a poll.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runrig", "url": "http://www.angelfire.com/ri/runrig/"} +{"d:Title": "Runrig Fan Site", "d:Description": "Band history, photographs, press articles, and tour dates for the UK, Germany, and Denmark.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Runrig", "url": "http://maymorning0.tripod.com/"} +{"d:Title": "Bigfoot's Run-DMC page", "d:Description": "News, brief biography, and discography with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Run_DMC", "url": "http://www.rundmc.de/"} +{"d:Title": "Hit It Run", "d:Description": "Discography, lyrics, biography, pictures, reviews, links, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Run_DMC", "url": "http://www.angelfire.com/80s/run-dmc/"} +{"d:Title": "Run DMC News: Topix", "d:Description": "News about Run DMC continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Run_DMC", "url": "http://www.topix.com/who/run-dmc"} +{"d:Title": "RollingStone.com: Run-D.M.C.", "d:Description": "Biography, discography/album reviews, photographs, message boards, links, trivia, and webcasts.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Run_DMC", "url": "http://www.rollingstone.com/music/artists/run-d-m-c"} +{"d:Title": "Wilson&Alroy's Record Reviews: Run-D.M.C.", "d:Description": "Short reviews of Run DMC's albums from their self-titled debut (1983) to their latest full album \"Down With The King (1993).\"", "topic": "Top/Arts/Music/Bands_and_Artists/R/Run_DMC", "url": "http://www.warr.org/rundmc.html"} +{"d:Title": "MTV.com: Run-D.M.C.", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Run_DMC", "url": "http://www.mtv.com/artists/run-dmc/"} +{"d:Title": "Rush Artifacts", "d:Description": "Catalog of little known Rush projects including collaborations and bootlegs. Rush covers and tributes.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://www.2112.net/artifacts"} +{"d:Title": "Virtual Songs", "d:Description": "Rare Rush recordings in MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://www.2112.net/sphere/virtualsongs/"} +{"d:Title": "Adrian's Album Reviews : Rush", "d:Description": "Reviews and ratings of Rush albums. Track listings indexed by release date. Includes reader comments.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://www.adriandenning.co.uk/rush.html"} +{"d:Title": "Entertainment Ave: Rush", "d:Description": "Review of a concert at The United Center in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/r/rush/ru102896.htm"} +{"d:Title": "Wikipedia", "d:Description": "Encyclopedia article covers the band's history, discography, and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://en.wikipedia.org/wiki/Rush_(band)"} +{"d:Title": "Topix - Rush", "d:Description": "News about Rush continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://www.topix.com/who/rush"} +{"d:Title": "Rush", "d:Description": "Official website of the band Rush.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://www.rush.com/"} +{"d:Title": "Rush Wiki", "d:Description": "User-contributed guide to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://rush.wikia.com/"} +{"d:Title": "Rush Radio", "d:Description": "Stream of the band's music, along with tour pictures and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://rushradio.org/"} +{"d:Title": "Rush at Resist", "d:Description": "Rush collection for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://resistmusic.com/rush"} +{"d:Title": "Atlantic Records", "d:Description": "Official site from the band's former label. Discography, news, biographies, and ringtones.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush", "url": "http://www.atlanticrecords.com/artists/rush"} +{"d:Title": "Tom Rush", "d:Description": "Official site with biography, appearance schedule, discography, photographs, FAQ, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush,_Tom", "url": "http://tomrush.com/"} +{"d:Title": "Subdivisions Rush Online", "d:Description": "Yahoo group for Rush fans.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SubdivisionsRushOnline/"} +{"d:Title": "The Rush Forum", "d:Description": "Message board, with tour information, lyrics, contests, and general discussions.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Chats_and_Forums", "url": "http://www.therushforum.com/"} +{"d:Title": "Weird City", "d:Description": "Rush discussion board. Find rare Rush memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Chats_and_Forums", "url": "http://weirdcity.yuku.com/"} +{"d:Title": "Rush Heads Inc", "d:Description": "Site dedicated to pictures of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://members.tripod.com/joerushhead/id17.htm"} +{"d:Title": "2112.net", "d:Description": "Links, photos, music tablatures and other information about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://www.2112.net/"} +{"d:Title": "By-Tor.com", "d:Description": "News, message boards, member biographies, sound files, videos, album rating polls, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://www.by-tor.com/"} +{"d:Title": "The Permanent Rush Page", "d:Description": "Rush resource page including Rush MP3s, chat room, MIDIs, FAQs, parodies, pictures. Member of the new Rush Co-Operative. Loads slowly.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://www.dreamt.org/spinfrog/"} +{"d:Title": "Rush Rules", "d:Description": "Fan site about the Canadian rock trio Rush including some setlists and related artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://www.rushrules.com/"} +{"d:Title": "Rush Earthshine", "d:Description": "Collector's site including news, a discography and trading lists.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://users.belgacom.net/rush-vlaanderen/"} +{"d:Title": "Driven To Tribute Rush", "d:Description": "News, album information, message boards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://driven.20m.com/"} +{"d:Title": "Rush is a Band", "d:Description": "Fan weblog with news and updates.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://www.rushisaband.com/"} +{"d:Title": "The National Midday Sun", "d:Description": "Community site for European fans. News and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://www.t-n-m-s.com/"} +{"d:Title": "Rolled Bones", "d:Description": "Band profiles, early history, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://nacermincer.tripod.com/"} +{"d:Title": "Cygnus-X1", "d:Description": "Discography, videography, lyrics, pictures, news, tour information, biographies, reviews and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://www.cygnus-x1.net/links/rush/index.php"} +{"d:Title": "Rush is a Band", "d:Description": "A weblog devoted to the band and its members.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Fan_Pages", "url": "http://feeds2.feedburner.com/rushisaband/blog"} +{"d:Title": "Fly By Night MIDI Page", "d:Description": "Downloadable MIDI files of Rush songs. Links to sites selling Rush merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/MIDI_Files", "url": "http://jgeoff.com/rush/"} +{"d:Title": "Rush Tablature Project", "d:Description": "Archive of tablature for Rush songs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tablature", "url": "http://www.cygnusproductions.com/rtp/"} +{"d:Title": "Tony's Rush Tabs", "d:Description": "Tablature for Rush songs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tablature", "url": "http://rushtabs.tripod.com/"} +{"d:Title": "XGuitar.com : Rush", "d:Description": "Guitar and bass tabs sorted by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tablature", "url": "http://www.xguitar.com/guitar-tabs/rush/"} +{"d:Title": "Animation", "d:Description": "Based in Chicago, USA. Includes news, biography, gig listings, contact and booking details, repertoire, photographs, audio, video, guestbook, and links page.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://atributetorush.com/"} +{"d:Title": "Freewill", "d:Description": "Based in Arizona, USA. Contains gig listings, video, photography, audio, band biographies, contact details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.freewill.net/"} +{"d:Title": "2112", "d:Description": "Based in Long Island, USA. Includes, general introduction, band biography, video, audio, gig listings, and email address for bookings.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://rushtributeband2112.com/"} +{"d:Title": "Rush Hour", "d:Description": "Based in Long Island. With news, reviews, repertoire, gear lists, band biography, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://rushhr.com/"} +{"d:Title": "Moving Pictures", "d:Description": "Los Angeles based Rush tribute band. Consists of 3 members.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.movingpicturesband.com/"} +{"d:Title": "Exit Stage Left", "d:Description": "Based in Canada. Now disbanded. Includes history, band biographies, photographs, audio, links, set list, contact details and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://unicornrecords.com/exitstageleft"} +{"d:Title": "Afterimage", "d:Description": "Based in Philadelphia, USA. Includes, band biography, gig listings, video, audio, photographs, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://afterimageband.com/"} +{"d:Title": "Anthem", "d:Description": "Based in Washington, USA. Includes, band biographies, gig listings, photographs, and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.anthemrushtribute.com/"} +{"d:Title": "Bravado", "d:Description": "Base in the UK. Includes repertoire, fan comments, gig listings, photographs, about the band, past gigs, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.planetbravado.com/"} +{"d:Title": "Camera Eye, The", "d:Description": "Based in Quebec, Canada. Includes news, band information, video, photographs, gig listings and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.rushcameraeye.com/"} +{"d:Title": "Caress of Steel", "d:Description": "Based in California, USA. Includes band biographies and history, videos, photographs, audio, repertoire, gig listings, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://cosband.com/"} +{"d:Title": "Cygnus", "d:Description": "Based in Maryland, USA. Includes, general information and contact details, repertoire, photographs, and gig listings.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.cygnalslive.com/Home.html"} +{"d:Title": "FeedBack", "d:Description": "Based in Ontario, Canada. Includes information about the band, and a video archive.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://feedback2112.wordpress.com/"} +{"d:Title": "Free Will", "d:Description": "Based in Ontario, Canada. Soundclick profile includes band information, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.soundclick.com/bands/default.cfm?bandID=100604"} +{"d:Title": "Hemispheres", "d:Description": "Based in Kansas City, USA. With contact details, news, gig listings, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://hemisphereskc.tripod.com/"} +{"d:Title": "Different Strings", "d:Description": "Based in Germany. No longer active. Includes some historic information.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.different-strings.com/"} +{"d:Title": "Limelight", "d:Description": "Based in New York. Includes gig listings, audio, video, photography, band biographies, press kit, contact details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.rushbylimelight.com/"} +{"d:Title": "Lotus-Land", "d:Description": "Based in Massachusetts. Includes gig listings, press quotes, band biographies, audio, video, photography, contact details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.lotuslandband.com/"} +{"d:Title": "Monsters", "d:Description": "Based in New York. Includes band biography, set list, links, past gigs, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://mysite.verizon.net/resv72x2/"} +{"d:Title": "Exit Stage Right", "d:Description": "Based in Minnesota, USA. Facebook profile, includes gig listings, band biography, photographs, video, audio, links and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://www.facebook.com/tributetorush"} +{"d:Title": "2112", "d:Description": "Based in Washington USA. Facebook page includes, gig listings, photographs, audio, video, merchandise, contact details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "https://www.facebook.com/2112attr"} +{"d:Title": "Mystic Rhythms", "d:Description": "Based in Philadelphia, USA. Includes band biographies, gig listings, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rush/Tribute_Bands", "url": "http://rush-tribute.wix.com/mysticrhythms"} +{"d:Title": "Leon Russell Records", "d:Description": "Official record label with Russell biography, new releases, and CD shopping.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Russell,_Leon", "url": "http://leonrussellrecords.com/"} +{"d:Title": "Yahoo Groups: LeonRussell", "d:Description": "Mailing list with public archives.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Russell,_Leon", "url": "http://launch.groups.yahoo.com/group/LeonRussell/"} +{"d:Title": "Leon Live", "d:Description": "Leon Lifer news, tributes, and information about the Deep Freeze video of 1972 concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Russell,_Leon", "url": "http://deepfreezevideo.com/"} +{"d:Title": "AMG: Leon Russell", "d:Description": "Profile, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Russell,_Leon", "url": "http://www.allmusic.com/artist/leon-russell-mn0000816387"} +{"d:Title": "Rusted Root News and Jamz", "d:Description": "Has news on Rusted Root, along with downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rusted_Root", "url": "http://www.ibiblio.org/jamz"} +{"d:Title": "Mike at the Farm", "d:Description": "Winner of contest to visit Mike Rutherford's farm and home studio provides photos and an interview.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rutherford,_Mike", "url": "http://www.genesis-volker.de/mikefarm/mikefarm1.htm"} +{"d:Title": "Rose's Ruth Ruth Page", "d:Description": "Lyrics, photographs, biography, and an exclusive interview with Chris Kennedy.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruth_Ruth", "url": "http://www.angelfire.com/in/mustmusic/ruthruth.html"} +{"d:Title": "Paul's Ruth Ruth Homepage", "d:Description": "Pictures, sounds, and song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruth_Ruth", "url": "http://www.angelfire.com/md/ruthruth/"} +{"d:Title": "Laughing Gallery Review", "d:Description": "By Matt Ashare.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruth_Ruth", "url": "http://www.bostonphoenix.com/alt1/archive/music/reviews/01-25-96/OTR/RUTH_RUTH.html"} +{"d:Title": "Laughing Gallery Review", "d:Description": "By Mario J. Lia.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruth_Ruth", "url": "http://www.westnet.com/consumable/1995/12.04/revruth.html"} +{"d:Title": "Are You My Friend? Review", "d:Description": "At Ink Nineteen", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruth_Ruth", "url": "http://www.ink19.com/issues_F/98_11/wet_ink/music_psk/138_ruth_ruth_nf.html"} +{"d:Title": "ArtistDirect: Ruth Ruth", "d:Description": "Biography, tour dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Ruth_Ruth", "url": "http://www.artistdirect.com/artist/ruth-ruth/537008"} +{"d:Title": "History of The Rutles", "d:Description": "A thorough history of the Pre-Fab Four, from their inception on Rutland Weekend Television through the release of \"Archaeology,\" their reunion album. Includes rarely-heard audio, photographs, and hard-to-find promotional material and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rutles,_The", "url": "http://www.rutlemania.org/"} +{"d:Title": "Tragical History Tour", "d:Description": "The official Rutles home page, with a history of the Pre-Fab Four and other information, song lyrics, photographs and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rutles,_The", "url": "http://www.rutles.org/"} +{"d:Title": "The Rutles", "d:Description": "Interview with Neil Innes, history, TV/film appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/R/Rutles,_The", "url": "http://www.iankitching.me.uk/music/bonzos/rutles.html"} +{"d:Title": "Savall, Arianna", "d:Description": "Female harpist, singer, and composer. Biography, discography, and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.ariannasavall.com/"} +{"d:Title": "Sahara Hotnights", "d:Description": "Sweden-based 4 piece all-girl rock band. News, tour dates, audio/video clips and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.saharahotnights.com/"} +{"d:Title": "Sandell, Lisa", "d:Description": "Slide guitar player and songwriter. Contact information, schedule, photos, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.lisasandell.com/"} +{"d:Title": "Saint Paul, Lara", "d:Description": "Photos, information and the music of the Italian singer and producer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.larasaintpaul.com/"} +{"d:Title": "Sacha Sacket", "d:Description": "Alternative electronic piano infused rock. Audio downloads, pictures, interviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sachasacket.com/"} +{"d:Title": "Sackville", "d:Description": "Folk band based in Montreal, Canada. Recent news, reviews and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sackville.org/"} +{"d:Title": "Sacred Reich", "d:Description": "Your one stop source for all Sacred Reich related information. Webmastered by a member of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.angelfire.com/az/SacredPages/"} +{"d:Title": "Safriduo", "d:Description": "Danish duo. Includes discography, news, photo gallery, tour dates, band journal, and audio player.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.safriduo.dk/"} +{"d:Title": "Sage", "d:Description": "NJ and PA-based jam band. Band information, news and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sageband.com/"} +{"d:Title": "Saints of Eden", "d:Description": "Photos, reviews, sound files, and gig dates from the English electronic/goth band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.metech-recordings.com/"} +{"d:Title": "Salestrom, Jim", "d:Description": "Includes biography, concert schedule, lyrics, sound files, and photos for the songwriter/guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.jimsalestrom.com/"} +{"d:Title": "Salloom, Roger", "d:Description": "Folk musician based in Massachusetts. Site contains biography, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.rogersalloom.com/"} +{"d:Title": "Sandmouth", "d:Description": "Four piece rock band from Ottawa, Canada. Band biography, gig dates, recent news and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sandmouth.8m.com/"} +{"d:Title": "Sandra Black", "d:Description": "A noise guitar pop band from Morgantown, West Virginia. Band biography, MP3 downloads, a message board and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sandrablack.com/"} +{"d:Title": "Sanka", "d:Description": "Official site includes pictures, gig dates, FAQ, and sound files. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sanka.be/"} +{"d:Title": "Sans, Rick", "d:Description": "Profile, show dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.angelfire.com/celeb/RickSans/"} +{"d:Title": "Sapp, Marvin", "d:Description": "Official site. Includes audio, biography, news, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.marvinsapp.com/"} +{"d:Title": "Saraceno, Blues", "d:Description": "Information on the ex-Poison guitarist and his new band, Transmission OK, including song clips and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.bluessaraceno.com/"} +{"d:Title": "Satori Group, The", "d:Description": "Background, details, purchase information and concept behind the dance album that features the story of Michael Alig.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.michaelaligclubkids.com/"} +{"d:Title": "Saturnus", "d:Description": "The official home of the Denmark metal band. Biography, discography, tablatures, lyrics, and links", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.saturnus.dk/"} +{"d:Title": "Saves the Day", "d:Description": "New Jersey punk rock. Profiles, contact information, and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.angelfire.com/sd/savestheday/"} +{"d:Title": "Sanchez Brothers", "d:Description": "Hip-hop sibling band from Modesto, California. Contains biography, member profiles, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sanchezbrothers.com/"} +{"d:Title": "Sanna, Davide", "d:Description": "London based guitarist and composer performing music combining traditional folk roots with his innovative approach. Contains news, biography, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.davidesanna.com/"} +{"d:Title": "Sanchez, David", "d:Description": "Grammy nominated saxophonist. News, tour dates, biography, photos, discography, and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.davidsanchezmusic.com/"} +{"d:Title": "Sandler and Young", "d:Description": "Vocal duo of easy listening music. Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sandlerandyoung.com/"} +{"d:Title": "Sadie", "d:Description": "Philadelphia based rock band. Biography, show dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.angelfire.com/rock3/sadie/"} +{"d:Title": "Sanders, Murl Allen", "d:Description": "Seattle based vocalist, pianist, and accordionist. Biography, show information, reviews, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://murlallensanders.com/"} +{"d:Title": "Savage, Bambi Lee", "d:Description": "American singer and songwriter. News, album information, biography and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.bambileesavage.com/"} +{"d:Title": "Salmonella Dub", "d:Description": "New Zealand reggae band. Discography, images and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.salmonelladub.com/"} +{"d:Title": "Salamon, Samo", "d:Description": "Slovenian jazz guitarist and composer. Contains biography, show dates, photos, discography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.samosalamon.com/"} +{"d:Title": "Sandy and the Hitmen", "d:Description": "Play weddings, corporate parties, barbecues, street dances, and Twin Cities night clubs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://sandyandthehitmen.com/"} +{"d:Title": "Sahagian, Frank", "d:Description": "Christmas music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://musicofchristmas.tripod.com/"} +{"d:Title": "Sacrificed Within", "d:Description": "Tabs, lyrics and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://sacrificedwithin.tripod.com/"} +{"d:Title": "Sangtar", "d:Description": "Punjabi fusion musical artist working in India, Canada and the United States. Information and news about him and his associates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://blog.sangtar.com/"} +{"d:Title": "Sanchez, Eugeni", "d:Description": "Official site offers a biography, discography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://perso.wanadoo.es/eugeni.sanchez/"} +{"d:Title": "Sangalo, Ivete", "d:Description": "Biography, news, photos, lyrics, music and calendar of the Brazilian vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.ivetesangalo.com/"} +{"d:Title": "Savage Aural Hotbed", "d:Description": "Minneapolis band uses conventional and \"found object\" percussion instruments, bass guitars, electronically modified horns and vocals and power tools. Discography, audio samples, pictures, press and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.savageauralhotbed.com/"} +{"d:Title": "Sahm, Doug", "d:Description": "History and discography of the country and Tejano artist who performed with the Sir Douglas Quintet and the Texas Tornados.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.laventure.net/tourist/sdq.htm"} +{"d:Title": "Savastano, Terry", "d:Description": "San Francisco performer in a variety of styles. Biography, pictures, audio samples and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.terrysavastano.com/"} +{"d:Title": "Sam Roberts Band", "d:Description": "News, tour dates, videos and discography for the Canadian rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://samrobertsband.com/"} +{"d:Title": "Satellite Spies", "d:Description": "1980s New Zealand pop-rock band's archive includes audio, video, pictures, singles discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.satellitespies.net.nz/"} +{"d:Title": "Saunders Brothers Show, The", "d:Description": "Nova Scotian band's history, gigs, pictures and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://saundersbrothersshow.com/"} +{"d:Title": "Sagittarius", "d:Description": "German neoclassical project's site includes biography, discography and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sagittarius.de/"} +{"d:Title": "Said and Done", "d:Description": "Dutch hardcore band. Blog, gigs, biography, audio and video, and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.saidanddone.org/"} +{"d:Title": "Sarcofagus", "d:Description": "FAQs, press and history for the Finnish progressive heavy metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sarcofagus.com/"} +{"d:Title": "Saille", "d:Description": "Symphonic black metal band from Belgium. News, gigs, history and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.saille.be/"} +{"d:Title": "Sandbox", "d:Description": "Five-piece Raleigh/Durham, N.C., band playing twangy, upbeat children's music. Shows, albums, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa", "url": "http://www.sandbox-band.com/"} +{"d:Title": "MVRemix: Raphael Saadiq", "d:Description": "Interview with the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saadiq,_Raphael", "url": "http://www.mvremix.com/urban/interviews/saadiq.shtml"} +{"d:Title": "Hip Online: Raphael Saadiq", "d:Description": "Includes biography, photos, interview, and album review.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saadiq,_Raphael", "url": "http://hiponline.com/3033/raphael-saadiq.html"} +{"d:Title": "Electronic music's new globalism [MetroActive]", "d:Description": "Review of \"Shri Durga\" record", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sabbah,_Cheb_i", "url": "http://www.metroactive.com/papers/sfmetro/05.24.99/electronic-9919.html"} +{"d:Title": "All Music Guide: Sacrifice Isaac", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sacrifice_Isaac", "url": "http://www.allmusic.com/artist/sacrifice-isaac-p309981"} +{"d:Title": "Sadenet", "d:Description": "Includes a detailed biography, discography, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://members.tripod.com/sade_net/"} +{"d:Title": "Johnny's Hause", "d:Description": "A list of articles on Sade, discography, videography, photos, biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://members.tripod.com/j0hn_e/"} +{"d:Title": "Sade's Love Circle", "d:Description": "Fansite offers a biography, discography, filmography, news, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://members.tripod.com/topcat3sh/index.htm"} +{"d:Title": "Sade Adu - Cosmopolis", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.cosmopolis.ch/english/cosmo13/sade.htm"} +{"d:Title": "Sade: The Lady, Her Music, The Band", "d:Description": "A fan site with news, discography, including bootlegs, videography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.akwarner.com/sade/"} +{"d:Title": "Rock on the Net: Sade", "d:Description": "FAQ, timeline, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.rockonthenet.com/artists-s/sade_main.htm"} +{"d:Title": "Yahoo Groups: Sade", "d:Description": "An e-mail based discussion list for fans of the singer. A membership is required to participate in the discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://groups.yahoo.com/group/sade/"} +{"d:Title": "IMDb - Sade", "d:Description": "Filmography, biographical information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.imdb.com/name/nm0755442/"} +{"d:Title": "Helen Folasade Adu", "d:Description": "Fan page with a biography, discography, videography, pictures, information on her band, and web-tv with interviews and documentaries.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.homdrum.net/sade/"} +{"d:Title": "Sade.com", "d:Description": "Official site. Offers news, merchandise, links, and a discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.sade.com/"} +{"d:Title": "Rollingstone.com: Sade", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.rollingstone.com/music/artists/sade"} +{"d:Title": "AskMen.com: Sade", "d:Description": "Pictures, biography, ratings and links on the accomplished singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.askmen.com/celebs/women/singer_60/61_sade.html"} +{"d:Title": "MTV: Sade", "d:Description": "Includes biography, news, discography and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.mtv.com/artists/SADE"} +{"d:Title": "Metacritic: Sade", "d:Description": "Multiple critic and user reviews for the Lovers Rock album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sade", "url": "http://www.metacritic.com/music/lovers-rock/sade"} +{"d:Title": "Tom Sadge", "d:Description": "Biography, pictures, and appearance information for the Neil Diamond impersonator. Includes photos of Tom Sadge meeting Neil Diamond.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sadge,_Tom", "url": "http://www.soultones.com/tomsadge.html"} +{"d:Title": "Tom Sadge as Neil Diamond", "d:Description": "Official site. Photos with Neil Diamond, biography and schedule.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sadge,_Tom", "url": "http://www.tomsadge.com/"} +{"d:Title": "Tribute to Saga", "d:Description": "Contains biography, discography, lyrics, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saga", "url": "http://saga_tribute.tripod.com/"} +{"d:Title": "Sadler, Michael", "d:Description": "Saga's vocalist, with information about his solo releases including \"Clear\" (2004).", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saga", "url": "http://www.michaelsadler.com/"} +{"d:Title": "Official Saga Website", "d:Description": "Legendary Prog-Rock band Saga official website.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saga", "url": "http://www.sagaontour.ca/"} +{"d:Title": "Harbour - The Sailor Appreciation Page", "d:Description": "Features news, reviews, illustrated discography, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sailor", "url": "http://members.tripod.com/~J_Withers/sailor.htm"} +{"d:Title": "Sailor - by Marinero", "d:Description": "Fan site including concert dates, news, fans pages, songs, albums, and photos of performances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sailor", "url": "http://www.sailor-marinero.com/"} +{"d:Title": "Buffy Sainte-Marie", "d:Description": "Official site of the singer/songwriter. Features biography, art gallery, discography, and an essay.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sainte-Marie,_Buffy", "url": "http://www.creative-native.com/"} +{"d:Title": "Yahoo! Groups: buffysaintemarie", "d:Description": "Message board for discussion of the artist's life and music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sainte-Marie,_Buffy", "url": "http://groups.yahoo.com/group/buffysaintemarie/"} +{"d:Title": "Ms. Magazine: Buffy's New Gigabyte", "d:Description": "The feminist magazine talks to Buffy Sainte-Marie about her \"digital\" lifestyle.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sainte-Marie,_Buffy", "url": "http://www.msmagazine.com/aug99/technofem.asp"} +{"d:Title": "Mouthbows to Cyberskins", "d:Description": "News, discography, articles, forum, concert dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sainte-Marie,_Buffy", "url": "http://www.mouthbow.org/"} +{"d:Title": "The Saints Directory", "d:Description": "Includes discography, member list, biography, news, photos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saints,_The", "url": "http://www.saintsmusic.com/"} +{"d:Title": "Saint Etienne", "d:Description": "Official site. Discography and lyric archive, news, and fan club information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saint_Etienne", "url": "http://www.saint.etienne.net/"} +{"d:Title": "Saint Etienne Discography", "d:Description": "Fan site with a discography, information on side projects, concert date archive, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saint_Etienne", "url": "http://saintetienne.netfirms.com/"} +{"d:Title": "MTV: Saint Etienne", "d:Description": "Biography, news, discography, streaming audio files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saint_Etienne", "url": "http://www.mtv.com/artists/Saint-Etienne"} +{"d:Title": "Metacritic: Saint Etienne: Interlude", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saint_Etienne", "url": "http://www.metacritic.com/music/interlude/saint-etienne"} +{"d:Title": "Metacritic: Saint Etienne: Sound of Water", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saint_Etienne", "url": "http://www.metacritic.com/music/sound-of-water/saint-etienne"} +{"d:Title": "Peter Salett", "d:Description": "Artist information, photos, sample MP3, news, and CD ordering from this New York-based singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Salett,_Peter", "url": "http://www.petersalett.com/"} +{"d:Title": "Salt-N-Pepa", "d:Description": "Reviews of Salt-n-Pepa's records.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Salt-N-Pepa", "url": "http://www.warr.org/saltnpepa.html"} +{"d:Title": "Yahoo! Groups: thestepmothersccdeville", "d:Description": "Discussion forum for C.C. and Samantha 7 (formerly The Stepmothers).", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Samantha_7", "url": "http://groups.yahoo.com/group/thestepmothersccdeville/"} +{"d:Title": "Samaris", "d:Description": "Electronic group from Iceland. News items, social media, links to videos, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Samaris", "url": "http://samaris.is/"} +{"d:Title": "Richie Sambora Shrine", "d:Description": "A fan page with pictures, book list, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sambora,_Richie", "url": "http://members.tripod.com/~Sambo23/index.html"} +{"d:Title": "My Richie Sambora site", "d:Description": "Fan site with links and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sambora,_Richie", "url": "http://members.tripod.com/richiesambora0/"} +{"d:Title": "Samborangel", "d:Description": "Discography, information and images from Japan tours, and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sambora,_Richie", "url": "http://samborangel.m78.com/"} +{"d:Title": "Samiam - German Fanpage", "d:Description": "Discography, all lyrics, streaming videos, streaming songs, t-shirt mailorder, discussion-board, tabs, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Samiam", "url": "http://www.samiam.de/"} +{"d:Title": "The Samples At W.A.R.?", "d:Description": "The band's site at What Are Records?. CDs, biography, discography, photos, a/v clips and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Samples,_The", "url": "http://www.whatarerecords.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Sam and Dave", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sam_and_Dave", "url": "http://rockhall.com/inductees/sam-and-dave/"} +{"d:Title": "Original Sam the Sham and the Pharaohs Home Page", "d:Description": "Includes stories, discography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sam_the_Sham_and_the_Pharaohs", "url": "http://www.nostradamus.net/samhome.htm"} +{"d:Title": "Salon People: Sam the Sham", "d:Description": "Interview by Steve Burgess with Domingo Samudio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sam_the_Sham_and_the_Pharaohs", "url": "http://www.salon.com/people/rewind/1999/08/21/samsham/"} +{"d:Title": "All Music Guide: Sam the Sham&the Pharaohs", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sam_the_Sham_and_the_Pharaohs", "url": "http://www.allmusic.com/artist/sam-the-sham-the-pharaohs-mn0000289177"} +{"d:Title": "Official Poncho Sanchez Website", "d:Description": "Includes biography, discography, photo gallery, tour schedule, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sanchez,_Poncho", "url": "http://www.ponchosanchez.com/"} +{"d:Title": "Cosmopolis: Poncho Sanchez", "d:Description": "An article on Sanchez' career and his 1999 release, \"Latin Soul\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sanchez,_Poncho", "url": "http://www.cosmopolis.ch/english/cosmo5/sanchez.htm"} +{"d:Title": "Keeper of the Flame", "d:Description": "An interview with Poncho Sanchez, two week before he received his Grammy in February 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sanchez,_Poncho", "url": "http://www.emusic.com/;jsessionid=48EC3F49331A9BB0337B177B5BA65C16.server2"} +{"d:Title": "Blu Sanders", "d:Description": "Official site. Includes tour dates, discography, MP3s, biography, photos, articles/press, lyrics, merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sanders,_Blu", "url": "http://www.blu.net/"} +{"d:Title": "Arturo Sandoval", "d:Description": "Official site. Biography, discography, photos, tour schedule and educational clinics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sandoval,_Arturo", "url": "http://www.arturosandoval.com/"} +{"d:Title": "GRP Records: Arturo Sandoval", "d:Description": "The official label page features a profile, and a discography with soundclips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sandoval,_Arturo", "url": "http://www.vervemusicgroup.com/arturosandoval"} +{"d:Title": "Sandra", "d:Description": "Includes news, credits and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sandra", "url": "http://voicenigma.tripod.com/"} +{"d:Title": "Sandra", "d:Description": "Lyrics arranged chronologically and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sandra", "url": "http://www.five.no/sandra/lyrics/"} +{"d:Title": "Sandra Fan Connection", "d:Description": "Features message forum, chat, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sandra", "url": "http://www.sandranet.com/"} +{"d:Title": "Santana", "d:Description": "Official site with history, music, touring schedule, discography, merchandise, interactive forum, photos, news and band member information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.santana.com/"} +{"d:Title": "Carlos Santana", "d:Description": "Collection of guitar and bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.rockmagic.net/guitar-tabs/santana/"} +{"d:Title": "Supernatural", "d:Description": "Fan site with biography and review of the CD Supernatural.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.cosmopolis.ch/english/cosmo2/santana.htm"} +{"d:Title": "Santana", "d:Description": "Information about Carlos Santana and Santana Band. Personal experiences with Carlos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.charlie-heavner.com/cshome.htm"} +{"d:Title": "Carlos Santana", "d:Description": "Vintage tour photos and story from the spiritual days of the \"Welcome Album\" with John McLaughlin.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.web62.com/santana.html"} +{"d:Title": "Carlos Santana", "d:Description": "Biography, news, images and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.iol.ie/~shango/"} +{"d:Title": "Milagro Foundation", "d:Description": "Founded by Carlos and Deborah Santana, provides financial assistance for educational, medical and housing needs of children all over the world.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.milagrofoundation.org/"} +{"d:Title": "Ultimate Santana", "d:Description": "Information on the musician's gear, style of playing, albums, lyrics, chords, videos and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.ultimatesantana.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Santana", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://rockhall.com/inductees/santana/"} +{"d:Title": "RollingStone.com: Santana", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.rollingstone.com/music/artists/santana"} +{"d:Title": "MTV: Carlos Santana", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana", "url": "http://www.mtv.com/artists/santana/"} +{"d:Title": "Caravanserai", "d:Description": "Santana tribute band hails from San Francisco Bay Area. Includes history, song list, show dates, and a sound file.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana/Tribute_Bands", "url": "http://www.santanatributeband.com/"} +{"d:Title": "Savor", "d:Description": "Tribute to the music of Carlos Santana based in California. Includes song list, video clip, gig dates, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana/Tribute_Bands", "url": "http://www.savortheband.com/"} +{"d:Title": "Viva Santana", "d:Description": "UK based Santana-dedicated band, includes biography, photos, news and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Santana/Tribute_Bands", "url": "http://www.vivasantana.com/"} +{"d:Title": "Peter Sarstedt Official Website", "d:Description": "Includes a discography, photographs and general information about the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sarstedt,_Peter", "url": "http://www.petersarstedt.com/"} +{"d:Title": "BBC: \"Singer-songwriter Peter Sarstedt dies aged 75\"", "d:Description": "An announcement and basic information about the singer who died on 8th January 2017 after a six-year battle with Progressive Supranuclear Palsy.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sarstedt,_Peter", "url": "http://www.bbc.co.uk/news/entertainment-arts-38548507"} +{"d:Title": "Sash on Dance Artist Info", "d:Description": "Based in Sweden. Biography, discography and a short news section.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sash", "url": "http://www.danceartistinfo.com/sash!.htm"} +{"d:Title": "SASH! the Tribute", "d:Description": "A tribute to Sash!, with a biography, discography, lyrics and a picture gallery, plus details on Sash's legal problems.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sash", "url": "http://latrec.tripod.com/"} +{"d:Title": "Yahoo! Groups - Unofficial Sash Club", "d:Description": "The largest Sash! club on Yahoo!. Has good list of links and photo album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sash/Chats_and_Forums", "url": "http://groups.yahoo.com/group/unofficialsashclub/"} +{"d:Title": "Yahoo! Groups - Sash", "d:Description": "A small, inactive Sash! club on Yahoo!.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sash/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sash/"} +{"d:Title": "Yahoo! Groups - Sash Central", "d:Description": "A small club for Sash! fans, concentrating on New Zealand.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sash/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sashcentral/"} +{"d:Title": "Hail Satan", "d:Description": "A SatanicBlack fan site that includes background information, pictures, and a link to MP3 song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/SatanicBlack", "url": "http://www.angelfire.com/sd/Nightanimal/"} +{"d:Title": "Joe Satriani", "d:Description": "The official web site with updated information on CD releases, tour schedule, discography, guitar collection, biography, comments page and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Satriani,_Joe", "url": "http://www.satriani.com/"} +{"d:Title": "Mak and Evan's Joe Satriani Site", "d:Description": "A fan page with discography, biography, pictures, guitar information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Satriani,_Joe", "url": "http://members.tripod.com/~satch9/"} +{"d:Title": "AskMen.com - Joe Satriani", "d:Description": "Exclusive interview and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Satriani,_Joe", "url": "http://www.askmen.com/toys/interview/39_joe_satriani_interview.html"} +{"d:Title": "Guitar Planet", "d:Description": "Satriani magazine cover scans, information about Joe's former bands, song backing tracks, and list of bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Satriani,_Joe", "url": "http://guitarplanet.free.fr/"} +{"d:Title": "Foreverjoe.com", "d:Description": "An extensive collection of Satriani videos, photos, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Satriani,_Joe", "url": "http://www.foreverjoe.com/"} +{"d:Title": "Satriani Science", "d:Description": "Information on Satriani's equipment, albums, set lists and bands.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Satriani,_Joe", "url": "http://home.zipworld.com.au/~mayor/satriani/"} +{"d:Title": "Merl Saunders Discography", "d:Description": "Provides details of his albums, singles, compilations, and appearances on various artists collections.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saunders,_Merl", "url": "http://www.deaddisc.com/GDFD_Merl_Saunders.htm"} +{"d:Title": "Addicted 2 Savage Garden Fan Club", "d:Description": "Fan club and mailing list that includes band information, pictures, wallpaper, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Chats_and_Forums", "url": "http://members.tripod.com/~SavageAddicts/"} +{"d:Title": "Gold Lame", "d:Description": "Information, pictures, news, a quiz, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Fan_Pages", "url": "http://www.angelfire.com/band/darrenanddanielaresg/"} +{"d:Title": "Savage Garden Central", "d:Description": "Chart information, articles, tour dates, biography, lyrics, discography, mailing lists and auctions.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Fan_Pages", "url": "http://www.savage-garden.net/"} +{"d:Title": "The Savaged Land", "d:Description": "Story of how the band started, biographies, lyrics, quotes from the guys, news, images, a couple quizzes about the band, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Fan_Pages", "url": "http://www.angelfire.com/wy/savage/"} +{"d:Title": "Truly Madly Deeply", "d:Description": "Information on the band, lyrics, photos, a message board, and greeting cards.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Fan_Pages", "url": "http://www.angelfire.com/myband/savagegarden/"} +{"d:Title": "The Garden of All Things Savage", "d:Description": "Band information, image galleries, lyrics, guitar tablature, fan art and fiction, links, and a section about the band's breakup.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Fan_Pages", "url": "http://www.angelfire.com/art/chucklesisbethan/savagegarden.html"} +{"d:Title": "Savage Garden Fans Ring", "d:Description": "Around 12 sites listed.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Web_Rings", "url": "http://www.webring.org/hub?ring=savagedring"} +{"d:Title": "Stay Savage", "d:Description": "Around 10 sites listed.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Savage_Garden/Web_Rings", "url": "http://www.webring.org/hub?ring=staysavage"} +{"d:Title": "Saves the Day", "d:Description": "Official site. News updates from the band, photographs, MP3s, streaming videos, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saves_the_Day", "url": "http://www.savestheday.com/"} +{"d:Title": "Saves the Day", "d:Description": "Fan site. News, tour dates, history, discography, lyrics, pictures, interviews, MP3s and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saves_the_Day", "url": "http://www.angelfire.com/indie/std/"} +{"d:Title": "MTV.com: Saves The Day", "d:Description": "Includes streaming audio and video, alongside news articles and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saves_the_Day", "url": "http://www.mtv.com/artists/saves-the-day/"} +{"d:Title": "Tom Saviano", "d:Description": "Official site features press, audio samples, gigs, biography, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saviano,_Tom", "url": "http://www.tomsaviano.com/"} +{"d:Title": "Saville: The Next Big Thing?", "d:Description": "Interview with Ken O'Duffy and Tosh Flood.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saville", "url": "http://www.almostfabulous.com/rc/interviews/saville.php"} +{"d:Title": "Saving Boy Wonder Rocks My World", "d:Description": "A fan site with brief profiles and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Saving_Boy_Wonder", "url": "http://www.angelfire.com/band/savingboywonder/index.html"} +{"d:Title": "The Saw Doctors", "d:Description": "Official site of the Irish supergroup. With pictures, performance dates, news, chat room, MP3 links and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sawdoctors,_The", "url": "http://www.sawdoctors.com/"} +{"d:Title": "Nitin Sawhney", "d:Description": "Official site. Includes news, biography, concert dates, and audio samples.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sawhney,_Nitin", "url": "http://www.nitinsawhney.com/"} +{"d:Title": "Leo Sayer Official Website", "d:Description": "Exclusive information. News, biography, lyrics, discography, photo gallery, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sayer,_Leo", "url": "http://www.leosayer.com/"} +{"d:Title": "Leo Sayer Fans Club House", "d:Description": "Yahoo! Clubs message board, photograph files, chat feature.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sa/Sayer,_Leo", "url": "http://groups.yahoo.com/group/leosayerfansclubhouse/"} +{"d:Title": "Schonfeld, Rosemary", "d:Description": "Guitarist, singer-songwriter, percussionist, poet, drumatrix from Devon, UK.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.rosemary-schonfeld.co.uk/"} +{"d:Title": "ScandalMania", "d:Description": "Official site of the York, England based blues-rock band includes gig dates, photos, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.scandalmania.co.uk/"} +{"d:Title": "Scapegoats, The", "d:Description": "A four piece punk/ska/reggae band from central Texas. Biography, lyrics, reviews, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.angelfire.com/punk/scapegoats/"} +{"d:Title": "Scarlet Rose", "d:Description": "German five piece melodic pop/rock band. Band information, discography, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.scarletrose.de/"} +{"d:Title": "Scary Lane", "d:Description": "Pictures, MP3 downloads and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.angelfire.com/rock/scarylanerocks/"} +{"d:Title": "Scearce and Ketner", "d:Description": "Caribbean pirate rock acoustic duo from Swansboro, North Carolina.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.scearceandketner.com/"} +{"d:Title": "Schaefer, Janek", "d:Description": "Sound artist and musician.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.audioh.com/"} +{"d:Title": "Scherer, George", "d:Description": "Acoustic singer-songwriter and his band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.georgescherer.com/"} +{"d:Title": "Scholastics, The", "d:Description": "Band biography, pictures, and show dates for this Southern California Christian ska-core/swing/punk band. Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.angelfire.com/ma/scholastics/"} +{"d:Title": "Scholomance", "d:Description": "Progressive metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.angelfire.com/sc/scholomance/main.html"} +{"d:Title": "Scooters, The", "d:Description": "Cardiff, Wales based pop band. Includes photos, gigs, news and MP3.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.thescooters.com/"} +{"d:Title": "Score 44", "d:Description": "Emo punk band from Massachusetts. Biographies, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://xscore44x.tripod.com/"} +{"d:Title": "Scorn", "d:Description": "Full discography and small band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.rockdiscography.com/death/scorn/scorn.html"} +{"d:Title": "Scott, Raymond", "d:Description": "Official site for Raymond Scott (born 1908), professor of famous 'cartoon music' and electronic inventor.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://raymondscott.com/"} +{"d:Title": "Scratch", "d:Description": "Finnish blues-rock trio. News, pictures, discography, sound clips, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.scratchtrax.com/"} +{"d:Title": "Screwface", "d:Description": "Heavy rock band from New York. News, reviews, showdates, MP3 downloads, pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.angelfire.com/music2/screwface/"} +{"d:Title": "Schuster, Nadine", "d:Description": "Contains biography and reviews of the German pianist. [English and German]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.nadine-schuster.de/"} +{"d:Title": "Schembre, J.R.", "d:Description": "Blues, jazz and country singer/songwriter. Biography, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.angelfire.com/celeb2/jrschembre/"} +{"d:Title": "Schilling, Robert", "d:Description": "Biography, reviews, and audio samples of the pop-rock artist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.robertschilling.com/"} +{"d:Title": "Schumann, John", "d:Description": "Australian folk/rock singer and songwriter. News, biography, discography, articles, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.schumann.com.au/john/"} +{"d:Title": "Scott, Hilary", "d:Description": "Singer, pianist, and violinist performing a blend of folk-rock, blues, and classical music. Biography, news, gig dates, audio samples, photos, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.hilaryscott.com/"} +{"d:Title": "Scott, Shane", "d:Description": "Composer and producer's site includes news, biography, tour dates, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://shanescott.com/"} +{"d:Title": "Schok, Martijn", "d:Description": "Includes biographies, gig dates, pictures, and discography for the boogie woogie and blues pianist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.martijnschok.com/uk/index.htm"} +{"d:Title": "Scarlet's Well", "d:Description": "Includes album reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.bid.myzen.co.uk/swell/"} +{"d:Title": "Sch\u00fctze, Paul", "d:Description": "London-based avant-garde composer and artist. Offers a biography, discography and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://paulschutze.com/"} +{"d:Title": "Schramms, The", "d:Description": "Offers a biography, discography, lyrics and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://theschramms.com/"} +{"d:Title": "Schiller, Jeff \"Houndog\"", "d:Description": "Freelance musician, specializing in woodwind performance, teaching, copying, and arranging. Biography, gig calendar, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.houndogschiller.com/"} +{"d:Title": "Scariens", "d:Description": "Ancient cult of musicians or lounge band on acid.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.scariens.org/"} +{"d:Title": "Schofield, Aiden", "d:Description": "Cleveland-based singer-songwriter; includes audio, videos, reviews and past projects.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.aidenschofield.com/"} +{"d:Title": "Schmoejoes, The", "d:Description": "Three-piece indie-pop band from Waseca, Minnesota. News, biography, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.theschmoejoes.com/"} +{"d:Title": "Scoville Brothers, The", "d:Description": "Northern Indiana acoustic duo. Biographies, schedule, song list and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.scovillebrothers.com/"} +{"d:Title": "Schmid, Heather", "d:Description": "Pop artist's site features biography, pictures, audio, press and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.heatherschmid.com/"} +{"d:Title": "Scot, Dar", "d:Description": "Country, rock, and blues artist based in Tennessee. Biography, photos, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://darscot.ehost.com/"} +{"d:Title": "Schmidt, Claudia", "d:Description": "Michigan-born singer, guitarist and dulcimer player records jazz, blues, folk music and poetry readings. Biography, photos, reviews and a performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.claudiaschmidt.com/"} +{"d:Title": "Schumacher, Stanley", "d:Description": "Contemporary art music from Pennsylvania. Biography, audio, album and composition details, pictures and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://www.stanleyschumacher.com/"} +{"d:Title": "Scott, Mike", "d:Description": "London-based solo acoustic punk artist and former bassist of cult underground hardcore band Phinius Gage. Gigs, Q&A, biography, downloads, blog and video. [Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc", "url": "http://mikescottpunk.tumblr.com/"} +{"d:Title": "Yahoo Groups: Boz Scaggs", "d:Description": "News and tour information, lyrics, photos, reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scaggs,_Boz", "url": "http://launch.groups.yahoo.com/group/bozscaggs/"} +{"d:Title": "Boz Scaggs - Fade Into Light", "d:Description": "Official site features discography, biography, tour dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scaggs,_Boz", "url": "http://www.bozscaggs.com/"} +{"d:Title": "Boz Scaggs Music Community", "d:Description": "A gathering place for Boz Scaggs fans. News, reviews, photos, tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scaggs,_Boz", "url": "http://www.bozscaggs.org/"} +{"d:Title": "Scanner", "d:Description": "Official site of this UK experimental music artist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scanner", "url": "http://www.scannerdot.com/"} +{"d:Title": "Other Minds: Robin Rimbaud aka Scanner", "d:Description": "Biography of Robin Rimbaud, culminating in events and recordings from 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scanner", "url": "http://www.otherminds.org/shtml/Rimbaud.shtml"} +{"d:Title": "Kunstradio: Scanner Biography", "d:Description": "Includes a number of quotes by other musicians referencing the artistic work of Scanner.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scanner", "url": "http://www.kunstradio.at/BIOS/scannerbio.html"} +{"d:Title": "Neurobot Elektrozine: Scanner", "d:Description": "Extensive Neurobot interview of electro artist Scanner (aka Robin Rimbaud).", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scanner", "url": "http://neurobot.art.pl/03/wywiady/scanner/scanner-eng.html"} +{"d:Title": "Chaos Control: Scanner", "d:Description": "Interview with Robin Rimbaud from 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scanner", "url": "http://www.chaoscontrol.com/?article=scanner"} +{"d:Title": "Laura Scarborough Official Website", "d:Description": "News, biography, discography, MP3 downloads, photo gallery, articles/press, mailing list and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scarborough,_Laura", "url": "http://www.laurascarborough.com/"} +{"d:Title": "Austin Chronicle Record Review: Laura Scarborough", "d:Description": "Chapter One: Desire review by Christopher Hess.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scarborough,_Laura", "url": "http://www.austinchronicle.com/music/2000-11-24/79516/"} +{"d:Title": "Michael Schenker Records UK", "d:Description": "Schenker's UK site. Merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schenker,_Michael", "url": "http://www.michaelschenker.freeservers.com/index.html"} +{"d:Title": "I Love Michael Schenker", "d:Description": "Fan page with English and Japanese content. Concert reports, band genealogy, sound clips, bootleg information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schenker,_Michael", "url": "http://www.rbaraki.com/"} +{"d:Title": "Interview with a Shakuhachi Master", "d:Description": "JamesSchlefer discusses his training, performing, and teaching. Includes RealAudio samples, images, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schlefer,_James", "url": "http://www.lawsview.com/jamesschlefer/index.html"} +{"d:Title": "Timothy B. Schmit", "d:Description": "Official site with news, album information, pictures, videos, tour dates and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schmit,_Timothy", "url": "http://www.timothybschmit.com/"} +{"d:Title": "Timothy B. Schmit Online", "d:Description": "Unofficial fan site with biography, lyrics, discography, filmography, photos, downloads, wallpapers, MP3s, videos, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schmit,_Timothy", "url": "http://www.timothybschmitonline.com/"} +{"d:Title": "Timothy B. Schmit Discography", "d:Description": "Albums, singles, and guest appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schmit,_Timothy", "url": "http://www.eaglesfans.com/timothy-b-schmit-discography/"} +{"d:Title": "The Incredible Bob Shrine", "d:Description": "Short biography and photo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schneider,_Bob", "url": "http://www.angelfire.com/tx2/stoneflygirl/bobshrine.html"} +{"d:Title": "Bob Schneider's the Other Side", "d:Description": "Yahoo! club includes, photo gallery, briefcase, chat feature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schneider,_Bob", "url": "http://groups.yahoo.com/group/bobschneiderstheotherside/"} +{"d:Title": "Flatbed Gallery", "d:Description": "Bob Schneider's personal artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Schneider,_Bob", "url": "http://www.flatbedpress.com/Artist-Detail.cfm?ArtistsID=497"} +{"d:Title": "'X' Chronicles Media", "d:Description": "Article about the band from the Toronto Sun.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Sci-Fi_Prodigy", "url": "http://www.xzone-radio.com/Clipping/tosun.html"} +{"d:Title": "Scissor Sisters", "d:Description": "Official site. Includes band and tour information, news, images and sound files.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scissor_Sisters", "url": "http://www.scissorsisters.com/"} +{"d:Title": "Yahoo! Group: Scissor Sisters", "d:Description": "A members-only discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scissor_Sisters", "url": "http://launch.groups.yahoo.com/group/scissorsisters/"} +{"d:Title": "Play Louder : Scissor_Sisters", "d:Description": "Review by Iain Moffat.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scissor_Sisters", "url": "http://playlouder.com/dhtml/#/content/11832/scissor-sisters"} +{"d:Title": "AskMen.com", "d:Description": "Includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scissor_Sisters", "url": "http://www.askmen.com/celebs/women/singer_250/261_scissor_sisters.html"} +{"d:Title": "MTV", "d:Description": "Includes message boards, web links, news stories, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scissor_Sisters", "url": "http://www.mtv.com/artists/scissor-sisters/"} +{"d:Title": "Scooter", "d:Description": "Official site. Discography, image gallery, tour dates, biography, merchandise, a forum, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scooter", "url": "http://www.scootertechno.com/"} +{"d:Title": "Scooter", "d:Description": "Video and photos from a performance at the Rhein Fire Arena.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scooter", "url": "http://www.web62.com/engl/music/scooter/scooter.html"} +{"d:Title": "Faster Harder Scooter", "d:Description": "Fan site includes discography, biography, lyrics, timeline, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scooter", "url": "http://hem.bredband.net/andjen/"} +{"d:Title": "The Scorpions", "d:Description": "News, tour information, Undernet #PureInstinct channel information, history, discography with lyrics, pictures, a/v clips, email list, tablatures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scorpions", "url": "http://www.scorps.com/"} +{"d:Title": "Scorpions", "d:Description": "Includes band information, discography, lyrics, articles, news, tour dates, photographs and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scorpions", "url": "http://www.the-scorpions.de/"} +{"d:Title": "Rockmagic.net: Scorpions", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scorpions", "url": "http://lyrics.rockmagic.net/lyrics/scorpions/"} +{"d:Title": "Scorpions", "d:Description": "Official site includes news, tour information, and discography. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scorpions", "url": "http://www.the-scorpions.com/"} +{"d:Title": "UnRated Magazine: Hope For Humanity Yet - An Interview With Klaus Meine of Scorpions", "d:Description": "October 2007 interview with the band's frontman.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scorpions", "url": "http://www.unratedmagazine.com/Articles/Archive/2007/Scorpions_KlausMeine.cfm"} +{"d:Title": "MTV: Scorpions", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scorpions", "url": "http://www.mtv.com/artists/scorpions/"} +{"d:Title": "Official Waterboys Web Site", "d:Description": "Recent news, tour dates, lyrics, photos, discography and forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scott,_Mike", "url": "http://www.mikescottwaterboys.com/"} +{"d:Title": "Yahoo! Groups: Waterpeople", "d:Description": "Message board for Waterboys fans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scott,_Mike", "url": "http://launch.groups.yahoo.com/group/waterpeople/"} +{"d:Title": "Metacritic: A Rock In The Weary Land", "d:Description": "Multiple reviews for The Waterboys album by several music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scott,_Mike", "url": "http://www.metacritic.com/music/a-rock-in-the-weary-land/the-waterboys"} +{"d:Title": "Lisa Scott-Lee Zone, The", "d:Description": "Biography, facts, image galleries, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scott-Lee,_Lisa", "url": "http://buzzsteps.tripod.com/lisa/"} +{"d:Title": "AskMen.com: Lisa Scott Lee", "d:Description": "Feature includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scott-Lee,_Lisa", "url": "http://www.askmen.com/celebs/women/singer_200/220_lisa_scott_lee.html"} +{"d:Title": "Lisa Scott Lee", "d:Description": "Official site includes news, profile, photos, audio samples, video, and ringtones.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Scott-Lee,_Lisa", "url": "http://www.lisascottlee.com/"} +{"d:Title": "Stop the World", "d:Description": "Discography, biography, live photos, band and tour information and screensavers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Screaming_Jets", "url": "http://www.stoptheworld.20m.com/"} +{"d:Title": "The Further Exploitations of the Northwest", "d:Description": "Features the band's history, lyrics and links to other fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Screaming_Trees", "url": "http://www.angelfire.com/sk/seattlebands/strees.html"} +{"d:Title": "The Strange World of Van Conner", "d:Description": "Former bassist Van Conner's homepage has information about the Trees and Mark Lanegan plus Van's many side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Screaming_Trees", "url": "http://www.angelfire.com/wa/VanConner/"} +{"d:Title": "Artist Direct: Screaming Trees", "d:Description": "Featuring a message board, biography, discography, reviews, downloads and links to related sites and artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Screaming_Trees", "url": "http://www.artistdirect.com/artist/screaming-trees/490844"} +{"d:Title": "All Music Guide: Screaming Trees", "d:Description": "Information, discography, guest appearances by band members on other albums, a biography, recommended albums and tracks, and fan reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Screaming_Trees", "url": "http://www.allmusic.com/artist/screaming-trees-p5379"} +{"d:Title": "MTV.com: Screaming Trees", "d:Description": "Includes message boards, web links, news stories and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sc/Screaming_Trees", "url": "http://www.mtv.com/artists/screaming-trees/"} +{"d:Title": "Seides, Jan", "d:Description": "Folk, pop, and jazz singer/songwriter. Profile, a venue list, lyrics, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.janseides.com/"} +{"d:Title": "Sebastian, John", "d:Description": "Home of the ex-Lovin' Spoonful singer/songwriter with news, song blips, interviews, biography and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.johnbsebastian.com/"} +{"d:Title": "Sea Ray", "d:Description": "Brooklyn, New York based rock band. Biography, show dates, reviews, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.searaymusic.com/"} +{"d:Title": "Seabound", "d:Description": "Official site of the German band includes news, releases, lyrics, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.seabound.de/"} +{"d:Title": "Seawick, Bob", "d:Description": "Keyboardist. Biography, photos, links, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.bobseawick.com/"} +{"d:Title": "Sebasstian, d.A.", "d:Description": "News, lyrics, biography, photos, MP3s and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.go-kustom.com/d.A.Sebasstian.html"} +{"d:Title": "Second Nature", "d:Description": "Blend of alternative/acoustic rap music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.angelfire.com/super/tomandcraig/main.html"} +{"d:Title": "Secret Spastic Society", "d:Description": "The most musically challenged band. Features news, downloads, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.spasticsociety.8m.com/"} +{"d:Title": "See Spot Run", "d:Description": "Rock band based in Montreal, Quebec. Site contains news, history, show dates, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.angelfire.com/dc/csptrun/"} +{"d:Title": "Seers Poncho", "d:Description": "Chicago based jam band. Includes tour dates, MP3 files, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.seersponcho.com/"} +{"d:Title": "Seet, Jonathan", "d:Description": "Toronto singer/songwriter. News, biography, and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.seet.ca/"} +{"d:Title": "Segundo, Compay", "d:Description": "Review of the baritone's CD, 'Calle Segundo'.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.plume-noire.com/music/releases/compaysegundo.html"} +{"d:Title": "Selber", "d:Description": "Official site includes biography, discography, lyrics, pictures, and news. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.selber.ch/"} +{"d:Title": "Selzer, Adam", "d:Description": "Official site for the Chicago-based songwriter, novelist, and ghostbuster.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.adamselzer.com/"} +{"d:Title": "Sensational Joint Chiefs, The", "d:Description": "From Minneapolis Minnesota. Pop, rock, funk, soul, gospel musical group.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.firetrunk.com/"} +{"d:Title": "Separation", "d:Description": "Very brief view of the band's history, photographs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://separation_band.tripod.com/"} +{"d:Title": "September, Douglas", "d:Description": "Singer/songwriter from Nova Scotia, Canada. Biography, recent news, music information, and list of upcoming appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.douglasseptember.com/"} +{"d:Title": "Seraphim", "d:Description": "Rock band based in the Rhondda, Wales.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://seraphimband.tripod.com/"} +{"d:Title": "Serra, Eric", "d:Description": "French composer who composes moviescores for Luc Besson films. Includes news, albums, singles, pictures and movies.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://elsew.com/serra"} +{"d:Title": "Serrapere, Jo", "d:Description": "Michigan singer/songwriter. Biography, picture galleries, show dates, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.joserrapere.com/"} +{"d:Title": "Setback", "d:Description": "Member profiles, pictures, news and show lists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.angelfire.com/tx2/setback/"} +{"d:Title": "Ses, Murat", "d:Description": "Turkish-born Austrian New Music artist. Microtuned synthies, world, space, and electronica.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.muratses.com/"} +{"d:Title": "Severe Tire Damage", "d:Description": "Band from Palo Alto, California, was the first to have a live performance broadcast on the Internet. News, tour dates, audio, history and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.std.org/"} +{"d:Title": "Secret, The", "d:Description": "Discography, listings, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.head-space.org/Secret/"} +{"d:Title": "Serio, Cheryl", "d:Description": "Seattle-based jazz/cabaret vocalist. Biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.cherylserio.com/"} +{"d:Title": "Seed", "d:Description": "Official homepage for the DC based hip-hop/rap artist. Includes biography, pictures, and links to other DC-area hip hop artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://seedpoppa.tripod.com/index.htm"} +{"d:Title": "Self-Served", "d:Description": "Southern California punk rock band. Profiles, pictures, and releases.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://totallylove.tripod.com/index2.html"} +{"d:Title": "Seven Inches, The", "d:Description": "Leeds based indie pop band. News, biography, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.theseveninches.co.uk/"} +{"d:Title": "Seling, Paula", "d:Description": "Romanian singer-songwriter's site features news, gigs, biography, discography, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.paulaseling.com/"} +{"d:Title": "Semova, Ruzha", "d:Description": "Classical pianist based in Vienna, Austria. Biography, honors, events, repertoire, sample programs, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.semova.com/"} +{"d:Title": "Seize the Day", "d:Description": "Radical English acoustic band; includes biographies, audio and video, campaigns, gigs and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.seizetheday.org/"} +{"d:Title": "Seahorse Radio", "d:Description": "Soul band from Fremantle, Western Australia. Audio downloads, photos, reviews, and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.seahorseradio.com/"} +{"d:Title": "Seewi, Assaf", "d:Description": "London drummer and singer-songwriter. MP3s, tour dates, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.assaf.co.uk/"} +{"d:Title": "Seychal-Mills", "d:Description": "Post-rock and electronica project from Lausanne, Switzerland. Biography, news, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.seychal-mills.com/"} +{"d:Title": "Segal, Greg", "d:Description": "The official site; a guide to his music, including albums with SST recording artists Paper Bag, solo projects, artwork, writing, and others.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.gregsegal.com/"} +{"d:Title": "Seay", "d:Description": "News, audio, biography, pictures, reviews and journal from the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.seayonline.com/"} +{"d:Title": "Setting Sun", "d:Description": "Brooklyn-based surreal pop band. News, audio and video, biography, show dates, pictures and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se", "url": "http://www.settingsun.cc/"} +{"d:Title": "ArtistDirect: Seafood", "d:Description": "Biography, discography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seafood", "url": "http://www.artistdirect.com/artist/seafood/678902"} +{"d:Title": "allmusic: Seagull Screaming Kiss Her Kiss Her", "d:Description": "List of band members and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seagull_Screaming_Kiss_Her_Kiss_Her", "url": "http://www.allmusic.com/artist/p509426"} +{"d:Title": "Rock on the Net: Seal", "d:Description": "Biography, single and LP discography and personal information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seal", "url": "http://www.rockonthenet.com/artists-s/seal_main.htm"} +{"d:Title": "RagnWolf7's Seal Page", "d:Description": "A fan site dedicated to Seal news. A free form biography, facts and frequently asked questions can be found here, with links to other Seal fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seal", "url": "http://www.angelfire.com/co2/redwolf707/seal.html"} +{"d:Title": "Future Love Paradise", "d:Description": "News, reviews, concert announcements, lyrics, discography, images and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seal", "url": "http://futureloveparadise.co.uk/"} +{"d:Title": "Seal", "d:Description": "Official site of the British soul and R&B singer and songwriter.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seal", "url": "http://www.seal.com/"} +{"d:Title": "MTV: Seal", "d:Description": "Audio and video downloads, biography, news and photos, plus a limited online store.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seal", "url": "http://www.mtv.com/artists/seal/"} +{"d:Title": "Ask Men: Sean Paul", "d:Description": "Feature includes pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sean_Paul", "url": "http://www.askmen.com/celebs/men/entertainment_150/162_sean_paul.html"} +{"d:Title": "Sean Paul", "d:Description": "Official site includes news, tour dates, photos, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sean_Paul", "url": "http://www.allseanpaul.com/"} +{"d:Title": "The Sea and Cake Discography", "d:Description": "Guide to albums, singles, EPs and compilations, with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sea_and_Cake,_The", "url": "http://www.movingunits.com/seaandcake/"} +{"d:Title": "Thrill Jockey Records: The Sea and Cake", "d:Description": "Includes tour information, discography, their history, lyrics, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sea_and_Cake,_The", "url": "http://www.thrilljockey.com/artists/?id=10047"} +{"d:Title": "The Official Sea and Cake Home Page", "d:Description": "Includes news, tour dates, interview, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sea_and_Cake,_The", "url": "http://www.theseaandcake.com/"} +{"d:Title": "Secret Secret", "d:Description": "Gothic synthpop band. Images, audio clips, news, and links to their influences.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Secret_Secret", "url": "http://secret-secret.com/"} +{"d:Title": "History of Rock and Roll: Neil Sedaka", "d:Description": "Profile with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sedaka,_Neil", "url": "http://www.history-of-rock.com/neil_sedaka.htm"} +{"d:Title": "All Music Guide: Neil Sedaka", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sedaka,_Neil", "url": "http://www.allmusic.com/artist/neil-sedaka-p3147"} +{"d:Title": "SegerBob.com", "d:Description": "Extensive fan site with band information, discography and huge lyric listing.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://www.segerbob.com/"} +{"d:Title": "The Bob Seger File", "d:Description": "Detailed discography with lyrics, images, tour date information, and listing of television appearances. Also, in-depth information about the artists life, bands, and future.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://www.segerfile.com/"} +{"d:Title": "Net Moves", "d:Description": "Message forums, chat, photographs, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://segernet.com/"} +{"d:Title": "Rock the Hall for Bob Seger", "d:Description": "Online petition to get Bob Seger into the Rock and Roll Hall of Fame.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://www.rockthehall.com/"} +{"d:Title": "Entertainment Ave: Bob Seger and the Silver Bullet Band", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/bob_seger/bs051196.htm"} +{"d:Title": "Bob Seger", "d:Description": "The official website, featuring news and tour dates, videos, downloads, discography, and fan forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://bobseger.com/"} +{"d:Title": "Bob Seger News: Topix", "d:Description": "News about Bob Seger continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://www.topix.com/who/bob-seger"} +{"d:Title": "Rock and Roll Hall of Fame: Bob Seger", "d:Description": "Inductee profile and bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob", "url": "http://rockhall.com/inductees/bob-seger/"} +{"d:Title": "Silver Bullet", "d:Description": "Seattle based Bob Seger tribute band. Music samples, photographs, biography, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob/Tribute_Bands", "url": "http://randylinder.com/"} +{"d:Title": "Hollywood Nights", "d:Description": "10-piece tribute band based in New Jersey. Pictures, tour dates, technical details, booking contact, song list, press and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_Bob/Tribute_Bands", "url": "http://hollywoodnightsband.com/"} +{"d:Title": "muttdogblues: a shea seger place", "d:Description": "News, pictures, links, reviews, a discography, tour dates, and audio/video links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seger,_She\u00e0", "url": "http://www.moondoglovesyou.com/muttdogblues/"} +{"d:Title": "Kenny's Selena Site", "d:Description": "Pictures, videos, news, links, wallpaper, free e-mail, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://yamakd.tripod.com/"} +{"d:Title": "Llinosa's Selena Site", "d:Description": "Tribute with biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://www.angelfire.com/art/auracauta/selena.html"} +{"d:Title": "Selena La Reina", "d:Description": "MP3 files, lyrics, pictures, discography, biography, backgrounds, cards, and puzzles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://www.selenalareina.com/"} +{"d:Title": "Mikie's Unofficial Selena WebSite", "d:Description": "Tribute with several photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://members.tripod.com/mman467/photo.htm"} +{"d:Title": "Remembering Selena Quintanilla Perez", "d:Description": "Includes lyrics, discography, pictures, quotes, video clips, biography, and newspaper clippings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://www.selenaforever.com/"} +{"d:Title": "Selena's Room", "d:Description": "Fan site includes pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://selsroom.tripod.com/"} +{"d:Title": "Selena Superstar", "d:Description": "Fan site with biography, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://webspace.webring.com/people/gs/selenafanpage1982/"} +{"d:Title": "MTV: Selena", "d:Description": "Album reviews, news, audio downloads, a biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Selena", "url": "http://www.mtv.com/artists/selena/"} +{"d:Title": "Self Wars", "d:Description": "Primary site for downloading Self's internet-only albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Self", "url": "http://selfwars.tripod.com/"} +{"d:Title": "Ink Nineteen: Self", "d:Description": "Ed Sofield's review of their concert in The Cotton Club, Atlanta on August 13, 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Self/Reviews", "url": "http://www.ink19.com/issues_F/99_10/live_ink/031_self.shtml"} +{"d:Title": "Self - Breakfast With Girls", "d:Description": "Daniel J. Katz's review: \"if you enjoy innovative music, Breakfast With Girls is a must-own.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Self/Reviews", "url": "http://tech.mit.edu/V119/N30/Self_CD.30a.html"} +{"d:Title": "Hybrid Magazine: Self - Gizmodgery", "d:Description": "J Noise's review of the album with complete track list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Self/Reviews/Gizmodgery", "url": "http://www.hybridmagazine.com/reviews/1100/self.html"} +{"d:Title": "Another Beginning's End", "d:Description": "Features pictures, links, information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Semisonic", "url": "http://www.angelfire.com/md/AnotherBeginingsEnd/"} +{"d:Title": "Semisonic.com", "d:Description": "Official site. Audio downloads, tablature, lyrics, tour dates, band information, photos, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Semisonic", "url": "http://www.semisonic.com/"} +{"d:Title": "Pleasuresonic", "d:Description": "Biographies, lyrics, discography, images, news, videos, quotes, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Semisonic", "url": "http://www.angelfire.com/nd/pleasuresonic/"} +{"d:Title": "Matt's Music Page: Semisonic", "d:Description": "Images, midi, lyrics, tablature, chat, forum, videos, biography, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Semisonic", "url": "http://www.mattsmusicpage.com/nsemi.htm"} +{"d:Title": "Metacritic: All About Chemistry", "d:Description": "Multiple critic and user reviews for the \"All About Chemistry\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Semisonic", "url": "http://www.metacritic.com/music/all-about-chemistry/semisonic"} +{"d:Title": "Wikipedia", "d:Description": "Provides basic background information on Petra Marklund.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/September", "url": "http://en.wikipedia.org/wiki/September_(singer)"} +{"d:Title": "Sepultura", "d:Description": "A history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sepultura", "url": "http://www.angelfire.com/de/cend/SEPULTURA.html"} +{"d:Title": "SepulPan", "d:Description": "News and audio files. Also features Pantert and Soulfly.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sepultura", "url": "http://www.angelfire.com/mb/sepulpan/"} +{"d:Title": "Sepultutatron", "d:Description": "News, history, pictures, links, reviews, a discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sepultura", "url": "http://www.angelfire.com/rock/sepulturatron/"} +{"d:Title": "BleedingMetal.com - Sepultura", "d:Description": "Includes a large image gallery, lyrics, a detailed biography and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sepultura", "url": "http://www.bleedingmetal.com/sepultura/"} +{"d:Title": "Rockmagic.net: Sepultura", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sepultura", "url": "http://lyrics.rockmagic.net/lyrics/sepultura/"} +{"d:Title": "SepulTribe", "d:Description": "Official fan site includes news, profile, discography, pictures, tablature, audio/video files, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sepultura", "url": "http://www.sepultribe.com/"} +{"d:Title": "Metacritic: Nation", "d:Description": "Links to several critic and user reviews for the \"Nation\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sepultura", "url": "http://www.metacritic.com/music/nation/sepultura"} +{"d:Title": "Serenity's Online Fan Club", "d:Description": "Yahoo! Club offers discussion of the group; includes related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serenity", "url": "http://groups.yahoo.com/group/serenitysonlinefanclub/"} +{"d:Title": "Christine's Deep Serial Joe page", "d:Description": "Information, pictures, news, tour dates, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serial_Joe", "url": "http://www.angelfire.com/mo2/serialjoe/index.html"} +{"d:Title": "Serial Joe Kicks Ass", "d:Description": "Reviews, pictures, biographies, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serial_Joe", "url": "http://www.angelfire.com/sk/serialjoekicks/"} +{"d:Title": "AJ's Picks", "d:Description": "Pictures, information, multimedia, lyrics, and fan submissions.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serial_Joe", "url": "http://www.angelfire.com/on3/ajspicks/"} +{"d:Title": "Ms Obsessions' Obsessions", "d:Description": "Recent news, tour dates, events, appearances, photos, links, fan submissions, mailing list, articles, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serial_Joe", "url": "http://www.angelfire.com/ms/obsessions/"} +{"d:Title": "Serial Joe", "d:Description": "Contains pictures, e-cards, message board, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serial_Joe", "url": "http://www.angelfire.com/punk2/serialjoe69/"} +{"d:Title": "Serial Joe Forever", "d:Description": "Biographies, CD information, lyrics, pictures, sound files, videos, a message board, appearance information, links, and greeting cards.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serial_Joe", "url": "http://www.angelfire.com/biz4/serialjoe4ever/"} +{"d:Title": "Let's Go Serial Joe", "d:Description": "Includes pictures, lyrics, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Serial_Joe", "url": "http://rockserialjoe.tripod.com/heavenisserialjoe"} +{"d:Title": "Phazer Blast: Servotron", "d:Description": "Interview with 00zX1, Proto Unit V3, and the other band members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Servotron", "url": "http://members.tripod.com/~phazerblst/servo/serv.html"} +{"d:Title": "Sevendust.com", "d:Description": "Official site. Recent news, tour dates, MP3 files and lyrics from two albums, interviews and reviews, photos and contact information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sevendust", "url": "http://www.sevendust.com/"} +{"d:Title": "7M3 - Seven Mary Three", "d:Description": "Official home page of Seven Mary Three. Contains the latest news on the band, biographical information, lyrics, audio clips, pictures, T-shirts and other merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seven_Mary_Three", "url": "http://www.7m3.com/"} +{"d:Title": "7 Mary 3", "d:Description": "Fan site with information on the band and their albums (American Standard, Rock Crown). Lyrics, audio clips, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seven_Mary_Three", "url": "http://www.rockpark.com/7mary3/"} +{"d:Title": "Entertainment Ave: Seven Mary Three", "d:Description": "Review of a concert at the Q101 Jamboree 1996 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seven_Mary_Three/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1996/7m3.htm"} +{"d:Title": "Entertainment Ave: Seven Mary Three", "d:Description": "Review of a concert with Poe and The Refreshments at The Vic Theatre in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Seven_Mary_Three/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/7m3/7m3073196.htm"} +{"d:Title": "Ron Sexsmith", "d:Description": "Official site. Includes news, tours and music files.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexsmith,_Ron", "url": "http://www.ronsexsmith.com/"} +{"d:Title": "All-Reviews.com: Whereabouts", "d:Description": "Series of reviews about the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexsmith,_Ron", "url": "http://www.all-reviews.com/music/whereabouts.htm"} +{"d:Title": "Yahoo Groups: Ron Sexsmith", "d:Description": "Official newsgroup; includes membership information and archives.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexsmith,_Ron", "url": "http://groups.yahoo.com/group/ronsexsmith/"} +{"d:Title": "Austin Chronicle: Charlie and Will Sexton", "d:Description": "Family Circle, interview by Margaret Moser. Includes photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexton,_Charlie", "url": "http://www.austinchronicle.com/issues/vol16/issue16/music.sextons.html"} +{"d:Title": "Never Ending Tour Band, The", "d:Description": "Charlie Workhorse, article by Chris Riemenschneider, for the American-Statesman, and photo page.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexton,_Charlie", "url": "http://members.tripod.com/mathematicalmusic/neverending/neverending.html"} +{"d:Title": "Martin Sexton", "d:Description": "Official site, with news, schedule, music and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexton,_Martin", "url": "http://www.martinsexton.com/"} +{"d:Title": "All Music Guide: Martin Sexton", "d:Description": "Biography, discography and song highlights.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexton,_Martin", "url": "http://www.allmusic.com/artist/martin-sexton-mn0000307913"} +{"d:Title": "Austin Chronicle: Charlie and Will Sexton", "d:Description": "Family Circle, interview by Margaret Moser. Includes photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexton,_Will", "url": "http://www.austinchronicle.com/issues/vol16/issue16/music.sextons.html"} +{"d:Title": "All Music Guide: Will Sexton", "d:Description": "Includes brief biography, discrography and photo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexton,_Will", "url": "http://www.allmusic.com/artist/will-sexton-mn0000591462"} +{"d:Title": "Austin Chronicle Music: Will Sexton", "d:Description": "Scenes From Nowhere album review by Jim Caligiuri.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sexton,_Will", "url": "http://www.austinchronicle.com/music/2001-03-16/will-sexton-scenes-from-nowhere/"} +{"d:Title": "Official Andi Sexgang and Sex Gang Children Site", "d:Description": "News, discography, sound files, artwork, mail order, photos, and archives of interviews and reviews. [Not compatible with some browsers.]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sex_Gang_Children", "url": "http://www.andisexgang.com/"} +{"d:Title": "Official Sex Gang Children", "d:Description": "News, and sound files and lyrics from their most recent album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sex_Gang_Children", "url": "http://www.sexgangchildren.com/"} +{"d:Title": "TrouserPress.com: Sex Gang Children", "d:Description": "Review of the band's recordings and career up to 1990.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sex_Gang_Children", "url": "http://www.trouserpress.com/entry.php?a=sex_gang_children"} +{"d:Title": "All Music Guide: Sex Gang Children", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Se/Sex_Gang_Children", "url": "http://www.allmusic.com/artist/sex-gang-children-mn0000015761"} +{"d:Title": "S.G. Express", "d:Description": "Southern gospel band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sg", "url": "http://www.angelfire.com/music2/SGEXPRESS/"} +{"d:Title": "S.G. Lad", "d:Description": "Song clips, lyrics, show dates, photos, and mailing list. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sg", "url": "http://www.sg-lad.com/"} +{"d:Title": "Shapiro, Andrew", "d:Description": "New York-based composer who uses a blend of traditional acoustic and electric instruments.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.andrewshapiro.com/"} +{"d:Title": "Shtogg, Leuke", "d:Description": "Songwriter of a dark introverted style music. Biography, image gallery and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.leukeshtogg.com/"} +{"d:Title": "Shades of Blue Orchestra, The", "d:Description": "A performing Big Band; Mid-Atlantic Area. History, biographies, song list, sound clips, FAQs, and others.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shades-of-blue.com/"} +{"d:Title": "Shoegaze", "d:Description": "Includes audio, images, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.bethanycurve.com/"} +{"d:Title": "Shaari, Massoud", "d:Description": "Traditional Iranian sitar player. Includes a biography, photos and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://massoudshaari.tripod.com/"} +{"d:Title": "Shadow, Allen", "d:Description": "Rock poet. News, reviews, biography, MP3s, lyrics, tour dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.allenshadow.com/"} +{"d:Title": "Shadows Cast", "d:Description": "Missouri band. Show dates and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/music3/musicandmusicians/"} +{"d:Title": "Shagnasty", "d:Description": "Rock band from Salina, Kansas. Includes tour dates, biographies, photos, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shagnastyrocks.tripod.com/"} +{"d:Title": "Shakedown Sacramento", "d:Description": "7-piece reggae / hip-hop band from Sacramento, California.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shakedown.s5.com/"} +{"d:Title": "Shalka", "d:Description": "Dublin band's music, biography, message board and performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/rock2/shalka/"} +{"d:Title": "Sha-low", "d:Description": "Alternative metal band from Quebec. Includes a biography, pictures and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://sha-low.tripod.com/"} +{"d:Title": "Shand, Remy", "d:Description": "Official site from Motown Records with news, biography, tour, photos, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.remyshand.com/"} +{"d:Title": "Shanghaied on the Willamette", "d:Description": "Musical duo performing songs plundered from land and sea.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shanghaied.biz/"} +{"d:Title": "Shannon, Patrick", "d:Description": "New York Merengue artist. Includes a biography, interview, photos and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.patrickshannon.4mg.com/"} +{"d:Title": "Shannon, Sarah", "d:Description": "Former frontlady of the indie-pop band band Velocity Girl. Site contains biography, interviews, reviews, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.sarahshannon.com/"} +{"d:Title": "Shapeshift", "d:Description": "A band from Germany. Band information, MP3 files and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shapeshift.de/"} +{"d:Title": "Sharks and Minnows", "d:Description": "Atlanta-based indie rock band. Includes a biography, news and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/ga2/sharksandminnows/"} +{"d:Title": "Shave Librarian", "d:Description": "Images, profiles, reviews, links, sound samples, merchandise, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shavelibrarian.com/index.html"} +{"d:Title": "Sheeba Said", "d:Description": "Biographical profiles, photographs, and lyrics from teen trio based in Palm Desert, California.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://sheebasaid.4t.com/"} +{"d:Title": "Shelby", "d:Description": "Biography, gigs, pictures and sound samples from the New York City band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shelbynyc.com/"} +{"d:Title": "Shelley, Michael", "d:Description": "Pop rock artist. News, discography, photos, and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.michaelshelley.net/"} +{"d:Title": "Sherreece", "d:Description": "Official site includes biography, discography, photos, tour dates, streaming audio and video, and links to artist merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.sherreece.com/"} +{"d:Title": "Sherrill, Dianne", "d:Description": "Singer of gospel music. Includes a personal testimony, pictures, and a concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.diannesherrill.com/"} +{"d:Title": "Sherwin, Dan", "d:Description": "Biography, sound files, schedule, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.dansherwin.com/"} +{"d:Title": "Shining Hathaway Experiment", "d:Description": "Filipino indie rock band. Biography, audio downloads, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shining_hath.tripod.com/"} +{"d:Title": "Shirley, Don", "d:Description": "Jazz pianist of the 50s, 60s, and 70s. Biography, discography, and latest information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://donshirley.tripod.com/"} +{"d:Title": "Shitty Shitty Band Band", "d:Description": "Homesite for the eclectic dance band. Formed in 1995 as El Kabong, the original three-piece added brass and percussion to form this unique and exciting combo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.big.net/ssbb/"} +{"d:Title": "Shmitt, Stuffy", "d:Description": "Acoustic-alternative rocker. Includes songs, lyrics, reviews, photos, background information and touring schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://stuffyshmitt.com/"} +{"d:Title": "Shockwave", "d:Description": "Top 40's/rock band from Glace Bay, Nova Scotia. Includes history, pictures, news, recordings, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/rock/shockwavespage/"} +{"d:Title": "Shocore", "d:Description": "Based in Vancouver, British Columbia, Canada. History, show dates, news, pictures, sound files, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shocore.tripod.com/"} +{"d:Title": "Shootin Stars, The", "d:Description": "Lyrics, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/myband/shootinstars/"} +{"d:Title": "Shooting Blanks", "d:Description": "Punk band from Virginia Beach. Provides a brief biography, gig dates, audio samples and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shootingblanks.50megs.com/"} +{"d:Title": "Shooting Rubys", "d:Description": "Rock band from Montreal, Quebec, Canada. Biography, show dates, sound files, reviews, news, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shootingrubys.com/"} +{"d:Title": "Shootz and Ladderz", "d:Description": "Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/ak3/shootzandladderz/"} +{"d:Title": "Short People", "d:Description": "Soul and rhythm and blues band based in Berkshire, UK. Band information, demo tracks and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.josaka.com/"} +{"d:Title": "Short Side", "d:Description": "A pop-punk band sounding like Lagwagon, Face to Face, the Descendents, NOFX, and AFI, all mixed together with some very emotional vocals.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/punk/shortside/"} +{"d:Title": "Shorty", "d:Description": "Contemporary musicians present their band information, discography, and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.angelfire.com/art/shortyink/"} +{"d:Title": "Shouters, The", "d:Description": "Rhythm and blues band based in Southern Oregon. Provides a performance schedule, member profiles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://theshouters.org/"} +{"d:Title": "Show of Hands", "d:Description": "UK-based West Country multi-instrumental duo Steve Knightley and Phil Beer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.showofhands.co.uk/"} +{"d:Title": "Shuttleworth, John", "d:Description": "Sheffield, South Yorkshire singer/organist. Offers a profile, tour dates and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shuttleworths.co.uk/"} +{"d:Title": "She Loves Me Not", "d:Description": "Punk rock band from Granbury, Texas. Contains photos, lyrics, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://facesonthemilkcarton.tripod.com/flaccid/"} +{"d:Title": "Shatter Instinct", "d:Description": "Ontario, Canada based alternative hard-rock band. Contains show dates, biography, discography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shatterinstinct.iwarp.com/"} +{"d:Title": "Shain, Jon", "d:Description": "Singer-songwriter from Chapel Hill, NC. Biography, CD information, sound clips, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.jonshain.com/"} +{"d:Title": "Shanti, Lyra", "d:Description": "Folk/rock alternative musician. Biography, lyrics, MP3s, journal, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.lyrashanti.com/"} +{"d:Title": "Shrunken Headbangers", "d:Description": "The \"shock-and-roll\" band's site contains pictures, sound samples, discography, band history, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://users.erols.com/mlaird/shbangers/"} +{"d:Title": "Shakin' Stevens", "d:Description": "Includes news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shakinstevens.com/"} +{"d:Title": "Shelley, Joey", "d:Description": "Includes profile, discography, lyrics, and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://joeyshelley.tripod.com/"} +{"d:Title": "Shilling, Josh", "d:Description": "A vocalist, guitarist, writer, and pianist from Martinsville, Virginia. Lists tour dates, photo galleries, news, reviews, song demos, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.joshshilling.com/"} +{"d:Title": "Shawen, Laura", "d:Description": "Official website. Pictures, audio clips, showdates and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://laurashawen.tripod.com/"} +{"d:Title": "Sherwood, Randy", "d:Description": "Saxophone and keyboard player in Destin, Florida. Biography, pictures, audio samples and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.saxyguy.com/"} +{"d:Title": "Shins, The", "d:Description": "Pop band based in Albuquerque, New Mexico. Pictures, show dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.theshins.com/"} +{"d:Title": "Shaham, Hagai", "d:Description": "Biography, reviews, discography, pictures and music samples from the violinist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://hagaishaham.com/"} +{"d:Title": "Sheen, Oliver", "d:Description": "Hampshire, England-based pianist and vocalist for events. Biography, recordings, pictures, audio and testimonials.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.oliversheen.com/"} +{"d:Title": "Showtyme Jazz Duo, The", "d:Description": "Husband-and-wife smooth jazz act based in Atlanta. Biographies, testimonials, blog, booking information, news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.smoothjazzduo.com/"} +{"d:Title": "Shiny Toy Guns", "d:Description": "Los Angeles-based rock/electronica band; includes news, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.shinytoyguns.com/"} +{"d:Title": "Shearer, Andrew", "d:Description": "English singer-songwriter's site features biography, lyrics, prose, gigs, pictures, video, news and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://www.andrewshearer.com/"} +{"d:Title": "Shiny Machine", "d:Description": "Band based in Tuscaloosa, Alabama. Official site with news, photos, show dates and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh", "url": "http://shiny-machine.com/"} +{"d:Title": "John Panteny's discography", "d:Description": "A comprehensive listing of Shadows records along with those of Cliff Richard.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows,_The", "url": "http://www.cliffrichardsongs.com/cliffandshads/"} +{"d:Title": "Penumbra", "d:Description": "A New Zealand site dedicated to the Shadows, pictures, history, music files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows,_The", "url": "http://www.penumbra.co.nz/"} +{"d:Title": "2004 Final Tour photo Gallery", "d:Description": "A large collection of photographs featuring Hank Marvin, Bruce Welch and Brian Bennett", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows,_The", "url": "http://people.zeelandnet.nl/rdc/"} +{"d:Title": "South Wales Shadows Club", "d:Description": "Meets monthly in Penarth to play music by the band and related artists. Members, news, events, video and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows,_The", "url": "http://www.southwalesshadowsclub.org.uk/"} +{"d:Title": "The Danish Shadows Club", "d:Description": "A Danish fansite, mostly in English, featuring pictures, history, and concert reviews. Club information is in Danish.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows,_The", "url": "http://www.shadowsclub.dk/"} +{"d:Title": "Jet Harris", "d:Description": "Official web site of the former Shadows and Drifters bassist featuring extensive discography, history and some recent performance video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows,_The", "url": "http://www.jetharris.biz/"} +{"d:Title": "Shadoogie", "d:Description": "Bookings and biography for Shadoogie, a tribute band dedicated to the music of 60s instrumental band The Shadows.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows,_The/Tribute_Bands", "url": "http://www.shadoogie.com.au/"} +{"d:Title": "Back Door Men", "d:Description": "Includes a chronological history, discography, chart positions, photos and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows_of_Knight,_The", "url": "http://web.tiscali.it/wrongway/shadows/index.htm"} +{"d:Title": "AMG: The Shadows of Knight", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shadows_of_Knight,_The", "url": "http://www.allmusic.com/artist/shadows-of-knight-mn0000791247"} +{"d:Title": "The Un-Official Shad Hills Band Site", "d:Description": "Site features news, graffiti wall, tour cookbook, audio files, tour information, an e-zine and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shad_Hills_Band", "url": "http://www.angelfire.com/nj/shadhillsband/"} +{"d:Title": "Shakatak", "d:Description": "UK band that melds jazz and funk. Biography, jukebox, discography and news included.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakatak", "url": "http://www.shakatak.com/"} +{"d:Title": "Obsessively Yours - A Tribute to Shakespears Sister", "d:Description": "Features information about Siobhan Fahey and Marcella Detroit, links to other Shakespears Sister sites, and written information about Shakespears Sister videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakespear's_Sister", "url": "http://www.angelfire.com/mi/catwoman1/judith.html"} +{"d:Title": "ArtistDirect: Shakespear's Sister", "d:Description": "Contains biography, tour dates, downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakespear's_Sister", "url": "http://www.artistdirect.com/artist/shakespears-sister/491738"} +{"d:Title": "The 2Pac Biography Book", "d:Description": "Full biography published online.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac", "url": "http://www.2pac2k.de/2pacbook.htm"} +{"d:Title": "Rollingstone.com: Tupac Shakur", "d:Description": "Includes biography, recordings, pictures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac", "url": "http://www.rollingstone.com/music/artists/tupac-shakur"} +{"d:Title": "The Next Web - Shareables", "d:Description": "Technology brings Tupac back to life at Coachella.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac", "url": "http://thenextweb.com/shareables/2012/04/16/amazing-video-technology-brings-tupac-back-to-life-at-coachella/"} +{"d:Title": "MTV: Tupac Shakur", "d:Description": "Features music video clips, song samples, album reviews, and your chance to own a copy of Tupac's collected poetry.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac", "url": "http://www.mtv.com/artists/2pac/"} +{"d:Title": "IMDb: Tupac Shakur", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac", "url": "http://www.imdb.com/name/nm0000637/"} +{"d:Title": "Bobby's Tupac and Rap Site", "d:Description": "A brief fan site that lists a couple of reason why Tupac might still be alive. Plus some links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.angelfire.com/ct2/rapnflsite/index.html"} +{"d:Title": "Gone But Not Forgotten", "d:Description": "Shakur, Tupac- Includes links, pictures, and a guestbook", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.angelfire.com/pa2/pacavelli/index.html"} +{"d:Title": "Pac'z Life", "d:Description": "A Tupac Shakur fan page with news, fan lyrics, speculation on his death, list of tribute songs, and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.angelfire.com/fl2/lesanepcrooks/index.html"} +{"d:Title": "Tupac Shakur Information", "d:Description": "Contains information on the late rapper's life and music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://tupac_a_shakur.tripod.com/2pac/"} +{"d:Title": "The Tupac Page", "d:Description": "A brief fan page with pictures, lyrics, and information on Tupac Shakur and his final week.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.angelfire.com/ut/rusellsworld/index.html"} +{"d:Title": "2Pac2K - Representing Tupac Shakur", "d:Description": "Offers news, biography, discography, photos, lyrics, articles and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.2pac2k.de/"} +{"d:Title": "Keeping the Memory Alive", "d:Description": "Includes a biography, lyrics, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.angelfire.com/ga/onlygod/index.html"} +{"d:Title": "2 Pac Memorial Website.", "d:Description": "Includes mp3, videos, pictures, and theories.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://tu_pac_ws.tripod.com/mainpage.htm"} +{"d:Title": "Tupac-Online.com", "d:Description": "2Pac fan resource with information, audio, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.tupac-online.com/"} +{"d:Title": "Tupac.be", "d:Description": "Information on his life and work, pictures, news and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.tupac.be/"} +{"d:Title": "Tupac Was and Still Is the Realest", "d:Description": "Fan site with audio clips, lyrics, pictures, poems, Mutulu's letters, and various theories.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shakur,_Tupac/Fan_Pages", "url": "http://www.tupacwastherealest.com/"} +{"d:Title": "Unofficial Shamen Discography", "d:Description": "Listing of releases by the band, including lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shamen,_The", "url": "http://cathedral.then.net/shamen"} +{"d:Title": "Chaos Control: The Shamen", "d:Description": "An interview with Colin Angus, conducted at the time of their \"Boss Drum\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shamen,_The", "url": "http://www.chaoscontrol.com/?article=shamen"} +{"d:Title": "DelShannon.com", "d:Description": "Official source for the latest news and information on the \"Runaway\" legend. Includes a biography, discography, photos, audio files, fan club and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shannon,_Del", "url": "http://www.delshannon.com/"} +{"d:Title": "History of Rock: Del Shannon", "d:Description": "Profile with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shannon,_Del", "url": "http://www.history-of-rock.com/del_shannon.htm"} +{"d:Title": "Del Shannon Rock On", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shannon,_Del", "url": "http://spacebeagle.tripod.com/delshannon.html"} +{"d:Title": "Rock and Roll Hall of Fame: Del Shannon", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shannon,_Del", "url": "http://rockhall.com/inductees/del-shannon/"} +{"d:Title": "The Official Bree Sharp Website", "d:Description": "Includes news, tour dates, biography, photos and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sharp,_Bree", "url": "http://www.breesharp.com/"} +{"d:Title": "Yahoo Clubs: Bree Sharp", "d:Description": "Where fans meet, greet, and discuss news and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sharp,_Bree", "url": "http://groups.yahoo.com/group/breesharp2/"} +{"d:Title": "All Music Guide: Ken Sharp", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sharp,_Ken", "url": "http://www.allmusic.com/artist/ken-sharp-mn0000078413"} +{"d:Title": "A Place to Call My Own", "d:Description": "Personal reflections on the man and his music plus fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shaw,_Tommy", "url": "http://tommyshaw.s5.com/"} +{"d:Title": "Yahoo Groups: Son of Pan", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shaw,_Tommy", "url": "http://groups.yahoo.com/group/sonofpan"} +{"d:Title": "Crystal Ball", "d:Description": "Official site. Includes news, photographs, audio clips, biographies and letters.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shaw,_Tommy", "url": "http://www.tommyshaw.net/"} +{"d:Title": "Guitar Player: Tommy Shaw", "d:Description": "Reprint of an interview by Jim Schwartz.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shaw,_Tommy/Articles_and_Interviews", "url": "http://www.racerrecords.com/Styx/GPIntTS.html"} +{"d:Title": "The Justice: Tommy Shaw - Damn Straight", "d:Description": "Annotated reprint of an article from the weekly student newspaper of Brandeis University.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shaw,_Tommy/Articles_and_Interviews", "url": "http://www.styxcollector.com/tsart92.html"} +{"d:Title": "ICE Magazine: Styx Fix", "d:Description": "Reprint of a review of the remastered releases the Girls With Guns and What If albums on CD.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shaw,_Tommy/Articles_and_Interviews", "url": "http://www.waterdogmusic.com/artists/tommyshaw/ice.html"} +{"d:Title": "Forever More", "d:Description": "A romantic novel by Rosemary Starn with a lead character based on Tommy Shaw and Styx.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shaw,_Tommy/Fan_Fiction", "url": "http://www.angelfire.com/mi2/mothersmemories/romance.html"} +{"d:Title": "Duncan 4-Ever", "d:Description": "Duncan pictures, links, biography, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sheik,_Duncan", "url": "http://members.tripod.com/~duncansheik/"} +{"d:Title": "House Full of Riches", "d:Description": "Includes news, the DS webring, audio samples, pictures, chat, articles, and album covers. Also get a duncansheik.i-p.com e-mail address.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sheik,_Duncan", "url": "http://duncansheik.faithweb.com/"} +{"d:Title": "Duncan Sheik", "d:Description": "Official Victor Records site with news, tour dates, discography, videos, pictures and projects.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sheik,_Duncan", "url": "http://www.duncansheik.com/"} +{"d:Title": "Metacritic: Phantom Moon", "d:Description": "Links to multiple critic and user reviews for the \"Phantom Moon\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sheik,_Duncan", "url": "http://www.metacritic.com/music/phantom-moon/duncan-sheik"} +{"d:Title": "Official Sheila-E Website", "d:Description": "Official homepage. Includes tour dates, news, photos, biography, shopping, links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sheila_E.", "url": "http://www.sheilae.com/"} +{"d:Title": "All Music Guide: Sheila E", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sheila_E.", "url": "http://www.allmusic.com/artist/sheila-e-mn0000019953"} +{"d:Title": "Gregg's Unofficial Vonda Shepard Page", "d:Description": "Includes pictures, discography, television appearances, tour dates, biography, FAQ, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shepard,_Vonda", "url": "http://members.tripod.com/gwagener/vonda.html"} +{"d:Title": "Yahoo Groups: Vonda Shepard Club", "d:Description": "Online club with over 300 members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shepard,_Vonda", "url": "http://launch.groups.yahoo.com/group/vondashepardfanclub/"} +{"d:Title": "Vonda Shepard", "d:Description": "Official site includes discography, tour dates, news. and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shepard,_Vonda", "url": "http://www.vondashepard.com/"} +{"d:Title": "Bethanie's KWS Band Page", "d:Description": "Band information, lyrics, pictures, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shepherd,_Kenny_Wayne", "url": "http://members.tripod.com/kwsband/"} +{"d:Title": "Harry's Blues Lyrics Online", "d:Description": "Fan site with lyrics and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shepherd,_Kenny_Wayne", "url": "http://blueslyrics.tripod.com/artistswithsongs/kenny_wayne_shepherd_index.htm"} +{"d:Title": "The Kenny Wayne Shepherd Band Information Center", "d:Description": "Official site of the blues-rock guitarist. Band information, discography, equipment information, tour and TV dates, shopping, a/v clips, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shepherd,_Kenny_Wayne", "url": "http://www.kennywayneshepherd.net/"} +{"d:Title": "Milesago: Sherbet", "d:Description": "Includes band biography, references, photos, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sherbet", "url": "http://www.milesago.com/Artists/sherbet.htm"} +{"d:Title": "Uncarved: Adrian Sherwood", "d:Description": "Interview with Gregory Whitfield from 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sherwood,_Adrian", "url": "http://www.uncarved.org/dub/onu/onu.html"} +{"d:Title": "On-U Sound in the Area: Adrian Sherwood Discography", "d:Description": "Discography and remoxography from a fan site for his label.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sherwood,_Adrian", "url": "http://www.skysaw.org/onu/discography/adriansherwooddiscog.html"} +{"d:Title": "On U Sound: Adrian Sherwood", "d:Description": "Profile of the producer, from a fan site for his record label.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sherwood,_Adrian", "url": "http://www.tt.net/onusound/sherwood.html"} +{"d:Title": "Tackhead: Adrian Sherwood", "d:Description": "Photos, discography, and profile from the official Tackhead website.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Sherwood,_Adrian", "url": "http://www.tackhead.com/soloheads/adriansherwood/"} +{"d:Title": "Wikipedia: She Moves", "d:Description": "Article with discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/She_Moves", "url": "http://en.wikipedia.org/wiki/She_Moves"} +{"d:Title": "She Moves", "d:Description": "Pop group. Biography, photographs, MP3 audio, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/She_Moves", "url": "http://www.soundclick.com/members/default.cfm?member=shemoves"} +{"d:Title": "Shihad", "d:Description": "News, song samples, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shihad", "url": "http://www.shihad.com/"} +{"d:Title": "Shinedown", "d:Description": "Official site. Provides tour information, news, journal, lyrics, message board, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shinedown", "url": "http://www.shinedown.com/"} +{"d:Title": "Shinedown", "d:Description": "Fan site features news, biographies, discography, lyrics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shinedown", "url": "http://shinedown.net/"} +{"d:Title": "Anime Lyrics: Shinohara Tomoe", "d:Description": "Romaji lyrics to many songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shinohara,_Tomoe", "url": "http://www.animelyrics.com/jpop/shinohra/"} +{"d:Title": "History of Rock: The Shirelles", "d:Description": "History of the group, with photos", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shirelles,_The", "url": "http://www.history-of-rock.com/shirelles.htm"} +{"d:Title": "Rock and Roll Hall of Fame: The Shirelles", "d:Description": "Biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shirelles,_The", "url": "http://rockhall.com/inductees/the-shirelles/"} +{"d:Title": "All Music Guide: The Shirelles", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shirelles,_The", "url": "http://www.allmusic.com/artist/p5414"} +{"d:Title": "Michelle Shocked survives her share of jolts", "d:Description": "A short article-interview at ect.org.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shocked,_Michelle", "url": "http://www.ect.org/news/michelle.html"} +{"d:Title": "ArtistDirect: Michelle Shocked", "d:Description": "Profile, links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shocked,_Michelle", "url": "http://www.artistdirect.com/artist/michelle-shocked/492633"} +{"d:Title": "Ectophiles' Guide on Michelle Shocked", "d:Description": "This short page on Shocked includes brief commentary and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shocked,_Michelle", "url": "http://ectoguide.org/guide.cgi?artists/shocked.michelle"} +{"d:Title": "Nederpop Encyclopedia: Shocking Blue", "d:Description": "A biography and discography of Shocking Blue.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shocking_Blue", "url": "http://www.alexgitlin.com/shocking.htm"} +{"d:Title": "Shonen Knife Mini-Comic", "d:Description": "\"The Night I Almost Met Shonen Knife\" and live concert pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shonen_Knife", "url": "http://www.sentex.net/~sardine/shonen.knife.html"} +{"d:Title": "Perfect Sound's Shonen Knife Interview", "d:Description": "May 1997 interview with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shonen_Knife", "url": "http://www.furious.com/perfect/shonenknife.html"} +{"d:Title": "Koosh Koosh Kiki's Hoopla", "d:Description": "Shonen Knife pictures, information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shonen_Knife", "url": "http://www.angelfire.com/ky/kooshkooshkiki/"} +{"d:Title": "Shonen Knife World", "d:Description": "Pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shonen_Knife", "url": "http://www.asahi-net.or.jp/~VU4M-UEN/"} +{"d:Title": "Ink Nineteen: Shonen Knife", "d:Description": "Article dealing with the interviewers account of a night on the town with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shonen_Knife", "url": "http://www.ink19.com/issues_F/98_10/live_ink/shonen_knife_nf.html"} +{"d:Title": "Music Square", "d:Description": "News, discography, games, song reviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shonen_Knife", "url": "http://www010.upp.so-net.ne.jp/shonenknife/engindex.htm"} +{"d:Title": "Electronic Music's New Globalism", "d:Description": "Review of \"Dancing Drums,\" including interview with Shri", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shri", "url": "http://www.metroactive.com/papers/sfmetro/05.24.99/electronic-9919.html"} +{"d:Title": "Shriekback Digital Conspiracy", "d:Description": "Tribute site stressing multi-media, news, discography, interviews, articles, member's other projects, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shriekback", "url": "http://www.shriekback.com/"} +{"d:Title": "The Shriekback Index Page", "d:Description": "Fan site includes news, discography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shriekback", "url": "http://www.cleartrails.com/"} +{"d:Title": "The Shroud", "d:Description": "Official site of for the gothic-rock band. Discography, photos, interviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sh/Shroud,_The", "url": "http://www.theshroud.com/"} +{"d:Title": "Sick on the Bus", "d:Description": "UK punk rock band. Photos, history, tour news, reviews, MP3s, profiles, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.sickonthebus.com/"} +{"d:Title": "Simien, Terrance", "d:Description": "News, biography, tour dates, reviews, photos, and merchandise information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.terrancesimien.com/"} +{"d:Title": "Siler, Mike", "d:Description": "Country artist. Biography, pictures, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.mikesiler.com/"} +{"d:Title": "Side Effect", "d:Description": "South Chicago band. Includes a biography, news, show dates and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.angelfire.com/band/sideeffect/"} +{"d:Title": "Sidran, Ben", "d:Description": "Official site for the musician/producer. Includes biography, discography, photos, links and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://bensidran.com/"} +{"d:Title": "Sievil", "d:Description": "A site dedicated to the rock band from Brisbane, Australia. All members of Sievil are under 18 years old.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.angelfire.com/band/sievil/"} +{"d:Title": "Siglotreinta", "d:Description": "Jazz band from Argentina. History, discography, and reviews. In English and Spanish.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.siglotreinta.com.ar/"} +{"d:Title": "Signal Hill", "d:Description": "Halifax-based Maritime vocal acoustic group.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.signalhill.ca/"} +{"d:Title": "Signal, The", "d:Description": "Rock band from San Francisco, CA. Includes news, MP3 samples, reviews and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.thesignal.net/"} +{"d:Title": "Silent Cry", "d:Description": "Progressive rock from Western MA. Profiles, contact information, sounds, images, tour dates, set lists, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://silentcrymusic.tripod.com/"} +{"d:Title": "Silly Pillows, The", "d:Description": "History of the indie-pop band (1986-2000), including discography and a video clip.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://home.epix.net/~hce/sillypillows.html"} +{"d:Title": "Silva, Robertinho", "d:Description": "Biography and song clips from this Brazilian drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://brazilianmusic.com/rsilva/"} +{"d:Title": "Silva, Todd", "d:Description": "Houston based songwriter of inspirational 6-string and 12-string acoustic guitar and vocal music. Includes show dates and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://sagetone.com/"} +{"d:Title": "Simon, John", "d:Description": "Record producer, songwriter, performer, and composer, offers free sound clips and lyric sheets of his work, as well as some hard to find music by various artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.johnsimonmusic.net/"} +{"d:Title": "Sindicate", "d:Description": "Fan site of Southern New Hampshire-based band. Includes live concert pictures, and band profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.angelfire.com/band2/sindicate/"} +{"d:Title": "Sinfield, Peter", "d:Description": "Official site of the poet, lyricist, and musician. Includes Promenade the Puzzle, an exploration of the lyrical, pictorial and conceptual metaphors of Peter's work with King Crimson and beyond.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.songsouponsea.com/"} +{"d:Title": "Sinister", "d:Description": "Extreme and aggressive deathmetal.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.members.tripod.com/xreatures/"} +{"d:Title": "Sinkcharmer", "d:Description": "Indie folk pop artist from Quincy, Massachusetts. Biography, music samples, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://sinkcharmer.com/home.html"} +{"d:Title": "Siren", "d:Description": "A rock band from Portsmouth, Ohio that is spreading the message of Jesus Christ through loud Christian music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.angelfire.com/sk/dusty/"} +{"d:Title": "Siris", "d:Description": "Official site of music duo of Michael and Patrick Maley. Includes news, music, member profiles, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.sirismusic.com/"} +{"d:Title": "Sista Matic", "d:Description": "Lyrics and pictures for the Southern Rhode Island band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.angelfire.com/ri/sistamatic/"} +{"d:Title": "Sister 7", "d:Description": "Band information, and event schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.sister7.com/"} +{"d:Title": "Sister Honey Child", "d:Description": "Silly music written by a hand-full of bizarre New Hampshire natives using Multiquence and Cool Edit.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://sisterhoneychild.iwarp.com/"} +{"d:Title": "Sister Machine Gun", "d:Description": "Official site of the Chicago industrial act features biography, discography with sound files, photos, and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.sistermachinegun.com/"} +{"d:Title": "Sisto, Dick", "d:Description": "Jazz musician from Chicago (currently performing in Kentucky). Biography, performance schedule, and a discography with WAV files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.angelfire.com/music2/dicksisto/index.html"} +{"d:Title": "Six Ways From Sunday", "d:Description": "Emo rock band from Connecticut.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.sixwaysfromsunday.8k.com/"} +{"d:Title": "Sideways, The", "d:Description": "Basement rock band from the suburbs ofNew Jersey, influenced by the likes of Built to Spill. Features contact information, show details, background information, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.angelfire.com/rock/thesideways/"} +{"d:Title": "Singing Nun, The", "d:Description": "Born Jeannine Decker and known as Soeur Sourire. Biography, pictures and lyrics of the nun who had a number one hit with Dominique.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://deckers66.homestead.com/"} +{"d:Title": "Sippolan, Laura-Liina", "d:Description": "Singer, composer, and pianist based in Helsinki, Finland. Includes biography, photographs, and mp3 samples of her music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.laurasippola.com/"} +{"d:Title": "Sin with Sebastian", "d:Description": "Euro-dance star from Germany. News, pictures, video and press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.sinwithsebastian.de/"} +{"d:Title": "Siv", "d:Description": "Punk band from Sudbury, Canada; lyrics, biographies, contests, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://bobbyjames.tripod.com/"} +{"d:Title": "Simply English", "d:Description": "Simply English is a duo that plays pure English folk music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://simplyenglish.andyrouse.com/"} +{"d:Title": "Siegel, Jay and the Tokens", "d:Description": "Doo-wop group from Brooklyn, New York. Includes biography, tour dates, news, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.jaysiegelandthetokens.com/"} +{"d:Title": "Singing Echoes, The", "d:Description": "Family gospel act from Tennessee. Tour dates and journal, anniversary singing event details, pictures, and individual and group biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.singingechoes.com/"} +{"d:Title": "Silent Alliance", "d:Description": "Guitar-driven dance-pop band from London. Includes gig listings, news, blog, and booking and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.silent-alliance.net/"} +{"d:Title": "Silhouettes, The", "d:Description": "History and discography of the 1950s Philadelphia doo-wop and soul group, along with lyrics, articles and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.thesilhouettes.org/"} +{"d:Title": "Siegelhead", "d:Description": "Viking novelty pop duo; includes show dates, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.siegelhead.com/"} +{"d:Title": "Sings Wolf, Bunny", "d:Description": "Lakota-inflected folk singer-songwriter from the Black Hills of South Dakota. Biography, album information, calendar, pictures and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://bunnysingswolf.com/"} +{"d:Title": "Singer, John", "d:Description": "Master shakuhachi teacher, performer, recording artist specializing in Honkyoku. Includes the history of the Nezasa Ha Kinpu Ryu and a list of the pieces, photo gallery of flutes, CD ordering, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.zenflute.com/"} +{"d:Title": "Singleton, Chris", "d:Description": "Dublin singer-songwriter. Offers audio downloads, images, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.chrissingletonmusic.com/"} +{"d:Title": "Simple Harmony", "d:Description": "Vocal trio from western Ohio. Profile, events, pictures and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.simple-harmony.com/"} +{"d:Title": "Silk Demise, The", "d:Description": "Trip-hop group based in Toronto. Biography, audio, video, images, lyrics, blog and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://www.thesilkdemise.com/"} +{"d:Title": "Silky Ray Band, The", "d:Description": "Rhythm and blues band from Columbus, Ohio. News, biography, show dates, videos, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si", "url": "http://silkyrayband.com/"} +{"d:Title": "No Borders Here", "d:Description": "Unofficial Jane Siberry site including a biography, discography, lyrics, audio, articles and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Siberry,_Jane", "url": "http://www.smoe.org/nbh/"} +{"d:Title": "Canoe.ca: Jane Siberry", "d:Description": "Includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Siberry,_Jane", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/S/Siberry_Jane.html"} +{"d:Title": "Sigue Sigue Sputnikworld", "d:Description": "The official site with news, tour information, photo gallery, videos, MP3s, lyrics, and fanzines.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sigue_Sigue_Sputnik", "url": "http://www.sputnikworld.com/"} +{"d:Title": "21st Century Boys", "d:Description": "Retrospective on Sigue Sigue Sputnik, featuring pictures and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sigue_Sigue_Sputnik", "url": "http://www.apeculture.com/sputnik.htm"} +{"d:Title": "Sigur R\u00f3s", "d:Description": "Official site. Band news, audio/video clips, discography, and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sigur_R\u00f3s", "url": "http://www.sigur-ros.co.uk/"} +{"d:Title": "Popplagid", "d:Description": "Latest news, live recordings, discography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sigur_R\u00f3s", "url": "http://www.popplagid.com/"} +{"d:Title": "Official Sigur Ros Feeds", "d:Description": "Official latest news directly from the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sigur_R\u00f3s", "url": "http://www.sigur-ros.co.uk/news/?feed=rss2"} +{"d:Title": "Andy M. Stewart", "d:Description": "Scottish singer/songwriter and former member of Silly Wizard. Includes a biography, photos, tour dates and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silly_Wizard", "url": "http://www.andymstewart.com/"} +{"d:Title": "ARTISTdirect.com: Silly Wizard", "d:Description": "Includes a brief biography, links and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silly_Wizard", "url": "http://www.artistdirect.com/artist/silly-wizard/493160"} +{"d:Title": "All Music Guide: Silly Wizard", "d:Description": "Contains biography, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silly_Wizard", "url": "http://www.allmusic.com/artist/silly-wizard-p844"} +{"d:Title": "chairpage.com", "d:Description": "Official site includes the latest news, tour reports, tablature, lyrics, audio and video files, photos, and fan club information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair", "url": "http://www.chairpage.com/"} +{"d:Title": "RollingStone.com: Silverchair", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair", "url": "http://www.rollingstone.com/music/artists/silverchair"} +{"d:Title": "MTV: Silverchair", "d:Description": "News, reviews, downloads, biography, discography, links, and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair", "url": "http://www.mtv.com/artists/SILVERCHAIR/"} +{"d:Title": "Hiding in the Shade", "d:Description": "Includes a mailing list and web ring for Silverchair.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Chats_and_Forums", "url": "http://www.angelfire.com/sc/12345678911234567890/"} +{"d:Title": "Abuse The Freaks", "d:Description": "Includes survey, story, sounds, history, and lyrics of most Silverchair songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://members.tripod.com/~AbuseTheFreaks/"} +{"d:Title": "Dead Roses", "d:Description": "Includes profiles, quotes, concert reviews, interviews, and articles relating to the group.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/il/volcanogrrrl/"} +{"d:Title": "silverchair.nu", "d:Description": "Up-to-date media and song archives under a very nice layout.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.silverchair.nu/"} +{"d:Title": "Satin Princess", "d:Description": "Show list, images, lyrics, articles, quotes, poll, band history, and links. Site is in English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/mt/ozcats/silverchair.html"} +{"d:Title": "Sharpened Nails", "d:Description": "Lyrics, profiles, tour dates, links, news, and information on the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/band/chairSharpenedNails/index.html"} +{"d:Title": "The Silverchair Club", "d:Description": "Chat room, pictures, biographies, merchandise, Winamp skins, lyrics, guitar tablature, news, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/mi3/silverchair/enter.html"} +{"d:Title": "Silverchair's Paint Pastel Princess", "d:Description": "News, images, profiles, concert reviews, awards, links and games. Also includes many pictures of the band and of individual band members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/md/silverchair8/"} +{"d:Title": "Meagen's Silverchair Page", "d:Description": "Lyrics, links, pictures, audio/video, tablature, news, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/mo/silverCHAIR/"} +{"d:Title": "Silverchair Stuff", "d:Description": "Biographies, photos, quotes, and news about the band and individual band members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/music/forusrejects/silverchairstuff.htm"} +{"d:Title": "silverchair in Newcastle", "d:Description": "Photographs and commentary on the ABC TV documentary about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.angelfire.com/music3/newcastle/"} +{"d:Title": "Interview of Chris Joannou", "d:Description": "An interview with the bassist of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silverchair/Fan_Pages", "url": "http://www.dropd.com/issue/44/Silverchair/"} +{"d:Title": "Drag City: Silver Jews", "d:Description": "The record label's page for the band. Includes a discography and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silver_Jews", "url": "http://www.dragcity.com/artists/silver-jews"} +{"d:Title": "Peek-A-Boo: Silver Scooter", "d:Description": "Photos, discography, song samples, tours, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silver_Scooter", "url": "http://www.peekaboorecords.com/bands/silver/"} +{"d:Title": "The Austin Chronicle: Silver Scooter - The Blue Law", "d:Description": "Michael Chamy:'s review: \"the bass-propelled Joy Division-like nervous energy has morphed into a New Order-like dense, dreamy atmosphere and renewed commitment to the perfect pop song.\" Rated 3 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Silver_Scooter/Reviews/Blue_Law,_The", "url": "http://www.austinchronicle.com/music/2001-03-02/silver-scooter-the-blue-law/"} +{"d:Title": "Carly Simon", "d:Description": "Official site. Featuring news, a discography and a bibliography of Simon's children's books, a timeline written by C. Simon and an \"Ask Carly\" section.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Carly", "url": "http://www.carlysimon.com/"} +{"d:Title": "Record Reviews", "d:Description": "Reviews of Carly Simon's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Carly", "url": "http://www.warr.org/carly.html"} +{"d:Title": "How Lyrics Work", "d:Description": "An article by Carly Simon. She discusses the art of combining music with words, regarding the difficulties and challenges in the process.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Carly", "url": "http://www.doubletakemagazine.org/articles/html/simon/"} +{"d:Title": "The Neck of My Guitar", "d:Description": "Includes articles, discography, photo galleries, video, open biography, concerts with user submitted reviews, chat, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.paul-simon.info/"} +{"d:Title": "Brad's Paul Simon Guitar Tablature Page", "d:Description": "Tablature, plus details of Simon's guitar tuning.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.bradpriddy.com/paul_simon/"} +{"d:Title": "Paul Simon: King of the Jews", "d:Description": "Analysis of the artist's more popular songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.morethings.com/music/paul_simon"} +{"d:Title": "The Paul Simon Pages", "d:Description": "News, forum, polls and an extra page about The Capeman", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.pspages.nl/"} +{"d:Title": "Paul Simon News: Topix", "d:Description": "News about Paul Simon continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.topix.com/who/paul-simon"} +{"d:Title": "The Colors Of Paul Simon", "d:Description": "Flash site with some videos and pictures", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.colorsofpaul.com/"} +{"d:Title": "Paulsimon.com", "d:Description": "The official Paul Simon site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.paulsimon.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Paul Simon", "d:Description": "Ratings and analysis of his solo albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://sfloman.com/paulsimon.html"} +{"d:Title": "Rock and Roll Hall of Fame: Paul Simon", "d:Description": "Inductee profile, bibliography, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://rockhall.com/inductees/paul-simon/"} +{"d:Title": "All Music Guide: Paul Simon", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.allmusic.com/artist/paul-simon-p5433"} +{"d:Title": "Rollingstone.com: Paul Simon", "d:Description": "Includes biography, discography, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.rollingstone.com/music/artists/paul-simon"} +{"d:Title": "MTV: Paul Simon", "d:Description": "Biography, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.mtv.com/artists/paul-simon-1/"} +{"d:Title": "Metacritic: You're The One", "d:Description": "Multiple critic and user reviews for You're The One by Paul Simon.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon,_Paul", "url": "http://www.metacritic.com/music/youre-the-one/paul-simon"} +{"d:Title": "Nina Simone", "d:Description": "Jazz singer, pianist, songwriter. Biography, discography, and related news. Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simone,_Nina", "url": "http://www.ninasimone.com/"} +{"d:Title": "The Eunice Waymon Birthplace", "d:Description": "Web log about the house in Tryon, North Carolina where the legendary singer was born and raised. Includes photos of restoration efforts.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simone,_Nina", "url": "http://eunicewaymonbirthplace.blogs.com/"} +{"d:Title": "Nina Simone at Verve Records", "d:Description": "Profile and discography with sound clips from her record label.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simone,_Nina", "url": "http://www.vervemusicgroup.com/ninasimone"} +{"d:Title": "All Music Guide: Nina Simone", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simone,_Nina", "url": "http://www.allmusic.com/artist/nina-simone-mn0000411761"} +{"d:Title": "The Nina Simone Database", "d:Description": "Unofficial web site with biography, chronology, interviews, articles, songs, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simone,_Nina", "url": "http://www.boscarol.com/ninasimone/"} +{"d:Title": "BBC News: Jazz Legend Simone Dies", "d:Description": "Legendary jazz and blues singer Nina Simone has died at the age of 70, at her home in southern France.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simone,_Nina", "url": "http://news.bbc.co.uk/2/hi/entertainment/2965221.stm"} +{"d:Title": "My Old Friends", "d:Description": "Site by a Canadian fan.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel", "url": "http://www.angelfire.com/la/SimonGarfunkel/"} +{"d:Title": "Simon&Garfunkel official site", "d:Description": "Tour dates, news, albums, merchandise, and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel", "url": "http://www.simonandgarfunkel.com/"} +{"d:Title": "Song For The Asking", "d:Description": "News, biography, and tabs from the group's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel", "url": "http://www.songfta.com/"} +{"d:Title": "Topix: Simon and Garfunkel", "d:Description": "News about Simon and Garfunkel, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel", "url": "http://www.topix.com/rss/who/simon-garfunkel.xml"} +{"d:Title": "Rock and Roll Hall of Fame: Simon and Garfunkel", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel", "url": "http://rockhall.com/inductees/simon-and-garfunkel/"} +{"d:Title": "The Simon and Garfunkel Lyrics Archive", "d:Description": "Contains lyrics for all Simon and Garfunkel albums from 1964-2000, plus reviews, MIDIs, and screensavers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel", "url": "http://sglyrics.myrmid.com/"} +{"d:Title": "Brad's Paul Simon Guitar Tablature Page", "d:Description": "by Brad Priddy", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel/Guitar_Tablature", "url": "http://www.bradpriddy.com/paul_simon/"} +{"d:Title": "Simon And Garfunkel Tabs", "d:Description": "An alphabetic listing of tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_and_Garfunkel/Guitar_Tablature", "url": "http://www.guitaretab.com/s/simon-and-garfunkel/"} +{"d:Title": "Simon Apple", "d:Description": "Official site. Includes history, music, tour dates, photos, audio, chat, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_Apple", "url": "http://www.simonapple.com/"} +{"d:Title": "Through Different Eyes: Simon Apple", "d:Description": "From the Toybox album review by Magnus Florin.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_Apple", "url": "http://home.swipnet.se/tde/lb/simon_apple/from_the_toybox.html"} +{"d:Title": "Simon Says", "d:Description": "Features discography, pictures, lyrics, audio samples, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_Says", "url": "http://members.tripod.com/~sayssimon/"} +{"d:Title": "Simon Says", "d:Description": "Includes biography, photos, tour dates, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simon_Says", "url": "http://members.tripod.com/~cymonsays/"} +{"d:Title": "Simple Plan", "d:Description": "Official site provides member profiles, audio samples, photos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simple_Plan", "url": "http://www.simpleplan.com/"} +{"d:Title": "MTV.com: Simple Plan", "d:Description": "Includes news, biography, tour dates, discography, audio and videos, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simple_Plan", "url": "http://www.mtv.com/artists/simple-plan/"} +{"d:Title": "All the Jessica Simpson Links in the World", "d:Description": "Large listing of links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica", "url": "http://mylordisjesus.tripod.com/AtJSLitW/"} +{"d:Title": "Jessica Simpson News: Topix", "d:Description": "News about Jessica Simpson from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica", "url": "http://www.topix.com/who/jessica-simpson"} +{"d:Title": "All Music Guide: Jessica Simpson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica", "url": "http://www.allmusic.com/artist/jessica-simpson-p162028"} +{"d:Title": "AskMen.com: Jessica Simpson", "d:Description": "Pictures, profile, and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica", "url": "http://www.askmen.com/celebs/women/singer/22_jessica_simpson.html"} +{"d:Title": "MTV: Jessica Simpson", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica", "url": "http://www.mtv.com/artists/jessica-simpson/"} +{"d:Title": "CANOE: Jessica Simpson", "d:Description": "Collection of articles and reviews from Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica", "url": "http://jam.canoe.com/Music/Artists/S/Simpson_Jessica/"} +{"d:Title": "Ultimate Jessica Simpson Fan Page", "d:Description": "Site with updated news, schedule and a large photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica/Fan_Pages", "url": "http://www.angelfire.com/music/JessicaS/"} +{"d:Title": "Jessica Simpson Music", "d:Description": "Fan site with videos, lyrics, pictures, wallpaper, biography, news and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica/Fan_Pages", "url": "http://www.jessicasimpsonmusic.net/"} +{"d:Title": "Simpson Fan", "d:Description": "Contains a biography, discography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica/Fan_Pages", "url": "http://www.simpsonfan.com/"} +{"d:Title": "UKMIX: Jessica Simpson - Irresistible", "d:Description": "Gerard Eskdale's review: \"On the whole, the album is more uptempo than her debut effort, but still has two or three excellent ballads.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica/Reviews", "url": "http://www.ukmix.org/reviews/release.php?release_id=939"} +{"d:Title": "BBC: Jessica Simpson - Irresistible", "d:Description": "Peter Marsh's review: \"It's what you would expect - a mix of Britney, Christina, Mariah and even Celine\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Simpson,_Jessica/Reviews", "url": "http://www.bbc.co.uk/music/reviews/5czf"} +{"d:Title": "Frank Sinatra, Jr. Kidnapping", "d:Description": "FBI history of the 1963 kidnapping of Sinatra Jr.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Frank,_Jr.", "url": "https://www.fbi.gov/history/famous-cases/frank-sinatra-jr-kidnapping"} +{"d:Title": "Nancy Sinatra Refrigerator Magnets", "d:Description": "Neat novelty items. Featuring one of Nancy in her go-go boots and one in pink bikini.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://www.fridgedoor.com/fridgedoor/nansinmag.html"} +{"d:Title": "The Hit Years", "d:Description": "Album review.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://www.cardhouse.com/g/tunes/sinatra.htm"} +{"d:Title": "Yahoo Groups: Nancy Sinatra Mailing List", "d:Description": "For fans of Nancy Sinatra to discuss all aspects of her life and career.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://groups.yahoo.com/group/nancysinatra/"} +{"d:Title": "Plans for a National American Music Museum in Washington, DC", "d:Description": "Nancy Sinatra is one of the \"cultural leaders\" at the press conference announcing plans for the new museum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://www.washingtonpost.com/wp-srv/style/museums/features/musicmuseum980709.htm"} +{"d:Title": "Nancy Sinatra Club", "d:Description": "Club for discussing news and views on Nancy.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://groups.yahoo.com/group/nancysinatra2/"} +{"d:Title": "Nancy Pulls Off 'Boots' At Fillmore", "d:Description": "Concert review by Joel Selvin of the San Jose Mercury News. From the tone of the article, it seems the reviewer didn't want to enjoy the show, but he did.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/1995/06/30/DD27053.DTL"} +{"d:Title": "Elvis' Women: Nancy Sinatra", "d:Description": "Profile, links and images.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://elviswomen.greggers.net/sinatranancy.htm"} +{"d:Title": "All Music Guide: Nancy Sinatra", "d:Description": "Contains discography, biography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://www.allmusic.com/artist/nancy-sinatra-mn0000366407"} +{"d:Title": "Nancy Sinatra - Internet Movie Database", "d:Description": "Filmography and TV appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sinatra,_Nancy", "url": "http://www.imdb.com/name/nm0005434/"} +{"d:Title": "ArtistDirect: Talvin Singh", "d:Description": "Short profile and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Singh,_Talvin", "url": "http://www.artistdirect.com/artist/talvin-singh/493662"} +{"d:Title": "Siouxsie And The Banshees", "d:Description": "Discography, pictures, lyrics, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Siouxsie_and_the_Banshees", "url": "http://www.vamp.org/Siouxsie/"} +{"d:Title": "Siouxsie and the Banshees - The Creatures", "d:Description": "News, images, mp3s, videos, discography, articles, multimedia, midis, desktop theme, tour dates, bootlegs, interviews, RealAudio, reviews, lyrics, trivia, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Siouxsie_and_the_Banshees", "url": "http://www.untiedundone.com/"} +{"d:Title": "Siouxsie and the Banshees on Mital-U", "d:Description": "History, discography, photos, and book/fanzine information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Siouxsie_and_the_Banshees", "url": "http://www.mital-u.ch/Siouxsie/"} +{"d:Title": "Siouxsie and the Banshees album reviews", "d:Description": "Discography, album reviews and ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Siouxsie_and_the_Banshees", "url": "http://musicfolio.com/modernrock/siouxsie_banshees.html"} +{"d:Title": "Sir Mix a Lot", "d:Description": "Includes lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sir_Mix-A-Lot", "url": "http://members.tripod.com/~asak/index.html"} +{"d:Title": "Sisqo Dru Sexy", "d:Description": "Includes facts about sisqo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisqo", "url": "http://members.tripod.com/~LiL_Trigg/sisqo.html"} +{"d:Title": "MTV: Sisqo", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisqo", "url": "http://www.mtv.com/artists/SISQO/"} +{"d:Title": "Sissel official site", "d:Description": "Official site with news, events, discography, tour dates, merchandise, music, photos and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sissel", "url": "http://www.sissel.net/"} +{"d:Title": "Head and Star Fanzine", "d:Description": "The longest existing German fanzine dedicated to The Sisters of Mercy. (Some issues also in English.)", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://head-and-star.tsom.org/"} +{"d:Title": "Sisters of Mercy - The Official Site", "d:Description": "Offers information, pictures, interviews and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://www.the-sisters-of-mercy.com/"} +{"d:Title": "Hallucienate's The Sisters Of Mercy Page", "d:Description": "Trades, lyrics, links, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://www.lak.co.za/sisters"} +{"d:Title": "The Sisters of Mercy Tours", "d:Description": "All the news on tours past and present.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://tours.tsom.org/"} +{"d:Title": "The Story of Your Favorite Rock'n'Roll Band", "d:Description": "An illustrated 'history' of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://www.niksula.cs.hut.fi/~jsorva/comic/"} +{"d:Title": "Glasperlenspiel", "d:Description": "The Sisters Of Mercy, Fanzine.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://www.gps.tsom.org/"} +{"d:Title": "Gary Marx", "d:Description": "Sisters of Mercy founding member and guitarist. Information about his new album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://www.garymarx.com/"} +{"d:Title": "Heartland", "d:Description": "Unofficial discussion forum for fans of The Sisters Of Mercy.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The", "url": "http://www.myheartland.co.uk/"} +{"d:Title": "The Sisters Of Murphy", "d:Description": "A tribute band to the Sisters of Mercy, based in Huddersfield/Leeds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sisters_of_Mercy,_The/Tribute_Bands", "url": "http://www.thesistersofmurphy.com/"} +{"d:Title": "Sister 2 Sister World", "d:Description": "Includes news, photos, lyrics, chat, mailing list and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sister_2_Sister", "url": "http://www.s2sworld.8m.com/"} +{"d:Title": "The s2s Source", "d:Description": "Includes news, forum, reviews, interviews, photos and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sister_2_Sister", "url": "http://www.s2s.4mg.com/"} +{"d:Title": "S2S 2000", "d:Description": "Features lyrics, message board, biographies, tour dates, chat, discography, gallery, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sister_2_Sister", "url": "http://www.angelfire.com/stars/s2s/"} +{"d:Title": "S2S Online", "d:Description": "Contains discography, pictures, lyrics, audio, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sister_2_Sister", "url": "http://www.sister2sister.4mg.com/"} +{"d:Title": "Sister 2 Sister", "d:Description": "Official website. Contains news, photos, lyrics, fan club and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sister_2_Sister", "url": "http://www.sister2sister.com.au/"} +{"d:Title": "S2S Fanspace", "d:Description": "General information, audio/video downloads, a discography, lyrics, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sister_2_Sister", "url": "http://s2s.fanspace.com/"} +{"d:Title": "Unofficial Sixpence None the Richer Website", "d:Description": "Includes biography, lyrics, interviews, guest appearances, pictures, tour dates, articles and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sixpence_None_the_Richer", "url": "http://www.angelfire.com/mt/sixpence/index.html"} +{"d:Title": "Sixpence None the Richer - There She Goes...", "d:Description": "Lead singer Leigh Nash chats with Jay S. Jacobs of popentertainment.com about her band and success.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sixpence_None_the_Richer", "url": "http://www.popentertainment.com/sixpence.htm"} +{"d:Title": "Austin Chronicle: Sixteen Deluxe", "d:Description": "Review by Raoul Hernandex of The Moonman Is Blue.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Sixteen_Deluxe", "url": "http://www.austinchronicle.com/music/1999-10-29/74453/"} +{"d:Title": "SixBySeven.co.uk", "d:Description": "Offers band news, gig listings, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Six_by_Seven", "url": "http://www.sixbyseven.co.uk/"} +{"d:Title": "Crud: Six By Seven", "d:Description": "An interview with keyboardist James Flower by James Berry.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Si/Six_by_Seven", "url": "http://www.2-4-7-music.com/newsitems/nov01/sixbyseven.asp"} +{"d:Title": "Sj\u00f6berg, Viktor", "d:Description": "Swedish singer, influences include triphop/hiphop music. Moody, personal and mostly instrumental.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sj", "url": "http://www.ddrecords.com/viktor.html"} +{"d:Title": "Ska Trek", "d:Description": "German ska and rocksteady band. News, gig dates, discography, song clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skatrek.de/"} +{"d:Title": "Skylab2000", "d:Description": "The official site with biography, release dates and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://skylab2000.com/"} +{"d:Title": "Skeeters", "d:Description": "An unsigned punk band from Northants, England. Influences are Blink 182, MxPx, and Unwritten Law. Demo MP3 file, biographies and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.angelfire.com/music/SKEETER/"} +{"d:Title": "Skinny Little Twits, The", "d:Description": "Rock and blues band. Biography, concert schedule and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skinnylittletwits.com/"} +{"d:Title": "Skintwire", "d:Description": "News, profiles, photographs, lyrics, and equipment information for this four piece punk band from Dundee, Scotland.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.angelfire.com/punk/skintwire/"} +{"d:Title": "Skoolchix", "d:Description": "All girl band from the South West of England. Profile, pictures, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skoolchix.faithweb.com/"} +{"d:Title": "Skreamin' Ken's Naked Riot", "d:Description": "Rock band from Boston, featuring lyrics, biography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.angelfire.com/rock/sknr/"} +{"d:Title": "Skutch", "d:Description": "Australian band. Pictures, lyrics, song samples, album information, philosophy, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skutch.com.au/"} +{"d:Title": "Skuzz", "d:Description": "News, discography, lyrics, photos, and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skuzz.com/"} +{"d:Title": "Skwurly", "d:Description": "Melodic punk band from Texas. Includes biographies, merchandise, pictures and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.angelfire.com/tx2/skwurly/index.html"} +{"d:Title": "Sky Blues", "d:Description": "Blues-y rock band from Scarsdale, New York. Contains pictures, band diary, press clippings, audio clips, and merchandise. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skyblues.com/"} +{"d:Title": "Skye Klad", "d:Description": "A space-rock band presents sample songs, band information and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skyeklad.com/"} +{"d:Title": "Ska Mafia", "d:Description": "Ska-core band from Hemet, California.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.angelfire.com/super2/skamafia/"} +{"d:Title": "Skin Yard", "d:Description": "Band information, discography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.czrecords.com/artist.php?ARTIST_ID=14"} +{"d:Title": "Skywave", "d:Description": "Tour dates, audio links, pictures, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.killerrockandroll.com/"} +{"d:Title": "Skwat 6's", "d:Description": "Official homepage. Right now of 4 members, Jeremy Steinbeck, Jon, Liem Le and Jordan Lopez. A punk band from San Diego, California.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://skwat6.tripod.com/"} +{"d:Title": "Skooch", "d:Description": "A Minnesota band. Show list, photos, mission statement, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://tjjmack.tripod.com/"} +{"d:Title": "Skablins", "d:Description": "Ska band from Arlington, Washington. News, biography, audio, gigs and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skablins.com/"} +{"d:Title": "Skyline Drive Band", "d:Description": "Events cover band out of Nashville. Video, audio, pictures, sample song list, FAQs, testimonials and client list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.skylinedriveband.com/"} +{"d:Title": "Skids, The", "d:Description": "Scottish punk band active in the late '70s and early '80s. News, forums, audio, video and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk", "url": "http://www.the-skids.co.uk/"} +{"d:Title": "Skandal - Whack Bag Of Tricks", "d:Description": "This whacky site has anything and everything about the newest boy band, Skandal.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skandal", "url": "http://www.angelfire.com/nv/Skandal/index.html"} +{"d:Title": "Skatalites", "d:Description": "Official site includes tributes, photos, audio samples, and information on recent releases.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skatalites,_The", "url": "http://www.skatalites.com/"} +{"d:Title": "All Music Guide: The Skatalites", "d:Description": "Artist profile with a biography, discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skatalites,_The", "url": "http://www.allmusic.com/artist/the-skatalites-mn0000425105"} +{"d:Title": "Skeptics", "d:Description": "Background information, band history, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skeptics", "url": "http://www.scns.com/bailterspace/skeptic1.html"} +{"d:Title": "Skeptics", "d:Description": "A press and picture archive for the New Zealand band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skeptics", "url": "http://www.angelfire.com/va/darksensation/skeptics/skeptics.html"} +{"d:Title": "Skid Row Past And Present", "d:Description": "Member profiles, a discography, links, news, and a listing of tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skid_Row", "url": "http://skidsfan.homestead.com/"} +{"d:Title": "www.SkidRow.com", "d:Description": "The new and official site of the band where you can get all the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skid_Row", "url": "http://www.skidrow.com/"} +{"d:Title": "MTV: Skid Row", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skid_Row", "url": "http://www.mtv.com/artists/skid-row/"} +{"d:Title": "CLUAS.com: Skindive", "d:Description": "Concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skindive", "url": "http://www.cluas.com/music/gigs/skindive.htm"} +{"d:Title": "Subconscious Studios", "d:Description": "cEvin Key's record label featuring a wide variety of bands influenced by Key and Skinny Puppy.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skinny_Puppy", "url": "http://www.subconsciousstudios.com/"} +{"d:Title": "Skinny Puppy Central", "d:Description": "Fan site includes discography, interviews, lyrics, photos, and mail list information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skinny_Puppy", "url": "http://waste.org/~skumm/"} +{"d:Title": "The Urban Fracture", "d:Description": "Fan site includes history, discography, images, articles and interviews, and a personal collection of SP memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skinny_Puppy", "url": "http://www.angelfire.com/nv/TheUrbanFracture/index.html"} +{"d:Title": "Litany", "d:Description": "News about Skinny Puppy and related projects, such as ohGr, Download, The Tear Garden, platEAU and cEvin Key.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skinny_Puppy", "url": "http://www.litany.net/"} +{"d:Title": "UnRated Magazine: oHgr", "d:Description": "Interview with Nivek Ogre about his side project.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skinny_Puppy", "url": "http://www.unratedmagazine.com/articles/Archive/2008/ohGr.cfm"} +{"d:Title": "Skinny Puppy Web Ring", "d:Description": "Index of pages about Skinny Puppy; listing of sites and membership form.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skinny_Puppy", "url": "http://www.webring.org/hub?ring=puppy"} +{"d:Title": "Gavin's Skulker Site", "d:Description": "News, upcoming shows, set lists, interviews, a discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skulker", "url": "http://skulker.freeservers.com/"} +{"d:Title": "Weak", "d:Description": "A brief Skunk Anansie fan page with lyrics and an overview of the band's CD singles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skunk_Anansie", "url": "http://members.tripod.com/~s_anansie/"} +{"d:Title": "A Twisted Site", "d:Description": "Fan site with news, biography, album information, interviews, photos, and a fan listing.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sk/Skunk_Anansie", "url": "http://www.angelfire.com/ct/skunka/"} +{"d:Title": "Slackdaddy", "d:Description": "The Online home of the Athens, GA based band known as Slackdaddy. This is the place for all slack-related things.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.slackdaddy.com/"} +{"d:Title": "Slackers, The", "d:Description": "60s and 70s classic rock and roll band. Biographies, song list, upcoming gigs, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.angelfire.com/band/TheSlackers/index.html"} +{"d:Title": "Slacks, The", "d:Description": "New Zealand based country rock band. Information and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.angelfire.com/band2/theslacksnz/"} +{"d:Title": "Slavebang", "d:Description": "Black metal band from Ballard, WA. Biographies, pictures and show information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.angelfire.com/myband/slavebang/"} +{"d:Title": "Sleaze", "d:Description": "Metalcore/rapcore band out of Buffalo NY. News, biography, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.angelfire.com/myband/sLeaZe/"} +{"d:Title": "Sleeping At Last", "d:Description": "Emo band from Wheaton, Illinois. Show dates, photos, biography, lyrics, CD ordering, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.sleepingatlast.com/"} +{"d:Title": "Slide", "d:Description": "Traditional folk band from Leeds, UK.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.comp.leeds.ac.uk/drm/slide/"} +{"d:Title": "Slight Return", "d:Description": "Halifax indie band. Lyrics, MP3s, band history, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.angelfire.com/ns/slightreturn/"} +{"d:Title": "Slinkback", "d:Description": "Christian rock band. Offers band pictures and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://angelfire.com/wi/candidcore"} +{"d:Title": "Sliverpitch", "d:Description": "Music, lyrics, and concert dates for this Southern California band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://sliverpitch.tripod.com/"} +{"d:Title": "Slugs", "d:Description": "Funk rock band from Frankfurt, Germany. Band information, MP3 song clips, concert dates. [English and German.]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://home.germany.net/100-120994/"} +{"d:Title": "Slugs, The", "d:Description": "Official site of the Chicago based pop band includes discography, news, sound files, events, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.theslugs.com/"} +{"d:Title": "Slow Poisoner, The", "d:Description": "One man surrealistic rock 'n' roll band from San Francisco offers music and video clips, pictures, biography, and live show information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.theslowpoisoner.com/"} +{"d:Title": "Slaughter, Raven", "d:Description": "The artist's personal home page includes a biography, photos, and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.angelfire.com/oh4/qauto/RS/index.html"} +{"d:Title": "Slap Buddy Shoes", "d:Description": "Band's site includes biographies and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.angelfire.com/band/sbs/main.html"} +{"d:Title": "Slainte", "d:Description": "Irish band from Washington State. History, performance dates, sound files, and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.irishband.com/slainte/about_slainte.htm"} +{"d:Title": "Slyder", "d:Description": "Original rock and roll solo artist from California. Debut CD \"Lost Babies\" is available for download.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://slydersongs.homestead.com/home.html"} +{"d:Title": "Sleb", "d:Description": "Pictures, photos, videos and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.sleb.com/"} +{"d:Title": "Slowline", "d:Description": "London-based indie-rock trio; includes photos, music, clips from past gigs and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.slowline.com/"} +{"d:Title": "Slava", "d:Description": "Paris-based jazz singer-songwriter. Biography, audio samples, video and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.slava-jazz.com/"} +{"d:Title": "Slate Islands", "d:Description": "Folk rock quartet from the United Kingdom. News, gig schedule, photographs, RealAudio files, lyrics, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl", "url": "http://www.slateislands.com/"} +{"d:Title": "Slade, Jackson", "d:Description": "Artist information, lyrics, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slade,_Jackson", "url": "http://www.jacksonslade.com/"} +{"d:Title": "Slainte Mhath", "d:Description": "Official unofficial site includes a message board, member biographies, photos, gig dates, multimedia and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slainte_Mhath", "url": "http://slaintemhath.tripod.com/"} +{"d:Title": "The Jeff Colen Website", "d:Description": "The website for Slaughter Tour Manager Jeff Colen, has information on Slaughter and information on Jeff Colen.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slaughter", "url": "http://www.jcolen.com/"} +{"d:Title": "MTV: Slaughter", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slaughter", "url": "http://www.mtv.com/artists/slaughter-00/"} +{"d:Title": "Dedicated to Slayer", "d:Description": "A fan site with band history, tablatures, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slayer", "url": "http://www.angelfire.com/de/cend/SLAYER.html"} +{"d:Title": "The Abyss", "d:Description": "Includes tablature, profiles, discography, lyrics, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slayer", "url": "http://www.slaytanic.com/"} +{"d:Title": "Rockmagic.net: Slayer", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slayer", "url": "http://lyrics.rockmagic.net/lyrics/slayer/"} +{"d:Title": "ArtistDirect: Slayer", "d:Description": "Biography, links to websites, MP3s, lyrics, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slayer", "url": "http://www.artistdirect.com/artist/slayer/494151"} +{"d:Title": "MTV: Slayer", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slayer", "url": "http://www.mtv.com/artists/slayer/"} +{"d:Title": "My Slayer Fan Page", "d:Description": "Includes biography, pictures, and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slayer", "url": "http://www.angelfire.com/goth/slayer2/"} +{"d:Title": "Dead Skin Mask", "d:Description": "Slayer tribute band; includes member profiles and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slayer/Tribute_Bands", "url": "http://www.deadskinmask.com/"} +{"d:Title": "SarahSlean.com", "d:Description": "Official site. Discography, image gallery and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://sarahslean.com/"} +{"d:Title": "Navy-Soup", "d:Description": "Sarah Slean mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://www.aquezada.com/navy-soup/"} +{"d:Title": "MapleMusic - Sarah Slean", "d:Description": "Biography, concert listings, streaming media and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://www.maplemusic.com/artists/sas/bio.asp"} +{"d:Title": "Rambles - Universe", "d:Description": "Review of the Universe album by Rachel Jagt.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://www.rambles.net/slean_universe.html"} +{"d:Title": "Rambles - Blue Parade", "d:Description": "Review of the Blue Parade album by Rachel Jagt.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://www.rambles.net/slean_blue.html"} +{"d:Title": "Yahoo! Groups - sarahslean", "d:Description": "Message board for Sarah Slean fans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://groups.yahoo.com/group/sarahslean/"} +{"d:Title": "Ectophiles' Guide - Sarah Slean", "d:Description": "Information and comments about Sarah and her albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://www.ectoguide.org/guide.cgi?alpha/s/slean.sarah"} +{"d:Title": "Sarah Slean at The Keswick Theater", "d:Description": "Photographs taken by Mark Silver during a performance at the Keswick Theater in Glenside, PA.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://www.buzzpics.com/slean/"} +{"d:Title": "Sarah Slean: Canadian Invasion", "d:Description": "Concert review by Ryan Ochylski.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://tech.mit.edu/V119/N69/Sarah_Slean.69a.html"} +{"d:Title": "Littleslean", "d:Description": "Fan site features news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://littleslean.blogspot.com/"} +{"d:Title": "Collected Sounds Women In Music - Sarah Slean", "d:Description": "CD review and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slean,_Sarah", "url": "http://www.blog.collectedsounds.com/?p=3104"} +{"d:Title": "All Hands on the Bad One", "d:Description": "Fan site includes discography, lyrics, images, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://www.angelfire.com/indie/allhandsonthebadone/"} +{"d:Title": "Obsessed With Sleater-Kinney", "d:Description": "News, band member biographies, lyrics, tour dates, desktop wallpaper, and articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://www.users.ms11.net/~owsk/"} +{"d:Title": "Onion AV Club: Sleater-Kinney", "d:Description": "An interview with Carrie Brownstein on saying no to Lollapalooza.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://www.avclub.com/articles/sleaterkinney,13466/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Sleater-Kinney", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://sfloman.com/sleater-kinney.html"} +{"d:Title": "RollingStone.com: Sleater-Kinney", "d:Description": "Includes biography, recordings, pictures, articles, audio/video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://www.rollingstone.com/music/artists/sleater-kinney"} +{"d:Title": "Sleater-Kinney Factsheet", "d:Description": "General information, multimedia, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://www.killrockstars.com/artists/sleater-kinney"} +{"d:Title": "All Music Guide: Sleater-Kinney", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://www.allmusic.com/artist/sleater-kinney-mn0000026164"} +{"d:Title": "Metacritic: All Hands On The Bad One", "d:Description": "Links to multiple critic and user reviews for the \"All Hands On The Bad One\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sleater-Kinney", "url": "http://www.metacritic.com/music/all-hands-on-the-bad-one/sleater-kinney"} +{"d:Title": "All Music Guide: Grace Slick", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slick,_Grace", "url": "http://www.allmusic.com/artist/grace-slick-mn0000194743"} +{"d:Title": "BleedingMetal.com: Slipknot", "d:Description": "Pictures, lyrics, biography and a discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot", "url": "http://www.bleedingmetal.com/slipknot/"} +{"d:Title": "Seventh Realm Reviews Slipknot", "d:Description": "Review of \"Iowa\" rated 3 stars out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot", "url": "http://www.angelfire.com/planet/fallenempiretrendy/slipknot.html"} +{"d:Title": "Yahoo Music: Slipknot", "d:Description": "Includes video clips, articles, news items, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot", "url": "http://new.music.yahoo.com/slipknot/"} +{"d:Title": "MTV: Slipknot", "d:Description": "Features music video clips, concert reviews, and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot", "url": "http://www.mtv.com/artists/slipknot/"} +{"d:Title": "The Slipknot Webring", "d:Description": "List of fan sites in the webring.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot", "url": "http://www.webring.org/hub?ring=slipknot1"} +{"d:Title": "Maggot Corps", "d:Description": "Profiles, contact information, multimedia, images, masks, lyrics, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/music/knot2000/"} +{"d:Title": "Psychopathic Daze", "d:Description": "Biography, band member profiles, pictures, articles, discography, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/band/8slipknot/"} +{"d:Title": "Realm of Infestation", "d:Description": "Band member information, logos and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/punk/sicrealm/frames/frames.html"} +{"d:Title": "Running With Scissors", "d:Description": "Show reviews, links, lyrics, biography, facts, press, fan art, equipment, polls, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/rock/liberate6my6madness/"} +{"d:Title": "Ryan'z Unofficial Slipknot Page", "d:Description": "Links, pictures, audio, video, discography, polls, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://members.tripod.com/~XxNitronxX/SlipKnoT.html"} +{"d:Title": "Really Sic Site", "d:Description": "Features biography, discography and various news stories.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.slip-knot.freeservers.com/"} +{"d:Title": "696 Slipknot 969", "d:Description": "Pictures, lyrics, song meanings, discography, links, downloads, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/music/slipknot66669666/"} +{"d:Title": "Unofficial Slipknot Page", "d:Description": "Pictures, lyrics, CD information, song samples, fan art, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/band/stoicslipknot/"} +{"d:Title": "SlipKnot - Suffocated", "d:Description": "Lyrics, member profiles, band history, tablature, song meanings, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/ct2/kornposse/index.html"} +{"d:Title": "Slipknot Fan Site", "d:Description": "Pictures, a biography, band member information, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/band/slipknot09/"} +{"d:Title": "Slipknot's ReaLm of Infestation", "d:Description": "Pictures, lyrics, polls, biographies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/punk/sicrealm/"} +{"d:Title": "Slipknot - The (SIC)ness Starts Here", "d:Description": "Includes biography, links, lyrics, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/rock/Sl1pknot/index1.html"} +{"d:Title": "Spit It Out", "d:Description": "Includes lyrics, pictures, links and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://stonecutter908.tripod.com/Slipknot/sliphome.html"} +{"d:Title": "Underground Slipknot", "d:Description": "Audio/video, biography, tablature, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://noid45.tripod.com/undergroundslipknot/"} +{"d:Title": "Sic Realm Of Slipknot", "d:Description": "Includes discography, tablature, lyrics, pictures, links and song meanings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/realm/SLIPKNOT/"} +{"d:Title": "Slipknot", "d:Description": "Includes pictures, lyrics, links, and a web ring.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/punk/SLIPKNOT/"} +{"d:Title": "Slipknot", "d:Description": "Band information, pictures, lyrics, a top 10 site list, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/nt/slipknotrulz/index.html"} +{"d:Title": "6(Sic)6 Slipknot Site", "d:Description": "Images, videos, tablature, biographies, and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/rock2/matefeedkillrepeat/SlipknotHome.html"} +{"d:Title": "Slipknot Spread the Sicnes", "d:Description": "Includes news, pictures, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://members.tripod.com/SpitKnoT/"} +{"d:Title": "DisasterPeace", "d:Description": "Biography, discography, band member profiles, wallpapers, fonts, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://users.belgacom.net/jdw/"} +{"d:Title": "MetaKnot", "d:Description": "Pictures, AIM icons, audio and video clips, lyrics, and fan art.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/freak2/metaknot/"} +{"d:Title": "[ 696 Slipknot 969 ]", "d:Description": "Biographies, pictures, lyrics, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.angelfire.com/music4/slipknotx/"} +{"d:Title": "Slipknot Metal", "d:Description": "News, history, discography, albums, videos, press, pictures and fan works.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slipknot/Fan_Pages", "url": "http://www.slipknot-metal.com/"} +{"d:Title": "Sloan Rarities", "d:Description": "A discography, MP3 files, concert reviews, lyrics and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sloan", "url": "http://sloanrare.tripod.com/"} +{"d:Title": "Sloan Hut", "d:Description": "Fan site with news, biography, discography, lyrics, pictures, fan encounters, set lists, streaming RealAudio clips, and pen pals.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sloan", "url": "http://www.members.tripod.com/sloanhut/main.html"} +{"d:Title": "Sloan", "d:Description": "The official site with news, tour diaries, FAQ, a message board, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sloan", "url": "http://www.sloanmusic.com/"} +{"d:Title": "The Liars Club", "d:Description": "Fan site with news, tour dates, lyrics, articles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Slobberbone", "url": "http://www.angelfire.com/tx2/slobberbone/"} +{"d:Title": "Wilson and Alroy's Record Reviews", "d:Description": "Reviews of all band releases, as well as Sly Stone and Graham Central Station.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sly_and_the_Family_Stone", "url": "http://www.warr.org/sly.html"} +{"d:Title": "Official Sony Music Website", "d:Description": "Official Sly And The Family Stone website. Contains sound clips, promo videos and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sly_and_the_Family_Stone", "url": "http://www.slystonemusic.com/"} +{"d:Title": "Soul Patrol - Sly Stone Presentation", "d:Description": "Contains 45 min audio presentation featuring extremely rare Sly And The Family Stone-related tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sly_and_the_Family_Stone", "url": "http://www.soul-patrol.com/funk/sly.htm"} +{"d:Title": "Sly Stone's Lil Sis", "d:Description": "Official site of Sly Stone's little sister and original singer from Sly and the Family Stone. Music, photos, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sly_and_the_Family_Stone", "url": "http://www.slyslilsis.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Sly&The Family Stone", "d:Description": "Reviews and analysis of the band\u2019s albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sly_and_the_Family_Stone", "url": "http://sfloman.com/slyandthefamilystone.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Short biography and timeline of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sl/Sly_and_the_Family_Stone", "url": "http://rockhall.com/inductees/sly-and-the-family-stone/"} +{"d:Title": "Smagma", "d:Description": "Official site of the heavy metal band from Taylor, MI. Biography, latest news, images, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.angelfire.com/mi2/smagma/"} +{"d:Title": "Smart, Jason", "d:Description": "Pop singer/songwriter from Brighton, UK.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.indiepages.com/jasonsmart/"} +{"d:Title": "Smash", "d:Description": "Heavy rock band from Finland. Biography, news, sound files and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.sci.fi/~juho/smash/"} +{"d:Title": "Smelt", "d:Description": "Includes biography, shows, pictures, audio samples and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.angelfire.com/co2/smelt/"} +{"d:Title": "Darden Smith", "d:Description": "Texas musician and singer-songwriter in Austin, Texas. Features biography, music, merchandise, shows, news, events, journal and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.dardensmith.com/"} +{"d:Title": "Smith, Debi", "d:Description": "A solo singer-songwriter and member of the Four Bitch' Babes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.debismith.com/"} +{"d:Title": "Smith, Gary Blues Band", "d:Description": "Your basic tough, straight ahead blues album, the kind that all harmonica fans love.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.messaround.com/album2.html"} +{"d:Title": "Smith, Geoff", "d:Description": "British dulcimer virtuoso and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.dulcimer.co.uk/"} +{"d:Title": "Smith, Stuart", "d:Description": "Dedicated to the rock/blues guitarist and his band, Heaven and Earth. Includes biography, news, sound files, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.stuartsmith.com/"} +{"d:Title": "Smokin' Guns", "d:Description": "From northwestern Illinois. Concert dates, links to popular country bands, MP3s and MP3 players. Previously named Southern Storm.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://smokinguns.itgo.com/"} +{"d:Title": "Smoking Monkey", "d:Description": "Canada-based alternative band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.angelfire.com/hi2/SmokingMonkey/index.html"} +{"d:Title": "Smuts, The", "d:Description": "Florida '70s swank punk rock band. News, pictures, shows, biographies and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.angelfire.com/pop2/thesmuts/"} +{"d:Title": "Smaragd", "d:Description": "Progressive rock artist. News, projects, and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.fika.org/davew/smaragd/"} +{"d:Title": "Smith, Jan", "d:Description": "Songwriter/performer from Iowa. Lyrics, CD information, MP3s, performance schedule, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm", "url": "http://www.redsequin.com/"} +{"d:Title": "Landslide", "d:Description": "The \"Omnipedia database\", downloads (Super SP Bros. games), images, Mediaflash netzine.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://landslide.2007.org/"} +{"d:Title": "Rockmagic.net: Lyrics", "d:Description": "Lyrics from each album. Sorted by title or album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://lyrics.rockmagic.net/lyrics/smashing_pumpkins/"} +{"d:Title": "Smashing Pumpkins Radio", "d:Description": "Broadcasts over 300 different songs live and world wide.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.aet08.com/"} +{"d:Title": "Smashing Pumpkins Internet Fan Club", "d:Description": "Includes FAQs, poll and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.spifc.org/"} +{"d:Title": "Starla", "d:Description": "Portal offers articles and links to various band information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.starla.org/"} +{"d:Title": "Entertainment Ave: Smashing Pumpkins", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/smashing_pumpkins/sp100596.htm"} +{"d:Title": "Guitar Tabs: Smashing Pumpkins", "d:Description": "An alphebetic listing of song tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.guitaretab.com/s/smashing-pumpkins/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Smashing Pumpkins", "d:Description": "Ratings and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.sfloman.com/smashingpumpkins.html"} +{"d:Title": "RollingStone.com: Smashing Pumpkins", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.rollingstone.com/music/artists/smashing-pumpkins"} +{"d:Title": "MTV: Smashing Pumpkins", "d:Description": "Features music video clips, album reviews and exclusive live performances and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins", "url": "http://www.mtv.com/artists/the-smashing-pumpkins/"} +{"d:Title": "Muzzled", "d:Description": "Includes a biography, equipment listing, quotes, articles, artwork, lyrics, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Corgan,_Billy", "url": "http://www.angelfire.com/bc/billycorgan17/"} +{"d:Title": "Ascendo", "d:Description": "Images, interviews, quotes, fan art and song analysis, ICQ list of fans. Also, a discography, MIDI and MP3 files, tablature and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://ascendo.peterland.net.nz/"} +{"d:Title": "Siva", "d:Description": "Band history, news, FAQ, tour history, tablature and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.blamo.org/"} +{"d:Title": "Infinite Sadness", "d:Description": "Music files, chat transcript and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.angelfire.com/ga2/flygrl/"} +{"d:Title": "J_Scorp's Pumpkins Shrine", "d:Description": "Pictures, news, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.angelfire.com/fl2/JScorps/"} +{"d:Title": "An Ode To No One", "d:Description": "Images, a biography and discography, lyrics, news, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.angelfire.com/music/smashingpumpkins5/"} +{"d:Title": "Matt's Smashing Pumpkins Page", "d:Description": "Images, videos, a chat room and forum, sound files, lyrics, guitar tablature and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.mattsmusicpage.com/npumpkins.htm"} +{"d:Title": "The Smashing Pumpkins", "d:Description": "Includes information on all the CDs and band members, also includes images.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.angelfire.com/hi3/sgt5pepper/pumpkins.html"} +{"d:Title": "Smashing Pumpkins Eternal", "d:Description": "Bootleg list, gigology, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.angelfire.com/anime/sp/index.html"} +{"d:Title": "The Uncertain Devine", "d:Description": "Lyrics, links, news, an in depth history and images.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.angelfire.com/me2/pumpkins/"} +{"d:Title": "Netphoria", "d:Description": "Band information, lyrics, song list and multimedia downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.netphoria.org/"} +{"d:Title": "Pumpkin Love", "d:Description": "Thumbnailed images and poems.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Fan_Pages", "url": "http://www.angelfire.com/myband2/pumpkinlove/"} +{"d:Title": "Absolute Divas: D'Arcy Wretzky", "d:Description": "Pictures, biography, lyrics, discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Wretzky,_D'Arcy", "url": "http://www.absolutedivas.com/darcy/"} +{"d:Title": "Thoughts Dreams and Visions", "d:Description": "Features biography, articles, quotes, news, facts, bass tablature, sounds, and picture archive.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Wretzky,_D'Arcy", "url": "http://www.angelfire.com/sd/tdv/main.html"} +{"d:Title": "Day Dreaming", "d:Description": "News, biography, webring, artwork, images, mailing list, tablature, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smashing_Pumpkins/Wretzky,_D'Arcy", "url": "http://members.tripod.com/darcymilitia/"} +{"d:Title": "Smash Mouth", "d:Description": "Official site. Features TV and tour schedule, fan club, biographies, videos, real audio, wall papers, lyrics and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smash_Mouth", "url": "http://www.smashmouth.com/"} +{"d:Title": "All Music Guide: Smash Mouth", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smash_Mouth", "url": "http://www.allmusic.com/artist/p215667"} +{"d:Title": "MTV: Smash Mouth", "d:Description": "Includes video clips, album review, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smash_Mouth", "url": "http://www.mtv.com/artists/smash-mouth/"} +{"d:Title": "Sweet Adeline", "d:Description": "Official site by fans includes news, discography, articles, reviews, pictures, fan art, tablature, and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott", "url": "http://www.sweetadeline.net/"} +{"d:Title": "RollingStone.com: Elliott Smith", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott", "url": "http://www.rollingstone.com/music/artists/elliott-smith"} +{"d:Title": "MTV.com: Elliott Smith", "d:Description": "Includes news, album reviews, biography, discography, links and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott", "url": "http://www.mtv.com/artists/elliott-smith/"} +{"d:Title": "Heaven Adores You", "d:Description": "Information on Nickolas Rossi's 2014 documentary about Smith's life and music, including press kit, reviews and screenings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott", "url": "http://heavenadoresyou.com/"} +{"d:Title": "NPR: 'From a Basement': Elliott Smith's Posthumous Gift", "d:Description": "Interview with friends and family who helped finish \"From a Basement on the Hill\" with audio clips from the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=4109711"} +{"d:Title": "Under the Radar: \"Better Off Than Dead, Elliott Smith Comes Clean\"", "d:Description": "Article on Smith's treatment and work on \"From a Basement on the Hill,\" with details on new songs and quotes not used in the print version.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews", "url": "http://www.undertheradarmag.com/interviews/elliott_smith/"} +{"d:Title": "Associated Press: Tests on Musician's Death Inconclusive", "d:Description": "Article about the result of the investigation into whether Smith's wounds were self-inflicted or the result of an attack.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews", "url": "http://www.usatoday.com/life/music/news/2003-12-31-smith-death-inconclusive_x.htm"} +{"d:Title": "NPR: Singer Elliott Smith Dead in Apparent Suicide", "d:Description": "\"All Things Considered\" radio piece, with links to previous interviews, song clips and a RealVideo presentation.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews/Obituaries", "url": "http://www.npr.org/templates/story/story.php?storyId=1475177"} +{"d:Title": "Slate: New York in Reverse", "d:Description": "Elliott Smith's idea of heaven was modest, like everything else about the songwriter. By Alex Abramovich.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews/Obituaries", "url": "http://slate.com/id/2090203/"} +{"d:Title": "KCRW: New Ground", "d:Description": "Los Angeles radio station's hourlong tribute with Smith's songs and impressions from those who knew him. [RealAudio.]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews/Obituaries", "url": "http://www.kcrw.com/music/programs/gz/gz031025tribute_to_elliott_s"} +{"d:Title": "Dallas Observer: Ashes on Everything", "d:Description": "Jeff Liles recalls the aftermath of Smith's death, including memorials in Los Angeles and Dallas.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews/Obituaries", "url": "http://www.dallasobserver.com/2004-10-14/music/ashes-on-everything"} +{"d:Title": "Salon.com: Elliott Smith, 1969-2003", "d:Description": "Obituary by Sarah Schmelling. [Oct. 22, 2003]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Articles_and_Interviews/Obituaries", "url": "http://www.salon.com/2003/10/22/smith_22/"} +{"d:Title": "Live Music Archive: Elliott Smith", "d:Description": "Collection of performances for download.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Downloads", "url": "http://www.archive.org/details/ElliottSmith"} +{"d:Title": "PopMatters: Elliott Smith", "d:Description": "A review of Smith's February 2000 concert at The Black Cat, Washington, D.C.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews", "url": "http://popmatters.com/music/concerts/s/smith-elliott.html"} +{"d:Title": "Elliott Smith Show Reviews", "d:Description": "Collection of fan reviews and setlists, along with a brief profile.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews", "url": "http://janiceordal.tripod.com/elliottshows.htm"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Elliott Smith", "d:Description": "Reviews and analyses of Smith's albums through \"From a Basement on the Hill\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews", "url": "http://sfloman.com/elliottsmith.html"} +{"d:Title": "Luna Kaf\u00e9 - Elliott Smith: La Zona Rosa, Austin TX, 06.05.2000", "d:Description": "Concert review by Derrick Detta: \"The show's peaks are mostly dead-on reproductions of the well-crafted sounds from the record.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews", "url": "http://lunakafe.com/moon45/usor45.php"} +{"d:Title": "NME", "d:Description": "Stuart Bailie's review: \"It's dreamy and extreme: 'Either/Or' has no half measures.\" 8/10.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews/Either-Or", "url": "http://members.tripod.com/Sparklehead/EitherNME.html"} +{"d:Title": "Metacritic: Figure 8", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews/Figure_8", "url": "http://www.metacritic.com/music/figure-8/elliott-smith"} +{"d:Title": "Guardian Unlimited: Elliott Smith, From a Basement on a Hill", "d:Description": "Alexis Petridis' review: \"You are left wondering how anything as terrible as the anguish detailed in 'King's Crossing' or 'Fond Farewell' could inspire music this lovely, with its soaring choruses and beatific harmonies and irresistible pop sensibility.\" Five stars.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews/From_a_Basement_on_the_Hill", "url": "http://www.theguardian.com/music/2004/oct/15/popandrock.shopping7"} +{"d:Title": "The Village Voice: Nothing Compares 2 U", "d:Description": "Nick Catucci's review: \"...smears this palette into sooty, disheveled garage surrealism\u2014true colors for a complicated, complicating individual.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Elliott/Reviews/From_a_Basement_on_the_Hill", "url": "http://www.villagevoice.com/music/nothing-compares-2-u-6405497"} +{"d:Title": "Kate Smith Commemorative Society", "d:Description": "Fan club dedicated to rekindling the singer's legend. Overview of the organization and membership details, biography, essay on \"God Bless America,\" autograph guide and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Kate", "url": "http://katesmith.org/"} +{"d:Title": "Wikipedia: Kate Smith", "d:Description": "Biography of the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Kate", "url": "http://en.wikipedia.org/wiki/Kate_Smith"} +{"d:Title": "Kate Smith, All-American Singer, Dies at 79", "d:Description": "Obituary from The New York Times.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Kate", "url": "http://www.nytimes.com/specials/magazine4/articles/smith1.html"} +{"d:Title": "Eyesore: Kendra Smith", "d:Description": "Discography, and the press release from when she joined the 4AD label.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Kendra", "url": "http://quimby.gnus.org/html/group/smithkendra.html"} +{"d:Title": "Kendra Smith - German Phoenix", "d:Description": "Unofficial fan site features a biography, pictures and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Kendra", "url": "http://web.tiscali.it/wrongway/kendra/"} +{"d:Title": "TrouserPress.com: Kendra Smith", "d:Description": "Review of her career and recordings, both solo and with Opal.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Kendra", "url": "http://www.trouserpress.com/entry.php?a=kendra_smith"} +{"d:Title": "4AD: Kendra Smith", "d:Description": "Profile and images from her record label.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Kendra", "url": "http://www.4ad.com/artists/kendrasmith/"} +{"d:Title": "Official Site for Michael W. Smith", "d:Description": "Official site of Contemporary Christian singer/songwriter Michael W. Smith.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://www.michaelwsmith.com/"} +{"d:Title": "Greater Than We Understand", "d:Description": "Small fan site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://www.angelfire.com/pop/michaelwsmith/"} +{"d:Title": "SmittyWorld.com", "d:Description": "Michael W. Smith fan site featuring pictures, news, reviews,and a newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://www.jedijim.fanspace.com/"} +{"d:Title": "Michael W. Smith - Yahoo Groups Email List", "d:Description": "Fan run email list for fans of Michael W. Smith's music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://groups.yahoo.com/group/smittysfanclub/"} +{"d:Title": "Michael W. Smith News: Topix", "d:Description": "News about Michael W. Smith continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://www.topix.com/who/michael-w-smith"} +{"d:Title": "The Fish: Spoken Like a True Veteran", "d:Description": "Interview with Michael W. Smith regarding his spoken word project.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://www.thefish.com/music/interviews/11617776/Spoken-Like-a-True-Veteran/"} +{"d:Title": "Michael W. Smith - WebRing Links", "d:Description": "A list of fan websites in the related webring.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://www.webring.org/hub?ring=mwsmith"} +{"d:Title": "IMDb: Michael W. Smith (I)", "d:Description": "Filmography and biographical trivia from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Michael_W.", "url": "http://www.imdb.com/name/nm0809363/"} +{"d:Title": "A Patti Smith Babelogue", "d:Description": "Listing of fans, random quotations, performance information, images, and news. Also, a discography, biography, and samples of poetry.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.oceanstar.com/patti/"} +{"d:Title": "Return of the Thin White Duchess", "d:Description": "An article on Patti Smith's return to performing from the November 97 N.Y. Rock.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.nyrock.com/features/patti_smith.htm"} +{"d:Title": "The Patti Smith News", "d:Description": "Recent and past news. Includes performance and tour information with set lists from 1975 to present, and a discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.kaapeli.fi/aiu/ps/"} +{"d:Title": "Patti Smith - Official Site", "d:Description": "Includes news, schedule and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.pattismith.net/"} +{"d:Title": "The Patti Smith Logbook", "d:Description": "Chronology of her career, exhibitions, TV appearances, covers list and setlist database.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.pattismithlogbook.info/"} +{"d:Title": "Yahoo Groups: The Eternal Cafe", "d:Description": "Mailing list where fans can discuss news related to the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://launch.groups.yahoo.com/group/eternalcafe/"} +{"d:Title": "Wikipedia: Patti Smith", "d:Description": "Encyclopedia article includes biography, discography, bibliography and references.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://en.wikipedia.org/wiki/Patti_Smith"} +{"d:Title": "Topix: Patti Smith", "d:Description": "News collected from around the Web.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.topix.com/who/patti-smith"} +{"d:Title": "Rolling Stone: Patti Smith", "d:Description": "Biography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.rollingstone.com/music/artists/patti-smith"} +{"d:Title": "Rock and Roll Hall of Fame: Patti Smith", "d:Description": "Biography, timeline, list of notable songs and selected readings for the 2007 inductee.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://rockhall.com/inductees/patti-smith/"} +{"d:Title": "IMDb: Patti Smith", "d:Description": "Filmography and soundtrack appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.imdb.com/name/nm0005446/"} +{"d:Title": "Allmusic", "d:Description": "Biography and information on her albums and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.allmusic.com/artist/patti-smith-p126485"} +{"d:Title": "MTV: Patti Smith", "d:Description": "News, lyrics, discography and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smith,_Patti", "url": "http://www.mtv.com/artists/patti-smith/"} +{"d:Title": "The Official Smithereens Web Site", "d:Description": "Features news, show dates, and information about the fan club newsletter.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smithereens", "url": "http://officialsmithereens.com/"} +{"d:Title": "Pat DiNizio: Living Room Tour CD", "d:Description": "Information on singer/songwriter/musician Pat DiNizio (of the Smithereens), and the Live CD of his Living Room Tour.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smithereens", "url": "http://livecd2000.tripod.com/"} +{"d:Title": "Cemetry Gates", "d:Description": "FAQ, articles, interviews and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The", "url": "http://www.cemetrygates.com/"} +{"d:Title": "Passions Just Like Mine", "d:Description": "Tracks checklist, discography, videography, bootlegs guide and alternate versions.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The", "url": "http://passionsjustlikemine.com/"} +{"d:Title": "Musicfolio.com: The Smiths", "d:Description": "Discography with album reviews, ratings, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The", "url": "http://www.musicfolio.com/modernrock/smiths.html"} +{"d:Title": "Ask Me Ask Me Ask Me", "d:Description": "Features a history and discography with lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The", "url": "http://www.askmeaskmeaskme.com/"} +{"d:Title": "RollingStone.com: The Smiths", "d:Description": "Contains biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The", "url": "http://www.rollingstone.com/music/artists/the-smiths"} +{"d:Title": "These Charming Men", "d:Description": "Irish Smiths tribute. Features gig list, images, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The/Tribute_Bands", "url": "http://www.thesecharmingmen.com/"} +{"d:Title": "Smyths, The", "d:Description": "News, photos, and gig dates from the UK based Smiths tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The/Tribute_Bands", "url": "http://www.thesmyths.net/"} +{"d:Title": "The Smiths Ltd.", "d:Description": "Tribute band based in Manchester, England. Gigs, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smiths,_The/Tribute_Bands", "url": "http://www.thesmithsltd.co.uk/"} +{"d:Title": "Bathysphere", "d:Description": "Includes news, a discography, lyrics and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smog", "url": "http://www.pry.com/smog"} +{"d:Title": "Drag City: Smog", "d:Description": "Record label site features discography, videos and booking contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smog", "url": "http://www.dragcity.com/artists/smog"} +{"d:Title": "Metacritic: Dongs of Sevotion", "d:Description": "Links to multiple critic and user reviews of the \"Dongs Of Sevotion\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smog", "url": "http://www.metacritic.com/music/dongs-of-sevotion/smog"} +{"d:Title": "Metacritic: Smog: Rain On Lens", "d:Description": "Links to multiple critic and user reviews of the \"Rain On Lens\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smog", "url": "http://www.metacritic.com/music/rain-on-lens/smog"} +{"d:Title": "Smokie", "d:Description": "Home of the UK based country rock band with news and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smokie", "url": "http://www.smokie.co.uk/"} +{"d:Title": "The Patty Smyth Web Page", "d:Description": "Biography, photos, news, discography, fan club, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smyth,_Patty", "url": "http://www.phan.org/psfc/"} +{"d:Title": "All Music Guide: Patty Smyth", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sm/Smyth,_Patty", "url": "http://www.allmusic.com/artist/patty-smyth-p26987"} +{"d:Title": "Snappers, The", "d:Description": "Acapella group's official site offers pictures, profile and concert dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn", "url": "http://www.snappers.ch/"} +{"d:Title": "Snyder, Fran", "d:Description": "Biography, tour schedules, song lyrics and music from Florida-based, Canadian-born singer/songwriter Fran Snyder.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn", "url": "http://www.fransnyder.com/"} +{"d:Title": "Snew", "d:Description": "Hollywood-based hard rock band; includes gigs, news, press, pictures, video and street team.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn", "url": "http://www.snewyou.com/"} +{"d:Title": "Another Sneaker Pimps Page", "d:Description": "Features a biography, lyrics, discography, collected press articles, realaudio files and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Sneaker_Pimps", "url": "http://sneakerpimps.freeservers.com/"} +{"d:Title": "The Sneaker Box", "d:Description": "Sneaker Pimps lyrics, pictures, audio samples, RealVideo clips, articles, tour dates, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Sneaker_Pimps", "url": "http://www.sneakerpimps.net/"} +{"d:Title": "Snoop's Dogg Pound", "d:Description": "Includes photos and cartoons, CD listing, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://www.angelfire.com/hiphop/bigsnoopdogg/"} +{"d:Title": "Snoop Dogg", "d:Description": "Fan site offers album reviews, biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://www.angelfire.com/hiphop2/doggystyle/home.html"} +{"d:Title": "Snoop Dogg: Official Site", "d:Description": "Includes a collection of Snoop Dogg streaming audio and videos, plus Tha Snoop Dogg Shizzolator, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://snoopdogg.com/"} +{"d:Title": "Snoop Dogg News: Topix", "d:Description": "News about Snoop Dogg continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://www.topix.com/who/snoop-dogg"} +{"d:Title": "DonMega: Snoop Dogg", "d:Description": "Fan page with vital statistics, pictures, lyrics, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://www.donmega.com/snoop-dogg.html"} +{"d:Title": "AskMen.com: Snoop Dogg", "d:Description": "Pictures, biography, comments, quote and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://www.askmen.com/celebs/men/entertainment_150/151_snoop_dogg.html"} +{"d:Title": "MTV: Snoop Dogg", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://www.mtv.com/artists/snoop-dogg/"} +{"d:Title": "The Internet Movie Database (IMDb) - Snoop Dogg", "d:Description": "An authoritative Snoop Dogg filmography, including his work as an actor, composer, writer, director, and producer. In addition, a listing of notable TV guest appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snoop_Dogg", "url": "http://www.imdb.com/name/nm0004879/"} +{"d:Title": "Sigmund Snopek III", "d:Description": "The keyboardist's home page. Biography, interview, album information, reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snopek,_Sigmund,_III", "url": "http://www.sigmundsnopek.com/"} +{"d:Title": "ArtistDirect.com: Snow", "d:Description": "Profile features a biography, tour dates, RealAudio and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snow", "url": "http://www.artistdirect.com/artist/snow/495020"} +{"d:Title": "The Unofficial Phoebe Snow", "d:Description": "Singer/songwriter information including complete discography, news, reviews, lyrics, sound clips and exclusive concert photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sn/Snow,_Phoebe", "url": "http://www.vdebolt.com/phoebehome/"} +{"d:Title": "Song of the Lakes", "d:Description": "Home page for the Michigan folk group featuring band, music and tour information and downloadable mp3 music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.songofthelakes.com/"} +{"d:Title": "Soma Sonic", "d:Description": "Canadian trip hop duo, comprising of Francois and Dominic Paterson. Biography, RealAudio files, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.somasonic.com/"} +{"d:Title": "Sommers, Dusty", "d:Description": "Coventry, Rhode Island-based slide guitarist and harmonica player that plays a mixture of blues, rock and jazz. Artist information, recordings, photos and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.dustysommers.com/"} +{"d:Title": "Sofia Boys Choir", "d:Description": "Bulgarian ensemble's history, audio, reviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sofiaboyschoir.altpro.net/"} +{"d:Title": "Soap Box", "d:Description": "A Virginia based alternative-funk-pop band. Contains biography, show dates, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://members.tripod.com/~MC_Libertine/SoapBox.html"} +{"d:Title": "Sodastream", "d:Description": "Band from Western Australia. Biography, discography, sound files, news, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sodastream.com.au/"} +{"d:Title": "Soehngenetic", "d:Description": "Christoph Soehngen's ambient-project. News, project information, and a personal profile.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soehngenetic.de/"} +{"d:Title": "Sofia", "d:Description": "A tracker cyberbabe working mostly with impulse tracker. Includes music downloads and PC wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://skylined.org/sofia"} +{"d:Title": "Sol Fed Joe", "d:Description": "Blues/rhythm and blues band from Denver, CO. Biography, images, performance schedule, MP3 and RealAudio files, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://solfedjoe.tripod.com/"} +{"d:Title": "Solex", "d:Description": "Official site with information on Elisabeth Esselink, pop artist. Features MP3s, tour diaries, links, new release information, and a news section. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.solex.net/"} +{"d:Title": "Solitary", "d:Description": "UK based metal band. Includes music, downloads, gigs, pictures, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.solitary.org.uk/"} +{"d:Title": "Somebody's Problem", "d:Description": "Official site of the punk band from Buffalo, New York.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.angelfire.com/punk/somebodysproblem/"} +{"d:Title": "Something for Kate", "d:Description": "News, tour dates, band information, timeline, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://somethingforkate.tripod.com/"} +{"d:Title": "Something For Tuesday", "d:Description": "A funky mix of trip-hop, jazz, drum and bass, psychedelia and ambient music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.angelfire.com/bc/somethingfortuesday/"} +{"d:Title": "Something From Nothing", "d:Description": "Acoustic rock band from Pennsylvania. History, photos, booking information, newsletter, and upcoming performances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://somefromnothing.tripod.com/"} +{"d:Title": "Something Orange", "d:Description": "Home page of the band \"Something Orange\" based in Dekalb IL. Original and cover music performed live by Troy Chapman, Bryan Zilonis, and Mike Leffelman.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.genevaonline.com/~melsys/index.html"} +{"d:Title": "Somewhat Sketchy", "d:Description": "Punk Band from Tucson, Arizona.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.angelfire.com/ab7/sws/"} +{"d:Title": "Sommers, Joan Cochran", "d:Description": "Professor of the Accordion, teaches the accordion degree program in the USA at the University of Missouri-Kansas City.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.accordions.com/jcsommers"} +{"d:Title": "Songdog", "d:Description": "UK-based acoustic trio. Lyrics, reviews, photo gallery, and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.songdog.co.uk/"} +{"d:Title": "Sonia Dada", "d:Description": "Blues, funk, rock, and jazz band. Performance dates, music, news, photos, video and products.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soniadada.com/"} +{"d:Title": "Sons of Ralph", "d:Description": "Traditional and alternative bluegrass band. Performance schedule, various images and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sonsofralph.com/"} +{"d:Title": "Soul Feast", "d:Description": "Rock group from Missouri. Includes MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.angelfire.com/music4/soulfeast/"} +{"d:Title": "Soul Flower Union", "d:Description": "Schedule, Profile, Discography,and so on.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.breast.co.jp/soulflower/sfu/sfu_profile_e.html"} +{"d:Title": "Soul Motor", "d:Description": "Interview with the California-based progressive metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Features/index.cfm&Article_ID=10"} +{"d:Title": "Soul Purpose", "d:Description": "Horn band from Philadelphia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soul-purpose-philly.com/"} +{"d:Title": "Soularia", "d:Description": "Funk soul rhythm and blues Band based Hamburg(Germany). News, chat, realaudio, \"gimmix\", pictures, member biographies and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soularia.com/"} +{"d:Title": "Soulgasm", "d:Description": "Biography, song list, and photos for the funk/alternative band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.angelfire.com/biz2/miserylovesco/"} +{"d:Title": "Soup&Crackaz", "d:Description": "Official site of the alternative band from Charleston, SC.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.angelfire.com/sc2/sandc/"} +{"d:Title": "Souther, Richard", "d:Description": "Official site of this Grammy-nominated musician, composer and producer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.richardsouther.com/"} +{"d:Title": "Southern Lights", "d:Description": "Party band from Memphis. Biography, pictures and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.memphissound.com/southernlights.html"} +{"d:Title": "Southern Yankee", "d:Description": "Four piece rock band from Oklahoma. Photos, audio samples, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://southerocker64.tripod.com/southernyankee/"} +{"d:Title": "Southside Jerry", "d:Description": "Sax player from Pennsylvania. Discography, biography, music, schedule, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.southsidejerry.com/"} +{"d:Title": "Soulhat", "d:Description": "Austin, Texas based rock band. Includes news, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soulhat.net/"} +{"d:Title": "Sophisticated Savage", "d:Description": "London, UK based tiki lounge band featuring singer, actress and model Julienne Davis. Includes news, profile, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sophisticatedsavage.com/"} +{"d:Title": "Solomon's Splendor", "d:Description": "Christian alternative rock band from western Oklahoma, reaching out to the \"Next Generation\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://solomonsplendor.faithweb.com/"} +{"d:Title": "Solar Quest", "d:Description": "Provides a discography and links for the acid-ambient music artist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://mikko.tuomela.net/solarquest/"} +{"d:Title": "Soul Doubt", "d:Description": "Female fronted power trio from Minneapolis, blending influences of pop, rock, country, and blues into an aggressive sonic mix. Singer/songwriter Chris German has strong melodies and introspective, thought provoking lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.chrisgerman.net/souldoubt/"} +{"d:Title": "Soulmates", "d:Description": "Blues/rock band from the Twin Cities. Real Audio samples, photographs, biography, contact information, upcoming shows, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soulblue.com/"} +{"d:Title": "Sourside", "d:Description": "Official website of the Belgian band. News, pictures, music, dates, links and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://users.skynet.be/frantic/"} +{"d:Title": "Solone, Bob", "d:Description": "Chicago-based entertainer. Includes a biography, performance schedule and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://bobsolone.com/"} +{"d:Title": "Soulfarm", "d:Description": "Tour dates, sound clips, merchandise, photos, news, profiles and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soulfarm.net/"} +{"d:Title": "Sophia", "d:Description": "Actually a site of Robin Proper-Sheppard fronted bands, they present the bands histories, discography, lyrics, interviews and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sophiamusic.net/"} +{"d:Title": "Southern Missouri Bluegrass", "d:Description": "Biography, photos, schedule, guestbook, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://southernmobluegrass.homestead.com/bglindex1.html"} +{"d:Title": "Soil", "d:Description": "Maryland-based underground hardcore band. Member profiles and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://mammal667.tripod.com/index.htm"} +{"d:Title": "Southern Grace Band", "d:Description": "A Central Texas rocking country band. Includes photos, booking information, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://southerngraceband.tripod.com/"} +{"d:Title": "Solstice Coil", "d:Description": "Israeli alternative-progressive rock band. Biography, comic strip, audio, lyrics, concerts and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.solstice.co.il/"} +{"d:Title": "SoulShine", "d:Description": "Indianapolis indie-rock band; overview, booking contacts, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soulshinelive.com/"} +{"d:Title": "Social Security Boys, The", "d:Description": "Southern Gospel trio from North Carolina; biography, tour dates, pictures and fan board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.socialsecurityboys.com/"} +{"d:Title": "SoulDaemon", "d:Description": "\"Heretic death metal\"; includes biography, discography, press, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.souldaemon.altervista.org/"} +{"d:Title": "SonShine Quartet", "d:Description": "Schedule, biography, news and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sonshinequartet.com/"} +{"d:Title": "Something Spooked the Horses", "d:Description": "Bluegrass/old-time band in Northumberland, England. Biography, news, pictures and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.somethingspookedthehorses.com/"} +{"d:Title": "Soul Patrol", "d:Description": "Seven-piece soul band from Hull, England. Gigs, biographies, pictures, audio and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soulpatrol.org.uk/"} +{"d:Title": "Sonic Me", "d:Description": "Dutch electronic duo; overview, discography, mixtapes, show dates and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sonicme.nl/"} +{"d:Title": "Soul II Soul", "d:Description": "London-based hip-hop/dance group; news, discography and events.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soul2soul.co.uk/"} +{"d:Title": "Soul Survivors", "d:Description": "Corporate party band in South Florida. Video, repertoire, client list and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.soulsurvivors.com/"} +{"d:Title": "Solem, Erling", "d:Description": "Norwegian songwriter-guitarist. Includes discography, photos, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://erlingsolem.com/"} +{"d:Title": "Sonic's Rendezvous Band", "d:Description": "Detroit-area '70s band fronted by ex-MC5 guitarist Fred \"Sonic\" Smith. Biography, article collection, CD re-release information, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://sonicsrendezvousband.net/"} +{"d:Title": "Sorcery", "d:Description": "Hard rock band from the late '70s/early '80s. Includes CD and DVD information, photos, and info on movies they are associated with.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So", "url": "http://www.sorcerymusic.com/"} +{"d:Title": "Soakisflywatt Mailing List", "d:Description": "Located at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soak", "url": "http://groups.yahoo.com/group/soakisflywatt/"} +{"d:Title": "Austin Chronicle: Soak", "d:Description": "Knocking at Your Back Door. Article by Raoul Hernandez, with photo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soak", "url": "http://www.austinchronicle.com/issues/vol16/issue51/music.soak.html"} +{"d:Title": "Austin Chronicle: Soak", "d:Description": "2179 album review by David Lynch.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soak", "url": "http://www.austinchronicle.com/music/1999-12-03/74930/"} +{"d:Title": "JillSobule.com", "d:Description": "Official site. Includes music samples, guitar tablatures, concert schedule and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sobule,_Jill", "url": "http://www.jillsobule.com/"} +{"d:Title": "PopEntertainment.com - \"Too Cool\"", "d:Description": "An interview with Jill by Jay S. Jacobs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sobule,_Jill", "url": "http://www.popentertainment.com/sobule.htm"} +{"d:Title": "Things Here Are Different: A Jill Sobule Tribute", "d:Description": "An unofficial Jill Sobule site. Includes a biography, lyrics, and album recommendations.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sobule,_Jill", "url": "http://www.angelfire.com/music5/jillsobule/"} +{"d:Title": "Morning Becomes Eclectic", "d:Description": "An appearance on KCRW's Morning Becomes Eclectic radio show. [34:26 streaming broadcast]", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sobule,_Jill", "url": "http://www.kcrw.com/music/programs/mb/mb990312jill_sobule"} +{"d:Title": "MTV.com : Jill Sobule Returns With Playful, Eclectic Pink Pearl", "d:Description": "Primarily a review of the album \"Pink Pearl,\" but also describes the last few years of Sobule's career. (April 21, 2000)", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sobule,_Jill", "url": "http://www.mtv.com/news/articles/821303/jill-sobule-returns-with-pink-pearl.jhtml"} +{"d:Title": "Socialburn", "d:Description": "Fansite. Band history, photographs, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Socialburn", "url": "http://www.socialburnfans.1hwy.com/"} +{"d:Title": "Drop-d CD Review: Social Deviantz", "d:Description": "Contact information, review and song excerpt.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Social_Deviantz", "url": "http://www.dropd.com/issue/16/CD/SocialDeviantz/"} +{"d:Title": "All Music Guide: Social Deviantz", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Social_Deviantz", "url": "http://www.allmusic.com/artist/p373670"} +{"d:Title": "Social Distortion", "d:Description": "Official page. News, lyrics, audio/visual clips, tour information, discography, band history, and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Social_Distortion", "url": "http://www.socialdistortion.com/"} +{"d:Title": "Unrated Magazine: Social Distortion", "d:Description": "Concert review and photos from the House of Blues, Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Social_Distortion", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=17"} +{"d:Title": "Soda Ash", "d:Description": "DarkPop band with intense, darkly beautiful songs with tribal yet machine-like drums, hypnotizing guitar riffs, haunting keyboard melodies, and almost taboo lyrics of femme angst.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soda_Ash", "url": "http://www.scifilullaby.com/"} +{"d:Title": "TweeNet: The Softies", "d:Description": "Discography, related links and a band photo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Softies,_The", "url": "http://www.twee.net/bands/s/softies.html"} +{"d:Title": "Ink 19: The Soft Boys / Kimbereley Rew", "d:Description": "Reviews by Ian Koss of \"Nextdoorland\" by The Soft Boys and \"Great Central Revisited\" by Kim Rew.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Boys,_The", "url": "http://www.ink19.com/issues/december2002/musicReviews/musicS/softBoysKimbereley.html"} +{"d:Title": "In Music We Trust - Nextdoorland", "d:Description": "Album review by Alex Steininger, rated B+. \"You can't help want, maybe even expect, Underwater Moonlight II. You're not going to get it, but Nextdoorland is a suitable follow-up twenty plus years later, and a good album to boot.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Boys,_The", "url": "http://www.inmusicwetrust.com/articles/53r43.html"} +{"d:Title": "All Music Guide: The Soft Boys", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Boys,_The", "url": "http://www.allmusic.com/artist/the-soft-boys-mn0000501802"} +{"d:Title": "Tainted Love", "d:Description": "Discography and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Cell", "url": "http://taintedlove.8m.com/"} +{"d:Title": "MusicFolio: Soft Cell", "d:Description": "Discography with short reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Cell", "url": "http://musicfolio.com/modernrock/softcell.html"} +{"d:Title": "Soft Cell: An Unofficial Site", "d:Description": "Offers discography, videography, bibliography, galleries, press articles, reviews and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Cell", "url": "http://soft-cell.tripod.com/"} +{"d:Title": "All Music Guide: Soft Cell", "d:Description": "Provides a biography, discography, photo, member profiles, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Cell", "url": "http://www.allmusic.com/artist/soft-cell-mn0000038579"} +{"d:Title": "Hulloder - The Soft Machine Pages", "d:Description": "Discographies of the band and its former members, new release information, pictures, and a large links section.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Machine", "url": "http://www.hulloder.nl/"} +{"d:Title": "Noisette", "d:Description": "History of the band, fan's story, discography, time line, videos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soft_Machine", "url": "http://www.noisette.nl/"} +{"d:Title": "All Music Guide: Stella Soleil", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soleil,_Stella", "url": "http://www.allmusic.com/artist/stella-soleil-p480791"} +{"d:Title": "AskMen.com - Stella Soleil", "d:Description": "Includes pictures, biography, and information regarding many aspects of her career.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soleil,_Stella", "url": "http://www.askmen.com/celebs/women/singer_100/121_stella_soleil.html"} +{"d:Title": "Jimmy Somerville: The Official Home Page", "d:Description": "All the latest photos, press, gossip and information on Jimmy Somerville.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Somerville,_Jimmy", "url": "http://www.jimmysomerville.co.uk/"} +{"d:Title": "Chaos Control Digizine: Jimmy Somerville", "d:Description": "2000 interview with the gay pop singer, formerly of Bronksi Beat and The Communards. Jimmy discusses his recent music and the 80's revival.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Somerville,_Jimmy", "url": "http://www.chaoscontrol.com/?article=jimmysomerville"} +{"d:Title": "Punknews.org", "d:Description": "Positive review of \"Leaving Through the Window.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Something_Corporate", "url": "http://www.punknews.org/reviews.php?op=albumreview&id=1016"} +{"d:Title": "Something Corporate", "d:Description": "Collection of concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Something_Corporate", "url": "http://www.angelfire.com/sd2/lee/music/somethingcorporate.html"} +{"d:Title": "Yahoo! Groups: Somethingcorporate", "d:Description": "Features message board, FAQ, and chat room. Requires registration.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Something_Corporate", "url": "http://groups.yahoo.com/group/somethingcorporate/"} +{"d:Title": "LyricsOnDemand: Something Corporate", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Something_Corporate", "url": "http://www.lyricsondemand.com/s/somethingcorporatelyrics/index.html"} +{"d:Title": "Something Corporate Brings It's Blend To Chicago", "d:Description": "UnRated Magazine: Live review and photographs from Chicago's September 24, 2003 concert.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Something_Corporate", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=135"} +{"d:Title": "MTV.com: Something Corporate", "d:Description": "Band profile, downloads, and related news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Something_Corporate", "url": "http://www.mtv.com/artists/something-corporate/"} +{"d:Title": "Sonic Youth", "d:Description": "Official Site. Features news, biographies, MP3, videos, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth", "url": "http://www.sonicyouth.com/"} +{"d:Title": "Sonic Youth Discography", "d:Description": "Detailed discography for Sonic Youth and member releases including crossreferences.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth", "url": "http://www.luxnet.at/sonic-youth/artist-1.html"} +{"d:Title": "Saucer-Like Sonic Youth", "d:Description": "An essential Sonic Youth website with information, downloadable things and community discussions.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth", "url": "http://www.saucerlike.com/"} +{"d:Title": "Sonic Youth information hyperstation", "d:Description": "Detailed information about discography, songs, concerts and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth", "url": "http://www.sonicyouth.com/mustang/"} +{"d:Title": "SPIKE magazine: Sonic Spice", "d:Description": "Andrew McCutchen meets Sonic Youth mainman and guitar torturer extraordinaire Thurston Moore.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Articles_and_Interviews", "url": "http://www.spikemagazine.com/0600thurstonmoore.php"} +{"d:Title": "RollingStone.com: Sonic Youth", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Articles_and_Interviews", "url": "http://www.rollingstone.com/music/artists/sonic-youth"} +{"d:Title": "Sonic Youth: Pitchfork Interview", "d:Description": "Interview by Julianne Shepherd. (Nov. 20, 2005)", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Articles_and_Interviews", "url": "http://pitchfork.com/features/interviews/6199-sonic-youth/"} +{"d:Title": "Sonic Truth", "d:Description": "Fan site with discography, reviews, and sound and video clips. Includes history, news, and biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Fan_Pages", "url": "http://www.xs4all.nl/~bigron/sonic"} +{"d:Title": "Sonic Youth Lyrics", "d:Description": "Includes biography, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Fan_Pages", "url": "http://www.xs4all.nl/~bigron/sonic/lyrics.html"} +{"d:Title": "Society is a Hole", "d:Description": "News, sounds, pictures, lyrics, sounds, chat, a quiz, a survey and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Fan_Pages", "url": "http://societyisahole.tripod.com/home.html"} +{"d:Title": "Wilson&Alroy's Record Reviews: Sonic Youth", "d:Description": "Exhaustive review of Sonic Youth albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews", "url": "http://www.warr.org/sonik.html"} +{"d:Title": "Prindle Record Reviews: Sonic Youth", "d:Description": "Reviews and comments related to Sonic albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews", "url": "http://www.markprindle.com/sonicya.htm"} +{"d:Title": "Sputnikmusic: Bad Moon Rising", "d:Description": "Review by SowingSeason.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/Bad_Moon_Rising", "url": "http://www.sputnikmusic.com/review/38462/Sonic-Youth-Bad-Moon-Rising/"} +{"d:Title": "Stylus Magazine: Daydream Nation", "d:Description": "Review by Ian Mathers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/Daydream_Nation", "url": "http://www.stylusmagazine.com/articles/on_second_thought/sonic-youth-daydream-nation1.htm"} +{"d:Title": "Sputnikmusic: Daydream Nation", "d:Description": "Review by the Lindbergh Baby. \"Daydream Nation is over an hour's worth off blurry, experimental, psychedelic, art-rock.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/Daydream_Nation", "url": "http://sputnikmusic.com/album.php?albumid=967"} +{"d:Title": "Wikipedia: Daydream Nation", "d:Description": "The Wikipedia album review with detailed information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/Daydream_Nation", "url": "http://en.wikipedia.org/wiki/Daydream_Nation"} +{"d:Title": "Playlouder: Sonic Youth - NYC Ghosts and Flowers", "d:Description": "Simon Hopkins' review: \"sees Sonic Youth once again rebuilding rock music from the ground up, building a rock music fit for the 21st century.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/NYC_Ghosts_and_Flowers", "url": "http://playlouder.com/dhtml/#/content/10971/nyc-ghosts-amp-flowers"} +{"d:Title": "Pitchfork: Sonic Youth - NYC Ghosts and Flowers", "d:Description": "Brent DiCrescenzo's review: \"Everything down to the grammar and paintings inside is lamentable.\" Rated 0.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/NYC_Ghosts_and_Flowers", "url": "http://pitchfork.com/reviews/albums/7342-nyc-ghosts-flowers/"} +{"d:Title": "Canoe: Sonic Youth - NYC Ghosts and Flowers", "d:Description": "Keiran Grant's review: \"arguably the first major-label Sonic Youth release since Dirty that's worth getting excited about.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/NYC_Ghosts_and_Flowers", "url": "http://jam.canoe.com/Music/Artists/S/Sonic_Youth/AlbumReviews/2000/05/20/771900.html"} +{"d:Title": "Metacritic: NYC Ghosts and Flowers", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/NYC_Ghosts_and_Flowers", "url": "http://www.metacritic.com/music/nyc-ghosts-flowers/sonic-youth"} +{"d:Title": "Dusted Reviews: Sonic Nurse", "d:Description": "Review by Dan Ruccia. \"They are still indelibly Sonic Youth, and deserving of the significance that comes with it.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/Sonic_Nurse", "url": "http://www.dustedmagazine.com/reviews/1452"} +{"d:Title": "Pitchfork Record: Sonic Nurse", "d:Description": "Review by Sam Ubl. \"Sonic Youth have turned their love for experimental rock into a habit.\" (June 7, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonic_Youth/Reviews/Sonic_Nurse", "url": "http://pitchfork.com/reviews/albums/7348-sonic-nurse/"} +{"d:Title": "Sonique", "d:Description": "British female DJ and performer. Site contains news, biography, tour dates, photos, and streaming audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonique", "url": "http://www.soniqueonline.com/"} +{"d:Title": "Sonique Fan Page", "d:Description": "Fan site for UK-based DJ and recording artist. Includes discography, photos, biography, links and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sonique", "url": "http://www.rarevinyl.de/sonique/"} +{"d:Title": "Sons of Champlin - Official Site", "d:Description": "Band photos, reviews, interviews, merchandise, discography, history, performance information and \"Sons Chat\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sons_of_Champlin", "url": "http://www.sonsofchamplin.com/"} +{"d:Title": "Terry Haggerty", "d:Description": "Official web site of guitarist Terry Haggerty. Terry is the legendary guitarist of the classic Bay Area group The Sons of Champlin.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sons_of_Champlin", "url": "http://www.terryhaggerty.com/"} +{"d:Title": "Simply SOH", "d:Description": "Exclusive pictures, biographies, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sons_of_Harmony", "url": "http://www.angelfire.com/boybands/soh/"} +{"d:Title": "Born To Sing", "d:Description": "A brief Sons Of Harmony fan site with profiles, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sons_of_Harmony", "url": "http://www.angelfire.com/boybands/SonsOfHarmony/"} +{"d:Title": "Sons of Maxwell", "d:Description": "Official site includes photo gallery, news, tour dates, MP3 downloads and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Sons_of_Maxwell", "url": "http://www.sonsofmaxwell.com/"} +{"d:Title": "Wikipedia: Son of Dork", "d:Description": "Includes background and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Son_of_Dork", "url": "http://en.wikipedia.org/wiki/Son_of_Dork"} +{"d:Title": "In Music We Trust: Soulcracker", "d:Description": "Interview with frontman Sutton about the experience of \"Bands on the Run.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soulcracker", "url": "http://www.inmusicwetrust.com/articles/40h07.html"} +{"d:Title": "MTV Online: soulDecision", "d:Description": "Features a biography, tour diary, video clips, pictures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/soulDecision", "url": "http://www.mtv.com/artists/souldecision/"} +{"d:Title": "soulDecision World", "d:Description": "Read reviews of the band's CD, check out pictures of the guys, and find out their backgrounds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/soulDecision/Fan_Pages", "url": "http://www.angelfire.com/music2/souldecisionworld/"} +{"d:Title": "soulDecision Spotlight", "d:Description": "Australian fan site with profiles, pictures, lyrics, fan fiction, and press interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/soulDecision/Fan_Pages", "url": "http://www.angelfire.com/pop2/magenta/"} +{"d:Title": "soulDecision Buddy Icons", "d:Description": "Includes AIM icons featuring each member of the band or the whole group together.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/soulDecision/Fan_Pages", "url": "http://www.angelfire.com/my/sdicons/"} +{"d:Title": "Unofficial Trevor Guthrie Site", "d:Description": "Features a profile and image gallery of the singer/guitarist. Also includes news, tour dates, articles, and pictures of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/soulDecision/Guthrie,_Trevor", "url": "http://www.angelfire.com/nd/trevorguthrie/"} +{"d:Title": "Kissable Ken", "d:Description": "Fan site with a profile, photographs, and fan comments.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/soulDecision/Lewko,_Ken", "url": "http://www.kissableken.8m.net/"} +{"d:Title": "BleedingMetal.com: Soulfly", "d:Description": "Includes lyrics, image gallery, discography, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soulfly", "url": "http://www.bleedingmetal.com/soulfly/"} +{"d:Title": "Soulfly", "d:Description": "A fan page with band information, news, multimedia files, photos, interviews and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soulfly", "url": "http://www.angelfire.com/music/soulflysepultura/index.html"} +{"d:Title": "Soulfly", "d:Description": "Official site. News, interviews, fan club information, lyrics, photos, sound clips, tablature, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soulfly", "url": "http://www.soulfly.com/"} +{"d:Title": "Soulwax", "d:Description": "Official site. News, downloads, video, tour dates, and games.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soulwax", "url": "http://www.soulwax.com/"} +{"d:Title": "Soulwax.info", "d:Description": "Discography, lyrics, \"Soulwax Alphabet,\" audio, video and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soulwax", "url": "http://www.kevinenjoyce.com/soulwax/"} +{"d:Title": "O'Jeez it's Soul Asylum", "d:Description": "Active Yahoo Club that follows the band and its side projects, O'Jeez and Golden Smog.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Asylum", "url": "http://groups.yahoo.com/group/ojeezitssoulasylum/"} +{"d:Title": "Entertainment Ave: Soul Asylum", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Asylum", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/soul_asylum/sa102998.htm"} +{"d:Title": "Entertainment Ave: Soul Asylum", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Asylum", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/soul_asylum.htm"} +{"d:Title": "Enter the Soul Asylum", "d:Description": "Fan site features discography, shows, history, forum, chat, news and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Asylum", "url": "http://www.enterthesoulasylum.com/"} +{"d:Title": "Never Forever Whatever", "d:Description": "Features news, photographs and an archive of articles dating back to 1981.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Asylum", "url": "http://cagedrat.tripod.com/"} +{"d:Title": "MTV.com - Soul Asylum", "d:Description": "Includes band biography, news, photographs, links and media downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Asylum", "url": "http://www.mtv.com/artists/soul-asylum/"} +{"d:Title": "Stuff about Soul Coughing", "d:Description": "Includes brief profiles of band members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing", "url": "http://www.angelfire.com/la2/esoteric/sc.html"} +{"d:Title": "Soul Coughing Underground", "d:Description": "Soul Coughing Underground [SCUG] is an extensive database and invaluable resource of anything and everything related to the band Soul Coughing.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing", "url": "http://www.scug.net/"} +{"d:Title": "The Onion AV Club: Soul Coughing", "d:Description": "The Onion A.V. Club interviews singer-guitarist M. Doughty about everything imaginable.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing", "url": "http://www.avclub.com/articles/m-doughty-of-soul-coughing,13653/"} +{"d:Title": "ArtistDirect: Soul Coughing", "d:Description": "Links to MP3s, lyrics, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing", "url": "http://www.artistdirect.com/artist/soul-coughing/495555"} +{"d:Title": "Soul Coughing Gigography", "d:Description": "A list of all the shows that the band has played with and a section to meet other Soul Coughing tapers and traders.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing", "url": "http://www.scug.net/other-stuff/gigography/"} +{"d:Title": "No Shadowkick: Mike Doughty Live", "d:Description": "Review of the former Soul Coughing frontman's 2001 performance in Northampton, Massachusetts.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing/Reviews", "url": "http://noshadowkick.com/review-doughty-live.htm"} +{"d:Title": "The Shrubbery: Soul Coughing - El Oso", "d:Description": "Ed Parker's review: \"The groove on this one is so thick, it stained my pants.\" Rated 80%.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing/Reviews/El_Oso", "url": "http://www.theshrubbery.com/1298/music1.html"} +{"d:Title": "Consumable On-line: Soul Coughing - El Oso", "d:Description": "Lang Whitaker's review: \"Soul Coughing's latest release, the unfettered El Oso, takes the band deep into humid jungle territory.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soul_Coughing/Reviews/El_Oso", "url": "http://www.westnet.com/consumable/1998/10.07/revsoulc.html"} +{"d:Title": "Soundgarden Cavern", "d:Description": "Biography, discography, poetry, tour dates, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://soundgarden.rockmetal.art.pl/"} +{"d:Title": "Matt's Soundgarden Page", "d:Description": "Includes band information, images, sound files, lyrics, and guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://www.mattsmusicpage.com/ngarden.htm"} +{"d:Title": "Soundgarden : Gone but not forgotten", "d:Description": "Includes discography, lyrics, audio files, links and information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://members.tripod.com/~disarmyouwithasmile/soundgarden.html"} +{"d:Title": "Rockmagic.net: Soundgarden", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://lyrics.rockmagic.net/lyrics/soundgarden/"} +{"d:Title": "Guitar Tabs: Soundgarden", "d:Description": "An alphebetic listing of 71 Soundgarden tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://www.guitaretab.com/s/soundgarden/"} +{"d:Title": "ArtistDirect: Soundgarden", "d:Description": "Contains a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://www.artistdirect.com/artist/soundgarden/495609"} +{"d:Title": "Diet Coke and Soundgarden", "d:Description": "Chris and Kim sell-out for free Diet Coke in this parody.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://www.fluttergirl.com/soundgarden/dietcoke.html"} +{"d:Title": "RollingStone.com: Soundgarden", "d:Description": "Includes biography, recordings, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://www.rollingstone.com/music/artists/soundgarden"} +{"d:Title": "MTV: Soundgarden", "d:Description": "Includes news, biography, musical influences, audio, photos, videos, bulletin boards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soundgarden", "url": "http://www.mtv.com/artists/soundgarden/"} +{"d:Title": "Artist Direct: The Soup Dragons", "d:Description": "Includes profile, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Soup_Dragons,_The", "url": "http://www.artistdirect.com/artist/soup-dragons/495620"} +{"d:Title": "Southside Johnny and the Asbury Jukes", "d:Description": "First fan site for the band contains discography, photos, lyrics files and Southside Johnny mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes", "url": "http://pease1.sr.unh.edu/southside/"} +{"d:Title": "Southside Johnny and the Asbury Jukes", "d:Description": "The official website features a message board, lyrics, pictures, links to band members and a record store.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes", "url": "http://www.southsidejohnny.com/"} +{"d:Title": "Rockpalast Archive", "d:Description": "The Rockpalast TV Show archive - Southside Johnny and The Asbury Jukes' first ever live appearance on networked European TV in 1979", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes", "url": "http://www.rockpalastarchiv.de/rn5_e.html"} +{"d:Title": "ArtistDirect: Southside Johnny", "d:Description": "Contains a biography and discography with reviews and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes", "url": "http://www.artistdirect.com/artist/southside-johnny/449620"} +{"d:Title": "Bob Bandiera - Official Website", "d:Description": "Bandiera plays and writes with Southside Johnny since 1985. A constant on the Jersey Show circuit ever since the late 70s. He also joined BonJovi on tour (2004-2007) and plays the shore up and down all year.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes/Band_Members", "url": "http://www.bobbandiera.com/"} +{"d:Title": "John Conte", "d:Description": "Bass player", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes/Band_Members", "url": "http://www.johncontebass.com/"} +{"d:Title": "Louie Appel.com", "d:Description": "Official site of the ex-drummer with the Jukes (2000-2002), plays also with John Eddie.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes/Band_Members", "url": "http://www.louieappel.com/"} +{"d:Title": "Little Steven's Underground Garage", "d:Description": "Co-founder, mastermind, guitarist, author of many songs, creator of the sound and ex-manager/producer of Southside Johnny and the Asbury Jukes. Joined Bruce Springsteen and the EStreet Band 1975.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes/Band_Members", "url": "http://undergroundgarage.com/"} +{"d:Title": "Stone Pony Band", "d:Description": "A 9 piece Cleveland, Ohio tribute band to Bruce Springsteen and Southside Johnny. Includes show dates, member profiles, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes/Tribute_Bands", "url": "http://www.stoneponyband.com/"} +{"d:Title": "Souls on Fire", "d:Description": "Tribute band from Aichach, Bavaria, Germany", "topic": "Top/Arts/Music/Bands_and_Artists/S/So/Southside_Johnny_and_the_Asbury_Jukes/Tribute_Bands", "url": "http://www.souls-on-fire.de/"} +{"d:Title": "Spicuzza, Jeanne Marie", "d:Description": "Spoken word artist. Biography, schedule, and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.seasonsandamuse.com/"} +{"d:Title": "Spanish Fly Lounge", "d:Description": "New York Latin rhythm and blues vocalist's biographies, event dates, music and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.angelfire.com/music2/myspanishfly/"} +{"d:Title": "Sphere3", "d:Description": "British progressive rock jazz fusion band. MP3 downloads, merchandise, gig dates and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.sphere3.co.uk/"} +{"d:Title": "Spiral of Decay", "d:Description": "N. Irish heavy metal band, covers Slayer, Metallica and others, and with their own material", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.aftermathrocks.co.uk/"} +{"d:Title": "Sponge Awareness Foundation", "d:Description": "The official home of the comedy-rock band based in Eastern MA. Band history, concert dates, downloadable songs, photos, CD information, writings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spongeawareness.com/"} +{"d:Title": "Space Cowboys", "d:Description": "Biography, discography, pictures and reviews. Unofficial site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://home.swipnet.se/spacecowboys/"} +{"d:Title": "Spaceman Spiff", "d:Description": "Music clips, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.angelfire.com/nj2/spacemanspiff/"} +{"d:Title": "Spain", "d:Description": "Official fan site for Josh Haden's band Spain. Includes news, concerts, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.saghaug.no/spain/"} +{"d:Title": "Sparks, Tim", "d:Description": "Acoustic fingerstyle guitarist Tim Sparks provides a biography, sample songs, tablatures, reviews and merchandise ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.timsparks.com/"} +{"d:Title": "Sparx", "d:Description": "Official site with news, biography, discography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.sparxonline.com/"} +{"d:Title": "Spearmint", "d:Description": "UK band. Profiles, press, tour dates, images, discography, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spearmint.net/"} +{"d:Title": "Spectrum", "d:Description": "Variety dance band specializing in wedding receptions, corporate events, and public events.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spectrumband.net/"} +{"d:Title": "Spedding, Chris", "d:Description": "The musician's home page includes biography, discography, gig reviews, audio and video clips, media quotes, and a gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.chrisspedding.com/"} +{"d:Title": "Speechwriters LLC", "d:Description": "California based rock band. Biography, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.speechwritersllc.com/"} +{"d:Title": "Spellbound", "d:Description": "Official site of the multi-cultural funk/Latin band from LA, CA. Includes news, reviews, live show updates, biography, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://spellboundband.com/"} +{"d:Title": "Spencer, Lesley", "d:Description": "Unforgettable melodies and playing which lift the spirit and excite the imagination.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.lesleyspencer.com/"} +{"d:Title": "Spew", "d:Description": "Industrial rock band based in Inver Grove Heights, Minnesota. Site contains news, show dates, biographies, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spewicide.com/"} +{"d:Title": "Spillshadow", "d:Description": "Progressive hard rock band based in Houston, Texas. Tour dates, lyrics, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spillshadow.com/"} +{"d:Title": "Spiney Norman", "d:Description": "Northeast USA Rock/Fusion band. Profile, schedule, song downloads and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://spineynorman.galileeprod.com/"} +{"d:Title": "Spiral Nebula", "d:Description": "Playing hard rock and progressive rock music. Includes band profiles, photos, news, and audio. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spiralnebula.de/"} +{"d:Title": "Spiral of Silence", "d:Description": "Belgian wave-band. News, concert dates, pictures, music, discography. Official website.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://users.skynet.be/fa340314/"} +{"d:Title": "Spirit Ensemble", "d:Description": "Spiritual free jazz, a message of joy and brotherhood to all audiences.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://sunmuserecords.homestead.com/thespiritensemble.html"} +{"d:Title": "Spirits Burning", "d:Description": "A space-rock project of Don Falcone. Band history, member information, lyrics, CD information, and related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://home.earthlink.net/~falcone/sbmain.html"} +{"d:Title": "Splint", "d:Description": "Underground British rock band with alternative roots. Biography, news, lyrics, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.angelfire.com/vt/splint/"} +{"d:Title": "Split Air", "d:Description": "A Russian trance/goa/psychedelic band. Includes a band profile, pictures, biographies, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.ropnet.ru/dmd/"} +{"d:Title": "Spoon Wizard, The", "d:Description": "Contains news, pictures and MP3 for this progressive band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spoonwizard.com/"} +{"d:Title": "Sprawl", "d:Description": "Features discography, tour dates, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.sprawlcosm.com/"} +{"d:Title": "Springfields, The", "d:Description": "Hardcore/punk band. Band information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.angelfire.com/band/DaSpringfields/"} +{"d:Title": "Spudgun", "d:Description": "Includes CDs, MP3s, tour dates, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.humboldtmusic.com/spudgun"} +{"d:Title": "SPK", "d:Description": "Information source for the punk/industrial/metal/pop music group includes discography, articles, interviews, lyrics, and performance history.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://home.scarlet.be/~spk/"} +{"d:Title": "Spillis, Rus", "d:Description": "Official site of the Birmingham, Alabama based musician. Profile, pictures, tour dates, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.russpillis.com/"} +{"d:Title": "Special Order", "d:Description": "Hard rock band from Woodridge, Illinois; includes biography, audio samples, gear list and shows.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.angelfire.com/il/Feedback/"} +{"d:Title": "Spencer, Sarah", "d:Description": "Teenage singer and songwriter from Florida, USA. Includes audio samples, brief biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.sarahspencer.org/"} +{"d:Title": "Spider Babys Web", "d:Description": "Syracuse, New York's killer power groove band. Features the ex-guitarist, Darin Scott from BMG/Mausoleum's Belladonna. (Joey Belladonna from Anthrax's solo project.)", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://spiderbabys.tripod.com/"} +{"d:Title": "Spiers, John and Jon Boden", "d:Description": "UK folk duo. Profile, gig dates, reviews, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://spiersandboden.com/"} +{"d:Title": "Spheeris, Jimmie", "d:Description": "Memorial site that has sparked a national effort by web fans of the late recording artist to reissue his catalog on CD through Sony Music and Rain Records. Includes RealAudio, MP3, online forum, rare pictures, and related stories.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.jimmiespheeris.com/"} +{"d:Title": "Spencer, Kevin and Friends", "d:Description": "Southern gospel group's news, biography, pictures and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.kevinspencerandfriends.com/"} +{"d:Title": "Spector, Jennifer", "d:Description": "Touring folk singer-songwriter's schedule, albums, audio samples and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.jenniferspector.com/"} +{"d:Title": "Space Cakes, The", "d:Description": "1990s Italian garage-punk band; overview, album information, audio samples and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.thespacecakes.altervista.org/"} +{"d:Title": "Spottiswoode and His Enemies", "d:Description": "News, schedules, biography, press, album details and associated acts for the New York City band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spottiswoode.com/"} +{"d:Title": "Spacehotel", "d:Description": "Release details, videos, biography, pictures and blog.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.spacehotelmusic.com/"} +{"d:Title": "Speks, The", "d:Description": "Band performing nursery rhymes and children's songs set to Irish music. Audio samples and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp", "url": "http://www.thespeks.com/"} +{"d:Title": "S.P.O.C.K Official Fan Site", "d:Description": "Official Fan Site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/S.P.O.C.K", "url": "http://www.subspace.se/spock/"} +{"d:Title": "Star.Pilot.On.Channel.K", "d:Description": "A rather nice unofficial site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/S.P.O.C.K", "url": "http://starpilot.8m.com/"} +{"d:Title": "Squishy Space", "d:Description": "Unique cartoons and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Space", "url": "http://www.angelfire.com/la/hepburnspace/"} +{"d:Title": "DaveMcNally.com: Spandau Ballet", "d:Description": "Lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spandau_Ballet", "url": "http://www.davemcnally.com/Lyrics/SpandauBallet/"} +{"d:Title": "The Official Tony Hadley Page", "d:Description": "Information on Tony Hadley, the former lead-singer of Spandau Ballet.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spandau_Ballet", "url": "http://www.tony-hadley.com/"} +{"d:Title": "Oz Bach: A Tribute and Memorial", "d:Description": "Spanky and Our Gang bass player, co-founder, brief history, discography, links, and lyrics, Oz's other bands.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spanky_and_Our_Gang", "url": "http://spankyandourgang.com/ozbach"} +{"d:Title": "Tune Fansite, The; Spanky and Our Gang", "d:Description": "Includes biography, photos, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spanky_and_Our_Gang", "url": "http://www.tunefan.com/spankyandourgang.htm"} +{"d:Title": "All-Reviews.com: Sparklehorse - Good Morning Spider", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Sparklehorse", "url": "http://www.all-reviews.com/music/goodmorningspider.htm"} +{"d:Title": "Metacritic: It's A Wonderful Life", "d:Description": "Multiple reviews for Sparklehorse's \"It's A Wonderful Life\" by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Sparklehorse", "url": "http://www.metacritic.com/music/its-a-wonderful-life/sparklehorse"} +{"d:Title": "Sparks", "d:Description": "Official site. Includes news, biography, discography, lyrics, reviews, show dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Sparks", "url": "http://www.allsparks.com/"} +{"d:Title": "Metacritic: Balls", "d:Description": "Links to multiple critic and user reviews for the \"Balls\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Sparks", "url": "http://www.metacritic.com/music/balls/sparks"} +{"d:Title": "Nabou.com: Britney Spears", "d:Description": "Pictures, profile, discography, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.nabou.com/celebrities/britney_spears/"} +{"d:Title": "Britney Spears: The Official Web Site", "d:Description": "Includes news, biography, discography, audio clips, tour dates, buddy icons, wallpaper, reviews, awards, and photo gallery.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.britneyspears.com/"} +{"d:Title": "Britney Spears - Jive Records", "d:Description": "Includes news, biography, photos, tour dates, audio, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.britney.com/"} +{"d:Title": "Topix: Britney Spears", "d:Description": "News feed periodically updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.topix.com/who/britney-spears"} +{"d:Title": "Topix: Britney Spears", "d:Description": "News about Britney Spears, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.topix.com/rss/who/britney-spears.xml"} +{"d:Title": "All Music Guide: Britney Spears", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.allmusic.com/artist/britney-spears-p320976"} +{"d:Title": "RollingStone.com: Britney Spears", "d:Description": "Includes biography, recordings, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.rollingstone.com/music/artists/britney-spears"} +{"d:Title": "AskMen.com: Britney Spears", "d:Description": "Short rating system including sexuality, fashion and career success.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.askmen.com/celebs/women/singer/2_britney_spears.html"} +{"d:Title": "Yahoo Music: Britney Spears", "d:Description": "Includes biography, discography, articles, news, photos, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://new.music.yahoo.com/britney-spears/"} +{"d:Title": "MTV: Britney Spears", "d:Description": "Features music video clips, album reviews and exclusive behind-the-scenes and interview footage.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney", "url": "http://www.mtv.com/artists/britney-spears/"} +{"d:Title": "What Can Britney Spears Teach Us About Web Marketing?", "d:Description": "A web marketing and search engine positioning firm examines why Britney Spears' Official Website's works and offers tips for improvement.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews", "url": "http://www.haystackinaneedle.com/news/200203britney_spears_web_marketing.htm"} +{"d:Title": "Britney Spears - Hair At BellaOnline", "d:Description": "Article by BellaOnline's Hair host, Lynne Chapman, on how to create two hairstyles from \"Boys\" video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews", "url": "http://www.bellaonline.com/articles/art5105.asp"} +{"d:Title": "Lindzi.com - They Asked, She Answered", "d:Description": "Transcript of a press conference.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews", "url": "http://www.lindzi.com/interviews/britney.htm"} +{"d:Title": "BBC News - Britney Spears 'Set for Film Role'", "d:Description": "\"Pop star Britney Spears is to make her feature film debut, playing a straight-laced high school student, according to reports.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/1111465.stm"} +{"d:Title": "BBC News - Britney to Miss Smash Hits Party", "d:Description": "\"Britney Spears pulls out of a high-profile UK appearance this weekend.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/1059124.stm"} +{"d:Title": "BBC News - Britney's Brummie Trouble", "d:Description": "\"Teenage pop star Britney Spears has been forced to delay the start of her UK tour.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/940308.stm"} +{"d:Title": "BBC News - Britney Animated Over Prince William", "d:Description": "\"Teenage pop sensation Britney Spears will go all out to snare Prince William on his 18th birthday - but only in a cartoon.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/789098.stm"} +{"d:Title": "BBC News - Britney and Backstreet Boys Share Glory", "d:Description": "\"Britney Spears and the Backstreet Boys are the big winners at the 1999 Billboard Music Awards in Las Vegas.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/556695.stm"} +{"d:Title": "BBC News - Britney Death Hoax Fools Fans", "d:Description": "\"A rumour that Britney Spears was dead is scotched after the story was spread by two radio DJs and a hoax website using the BBC logo.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1388131.stm"} +{"d:Title": "BBC News - Britney's Valentine Kiss Boosts Charity", "d:Description": "\"Pop icon Britney Spears is among several celebrities selling off their kisses for Valentine's day to help Sir Elton John's Aids charity.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/1167700.stm"} +{"d:Title": "BBC News - Britney Hosts Farewell Bash", "d:Description": "\"Young celebrities turn out for pop star Britney Spears' farewell party as she nears the end of her European tour.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/1026077.stm"} +{"d:Title": "BBC News - Britney Baffled by Sexy Image", "d:Description": "\"Teenage pop star Britney Spears says she is baffled by her raunchy image.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/850220.stm"} +{"d:Title": "BBC News - Spears Set to Top the Charts", "d:Description": "\"Teenage singing sensation Britney Spears is heading for the number one spot this week after selling record numbers of her first UK single.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/281426.stm"} +{"d:Title": "BBC News - Spears Tops the Charts", "d:Description": "\"Teenage sensation Britney Spears has shot to number one with one of the fastest-selling singles in chart history.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/283698.stm"} +{"d:Title": "BBC News - Deal Signed for Britney video games", "d:Description": "\"Singer Britney Spears' firm has signed a deal with video game publishers to produce new games linked to the international star.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/1542447.stm"} +{"d:Title": "BBC News - Britney Label Settles Online Lawsuit", "d:Description": "\"The independent label behind Britney Spears settles its infringement lawsuit against online music company MP3.com.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/1519461.stm"} +{"d:Title": "BBC News - Britney's Online Shopping Spree", "d:Description": "\"Fans of pop star Britney Spears can now go shopping online with her and boyfriend Justin Timberlake.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Articles_and_Interviews/BBC_News", "url": "http://news.bbc.co.uk/1/hi/entertainment/1384461.stm"} +{"d:Title": "Addicted to Britney", "d:Description": "Audio, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://addictedtobritney.tripod.com/"} +{"d:Title": "Another Britney Spears Website", "d:Description": "Images, a biography, links, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://anotherbritney.s5.com/"} +{"d:Title": "Britney Spears Fan Paradise", "d:Description": "Photos, news, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.superosity.com/britney/"} +{"d:Title": "Britney Zone", "d:Description": "Includes news, lookalikes, themes, skins, lyrics, pictures, and links to multimedia clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.britneyzone.com/"} +{"d:Title": "UKBritney.tv", "d:Description": "Audio/video, images, downloads, fan zone, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.ukbritney.tv/"} +{"d:Title": "Chris Loves Britney", "d:Description": "Photos, wallpapers, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.angelfire.com/fl3/ChrisLovesBritney/index.html"} +{"d:Title": "My Britney Spears Shrine", "d:Description": "Includes biography, tour dates, MP3 downloads, discography and lyrics, wallpaper, screen savers, news, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.angelfire.com/pop2/cooldude206176152/"} +{"d:Title": "I<3 Britney Spears", "d:Description": "Features a biography, photo gallery, message board, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.iheartbritney.com/"} +{"d:Title": "Breathe Heavy", "d:Description": "Britney Spears fan site features news and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.breatheheavy.com/"} +{"d:Title": "Britney Spears Fan", "d:Description": "News blog, tour dates, biography, videos and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://www.brit-s.net/"} +{"d:Title": "Britney Galaxy", "d:Description": "News, biography, trivia, information on her films and music, forums and image galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Fan_Pages", "url": "http://britney-galaxy.com/"} +{"d:Title": "Moon, The: Britney Spears in Bee Sting Tragedy", "d:Description": "A comic look at the news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Humor", "url": "http://darryn-reeds.tripod.com/britneybeesting.html"} +{"d:Title": "Britney Papers, The", "d:Description": "Five unlikely fan letters.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Humor", "url": "http://www.modernhumorist.com/mh/0006/britney/"} +{"d:Title": "Stop They're Logging Again", "d:Description": "Environmentally correct spoof of the song, Oops I Did It Again.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Humor", "url": "http://www.angelfire.com/tx3/halfbaked/bs-nsync.html"} +{"d:Title": "Nabou.com: Britney Spears", "d:Description": "Thumbnailed picture galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Image_Galleries", "url": "http://www.nabou.com/celebrities/britney_spears/photo_galleries/index.html"} +{"d:Title": "Beautiful Britney", "d:Description": "Galleries of thumbnailed photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Image_Galleries", "url": "http://www.angelfire.com/celeb2/beautifulbritney/g1.html"} +{"d:Title": "Britney Spears Ultimate", "d:Description": "Image galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Image_Galleries", "url": "http://britneypictures4u.tripod.com/"} +{"d:Title": "Amazing Beauty Britney Spears", "d:Description": "A thumbnailed picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Image_Galleries", "url": "http://babestopbritneyspear.tripod.com/home.html"} +{"d:Title": "Britney Spears Tribute", "d:Description": "Photo tribute.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Image_Galleries", "url": "http://members.tripod.com/doug1__2/index.htm"} +{"d:Title": "Mxdpi: Britney Spears", "d:Description": "Thumbnailed picture galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Image_Galleries", "url": "http://www.mxdpi.com/pictures/MUSIC/Britney_Spears"} +{"d:Title": "Perfetto, Kimberly", "d:Description": "Impersonator, tribute artist, and dancer. Resume, biography, photo gallery, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Impersonators", "url": "http://www.angelfire.com/fm/kimberli/britney.html"} +{"d:Title": "Kapri, Kileen", "d:Description": "Look-a-like, dance-a-like, sing-a-like, and sexy just like the real Britney Spears. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Impersonators", "url": "http://www.kileenkapri.8k.com/"} +{"d:Title": "Mansfield, Cally", "d:Description": "Professional singing and dancing Britney impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Impersonators", "url": "http://www.britneytribute.com/"} +{"d:Title": "Jude Prince", "d:Description": "Offers gallery, tributes, reviews, availability schedule, demo and contact information. Based in the United Kingdom.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Impersonators", "url": "http://web.onetel.com/~jprincewright/"} +{"d:Title": "Brit-Mania Lyrics S21", "d:Description": "Includes lyirics sorted by albums, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Lyrics", "url": "http://www.britmania.8m.com/"} +{"d:Title": "BBC News - Concert Review : 'Brilliant' Britney", "d:Description": "Seven year old April Summers reviews Britney Spears' first concert in her UK tour in Wembley Arena.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/960958.stm"} +{"d:Title": "Currentfilm.com: Britney Spears - Live and More", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews", "url": "http://www.currentfilm.com/dvdreviews2/spearsliveandmoredvd.html"} +{"d:Title": "CurrentFilm.com: Britney Spears - Time Out With Britney Spears", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews", "url": "http://www.currentfilm.com/dvdreviews/britneyspearsdvd.html"} +{"d:Title": "CurrentFilm.com: Britney Spears - Live in Las Vegas", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews", "url": "http://www.currentfilm.com/dvdreviews4/britneyvegasdvd.html"} +{"d:Title": "DVD Review: Britney Spears: Star Baby Scrapbook", "d:Description": "A review of the DVD.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews", "url": "http://www.currentfilm.com/dvdreviews/starbabydvd.html"} +{"d:Title": "PopMatters: Britney Spears - Lucky", "d:Description": "Jessica Harbour's review of the video: \"a departure for Britney Spears. She's not in love, she doesn't dance, and her clothing choices are relatively subdued.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews", "url": "http://www.popmatters.com/music/videos/s/spearsbritney-lucky.html"} +{"d:Title": "Allpop.com: Britney Spears - Britney", "d:Description": "Stephanie McGrath's review: \"sounds like the pop princess actually put a bit of thought and time into the songs.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews/Britney", "url": "http://www.canoe.ca/AllPopCDS/spearsbritney_britney-can.html"} +{"d:Title": "PopMatters: Britney Spears - Britney", "d:Description": "Nikki Tranter's review: \"it is reassuring to see Britney attempting to test her musical diversity.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews/Britney", "url": "http://www.popmatters.com/review/spearsbritney-britney/"} +{"d:Title": "CANOE: Britney Spears - Britney", "d:Description": "Jane Stevenson's review: \"it's where Spears contributes as co-writer that her material is the strongest.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews/Britney", "url": "http://jam.canoe.com/Music/Artists/S/Spears_Britney/AlbumReviews/2001/11/04/771909.html"} +{"d:Title": "Popentertainment.com: Britney Spears - In the Zone", "d:Description": "Alex Diamond's review: \"Almost all the songs sound alike on In the Zone, and few of them sounds like something I want to listen to again anytime soon.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews/In_The_Zone", "url": "http://www.popentertainment.com/britney.htm"} +{"d:Title": "CANOE: Britney Spears - In The Zone", "d:Description": "Jane Stevenson's review: \"fourth disc is her most likeable yet\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews/In_The_Zone", "url": "http://jam.canoe.com/Music/Artists/S/Spears_Britney/AlbumReviews/2003/11/17/771911.html"} +{"d:Title": "CANOE: Britney Spears - Oops! I Did It Again", "d:Description": "Stephanie McGrath's review: \"a good pop album that will satisfy fans until the third one.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews/Oops_I_Did_It_Again", "url": "http://jam.canoe.com/Music/Artists/S/Spears_Britney/AlbumReviews/2000/05/12/771910.html"} +{"d:Title": "Metacritic: Oops I Did It Again", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spears,_Britney/Reviews/Oops_I_Did_It_Again", "url": "http://www.metacritic.com/music/oops!-i-did-it-again/britney-spears"} +{"d:Title": "Musicfolio: Spear of Destiny", "d:Description": "Discography, album reviews and ratings, with links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spear_of_Destiny", "url": "http://musicfolio.com/modernrock/spearofdestiny.html"} +{"d:Title": "Kirk Brandon's Official Site", "d:Description": "Spear of Destiny biography, releases, and news. Includes also information about other Kirk Brandon projects.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spear_of_Destiny", "url": "http://www.kirkbrandon.com/"} +{"d:Title": "Designer Magazine: Spear of Destiny", "d:Description": "2002 interview with Kirk Brandon.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spear_of_Destiny", "url": "http://designermagazine.tripod.com/KirkBrandonINT1.html"} +{"d:Title": "The Specials", "d:Description": "Official site features information on the band members' current projects, discography, news, sound clips, pictures, merchandise, links, voting polls and a discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Specials,_The", "url": "http://www.thespecials.com/"} +{"d:Title": "Entertainment Ave: The Specials", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Specials,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/the_specials.htm"} +{"d:Title": "Ska Online: The Specials", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Specials,_The", "url": "http://armand.itgo.com/specials.htm"} +{"d:Title": "He's A Rebel - Phil Spector", "d:Description": "Book Review \"He's A Rebel\" - by Mark Ribowsky.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spector,_Phil", "url": "http://www.soul-patrol.com/soul/philspector.htm"} +{"d:Title": "Spector and Lennon", "d:Description": "Biography, and articles about \"The Teddy Bears\", and the artist's role as a producer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spector,_Phil", "url": "http://www.history-of-rock.com/spector.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Phil Spector", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spector,_Phil", "url": "http://rockhall.com/inductees/phil-spector/"} +{"d:Title": "Ronnie Spector", "d:Description": "Details of her 1999 release, 'Back', produced by Joey Ramone.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spector,_Ronnie", "url": "http://www.kauhajoki.fi/~jplaitio/ronnie.html"} +{"d:Title": "For Every Kiss You Give Me, I'll Give You Three", "d:Description": "1999 Goldmine interview by Chuck Miller.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spector,_Ronnie", "url": "http://www.chuckthewriter.com/spector.html"} +{"d:Title": "The Onion AV Club: Ronnie Spector", "d:Description": "Interview with the classic pop star on coming back.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spector,_Ronnie", "url": "http://www.avclub.com/articles/ronnie-spector,13618/"} +{"d:Title": "Paul Speer", "d:Description": "The artist and producer's official page. Biography, discography, shopping, recording studio information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Speer,_Paul", "url": "http://www.paulspeer.com/"} +{"d:Title": "Singer explores love, loss", "d:Description": "Wisconsin University's Badger Herald reviews \"Begin to Hope\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://badgerherald.com/artsetc/2006/09/04/singer_explores_love.php"} +{"d:Title": "Regina Spektor.net", "d:Description": "An unofficial fan site dedicated to the music of Regina Spektor. Includes lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.reginaspektor.net/"} +{"d:Title": "Wikipedia - Regina Spektor", "d:Description": "Hyperlinked encyclopedia articles covers early life, beginnings as a songwriter, style, performances, media coverage and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://en.wikipedia.org/wiki/Regina_Spektor"} +{"d:Title": "The girl who told stories", "d:Description": "Theage.com.au interview discussing Spektor's life and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.theage.com.au/news/music/the-girl-who-told-stories/2006/12/07/1165081054237.html?page=fullpage"} +{"d:Title": "Stories in Song: Regina Spektor's 'Begin to Hope'", "d:Description": "National Public Radio's All Things Considered interview of Spektor. (Audio)", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.npr.org/templates/story/story.php?storyId=5518985"} +{"d:Title": "NPR Live Concert Series - Regina Spektor in Concert", "d:Description": "Concert in Washington D.C. from the \"Begin to Hope\" tour. [Real Audio or Windows Media]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.npr.org/templates/story/story.php?storyId=6161219"} +{"d:Title": "Spektor Brings Her Bronx Tale Home", "d:Description": "New York Sun profile includes career highlights.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.nysun.com/article/40385"} +{"d:Title": "Spectral Musings", "d:Description": "Tucson Weekly artist profile reflects on lines from Spektor's songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.tucsonweekly.com/gbase/Music/Content?oid=88732"} +{"d:Title": "Last.fm - Regina Spektor", "d:Description": "Social music platform includes listeners, tags, pics, biography, charts, and similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.last.fm/music/Regina+Spektor"} +{"d:Title": "Spektor, Regina", "d:Description": "Official site for the New York singer and pianist. News, photo gallery, tour dates, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://reginaspektor.com/"} +{"d:Title": "Spektor\u2019s True Creativity Shines Through", "d:Description": "Badger Herald review focusing on \"Soviet Kitsch\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://badgerherald.com/artsetc/2005/03/10/spektors_true_creati.php"} +{"d:Title": "Regina Spektor's Got New \"Hope\"", "d:Description": "Rolling Stone article previews \"Begin to Hope.\" (March 15, 2006)", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.rollingstone.com/music/news/regina-spektors-got-new-hope-20060315"} +{"d:Title": "Allmusic - Regina Spektor", "d:Description": "Biography, discography, and charts and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://allmusic.com/artist/p637024"} +{"d:Title": "Regina Spektor's Boundless Talent", "d:Description": "CBS news interview discussing background and musicality.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spektor,_Regina", "url": "http://www.cbsnews.com/news/regina-spektors-boundless-talent/"} +{"d:Title": "Pure As Spice", "d:Description": "Spice Girls desktops.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://pure-as-spice.tripod.com/"} +{"d:Title": "Forever Spice", "d:Description": "A webring for Spice Girls sites.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://webhome.idirect.com/~jherrera/index.html"} +{"d:Title": "2001 Spice Empire", "d:Description": "Biographies, pictures, lyrics, multimedia, news, interviews, chat rooms, message board, and contests.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.angelfire.com/ca2/spicecontest/"} +{"d:Title": "Spice Girls - Virginia", "d:Description": "News, concerts, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://va_spice0.tripod.com/index.htm"} +{"d:Title": "Spice Girls Forever", "d:Description": "Contains photos, multimedia and computer downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.angelfire.com/pop/raul/"} +{"d:Title": "Spice Girls Generation Information", "d:Description": "Updated news, pictures, audio, video, reviews, forum, and concerts information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.angelfire.com/ok2/spicegirls5/info.html"} +{"d:Title": "World of Spice 4.0", "d:Description": "News, links, image galleries, polls, biographies, animations, all relating to the members of the Spice Girls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://members.tripod.com/t_spice/wos4.htm"} +{"d:Title": "SpiceGirlsFanatic.org", "d:Description": "Fansite provides news, pictures, downloads, entertainment information, and street team access.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.spicegirlsfanatic.org/"} +{"d:Title": "Spice Girls Generation", "d:Description": "Archived news, multimedia, pictures, forum, fan club and magazine scans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://spicegirlsgeneration.tripod.com/whatsnew.html"} +{"d:Title": "Total Posh and Sporty Spice", "d:Description": "Melanie \"Sporty Spice\" Chisholm and Victoria \"Posh Spice\" Beckham oriented. Split between the two mentioned Spice Girls, each area includes a short biography, photograph gallery, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://members.tripod.com/~nec_3/"} +{"d:Title": "Spice Girls Reunion", "d:Description": "Pictures, videos and articles from the group's 2007-08 tour.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.spicegirlsreunion.co.uk/"} +{"d:Title": "Zigazig Ha", "d:Description": "Image collection of the Spice Girls and Beckhams.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.zigazig-ha.com/"} +{"d:Title": "Spice Kingdom", "d:Description": "Includes fact files, pictures, midi, videos, postcards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://sbgps.tripod.com/spice.html"} +{"d:Title": "Chremary's Spice Girls Page", "d:Description": "Pictures, videos, midi, lyrics, links, news, polls and fact files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://chremary.tripod.com/spice.html"} +{"d:Title": "Extreme Spice", "d:Description": "Includes pictures, profiles, lyrics, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://extremespice.tripod.com/index.html"} +{"d:Title": "AskMen.com: Spice Girls", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.askmen.com/celebs/women/singer/33_spice_girls.html"} +{"d:Title": "MTV.com - Spice Girls", "d:Description": "MTV's artist area on the Spice Girls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spice_Girls", "url": "http://www.mtv.com/artists/spice-girls/"} +{"d:Title": "Spiderbait", "d:Description": "Pictures, lyrics, song clips, tablature, discography, videography, media quotes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiderbait", "url": "http://members.tripod.com/~kramjanet/"} +{"d:Title": "Live Review: Spiderbait", "d:Description": "A review of a 1996 concert in Vancouver, Australia. With various pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiderbait", "url": "http://dropd.com/issue/27/Spiderbait/"} +{"d:Title": "BBC News | ENTERTAINMENT | Spiller and Jaxx take Muzik honours", "d:Description": "The winners of the Ericsson Muzik Awards are announced at a ceremony in London.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiller", "url": "http://news.bbc.co.uk/1/hi/entertainment/979958.stm"} +{"d:Title": "BBC News | ENTERTAINMENT | Spiller star slams Posh campaign", "d:Description": "Spiller vocalist Sophie Ellis-Bextor slams chart rival Victoria Beckham's 'underhand' chart campaign.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiller", "url": "http://news.bbc.co.uk/1/hi/entertainment/886316.stm"} +{"d:Title": "Spin Doctors", "d:Description": "Official site. Includes a track listing, RealAudio sound clips, and a band biography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spin_Doctors", "url": "http://www.spindoctors.com/"} +{"d:Title": "All Music Guide: The Spin Doctors", "d:Description": "Biography, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spin_Doctors", "url": "http://www.allmusic.com/artist/p14348"} +{"d:Title": "Spin Doctors, You've Got to Believe in Something", "d:Description": "Review of the album, by Dan Birchalland Maisha Marsh.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spin_Doctors/Articles_and_Interviews", "url": "http://www.westnet.com/consumable/1996/07.11/revspind.html"} +{"d:Title": "Spin Doctors Album Reviews", "d:Description": "Reviews of \"Pocket Full of Kryptonite\" and \"Turn It Upside Down\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spin_Doctors/Articles_and_Interviews", "url": "http://www.angelfire.com/mi/g/spinscdreviews.html"} +{"d:Title": "Spiritualized Electric Mainline", "d:Description": "Includes news, discography, lyrics and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiritualized", "url": "http://www.angelfire.com/ca/spiritualized/"} +{"d:Title": "Electricity a Spiritualized Site", "d:Description": "Site features news, some audio, pictures, biographies and art.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiritualized", "url": "http://www.angelfire.com/ok3/electricity/"} +{"d:Title": "Spiritualized", "d:Description": "Music, news, mailing list, video, tour dates, gallery, message board, merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiritualized", "url": "http://www.spiritualized.com/"} +{"d:Title": "NME.com: Spiritualized", "d:Description": "Contains discography, articles, reviews and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiritualized", "url": "http://www.nme.com/artists/spiritualized"} +{"d:Title": "Metacritic: Let It Come Down", "d:Description": "Multiple reviews for Spiritualized's \"Let It Come Down\" by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spiritualized", "url": "http://www.metacritic.com/music/let-it-come-down/spiritualized"} +{"d:Title": "A Space Boy Named Splender", "d:Description": "Splender lyrics, biography, and audio/video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Splender", "url": "http://www.angelfire.com/sk/splenderpage/"} +{"d:Title": "Double Happy", "d:Description": "The music of Eddie Rayner, keyboardist for Split Enz and creator of the ENZSO projects. Biography, discography, news, interviews, pictures and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Split_Enz", "url": "http://members.tripod.com/~Double_Happy/"} +{"d:Title": "Frenz.com: Split Enz", "d:Description": "Discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Split_Enz", "url": "http://www.frenz.com/splitenz"} +{"d:Title": "Finndex", "d:Description": "Alphabetized listing of all things Finn, including discography, interview transcripts, and tours.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Split_Enz", "url": "http://www.somethingsofinn.com/finndex.html"} +{"d:Title": "All Music Guide: Split Enz", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Split_Enz", "url": "http://www.allmusic.com/artist/p5501"} +{"d:Title": "Entertainment Ave: Sponge", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Sponge", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/sponge/sp122096.htm"} +{"d:Title": "Spoon", "d:Description": "Official site. Includes news, gigs, merchandise, MP3s and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spoon", "url": "http://www.spoontheband.com/"} +{"d:Title": "Matador Records: Spoon", "d:Description": "Label site for Spoon in Europe and for their first American releases includes biography, discography, audio, video and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spoon", "url": "http://www.matadorrecords.com/spoon/"} +{"d:Title": "Emusic", "d:Description": "Band page at popular music download site. Includes images, discography, audio, reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spoon", "url": "http://www.emusic.com/artist/10559/10559401.html"} +{"d:Title": "Sharon's Spoon Fan Site", "d:Description": "Extensive fan site includes biography, live set lists, discography, lyrics, photos, articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spoon", "url": "http://sharoony.bol.ucla.edu/spoon.htm"} +{"d:Title": "All Music Guide", "d:Description": "Biography, photos, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Spoon", "url": "http://www.allmusic.com/artist/spoon-mn0000131038"} +{"d:Title": "Dusty Devotedly", "d:Description": "The musical legacy of the late British popular vocalist. Includes news, interviews with folks associated with Dusty, photos and a discographical archive.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Dusty", "url": "http://www.simonbell.com/Dustydevotedly.html"} +{"d:Title": "Woman of Repute", "d:Description": "Unofficial site includes articles, discography, biography, and fan tributes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Dusty", "url": "http://www.cptelecom.net/mbayly/"} +{"d:Title": "RollingStone.com: Dusty Springfield", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Dusty", "url": "http://www.rollingstone.com/music/artists/dusty-springfield"} +{"d:Title": "Rock and Roll Hall of Fame: Dusty Springfield", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Dusty", "url": "http://rockhall.com/inductees/dusty-springfield/"} +{"d:Title": "Rick Springfield Fan Club - Online", "d:Description": "Provides information on how to join, news, newsletter and history of the club.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Rick", "url": "http://www.rsfc-online.org/"} +{"d:Title": "It All Started Here...Rick Springfield", "d:Description": "Includes concert schedule, chat, blog and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Rick", "url": "http://www.rickspringfield.net/"} +{"d:Title": "Rick Springfield's Official Site", "d:Description": "Find tour information, song lyrics, a biography, fan club information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Rick", "url": "http://www.rickspringfield.com/"} +{"d:Title": "Rick Springfield Zone", "d:Description": "A fan site with pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Rick", "url": "http://eamsk1969.tripod.com/rsmainpg.html"} +{"d:Title": "We Love Rick Springfield", "d:Description": "A network for fans, with news and information about Rick Springfield, including tour dates, photos, original artwork and fan forums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Rick", "url": "http://www.weloverickspringfield.com/"} +{"d:Title": "Rick Springfield Club Med", "d:Description": "Rose Tours offers a cruise with Rick Springfield.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Rick", "url": "http://rickspringfield.rosetours.com/"} +{"d:Title": "An Affair of the Heart", "d:Description": "A documentary about the devotion of Rick Springfield's fans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springfield,_Rick", "url": "http://www.rickspringfielddoc.com/"} +{"d:Title": "Brucemaps", "d:Description": "Maps and information which pinpoint places that Bruce has sung about, and places where he has lived, played, and recorded.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.brucemaps.com/"} +{"d:Title": "Lucky Town", "d:Description": "Email list, information and links for Bruce Springsteen fans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.luckytown.org/"} +{"d:Title": "The Stone Pony Springsteen Magazine", "d:Description": "A Spanish Springsteen magazine, fan club and catalogue. In English and Spanish.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.ofitecnica.net/stonepony/"} +{"d:Title": "Bruce Springsteen", "d:Description": "The official Sony site, containing news, including concert schedule, lyrics and details of his albums.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.brucespringsteen.net/"} +{"d:Title": "Backstreets.com - The Boss Website", "d:Description": "Includes Springsteen news and information about Backstreets Magazine, a long-running fanzine.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.backstreets.com/"} +{"d:Title": "ThunderRoad On-Line", "d:Description": "Official site of the Belgian Bruce Springsteen fan club includes news, wallpaper, tour summary, and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.victorie.com/thunderroad/"} +{"d:Title": "The Hitter", "d:Description": "An online Springsteen commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://users.erols.com/smithsvoboda/index.html"} +{"d:Title": "Noteworthy Charitable Causes", "d:Description": "List of charities that Bruce Springsteen has supported.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://bruce.orel.ws/charities.html"} +{"d:Title": "Lebanese Tribute to Bruce Springsteen", "d:Description": "The first and only Lebanese website dedicated to Bruce Springsteen.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.springsteenlyrics.com/"} +{"d:Title": "Bruce Springsteen discography", "d:Description": "Official releases, including release date and catalogue information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://bruce.orel.ws/discography.html"} +{"d:Title": "Bruce Springsteen Celebrity Shrine", "d:Description": "A New Jersey Online web site. Includes interviews, photos, tours of Asbury Park, and a \"fantasy concert builder\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.nj.com/enter/index.ssf?/springsteen/%3F"} +{"d:Title": "Bruce Springsteen News: Topix", "d:Description": "News about Bruce Springsteen continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.topix.com/who/bruce-springsteen"} +{"d:Title": "Topix: Bruce Springsteen", "d:Description": "News about Bruce Springsteen, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.topix.com/rss/who/bruce-springsteen.xml"} +{"d:Title": "NJ.com: Bruce Springsteen", "d:Description": "News stories, blog, photos, videos and forum from The Star-Ledger.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.nj.com/springsteen/"} +{"d:Title": "Across the Border", "d:Description": "Fan's trade and request lists, interviews and memorabilia from Dutch concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://springsteen.ws/"} +{"d:Title": "RollingStone.com: Bruce Springsteen", "d:Description": "Includes biography, pictures, articles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.rollingstone.com/music/artists/bruce-springsteen"} +{"d:Title": "Rock and Roll Hall of Fame: Bruce Springsteen", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://rockhall.com/inductees/bruce-springsteen/"} +{"d:Title": "Bruce Springsteen Web Ring", "d:Description": "Collection of over 140 Springsteen Web sites.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.webring.org/hub?ring=theboss"} +{"d:Title": "MTV.com - Bruce Springsteen", "d:Description": "An archive of Springsteen reviews and news items.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce", "url": "http://www.mtv.com/artists/bruce-springsteen/"} +{"d:Title": "SpringsteenLive.com", "d:Description": "Sound files and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Downloads", "url": "http://www.springsteenlive.com/"} +{"d:Title": "Loose Ends", "d:Description": "Lyrics in English and Italian, news, photos, sound, and setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.loose-ends.it/"} +{"d:Title": "Greasy Lake", "d:Description": "Comprehensive Bruce Springsteen fan resource. Includes news, pictures, discussion forum, articles and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.greasylake.org/"} +{"d:Title": "Matt Orel's Bruce page", "d:Description": "Includes a discography, concert reviews, images of album covers and singles sleeves.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://bruce.orel.ws/"} +{"d:Title": "Jeroen's Bruce Springsteen Page", "d:Description": "Comprehensive lyrics archive.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.xs4all.nl/~maroen/engels/bruce.html"} +{"d:Title": "Candy's Room", "d:Description": "Includes articles, interviews, lyrics and over 1000 links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://candysroom.freeservers.com/"} +{"d:Title": "Rendezvous Bruce Springsteen", "d:Description": "Fansite includes a news archive, pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.angelfire.com/nj/larryluv/"} +{"d:Title": "Bruce Springsteen", "d:Description": "Offers news, tour dates, links, lifeline and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.angelfire.com/rock/brummi/bruce/bs1.html"} +{"d:Title": "Glory Days", "d:Description": "News, quotes, downloads, chords, and galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.springsteen.de/"} +{"d:Title": "Nebraska", "d:Description": "Includes a discography of cover versions of Springsteen songs, and details of guest appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://nebraska_99.tripod.com/"} +{"d:Title": "Bruce Springsteen Database - Killing Floor", "d:Description": "Database of lyrics, discography, and setlists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.brucespringsteen.it/"} +{"d:Title": "Bruce Springsteen Canada", "d:Description": "A site specifically for Canadian Springsteen fans. Includes tour information, setlist archives, articles, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Fan_Pages", "url": "http://www.brucespringsteen.ca/"} +{"d:Title": "Blood Brothers: The Boss and The Artist Maxy", "d:Description": "Paintings from the Blood Brothers video, featured in the Tramps NYC scenes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Image_Galleries", "url": "http://www.maxyfactory.com/brucespringsteen"} +{"d:Title": "BR's Classic Rock Concert Photos", "d:Description": "Springsteen photo collection.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Image_Galleries", "url": "http://www.e-rockworld.com/springsteen.htm"} +{"d:Title": "Bruce Springsteen", "d:Description": "Bruce Springsteen's filmography at IMDb.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Movies", "url": "http://www.imdb.com/name/nm0819803/"} +{"d:Title": "Garry Tallent", "d:Description": "Tribute to the bass guitarist in Springsteen's E Street Band. Includes biography, pictures, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Related_Musicians_and_Places", "url": "http://perso.wanadoo.es/tallent/"} +{"d:Title": "Nils Lofgren.com", "d:Description": "The official site. Includes news, a biography, and a letter from Nils.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Related_Musicians_and_Places", "url": "http://www.nilslofgren.com/"} +{"d:Title": "Clarence Clemons", "d:Description": "The Big Man's official web site. Includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Related_Musicians_and_Places", "url": "http://www.clarenceclemons.com/"} +{"d:Title": "Soozie Tyrell's Official Site", "d:Description": "The violinist currently performing with Bruce Springsteen and the E Street Band on the \"The Rising\" tour.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Related_Musicians_and_Places", "url": "http://www.soozietyrell.com/"} +{"d:Title": "The Official Gary US Bonds Web Site", "d:Description": "The official Web site of singer Gary \"US\" Bonds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Related_Musicians_and_Places", "url": "http://www.garyusbonds.com/"} +{"d:Title": "Joe Grushecky and the Houserockers", "d:Description": "The official Grushecky site. Includes news, a discography, photographs and mp3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Related_Musicians_and_Places", "url": "http://www.joegrushecky.ca/"} +{"d:Title": "Save Tillie", "d:Description": "The site of the Palace Preservation Campaign, a campaign to save Palace Amusements, Asbury Park.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Related_Musicians_and_Places", "url": "http://savetillie.homestead.com/"} +{"d:Title": "Tracks", "d:Description": "A CNN review of the 1998 collection of rarities.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.cnn.com/SHOWBIZ/Music/9811/12/review.springsteen.tracks/intro.html"} +{"d:Title": "Reviews of Bruce Springsteen albums", "d:Description": "A collection of reviews, mostly taken from the Australian Rolling Stone magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://gilliver.net/music/bruce/reviews/"} +{"d:Title": "Rock and Read", "d:Description": "Will Percy interviews Springsteen about The Ghost of Tom Joad album and the influence of books on his songwriting.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.doubletakemagazine.org/mag/html/backissues/12/steen/"} +{"d:Title": "USATODAY.com - Springsteen is still 'Born to Run'", "d:Description": "An interview concerning the reunion tour with the E Street Band, and the Live in New York City HBO Special and CD.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.usatoday.com/life/music/2001-04-04-bruce-springsteen.htm"} +{"d:Title": "CNN.com - Review: Springsteen relevant in 'Rising'", "d:Description": "A review of The Rising from CNN.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://edition.cnn.com/2002/SHOWBIZ/Music/07/29/ew.rec.mus.rising/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Bruce Springsteen", "d:Description": "Ratings and analysis of Springsteen's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.sfloman.com/brucespringsteen.html"} +{"d:Title": "The Nation - Springsteen for Change", "d:Description": "Explores Springsteen's involvement in the 2004 election through music tours, and his views on changing America. (Oct. 7, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.thenation.com/article/springsteen-change"} +{"d:Title": "Born to Run Review", "d:Description": "Greil Marcus' Rolling Stone review of Bruce Springsteen's 1975 album Born to Run.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.rollingstone.com/music/albumreviews/born-to-run-19851001"} +{"d:Title": "Guardian Unlimited - Bruce Springsteen, Devils and Dust", "d:Description": "Review of Devils and Dust from the Guardian.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.theguardian.com/music/2005/apr/22/popandrock.shopping"} +{"d:Title": "Light of Day: The Rebirth of Bruce Springsteen", "d:Description": "An article about the reunion tour.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.avclub.com/article/light-of-day-the-rebirth-of-bruce-springsteen-1382"} +{"d:Title": "Live in New York City", "d:Description": "A review from PopMatters.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.popmatters.com/review/springsteenbruce-live/"} +{"d:Title": "Metacritic: Live in New York City", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Reviews", "url": "http://www.metacritic.com/music/live-in-new-york-city/bruce-springsteen-the-e-street-band"} +{"d:Title": "Stone Pony Band", "d:Description": "A 9 piece Cleveland, Ohio tribute band to Bruce Springsteen and Southside Johnny. Includes show dates, member profiles, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Tribute_Acts", "url": "http://www.stoneponyband.com/"} +{"d:Title": "B Street Band, The", "d:Description": "A Bruce Springsteen tribute band for over twenty years. Features audio clips, pictures, schedule, and link page.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Tribute_Acts", "url": "http://www.bstreetband.com/"} +{"d:Title": "Bruce in the USA", "d:Description": "A \"look and sound-a-like\" tribute to Bruce Springsteen and the E Street Band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Tribute_Acts", "url": "http://www.bruceintheusa.com/"} +{"d:Title": "Tramps Like Us", "d:Description": "Biography, schedule, pictures, audio, video, song list, press and booking contact for the New York-based tribute band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Tribute_Acts", "url": "http://www.trampslikeus.com/"} +{"d:Title": "Glory Days", "d:Description": "Tribute band from Burlington, Ontario. Provides contact details and gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Tribute_Acts", "url": "http://www.glorydays.ca/"} +{"d:Title": "Springsteen", "d:Description": "California-based tribute showcases the early years. Pictures, audio samples, schedule, press and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sp/Springsteen,_Bruce/Tribute_Acts", "url": "http://www.brucespringsteentribute.com/"} +{"d:Title": "Squirrels, The", "d:Description": "Pacific Northwest comedy band. Provides news, MP3 downloads, reviews and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq", "url": "http://www.thesquirrels.com/"} +{"d:Title": "Squanky Kong", "d:Description": "California artist's news, biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq", "url": "http://www.squankykong.com/"} +{"d:Title": "Perfect Sound Forever: Squarepusher", "d:Description": "Tom Jenkinson discusses his influences and goals.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squarepusher", "url": "http://www.furious.com/perfect/squarepusher.html"} +{"d:Title": "Warp Records: Squarepusher", "d:Description": "News, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squarepusher", "url": "http://warp.net/records/squarepusher"} +{"d:Title": "Metacritic: Go Plastic", "d:Description": "Multiple reviews for Squarepusher's \"Go Plastic\" by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squarepusher", "url": "http://www.metacritic.com/music/go-plastic/squarepusher"} +{"d:Title": "Squeezefan", "d:Description": "Official site, with news, biography, tour dates, discography, photos, songbook, audio, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squeeze", "url": "http://www.squeezefan.com/"} +{"d:Title": "Wilson and Allroy's Record Reviews: Squeeze", "d:Description": "Reviews of the band's albums, as well as related albums by Jools Holland and Paul Carrack.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squeeze", "url": "http://www.warr.org/squeeze.html"} +{"d:Title": "Glenn Tilbrook Webspace", "d:Description": "Official site includes discography, news, show dates, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squeeze/Tilbrook,_Glenn", "url": "http://www.glenntilbrook.com/"} +{"d:Title": "Swingin Cici's Squirrel Nut Zippers Page", "d:Description": "A fan page with biography, pictures, lyrics, song clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squirrel_Nut_Zippers", "url": "http://members.tripod.com/~Swingin_Cici/index.html"} +{"d:Title": "La Plume Noire: Squirrel Nut Zippers", "d:Description": "Review of 'Bedlam Ballroom'.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squirrel_Nut_Zippers", "url": "http://www.plume-noire.com/music/releases/squirrelnutzippers.html"} +{"d:Title": "All-Reviews.com: Christmas Caravan", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squirrel_Nut_Zippers", "url": "http://www.all-reviews.com/music/christmascaravan.htm"} +{"d:Title": "The Onion AV Club: Squirrel Nut Zippers", "d:Description": "Interview with the hot-jazz combo on making everything old new again.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squirrel_Nut_Zippers", "url": "http://www.avclub.com/articles/squirrel-nut-zippers,13460/"} +{"d:Title": "The Squirrel Nut Zippers Webring", "d:Description": "A web ring dedicated to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squirrel_Nut_Zippers", "url": "http://www.webring.org/hub?ring=zippering"} +{"d:Title": "Metacritic: Bedlam Ballroom", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sq/Squirrel_Nut_Zippers", "url": "http://www.metacritic.com/music/bedlam-ballroom/squirrel-nut-zippers"} +{"d:Title": "SR-71", "d:Description": "Contains tour dates, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sr/SR-71", "url": "http://members.tripod.com/luvsr71/index.htm"} +{"d:Title": "SR-71 Online", "d:Description": "Includes biographies, discography, videography, audio, video, pictures, tour dates, reviews, lyrics, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sr/SR-71", "url": "http://www.angelfire.com/ny4/SR/index.html"} +{"d:Title": "John Allen Online", "d:Description": "The first fan site for SR-71 drummer, John Allen. Includes biography, facts, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sr/SR-71", "url": "http://www.angelfire.com/rock3/johnallen/"} +{"d:Title": "SR-71 Discussion Group", "d:Description": "Yahoo Group for SR-71 fans. This has received posts from band members and is monitored by their management company", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sr/SR-71", "url": "http://groups.yahoo.com/group/sr-71/"} +{"d:Title": "Sr-71 News: Topix", "d:Description": "News about Sr-71 continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sr/SR-71", "url": "http://www.topix.com/who/sr-71"} +{"d:Title": "S.S.P.", "d:Description": "Super Sexy Porno. Grindcore female band with antisexist, antiracist and animalist lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Ss", "url": "http://web.tiscali.it/sexysuperporno/"} +{"d:Title": "SS501", "d:Description": "Fan site for the Korean pop group features biographies, news, pictures, videos, tour dates and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Ss", "url": "http://triplesphilippines.blogspot.com/"} +{"d:Title": "Stoican, Niculina", "d:Description": "Romanian folklore singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://niculinastoican.tripod.com/"} +{"d:Title": "Stop Band", "d:Description": "Polish duet. Includes history, audio, video, photos, repertoire, and calendar. [English, German, and Polish versions]", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stopband.com.pl/"} +{"d:Title": "Street, Nicolette", "d:Description": "Singer, composer, guitarist, and pianist. Biography, pictures, sound clips, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.nstreet.com/"} +{"d:Title": "Steele, Greg", "d:Description": "Dedicated to the former guitar player of Faster Pussycat. Biography, pictures, tour dates, news, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://greg_steele1.tripod.com/"} +{"d:Title": "Stout, Darrin and the Starlighters", "d:Description": "Roots rockabilly trio based in Los Angeles. Featuring biography, reviews, booking, and release information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://home.earthlink.net/~kyskim/"} +{"d:Title": "Stiff Kitty", "d:Description": "Chicago rock band. Band information, pictures and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.dsblues.com/stiffkitty/"} +{"d:Title": "Stewart, Wynn", "d:Description": "Photos, biography, discography, chat room, a jukebox that plays Real Audio music, and country music links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.wynnstewart.com/"} +{"d:Title": "Stormy Weather", "d:Description": "Doo-wop and Rock and Roll. Follow their travels as they sing a capella music, from the White House to the Olympics. Hear sound clips and see the photo album online.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stormy-weather.com/"} +{"d:Title": "Stingrays, The", "d:Description": "Oldies rock and roll music group playing at popular clubs, festivals, weddings, and private parties throughout the Chicago area and Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.thestingrays.com/"} +{"d:Title": "St. Diablo", "d:Description": "A heavy band from Virginia. Includes show dates,MP3, news, lyrics and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/va/stdiablo/"} +{"d:Title": "St. Eve", "d:Description": "Information, music and pictures about St. Eve, the music project by L. Gabrielle Penabaz, and T.H.O.S.E. Events.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.st-eve.net/"} +{"d:Title": "St Peters, Crispian", "d:Description": "A tribute to the songs and music of the sixties superstar Crispian St Peters, giving full details of his life, career and a full discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.members.tripod.com/crispianstpeters/"} +{"d:Title": "Staats, Johnny", "d:Description": "Official site of the composer and mandolin virtuoso includes a biography, reviews, sound files, photos, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.johnnystaats.com/"} +{"d:Title": "Stanton Anderson Band", "d:Description": "Official site includes history, gig dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/sd/stantonanderson/"} +{"d:Title": "Star, Jasmine", "d:Description": "Photos, songlist, MP3 downloads, and online CD sales.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.jasminestar.com/"} +{"d:Title": "Stark Naked", "d:Description": "Rock band from Houston, Texas.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://starknakedband.tripod.com/"} +{"d:Title": "Starlight Mints", "d:Description": "Discography, lyrics, reviews, and pictures of the punk band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/goth/crass1/"} +{"d:Title": "State Edition", "d:Description": "Band from Harrisonburg, Virginia. Band pictures, history and current news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/myband/Wside/"} +{"d:Title": "State of Being", "d:Description": "Official website features news, member profiles, lyrics and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stateofbeing.com/"} +{"d:Title": "State Radio", "d:Description": "Band from Sherborn, Massachusetts. Biography, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stateradio.com/"} +{"d:Title": "Static Circus", "d:Description": "Acid-jazz, groove-jazz, or jamband. Music, photos, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.staticcircus.com/"} +{"d:Title": "Statiks, The", "d:Description": "Lancaster/Philly-based punk rock band. Includes photos, MP3 files, news and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/punk/TheStatiks/"} +{"d:Title": "St.Clair, Terry", "d:Description": "Singer/songwriter of acoustic music with a folk bias. Album information, song clips, gig list, lyrics, biography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.terrystclair.com/"} +{"d:Title": "Stead, Joe", "d:Description": "Folk songs/sea shanty singer. Recordings, sound samples, lyrics, and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.joestead.com/"} +{"d:Title": "Steakknife", "d:Description": "Official homepage of one of Germany's finest punkrock bands, including mp3, pictures, background information and some reviews of venues we're the band played.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.steakknife.org/"} +{"d:Title": "Stealth", "d:Description": "Rock band from the UK. Includes a biography, photos, contact information and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.officialstealth.20m.com/"} +{"d:Title": "Ste-Croix, Bonnie", "d:Description": "Singer from Vancouver, British Columbia. Tour information, discography, photos, fan forum, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.bonniestecroix.com/"} +{"d:Title": "Steen, Vincens", "d:Description": "Facts and background information regarding the artist, as well as lyrics, fan club information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/rnb/vincens/"} +{"d:Title": "Stein, Mark", "d:Description": "Home of the Vanilla Fudge lead vocalist and keyboardist. Includes interactive discography, biography and links to related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.mark-stein.com/"} +{"d:Title": "Stephen Parker Band, The", "d:Description": "Folk, rock, pop, country group. Biographies, profiles, schedule, sound samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stephenparkerband.com/"} +{"d:Title": "Stephens, Scott", "d:Description": "Official website.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.scottstephens.8m.com/"} +{"d:Title": "Stereo Soul Future", "d:Description": "SSF official homepage, with lyrics, news, biography, MP3s, discography, tour dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/ma/stereosoulfuture/"} +{"d:Title": "Sterling, Suzanne", "d:Description": "Information on upcoming events, Bhakti and Skyclad CDs, vocal connection workshops, sacred singing, devotional music and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.suzannesterling.com/"} +{"d:Title": "Stern, Jesse", "d:Description": "Resident of Seattle. Primarily a bassist, also proficient with voice, percussion and guitar. Full resume on site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.jessestern.com/"} +{"d:Title": "Stevens, Grant", "d:Description": "Background information, album information and credits, pictures, and a link to MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.grantstevens.de/"} +{"d:Title": "Stevenson, Luke", "d:Description": "Biography, sound clips, album information and booking for the singer-songwriter and acoustic performer based in Lake Tahoe, Nevada.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.tahoeluke.com/"} +{"d:Title": "Stickers, The", "d:Description": "Pittsburgh, PA's three-time winners of the Billboard Songwriting Award. Photos, information, music, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.thestickers.com/"} +{"d:Title": "Sticky Pistil's", "d:Description": "\"The Funkinmental Experience.\" Songs from their debut release \"Hi-Fi Superfly\" have been made available as MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stickypistil.com/"} +{"d:Title": "Stiff Richards", "d:Description": "Musicians from Berkeley, California playing as a music combo in the 90's. Download MP3 tracks for free.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stiffrichards.com/"} +{"d:Title": "Still at Large", "d:Description": "Hard rock band from Luxembourg. Includes news, history, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stillatlarge.lu/"} +{"d:Title": "Still Thinking", "d:Description": "A modern alternative band ready to rock you in to the 21st century. So here begins your Still Thinking Experience.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/ms/stillthinking/"} +{"d:Title": "Stlar", "d:Description": "Punk band based in Gowanda, New York. Event dates and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://stlar.itgo.com/"} +{"d:Title": "Stoic", "d:Description": "Heavy metal rock band from Boston. Shows and events, news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://babel.massart.edu/~stoetic/"} +{"d:Title": "Stone Cupid", "d:Description": "Reviews, discography, schedule, biography and pictures for the soul/country group.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stonecupid.com/"} +{"d:Title": "Stone Dish", "d:Description": "Includes biography, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://homepage.eircom.net/~stonedish/index.html"} +{"d:Title": "Stone, Jessica", "d:Description": "Singer/songwriter performing in Los Angeles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.jessicastone.com/"} +{"d:Title": "Stone Movement, The", "d:Description": "Band from South Pasadena, California.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://thestonemovement.tripod.com/"} +{"d:Title": "Stone Parker", "d:Description": "Profiles, news, pictures, gig dates, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/band/stoneparker/"} +{"d:Title": "Stone Theory", "d:Description": "Heavy alternative rock band gives band profile and personal information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/band/stonetheory/"} +{"d:Title": "Stoned Gophers, THE", "d:Description": "Rock band from North West England.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://stonedgophers.s5.com/"} +{"d:Title": "Stonefly", "d:Description": "Christian rock group from Yakima, Washington. Pictures, biographies, information and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://himay333.tripod.com/stonefly.html"} +{"d:Title": "Storey, Nina", "d:Description": "The vocalist's official site. Audio clips, lyrics, concert schedule, photos. A style incorporating pop, blues, funk, alternative rock, jazz and soul.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.ninastorey.com/"} +{"d:Title": "Straight Jackets, The", "d:Description": "Official site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.thestraightjackets.com/"} +{"d:Title": "Strand, The", "d:Description": "Official site of the industrial dance band includes lyrics and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.strandland.com/"} +{"d:Title": "Strange Days, The", "d:Description": "Official band site for the Charelston/Huntington area band. Includes CD information, lyrics, news and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://members.tripod.com/~Jeremy_Hall/strangedays.html"} +{"d:Title": "Strangegirl", "d:Description": "Rock band from San Francisco. Concert schedule, audio downloads, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.strangegirl.net/"} +{"d:Title": "Stray From Riot", "d:Description": "A little known punk band from eastern PA. History and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/myband/StrayFromRiot/"} +{"d:Title": "Street Chiefs", "d:Description": "Five member group. Provides a biography, audio samples and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://antagoniss.tripod.com/chiefs.html"} +{"d:Title": "Street, Tresa", "d:Description": "New Country artist from Nashville, Tennessee. Includes music clips, fan club information, tour schedule, merchandise and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.tresastreet.com/"} +{"d:Title": "Streetkar", "d:Description": "Classic Rock and Roll band from Birmingham, Alabama who performs songs from the 50's through the 80's.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.streetkar.com/"} +{"d:Title": "Streets And Avenues", "d:Description": "Born from Indie Rock but not limited by it, San Francisco's Streets And Avenues would put songwriting first.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.streetsandavenues.com/"} +{"d:Title": "Streitwieser, Susan", "d:Description": "California-based singer/songwriter. Discography, biography and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://songwriter.com/susan/"} +{"d:Title": "Strickland, Mike", "d:Description": "Personal website of this pianist/composer who currently has 12 nationally released CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.mikestrickland.com/"} +{"d:Title": "Strings", "d:Description": "London-based Indian band performs top Bollywood hits, Bhangra and Gujrati folk Ra-garba for any parties or occasion.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.strings-band.com/"} +{"d:Title": "Strunz and Farah", "d:Description": "Official website for Grammy-nominated, Billboard chart-topping acoustic guitar duo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.strunzandfarah.com/"} +{"d:Title": "Stuart's Folly", "d:Description": "Mesa, Az record label: home of rock band Stuart's Folly.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.superwowrecords.com/"} +{"d:Title": "Stupido Twins", "d:Description": "Official site available in English and Finnish.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stupido.fi/"} +{"d:Title": "Styff Muffinz", "d:Description": "A metal band in the style Korn and Limp Bizkit, based in Kent, Ohio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/music/StyffMUFFinz/"} +{"d:Title": "Storm, Jon", "d:Description": "Solo artist from the UK. Real audio files, zine, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.jonstorm.com/"} +{"d:Title": "Stevens, Jodi", "d:Description": "Contains biography, photos, videos, and event schedule of the actress/singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://jodistevens.com/"} +{"d:Title": "Stack, Nathan", "d:Description": "Site contains biography, images, and audio files of the rock/pop artist based in Greensboro, North Carolina.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.nathanstack.com/"} +{"d:Title": "Stefanson, Sarah", "d:Description": "Saskatoon, Saskatchewan-based singer-songwriter. Biography, lyrics, pictures, news, tour diary and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/sk/brokenstarfish/"} +{"d:Title": "Stewart, Michael \"Patches\"", "d:Description": "Provides credits, CD sound samples, and his biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.patchesstewart.com/"} +{"d:Title": "Steppin' In It", "d:Description": "The official Web-site for this 4 piece band that combines a rich mix of Blues, Bluegrass, Funk, Zydeco and Jazz. The official source for news, tourdates and soundclips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.steppininit.com/"} +{"d:Title": "State of Samuel", "d:Description": "Swedish metal artist. Biography, discography, audio samples and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.thestateofsamuel.com/"} +{"d:Title": "Stellarscope", "d:Description": "A shoegaze band from Philadelphia, Pennsylvania. Gig schedule, biography, photographs, and a link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.angelfire.com/stars4/stellarscope/"} +{"d:Title": "Stewart, Breck", "d:Description": "Songs and music videos with gay themes. Sample cuts, photos, and a diary.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.breckstewart.com/"} +{"d:Title": "Strawberry", "d:Description": "Includes news, fan club, tour dates, biography and MP3.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.strawberryplanet.org/artists/strawberry/strawberry_index.htm"} +{"d:Title": "Stuilui", "d:Description": "Norwegian pop musician Tove Nilsen. Includes free downloads of all her songs in mp3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stuilui.com/"} +{"d:Title": "Steelhead", "d:Description": "Classic rock and blues band from Big Lake Alaska plays original material. Their souond has been described as the blues meets Alaskan country rock.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.aksteelhead.net/"} +{"d:Title": "Steve Riddle Band, The", "d:Description": "Southern California-based Christian blues/rock power trio. Contains band profile, tour dates, pictures, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.steveriddleband.com/"} +{"d:Title": "Starr, Lynn", "d:Description": "Cabaret performer's site features biography, show dates, CD ordering, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.lynnstarr.info/"} +{"d:Title": "Street Legal", "d:Description": "Norwegian based hard rock band. Find latest news and information about band members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.streetlegal.no/"} +{"d:Title": "Stephen, Ian", "d:Description": "Alternative country artist from Australia. MP3 downloads, articles, photographs, a biography, and a store.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.ianstephen.com/"} +{"d:Title": "StrojMachine", "d:Description": "Nine percussionists playing on various waste containers, sheet metal and other discarded objects. Performances are based on urban rituals, with strong hypnotic rhythms and extreme bursts of energy.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.strojmachine.com/"} +{"d:Title": "Stoopid", "d:Description": "From Colstrip, Montana, USA. Lyrics, tablature and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://werestoopid.tripod.com/"} +{"d:Title": "Stoppard, Linzi", "d:Description": "British electric violin artist; includes biography, pictures, past performance list, audio, press, testimonials, and information on her band Fuse.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.linzistoppard.com/"} +{"d:Title": "Steele, David", "d:Description": "Session singer and live vocalist. Audio samples, description of services and prices, testimonials and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.e-session-singer.com/"} +{"d:Title": "Sterians, The", "d:Description": "Progressive pop-rock band. Audio, pictures and biographies. [French and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.sterians.com/"} +{"d:Title": "StoneRun", "d:Description": "Rock band from Sheffield, UK. Blog, tour dates, audio and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://stonerunmusic.blogspot.com/"} +{"d:Title": "St Deluxe", "d:Description": "Glasgow fuzz-rock band; biography, news, videos, gigs and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stdeluxe.co.uk/"} +{"d:Title": "Stimulator", "d:Description": "Los Angeles band blends disco grooves, easy listening melodies and Europop. News, biography, videos, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stimulatortheband.com/"} +{"d:Title": "Stoney Creek Bluegrass Band", "d:Description": "West Virginia group's site features tour dates, samples, store, music, band biographies, history, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stoneycreekbluegrass.net/"} +{"d:Title": "Stoeffel, Joerg", "d:Description": "Guitarist based in Los Angeles. Biography, credits, and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://joergstoeffel.com/"} +{"d:Title": "Stayte", "d:Description": "Intense industrial rock&roll band from Vancouver. News, audio, video, history, downloads and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stayte.com/"} +{"d:Title": "Street-West, Nathaniel", "d:Description": "Blues singer/songwriter/guitarist from Malibu, California. Includes biography and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://nathanielstreetwest.com/"} +{"d:Title": "Stereo Off", "d:Description": "Five piece indie rock band website with tracks, videos, reviews and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.stereooff.com/"} +{"d:Title": "Stable Roots", "d:Description": "Reggae backing band Harrisonburg, VA. Band biography, and a tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://stableroots.stormloader.com/"} +{"d:Title": "Stylistics, The", "d:Description": "Philadelphia soul group. Includes biography, pictures, video and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St", "url": "http://www.thestylistics.org/"} +{"d:Title": "St. Lunatics", "d:Description": "News, biography, photos, music, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/St._Lunatics", "url": "http://www.st-lunatics.com/"} +{"d:Title": "Ali", "d:Description": "Official site for St. Lunatics member. Biography, news, tour dates, photos, and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/St._Lunatics", "url": "http://www.alimusic.com/"} +{"d:Title": "Wasted Lies: A Stabbing Westward Site", "d:Description": "Contains news, pictures, biography, discography, FAQ, lyrics, sound files, chat forum, poll, and tab archive.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stabbing_Westward", "url": "http://www.musicfanclubs.org/stabbingwestward/"} +{"d:Title": "Spiraling to Hell", "d:Description": "Stabbing Westward webring.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stabbing_Westward", "url": "http://www.musicfanclubs.org/stabbingwestward/ring/index.html"} +{"d:Title": "Stabbing Westward Mailing List", "d:Description": "Located at ONElist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stabbing_Westward", "url": "http://groups.yahoo.com/group/stabbingwestward"} +{"d:Title": "Sometimes It Hurts", "d:Description": "Pictures, lyrics, album information, and Windows and Yahoo Messenger themes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stabbing_Westward", "url": "http://www.angelfire.com/music3/sometimesithurts/"} +{"d:Title": "Entertainment Ave: Stabbing Westward", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stabbing_Westward", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/stabbing_westward/sw070198.htm"} +{"d:Title": "Entertainment Ave: Stabbing Westward", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stabbing_Westward", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/stabbing_westward/sw112196.htm"} +{"d:Title": "Wikipedia: Stackridge", "d:Description": "Includes history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stackridge", "url": "http://en.wikipedia.org/wiki/Stackridge"} +{"d:Title": "Stackridge", "d:Description": "Official site offers upcoming shows, video, photographs, history, and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stackridge", "url": "http://www.stackridge.net/"} +{"d:Title": "Stackridge", "d:Description": "Band information, tour dates, MP3 downloads, a video clip and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stackridge", "url": "http://www.stackridge.com/"} +{"d:Title": "Allmusic: Stackridge", "d:Description": "Biography and discography with audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stackridge", "url": "http://www.allmusic.com/artist/stackridge-mn0000178840"} +{"d:Title": "4 Walls", "d:Description": "Contains news, lyrics, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staind", "url": "http://www.angelfire.com/rock2/4walls/"} +{"d:Title": "The Staind Source", "d:Description": "Contains lyrics and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staind", "url": "http://www.angelfire.com/md2/khris20882/staindmain.htm"} +{"d:Title": "Break the Cycle", "d:Description": "Tablature, biographies, pictures, and song meanings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staind", "url": "http://www.angelfire.com/pa2/cmp/index.html"} +{"d:Title": "ArtistDirect: Staind", "d:Description": "Includes biography, sound files, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staind", "url": "http://www.artistdirect.com/artist/staind/734278"} +{"d:Title": "Staind.com", "d:Description": "Official site. Contains news, tour dates, and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staind", "url": "http://www.staind.com/"} +{"d:Title": "The Stampeders", "d:Description": "Canadian classic rock band best known for their 70's hit \"Sweet City Woman\". Official site includes biographies, photos, merchandise, soundbites, history and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stampeders,_The", "url": "http://www.stampeders.net/"} +{"d:Title": "AllMusic.com: The Stampeders", "d:Description": "Profile includes an artist biography, discography and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stampeders,_The", "url": "http://www.allmusic.com/artist/the-stampeders-mn0000742378"} +{"d:Title": "Canoe.ca: The Stampeders", "d:Description": "Profile includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stampeders,_The", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/S/Stampeders.html"} +{"d:Title": "Classic Bands: The Standells", "d:Description": "Profile with a photo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Standells,_The", "url": "http://www.classicbands.com/standells.html"} +{"d:Title": "The Standells @ pHinnWeb", "d:Description": "The liner notes of The Best of Standells give the history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Standells,_The", "url": "http://www.phinnweb.org/retro/garage/standells/"} +{"d:Title": "All Music Guide: The Standells", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Standells,_The", "url": "http://www.allmusic.com/artist/the-standells-mn0000923618"} +{"d:Title": "James Lee Stanley", "d:Description": "The official site, with news, FAQs, discography, sound clips and ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stanley,_James_Lee", "url": "http://www.jamesleestanley.com/"} +{"d:Title": "AskMen.com - Lisa Stansfield", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stansfield,_Lisa", "url": "http://www.askmen.com/celebs/women/singer_60/89_lisa_stansfield.html"} +{"d:Title": "Jelly - Pops Staples", "d:Description": "Review of the CD \"Father, Father.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staple_Singers,_The", "url": "http://www.jellyroll.com/01/pops.html"} +{"d:Title": "Miss Funkyflyy's Mavis Staples Page", "d:Description": "Interview and album-by-album run-down with the Gospel/Soul legend.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staple_Singers,_The", "url": "http://hem.bredband.net/funkyflyy/mavis/index.html"} +{"d:Title": "Mavis Staples", "d:Description": "Official site includes news, tour dates, biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staple_Singers,_The", "url": "http://www.mavisstaples.com/"} +{"d:Title": "The Stax Site: The Staple Singers", "d:Description": "Discography of singles and albums by the group on the Stax/Volt label.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staple_Singers,_The", "url": "http://staxrecords.free.fr/staple.htm"} +{"d:Title": "Roebuck Pops Staples and The Staples Singers", "d:Description": "Biography from the Mississippi Writers and Musicians Project.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staple_Singers,_The", "url": "http://www.mswritersandmusicians.com/musicians/staples.html"} +{"d:Title": "Rock and Roll Hall of Fame: The Staple Singers", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Staple_Singers,_The", "url": "http://rockhall.com/inductees/the-staple-singers/"} +{"d:Title": "Kathy's Zak Starkey Page", "d:Description": "Biography, news, gigs, gear and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starkey,_Zak", "url": "http://www.kathyszaksite.com/"} +{"d:Title": "Starmarket", "d:Description": "Official site by Deep Elm. Includes band biography, album reviews, MP3s, lyrics, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starmarket", "url": "http://www.deepelm.com/bands/index_star.html"} +{"d:Title": "Scandinavian Indie - Starmarket Interview", "d:Description": "Short interview with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starmarket", "url": "http://www.lysator.liu.se/~chief/inter/starint.html"} +{"d:Title": "Starmarket - Calendar", "d:Description": "Negative review of the band and their album, \"Calendar\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starmarket/Reviews", "url": "http://www.adkg.com/reviews/music/starmarket-calendar.html"} +{"d:Title": "Soul Patrol: Edwin Starr", "d:Description": "Includes an interview, an album review with biographical information, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Edwin", "url": "http://www.soul-patrol.com/funk/edwinstarr.htm"} +{"d:Title": "Edwin Starr", "d:Description": "Official site includes biography, photos, discography, interviews and reviews, fan club contacts, and tributes to the soul singer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Edwin", "url": "http://www.edwinstarr.info/"} +{"d:Title": "BBC News: Soul Legend Edwin Starr Dies", "d:Description": "Dead at the age of 61, after having what is thought to have been a heart attack.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Edwin", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/2911555.stm"} +{"d:Title": "RollingStone.com: \"War\" Singer Edwin Starr Dead", "d:Description": "Soul singer, who topped the charts in 1970 with his fiery, iconic, anti-war song \"War,\" died of a heart attack at his home in Nottingham, England; he was sixty-one. (April 2, 2003)", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Edwin", "url": "http://www.rollingstone.com/music/news/war-singer-edwin-starr-dead-20030402"} +{"d:Title": "All Music Guide: Edwin Starr", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Edwin", "url": "http://www.allmusic.com/artist/edwin-starr-mn0000046727"} +{"d:Title": "Garrison Starr", "d:Description": "Official site of the singer/songwriter/guitarist. Lyrics, biography, links, tour dates, pictures, full songs and samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Garrison", "url": "http://www.garrisonstarr.com/"} +{"d:Title": "Ringo Starr", "d:Description": "Biography, discography, comments by friends and fans, news and information of current concert tour.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://web2.airmail.net/gshultz/"} +{"d:Title": "Ringo Tour 2003", "d:Description": "2003 tour schedule, press releases, reviews, and other information on Ringo and The All-Starrs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://www.ringotour.com/"} +{"d:Title": "Ringo Starr Portfolio", "d:Description": "A short biography, his thoughts on his early drumming style, and sound clips from two interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://www.getback.org/bringo.html"} +{"d:Title": "Astrocartography of Ringo Starr", "d:Description": "Essay on how the planetary metaphor of Venus was reflected in Ringo's life and work.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://www.dominantstar.com/b_rin.htm"} +{"d:Title": "Tarot for Ringo Starr", "d:Description": "Personal reading.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://www.facade.com/tarot/personal/?UID=0&Celeb=Ringo_Starr"} +{"d:Title": "Oldie Lyrics: Ringo Starr", "d:Description": "Complete lyrics to his solo material, organized by album titles. Related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://www.oldielyrics.com/r/ringo_starr.html"} +{"d:Title": "Ringo Starr", "d:Description": "Official site features photographs, home movies, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://www.ringostarr.com/"} +{"d:Title": "(4150) Starr", "d:Description": "Minor planet named in honor of drummer and ex-Beatle Ringo Starr.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0004150.html"} +{"d:Title": "Topix: Ringo Starr", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Articles_and_Interviews", "url": "http://www.topix.com/who/ringo-starr"} +{"d:Title": "Entertainment Weekly: Ringo Starr's 70th birthday concert", "d:Description": "Information on Starr's birthday concert at Radio City Music Hall with video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Articles_and_Interviews", "url": "http://ew.com/article/2010/07/08/ringo-starr-birthday-mccartney/"} +{"d:Title": "Ringo Starr Live 3/18/99", "d:Description": "A fan remembers and reviews an All Starr Band concert held at the Universal Amphitheatre.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Fan_Pages", "url": "http://www.angelfire.com/ca2/caljam/allstars.html"} +{"d:Title": "Vertical Man", "d:Description": "A fan site devoted to Ringo. Contains a biography, pictures with the Beatles and solo, facts, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Fan_Pages", "url": "http://www.angelfire.com/ia/verticalman/"} +{"d:Title": "Ringo Starr Fan Club", "d:Description": "Message board and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Fan_Pages", "url": "http://groups.yahoo.com/group/ringostarrfanclub/"} +{"d:Title": "Ringo in Concert", "d:Description": "Reflections after seeing Ringo Starr and the All Star Band in concert on February 25, 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Fan_Pages", "url": "http://asanie.tripod.com/Beatles/RingoInConcert.html"} +{"d:Title": "Ringo's All-Starr Band '99", "d:Description": "Pictures and commentary from The All-Starr Band's 1999 concert in Fort Lauderdale, Florida. Related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Image_Galleries", "url": "http://www.seahaas.com/richiesboys.html"} +{"d:Title": "Internet Movie Database: Ringo Starr", "d:Description": "Detailed filmography, biography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Movies", "url": "http://www.imdb.com/name/nm0823592/"} +{"d:Title": "Wilson and Alroy's Musical Reviews", "d:Description": "Review of Ringo Starr's solo albums and a list of musical contributions on a variety of other artist's records.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starr,_Ringo/Reviews", "url": "http://www.warr.org/starr.html"} +{"d:Title": "Starsailor", "d:Description": "The official site with news, tour schedule, press reviews, biography, discography, image gallery, message board, and video clips in RealVideo and WMP format.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Starsailor", "url": "http://www.starsailorband.co.uk/"} +{"d:Title": "State of Quo", "d:Description": "Status Quo tribute band, also plays with Status Quo's original drummer, then known as John Coghlan's Quo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/State_of_Quo", "url": "http://www.stateofquo.com/"} +{"d:Title": "State of Quo", "d:Description": "The history of the Status Quo tribute band with photos and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/State_of_Quo", "url": "http://www.leehawkins.com/NoFrames/state.htm"} +{"d:Title": "BleedingMetal.com - Static-X", "d:Description": "Lyrics, biography, pictures, news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.bleedingmetal.com/staticx/"} +{"d:Title": "Static-X Zone", "d:Description": "A fan site with band's biography, discography, multimedia files, images and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://static-x-zone.tripod.com/"} +{"d:Title": "Static-X Lyrics", "d:Description": "Sing with the band!", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.azlyrics.com/s/staticx.html"} +{"d:Title": "Static-X Lyrics On Demand", "d:Description": "If you cannot find or want to order some song. You\u2019re welcome.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.lyricsondemand.com/s/staticxlyrics/"} +{"d:Title": "RockToons.com - Static-X", "d:Description": "Band\u2019s cartoon pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.rocktoons.com/static-x/"} +{"d:Title": "Static-X - Wayne Static Interview", "d:Description": "Livewire is one on one with the frontman of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.concertlivewire.com/interviews/staticx.htm"} +{"d:Title": "Static-X tabs from fretplay.com", "d:Description": "Accurate bass and guitar tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.fretplay.com/tabs/s/static-x/"} +{"d:Title": "The Static-X chapter", "d:Description": "Band\u2019s official page. Home video, audio, tabs, links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.musicfanclubs.org/staticx/"} +{"d:Title": "Unofficial Static-X Site", "d:Description": "Information about musical group, general information and fresh news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://static-x.atspace.com/"} +{"d:Title": "ArtistDirect: Static-X", "d:Description": "Biography, news, discography and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.artistdirect.com/artist/static-x/733579"} +{"d:Title": "MTV - Static-X", "d:Description": "Information about the band provided by the leading musical TV channel.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://www.mtv.com/artists/static-x/"} +{"d:Title": "Bled For Days", "d:Description": "Static-X's fan official web site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "http://bled4days.tripod.com/"} +{"d:Title": "Facebook: Static-X", "d:Description": "Shadow zone in stories. Links, tour dates, news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static-X", "url": "https://www.facebook.com/staticx"} +{"d:Title": "Canoe.ca: Static In Stereo", "d:Description": "Article entitled \"Sibling revelry with Static in Stereo\", by Mike Ross from the Edmonton Sun.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Static_in_Stereo", "url": "http://jam.canoe.com/Music/Artists/S/Static_In_Stereo/2001/05/08/749940.html"} +{"d:Title": "Status Quo", "d:Description": "Official site of the UK rock band. Information about the band, mailing list information, a forum, and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.statusquo.co.uk/"} +{"d:Title": "Status Quo - Rockin' All Over the World", "d:Description": "Quo pictures, sounds and animated GIFs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.quodata.nl/statusquo/"} +{"d:Title": "Status Quo in North America", "d:Description": "Dedicated to fans of the band in North America.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.jurai.net/~stan/"} +{"d:Title": "The Quo Picture Page", "d:Description": "Various images of the band through the years.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.pareng.addr.com/3.htm"} +{"d:Title": "Yahoo! Status Quo Club", "d:Description": "Online chat and message board dedicated to the band from Yahoo!", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://groups.yahoo.com/group/statusquo3/"} +{"d:Title": "Unrated Magazine: Status Quo", "d:Description": "Concert review from Chicago's House of Blues.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=77"} +{"d:Title": "Status Quo France", "d:Description": "Focuses on the band's activities in France. News, discography, tour dates, and history. [French and English.]", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.statusquo.fr/"} +{"d:Title": "Record and CD Collector's Site: Status Quo", "d:Description": "Detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.collectadisc.co.uk/discography/index.php?VAR_ARTIST=STATUS+QUO"} +{"d:Title": "Dr. Lee's Status Quo Site", "d:Description": "A fan site with reviews, gig listing, and information on related bands.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.leehawkins.com/"} +{"d:Title": "Status Quo Collectors Page", "d:Description": "Full discography of the band's releases: singles, albums, CDs, videos, promos, and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.statusquo.org.uk/"} +{"d:Title": "Universal Music: Status Quo", "d:Description": "Information about Status Quo including a discography, sounds in Real Player format, tour news and cover bands.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo", "url": "http://www.universal-music.de/status-quo/home"} +{"d:Title": "Counterfeit Quo", "d:Description": "UK based tribute band. Features news, gig dates, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Status_Quo/Tribute_Bands", "url": "http://www.counterfeitquo.com/"} +{"d:Title": "Park Records", "d:Description": "Record label specialising in folk rock. Stars include Maddy Prior and Steeleye Span. Listen to clips in RealAudio format.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steeleye_Span", "url": "http://www.parkrecords.com/"} +{"d:Title": "Full Moon", "d:Description": "\"Official\" site of Steeleye Span member Gay Woods containing news, interviews, biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steeleye_Span", "url": "http://www.gaywoods.homestead.com/"} +{"d:Title": "Peter Knight's Home Page", "d:Description": "Official page belonging to Peter Knight, fiddler and vocalist of Steeleye Span.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steeleye_Span", "url": "http://www.peterknight.net/"} +{"d:Title": "Unofficial Steeleye Span Fan Site", "d:Description": "Fan site includes reviews and interviews, discography, history of the band, lyrics and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steeleye_Span", "url": "http://steeleye.freeservers.com/"} +{"d:Title": "Michael Newbery's Fan Site", "d:Description": "Band history, member information, discographies, instrument information, bibliography, reviews, related bands, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steeleye_Span", "url": "http://users.actrix.co.nz/newbery/Steeleye-Span/Steeleye_Span.html"} +{"d:Title": "Steely Dan", "d:Description": "The official site for Steely Dan. Pictures, history, chats, sound clips, lyrics, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.steelydan.com/"} +{"d:Title": "Tomas Broberg's Tribute To Steely Dan", "d:Description": "Large resource for Steely Dan fans including audio and video clips in Real format - requires the Realplayer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.broberg.pp.se/sd_index.htm"} +{"d:Title": "Under The Banyan Trees", "d:Description": "A large fan site for Steely Dan. Downloads, cookbook, sound clips, interviews, lyrics, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.banyantrees.net/"} +{"d:Title": "Dandom Digest", "d:Description": "Free e-newsletter with a companion website featuring the BlueBook chatboard.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.dandom.com/"} +{"d:Title": "Steely Dan Pin Shots", "d:Description": "Photographs of the band organised into slide shows plus sounds in RealAudio and wav format.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.metalleg.com/"} +{"d:Title": "The Steely Dan Dictionary", "d:Description": "An A-Z glossary of obscure and confusing words taken from the lyrics of Steely Dan songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.steelydandictionary.com/"} +{"d:Title": "Rollingstone.com: Steely Dan", "d:Description": "Includes biography, recordings, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.rollingstone.com/music/artists/steely-dan"} +{"d:Title": "Rock and Roll Hall of Fame: Steely Dan", "d:Description": "Inductee profile, bibliography, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://rockhall.com/inductees/steely-dan/"} +{"d:Title": "The Steely Dan Reader", "d:Description": "A collection of information on Steely Dan including archives of the Metal Leg fanzine.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://steelydanreader.com/"} +{"d:Title": "Steely Dan Database", "d:Description": "Complete discography with pictures, lyrics, MIDIs and sound samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan", "url": "http://www.steelydan.nl/"} +{"d:Title": "The Danny Steel Orchestra", "d:Description": "Ten-piece tribute to the music of Steely Dan, based in Birmingham, England, performing tracks from all of the albums in a live two hour show.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://dannysteel_orchestra.tripod.com/"} +{"d:Title": "Royal Scam", "d:Description": "Steely Dan tribute band from the New York metropolitan area, also performs a tribute to Gino Vannelli. Show calendar, pictures and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://www.royalscam.com/"} +{"d:Title": "Naked Lunch", "d:Description": "Steely Dan tribute band from Texas, USA.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://www.nakedlunch.net/"} +{"d:Title": "Aja Vu", "d:Description": "San Francisco-based band. Includes sound clips, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://www.ajavu.com/"} +{"d:Title": "Black Friday", "d:Description": "Houston based ensemble. Includes member bios, contact information, and latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://luckless.5u.com/"} +{"d:Title": "Nearly Dan", "d:Description": "UK revue of the music of Steely Dan, news, gigs, pictures and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://www.nearlydan.co.uk/"} +{"d:Title": "Steelin' Dan", "d:Description": "Tribute band from Northern California. Show schedule, pictures, audio, video, biography, song list, news and notes from fans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://www.steelindan.com/"} +{"d:Title": "FM", "d:Description": "A 12-piece band keeping the music of Steely Dan alive in America. Sound files, gig schedule, band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steely_Dan/Tribute_Bands", "url": "http://www.fmtribute.com/"} +{"d:Title": "Dream Pollution", "d:Description": "Includes a biography, detailed information and articles arranged by album, news, message board, mailing list, and photo gallery.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://www.jimsteinman.com/"} +{"d:Title": "Jim Steinman Webring", "d:Description": "Webring of sites dedicated to Jim Steinman. Search the current list of sites, view the ring's statistics or submit your Jim Steinman site to join the ring.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://www.jimsteinman.com/webring.htm"} +{"d:Title": "Neverland Hotel", "d:Description": "Biography, discography, news, lyrics and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://www.neverlandhotel.dk/"} +{"d:Title": "Jim Steinman Mailing List", "d:Description": "Discussion forum information including how to subscribe and excerpts from recent posts.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://www.jimsteinman.com/maillist.htm"} +{"d:Title": "The History of Rock Music: Jim Steinman", "d:Description": "Includes discography and short biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://www.scaruffi.com/vol3/steinman.html"} +{"d:Title": "Wikipedia: Jim Steinman", "d:Description": "Biographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://en.wikipedia.org/wiki/Jim_Steinman"} +{"d:Title": "Neverland UK", "d:Description": "Lyrics for 'Bad For Good' and 'Pandora's Box - Original Sin' and links to other Jim Steinman resources.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://grahammcn.tripod.com/steinman/steinman.htm"} +{"d:Title": "All Music Guide: Jim Steinman", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim", "url": "http://www.allmusic.com/artist/jim-steinman-mn0000852399"} +{"d:Title": "Steinman", "d:Description": "Early 1970s details of Jim Steinman's life and work.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim/Biographies", "url": "http://sweetcornmedia.com/ubu-02.html"} +{"d:Title": "Jim Steinman Discography", "d:Description": "Includes lyrics for selected songs. Portion of site is not in English.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim/Discographies", "url": "http://personales.mundivia.es/jramos/steinman/tracks.htm"} +{"d:Title": "Parody", "d:Description": "Parody lyrics of Jim Steinman's 'Making Love Out Of Nothing At All' by Bob Kanefsky.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steinman,_Jim/Lyrics", "url": "http://www.songworm.com/lyrics/songworm-parody/MakingLoveWeighingNothingA.html"} +{"d:Title": "John Kay and Steppenwolf", "d:Description": "The official website features band history, photos, audio/video samples and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steppenwolf", "url": "http://www.steppenwolf.com/"} +{"d:Title": "Classic Bands: Steppenwolf", "d:Description": "History of the early heavy metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steppenwolf", "url": "http://www.classicbands.com/steppenwolf.html"} +{"d:Title": "ArtistDirect: Steppenwolf", "d:Description": "Features biography, products, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steppenwolf", "url": "http://www.artistdirect.com/artist/steppenwolf/497348"} +{"d:Title": "Jeraboah's Steppenwolf Tribute", "d:Description": "Discography, photos, wallpaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steppenwolf", "url": "http://jeraboah.stormpages.com/"} +{"d:Title": "ArtistDirect: Steps", "d:Description": "A band biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steps", "url": "http://www.artistdirect.com/artist/steps/497351"} +{"d:Title": "Steps Home Page", "d:Description": "Band who brought you the hits 5,6,7,8, Last Thing On Mind, One For Sorrow, Heartbeat, Tragedy and Better Best Forgotten.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steps", "url": "http://www.pop-music.com/steps/index.html"} +{"d:Title": "The Unofficial Faye Tozer Homepage", "d:Description": "A brand new site totally devoted to Faye Tozer - aliases 'Smiley Steps'. Includes pictures, vote and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steps", "url": "http://www.angelfire.com/ky/Faye/"} +{"d:Title": "Step_One - The Ultimate Steps Site", "d:Description": "Pictures and information about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steps", "url": "http://members.tripod.com/~Step_One/stepone.html"} +{"d:Title": "Generation Steps", "d:Description": "A UK based Steps website with the latest news, reviews, audio, video and exclusive content.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steps", "url": "http://www.generationsteps.co.uk/"} +{"d:Title": "Stepland", "d:Description": "Includes pictures, polls, media, lyrics, tour dates and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steps", "url": "http://timbyru.tripod.com/"} +{"d:Title": "Buzz", "d:Description": "English Steps tribute band that is still searching for members to complete the lineup.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Steps/Tribute_Bands", "url": "http://pantha2001.tripod.com/"} +{"d:Title": "The Stereo", "d:Description": "An unofficial site that includes band information, news, tour dates, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereo,_The", "url": "http://www.angelfire.com/mn/thestereo/"} +{"d:Title": "Stereolab", "d:Description": "The band's official site. Tour dates, discography with song clips, fan forum, and news.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab", "url": "http://www.stereolab.co.uk/"} +{"d:Title": "Stereolab", "d:Description": "A fan site with discography, lyrics, band information, message board, and concert list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab", "url": "http://koly.com/stereolab/"} +{"d:Title": "MTV: Stereolab", "d:Description": "Contains news, biography, message board and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab", "url": "http://www.mtv.com/artists/stereolab/"} +{"d:Title": "Stereolab radio", "d:Description": "A compilation of songs and sounds, mixed by Stereolab member Tim Gane.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab/Multimedia", "url": "http://www.stereolab.co.uk/radio/"} +{"d:Title": "All-Reviews.com: Cobra and Phases", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab/Reviews", "url": "http://www.all-reviews.com/music/cobraandphases.htm"} +{"d:Title": "The Future Sound of Music?", "d:Description": "Live review from DropD Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab/Reviews", "url": "http://dropd.com/issue/81/Stereolab/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Stereolab", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab/Reviews", "url": "http://sfloman.com/stereolab.html"} +{"d:Title": "Metacritic: First of the Microbe Hunters", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereolab/Reviews", "url": "http://www.metacritic.com/music/first-of-the-microbe-hunters/stereolab"} +{"d:Title": "Official Stereophonics Site", "d:Description": "News, exclusive audio and video, gig information, discography, chat, merchandise, and downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics", "url": "http://www.stereophonics.com/"} +{"d:Title": "Stereophonics Welsh Band Site", "d:Description": "Includes news, tour dates, biography, pictures, discography, press, sounds, MP3s and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics", "url": "http://stereo.phonics.tripod.com/"} +{"d:Title": "Mime To Be a Smile", "d:Description": "A Fan site dedicated to the Stereophonics including; pictures, lyrics, news, audio clips, guitar tab, interviews, biography, discography and links to other sites.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics", "url": "http://stereogurl.tripod.com/"} +{"d:Title": "Ozphonics", "d:Description": "Australian site for the Stereophonics. Includes news and gossip, discographies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics", "url": "http://tribal_daz.tripod.com/Ozphonics/"} +{"d:Title": "Kelly's Stereophonics", "d:Description": "Unofficial fan site including news, picture gallery, biography, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics", "url": "http://www.angelfire.com/music4/phonics_fanz/"} +{"d:Title": "Topix: Stereophonics", "d:Description": "News about the Stereophonics, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics", "url": "http://www.topix.com/rss/who/stereophonics.xml"} +{"d:Title": "AZ Lyrics Universe", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics/Lyrics", "url": "http://www.azlyrics.com/s/stereophonics.html"} +{"d:Title": "Metacritic: Just Enough Education To Perform", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics/Reviews", "url": "http://www.metacritic.com/music/just-enough-education-to-perform/stereophonics"} +{"d:Title": "The Stereotonics", "d:Description": "UK based Stereophonics tribute band; contains photos, biography, mp3s, gig guide, and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereophonics/Tribute_Bands", "url": "http://www.stereotonics.co.uk/"} +{"d:Title": "House Of Shane: Shane Hills Fanclub", "d:Description": "Includes profile, photo gallery, and stories from Shane.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereo_360", "url": "http://www.angelfire.com/al2/ShaneHills/index.html"} +{"d:Title": "What the Hills?", "d:Description": "Fan site, includes band information, discography, lyrics, news, and stories from the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereo_360", "url": "http://whatthehills.iwarp.com/"} +{"d:Title": "Official Stereo 360 Site", "d:Description": "News, tour dates, MP3 downloads, lyrics, contests, photos, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereo_360", "url": "http://www.stereo360.com/"} +{"d:Title": "Stereo Total", "d:Description": "Official site. Includes band information, photos, links and a newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereo_Total", "url": "http://www.stereototal.de/"} +{"d:Title": "Monokini", "d:Description": "Fan page from France. Includes news, discography, biography, and audio downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereo_Total", "url": "http://monokini.free.fr/"} +{"d:Title": "Chaos Control Digizine: Stereo Total", "d:Description": "E-mail interview with Brezel G\u00f6ring, focusing on the \"Paris<>Berlin\" album. (Jan. 1, 2000)", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stereo_Total", "url": "http://www.chaoscontrol.com/stereo-total/"} +{"d:Title": "Yusuf Islam / Cat Stevens", "d:Description": "Read up on his history, his conversion to Islam, chat with other fans and join the mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stevens,_Cat", "url": "http://www.yusufislam.com/"} +{"d:Title": "RollingStone.com: Cat Stevens", "d:Description": "Includes biography, articles, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stevens,_Cat", "url": "http://www.rollingstone.com/music/artists/cat-stevens"} +{"d:Title": "All Music Guide: Steve Stevens", "d:Description": "Biography, discography, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stevens,_Steve", "url": "http://www.allmusic.com/artist/steve-stevens-mn0000754789"} +{"d:Title": "Al Stewart Online", "d:Description": "Official pages, produced by the publishers of Chronicles and Jackdaw.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Al", "url": "http://www.alstewart.com/"} +{"d:Title": "Al Stewart Songbook", "d:Description": "Information, download and purchase of the songbooks.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Al", "url": "http://www.efn.org/~bch/songbook.html"} +{"d:Title": "Review - Past, Present And Future", "d:Description": "Rolling Stone's review by Stephen Holden.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Al/Articles_and_Interviews", "url": "http://www.superseventies.com/stewartal1.html"} +{"d:Title": "AlStewartFriends", "d:Description": "Discussion group for fans at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Al/Chats_and_Forums", "url": "http://groups.yahoo.com/group/AlStewartFriends/"} +{"d:Title": "macspages", "d:Description": "As one of the Faces Ian 'Mac' McLagan helped Rod Stewart become a star. He has also played with the Rolling Stones, Bob Dylan and Bonnie Raitt.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://www.macspages.com/"} +{"d:Title": "Visitors of Rod's Bar", "d:Description": "An open discussion group for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://groups.yahoo.com/group/rods_bar/"} +{"d:Title": "Smiler - The Rod Stewart Magazine", "d:Description": "Online version of this quarterly fan club publication featuring news, biography, discography, tour information and more", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://www.rodstewartfanclub.com/"} +{"d:Title": "Entertainment Ave: Rod Stewart", "d:Description": "Review of a concert at The Tweeter Center in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/rod_stewart/rs071901.htm"} +{"d:Title": "Rod Stewart lyrics", "d:Description": "Lyrics from most of Rod Stewart's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://www.oldielyrics.com/r/rod_stewart.html"} +{"d:Title": "Stardust ... The Great American Songbook Vol III", "d:Description": "Rod's official site currently promoting his third CD of American standards, his first number 1 album since 1978.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://www.rodstewart.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Rod Stewart", "d:Description": "Review and analysis of Rod's first four solo albums by an experienced and prolific critic.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://sfloman.com/rodstewart.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "The tribute to Rod as an inductee in 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod", "url": "http://rockhall.com/inductees/rod-stewart/"} +{"d:Title": "Dylan Cassidy's Tribute to Rod Stewart", "d:Description": "Based in the UK and Spain since the 1980's.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod/Tribute_Bands", "url": "http://www.tribute2rod.com/"} +{"d:Title": "The Rod Stewart Experience", "d:Description": "UK based Garry Pease has performed as a Rod Stewart tribute artist worldwide for the past ten years.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod/Tribute_Bands", "url": "http://www.rodstewartexperience.com/"} +{"d:Title": "Bob Wyper", "d:Description": "UK-based tribute artist; includes news, biography, events, booking information, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stewart,_Rod/Tribute_Bands", "url": "http://www.rodstewartshow.co.uk/"} +{"d:Title": "Rhea's Stickchap Fan Site", "d:Description": "Pictures, information and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stickchap", "url": "http://www.stickchap.8m.com/"} +{"d:Title": "Stiff Little Fingers", "d:Description": "Official site including news, a history of the band, discography, articles, shopping, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stiff_Little_Fingers", "url": "http://www.slf.com/"} +{"d:Title": "RnRWoman's Stephen Stills Page", "d:Description": "A spotlight on the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stills,_Stephen", "url": "http://rnrwoman.tripod.com/"} +{"d:Title": "Stimmhorn", "d:Description": "Official site. Includes biographies, MP3 samples, a glossary, performance dates and press articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stimmhorn", "url": "http://www.stimmhorn.ch/"} +{"d:Title": "Global Rhythm Magazine: Stimmhorn", "d:Description": "A profile of the duo, following their performance at the Strictly Mundial/Folk Alliance conference.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stimmhorn", "url": "http://www.globalrhythm.net/issues/Stimmhorn72005.cfm"} +{"d:Title": "Guardian Unlimited: Trouble and Streiff", "d:Description": "Article following Stimmhorn's performance in Bath, England: \"utterly sincere, technically brilliant - and completely bonkers\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stimmhorn", "url": "http://www.theguardian.com/culture/2004/jun/04/1"} +{"d:Title": "Out of print", "d:Description": "Site devoted to cataloguing rare and promotional items, complete with photos. Mainly covers Brand New Day, but should expand to the other albums in time.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://outofprint.free.fr/"} +{"d:Title": "Sting - official site", "d:Description": "Official news, discographies, tour dates - the usual. Some good exclusive content. Updated fairly regularly.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://www.sting.com/"} +{"d:Title": "Sting and The Bible", "d:Description": "Lengthy discussion of the influence of the Bible on Sting's work.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://www.angelfire.com/oh/winniethepooh/stingbible.html"} +{"d:Title": "StingUs", "d:Description": "Very active fan site; probably the best place for news, with concert reviews and a mailing list. Also home to the Dutch fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://www.stingus.net/"} +{"d:Title": "Stingme", "d:Description": "Police and solo discographies, along with remixes, demos, lyrics and fan's memorabilia for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://stingme.dk/"} +{"d:Title": "RollingStone.com: Sting", "d:Description": "Includes biography, discography, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://www.rollingstone.com/music/artists/sting"} +{"d:Title": "WebRing: Sting", "d:Description": "Sting and Police related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://www.webring.org/hub?ring=stingring"} +{"d:Title": "AMG All Music Guide", "d:Description": "Biography, discography, reviews, and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://www.allmusic.com/artist/sting-mn0000522377"} +{"d:Title": "MTV: Sting", "d:Description": "Biography, discography, sound files, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting", "url": "http://www.mtv.com/artists/sting/"} +{"d:Title": "Rogier van der Gugten", "d:Description": "CDs, records, tapes, videos, magazines, memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Sting/Trades", "url": "http://www.cybercomm.nl/~gugten/"} +{"d:Title": "PopEntertainment: Joss Stone - Soul Sister", "d:Description": "The teenaged British singer checks in with Jay S. Jacobs about her first album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Joss", "url": "http://www.popentertainment.com/joss.htm"} +{"d:Title": "The Honolulu Advertiser: When Joss Sings, Soul Legends listen", "d:Description": "Steve Jones of USA Today talks with the soul singer and her producers, veteran artists and repertoire man Steve Greenburg and Miami rhythm and blues performer Betty Wright.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Joss", "url": "http://the.honoluluadvertiser.com/article/2003/Oct/13/il/il08a.html"} +{"d:Title": "NPR : Joss Stone Gives Soul New Voice, New Look", "d:Description": "National Public Radio's Scott Simon interviews Stone and her mentor and producer, rhythm and blues singer Betty Wright. Audio interview, need Real Player or Windows Media Player to listen.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Joss", "url": "http://www.npr.org/templates/story/story.php?storyId=1429801"} +{"d:Title": "Joss Stone.com", "d:Description": "The official website includes latest news, biography, sound clips, photographs and concert schedule. Flash player needed to view.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Joss", "url": "http://www.jossstone.com/"} +{"d:Title": "AskMen.com: Joss Stone", "d:Description": "Pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Joss", "url": "http://www.askmen.com/celebs/women/singer_200/209_joss_stone.html"} +{"d:Title": "Metafilter: Joss Stone", "d:Description": "Community bulletin board for discussion of the album 'The Soul Sessions.'", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Joss", "url": "http://www.metafilter.com/28945/Joss-Stone"} +{"d:Title": "All Music Guide: The Soul Sessions", "d:Description": "Thom Jurek's assessment of the album, plus track listing, release date and performers' credits.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Joss", "url": "http://www.allmusic.com/artist/joss-stone-mn0000286572"} +{"d:Title": "Stone, Phoenix", "d:Description": "A fan site with news, biography, lyrics, audio files and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone,_Phoenix", "url": "http://www.angelfire.com/music/phoenixstone/index.html"} +{"d:Title": "Demonware: Stone 588", "d:Description": "The band's page at Demonware Underground Records. Band information, discography, lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_588", "url": "http://www.demonware.com/stone588/index.html"} +{"d:Title": "The Stone Coyotes", "d:Description": "Hard-driving family rock band that inspired Elmore Leonard's novel, \"Be Cool\".", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Coyotes,_The", "url": "http://stonecoyotes.com/"} +{"d:Title": "This Is The Daybreak - Stone Roses", "d:Description": "Detailed analysis, interviews, articles, gallery, news and lyrics. Regularly updated.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Roses,_The", "url": "http://www.thisisthedaybreak.co.uk/"} +{"d:Title": "I Am Without Shoes - Stone Roses", "d:Description": "Biogs, videos, sounds, articles, pictures, news, bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Roses,_The", "url": "http://www.stoneroses.net/"} +{"d:Title": "The Stone Roses", "d:Description": "Articles, discography, videos and band profile. Up to date.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Roses,_The", "url": "http://www.thestoneroses.co.uk/"} +{"d:Title": "JSU Stone Roses", "d:Description": "Stone Roses / John Squire, full discographies, message board, articles archive. Regularly updated.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Roses,_The", "url": "http://www.john-squire.com/"} +{"d:Title": "You Are My World", "d:Description": "Discography, lyrics, history, and favourite song vote.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Roses,_The", "url": "http://myweb.tiscali.co.uk/youaremyworld/"} +{"d:Title": "Stone Temple Pilots", "d:Description": "Official site includes tour dates, merchandise, bulletin board, photos, and video clips.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.stonetemplepilots.com/"} +{"d:Title": "Stone Temple Pilots Fan Page", "d:Description": "Pictures, news, articles, tablature, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.angelfire.com/mo2/stp/"} +{"d:Title": "The Rose On My Birthday Deathbed", "d:Description": "Includes news, pictures, lyrics, tour dates, history, and song meanings.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.angelfire.com/stars2/stonetemplepilots/index.html"} +{"d:Title": "Matt's Stone Temple Pilots Page", "d:Description": "Images, MIDI and WAV files, lyrics, guitar tablature, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.mattsmusicpage.com/nstp.htm"} +{"d:Title": "Stone Temple Pilots: Regeneration", "d:Description": "News, pictures, MP3s, discography, lyrics, promo and font downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://regenerationstp.tripod.com/"} +{"d:Title": "Stone Temple Pilots News: Topix", "d:Description": "News about Stone Temple Pilots continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.topix.com/who/stone-temple-pilots"} +{"d:Title": "Stone Temple Pilots", "d:Description": "Site includes lyrics, photos, song meanings, biography, and reviews of albums and concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.angelfire.com/pa/stpmusicpot/index.html"} +{"d:Title": "ArtistDirect: Stone Temple Pilots", "d:Description": "Includes biography, sound files, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.artistdirect.com/artist/steps/497351"} +{"d:Title": "MTV: Stone Temple Pilots", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stone_Temple_Pilots", "url": "http://www.mtv.com/artists/stone-temple-pilots/"} +{"d:Title": "Stookey, Noel Paul", "d:Description": "Member of Peter, Paul and Mary. Provides his solo tour dates, lyrics, photos and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stookey,_Noel_Paul", "url": "http://www.noelpaulstookey.com/"} +{"d:Title": "Discography 1977-1990", "d:Description": "Complete discography of the title period.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stranglers,_The", "url": "http://www.xulucomics.com/stranglers.html"} +{"d:Title": "Stranglers Worldwide Discography", "d:Description": "International discography for the punk/new wave band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stranglers,_The", "url": "http://www.stranglers.org.uk/"} +{"d:Title": "Stranglers Discography", "d:Description": "Featured is a discography of the bands record releases, by IRS Records in the USA. Also holds a brief biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stranglers,_The", "url": "http://www.amcorner.com/irscorner/s/stranglers.html"} +{"d:Title": "The Stranglers", "d:Description": "Contains many articles on the history of the band, CD information, fan club listings, want ads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stranglers,_The", "url": "http://www.thestranglers.net/"} +{"d:Title": "No More Heroes", "d:Description": "Stranglers tribute band. Show dates, reviews, audio, mailing list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stranglers,_The/Tribute_Bands", "url": "http://www.stranglerstribute.co.uk/"} +{"d:Title": "Classicbands.com: Strawberry Alarm Clock", "d:Description": "Site features a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strawberry_Alarm_Clock", "url": "http://www.classicbands.com/strawberry.html"} +{"d:Title": "Strawberry Alarm Clock", "d:Description": "Official homepage with pictures, a discography, biography and members list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strawberry_Alarm_Clock", "url": "http://www.strawberryalarmclock.com/"} +{"d:Title": "Unwind With Strawberry Alarm Clock", "d:Description": "News, along with details and reviews for the band's albums and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strawberry_Alarm_Clock", "url": "http://www.unwindwithsac.com/"} +{"d:Title": "All Music Guide: Strawberry Alarm Clock", "d:Description": "Contains a biography, discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strawberry_Alarm_Clock", "url": "http://www.allmusic.com/artist/strawberry-alarm-clock-mn0000633079"} +{"d:Title": "The Austin Chronicle Music: Stretford", "d:Description": "Review by Greg Beets of the album \"Short Circuit\" rated 3 stars out of 5. \"Best heard as a shout-out to longtime fans of the band....\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stretford", "url": "http://www.austinchronicle.com/music/2001-02-02/stretford-short-circuit/"} +{"d:Title": "Naomi Striemer Planet", "d:Description": "Fan site with news, vital statistics, biography, song clips, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Striemer,_Naomi", "url": "http://naomi.8k.com/"} +{"d:Title": "All Naomi", "d:Description": "Fan site with pictures, biography, song samples, interviews, links, and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Striemer,_Naomi", "url": "http://www.angelfire.com/biz4/NaomiRox/"} +{"d:Title": "FriendsOfCheese.com", "d:Description": "Fan-based resource for fans of The String Cheese Incident, featuring show reviews, setlists, news and tour dates..", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/String_Cheese_Incident", "url": "http://www.friendsofcheese.com/"} +{"d:Title": "JamBase: The String Cheese Incident", "d:Description": "Tour dates, biography, articles, news, goods, audio and images.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/String_Cheese_Incident", "url": "http://www.jambase.com/band/the-string-cheese-incident"} +{"d:Title": "John P. Strohm", "d:Description": "Biography from the Boston Phoenix", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strohm,_John_P.", "url": "http://www.bostonphoenix.com/archive/music/99/02/11/JOHN_P_STROHM.html"} +{"d:Title": "The Strokes", "d:Description": "Official site contains news, tour dates, pictures, audio clips, fan club, and merchandise. [Requires Flash]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The", "url": "http://www.thestrokes.com/"} +{"d:Title": "The Strokes - A 2001 Retrospective", "d:Description": "Interview and summary of the year for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The", "url": "http://www.2-4-7-music.com/newsitems/june01/thestrokes.htm"} +{"d:Title": "Yahoo! Groups: The Strokes Unofficial78", "d:Description": "Pictures and group member discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The", "url": "http://www.groups.yahoo.com/group/thestrokes_unofficial78"} +{"d:Title": "NME.Com: The Strokes", "d:Description": "Discography, photos and reviews of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The", "url": "http://www.nme.com/artists/the-strokes"} +{"d:Title": "Neumu: Is This It", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The/Reviews", "url": "http://www.neumu.net/fortyfour/2001/2001-00274/2001-00274_fortyfour.shtml"} +{"d:Title": "Drawer B reviews: Is This It", "d:Description": "\"The Strokes are a mass of contradictions: Wealthy, artsy, good-looking upper class white kids embracing the New York City underbelly as a musical schtick.\" Eric Greenwood reviews the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The/Reviews", "url": "http://www.drawerb.com/2001/10/07/the-strokes-is-this-it-rca/#more-1868"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Strokes", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The/Reviews", "url": "http://sfloman.com/strokes.html"} +{"d:Title": "Pitchfork Review: Is This It", "d:Description": "\"When the media hounds smell success and respond with their annual cry of 'saviors of rock and roll,' disappointment is inevitable.\" Ryan Schreiber reviews the album. (Aug. 15, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strokes,_The/Reviews", "url": "http://pitchfork.com/reviews/albums/7537-is-this-it/"} +{"d:Title": "The Stroke9ers", "d:Description": "Includes articles, a message board, tour dates, pictures, a poll, and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stroke_9", "url": "http://www.angelfire.com/band/stroke9ers/"} +{"d:Title": "Strummer News", "d:Description": "News, articles, pictures, interviews, reviews, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe", "url": "http://www.strummernews.com/"} +{"d:Title": "RollingStone.com: Joe Strummer", "d:Description": "Includes biography, articles, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe", "url": "http://www.rollingstone.com/music/artists/joe-strummer"} +{"d:Title": "CNN: Strummer: Punk Pioneer Who Defined Generation", "d:Description": "History of the band and Strummer's solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe/Obituaries", "url": "http://www.cnn.com/2002/SHOWBIZ/Music/12/23/britain.strummer.profile/"} +{"d:Title": "BBC News: Strummer's Lasting Culture Clash", "d:Description": "Obituary with images, links to news stories, video clip and fan tributes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe/Obituaries", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/2600955.stm"} +{"d:Title": "RollingStone.com: Joe Strummer Dead at Fifty", "d:Description": "Includes history and quotes from Strummer.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe/Obituaries", "url": "http://www.rollingstone.com/music/news/joe-strummer-dead-at-fifty-20021223"} +{"d:Title": "Guardian Unlimited : Punk Legend Joe Strummer Dies", "d:Description": "Detailed article citing Strummer's influence on artists such as U2 and Billy Bragg.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe/Obituaries", "url": "http://www.theguardian.com/uk/2002/dec/23/artsfeatures.clash"} +{"d:Title": "SignOnSanDiego.com: Punk Legend Joe Strummer Dead Aged 50", "d:Description": "Obituary from The Associated Press.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe/Obituaries", "url": "http://legacy.sandiegouniontribune.com/news/features/20021223-0706-obit-strummer.html"} +{"d:Title": "The Village Voice: Joe Strummer, 1952-2002", "d:Description": "Milo Miles' tribute.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe/Obituaries", "url": "http://www.villagevoice.com/music/joe-strummer-19522002-6411739"} +{"d:Title": "The New York Times: Joe Strummer, Political Rebel of the Punk Era, Dies at 50", "d:Description": "Includes analysis of The Clash's albums and political themes, as well as audio samples. [Free registration required.] (Dec. 24, 2002)", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Strummer,_Joe/Obituaries", "url": "http://www.nytimes.com/2002/12/24/arts/joe-strummer-is-dead-at-50-political-rebel-of-punk-era.html"} +{"d:Title": "Ruben Studdard", "d:Description": "Yahoo group fan club for the American Idol 2 contestant.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Studdard,_Ruben", "url": "http://groups.yahoo.com/group/ruben_studdard/"} +{"d:Title": "Wholepoint", "d:Description": "Style Council fan site.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Style_Council", "url": "http://www.wholepoint.co.uk/"} +{"d:Title": "All Music Guide: The Style Council", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Style_Council", "url": "http://www.allmusic.com/artist/the-style-council-mn0000918600"} +{"d:Title": "Stymeed", "d:Description": "Official band site. Includes links to searches, chatrooms, bulletin boards, band members' websites, email addresses and other links pertaining to Cleveland and its music scene.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Stymeed", "url": "http://stymeed.freeservers.com/"} +{"d:Title": "The Grand Illusion", "d:Description": "News, discography, band member information, links, chronology, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://www.thegrandillusion.com/"} +{"d:Title": "Kathieland: The Stygian Region", "d:Description": "Concert reviews, photographs, venue information, and driving directions.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://www.kathieland.com/styx/"} +{"d:Title": "Styx Lair", "d:Description": "Share personal stories about Styx or read what other fans have written.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://www.styxlair.20m.com/"} +{"d:Title": "Pieces of Styx", "d:Description": "Personal tribute including music thoughts, concert reviews, photographs, humor, articles, and memories of dreams.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://piecesofstyx.s5.com/"} +{"d:Title": "The Styx Concert Drinking Game", "d:Description": "Game rules.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://www.angelfire.com/ny3/msrobotto/"} +{"d:Title": "Susan's Styx Site", "d:Description": "Includes photographs, tour memories, album and concert reviews, and madlibs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://lockgen.com/mystyx/index.html"} +{"d:Title": "Styx World", "d:Description": "Official site. News, notes from the band, video/audio clips, pictures, chat, and a private members-only area.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://www.styxworld.com/"} +{"d:Title": "RollingStone.com: Styx", "d:Description": "Biography, photographs, discography, album reviews, articles, message board, e-mail clubs, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx", "url": "http://www.rollingstone.com/music/artists/styx"} +{"d:Title": "Styx: Set An Open Course For The Virgin Sea", "d:Description": "Goldmine cover story from summer 1999, discusses the entire timeline of Styx's career", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Articles_and_Interviews", "url": "http://www.racerrecords.com/Styx/goldmine.html"} +{"d:Title": "USA Today: Baby Boomers Give Second Life to Older Rockers", "d:Description": "Article by David Lieberman citing Styx as a leading example and featuring a recent photograph of JY and Tommy.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Articles_and_Interviews", "url": "http://www.usatoday.com/money/covers/2002-02-18-music.htm"} +{"d:Title": "Styx Live", "d:Description": "Review by David Lee Wilson of the July 21, 1999, show in Clarkston, Michigan.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Articles_and_Interviews", "url": "http://classicrock.about.com/?once=true&"} +{"d:Title": "Q&A with Styx: The Grand Illusion", "d:Description": "Interview with James Young by Tim vonHolten. Topics include Come Sail Away: The Styx Anthology and JY's thoughts on the band's relationship with Dennis DeYoung.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Articles_and_Interviews", "url": "http://www.lawrence.com/news/2004/may/04/qa_with/"} +{"d:Title": "Styx Snags Diverse Guests For 'Cyclorama'", "d:Description": "Article by Jonathan Cohen about the release of the 2003 album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Articles_and_Interviews", "url": "http://www.billboard.com/news/styx-snags-diverse-guests-for-cyclorama-1792637.story#/news/styx-snags-diverse-guests-for-cyclorama-1792637.story"} +{"d:Title": "Styx Collector", "d:Description": "An online resource for collectors that includes an extensive searchable discography, Roboto center, shopping links, and interviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Collecting", "url": "http://www.styxcollector.com/"} +{"d:Title": "StyxCollecting", "d:Description": "At Yahoo Groups. E-mail list dedicated to the buying, selling, auctioning, trading and collecting of Styx CDs, vinyl, cassettes, videos, and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Collecting", "url": "http://groups.yahoo.com/group/StyxCollecting/"} +{"d:Title": "Yahoo Groups: Styx", "d:Description": "E-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Fan_Clubs", "url": "http://groups.yahoo.com/group/styx/"} +{"d:Title": "Phoenyx Rebirth", "d:Description": "A serialized novel in progress by Deborah Anne Peal. Phoenyx is a fictional band modeled after Styx.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Fan_Fiction", "url": "http://phoenyx.ajora.net/"} +{"d:Title": "Styx - 1980 - Cow Palace, San Francisco, California", "d:Description": "Two Styx pictures for sale. Photographed live in concert by Ken Jackson.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Image_Galleries", "url": "http://www.classicrockconcertphotos.com/styx.htm"} +{"d:Title": "Albuquerque '04", "d:Description": "Photos by Susan Turnbow from the May 19, 2004, show in Albuquerque, NM.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Image_Galleries", "url": "http://www.lockgen.com/mystyx/albuquerque04/"} +{"d:Title": "Chuck Panozzo", "d:Description": "Official site includes an autobiography, photographs, news, guestbook, and information about HIV/AIDS and the Human Rights Campaign.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Panozzo,_Chuck", "url": "http://www.chuckpanozzo.com/"} +{"d:Title": "Guitar Player: Chuck Panozzo", "d:Description": "Reprint of an interview by Tom Mulhern.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Panozzo,_Chuck/Articles_and_Interviews", "url": "http://www.racerrecords.com/Styx/GPIntCP.html"} +{"d:Title": "USA Today: Styx Bassist Happy Finally To Be Out", "d:Description": "An article by Debbie Howlett about Chuck Panozzo's announcement that he is gay, was diagnosed with HIV in 1990, and has had AIDS since at least 1997.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Panozzo,_Chuck/Articles_and_Interviews", "url": "http://www.usatoday.com/life/music/2001-07-27-styx-bassist.htm"} +{"d:Title": "Yahoo Groups: Toddlist", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Sucherman,_Todd", "url": "http://groups.yahoo.com/group/Toddlist/"} +{"d:Title": "The Official Todd Sucherman Web Site", "d:Description": "Background, photographs, articles, and interviews plus notes and answers to fan questions from Todd.", "topic": "Top/Arts/Music/Bands_and_Artists/S/St/Styx/Sucherman,_Todd", "url": "http://www.toddsucherman.com/"} +{"d:Title": "Subwave", "d:Description": "Alternative rock band from south Germany. Band information, lyrics, image gallery, and real audio stream of their latest album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.subwave-music.de/"} +{"d:Title": "Sultan, Amin", "d:Description": "Arab American singer. Biography, sound files and images.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.aminsultan.com/"} +{"d:Title": "Subgiant", "d:Description": "Southampton-based group. Concert schedule, audio downloads, message board, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.subgiant.co.uk/"} +{"d:Title": "Subsystem", "d:Description": "Streetpunk band from New Hampshire. Shows dates, lyrics, MP3s of their music, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.angelfire.com/nh/subsystem/"} +{"d:Title": "Subterraneans", "d:Description": "Official website of UK band. Includes profile, gallery, audio samples, message board and web cam.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.subterraneans.co.uk/"} +{"d:Title": "Sugardrum", "d:Description": "Sound files and gig dates for the acoustic artist.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.sugardrum.co.uk/"} +{"d:Title": "Sugarplum Fairies", "d:Description": "Biography, photos, lyrics, sound samples and online order form for the CD-ROM of the Los Angeles based band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.sugarplumfairies.com/"} +{"d:Title": "Suicide Commandos", "d:Description": "The Commandos were one of the pioneer US bands (1974) touring the country, having to book themselves by convincing club owners in every city that their kind of music would draw people.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://twintone.com/commandos/"} +{"d:Title": "Summerfly", "d:Description": "Folk, jazz, blues and country music band based in Germany. Biography and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.summerfly.de/"} +{"d:Title": "Sundown, Jackson", "d:Description": "Manchester alternative country-rock combo. Information, pictures and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://jackson-sundown.iwarp.com/"} +{"d:Title": "Sunspot", "d:Description": "Pictures, music, lyrics, news and merchandise from America's last hope for rock and roll.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.sunspotmusic.com/"} +{"d:Title": "Suomela, Mikael", "d:Description": "Homesite of Finnish musician guitarist Mikael Suomela; some English content.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.mikaelsuomela.com/"} +{"d:Title": "Super No Stars", "d:Description": "Profiles, pictures, lyrics, and newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.angelfire.com/mn/supernostars/"} +{"d:Title": "Superdudes", "d:Description": "Contains pictures, gig guide, audio files, hot news, band biography to name a few.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.superdudes.com.au/"} +{"d:Title": "Supergroupies", "d:Description": "Sparkling glam rock/pop from Sweden. Contains video clips, MP3s, history, band member profiles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.supergroupies.com/"} +{"d:Title": "Superhype", "d:Description": "Rock band from Nashville. Includes tour dates, history, biographies, reviews, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://superhype.tripod.com/"} +{"d:Title": "Suphala", "d:Description": "A tabla player studies Indian classical music with Ustad Allarakha and Ustad Zakir Hussain.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.suphala.com/"} +{"d:Title": "Suretoss", "d:Description": "Seattle-based band. Discography, audio downloads and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.indiepages.com/suretoss/"} +{"d:Title": "Surprise Sisters, The", "d:Description": "Group from the '70s featuring four sisters from Blackburn in Lancashire. Provides a brief profile, discography with sound clips, photos, and information about their solo careers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.angelfire.com/biz3/surprisesisters/"} +{"d:Title": "Sutherland", "d:Description": "Alternative metal band from South Africa.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://sutherland.8k.com/"} +{"d:Title": "Superjoint Ritual", "d:Description": "Unrated Magazine: Review and photos of Pantera's Phil Anselmo's side project.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=12"} +{"d:Title": "Sudbury, Scott", "d:Description": "Official site with tour dates and real audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://scottsudbury.com/"} +{"d:Title": "Supertripp", "d:Description": "Power-pop band from Philadelphia. Biography, show dates, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.supertripp.com/"} +{"d:Title": "Suburban Dog", "d:Description": "Progressive jazz rock power trio which rocks out like some mutant cross between (Jimi) Hendrix and the Police.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.therecordlink.net/suburbandog/index.html"} +{"d:Title": "Sutherland, Jim", "d:Description": "Producer, songwriter, composer and musician. Biography, credits, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.jimsutherland.uk.com/"} +{"d:Title": "Super Mirage", "d:Description": "New York City indie-pop band. Biography, show dates, pictures and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.supermirage.com/"} +{"d:Title": "SunDog", "d:Description": "New Jersey band performs originals and '80s, classic, Southern and country rock. Show dates, profiles, audio, video, photos, press and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.sundogcountry.com/"} +{"d:Title": "Surreal McCoys, The", "d:Description": "Cowpunk band's site features biographies, press, gigs, pictures, audio and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.thesurrealmccoys.com/"} +{"d:Title": "Sundrud, Jack", "d:Description": "Singer-songwriter and member of Poco. Biography, blog, press and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.jacksundrud.com/"} +{"d:Title": "Submarines, The", "d:Description": "Indie-pop duo from Los Angeles. News, shows, blogs, album details, pictures and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://thesubmarines.com/"} +{"d:Title": "Sullivan, Dave", "d:Description": "Musician based in Omaha, Nebraska. Biography and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su", "url": "http://www.sullivansongs.com/"} +{"d:Title": "Ruca's Sublime Page", "d:Description": "Pictures, lyrics, member information, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/pa/sublimechica/main.html"} +{"d:Title": "Andy's Sublime Page", "d:Description": "Pictures, lyrics, video, tablature, information, music, and Bradley Nowell information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://members.tripod.com/~Agaric40/sublime.html"} +{"d:Title": "Sublime...Where Are They Now?", "d:Description": "Sublime and Long Beach Dub Allstars information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://members.tripod.com/~mikelil/SUBLIME.html"} +{"d:Title": "The Secret Tweeker Pad", "d:Description": "History, a Brad tribute, lyrics, and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/nj/wangtang/index1.html"} +{"d:Title": "The Sublime Spot", "d:Description": "Lyrics database, sounds, photos, tablature, graphics, and tribute to Bradley Nowell.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://sublimespot.com/sublime/"} +{"d:Title": "40 Ounces Of Sublime", "d:Description": "Pictures, lyrics, biography, tablature, albums, sounds, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/ny/tommygirl143/index.html"} +{"d:Title": "Sublime", "d:Description": "History, pictures, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/pa3/bdr/sublime.html"} +{"d:Title": "RJ's Sublime Page", "d:Description": "Chat, lyrics, tablature, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/ct/hometobadfish/"} +{"d:Title": "Sublime 7-11, The", "d:Description": "With pictures, videos, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/mo2/sublime711/"} +{"d:Title": "Linn-dogg's Sublime Page", "d:Description": "Pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/music/sublimecenter/"} +{"d:Title": "Lisa's Sublime site", "d:Description": "Pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/me3/sublime/"} +{"d:Title": "420 Sublime Pad", "d:Description": "Lyrics, links, discography, news and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/ca3/SubRage21/"} +{"d:Title": "Michael's Sublime Trading Post", "d:Description": "Regular Sublime releases, CDs, promos, imports, bootlegs, cassettes, vinyls, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://members.tripod.com/~ILoveSublime/index.html"} +{"d:Title": "Get Out", "d:Description": "Pictures, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/mn/sublimespot/"} +{"d:Title": "Sublime", "d:Description": "Biography, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://members.tripod.com/bsublime125/id17.htm"} +{"d:Title": "Sublime", "d:Description": "Pictures, tablature, band information, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/ma3/croak/"} +{"d:Title": "R.I.P. A Sublime Tribute R.I.P.", "d:Description": "Biography, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/music2/sublimetribute/"} +{"d:Title": "In Memory To The Late Great Bradley James Nowell", "d:Description": "Lyrics, discography, trading list, art and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/ca5/limpdonut/"} +{"d:Title": "Sublime", "d:Description": "Pictures, band information, tablature, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://emilbusublime.tripod.com/sublimeStuff/"} +{"d:Title": "Matt's Sublime Page", "d:Description": "Images, MIDI, videos, lyrics, chat, message forum, WAV, guitar tablature, sound clips, biographies, and Real Audio.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.mattsmusicpage.com/nsublime.htm"} +{"d:Title": "Because You're Down with the Band that They Call Sublime", "d:Description": "Lyrics, discography, links, pictures, a tribute to Brad, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.angelfire.com/band/thebandwecallsublime/index.html"} +{"d:Title": "Sweet Sublime Site", "d:Description": "Photographs, band history, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://members.tripod.com/bsublime125/"} +{"d:Title": "Sublime STP", "d:Description": "Overview of the band, discography, guide to song samples and references, images, audio and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://sublimestp.com/"} +{"d:Title": "RollingStone.com: Sublime", "d:Description": "Biography, recordings, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime", "url": "http://www.rollingstone.com/music/artists/sublime"} +{"d:Title": "Badfish", "d:Description": "New England based Sublime tribute band. Contains show dates, pictures, and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sublime/Tribute_Bands", "url": "http://www.badfish.com/"} +{"d:Title": "Roddy's Irish Music Show: The Subtonics", "d:Description": "Interview with Mark and St\u00e9phan.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Subtonics,_The", "url": "http://www.almostfabulous.com/rc/interviews/subtonics.php"} +{"d:Title": "CLUAS.com: The Subtonics Live in Dublin, Ireland", "d:Description": "Concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Subtonics,_The", "url": "http://www.cluas.com/music/gigs/subtonics.htm"} +{"d:Title": "Suddenly, Tammy!", "d:Description": "Fan page, with line-up, photograph, profile, and postal address.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suddenly,_Tammy", "url": "http://www.tweekitten.com/"} +{"d:Title": "Consumable On-Line: Suddenly, Tammy!", "d:Description": "Fred Wilenius' review of their concert in Lancaster, Pennsylvania on December 23rd.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suddenly,_Tammy/Reviews", "url": "http://www.westnet.com/consumable/1998/01.20/revtammy.html"} +{"d:Title": "Devoted To Suede", "d:Description": "Information and photos. A real audio file of New York Supper Club performance.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede", "url": "http://www.angelfire.com/id/SuedeFans/index.html"} +{"d:Title": "New Generation, The", "d:Description": "Small fan page on Suede.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede", "url": "http://members.tripod.com/~the_next_life/"} +{"d:Title": "Suburban Skies", "d:Description": "A personal homage dedicated to Suede, however, a software download is required for viewing.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede", "url": "http://undiscokid.tripod.com/pageone.html"} +{"d:Title": "Suede and The Tears Fansite", "d:Description": "Magazine articles, interviews, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede", "url": "http://www.northern-lights.euro-mail.nl/"} +{"d:Title": "NME.com: Suede", "d:Description": "Collection of Suede articles written by the New Musical Express (NME).", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede/Articles_and_Interviews", "url": "http://www.nme.com/artists/suede"} +{"d:Title": "Suede Chat Transcript", "d:Description": "Transcript and computer cam photos from an on-line interview with Suede.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede/Articles_and_Interviews", "url": "http://fuckyeahneilcodling.tumblr.com/post/3625329556/suede-chat-transcription-from-1997-in-norway"} +{"d:Title": "Canoe: Suede", "d:Description": "Collection of reviews and interviews from Canadian newsprint.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/S/Suede/"} +{"d:Title": "Neil Codling House Of Worship", "d:Description": "Small biography, photo and article section about the keyboardist for Suede.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede/Members/Codling,_Neil", "url": "http://members.tripod.com/~codling/"} +{"d:Title": "Sci-Fi Neil-labies", "d:Description": "Mainly contains news updates on Neil Codling's appearances. Connects to Yahoo! Neil Codling Fan Club.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede/Members/Codling,_Neil", "url": "http://members.tripod.com/Neil_j_c/"} +{"d:Title": "Oakes Playground", "d:Description": "Page dedicated to Suede's guitarist, Richard Oakes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede/Members/Oakes,_Richard", "url": "http://guitargodoakes.tripod.com/"} +{"d:Title": "Bassment, The", "d:Description": "Mat Osman site which includes past and present photos, interviews and articles, an Osman club, and various other things related to Suede as a whole.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suede/Members/Osman,_Mat", "url": "http://m.d.o.tripod.com/"} +{"d:Title": "SugababesOnline", "d:Description": "Includes reviews, interviews, lyrics, and pictures. Not been updated for some time.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugababes", "url": "http://sugababesonline.tripod.com/home.htm"} +{"d:Title": "Yahoo! Groups: Sheena's Sugababes Club", "d:Description": "Mailing list for the Sugababes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugababes", "url": "http://groups.yahoo.com/group/sheenassugababesclub/"} +{"d:Title": "Yahoo! Groups: Sugababes", "d:Description": "A mailing list group with archives and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugababes", "url": "http://groups.yahoo.com/group/sugababes/"} +{"d:Title": "Yahoo! Groups: Sugababes No1club", "d:Description": "A mailing list group dedicated to the female pop group, offering archived articles and photographs. Not been updated for some time.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugababes", "url": "http://groups.yahoo.com/group/sugababesno1club/"} +{"d:Title": "Mutya Buena Net", "d:Description": "Fansite for Mutya Buena (Sugababes) including pictures, biography, lyrics, audio, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugababes", "url": "http://www.angelfire.com/rnb/mutya/"} +{"d:Title": "Yahoo Groups: Eternal Sugababes", "d:Description": "Mailing list with lyrics, polls and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugababes", "url": "http://groups.yahoo.com/group/Eternal_Sugababes"} +{"d:Title": "Sugar FAQ (1996)", "d:Description": "Compiled by Paul Hilcoff and members of the group's mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar", "url": "http://world.std.com/~thirdave/faq.html"} +{"d:Title": "Consumable On-line: Sugar - File Under: Easy Listening", "d:Description": "Martin Bate's review: \"the third installment in Bob Mould's resurrection as an indie god with sales to finally match the critical kudos he's had in the past.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar/Reviews/File_Under_-_Easy_Listening", "url": "http://www.westnet.com/consumable/1994/10.05/revsugar.html"} +{"d:Title": "Barefoot Jim's Flat: Sugar - File Under: Easy Listening", "d:Description": "Jim Connelly's review originally written for Kade Magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar/Reviews/File_Under_-_Easy_Listening", "url": "http://home.earthlink.net/~barefootjim/writing/reviews/sugar.html"} +{"d:Title": "All Music Guide: Sugarcubes", "d:Description": "Contains a biography, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugarcubes,_The", "url": "http://www.allmusic.com/artist/the-sugarcubes-mn0000919525"} +{"d:Title": "Sugarcult News: Topix", "d:Description": "News about Sugarcult continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugarcult", "url": "http://www.topix.com/who/sugarcult"} +{"d:Title": "AskMen.com - Sugar Jones", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Jones", "url": "http://www.askmen.com/celebs/women/singer_100/112_sugar_jones.html"} +{"d:Title": "Canoe: Sugar Jones", "d:Description": "Archive of articles about the band from Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Jones", "url": "http://jam.canoe.com/Music/Artists/S/Sugar_Jones/"} +{"d:Title": "Sugar Ray Online", "d:Description": "Official website. Includes news, band information, touring information, sound clips, lyrics, and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray", "url": "http://www.sugarray.com/"} +{"d:Title": "Artist Information.com: Sugar Ray", "d:Description": "Information and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray", "url": "http://www.kjkettner.com/"} +{"d:Title": "Sugar Ray Fights Back", "d:Description": "Interview with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray", "url": "http://www.ocweekly.com/1998-08-20/features/sugar-ray-fights-back/"} +{"d:Title": "MTV: Sugar Ray", "d:Description": "Collection of news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray", "url": "http://www.mtv.com/artists/sugar-ray/"} +{"d:Title": "All Music Guide: Sugar Ray", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray", "url": "http://www.allmusic.com/artist/sugar-ray-mn0000574119"} +{"d:Title": "Metacritic: Sugar Ray", "d:Description": "Multiple reviews for Sugar Ray's self-titled 2001 release by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray", "url": "http://www.metacritic.com/music/sugar-ray/sugar-ray"} +{"d:Title": "The Dome's Sugar Ray Site", "d:Description": "Multimedia, news, pictures, lyrics, links, interviews, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/Fan_Pages", "url": "http://www.angelfire.com/ca/sugarray/index.html"} +{"d:Title": "Lisa's Sugar Ray Page", "d:Description": "Small gallery of concert photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/Fan_Pages", "url": "http://www.angelfire.com/ny/rockgirl/sugaray.html"} +{"d:Title": "Megan's Sugar Ray Page", "d:Description": "Bulletin board, quotes, lyrics, news, biographies, polls, concert reviews, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/Fan_Pages", "url": "http://www.angelfire.com/il2/ILuvSugarRay/"} +{"d:Title": "Sugar Ray's News and Lyrics", "d:Description": "Includes news and lyrics of \"Floored\" and \"Lemonade and Brownies.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/Fan_Pages", "url": "http://www.angelfire.com/rock/sugarrayshomepage/"} +{"d:Title": "American Pig", "d:Description": "Includes news, pictures, lyrics, and sound files of Sugar Ray.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/Fan_Pages", "url": "http://american-pig.tripod.com/"} +{"d:Title": "Karen's Sugar Ray Site", "d:Description": "Biography, discography, lyrics, message board, tour dates, quotes, chat, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/Fan_Pages", "url": "http://sugarray_rocks.tripod.com/KarenzSugarRaySite/"} +{"d:Title": "Sugar Ray Fanatics", "d:Description": "Pictures, biographies, tour dates, news, chat room, quotes, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/Fan_Pages", "url": "http://www.angelfire.com/nh/sugarrayfanatics/"} +{"d:Title": "Mark McGrath - #1 Hottie of All Time", "d:Description": "Chat room, biography, pictures, and concert information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/McGrath,_Mark", "url": "http://members.tripod.com/~MarkMcGrath/titlepage.html"} +{"d:Title": "Mark McGrath: The Man Behind the Voice of Sugar Ray", "d:Description": "A site about the lead singer of the hit band. Contains information, pictures, links, facts and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sugar_Ray/McGrath,_Mark", "url": "http://www.angelfire.com/ny3/MarkMcGrath1/index.html"} +{"d:Title": "Suicidal Tendencies - The Suicyco Chinwag", "d:Description": "Free email, ICQ list and CYCO links for fans of Suicidal Tendencies and Family.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicidal_Tendencies", "url": "http://suicyco.8m.com/"} +{"d:Title": "The Official Suicidal Tendencies Page", "d:Description": "Venice Beach old school punk/thrash/skate band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicidal_Tendencies", "url": "http://www.suicidaltendencies.com/"} +{"d:Title": "TrouserPress.com: Suicide", "d:Description": "Review of the duo's career and recordings, together and solo.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicide", "url": "http://trouserpress.com/entry.php?a=suicide"} +{"d:Title": "Head Heritage: Unsung Reviews: Suicide - 23 Minutes Over Brussels", "d:Description": "Review of the live EP, documenting a show that ended in an audience riot, which has been included on the reissue of their first album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicide", "url": "http://www.headheritage.co.uk/unsung/reviews/index.php?review_id=641"} +{"d:Title": "Ghost Riders: Suicide", "d:Description": "Fan site includes discography and information about their solo careers. In French and English.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicide", "url": "http://www.limbos.org/suicide/"} +{"d:Title": "Daily Vault: Suicide", "d:Description": "Review of their debut self-titled album. Rated A.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicide", "url": "http://www.dailyvault.com/toc.php5?review=177"} +{"d:Title": "All Music Guide: Suicide", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicide", "url": "http://www.allmusic.com/artist/suicide-mn0000487186"} +{"d:Title": "The Village Voice: Suicide Watch", "d:Description": "Feature article about Alan's visual art by Simon Reynolds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Suicide", "url": "http://www.villagevoice.com/news/suicide-watch-6414502"} +{"d:Title": "KasimInfo.com", "d:Description": "Authorized fan site. Includes current news, biography, discography, photos, tour dates, press, audio files, online journal, and archives.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sulton,_Kasim", "url": "http://www.kasiminfo.com/"} +{"d:Title": "KasimSulton.Com", "d:Description": "The official website of musician Kasim Sulton. Includes photo galleries, news, biography, discography, message board, desktop themes, screen savers, audio/video and concert information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sulton,_Kasim", "url": "http://www.kasimsulton.com/"} +{"d:Title": "Kasim Sulton Fan Page", "d:Description": "Page devoted to Kasim Sulton's two 2002 Western New York performances on his 2002 Solo \"Have Guitar, Will Travel\" Tour.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sulton,_Kasim", "url": "http://madecotis.tripod.com/kasim.htm"} +{"d:Title": "Yahoo Groups: Kasim Sulton", "d:Description": "Online discussion group devoted to Kasim Sulton.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sulton,_Kasim", "url": "http://groups.yahoo.com/group/kasimsulton2/"} +{"d:Title": "Maximum Ink Kasim Sulton Interview", "d:Description": "August 2001 questions and answers interview with Kasim Sulton for Maximum Ink.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sulton,_Kasim", "url": "http://www.maximumink.com/articles.php?articleId=937"} +{"d:Title": "Yma-Sumac.com", "d:Description": "Official site features news, schedule, photos, and an interview.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sumac,_Yma", "url": "http://yma-sumac.com/"} +{"d:Title": "SunVirgin.com", "d:Description": "Fan site dedicated to promote Yma Sumac, offering photos, audio clips, fan forum, biographies, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sumac,_Yma", "url": "http://www.sunvirgin.com/"} +{"d:Title": "Yma Sumac...the Voice of the Incas", "d:Description": "Cover article from Fate magazine, by Ray Palmer and Jack Ross.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sumac,_Yma", "url": "http://javasbachelorpad.com/ymasumac.html"} +{"d:Title": "Allmusic: Yma Sumac", "d:Description": "Biography, and discography with reviews and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sumac,_Yma", "url": "http://www.allmusic.com/artist/p3154"} +{"d:Title": "Henry Lee Summer", "d:Description": "Official site includes schedule, merchandise, photos, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Summer,_Henry_Lee", "url": "http://www.henryleesummer.com/"} +{"d:Title": "All Music Guide: Henry Lee Summer", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Summer,_Henry_Lee", "url": "http://www.allmusic.com/artist/henry-lee-summer-p5557"} +{"d:Title": "Sum 41 Review", "d:Description": "Includes a detailed biography, and review for each of the bands albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://www.sputnikmusic.com/band/Sum+41"} +{"d:Title": "Sum 41", "d:Description": "Offers a very detailed review on the album Underclass Hero.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://www.popmatters.com/pm/review/sum-41-underclass-hero"} +{"d:Title": "Sum 41", "d:Description": "Offers a detailed biography of the band including members, discographies and related articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://en.wikipedia.org/wiki/Sum_41"} +{"d:Title": "Sum 41 Lyrics", "d:Description": "Lyrics and discography sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://www.lyricsmania.com/sum_41_lyrics.html"} +{"d:Title": "Sum 41 Chords", "d:Description": "Offers a small biography of the band and chords from multiple songs.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://www.chordie.com/song.php/songartist/Sum+41/index.html"} +{"d:Title": "Sum 41 Information", "d:Description": "Offers a detailed biography for the band and also includes a review for each album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://www.answers.com/topic/sum-41"} +{"d:Title": "MTV: Sum 41", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://www.mtv.com/artists/sum-41/"} +{"d:Title": "Allmusic.com: Sum 41", "d:Description": "Biography, discography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "http://www.allmusic.com/artist/sum-41-mn0000585574"} +{"d:Title": "Yahoo: Sum 41", "d:Description": "Biography, discography, news, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "https://music.yahoo.com/artist/sum-41/"} +{"d:Title": "Songsterr: Sum 41 Guitar Tabs", "d:Description": "Offers guitar tabs and chords, accurately rated and filtered for good results.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41", "url": "https://www.songsterr.com/a/wsa/sum-41-tabs-a210"} +{"d:Title": "Underclass Idiot", "d:Description": "Includes discussions by thread including, tour info recent news and events about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Chats_and_Forums", "url": "http://underclassidiot.proboards.com/"} +{"d:Title": "AP.net Chat: Sum 41", "d:Description": "Offers a live chat with a band member daily.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Chats_and_Forums", "url": "http://www.absolutepunk.net/showthread.php?t=1148302"} +{"d:Title": "Sum Kinda Paradise", "d:Description": "Images, member profiles, tour information, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Fan_Pages", "url": "http://www.angelfire.com/nd/sum41crazi/"} +{"d:Title": "Tasteless", "d:Description": "Fanzine which includes news, show dates, photos, articles and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Fan_Pages", "url": "http://www.angelfire.com/zine/tasteless41/index.html"} +{"d:Title": "Yes,I have Sum41", "d:Description": "Biography, discography, lyrics, photos, tour dates, poll, forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Fan_Pages", "url": "http://sum41.usclargo.com/"} +{"d:Title": "Sum 41", "d:Description": "Biography, lyrics, tour dates and picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Fan_Pages", "url": "http://musicfreak116.tripod.com/sum41/"} +{"d:Title": "Sum 41 Super Fans", "d:Description": "Photos, lyrics, videos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Fan_Pages", "url": "http://sum41superfans.tripod.com/"} +{"d:Title": "The Kick Ass Sum 41 Site", "d:Description": "Fan site with photos, lyrics, tour dates, and guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Fan_Pages", "url": "http://www.angelfire.com/punk3/sum41intro/"} +{"d:Title": "Sum41 Fanclub", "d:Description": "Offers a photo and art gallery for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sum_41/Fan_Pages", "url": "http://sum41fanclub.deviantart.com/"} +{"d:Title": "Another Flavour", "d:Description": "Canadian site dedicated to the band", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sundays,_The", "url": "http://members.tripod.com/~Sundays/index.html"} +{"d:Title": "J'adore The Sundays", "d:Description": "Information about the band, news articles, photo gallery and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sundays,_The", "url": "http://members.tripod.com/adore_sundays/"} +{"d:Title": "The Sundays News: Topix", "d:Description": "News about The Sundays continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sundays,_The", "url": "http://www.topix.com/who/the-sundays"} +{"d:Title": "ArtistDirect: The Sundays", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sundays,_The", "url": "http://www.artistdirect.com/artist/sundays/498850"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Sundays", "d:Description": "Reviews and analysis of the band's three albums.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sundays,_The", "url": "http://sfloman.com/sundays.html"} +{"d:Title": "Me and Sunny Day", "d:Description": "Story of how I met Sunny Day in Portland, pictures, sounds, discography, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sunny_Day_Real_Estate", "url": "http://chosalami.tripod.com/sunnyday/"} +{"d:Title": "ArtistDirect: Sunny Day Real Estate", "d:Description": "Biography, links to websites, music, lyrics, pictures, and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sunny_Day_Real_Estate", "url": "http://www.artistdirect.com/artist/sunny-day-real-estate/498881"} +{"d:Title": "RollingStone.com: Sunny Day Real Estate", "d:Description": "Articles, biography, discography, links, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sunny_Day_Real_Estate", "url": "http://www.rollingstone.com/music/artists/sunny-day-real-estate"} +{"d:Title": "Sunny Day Real Estate", "d:Description": "Sub Pop Records site for the Seattle based emo band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sunny_Day_Real_Estate", "url": "http://www.subpop.com/artists/sunny_day_real_estate"} +{"d:Title": "MTV: Sunny Day Real Estate", "d:Description": "News on the band as well as links and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sunny_Day_Real_Estate", "url": "http://www.mtv.com/artists/sunny-day-real-estate/"} +{"d:Title": "Metacritic: The Rising Tide", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Sunny_Day_Real_Estate", "url": "http://www.metacritic.com/music/the-rising-tide/sunny-day-real-estate"} +{"d:Title": "Superchunk.com", "d:Description": "The band's official site includes member profiles, pictures, a discography, and song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Superchunk", "url": "http://www.superchunk.com/"} +{"d:Title": "Onion AV Club: Superchunk", "d:Description": "An interview with Superchunk's Mac McCaughan on running a label and running a band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Superchunk", "url": "http://www.avclub.com/articles/superchunk,13480/"} +{"d:Title": "Drop-D Magazine: Superchunk", "d:Description": "Michael O'Donahue's review of the Super Chunk and Thicky live show, held at Plumtree, the Starfish Room, October 16, 1997.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Superchunk/Reviews", "url": "http://www.dropd.com/issue/76/Superchunk/"} +{"d:Title": "CLUAS: Superchunk - Here's to Shutting Up", "d:Description": "Chris Houlihan's review: \"The diehards will hate this album; everyone else can feel free to dive in.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Superchunk/Reviews/Here's_to_Shutting_Up", "url": "http://www.cluas.com/music/albums/superchunk.htm"} +{"d:Title": "Pitchfork: Superchunk - Here's to Shutting Up", "d:Description": "Ryan Schreiber's review: \"Of course, at the end of the day, Here's to Shutting Up isn't anything spectacular.\" Rated 7.9. (Oct. 10, 2001)", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Superchunk/Reviews/Here's_to_Shutting_Up", "url": "http://pitchfork.com/reviews/albums/7617-heres-to-shutting-up/"} +{"d:Title": "Mark Hart", "d:Description": "Includes photos, news, a guestbook, biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supertramp", "url": "http://markhartmusic.com/"} +{"d:Title": "Supertramp", "d:Description": "Official website. Contains road reports, lyrics, tour schedule, merchandise, related links, articles and a FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supertramp", "url": "http://www.supertramp.com/"} +{"d:Title": "John Helliwell", "d:Description": "Offers an autobiography, concert photos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supertramp", "url": "http://www.johnhelliwell.com/"} +{"d:Title": "Bob Siebenberg", "d:Description": "History of his career, solo recordings, discography, plus behind-the-scenes information on fellow musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supertramp", "url": "http://www.siebenberg.com/"} +{"d:Title": "Official Roger Hodgson Website", "d:Description": "Contains audio and video files, discography, photographs, interviews, tour schedule, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supertramp/Hodgson,_Roger", "url": "http://www.rogerhodgson.com/"} +{"d:Title": "Lyrics On Demand: Roger Hodgson", "d:Description": "Lyrics for Roger Hodgson's solo career. Site has listings for over 600,000 songs including soundtracks, TV theme songs, and one-hit wonders.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supertramp/Hodgson,_Roger/Lyrics", "url": "http://www.lyricsondemand.com/r/rogerhodgsonlyrics/"} +{"d:Title": "Lyrics On Demand: Supertramp", "d:Description": "Lyrics for Supertramp recordings. Listings for over 600,000 songs including soundtracks, TV theme songs, and one-hit wonders.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supertramp/Lyrics", "url": "http://www.lyricsondemand.com/s/supertramplyrics/"} +{"d:Title": "Super Furry Animals", "d:Description": "Official site includes news, reviews, and gig information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Super_Furry_Animals", "url": "http://www.superfurry.com/"} +{"d:Title": "Super Furry Animals Internet Discussion Group", "d:Description": "Official discussion group for the Welsh band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Super_Furry_Animals", "url": "http://groups.yahoo.com/group/superfurryanimals/"} +{"d:Title": "NME.com: Super Furry Animals", "d:Description": "Includes a detailed biography, photo gallery, discography, and links to the journal's past reviews of Super Furry Animals releases.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Super_Furry_Animals", "url": "http://www.nme.com/artists/super-furry-animals"} +{"d:Title": "Metacritic: Mwng", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Super_Furry_Animals", "url": "http://www.metacritic.com/music/mwng/super-furry-animals"} +{"d:Title": "Metacritic: Rings Around The World", "d:Description": "Links to reviews of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Super_Furry_Animals", "url": "http://www.metacritic.com/music/rings-around-the-world/super-furry-animals"} +{"d:Title": "Diana Ross and the Supremes", "d:Description": "Concert reviews, commentary, and general information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supremes,_The", "url": "http://www.soul-patrol.com/soul/supremes.htm"} +{"d:Title": "Florence Ballard Fan Club", "d:Description": "Founded in 1986 as a living memorial to the late member of the Supremes. Biography and membership information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supremes,_The", "url": "http://www.florenceballardfanclub.com/"} +{"d:Title": "History of Rock: The Supremes", "d:Description": "Band history, with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supremes,_The", "url": "http://www.history-of-rock.com/supremes.htm"} +{"d:Title": "Rock and Roll Hall of Fame: The Supremes", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supremes,_The", "url": "http://rockhall.com/inductees/the-supremes/"} +{"d:Title": "All Music Guide: The Supremes", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Su/Supremes,_The", "url": "http://www.allmusic.com/artist/p5563"} +{"d:Title": "Sviackevicius, Raimondas", "d:Description": "A high quality accordion virtuoso from Vilnius, Lithuania.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sv", "url": "http://sviackevicius.com/"} +{"d:Title": "Sven, Ric", "d:Description": "Information about Acoustic Simplicity, the new CD by acoustic guitar player Ric Sven, including a link to MP3 cuts.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sv/Sven,_Ric", "url": "http://ricsven.freeservers.com/"} +{"d:Title": "Swinghammer, Kurt", "d:Description": "Toronto-based musician. Includes biography, event listings and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.swinghammer.com/"} +{"d:Title": "Swag", "d:Description": "Power pop band from Nashville, Tennessee. Biography, news, discography, tour dates archive, pictures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://swagnet.tripod.com/"} +{"d:Title": "Swales, Penelope", "d:Description": "Folk singer/songwriter from Australia. Photos, concert schedule, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.penelopeswales.com/"} +{"d:Title": "Swamp", "d:Description": "Introduction to the Florida band. Includes brief information on the band and links to their music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.angelfire.com/music3/swamp/"} +{"d:Title": "Swanson, Jeff", "d:Description": "Rock guitarist. Webcam, CD samples, pictures and astronomy information. Ex-member of band Gunfighter.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.jeffswanson.com/"} +{"d:Title": "Swat", "d:Description": "Punk rock band from Boston. Audio downloads, show dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.angelfire.com/ma/swat/"} +{"d:Title": "Sweet, Bobby", "d:Description": "Country singer/songwriter from the Berkshires of western Massachusetts. Biography, gig schedule, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.bobbysweet.com/"} +{"d:Title": "Sweet Dixie", "d:Description": "Local Washington D.C. area country music band. Band profile, gig dates, and sound bites.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.angelfire.com/biz3/sweetdixie/"} +{"d:Title": "Swollen Members", "d:Description": "Rock duo from Canada. Lyrics, pictures, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.angelfire.com/hiphop2/swollen/"} +{"d:Title": "SwytchPlug", "d:Description": "An unsigned band from Ontario, features tour date and member list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.angelfire.com/rock/amckay/Band.html"} +{"d:Title": "Sweet Jayne", "d:Description": "Rock band from Vermont. Biographies, audio samples, images and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.angelfire.com/rock3/sweetjayneband/main.html"} +{"d:Title": "Swallows", "d:Description": "Alternative rock, pop, and modern rock band from Minneapolis, Minnesota. Official site includes MP3 downloads, band history, gig and contact information, and music reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.swallowthemusic.com/"} +{"d:Title": "Swanski, A.S.", "d:Description": "Alternative electronica artist based in Sweden. Blog, audio, lyrics and video.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.asswanski.com/"} +{"d:Title": "Swamp Blue", "d:Description": "Variety band from South Louisiana. Profile and calendar", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://swampblueband.webs.com/"} +{"d:Title": "Swing Unlimited Big Band", "d:Description": "Swing and jazz band based on the South Coast of the UK, available for corporate or private events. Gig schedule and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw", "url": "http://www.swingunlimitedbigband.co.uk/"} +{"d:Title": "Young God Records", "d:Description": "Music, news, and information from the label run by Michael Gira, featuring his work with Swans and his post-Swans music.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swans", "url": "http://www.younggodrecords.com/"} +{"d:Title": "Swans", "d:Description": "Official site, covering their 15 year history. Includes, news, gallery, products, discography, sound clips, guestbook, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swans", "url": "http://www.swans.pair.com/"} +{"d:Title": "The Living Jarboe", "d:Description": "Site for the former Swans member. Features news, pictures, discography, merchandise, press, and related writings and information.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swans", "url": "http://www.thelivingjarboe.com/"} +{"d:Title": "Live Photos of Swans", "d:Description": "21 pictures from a 1992 show in Providence.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swans", "url": "http://brainwashed.com/malachai/swans.html"} +{"d:Title": "TrouserPress.com: Swans", "d:Description": "Reviews of the band's recordings, including solo and side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swans", "url": "http://www.trouserpress.com/entry.php?a=swans"} +{"d:Title": "All Music Guide: Swans", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swans", "url": "http://www.allmusic.com/artist/swans-mn0000034988"} +{"d:Title": "Keith Sweat Group", "d:Description": "For fans to leave messages and share photographs, files and information about this artist. [Yahoo! Groups]", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweat,_Keith", "url": "http://groups.yahoo.com/group/keith_sweat/"} +{"d:Title": "Matthew Sweet", "d:Description": "Official site with news, discography, tour dates, pictures, fan club information and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweet,_Matthew", "url": "http://www.matthewsweet.com/"} +{"d:Title": "The Matthew Sweet Set List Database", "d:Description": "Show and demo lists.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweet,_Matthew", "url": "http://www.angelfire.com/il2/sweetsounds/sl1.htm"} +{"d:Title": "Matthew Sweet", "d:Description": "The Onion AV Club interviews Sweet on the music business, mortality, and what the future holds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweet,_Matthew", "url": "http://www.avclub.com/articles/matthew-sweet,13636/"} +{"d:Title": "Artist Direct: Matthew Sweet", "d:Description": "Short profile with links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweet,_Matthew", "url": "http://www.artistdirect.com/artist/matthew-sweet/499321"} +{"d:Title": "MTV.com: Skye Sweetnam", "d:Description": "Includes news, biography, discography, audio, and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweetnam,_Skye", "url": "http://www.mtv.com/artists/skye-sweetnam/"} +{"d:Title": "Sweetwater", "d:Description": "Legendary rock band which played Woodstock '69. The subject of VH1's first original TV Movie, \"Sweetwater: A True Rock Story.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweetwater", "url": "http://www.sweetwaterband.com/"} +{"d:Title": "My Crystal Spider", "d:Description": "Contains lyrics, information about the band, and up to date news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweetwater", "url": "http://www.angelfire.com/band/sweetwater/"} +{"d:Title": "All Music Guide: Sweet 75", "d:Description": "Biography and review of the band's album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweet_75", "url": "http://www.allmusic.com/artist/sweet-75-mn0000045833"} +{"d:Title": "Sweet Honey in the Rock", "d:Description": "Official site of the Grammy award-winning African American female a cappella ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweet_Honey_in_the_Rock", "url": "http://www.sweethoney.com/"} +{"d:Title": "Sweet Honey In The Rock Discography", "d:Description": "1976-1988.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Sweet_Honey_in_the_Rock", "url": "http://www.singers.com/sweethoneytitles.html"} +{"d:Title": "EmberSwift.com", "d:Description": "Official site of this artist and composer from Toronto, Ontario. Site includes show dates, photos, band and album information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swift,_Ember", "url": "http://www.emberswift.com/"} +{"d:Title": "earshot - Ember Swift", "d:Description": "News articles and downloadable interviews in mp3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swift,_Ember", "url": "http://www.earshot-online.com/features/AudioFeatures/ESwift/Eswift.html"} +{"d:Title": "Yahoo! Groups - emberinside", "d:Description": "Discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swift,_Ember", "url": "http://groups.yahoo.com/group/emberinside/"} +{"d:Title": "MusicDish - Permanent Marker", "d:Description": "Review of the \"Permanent Marker\" album by Ben Ohmart.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swift,_Ember", "url": "http://www.musicdish.com/mag/index.php3?id=117"} +{"d:Title": "Jam Showbiz - Ember Swift", "d:Description": "Various articles about Ember from Canoe.ca.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Swift,_Ember", "url": "http://jam.canoe.com/Music/Artists/S/Swift_Ember/"} +{"d:Title": "Musicfolio.com: Switchblade Symphony", "d:Description": "Several album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sw/Switchblade_Symphony", "url": "http://musicfolio.com/modernrock/Switchblade_S.html"} +{"d:Title": "Synema", "d:Description": "Information and MP3 files of this group that describes its music as a cross between symphonic, electronic, and neo prog rock.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy", "url": "http://www.synema.com/"} +{"d:Title": "Syd Masters&The Swing Riders", "d:Description": "Vintage western cowboy swing band. Show dates and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy", "url": "http://www.sydmasters.com/"} +{"d:Title": "Symphony in DeMeanor", "d:Description": "Band from Vancouver, British Columbia.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy", "url": "http://www.symphonyindemeanor.com/"} +{"d:Title": "Syndicate", "d:Description": "San Diego mind heavy groove band. News, MP3s, lyrics, reviews, photographs, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy", "url": "http://www.syndicatedirect.com/"} +{"d:Title": "Syndikate", "d:Description": "Rock band based in New South Wales, Australia. Site contains news, member profiles, reviews, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy", "url": "http://www.angelfire.com/biz3/metallica2/syndikate/"} +{"d:Title": "Synergy Vocals", "d:Description": "Group of voices in the London area covering a broad spectrum of musical genres and working primarily on microphone.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy", "url": "http://www.synergyvocals.com/"} +{"d:Title": "Syndon", "d:Description": "Pop and symphonic rock band based in Antwerpen, Belgium. Site contains biography, lyrics, photos, and audio/video files.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy", "url": "http://www.last.fm/music/syndon"} +{"d:Title": "John Sykes Mailing List", "d:Description": "Discuss John's music with other list members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/Sykes,_John", "url": "http://groups.yahoo.com/group/sykes/"} +{"d:Title": "Sykes", "d:Description": "Official site includes news, tour dates, biography, discography, photos, sound files, interviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/Sykes,_John", "url": "http://www.johnsykes.com/"} +{"d:Title": "John Sykes Interview", "d:Description": "John talks about his albums and his experiences with Thin Lizzy and David Coverdale.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/Sykes,_John", "url": "http://www.melodicrock.com/interviews/johnsykes.html"} +{"d:Title": "Sylver", "d:Description": "Artist profile for the Belgian dance band with a biography, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/Sylver", "url": "http://dancevibes.be/artists/sylver.jsp"} +{"d:Title": "Systematic", "d:Description": "Includes biography, images, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/Systematic", "url": "http://members.tripod.com/~systematic_site/"} +{"d:Title": "System of a Down", "d:Description": "Official site. Features news, tour dates, information about the band, music videos and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.systemofadown.com/"} +{"d:Title": "SOADomized", "d:Description": "Fansite featuring a picture gallery, audio and video clips, message boards and fan-art.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.soadomized.com/"} +{"d:Title": "SongMeanings - System of a Down", "d:Description": "Features song lyrics with user's interpretations of them. Also includes a discography and biography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.songmeanings.net/artist.php?aid=287"} +{"d:Title": "eLyrics.net - System of a Down", "d:Description": "Alphabetical listing of the band's song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.elyrics.net/song/s/system-of-a-down-lyrics.html"} +{"d:Title": "Ultimate Guitar - System of a Down Tabs", "d:Description": "Contains guitar, bass, power and guitar pro tabs submitted by users. Tabs are ordered alphabetically or by album name.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.ultimate-guitar.com/tabs/system_of_a_down_tabs.htm"} +{"d:Title": "NYRock - Interview with System of a Down", "d:Description": "Interview with Serj Tankian and Shavo Odadjian by NYRock", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.nyrock.com/interviews/2000/system.asp"} +{"d:Title": "Topix - System of a Down News", "d:Description": "News directory listed with updated links to band news from media sources worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.topix.com/who/system-of-a-down"} +{"d:Title": "System of a Down - YouTube", "d:Description": "Vevo Youtube channel for System of a Down music videos", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://www.youtube.com/user/systemofadownVEVO"} +{"d:Title": "System of a Down - Facebook", "d:Description": "Official Facebook page with posts about the band, gigs, photos and videos", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "https://www.facebook.com/systemofadown"} +{"d:Title": "System of a Down - Wikipedia, the free encyclopedia", "d:Description": "Information on the band history, lyrical styles, musical influences and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/S/Sy/System_of_a_Down", "url": "http://en.wikipedia.org/wiki/System_of_a_Down"} +{"d:Title": "DaveMcNally.com: S Club 7", "d:Description": "Lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club", "url": "http://www.davemcnally.com/Lyrics/SClub7/"} +{"d:Title": "BBC News: Paul leaves S Club 7", "d:Description": "Article about Paul Cattermole's decision to leave the group, and the change of name from 'S Club 7' to 'S Club'.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1897561.stm"} +{"d:Title": "BBC News: Entertainment: S Club 7 Launch Poppy Appeal", "d:Description": "The pop group launches 2000's Poppy Appeal with the aim of raising 20 million pounds.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club", "url": "http://news.bbc.co.uk/1/hi/entertainment/992252.stm"} +{"d:Title": "BBC News: Entertainment: S Club Reach for the Top", "d:Description": "Information on the group's success with their second album, \"7.\"", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club", "url": "http://news.bbc.co.uk/1/hi/entertainment/796258.stm"} +{"d:Title": "BBC News: Entertainment: Wanted: S Club Choir", "d:Description": "Article on the group's search for a school choir to help them perform their new single for the BBC's Children In Need appeal.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club", "url": "http://news.bbc.co.uk/1/hi/entertainment/1001470.stm"} +{"d:Title": "AskMen.com : S Club 7", "d:Description": "Pictures, biography, commentary and links on the women of this popular band.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club", "url": "http://www.askmen.com/celebs/women/singer_60/64_s_club_7.html"} +{"d:Title": "Yahoo Groups: S Club Boys", "d:Description": "Yahoo club about the boys in S Club 7.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sclubboys/"} +{"d:Title": "S Club 7", "d:Description": "Includes audio and video clips, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://sclub7.freeservers.com/"} +{"d:Title": "S Club 7 Island", "d:Description": "Philippines based site. Includes biographies and photographs of members, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://members.tripod.com/~manilaphilippines/sclub7.html"} +{"d:Title": "S Club Pics", "d:Description": "Dedicated more to the female band members, this site has reviews and episode guides, lyrics, articles, stories and a wide range of pictures available.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://www.users.dialstart.net/~rhmain/sc7/"} +{"d:Title": "SClub2000", "d:Description": "A quiz and details about S Club and the bands members.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://www.angelfire.com/pop/sclub2000/"} +{"d:Title": "S Club 7 Heaven", "d:Description": "Includes biographies, audio and video clips and news.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://sclub-7.tvheaven.com/"} +{"d:Title": "Super 7", "d:Description": "Band information, pictures, lyrics and an album review.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://www.angelfire.com/celeb/super7/"} +{"d:Title": "The S Club 7 Don't Stop Moving", "d:Description": "News, biographies, reviews and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://www.angelfire.com/pop2/sc7dontstopmoving/"} +{"d:Title": "Ok4 S Club 7 Fans", "d:Description": "Pictures, polls, links and quizzes.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://www.angelfire.com/ok4/sclub7fans/"} +{"d:Title": "S Club 7", "d:Description": "Member profiles, news, gossip, links and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://sclub-7.20m.com/"} +{"d:Title": "Tina and Jon In Love", "d:Description": "Profiles, pictures, and \"evidence\" of a relationship between the two.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Fan_Pages", "url": "http://jonandtinaonline.tripod.com/"} +{"d:Title": "A Jon Lovers' Site", "d:Description": "Yahoo Club, features messages, pictures, chat and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Lee,_Jon", "url": "http://groups.yahoo.com/group/ajonloverssite/"} +{"d:Title": "S Club Jon Wows Crowd in West End Show", "d:Description": "BBC news report on the first night in London West End show Les Miserables.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Lee,_Jon", "url": "http://news.bbc.co.uk/cbbcnews/hi/music/newsid_3105000/3105923.stm"} +{"d:Title": "Just Jo", "d:Description": "A website devoted to Jo O'Meara of the British pop band S Club 7. Contains some pages pertaining to the group as a whole.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/O'Meara,_Jo", "url": "http://www.angelfire.com/pop/JustJo/"} +{"d:Title": "Pictures of Hannah Spearritt", "d:Description": "A shrine to Hannah with over 100 pictures of her.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Spearritt,_Hannah", "url": "http://www.angelfire.com/ne/frumpywardrobe/"} +{"d:Title": "Spearritt Ireland", "d:Description": "Contains news, pictures, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Spearritt,_Hannah", "url": "http://webspace.webring.com/people/vs/spearrittireland/home.html"} +{"d:Title": "mxdpi.com: Rachel Stevens", "d:Description": "Thumbnailed picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Stevens,_Rachel", "url": "http://www.mxdpi.com/pictures/MUSIC/Rachel_Stevens"} +{"d:Title": "Rachel Stevens USA Forums", "d:Description": "USA Based forum for Rachel Fans.", "topic": "Top/Arts/Music/Bands_and_Artists/S/S_Club/Stevens,_Rachel/Chats_and_Forums", "url": "http://sclubali86.proboards.com/"} +{"d:Title": "Tatiana", "d:Description": "Fan site about the Latin singer and television star. Includes a biography, discography, articles, pictures, and desktop downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tatiana.info/"} +{"d:Title": "Tempano", "d:Description": "Official site includes a history, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tempano.com/"} +{"d:Title": "Tanguisimo", "d:Description": "Argentinian tango ensemble. Includes biographies and audio samples. [English and French]", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tanguisimo.org/"} +{"d:Title": "Terasonic", "d:Description": "MP3 files of computer music composed, executed, and rendered by Nicholas Hender.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.terasonic.net/"} +{"d:Title": "Tzuke, Judie", "d:Description": "Singer with albums sold on the internet.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tzuke.com/"} +{"d:Title": "Taylor, Jane", "d:Description": "Bristol based singer/songwriter. Includes biography, gig dates, audio samples, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.janetaylor.co.uk/"} +{"d:Title": "T-Odio", "d:Description": "Italian alternative power rock band. History, discography, reviews, and concert dates. In English and Italian.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.todio.com/"} +{"d:Title": "Terminal Sunglasses, The", "d:Description": "MP3s and videos from this Montreal band that mix '60s garage band influences and Velvet Underground avant-guard tendencies with 1980s punk sensibilities.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://lawrencejoseph.org/1indexts.html"} +{"d:Title": "Traband, Tyler", "d:Description": "Songwriter, piano man, and leader of a five piece band. Band member profiles, pictures, song samples, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tylertraband.net/"} +{"d:Title": "Torpedoes, The", "d:Description": "Official online home.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thetorpedoes.com/"} +{"d:Title": "Tubbies, The", "d:Description": "Ska/punk band from Northern New Jersey. Member profiles, news, show dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://thetubbies.tripod.com/"} +{"d:Title": "Tin Pan Alley Steelband", "d:Description": "Includes history, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tinpanalley-steelband.de/"} +{"d:Title": "Theessink, Hans", "d:Description": "Tour schedule, biography, discography, photographs, and merchandise for the Austrian blues guitar player.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.theessink.com/"} +{"d:Title": "Television", "d:Description": "Includes discographies, and gigographies.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.mindspring.com/~serovner/Justthefacts.htm"} +{"d:Title": "Too Much Fiction", "d:Description": "Alternative, modern-pop music band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.toomuchfiction.com/"} +{"d:Title": "Trans Siberian Orchestra", "d:Description": "Official site includes latest news, biography, concert information, audio and video clips, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.trans-siberian.com/"} +{"d:Title": "Te Vaka", "d:Description": "Home of the South Pacific fusion band with music and performance reviews, song clips, photos, lyrics, CD ordering information, band information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tevaka.com/"} +{"d:Title": "Trims, The", "d:Description": "Official homepage of this Australian band. Site features regularly updated news and information, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://trims.8m.com/"} +{"d:Title": "Tracy G", "d:Description": "Discography, information, tour dates, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tracyg.com/"} +{"d:Title": "To, Shirley", "d:Description": "Bass player from Hong Kong. Biography, audio clips, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.toonyun.com/"} +{"d:Title": "That Kind of Woman", "d:Description": "Official site includes gig dates and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://thatkindofwoman.tripod.com/"} +{"d:Title": "Templar Online", "d:Description": "News, tour dates, photos, and many other things about this great Canadian band", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://templar_online.tripod.com/"} +{"d:Title": "Teems, David", "d:Description": "Music, Christian ministry, quarterly newsletter, personal messages, order form for products.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.davidteems.com/"} +{"d:Title": "Third Eye Foundation, The", "d:Description": "Discography, news, pictures, history, multimedia and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thirdeyefoundation.com/"} +{"d:Title": "Two Ton Rhino", "d:Description": "Official site for the NYC-based band includes a history and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://twotonrhino.com/"} +{"d:Title": "Todd Butler Group, The", "d:Description": "Reviews, recordings and appearances of this Baltimore-based jazz quintet.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.toddbutlergroup.com/"} +{"d:Title": "Telto", "d:Description": "Official site includes profile, reviews, merchandise, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.telto.org/"} +{"d:Title": "Theselah", "d:Description": "Includes biography, reviews, photos, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.koarecords.com/theselah"} +{"d:Title": "Tonewelders, The", "d:Description": "Band from Gainesville Florida. Site features their latest album \"Five Sticks\".", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tonewelders.com/"} +{"d:Title": "Toying With Fate", "d:Description": "Influenced by a wide variety of artists and genres, the music is developed without any sort of formal training, using only what education can be acquired from other local artists and what music is accessible.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.toyingwithfate.com/"} +{"d:Title": "Toe Head", "d:Description": "Combines electro-metallic hip-hop and surf poetry. Sound files available.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.toehead.net/"} +{"d:Title": "Tarbox Ramblers, The", "d:Description": "The primitive alt-blues group's official website. Includes tour dates, gig and booking information, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tarboxramblers.com/"} +{"d:Title": "Taylor, B.E.", "d:Description": "Official site includes news, appearances information, photos, biography, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.betaylor.com/"} +{"d:Title": "Taylor, Kate", "d:Description": "Official site includes biography, discography, FAQ, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.katetaylor.com/"} +{"d:Title": "ThrottleFinger", "d:Description": "Official site for this San Francisco based rock/metal band. Sound files, news, tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.throttlefinger.com/"} +{"d:Title": "T.D. Clark Band", "d:Description": "Official site includes latest news and appearance schedule, sampled tracks, merchandise, guitar tips, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tdclark.com/"} +{"d:Title": "Tuncboyaciyan, Arto", "d:Description": "Armenian percussionist, vocalist and instrumentalist. Includes biography and album information. From Living Music.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.livingmusic.com/biographies/arto.html"} +{"d:Title": "Travis, Abby", "d:Description": "Official site with the latest updates and facts about the cute nurse from KMFDM and the fairy who performed with Spinal Tap. Includes show dates and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.abbytravis.com/"} +{"d:Title": "Tingstad and Rumbel", "d:Description": "Official site includes news, discography, sound files, reviews, tour dates, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tingstadrumbel.com/"} +{"d:Title": "Tugboat Jerry", "d:Description": "Biography, sound files, CD information, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tugboatjerry.com/"} +{"d:Title": "Talmadge, Jeff", "d:Description": "News and reviews about the Austin,Texas singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.jefftalmadge.com/"} +{"d:Title": "TonyMobile", "d:Description": "Official site with cuts in MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.users.qwest.net/~tsmith1/"} +{"d:Title": "Tambours du Bronx", "d:Description": "Official site for this French percussion musicians group includes concert dates, history, news, CD information, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tamboursdubronx.com/"} +{"d:Title": "Tyrants in Therapy", "d:Description": "Punk Cabaret artists from the West Coast, TiT combine wordplay with rock and Fellini-inspired surrealism.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tyrantsintherapy.com/"} +{"d:Title": "The Twelve", "d:Description": "Original British pop and rock and roll band. News, sound files, CD-ROM information, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thetwelve.net/"} +{"d:Title": "Tobias, Rob", "d:Description": "Wide stylistic range, from pop and folk to Texas swing and reggae. Information on his CD-ROM, performances, Playback Theatre, and his work as an artist in education.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://robtobias.com/"} +{"d:Title": "Taggy Tones", "d:Description": "Danish rockabilly band from Copenhagen. History, discography, pictures, and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.taggytones.dk/"} +{"d:Title": "Troutman, Roger", "d:Description": "Includes discography (with ZAPP), interview, and tributes.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.soul-patrol.com/funk/zapp.htm"} +{"d:Title": "Talley, James", "d:Description": "Oklahoma born singer songwriter, with country, folk and blues roots, an Americana style originator.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.jamestalley.com/"} +{"d:Title": "Transjoik", "d:Description": "World or ethnic music based on the traditional Sami joik or yoik. Biography, sound files, tour dates, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.transjoik.com/"} +{"d:Title": "To Be Announced", "d:Description": "New Hampshire based improvisational music group featuring lots of synth and lyrics from old 80's pop songs. Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tobeannounced.iwarp.com/"} +{"d:Title": "Toher, Dan", "d:Description": "Irish rock, pop, and world music artist/producer. Contains biography, gig listing, and sample downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.dantoher.com/"} +{"d:Title": "Tyner, McCoy", "d:Description": "Jazz musician. Biography, discography, transcriptions, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.jazzcenter.org/tyner"} +{"d:Title": "Tops In Blue - USAF", "d:Description": "Military entertainment organization. Profile, history, tour and application information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tibpriors.org/"} +{"d:Title": "Typical Reject", "d:Description": "Rock band from Paducah, KY. Official site includes biographies, news, lyrics, pictures, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/ky2/typicalreject/"} +{"d:Title": "Tallent, Garry", "d:Description": "Tribute to the bass guitarist in Springsteen's E Street Band, with biography, pictures, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://perso.wanadoo.es/tallent/"} +{"d:Title": "Torrez, Omar", "d:Description": "Guitarist, vocalist, and composer of Latin music. News, biography, sound files, show dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.omartorrez.com/"} +{"d:Title": "Tent Dwellerz", "d:Description": "Alternative Christian band from South Carolina. Member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/ga2/tdz/"} +{"d:Title": "Taylor, Livingston", "d:Description": "News, merchandise, schedule, discography, FAQ, and photos for the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://livtaylor.com/"} +{"d:Title": "Taylor, Ben", "d:Description": "News, message board, and pictures of the son of James Taylor and Carly Simon.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.ben-taylor.com/"} +{"d:Title": "Technician", "d:Description": "News, sound files, and photos for the three piece rock band from Philadelphia, PA.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.technician.org/"} +{"d:Title": "Tobey, Paul", "d:Description": "Profile, reviews, tour dates, and sound files for the Canadian jazz pianist and composer.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.paultobey.com/"} +{"d:Title": "Third Twin", "d:Description": "Official site includes news, e-mail contacts, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/co3/thirdtwin/"} +{"d:Title": "Towers, Jill", "d:Description": "Pictures and CD information for the singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/sk/JillTowers/index.html"} +{"d:Title": "Tuesday", "d:Description": "Punk/emo band from Illinois. Includes lyrics, pictures, discography, links, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/punk2/tuesday/"} +{"d:Title": "Trautman, Al", "d:Description": "Profile and contact information for the pianist/vocalist who plays two-handed Louisiana flavored boogie-woogie.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://altrautman.bizland.com/BOOGIE.html"} +{"d:Title": "Taylor, Gary", "d:Description": "Romantic balladeer from Los Angeles. Real Audio clips, lyrics, tour information, a photo gallery, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.morningcrew.com/"} +{"d:Title": "Transoceanic", "d:Description": "A band specialising in uplifting and relaxing ambient instrumental music. Band history, news, reviews, and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.themusictree.co.uk/"} +{"d:Title": "Then Again", "d:Description": "Band information, pictures, lyrics, links, and a show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thenagain.org/"} +{"d:Title": "This Fallen Fiction", "d:Description": "Underground alternative rock group. Album information, song clips, lyrics, photos, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thisfallenfiction.com/"} +{"d:Title": "Twisted", "d:Description": "A local Northern California Metal/Rapcore band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/rock/twistedsacto/"} +{"d:Title": "Timberlake, Tiffany", "d:Description": "Rhythm and blues singer/songwriter. Lyrics, sound files, pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/il2/PracticePage/"} +{"d:Title": "Toog", "d:Description": "News, biography, discography, lyrics, and images for the French artist.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.moderniste.com/toog/"} +{"d:Title": "Tornacade", "d:Description": "News, pictures, profile, lyrics, and show dates for the progressive emo band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/band/jackmatch/"} +{"d:Title": "Team Demolition", "d:Description": "Biography, discography, and schedule for the hip hop group from Northern Virginia.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.depthcharge.com/"} +{"d:Title": "Traveling Mercies", "d:Description": "Includes biography, news, and CD information for the Christian roadhouse folk band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.travelingmercies.com/"} +{"d:Title": "Trout Fishing in America", "d:Description": "Eclectic folk/rock band based in Arkansas. Official site includes biography, calendar, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.troutmusic.com/"} +{"d:Title": "Trio Rococo", "d:Description": "Profiles, images, links, contact information, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.triorococo.com/"} +{"d:Title": "Timmons, Andy", "d:Description": "Guitarist based in Dallas, Texas. Biography, news, tour information, pictures, discography, sound files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.andytimmons.com/"} +{"d:Title": "Twistoffs, The", "d:Description": "Official site includes news, gig dates, discography, sound files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://twistoffs.com/"} +{"d:Title": "Tannen, Steve", "d:Description": "Official site includes biography, schedule, lyrics, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.stevetannen.com/index.html"} +{"d:Title": "Texas Renegade", "d:Description": "Country music from the Netherlands. Official site includes history, news, pictures, sound files, and CD information. In English and Dutch.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.texasrenegade.com/"} +{"d:Title": "Toggle", "d:Description": "Official site for the rock band includes news, profiles, gig dates, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.toggle-online.com/"} +{"d:Title": "Thompson, Butch", "d:Description": "Official site of the traditional jazz pianist includes news, sound files, articles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.butchthompson.com/"} +{"d:Title": "Thundercow", "d:Description": "Official site of the Scottish rock/blues act. News and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://thundercow.tripod.com/"} +{"d:Title": "Tow Truck Tom", "d:Description": "Biography, images, news, and lyrics for the southern rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://towtrucktom.indiegroup.com/"} +{"d:Title": "Tefkin, Blair", "d:Description": "Los Angeles singer and bassist. Contains biography, reviews, audio samples, discography, news, and upcoming shows. [Flash required]", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.blairtefkin.com/"} +{"d:Title": "Tyburn", "d:Description": "Gig listings and profile of the north Manchester band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/band/Tyburn/"} +{"d:Title": "Thorn, Paul", "d:Description": "Official site includes tour dates, discography, sound files, pictures, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.paulthorn.com/"} +{"d:Title": "Toasties, The", "d:Description": "Profiles, news, and pictures of the Pittsburgh band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/band/IntricateDilema/"} +{"d:Title": "Torg", "d:Description": "Profile and pictures of the rock band from Chicago.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/on/torg/"} +{"d:Title": "Theatre of Ice", "d:Description": "Pictures, reviews, and links for sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.theatreofice.com/"} +{"d:Title": "Trubey, Don", "d:Description": "Saxophone player and guitarist. Profiles and discographies of all the bands he's played in.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://gdon.home.mindspring.com/"} +{"d:Title": "Tribal Herb", "d:Description": "Dublin Zappaesque funk band. Includes discography, pictures, audio excerpts, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tribalherb.tripod.com/"} +{"d:Title": "Tormenta", "d:Description": "History and profiles of the tejano band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/band/Tormenta/"} +{"d:Title": "Twenty9", "d:Description": "Ska, punk, reggae band. Includes biographies, song list, photographs, equipment list, merchandise, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/myband/29skankers/"} +{"d:Title": "Truesdale, Tommy and the Sundowners", "d:Description": "Scotland based five piece band playing 1960s, 1970s, rock and roll, and country. Contains profile, discography, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://website.lineone.net/~ttsun/"} +{"d:Title": "Tik N'Tak", "d:Description": "Fan site/community features news, articles, profiles, reviews, pictures, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tikntak.narod.ru/"} +{"d:Title": "Thomas, Andrew", "d:Description": "Recording artist/songwriter. Includes news, biography, MP3s, lyrics and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.strangesandwich.com/andrew/"} +{"d:Title": "Thompson, Robbin", "d:Description": "Virginia-based songwriter, includes MP3s, release information and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.robbinthompson.com/"} +{"d:Title": "Tiger Army", "d:Description": "Psychobilly band. Includes national tour dates, news, MP3s, information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tigerarmy.com/"} +{"d:Title": "Takemura, Nobukazu", "d:Description": "Discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.asciipr0n.com/m1a9366b/takemura/index.htm"} +{"d:Title": "Torn Poppies", "d:Description": "Profiles and sound files of the band from the United Kingdom.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tornpoppies.com/"} +{"d:Title": "Twice Removed", "d:Description": "Official site of British Rhythm and Blues Band. Includes gig guide, reviews, snapshots and downloadable soundfiles.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://twiceremoved.tripod.com/"} +{"d:Title": "T Jon Project", "d:Description": "Progressive lyrical rock guitarist Timothy Jon. Includes biography, MP3 downloads, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.t-jon.com/"} +{"d:Title": "Torrance, Richard", "d:Description": "Official web site of Las Vegas vocalist-guitarist. Includes CDs, MP3 samples, biography, and scrapbook.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.richardtorrance.com/"} +{"d:Title": "Treekillaz", "d:Description": "Hardcore rock from Switzerland. News, gig schedule, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.treekillaz.com/"} +{"d:Title": "Tourist", "d:Description": "Rock group from The Hills, currently in Portland, Maine. Biography, lyrics, equipment listing, and a link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/rock3/tourist/"} +{"d:Title": "Toronto Mass Choir", "d:Description": "Gospel choir based in Toronto. Includes history and biographies, appearances, news, FAQs, photos, press kit, discography, MP3s, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tmc.ca/"} +{"d:Title": "Tropical Soul", "d:Description": "Central Pennsylvania Surf band. Includes history and profiles, tour dates, news, merchandise, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tropicalsoul.net/"} +{"d:Title": "Teenage Prayers, The", "d:Description": "Official site. Includes history, press, photographs, and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.teenageprayers.com/"} +{"d:Title": "Taylor, Kim", "d:Description": "Official site of singer and songwriter includes clips and schedule of appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.kim-taylor.net/"} +{"d:Title": "Two Headed Puppy", "d:Description": "Musician based in Redondo Beach, California. News, biography, lyrics, audio files, photos, and press reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.twoheadedpuppy.com/"} +{"d:Title": "Tao, David", "d:Description": "Yahoo fan club for the Asian pop artist.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://groups.yahoo.com/group/davidstaoism/"} +{"d:Title": "Tropical Coyotes", "d:Description": "Island-friendly and dance favorite play lists for weddings and corporate events. Features instrumentation, audio samples, and song lists.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tropicalcoyotes.com/"} +{"d:Title": "Tony B", "d:Description": "Professional piano/vocalist, available for weddings, corporate events, functions, parties, nightclubs, hotels and piano bars. Details of repertoire, previous clients and engagements.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tonybmusic.co.uk/"} +{"d:Title": "Tossers, The", "d:Description": "Chicago based Irish punk band. Profile, tour dates, and information on releases.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thickrecords.com/bands/tossers.html"} +{"d:Title": "Thy Veils", "d:Description": "History, discography, articles and artwork by the Romanian group.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thyveils.com/"} +{"d:Title": "This Ascension", "d:Description": "Official site. Features, news, discography, song clips and photos of the California ethereal/darkwave band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thisascension.com/"} +{"d:Title": "Torrential Downpour", "d:Description": "Official site includes biographies, pictures, lyrics, sound files, news, show dates, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.torrentialdownpour.com/"} +{"d:Title": "Topper", "d:Description": "Rock band originally from Atlanta, Georgia. Biography, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://topperlive.com/"} +{"d:Title": "Travel Agents", "d:Description": "San Diego based psychedelic dance band whose repertoire includes original and Grateful Dead tunes. Biography and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.oceanbeach.com/travel_agents/"} +{"d:Title": "Third World", "d:Description": "Jamaican reggae band. News, biographies, discography, photos, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thirdworldband.com/"} +{"d:Title": "Tarnia", "d:Description": "Female soft rock musician originally from UK. Site includes biography and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tarnia.com/"} +{"d:Title": "Tognoni, Rob", "d:Description": "Blues rock musician from Australia. Tour dates, biography, guitar tablature, lyrics, equipment list, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.robtognoni.com/"} +{"d:Title": "Torn, David", "d:Description": "Guitarist, composer and loop artist. Biography, information on releases, and concert pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.lolorecords.com/david_torn.html"} +{"d:Title": "Tendrill", "d:Description": "Rock band based in the UK. News, artwork, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/ego/tendrill/"} +{"d:Title": "Tears of Rage", "d:Description": "Hardcore rock band from Cincinnati, OH. Gig schedule, biography, photographs, and link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tearsofrage.com/"} +{"d:Title": "Transmogrify", "d:Description": "Rock fusion band from St. Louis, MO. Gig schedule, biographies, MP3 files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.angelfire.com/clone/tmog/"} +{"d:Title": "Taven", "d:Description": "Irish rock/pop group from Dublin. News, MP3 files, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.taven.org/"} +{"d:Title": "Tichy, Brian", "d:Description": "Official site for the musician who has been drummer for bands including Pride and Glory, Ozzy Osbourne, Foreigner, and Billy Idol. Site includes a gig schedule, biography, photographs, audio files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.briantichy.com/"} +{"d:Title": "Tripsitter", "d:Description": "Alternative pop band from Las Vegas, Nevada. Biography, merchandise, and link to audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tripsitter.tripod.com/"} +{"d:Title": "Trokar", "d:Description": "Southern US death metal band. Site contains news, biography, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://trokar2001.tripod.com/"} +{"d:Title": "Taylor, Moz", "d:Description": "Pop rock musician from Montreal, Quebec. Biography, lyrics, and streaming RealAudio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.moztaylor.com/"} +{"d:Title": "Tommy's Triple Threat Blues Revue", "d:Description": "West Virginia blues band. Gig schedule, biographies, photographs, and equipment list.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tommystriplethreatbluesrevue.com/"} +{"d:Title": "Those Guys", "d:Description": "Folk, rock, and country band from the U.S. East Coast. Gig schedule, audio samples in MP3 format, photographs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thoseguys.com/"} +{"d:Title": "Thuman, Greg", "d:Description": "Musician/composer with pictures, sound clips, CD purchase, and personal journal.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.primalstatic.com/"} +{"d:Title": "Tulipomania", "d:Description": "Pennsylvania rock band's site features news, audio, video and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tulipomania.com/"} +{"d:Title": "Tokyo Cosmonauts", "d:Description": "Electronica from Paris, France. Biography, streaming RealAudio samples, and a streaming RealVideo file.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tokyocosmonauts.com/"} +{"d:Title": "Timberline", "d:Description": "Country rock band formed in Nebraska. Profiles, lyrics, and CD-ROM ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.jimsalestrom.com/timberline/frontpage.html"} +{"d:Title": "Tree", "d:Description": "Information about the band from Boston.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.treemusic.com/"} +{"d:Title": "Torman Maxt", "d:Description": "Christian progressive metal band. Lyrics, sound files, and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tormanmaxt.com/"} +{"d:Title": "Taglieri, John", "d:Description": "Official site for the rock musician includes news, biography, sound files, photos, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.johntaglieri.com/"} +{"d:Title": "Tripod", "d:Description": "US Rock band, using no guitars or keyboards. MP3 sound clips, news, CD ordering information, equipment, reviews, and international interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tripod-theband.com/"} +{"d:Title": "Tan, Chester", "d:Description": "Original new age piano compositions and personal CD albums by the Singapor composer.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://music.chestertan.com/"} +{"d:Title": "Taylor, Butch and the Penetrators", "d:Description": "Connecticut funky blues band. Biography, discography, photos, gigs, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.butchtaylor.com/"} +{"d:Title": "Touch of Class, A", "d:Description": "Indiana and western Ohio based country singing duet.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tim_n_betty.tripod.com/"} +{"d:Title": "Tumbling Dice, The", "d:Description": "Action rock from Pietarsaari, Finland. Biography, discography, pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.multi.fi/~isaksson/tumbling/"} +{"d:Title": "Teng, Vienna", "d:Description": "Singer, pianist, and songwriter working in a pop folk style. Discography, tour schedule, and mp3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.viennateng.com/"} +{"d:Title": "Tiernan, Paul", "d:Description": "Official site contains information and reviews of the artist's career as a songwriter/musician and also has samples of his music.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.paultiernan.com/"} +{"d:Title": "Two Loons for Tea", "d:Description": "Dreamy-smart pop collective. Interviews, lyrics, mp3s, reviews, and their show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.twoloons.com/"} +{"d:Title": "Thomas, Rosie", "d:Description": "Biography, news, photographs, a list of upcoming tour dates, and her discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.rosiethomas.com/"} +{"d:Title": "TWISILITY-B", "d:Description": "Official site of English band, also known as The Well I'm Sure I Left It There Yesterday Band. Featuring background information, photos, and MP3 downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.twiz.de/"} +{"d:Title": "To Be Announced", "d:Description": "Four-piece rock from Ajax, Ontario, Canada. Biography, photos, show dates, contact information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tobeannounced.s5.com/"} +{"d:Title": "Twilley, Dwight", "d:Description": "Includes tour dates, biography, and discography for the power pop singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.dwighttwilley.com/"} +{"d:Title": "Trainwreck Collective", "d:Description": "Dedicated to a collective of musicians which has been creating mostly instrumental music for over a decade. Biographies, message board, show dates, and MP3 files for download.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://trainwreckcollective.i8.com/"} +{"d:Title": "Tizer, Lao", "d:Description": "Contemporary jazz keyboard player. Includes profile, photographs, sample recordings, and performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.laotizer.com/"} +{"d:Title": "Travelling Raspberries, The", "d:Description": "Profile, news, booking information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.traspberries.co.uk/"} +{"d:Title": "Theresa, Latteta", "d:Description": "Flute player. Details of albums and sales plus booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://latteta.com/"} +{"d:Title": "Tenboy", "d:Description": "UK rock/pop artist. News, gig dates, sound files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.shotguncharlie.co.uk/tenboy.htm"} +{"d:Title": "Tetchi", "d:Description": "Band based in Hebden Bridge, West Yorkshire, UK. Information and online shop selling MP3 downloads and band merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tetchi.co.uk/"} +{"d:Title": "Tamarack", "d:Description": "Folk music group drawing on traditional sounds and contemporary influences for their timeless melodies and harmonies. Biography, tour dates, RealAudio files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://pages.interlog.com/~pmsinc/"} +{"d:Title": "Tackhead", "d:Description": "Dub supergroup includes producer Adrian Sherwood, guitarist Skip McDonald, bassist Doug Wimbish and drummer Keith LeBlanc. Includes news, tour dates, discography, downloads, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tackhead.com/"} +{"d:Title": "Thobejane, Mabi", "d:Description": "Biography and CD information for the percussionist.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.melt.co.za/index.php?main_page=page&id=41&chapter=0"} +{"d:Title": "Tchicai, John", "d:Description": "Biography and CD information for the saxophonist.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.melt.co.za/index.php?main_page=page&id=36&chapter=0"} +{"d:Title": "Thornton, Phil", "d:Description": "The official site including biography, discography and free music downloads. Plus details of Phil`s work with Expandis and Mandragora as well as collaborations with Hossam Ramsy, Asha, Steven Cragg and others.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.philthornton.co.uk/"} +{"d:Title": "Truelys, The", "d:Description": "A Canadian band. Site includes album information, band profile, song samples, and purchasing information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://gardnerjohnston.tripod.com/"} +{"d:Title": "Torchmen Quartet", "d:Description": "Biographies, news, and contact information for the Southern Gospel quartet from Canada.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.torchqt.com/"} +{"d:Title": "Tito and Tarantula", "d:Description": "Contains news, tour schedule, audio and video clips, photographs, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.titoandtarantula.com/"} +{"d:Title": "Temple of Venus", "d:Description": "Official site of the underground band from Bologna, Italy. In Italian and English.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.templeofvenus.it/"} +{"d:Title": "Triumvirat", "d:Description": "Official site. Biography, newsletter, photos, discography, lyrics, and free email accounts.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.triumvirat.net/"} +{"d:Title": "Trash A.D.", "d:Description": "Thrash metal band from Gothenburg, Sweden. Members, discography, equipment, history, tour dates, news, sounds, pictures, tablature and band information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://home.swipnet.se/~w-51099/index.html"} +{"d:Title": "Tintinabulus", "d:Description": "Audio samples, pictures, performance information, and biography of the Philadelphia band performing improvisation and heady global noise-jazz.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tintinabulus.com/"} +{"d:Title": "Too Hip for the Room", "d:Description": "An acoustic trio. Site includes band information, member profiles, song samples, reviews, and purchase information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.toohipfortheroom.com/"} +{"d:Title": "Trones, John", "d:Description": "Adult contemporary recording artist and actor. Includes biography, discography, theater and screen credits, calendar and pictures. [Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.johntrones.com/"} +{"d:Title": "Todoran, David", "d:Description": "Rock musician from Indiana. Contains news, biography, discography, show dates and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://davidtodoran.tripod.com/home_page.htm"} +{"d:Title": "Throwbacks, The", "d:Description": "Punk band from Erie, Pennsylvania. Includes gig dates, photos, news and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://throwbacks2001.tripod.com/"} +{"d:Title": "Tom's True Story", "d:Description": "Official site of the Israeli cult group. Includes news, discography, pictures and sounds. In English and Hebrew.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://ymeyrav.tripod.com/realstory.htm"} +{"d:Title": "Trance Atlantic Air Waves", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.enigma-fanclub.com/taaw/"} +{"d:Title": "TG Collective", "d:Description": "UK-based guitar trio performing Spanish, Latin, Flamenco and jazz music. Gig dates, photos, and an audio sample.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tgcollective.com/"} +{"d:Title": "Teddy and the Pandas", "d:Description": "Boston area rock group of the '60s. History, discography, pictures, sound files, and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.teddyandthepandas.com/"} +{"d:Title": "Thomas, Laura", "d:Description": "Singer from Toronto, Canada. Biography, CD-ROM and order information, song samples, and a gig schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.laurathomas.net/"} +{"d:Title": "Trammps, The", "d:Description": "'70s disco band best known for \"Disco Inferno\"; includes pictures, videos and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thetrammps.net/"} +{"d:Title": "Tench, Bobby", "d:Description": "Biography, album credits, news, gigs, press and pictures for the guitarist/vocalist from Streetwalkers and Humble Pie.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.bobbytench.co.uk/"} +{"d:Title": "Thade, John", "d:Description": "Tenor and Broadway performer. Profile, concert schedule, press reviews, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.johnthade.ch/"} +{"d:Title": "Timbaland", "d:Description": "Includes biography, discography, pictures, video files, and message board. At Rollingstone.com.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.rollingstone.com/music/artists/timbaland"} +{"d:Title": "Triple Creme", "d:Description": "Four-piece emo/punk rock band based in Brooklyn, New York. Gig dates, biography, photographs, lyrics, merchandise, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.triplecreme.com/"} +{"d:Title": "Third Frequency", "d:Description": "Official site for the New Jersey rock band includes pictures, sound files, news, tour dates, biography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.thirdfrequency.com/"} +{"d:Title": "Taylor, Joe", "d:Description": "Biography, show schedule, news, CD information, and pictures for the singer/songwriter and entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.joetaylorofficial.com/"} +{"d:Title": "Thousand Young, A", "d:Description": "An acoustic folk pop duo based in Olympia, Washington. Site contains lyrics, audio clips, show dates, and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://akyoung.zeet.net/"} +{"d:Title": "Tea Leaf Green", "d:Description": "Progressive rock band from San Francisco. Includes history, profiles, calendar, audio files, images, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://tealeafgreen.com/"} +{"d:Title": "Three Pounds of Bakon", "d:Description": "Los Angeles-based metal band. Official site includes news, profiles, pictures, sound files, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.bakon.org/"} +{"d:Title": "Thomas, Tracy Kash", "d:Description": "A Detroit, Michigan artist playing jazz-influenced rock. Includes profile, tour dates, reviews, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tracykash.com/"} +{"d:Title": "Tunkel, Bruce", "d:Description": "Solo artist and former lead singer, songwriter and musician for the popular New Jersey based band The Red House. News, lyrics and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://brucetunkel.com/"} +{"d:Title": "Torme, Bernie", "d:Description": "Official site includes gig dates, biography, reviews, audio/video files, discography, and merchandise for the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.bernietorme.co.uk/"} +{"d:Title": "Twelve Cents for Marvin", "d:Description": "A ska/funk/dance band from Fort Collins, Colorado. CD information including lyrics, gig dates, band member information, photos, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://12cents.com/"} +{"d:Title": "Tiger Sharks, The", "d:Description": "Roots rock band. Photos, sound files, CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.tigersharks.ca/"} +{"d:Title": "Trov", "d:Description": "Profile and sound file for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://www.soundclick.com/bands/default.cfm?bandID=312"} +{"d:Title": "Taylor, Will and Strings Attached", "d:Description": "Jazz and roots string musicians in Austin performing a series of tribute concerts. Biographies, shows, audio, video, pictures and press.", "topic": "Top/Arts/Music/Bands_and_Artists/T", "url": "http://wtstringsattached.blogspot.com/"} +{"d:Title": "German T'Pau Site", "d:Description": "Fan site with news, tour information, pictures, audio clips, MP3 files, wallpapers, and tour books.", "topic": "Top/Arts/Music/Bands_and_Artists/T/T'Pau", "url": "http://www.tpausite.de/"} +{"d:Title": "T'Pau Index", "d:Description": "An overview of everything T'Pau ever recorded. Including vinyl albums.", "topic": "Top/Arts/Music/Bands_and_Artists/T/T'Pau", "url": "http://www.collectadisc.co.uk/discography/index.php?VAR_ARTIST=TPAU"} +{"d:Title": "T'Pau Central", "d:Description": "Official site for Carol Decker and the band. With news, biography, multimedia clips, pictures, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/T/T'Pau", "url": "http://www.tpau.co.uk/"} +{"d:Title": "Tatu.us", "d:Description": "Unofficial site with news, gossip, biographies, images, downloads, lyrics (including translations), chat rooms, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u.", "url": "http://www.tatu.us/"} +{"d:Title": "TatySite.net", "d:Description": "Unofficial Russian megasite with English translations of news, articles, interviews, lyrics, pictures, discography, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u.", "url": "http://eng.tatysite.net/"} +{"d:Title": "Tatu.ru", "d:Description": "Official Russian site with group biography, news, photo album and multimedia downloads [Russian and English].", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u.", "url": "http://eng.tatu.ru/"} +{"d:Title": "All Music Guide: Tatu", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u.", "url": "http://www.allmusic.com/artist/tatu-mn0002447199"} +{"d:Title": "MTV.com: t.A.T.u.", "d:Description": "News, photos, music downloads, discography and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Articles_and_Interviews", "url": "http://www.mtv.com/artists/tatu/"} +{"d:Title": "Tatu Fans", "d:Description": "Yahoo! Group with news, files, photos and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Chats_and_Forums", "url": "http://groups.yahoo.com/group/tatu_fans/"} +{"d:Title": "Tatu Fans", "d:Description": "Yahoo! Group with news, photos and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Chats_and_Forums", "url": "http://groups.yahoo.com/group/tatufans/"} +{"d:Title": "Tatu Girls", "d:Description": "Yahoo! Group with news, files, pictures and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Chats_and_Forums", "url": "http://groups.yahoo.com/group/TatuGirls/"} +{"d:Title": "Tatu Russian", "d:Description": "Yahoo! Group with photos, news and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Chats_and_Forums", "url": "http://groups.yahoo.com/group/taturussian/"} +{"d:Title": "taTu Yulia Lena", "d:Description": "Yahoo! Group with news, photos, files and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Chats_and_Forums", "url": "http://groups.yahoo.com/group/taTu_yulia_lena/"} +{"d:Title": "American t.A.T.u.", "d:Description": "Includes audio and video clips in Real Media, a photo section and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Fan_Pages", "url": "http://americantatu2.tripod.com/"} +{"d:Title": "t.A.T.u - All The Songs They Sing", "d:Description": "Fan site featuring biographies, song lists, discography and information about visits to the USA in 2003.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Fan_Pages", "url": "http://tatu.uberdream.com/"} +{"d:Title": "A-Z Lyrics Universe: Tatu Lyrics", "d:Description": "Lyrics including English translations.", "topic": "Top/Arts/Music/Bands_and_Artists/T/t.A.T.u./Lyrics", "url": "http://www.azlyrics.com/t/tatu.html"} +{"d:Title": "All Music Guide: Tad", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tad", "url": "http://www.allmusic.com/artist/tad-p5590"} +{"d:Title": "RussTaff.com", "d:Description": "Biography, tour schedule, press. Discography includes both his years with the Imperials and solo career. Not viewable in Netscape 3.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://www.russtaff.com/"} +{"d:Title": "Blue Desert: Russ Taff", "d:Description": "Discography includes track list for each album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://www.bluedesert.dk/russtaff.html"} +{"d:Title": "Gaither Vocal Band Loses Russ Taff", "d:Description": "Fortunately, it was only temporary. A mishap on tour. [Christian Activities]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://www.christianactivities.com/articles/story.asp?ID=2095"} +{"d:Title": "Story Behind the Song: We Will Stand", "d:Description": "Feature article tells what inspired Russ Taff to write this anthem.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://thefish.com/features/fullstory.asp?Id=1633"} +{"d:Title": "Christian Guitar Resources: Russ Taff", "d:Description": "Lyrics and chords to half a dozen songs, including \"I Cry.\"", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://www.christianguitar.org/christian/songs.php?artist=613"} +{"d:Title": "Country Standard Time: Russ Taff", "d:Description": "Review of 1995 album \"Winds of Change,\" the singer's entry in the (secular) country music market.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://www.countrystandardtime.com/d/cdreview.asp?xid=2475"} +{"d:Title": "CMT: Russ Taff", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://www.cmt.com/artists/russ-taff/"} +{"d:Title": "All Music Guide: Russ Taff", "d:Description": "Biographical profile, discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taff,_Russ", "url": "http://www.allmusic.com/artist/russ-taff-mn0000212810"} +{"d:Title": "TagYerit", "d:Description": "Official site includes band information, sound files, reviews and a history of the rabbit-guitar.", "topic": "Top/Arts/Music/Bands_and_Artists/T/TagYerit", "url": "http://www.tagyerit.com/"} +{"d:Title": "French Band, Tahiti 80 Lyrics", "d:Description": "Lyrics from their latest album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tahiti_80", "url": "http://www.angelfire.com/band/Tahiti80/lyrics.html"} +{"d:Title": "Metacritic: Puzzle", "d:Description": "Links to several reviews of the Tahiti 80 album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tahiti_80", "url": "http://www.metacritic.com/music/puzzle/tahiti-80"} +{"d:Title": "Take 5 Please", "d:Description": "Includes profiles, lyrics, discography, an interview, quotes, and oddities.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_5", "url": "http://www.angelfire.com/boybands/tilkyplease/index.html"} +{"d:Title": "Take-No-Backstreets-World", "d:Description": "Pictures, band biographies, tour information, appearances, news, discography, and guestbooks.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_5", "url": "http://www.angelfire.com/boybands/takenobackstreets/"} +{"d:Title": "Take6.com", "d:Description": "Official website for the Grammy-winning Christian vocal jazz group, Take 6. Features news, newsletter, discography, FAQs, biographies, interviews, audio/video downloads, song transcriptions, online store, photos, concert schedule and message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_6", "url": "http://take6.com/"} +{"d:Title": "Gospel.It - Take 6", "d:Description": "Italian fan page with biography, discography, links and mailing list. [In English and Italian]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_6", "url": "http://www.gospel.it/take6/"} +{"d:Title": "Take That Official Site", "d:Description": "Find reunion tour schedule, videos, merchandise, and connect with fans on message boards.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_That", "url": "http://www.takethat.com/"} +{"d:Title": "Take That Wikipedia", "d:Description": "Read biographies of the band and its members. Also includes a discography and information on the band's reformation.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_That", "url": "http://en.wikipedia.org/wiki/Take_That"} +{"d:Title": "Take That TV", "d:Description": "Official site featuring videos, tour schedules, biographies, diaries, picture gallery, news and fan message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_That", "url": "http://www.takethattv.com/"} +{"d:Title": "BBC Music Profiles - Take That", "d:Description": "Detailed biography, discography, recommended listening and reading, audio clips and web links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_That", "url": "http://www.bbc.co.uk/music/artists/24d2505b-388c-46cc-8a64-48223ea6d78d"} +{"d:Title": "The Greatest", "d:Description": "UK-based tribute band. Gig guide, sound files, biographies, play list and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_That", "url": "http://www.takethattributeband.com/"} +{"d:Title": "AllMusic - Take That", "d:Description": "Find information on the band and its music including a biograph, discography, songs, credits, charts and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Take_That", "url": "http://allmusic.com/artist/p41861"} +{"d:Title": "Taking Back Sunday", "d:Description": "Provides news, upcoming tour dates, biography, music, photographs and band diary.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taking_Back_Sunday", "url": "http://www.takingbacksunday.com/"} +{"d:Title": "Wikipedia - Taking Back Sunday", "d:Description": "Encyclopedia article provides information on the band members, discography, videography and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taking_Back_Sunday", "url": "http://en.wikipedia.org/wiki/Taking_Back_Sunday"} +{"d:Title": "YouTube - Taking Back Sunday", "d:Description": "Band videos. Includes \"Taking Back Sunday wishes you Happy New Year\", \"12 Days of Christmas\" and \"Partone\" DVD trailer.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taking_Back_Sunday", "url": "http://www.youtube.com/takingbacksunday"} +{"d:Title": "The Name of This Site is Talking Heads", "d:Description": "Includes history, discography, lyrics and chords, sound and video files, bulletin board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talking_Heads", "url": "http://www.talking-heads.net/"} +{"d:Title": "Prindle Record Reviews", "d:Description": "Overview of Talking Heads and several album reviews, with submissions from readers.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talking_Heads", "url": "http://www.markprindle.com/talkinga.htm"} +{"d:Title": "Stop Making Sense - IMDb", "d:Description": "All the details on the revolutionary concert film from the Internet Movie Database", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talking_Heads", "url": "http://imdb.com/title/tt0088178/"} +{"d:Title": "Talking Heads News: Topix", "d:Description": "News about Talking Heads continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talking_Heads", "url": "http://www.topix.com/who/talking-heads"} +{"d:Title": "RollingStone.com: Talking Heads", "d:Description": "Includes biography, discography, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talking_Heads", "url": "http://www.rollingstone.com/music/artists/talking-heads"} +{"d:Title": "Rock and Roll Hall of Fame: The Talking Heads", "d:Description": "Inductee profile, bibliography, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talking_Heads", "url": "http://rockhall.com/inductees/talking-heads/"} +{"d:Title": "Salon: \"Stop Making Sense\"", "d:Description": "Review of the film. \"Fifteen years later, the delightful Talking Heads concert picture is still the kind of miracle movie that comes about once in a lifetime.\"", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talking_Heads", "url": "http://www.salon.com/1999/09/16/sense/"} +{"d:Title": "Musicfolio: Talk Talk", "d:Description": "Discography with brief reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talk_Talk", "url": "http://musicfolio.com/modernrock/talktalk.html"} +{"d:Title": "Another World", "d:Description": "Unofficial page about Talk Talk and Mark Hollis; in Hungarian and English. Discographies, biographies, lyrics, articles, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talk_Talk", "url": "http://www.freeweb.hu/talktalk/"} +{"d:Title": "TweeNet: Talulah Gosh", "d:Description": "Discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Talulah_Gosh", "url": "http://www.twee.net/bands/t/talulahgosh.html"} +{"d:Title": "Tamia Online", "d:Description": "Includes news, biography, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tamia", "url": "http://www.angelfire.com/rnb/tamiaonline/"} +{"d:Title": "AskMen.com: Tamia", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tamia", "url": "http://www.askmen.com/celebs/women/singer_100/106_tamia.html"} +{"d:Title": "All Music Guide: Tamia", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tamia", "url": "http://www.allmusic.com/artist/tamia-mn0000165117"} +{"d:Title": "The Tams", "d:Description": "Information on their history, discography, and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tams,_The", "url": "http://thetams.com/"} +{"d:Title": "The Original Tams", "d:Description": "One of the groups touring as The Tams, featuring Robert Lee Smith. Includes show schedule and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tams,_The", "url": "http://www.theoriginaltams.net/"} +{"d:Title": "Tangerine Dream Fanpage", "d:Description": "Discography and trading information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream", "url": "http://www.rutka.de/td/"} +{"d:Title": "Tangerine Dream", "d:Description": "Detailed discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream", "url": "http://music.hyperreal.org/library/discogs/tangerine_dream/discog"} +{"d:Title": "Tangerine Dream", "d:Description": "Official site includes biography, discography, sound files, pictures, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream", "url": "http://www.tangerinedream.org/"} +{"d:Title": "The Tangerine Dream Webring", "d:Description": "List of sites with brief descriptions.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream", "url": "http://www.webring.org/hub?ring=thetangerinedrea"} +{"d:Title": "Duncans Tangerine Dream Trading Place", "d:Description": "Music, videos, and memorabilia for trade.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream/Fan_Pages", "url": "http://www.members.tripod.com/~duncanmckee/td.htm"} +{"d:Title": "Tangerine Tree", "d:Description": "Information about live concert recordings from 1968 to present.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream/Fan_Pages", "url": "http://www.feldoncentral.com/tdtree/tdtree.html"} +{"d:Title": "Tangerine Dream", "d:Description": "Fan site with list of interviews, articles, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream/Fan_Pages", "url": "http://star.arm.ac.uk/~ath/music/td/"} +{"d:Title": "Franke, Christopher", "d:Description": "Contains news, discography, articles, lyrics and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream/Franke,_Christopher", "url": "http://christopherfranke.com/"} +{"d:Title": "Paul Haslinger", "d:Description": "Official site. Contains discography, filmography, chronology and current news.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream/Haslinger,_Paul", "url": "http://www.haslinger.com/"} +{"d:Title": "Klaus Schulze", "d:Description": "Official site containing biography, discography, photographs, interviews, a list of frequently asked questions and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tangerine_Dream/Schulze,_Klaus", "url": "http://www.klaus-schulze.com/"} +{"d:Title": "TapRoot", "d:Description": "Information about the band, upcoming performances, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taproot", "url": "http://www.taprootmusic.com/"} +{"d:Title": "Taproot TV", "d:Description": "Includes news, tour dates, and history of the rapcore band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taproot", "url": "http://www.angelfire.com/tv/taproot/"} +{"d:Title": "Tarkan.com", "d:Description": "Official site includes a music video, photos and downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarkan", "url": "http://www.tarkan.com/"} +{"d:Title": "Tarkan Palace", "d:Description": "Biography, discography, links, and news. In English and Spanish.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarkan", "url": "http://turkish_prince.tripod.com/"} +{"d:Title": "Tarkan DeLuxe", "d:Description": "Fan site offers information portal, song lyrics, current news, galleries, a discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarkan", "url": "http://tarkandeluxe.blogspot.com/"} +{"d:Title": "Tarkan Megastar", "d:Description": "Large picture galleries, albums, lyrics, groups, fan club, posters, all about the musician.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarkan", "url": "http://dreamposters.tripod.com/id52.htm"} +{"d:Title": "Tarkan's Place", "d:Description": "Fan site for the Turkish singer includes song lyrics, pictures, music and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarkan", "url": "http://tarkanplace2001.tripod.com/"} +{"d:Title": "The Turkish Singing Wonder Tarkan", "d:Description": "Features his biography, MP3 downloads, slideshow, video, message board, chat, poll, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarkan", "url": "http://tarkansite.narod.ru/"} +{"d:Title": "The World Of Tarkan", "d:Description": "Fan site includes news, song lyrics, and photo galleries.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarkan", "url": "http://www.angelfire.com/me2/didemtitiz/"} +{"d:Title": "Eyesore: Tarnation", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarnation", "url": "http://quimby.gnus.org/html/group/tarnation.html"} +{"d:Title": "San Francisco Metropolitan: Spaghetti Gothic", "d:Description": "Article about Tarnation and their second album \"Mirador.\"", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarnation", "url": "http://www.metroactive.com/papers/sfmetro/05.97/music-97-5.html"} +{"d:Title": "4AD: Tarnation", "d:Description": "Biography, images, and discography from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tarnation", "url": "http://www.4ad.com/artists/tarnation"} +{"d:Title": "Tartanic at the Texas Renaissance Festival", "d:Description": "Review by Sarah Meador commenting on the group's musicianship, showmanship, and interaction with the audience.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tartanic", "url": "http://www.rambles.net/tartanic03_live.html"} +{"d:Title": "Tartanic: Bagpipes, Drums, and Bare Arse", "d:Description": "Official site. Houston-based band performing traditional Scots, world sounds, folk, and rock. Includes biographies, photographs, schedules, booking, CD sales, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tartanic", "url": "http://www.tartanic.net/"} +{"d:Title": "Kari Tauring", "d:Description": "Official site includes biography, news, and song samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tauring,_Kari", "url": "http://www.karitauring.com/"} +{"d:Title": "All Music Guide: Kari Tauring", "d:Description": "Includes discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tauring,_Kari", "url": "http://www.allmusic.com/artist/kari-tauring-p511685"} +{"d:Title": "Taxiride", "d:Description": "Official site. Includes news, band history and profiles, discography, audio, lyrics, photos, and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taxiride", "url": "http://www.taxiride.com.au/"} +{"d:Title": "Unofficial Taxiride Page, The", "d:Description": "Includes articles/interviews, WAV audio files, discography, lyrics, and photos. No longer updated.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taxiride", "url": "http://members.tripod.com/SavageFreak/"} +{"d:Title": "Imaginate Yourself: Taxiride", "d:Description": "Fansite. Contains news, pictures, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taxiride", "url": "http://www.angelfire.com/music/imaginateyourself/"} +{"d:Title": "Midnight Dream of Endless Symphonies: Taxiride", "d:Description": "Fansite containing biographies, lyrics, links, news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taxiride", "url": "http://www.angelfire.com/band/midnightsymphonies/"} +{"d:Title": "World's Away: Taxiride", "d:Description": "Fansite containing news, tour dates, lyrics, discography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taxiride", "url": "http://worlds-away.tripod.com/"} +{"d:Title": "Neely Guitars: Taylor Neely Band", "d:Description": "David Neely's history and musical influences.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_Dallas", "url": "http://www.neelyguitars.com/about.html"} +{"d:Title": "Keno's Hound Dog Taylor Web Site", "d:Description": "Biography, discography, lyrics, pictures, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_Hound_Dog", "url": "http://www.keno.org/hound_dog_taylor/hdhomepage.htm"} +{"d:Title": "Hound Dog Taylor", "d:Description": "Artist biography at Alligator Records.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_Hound_Dog", "url": "http://www.alligator.com/index.cfm?section=artists&artistID=1"} +{"d:Title": "All Music Guide: Hound Dog Taylor", "d:Description": "Artist biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_Hound_Dog", "url": "http://www.allmusic.com/artist/hound-dog-taylor-p543"} +{"d:Title": "James Taylor Online", "d:Description": "James Taylor discussion, live chat, tour dates, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_James", "url": "http://www.james-taylor.com/"} +{"d:Title": "James Taylor", "d:Description": "Sony's official site.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_James", "url": "http://www.jamestaylor.com/"} +{"d:Title": "The James Taylor Website", "d:Description": "Featuring news, rare audio, articles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_James", "url": "http://www.jamestaylorweb.com/"} +{"d:Title": "RollingStone.com: James Taylor", "d:Description": "Includes biography, discography, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_James", "url": "http://www.rollingstone.com/music/artists/james-taylor"} +{"d:Title": "Rock and Roll Hall of Fame: James Taylor", "d:Description": "Inductee profile, bibliography, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_James", "url": "http://rockhall.com/inductees/james-taylor/"} +{"d:Title": "Doesn't Have To Be Serious", "d:Description": "Humorous look at John Taylor with photos, sound files, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_John", "url": "http://navygrape.tripod.com/"} +{"d:Title": "Trust the Process", "d:Description": "Duran Duran bassist John Taylor's official site, includes up to date news, photos, contributions from John plus his solo CDs available for purchase.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_John", "url": "http://www.trusttheprocess.com/"} +{"d:Title": "The Bass God", "d:Description": "Fan forum dedicated to John Taylor and his solo work.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_John", "url": "http://bassgod.yuku.com/"} +{"d:Title": "Blues Music Now: Johnnie Taylor", "d:Description": "Obituary entitled \"The Philosopher of Soul, Johnnie Taylor, dies at 62\", by Jeff Stevens.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_Johnnie", "url": "http://www.bluesmusicnow.com/taylor60.html"} +{"d:Title": "All Music Guide: Johnnie Taylor", "d:Description": "Provides a biography, discography, photo, facts, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Taylor,_Johnnie", "url": "http://www.allmusic.com/artist/johnnie-taylor-p5604"} +{"d:Title": "Leonard's Lair: 'Kilimanjaro'", "d:Description": "Positive album review; rated 4 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Teardrop_Explodes,_The", "url": "http://www.leonardslair.co.uk/teardrop.htm"} +{"d:Title": "TrouserPress.com: The Teardrop Explodes", "d:Description": "Review of the band's albums and career.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Teardrop_Explodes,_The", "url": "http://www.trouserpress.com/entry.php?a=the_teardrop_explodes"} +{"d:Title": "The Teardrop Explodes Interview", "d:Description": "Ian Pye talks to Julian Cope, from Melody Maker.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Teardrop_Explodes,_The", "url": "http://www.mmmm.eclipse.co.uk/press/TeardropMM10-80.htm"} +{"d:Title": "All Music Guide: The Teardrop Explodes", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Teardrop_Explodes,_The", "url": "http://www.allmusic.com/artist/the-teardrop-explodes-mn0000569402"} +{"d:Title": "S and B's Tears for Fears", "d:Description": "Information on Roland Orzabal and Curt Smith. Includes large archive of magazine articles and rare photos. Collectors area to view vinyl, posters, and badges.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears", "url": "http://members.tripod.com/~Tears4Fears/index.html"} +{"d:Title": "Tears for Fears is Roland Orzabal", "d:Description": "Current news, submit opinions and questions, and read all about Roland and Curt's early years and their possible reunion.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears", "url": "http://www.angelfire.com/me/tears4fears/index.html"} +{"d:Title": "tearsforfears.net", "d:Description": "Over 60 pages of current information and photos on the British band and frontman Roland Orzabal.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears", "url": "http://www.tearsforfears.net/"} +{"d:Title": "Musicfolio: Tears for Fears", "d:Description": "Discography, with album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears", "url": "http://musicfolio.com/modernrock/tearsforfears.html"} +{"d:Title": "The Fantastic Tears for Fears Page", "d:Description": "Pictures, discography, and rare stuff.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears", "url": "http://www.tearsforfears.de/"} +{"d:Title": "Oldielyrics: Tears For Fears", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears", "url": "http://www.oldielyrics.com/t/tears_for_fears.html"} +{"d:Title": "Danny's Tears For Fears Homepage", "d:Description": "Includes gallery, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears", "url": "http://www.dcricks.com/"} +{"d:Title": "Curt Smith - Mayfield", "d:Description": "News, band member profiles, tour history, lyrics and stories, discography, and mailing list information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tears_for_Fears/Smith,_Curt", "url": "http://www.curtsmithzerodisc.com/"} +{"d:Title": "Teaze", "d:Description": "Tribute site for the Canadian hard rockers. Includes discography, photos, stories, MP3s and memorabilia.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Teaze", "url": "http://www.angelfire.com/band/teaze/"} +{"d:Title": "The Tea Party Online", "d:Description": "Features news, a studio diary, forum, and multimedia. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tea_Party,_The", "url": "http://theteaparty.de/"} +{"d:Title": "The Tea Party", "d:Description": "Official site for band news and information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tea_Party,_The", "url": "http://www.teaparty.com/"} +{"d:Title": "Matthew Stubbings Tea Party Site", "d:Description": "Pictures, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tea_Party,_The", "url": "http://paintedblack0.tripod.com/TheTeaParty/"} +{"d:Title": "The Tea Party Triptych", "d:Description": "Discography, lyrics, video and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tea_Party,_The", "url": "http://www.angelfire.com/ab/teaparty/"} +{"d:Title": "Ryan's World", "d:Description": "Appearances, biography, news, music, icons, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tedder,_Ryan", "url": "http://ryan_tedder.tripod.com/"} +{"d:Title": "Teenage Fanclub", "d:Description": "Official site includes news, discography, tour dates, history, video and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Teenage_Fanclub", "url": "http://www.teenagefanclub.com/"} +{"d:Title": "Tegan and Sara Online", "d:Description": "Official site features a photo gallery, biography, tour dates, merchandise, audio samples and contact information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tegan_and_Sara", "url": "http://www.teganandsara.com/"} +{"d:Title": "Tegan and Sara", "d:Description": "Fan site provides photos, lyrics, tablatures, WinAmp skins, polls and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tegan_and_Sara", "url": "http://tee_and_ess.tripod.com/"} +{"d:Title": "Vapor Records: Tegan and Sara", "d:Description": "Official label site offers a biography, photos and audio/video files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tegan_and_Sara", "url": "http://www.vaporrecords.com/catalog/a_tegan_n_sara.html"} +{"d:Title": "Towa Tei", "d:Description": "Official site. Contains biography, discography, image gallery, video clips, and message board. [English/Japanese]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tei,_Towa", "url": "http://www.towatei.com/"} +{"d:Title": "Telefon Tel Aviv", "d:Description": "Includes news, group overview, links, and audio files. [Requires Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Telefon_Tel_Aviv", "url": "http://www.telefontelaviv.com/"} +{"d:Title": "The NIN Hotline: Telefon Tel Aviv", "d:Description": "Review of the band's live performance at the Detroit Contemporary: \"Musically, their style brought together distinct dichotomies, fusing man with machine-leaving in its wake a clean-textured instrumental set.\"", "topic": "Top/Arts/Music/Bands_and_Artists/T/Telefon_Tel_Aviv/Reviews", "url": "http://www.theninhotline.net/features/interviews/telefon.tel.aviv/"} +{"d:Title": "BBC: Telefon Tel Aviv - Fahrenheit Fair Enough", "d:Description": "Peter Marsh's review: \"Gorgeous yet completely devoid of clich\u00e9, Fahrenheit Fair Enough is a quiet, unpretentious beauty of a record. Treat yourself.\"", "topic": "Top/Arts/Music/Bands_and_Artists/T/Telefon_Tel_Aviv/Reviews/Fahrenheit_Fair_Enough", "url": "http://www.bbc.co.uk/music/reviews/bn8c"} +{"d:Title": "Pitchfork: Telefon Tel Aviv - Fahrenheit Fair Enough", "d:Description": "Paul Cooper's review: \"Though Fahrenheit Fair Enough includes nothing incisive or insightful, it's nonetheless an intriguing release.\" Rated 6.8.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Telefon_Tel_Aviv/Reviews/Fahrenheit_Fair_Enough", "url": "http://pitchfork.com/reviews/albums/7962-fahrenheit-fair-enough/"} +{"d:Title": "A Day in Heaven", "d:Description": "An unofficial site devoted to the UK cult band. Discography, song index, set lists, unreleased songs, press articles and reviews, and links", "topic": "Top/Arts/Music/Bands_and_Artists/T/Television_Personalities", "url": "http://www.televisionpersonalities.co.uk/"} +{"d:Title": "The Strangely Beautiful Website", "d:Description": "Fan site with discography, lyrics, covers of TVP songs, pictures, press archive, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Television_Personalities", "url": "http://www.popfloor.com/tvps/"} +{"d:Title": "JoeyTempest.com", "d:Description": "News, lyrics, photos, videos, interviews, contact information and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tempest,_Joey", "url": "http://www.joeytempest.com/"} +{"d:Title": "Temple Of Rain - New York's darkest goth/rock band", "d:Description": "Temple of Rain is the hottest new female fronted goth/rock band from New York City. Their sound is dark, heavy, and truly wicked!", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temple_of_Rain", "url": "http://www.angelfire.com/mb/templeofrain/"} +{"d:Title": "Dennis Edwards", "d:Description": "Information about Dennis Edwards, with a special tribute to Eddie Kendrick and David Ruffin.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://www.angelfire.com/music/DennisEdwards/"} +{"d:Title": "The Temptations: Ear-Resistable", "d:Description": "Official Motown Records site includes news, biography, tour dates, and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://www.thetemptations.net/"} +{"d:Title": "The Classic Temptations Memorial Website", "d:Description": "Dedicated to the classic 1960's lineup of the Motown supergroup, The Temptations. Contains special memorials to late members Eddie Kendricks, David Ruffin, Paul Williams, and Melvin Franklin.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://www.angelfire.com/stars/classictemptations/index.html"} +{"d:Title": "Classic Soul: Temptin Temptations", "d:Description": "Includes reviews and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://www.soul-patrol.com/soul/temps.htm"} +{"d:Title": "Say You: David Ruffin", "d:Description": "A tribute to the soul singer with photo gallery, an article, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://www.angelfire.com/rnb/fastnfancy/"} +{"d:Title": "Al McKenzie", "d:Description": "The official site of the Temptations' music director and keyboard player. Includes biography, resume, photos, and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://www.angelfire.com/music2/almckenzie/index.html"} +{"d:Title": "The Temptations News: Topix", "d:Description": "News about The Temptations continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://www.topix.com/who/the-temptations"} +{"d:Title": "Rock and Roll Hall of Fame: The Temptations", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Temptations,_The", "url": "http://rockhall.com/inductees/the-temptations"} +{"d:Title": "Tenacious D", "d:Description": "Official site. Includes a tour diary, a chat room, FAQs, merchandise, a message board, pictures, and news items.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tenacious_D", "url": "http://www.tenaciousd.com/"} +{"d:Title": "Jimi Tenor - Tenorvision", "d:Description": "The official site with the latest news and tour information, articles and interviews, discography and exclusive audio and video downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tenor,_Jimi", "url": "http://www.jimitenor.com/"} +{"d:Title": "wolf's kompaktkiste: jimi tenor", "d:Description": "Wolf's Kompaktkiste offers basic discography information about Jimi Tenor's singles, albums, and compilations.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tenor,_Jimi", "url": "http://www.kompaktkiste.de/tenor.htm"} +{"d:Title": "Ten Pole Tudor", "d:Description": "Includes tour dates, lyrics, news, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tenpole_Tudor", "url": "http://www.angelfire.com/tn/tenpole/"} +{"d:Title": "Tribute to Ten Years After", "d:Description": "News, biography, and history.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After", "url": "http://www.ten-years-after.com/"} +{"d:Title": "The Alvin Lee Network ES335", "d:Description": "Includes discography and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After", "url": "http://members.tripod.com/tenyearsafter0/index.htm"} +{"d:Title": "J\u00f8rgen Angel Photography", "d:Description": "Collection of original photos of Ten Years After \"in action\", photos of other bands and artists, including Led Zeppelin, Eric Clapton and Jimi Hendrix also available. All photos available for purchase.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After", "url": "http://www.angel.dk/"} +{"d:Title": "Ten Years After", "d:Description": "Official site of the latest incarnation of the band - Leo Lyons, Ric Lee, Chick Churchill, and Joe Gooch. Tour dates, news, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After", "url": "http://www.tenyearsafternow.com/"} +{"d:Title": "Philm Freax: Ten Years After", "d:Description": "B/W photos from filmshoot in Portobello Road in the late 60's.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After", "url": "http://www.ibiblio.org/mal/MO/philm/ten_years/"} +{"d:Title": "Torrey's Ten Years After Page", "d:Description": "Information about the band and many links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After", "url": "http://www.apecamp.com/music/tya/"} +{"d:Title": "All Music Guide: Ten Years After", "d:Description": "Brief profile of the band and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After", "url": "http://www.allmusic.com/artist/ten-years-after-mn0000020050"} +{"d:Title": "Alvin Lee", "d:Description": "Official Alvin Lee website - up to date news on Alvin Lee and Ten Years After plus complete history, discography, concert lists, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Ten_Years_After/Lee,_Alvin", "url": "http://www.alvinlee.com/"} +{"d:Title": "Candlebone", "d:Description": "Moris Tepper's official site includes biography, news, show dates, reviews, discography, sound files, lyrics, and merchandise. Also includes artwork and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tepper,_Moris", "url": "http://www.candlebone.com/"} +{"d:Title": "Boston Phoenix", "d:Description": "Review of Big Enough to Disappear.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tepper,_Moris", "url": "http://www.bostonphoenix.com/alt1/archive/music/reviews/01-02-97/OTR/MORRIS_TEPPER.html"} +{"d:Title": "Captain Beefheart Electricity", "d:Description": "Interview with Moris Tepper from Mojo magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tepper,_Moris", "url": "http://www.freewebs.com/teejo/argue/jeffhello.html"} +{"d:Title": "Terra Nova", "d:Description": "Official homepage of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Terra_Nova", "url": "http://www.spacebeat.com/"} +{"d:Title": "Totally Vegas", "d:Description": "A fansite which has been running since 1997 include a fan list and information on the band members.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Terrorvision", "url": "http://www.terrorvision.8m.com/index.html"} +{"d:Title": "Official Terrorvision World", "d:Description": "News, chat, lyrics, tablature, merchandise and Northern Scum fanzine.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Terrorvision", "url": "http://www.terrorvision.com/"} +{"d:Title": "John Tesh", "d:Description": "Official site includes news, tour information, biography, discography, photos, fan club information and merchandise, as well as information on his syndicated radio show.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tesh,_John", "url": "http://www.tesh.com/"} +{"d:Title": "Tesla", "d:Description": "The official site. Biography, news, tour dates, merchandise, street team, chat, message board, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tesla", "url": "http://teslatheband.com/"} +{"d:Title": "Tesla rockers 'Bust a Nut'", "d:Description": "Review of the band's 1994 album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tesla", "url": "http://www.csulb.edu/~d49er/Issue6/6dtesla.html"} +{"d:Title": "UnRated Magazine: Tesla", "d:Description": "Live review from The Vic Theater in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tesla", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=206"} +{"d:Title": "MTV: Tesla", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tesla", "url": "http://www.mtv.com/artists/tesla/"} +{"d:Title": "Texasnet", "d:Description": "News, biography, FAQ, image galleries, and discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Texas", "url": "http://texasnet.free.fr/"} +{"d:Title": "Texas On Line", "d:Description": "Scoops, pictures, sounds, message board, discography, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Texas", "url": "http://balcar.free.fr/"} +{"d:Title": "Sharleenspiteri", "d:Description": "Yahoo fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Texas", "url": "http://uk.groups.yahoo.com/group/sharleenspiteri/"} +{"d:Title": "Texas", "d:Description": "Official site with news, diary, biography, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Texas", "url": "http://www.texas.uk.com/"} +{"d:Title": "AskMen.com: Sharleen Spiteri", "d:Description": "Pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Texas", "url": "http://www.askmen.com/celebs/women/singer_100/122_sharleen_spiteri.html"} +{"d:Title": "Texas is the Reason - Reviews", "d:Description": "Brief reviews of \"Do You Know Who You Are?\" and the split 7\" recording.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Texas_Is_the_Reason", "url": "http://www.punkrockacademy.com/stm/rev/t/texasisthereason.html"} +{"d:Title": "ArtistDirect: Texas Is the Reason", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Texas_Is_the_Reason", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,574935,00.html"} +{"d:Title": "Jake's Progress", "d:Description": "Story by Jake Thackray.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thackray,_Jake", "url": "http://www.rci.rutgers.edu/~mjoseph/jake.html"} +{"d:Title": "BBC News: Folk singer Jake Thackray dies", "d:Description": "Singer and songwriter Jake Thackray, who was a regular performer on BBC's That's Life, dies at the age of 63.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thackray,_Jake", "url": "http://news.bbc.co.uk/1/hi/2609093.stm"} +{"d:Title": "The Jake Thackray Website", "d:Description": "News, biography, discography, song lyrics and tablatures, press, lists of gigs and TV/radio appearances, fans' anecdotes, pictures and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thackray,_Jake", "url": "http://jakethackray.com/"} +{"d:Title": "h2g2 - Jake Thackray", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thackray,_Jake", "url": "http://www.h2g2.com/approved_entry/A378326"} +{"d:Title": "Thal\u00eda", "d:Description": "Official site includes news, biography, pictures, discography, and information on her acting career. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thalia", "url": "http://www.thalia.com/"} +{"d:Title": "Sarathalia", "d:Description": "Yahoo! Groups mailing list. Includes forum, chat, and screensaver downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thalia", "url": "http://groups.yahoo.com/group/SARATHALIA"} +{"d:Title": "AskMen.com : Thalia", "d:Description": "Pictures, information and biography on the beautiful singer.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thalia", "url": "http://www.askmen.com/celebs/women/singer/40_thalia.html"} +{"d:Title": "Eyesore: that dog.", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/T/that_dog.", "url": "http://quimby.gnus.org/html/group/thatdog.html"} +{"d:Title": "That Petrol Emotion", "d:Description": "Complete fan site includes news, history, lyrics, discography, past tour dates and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/T/That_Petrol_Emotion", "url": "http://www.esmark.net/tpe/tpe.htm"} +{"d:Title": "Therapy?", "d:Description": "A shockwave based website about the Irish band. Includes news, tour dates, biographies, discography, lyrics, sound files, and message board. Non-shockwave enhanced version available.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Therapy", "url": "http://members.tripod.com/~therapyquestionmark/"} +{"d:Title": "Official Therapy? Site", "d:Description": "Includes news and reviews, the band's diary with photos, video clips, official merchandise and samples of their latest releases.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Therapy", "url": "http://www.therapyquestionmark.co.uk/"} +{"d:Title": "Teethgrinder.com - a Therapy? Tribute", "d:Description": "Featuring the Wall of Mouths Message Boards, the place to meet fellow Therapy? fans. Includes a large Therapy? collection with lyrics, news, tourdates, and rare sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Therapy", "url": "http://www.teethgrinder.com/"} +{"d:Title": "Official Therion Website", "d:Description": "The official Therion domain.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Therion", "url": "http://www.megatherion.com/"} +{"d:Title": "Therion: Musicfolio album reviews", "d:Description": "Discography, album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Therion", "url": "http://musicfolio.com/modernrock/therion.html"} +{"d:Title": "Yahoo Groups: Therionlist", "d:Description": "Therion mailing list with over 150 members.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Therion", "url": "http://launch.groups.yahoo.com/group/therionlist/"} +{"d:Title": "Satan Stole My Teddybear: Therion", "d:Description": "Reviews of Therion records plus related information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Therion", "url": "http://www.ssmt-reviews.com/artist/therion.html"} +{"d:Title": "Gorgeous Nemesis: theSTART", "d:Description": "Includes news, tour dates, and personal images of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/theSTART", "url": "http://www.angelfire.com/clone/thestart/"} +{"d:Title": "TMBG.com", "d:Description": "Official site containing news, facts, tour dates, and links to the band's other official sites.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://www.tmbg.com/"} +{"d:Title": "They Might Be Giants (Unofficially)", "d:Description": "Lyrics, chords, and interpretations of many songs, and a members only message area.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://www.tmbg.org/"} +{"d:Title": "They Might Be Giants - Artist Owned and Operated", "d:Description": "Official download site for albums and concerts, also contains news and tour date information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://www.theymightbegiants.com/"} +{"d:Title": "They Might Be Giants Interview", "d:Description": "The two Johns highlight how seriously they take their songwriting. Interview by Sean McManus.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://www.sean.co.uk/a/musicjournalism/tmbg.shtm"} +{"d:Title": "They Might Be Giants - Podcast", "d:Description": "Official homepage for the band's podcast. Contains links to subscribe and an archive of previous podcast episodes for download.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://www.dialasong.com/"} +{"d:Title": "This Might Be A Wiki", "d:Description": "Wiki site that specializes in all things TMBG, with information on every song and album, and an extensive database of shows, lyrics and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://tmbw.net/"} +{"d:Title": "Jonathan Feinberg - They Might Be Giants", "d:Description": "Jonathan \"J.D.\" Feinberg was TMBG's first touring drummer. His discography includes some information about TMBG.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://mrfeinberg.com/discography/tmbg/index.html"} +{"d:Title": "Quinn's They Might Be Giants/John Linnell Page", "d:Description": "Contains original pictures, and information on John Linnell's pre-TMBG band, The Mundanes.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://www.museumofidiots.com/john/"} +{"d:Title": "GuitareTab - They Might Be Giants", "d:Description": "Listing of guitar tabs for a variety of TMBG songs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants", "url": "http://www.guitaretab.com/t/they-might-be-giants/"} +{"d:Title": "They Might Be Giants- Topix", "d:Description": "News about They Might Be Giants automatically updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants/Chats_and_Forums", "url": "http://www.topix.com/who/they-might-be-giants"} +{"d:Title": "They Might Be Giants LiveJournal Community", "d:Description": "Active discussion community for They Might Be Giants fans.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants/Chats_and_Forums", "url": "http://tmbg.livejournal.com/"} +{"d:Title": "They Might Be Giants 1999/09/12 Concert Photos", "d:Description": "Concert photography by a fan.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants/Concerts", "url": "http://photoracer.tripod.com/concerts/tmbg.html"} +{"d:Title": "Entertainment Ave: They Might Be Giants", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants/Concerts", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/tmbg.htm"} +{"d:Title": "They Might Be Giants John Henry Review", "d:Description": "Article from Queer in Your Ear 40.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants/Reviews", "url": "http://www.joeclark.org/QiYE40.html"} +{"d:Title": "Metacritic: Mink Car", "d:Description": "Multiple reviews for TMBG's \"Mink Car\" by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/T/They_Might_Be_Giants/Reviews", "url": "http://www.metacritic.com/music/mink-car/they-might-be-giants"} +{"d:Title": "The The", "d:Description": "Official site for the band. Includes news, history and timeline, RealAudio and MP3 samples, tour dates, media reviews, and a RealVideo electronic press kit.", "topic": "Top/Arts/Music/Bands_and_Artists/T/The_The", "url": "http://www.thethe.com/"} +{"d:Title": "The Infected Mailing List", "d:Description": "The The email list.", "topic": "Top/Arts/Music/Bands_and_Artists/T/The_The", "url": "http://people.cs.uchicago.edu/~behfar/infected.html"} +{"d:Title": "Eyesore: The The", "d:Description": "Illustrated discography of the band's releases on 4AD Records.", "topic": "Top/Arts/Music/Bands_and_Artists/T/The_The", "url": "http://quimby.gnus.org/html/group/the.html"} +{"d:Title": "4AD: The The", "d:Description": "Information about the \"Burning Blue Soul\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/The_The", "url": "http://www.4ad.com/artists/thethe/"} +{"d:Title": "True Happiness This Way Lies", "d:Description": "Fan site dedicated to The The and all of their works. Features articles, interviews, anecdotes, album lyrics, and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/The_The", "url": "http://www.ingsoc.com/thethe/"} +{"d:Title": "Metacritic: The The: NakedSelf", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/The_The", "url": "http://www.metacritic.com/music/nakedself/the-the"} +{"d:Title": "Monster Mo's Unofficial Thievery Corporation Page", "d:Description": "Brief biography and critique of the band and its works. Located at Monster Mo.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thievery_Corporation", "url": "http://members.tripod.com/~Monstermo/thievery.htm"} +{"d:Title": "Eyesore: Thievery Corporation", "d:Description": "Discography with images.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thievery_Corporation", "url": "http://quimby.gnus.org/html/group/thieverycorporation.html"} +{"d:Title": "Thievery Corporation", "d:Description": "Brief introduction to the band. Originally published in CMJ New Music Monthly.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thievery_Corporation", "url": "http://quimby.gnus.org/html/interview/ThieveryCorporation.CMJ.article.html"} +{"d:Title": "All Music Guide: Thievery Corporation", "d:Description": "Includes biography, discography, and related artists.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thievery_Corporation", "url": "http://www.allmusic.com/artist/thievery-corporation-p203585"} +{"d:Title": "4AD: Thievery Corporation", "d:Description": "Profile, discography, and images from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thievery_Corporation", "url": "http://www.4ad.com/artists/thieverycorporation/"} +{"d:Title": "ESL Music", "d:Description": "Biography and tour photos of the artists from their 'Eighteenth Street Lounge' label.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thievery_Corporation", "url": "http://www.eslmusic.com/#!/artist-profile/thievery_corporation/"} +{"d:Title": "Metacritic: Thievery Corporation: The Mirror Conspiracy", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thievery_Corporation", "url": "http://www.metacritic.com/music/the-mirror-conspiracy/thievery-corporation"} +{"d:Title": "MTV: Thin Lizzy", "d:Description": "Discography, biography, reviews, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy", "url": "http://www.mtv.com/artists/thin-lizzy/"} +{"d:Title": "All Music Guide: Thin Lizzy", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy", "url": "http://www.allmusic.com/artist/thin-lizzy-mn0000590479"} +{"d:Title": "Thin Lizzy", "d:Description": "Includes information on members of the band, albums, past concert dates, tribute bands, and some guitar tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy/Fan_Pages", "url": "http://members.tripod.com/~Mr_Harrington/"} +{"d:Title": "Thin Lizzy", "d:Description": "Illustrated discography, history and a collection of links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy/Fan_Pages", "url": "http://www.trcjt.ca/ab950/lizzy.html"} +{"d:Title": "Thin Lizzy - The complete discography", "d:Description": "Discography, links, and pictures", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy/Fan_Pages", "url": "http://norlunds.se/thinlizzy/"} +{"d:Title": "Parris", "d:Description": "The official homepage of the Dutch Thin Lizzy tribute band. Biography, set list, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy/Tribute_Bands", "url": "http://www.parris.nl/"} +{"d:Title": "Limehouse Lizzy", "d:Description": "Official site includes news, gig dates, pictures, history, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy/Tribute_Bands", "url": "http://www.limehouselizzy.co.uk/"} +{"d:Title": "The Boys Are Back", "d:Description": "Thin Lizzy cover band from Uddevalla, Sweden. Includes a history, playlist, sound files, and Thin Lizzy wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thin_Lizzy/Tribute_Bands", "url": "http://theboysareback.se/"} +{"d:Title": "Third Eye Blind", "d:Description": "Official site. Includes news, photographs, audio, video, band and member biographies, and tour and show information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind", "url": "http://www.3eb.com/"} +{"d:Title": "Musicfanclubs.org", "d:Description": "Third Eye Blind music news and tour updates.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind", "url": "http://www.musicfanclubs.org/3eb"} +{"d:Title": "The Village Church Yard", "d:Description": "MP3s, biographies, discographies, pictures, lyrics, tour dates, word searches, quizzes, polls, and wallpapers.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind", "url": "http://www.3eb.co.uk/"} +{"d:Title": "Jen's StephanJenkins.com", "d:Description": "Stephan Jenkins of Third Eye Blind - News, tour dates, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind", "url": "http://www.stephanjenkins.com/"} +{"d:Title": "Matt's Music Page: Third Eye Blind", "d:Description": "Images, MIDIs, wavs, multimedia, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind", "url": "http://www.mattsmusicpage.com/nthirdeye.htm"} +{"d:Title": "Entertainment Ave: Third Eye Blind", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/3eb.htm"} +{"d:Title": "MTV Online: Third Eye Blind", "d:Description": "Video clips, reviews, live performances, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind", "url": "http://www.mtv.com/artists/third-eye-blind/"} +{"d:Title": "Blue Winter Moon", "d:Description": "Includes articles, biography, discography, lyrics, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind/Fan_Pages", "url": "http://www.angelfire.com/pa3/ThirdEyeBlind/"} +{"d:Title": "Suckers Lose Themselves", "d:Description": "News, articles, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind/Fan_Pages", "url": "http://3eb.itgo.com/"} +{"d:Title": "Lower Chelsea", "d:Description": "Features pictures, polls, guitar tablature, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind/Fan_Pages", "url": "http://www.angelfire.com/md/lowerchelsea/index.html"} +{"d:Title": "Matt's 3EB Site", "d:Description": "Includes biographies, discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind/Fan_Pages", "url": "http://www.angelfire.com/ny4/Matt3eb56/"} +{"d:Title": "Deep Inside of You", "d:Description": "Lyrics, pictures, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind/Fan_Pages", "url": "http://www.angelfire.com/ga2/thirdeyeblind/"} +{"d:Title": "Rock On 3EB", "d:Description": "News, quotes, pictures, links, chat, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind/Fan_Pages", "url": "http://www.angelfire.com/tx4/nattie/3eb.html"} +{"d:Title": "3ebfreak.com", "d:Description": "Features news and article archives, tour dates, biographies, media clips, and a member/fan directory.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_Eye_Blind/Fan_Pages", "url": "http://www.3ebfreak.com/"} +{"d:Title": "Third of Never", "d:Description": "Official site with band journals, audio, news, photos, and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Third_of_Never", "url": "http://www.thirdofnever.net/"} +{"d:Title": "Satan Loves YOU!", "d:Description": "Features lyrics in reverse chronological order.", "topic": "Top/Arts/Music/Bands_and_Artists/T/This_Is_Serious,_Mum", "url": "http://www.geniac.net/tism/"} +{"d:Title": "TISMitch", "d:Description": "Member list, pictures, lyrics, discography, links and mp3s.", "topic": "Top/Arts/Music/Bands_and_Artists/T/This_Is_Serious,_Mum", "url": "http://members.tripod.com/~Stonegossard699/TISM/"} +{"d:Title": "The Half Assed TISM Club", "d:Description": "Yahoo Group for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/This_Is_Serious,_Mum", "url": "http://launch.groups.yahoo.com/group/thehalfassedtismclub/"} +{"d:Title": "Eyesore: This Mortal Coil", "d:Description": "Discography with cover images, as well as several articles.", "topic": "Top/Arts/Music/Bands_and_Artists/T/This_Mortal_Coil", "url": "http://quimby.gnus.org/html/group/thismortalcoil.html"} +{"d:Title": "Musicfolio: This Mortal Coil", "d:Description": "Discography, album reviews, and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/T/This_Mortal_Coil", "url": "http://www.musicfolio.com/modernrock/thismortalcoil.html"} +{"d:Title": "The End Of Beauty Is The Endless Tears", "d:Description": "With brief band history, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/This_Mortal_Coil", "url": "http://www.angelfire.com/indie/bbblux/TMC_Index.HTM"} +{"d:Title": "4AD: This Mortal Coil", "d:Description": "Profile, discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/T/This_Mortal_Coil", "url": "http://www.4ad.com/artists/thismortalcoil/"} +{"d:Title": "Official Shawn Thomas Website", "d:Description": "Updated performance information, downloads, photo gallery of the pop music singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thomas,_Shawn", "url": "http://www.shawn-thomas.com/"} +{"d:Title": "The Bee's Knees", "d:Description": "Discographies and information on Richard Thompson and related artists", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thompson,_Richard", "url": "http://www.thebeesknees.com/"} +{"d:Title": "Two Left Feet", "d:Description": "Archive of Richard Thompson tour reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thompson,_Richard", "url": "http://www.alphane.com/2leftfeet/index.html"} +{"d:Title": "The Richard Thompson Guitar TAB Archive", "d:Description": "Transcriptions of guitar parts from many of Thompson's solo albums.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thompson,_Richard", "url": "http://people.netcom.co.uk/r.kendrick/rt/"} +{"d:Title": "Richard Thompson Discussion List", "d:Description": "Subscription directions, archives, chat, FAQ, tour reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thompson,_Richard", "url": "http://www.rtlist.net/"} +{"d:Title": "Richard Thompson For Completists", "d:Description": "Includes news, quotes, inspirations, and gear.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thompson,_Richard", "url": "http://people.zeelandnet.nl/flipfeij/"} +{"d:Title": "Richard Thompson", "d:Description": "Fan site including photos, reviews, and an archive of Thompson stage banter.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thompson,_Richard", "url": "http://www.ukrockfestivals.com/rt_menu.html"} +{"d:Title": "BeesWeb", "d:Description": "The official site of the guitarist and songwriter. Biography, discography, tour dates, reviews, audio samples, and information about his gear and tunings.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thompson,_Richard", "url": "http://www.richardthompson-music.com/"} +{"d:Title": "Paste Magazine: Meet the Thorns", "d:Description": "2003 article on the group's beginnings, name and influences.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thorns,_The", "url": "http://www.pastemagazine.com/articles/2003/04/meet-the-thorns.html"} +{"d:Title": "MTV: The Thorns", "d:Description": "Biography, audio and video samples, news, tour dates and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thorns,_The", "url": "http://www.mtv.com/artists/the-thorns/"} +{"d:Title": "Three Days Grace", "d:Description": "Official site with news, tour dates, mailing list, photos, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Three_Days_Grace", "url": "http://www.threedaysgrace.com/"} +{"d:Title": "Three Dog Night", "d:Description": "Official page containing biography, discography, photographs, tour information, news, merchandise and a fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Three_Dog_Night", "url": "http://www.threedognight.com/"} +{"d:Title": "Tonette Daniel's Three Dog Night Web Page", "d:Description": "Fan site containing a collection of concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Three_Dog_Night", "url": "http://members.tripod.com/tdnfan/"} +{"d:Title": "Cory Wells Fan Club", "d:Description": "Message board at Yahoo.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Three_Dog_Night", "url": "http://groups.yahoo.com/group/corywellsofthreedognight/"} +{"d:Title": "TDN Fans and Friends", "d:Description": "Yahoo Groups message board, photos, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Three_Dog_Night", "url": "http://groups.yahoo.com/group/tdnfansandfriends/"} +{"d:Title": "All Music Guide: Three Dog Night", "d:Description": "Contains biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Three_Dog_Night", "url": "http://www.allmusic.com/artist/three-dog-night-p5648"} +{"d:Title": "The Thrills", "d:Description": "Fan site with pictures, news, wallpapers and downloads", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrills,_The", "url": "http://www.thethrills.o-f.com/"} +{"d:Title": "The Thrills", "d:Description": "Official site containing news, multimedia, biography, discography, press clippings, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrills,_The", "url": "http://www.thethrills.com/"} +{"d:Title": "Contactmusic.com: The Thrills: Big Sur", "d:Description": "Review of the single.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrills,_The", "url": "http://www.contactmusic.com/pages/thethrillsx29x05x03"} +{"d:Title": "Contactmusic.com: The Thrills: One Horse Town", "d:Description": "Review of the single.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrills,_The", "url": "http://www.contactmusic.com/pages/thethrillsx26x02x03"} +{"d:Title": "Contactmusic.com: The Thrills", "d:Description": "Review live from The Cockpit, Leeds.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrills,_The", "url": "http://www.contactmusic.com/pages/thethrillslivex04x07x03"} +{"d:Title": "Contactmusic.com: The Thrills: So Long for the City", "d:Description": "Review of the album. 8/10", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrills,_The", "url": "http://www.contactmusic.com/pages/thethrillsx04x07x03"} +{"d:Title": "Contactmusic.com: The Thrills Interview", "d:Description": "Contactmusic spoke to The Thrills over in LA.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrills,_The", "url": "http://www.contactmusic.com/pages/thethrillsx08x11x02"} +{"d:Title": "Entertainment Through Pain - Another Throbbing Gristle Hom(ep)age", "d:Description": "Includes discographies, member profiles, listing of live shows, FAQ and mail list information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throbbing_Gristle", "url": "http://www.brainwashed.com/tg/"} +{"d:Title": "Throbbing Gristle", "d:Description": "Official site of the band and their Industrial Records label includes news, photo galleries, discography, performance list, technical information, and archives of texts and memorabilia.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throbbing_Gristle", "url": "http://throbbing-gristle.com/"} +{"d:Title": "The Throbbing Gristle Pages", "d:Description": "Fan page with discography, listing of live gigs, picture gallery, sound files, lyrics and other writing about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throbbing_Gristle", "url": "http://userpages.umbc.edu/~vijay/TG/Tg.html"} +{"d:Title": "Guardian Unlimited: Dirty Freaky Things", "d:Description": "Feature article about their forthcoming one-off reunion at the Re-TG Festival.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throbbing_Gristle", "url": "http://www.theguardian.com/music/2004/mar/26/2"} +{"d:Title": "Guardian Unlimited: Throbbing Gristle: 24-hour Box Set", "d:Description": "Music reviewer Alexis Petridis listens to the box set in one 24 hour sitting.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throbbing_Gristle", "url": "http://www.theguardian.com/music/2003/jan/01/artsfeatures.popandrock"} +{"d:Title": "Eyesore: Throwing Muses", "d:Description": "Discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throwing_Muses", "url": "http://quimby.gnus.org/html/group/throwingmuses.html"} +{"d:Title": "Throwing Muses Index Page", "d:Description": "Pictures, audio, lyrics, and articles, as well as an extensive collection of live music for trading", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throwing_Muses", "url": "http://www.markwarehouse.com/tmindex.htm"} +{"d:Title": "4AD: Throwing Muses", "d:Description": "Profile, discography, news, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Throwing_Muses", "url": "http://www.4ad.com/artists/throwingmuses/"} +{"d:Title": "Thrush Hermit", "d:Description": "Fan site for the Canadian band. Includes a discography, reviews, gig reports, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrush_Hermit", "url": "http://houseofhermit.tripod.com/"} +{"d:Title": "Canoe.ca: Thrush Hermit", "d:Description": "Profile offers a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thrush_Hermit", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/T/Thrush_Hermit.html"} +{"d:Title": "NY Rock Presents: Johnny Thunders", "d:Description": "Nice article about the man, myth, and legend.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thunders,_Johnny", "url": "http://www.nyrock.com/thunders.htm"} +{"d:Title": "All Music Guide: Johnny Thunders", "d:Description": "Features a biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Thunders,_Johnny", "url": "http://www.allmusic.com/artist/johnny-thunders-p131816"} +{"d:Title": "Official Kathryn Tickell website.", "d:Description": "Katheryn Tickell is an acclaimed performer of the songs and smallpipes of Northumberland. Biography, tour dates, photos, reviews, journal and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tickell,_Kathryn", "url": "http://www.kathryntickell.com/"} +{"d:Title": "Rambles: Kathryn Tickell, 'Debateable Lands'", "d:Description": "Review of the album, released 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tickell,_Kathryn", "url": "http://www.rambles.net/tickell_debateable.html"} +{"d:Title": "Ms Kathryn Tickell", "d:Description": "Profile of her role as lecturer at the University of Newcastle.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tickell,_Kathryn", "url": "http://www.ncl.ac.uk/sacs/staff/profile/k.d.tickell"} +{"d:Title": "All Music Guide: Kathryn Tickell", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tickell,_Kathryn", "url": "http://www.allmusic.com/artist/kathryn-tickell-p854"} +{"d:Title": "TIFFANY.org", "d:Description": "All about Tiffany, the vocalist who had pop success in the late '80s, and is now making a comeback. An unofficial fan site.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tiffany", "url": "http://www.tiffany.org/"} +{"d:Title": "Tiffany Darwish Online Community", "d:Description": "Forum, music and video files and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tiffany", "url": "http://groups.yahoo.com/group/Tiffany-Darwish"} +{"d:Title": "TweeNet: Tiger Trap", "d:Description": "Discography, photo and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tiger_Trap", "url": "http://www.twee.net/bands/t/tigertrap.html"} +{"d:Title": "The Unofficial Tanita Tikaram Web Site", "d:Description": "Includes biography, discography, pictures, lyrics and the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tikaram,_Tanita", "url": "http://www.tanitatikaram.net/"} +{"d:Title": "All Music Guide: Tanita Tikaram", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tikaram,_Tanita", "url": "http://www.allmusic.com/artist/tanita-tikaram-p5657"} +{"d:Title": "Polish Tanita Tikaram Fan Page", "d:Description": "Biography, discography, press and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tikaram,_Tanita", "url": "http://bolyan.republika.pl/eng/tikmaine.html"} +{"d:Title": "TanitaTikaram.com", "d:Description": "Includes biography, pictures, interviews and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tikaram,_Tanita", "url": "http://home.ebnett.no/baltazar/"} +{"d:Title": "People Magazine: \"Aimee Mann's Bad Times Turn 'Til Tuesday Into a Hit\"", "d:Description": "Article about the band and the \"Voices Carry\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Til_Tuesday", "url": "http://aimeemanninprint.com/1985/pm111185.htm"} +{"d:Title": "TilTuesday.net", "d:Description": "Biography, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Til_Tuesday", "url": "http://www.tiltuesday.net/"} +{"d:Title": "Stereo Society: The Making of 'Til Tuesday's \"Voices Carry\"", "d:Description": "Producer Mike Thorne's account of the album's recording. (March 1999)", "topic": "Top/Arts/Music/Bands_and_Artists/T/Til_Tuesday", "url": "http://www.stereosociety.com/voicescarry.shtml"} +{"d:Title": "The TLCspot", "d:Description": "Includes profiles, discography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/TLC", "url": "http://www.angelfire.com/music3/tlcspot/"} +{"d:Title": "LyricsOnDemand: TLC", "d:Description": "Categorized by album name.", "topic": "Top/Arts/Music/Bands_and_Artists/T/TLC", "url": "http://www.lyricsondemand.com/t/tlclyrics/"} +{"d:Title": "All Music Guide: TLC", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/TLC", "url": "http://www.allmusic.com/artist/tlc-p26086"} +{"d:Title": "AskMen.com: TLC", "d:Description": "Pictures, profile, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/TLC", "url": "http://www.askmen.com/celebs/women/singer_60/99_tlc.html"} +{"d:Title": "MTV: TLC", "d:Description": "Features music video clips, album reviews, live performances and exclusive online interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/TLC", "url": "http://www.mtv.com/artists/tlc/"} +{"d:Title": "Toadies WebSite", "d:Description": "Includes forum, archives, lyrics, history, discography, articles, tabs, images, videography, quotes, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toadies", "url": "http://www.musicfanclubs.org/toadies/toadies.html"} +{"d:Title": "Rubberneck's Toadies Page", "d:Description": "Contains links, lyrics,pictures, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toadies", "url": "http://members.tripod.com/~rubberneck/toadies.htm"} +{"d:Title": "Toadies History", "d:Description": "Offers a brief history of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toadies", "url": "http://www.musicfanclubs.org/toadies/toadies/history.htm"} +{"d:Title": "Toadies Pictures", "d:Description": "Pictures of the band playing at Trees 10 year celebration in Dallas, TX.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toadies", "url": "http://www.angelfire.com/tx3/janikphoto/texasbands/toadies2.html"} +{"d:Title": "LetsSingIt.com", "d:Description": "Offers lyrics for a few songs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toadies", "url": "http://www.letssingit.com/?/lyrics/t/toadies/"} +{"d:Title": "Toadies", "d:Description": "Official site of the Fort Worth, Texas, rock band features news, biography, tour dates, merchandise, videos, pictures and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toadies", "url": "http://thetoadies.com/"} +{"d:Title": "MTV: Toadies", "d:Description": "Includes news, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toadies", "url": "http://www.mtv.com/artists/toadies/"} +{"d:Title": "Monica's Toad Stuff", "d:Description": "Pictures, interview, discography, and webring.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toad_the_Wet_Sprocket", "url": "http://monica.hubbe.net/toad/"} +{"d:Title": "Walk on the Ocean", "d:Description": "Fan site includes articles and stories.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toad_the_Wet_Sprocket", "url": "http://www.walkontheocean.net/"} +{"d:Title": "Toad Gold World Tour", "d:Description": "Information about the gold record award being toured around so fans can have their picture taken with it.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toad_the_Wet_Sprocket", "url": "http://www.toadgold.com/"} +{"d:Title": "Toad the WWWet Sprocket", "d:Description": "Fan site with scrap book, photos, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toad_the_Wet_Sprocket", "url": "http://ttws.info/"} +{"d:Title": "Toad the Wet Sprocket", "d:Description": "Band's official site features news, tour dates and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toad_the_Wet_Sprocket", "url": "http://www.toadthewetsprocket.com/"} +{"d:Title": "Tokio Hotel", "d:Description": "Official site. Includes biographies, concert dates, video, downloads, photos, forum, and VIP area.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tokio_Hotel", "url": "http://tokiohotel.pop24.de/"} +{"d:Title": "Wikpedia: Tokio Hotel", "d:Description": "Includes background information and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tokio_Hotel", "url": "http://en.wikipedia.org/wiki/Tokio_Hotel"} +{"d:Title": "Tokio Hotel", "d:Description": "Universal Music Group's official site for the band, offering news, video clips, and release information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tokio_Hotel", "url": "http://www.interscope.com/#!/tokiohotel"} +{"d:Title": "Metacritic: The Good, the Bad, and the Funky", "d:Description": "Links to several reviews of the Tom Tom Club album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tom_Tom_Club", "url": "http://www.metacritic.com/music/the-good-the-bad-and-the-funky/tom-tom-club"} +{"d:Title": "Tonca and Friends", "d:Description": "Ten-piece roots reggae band based in St. Maarten. Pictures, charts information, reviews, reactions, and biography. MP3s for download, CDs and individual tracks for sale.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonca_and_Friends", "url": "http://www.tonca.net/"} +{"d:Title": "All Music Guide: Tone-Loc", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tone-Loc", "url": "http://www.allmusic.com/artist/tone-loc-p105"} +{"d:Title": "TrouserPress.com: Tones on Tail", "d:Description": "Review of the band's recordings and career.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tones_on_Tail", "url": "http://www.trouserpress.com/entry.php?a=tones_on_tail"} +{"d:Title": "Beggar's Banquet: Tones on Tail", "d:Description": "Biography of the band, images of recordings, and information about the recent reissues.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tones_on_Tail", "url": "http://www.beggarsgroupusa.com/tonesontail/"} +{"d:Title": "Tonic in 1999", "d:Description": "Images from the 1999 tour, and e-cards.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonic", "url": "http://elusivone.tripod.com/tonic/"} +{"d:Title": "Matt's Tonic Page", "d:Description": "Includes tabs, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonic", "url": "http://www.mattsmusicpage.com/ntonic.htm"} +{"d:Title": "Tonic Online", "d:Description": "Official site for the band, with news, tour dates, audio and video clips, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonic", "url": "http://www.tonic-online.com/"} +{"d:Title": "Tonight Alive", "d:Description": "Official site with news, band biography, tour dates, photos, videos, music clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonight_Alive", "url": "http://www.tonightaliveofficial.com/"} +{"d:Title": "Facebook: Tonight Alive", "d:Description": "The band's official Facebook page with news, photos, music, videos, tour information, notes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonight_Alive", "url": "https://www.facebook.com/tonightalive"} +{"d:Title": "Wikipedia: Tonight Alive", "d:Description": "Includes band history, tour information, discography, album details, photos, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonight_Alive", "url": "http://en.wikipedia.org/wiki/Tonight_Alive"} +{"d:Title": "Myspace: Tonight Alive", "d:Description": "The band's official Myspace page with news, music, mixes, a slide show, and links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonight_Alive", "url": "https://myspace.com/tonightalive"} +{"d:Title": "Twitter: Tonight Alive", "d:Description": "The band's official Twitter feed.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonight_Alive", "url": "https://twitter.com/TonightAlive"} +{"d:Title": "Instagram: Tonight Alive", "d:Description": "Official Instagram page with photos and short videos of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonight_Alive", "url": "https://www.instagram.com/tonightaliveofficial/"} +{"d:Title": "Where is That Place?", "d:Description": "Unofficial site for the singer/songwriter; features discography, press, reviews, links, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonio_K.", "url": "http://home.earthlink.net/~mrmando/tonio_k/"} +{"d:Title": "The Phantom Tollbooth: Tonio K's Ole", "d:Description": "Positive review of the long-delayed album. Rated 4.5 tocks out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonio_K.", "url": "http://www.tollbooth.org/reviews/toniok.html"} +{"d:Title": "Rambles: Tonio K. and 16 Tons of Monkeys, Live", "d:Description": "Positive album review.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonio_K.", "url": "http://www.rambles.net/tonio_16tons01.html"} +{"d:Title": "Ink Nineteen: Tonio K", "d:Description": "Positive review of the \"Yugoslavia\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tonio_K.", "url": "http://www.ink19.com/issues_F/99_11/wet_ink/music_ek/101_tonio_k.shtml"} +{"d:Title": "Tool - Official Site", "d:Description": "Official band site. Features news, lyrics, and videos. [Flash required]", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://www.toolband.com/"} +{"d:Title": "The Tool Page (t.d.n)", "d:Description": "Nearly official site includes news, concert reviews, articles, sound files, biographies, discography, images, and lyrics.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://toolshed.down.net/"} +{"d:Title": "Prison Sex video review", "d:Description": "Reviewed by Joe Clark for Queer in your Ear.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://www.joeclark.org/QiYE34.html"} +{"d:Title": "ToolTabs.net", "d:Description": "Tablatures for all albums, including Opiate, Undertow, Aenima, and Lateralus.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://www.tooltabs.net/"} +{"d:Title": "RollingStone.com: Tool", "d:Description": "Includes biography, discography, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://www.rollingstone.com/music/artists/tool"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Tool", "d:Description": "Reviews and analysis of the band's albums", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://sfloman.com/tool.html"} +{"d:Title": "MTV: Tool", "d:Description": "Tool music news, album reviews, audio downloads, biographies, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://www.mtv.com/artists/tool/"} +{"d:Title": "Metacritic: Lateralus", "d:Description": "Multiple critic and user reviews for Lateralus by Tool.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool", "url": "http://www.metacritic.com/music/lateralus/tool"} +{"d:Title": "Aenimations of Tool", "d:Description": "Fan site with original artwork and animations and some info.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://www.aenimation.8k.com/"} +{"d:Title": "ArCynical's Tool Page", "d:Description": "Includes quotes from the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://www.angelfire.com/scifi/arcynic/TOOL.html"} +{"d:Title": "Clinically Dead. A Tool Page.", "d:Description": "Thoughts on Tool as well as files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://www.angelfire.com/ar/insayn/"} +{"d:Title": "fortysixand2", "d:Description": "Containing the latest news, MP3s, videos, lyrics, polls, tablatures, tour dates, biographies, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://www.angelfire.com/rock2/fortysixand2/"} +{"d:Title": "A Perfect TOOL", "d:Description": "Tool/A Perfect Circle Hybrid page with news, audio, videos, lyrics, tablatures, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://www.angelfire.com/art/lateralus/"} +{"d:Title": "Tool - WEBPAGE", "d:Description": "News, band bios, discography (including side works), videos, and mp3s. Everything about tool, mp3, images, videos, interviews, bio, discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://members.tripod.com/toolbandsite/"} +{"d:Title": "TOOL (46n2)", "d:Description": "Open for user submissions.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://46n2.tripod.com/tool/"} +{"d:Title": "Unrealized - Tool", "d:Description": "A fan page for the unique hard-rock band Tool, has audio, video, pictures, biographies, news, tour dates, opinions, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://www.angelfire.com/band/unrealized/index.html"} +{"d:Title": "Tool Armenian Fan Site", "d:Description": "News, lyrics, biography, guitar tabs, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tool/Fan_Pages", "url": "http://freenet.am/~tool/"} +{"d:Title": "The Onion AV Club: Too Much Joy", "d:Description": "Interview with frontman Tim Quirk about his band's status and stories, from its infamous arrest in Florida to its curious (and temporary) endorsement by Newt Gingrich.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Too_Much_Joy", "url": "http://www.avclub.com/articles/too-much-joy,13644/"} +{"d:Title": "Toploaderland", "d:Description": "Includes news, discography, pictures, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toploader", "url": "http://www.angelfire.com/music4/toploaderland/"} +{"d:Title": "Emil\u00edana Torrini", "d:Description": "Official site includes biography, forum, mailing list, news, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Torrini,_Emiliana", "url": "http://www.emilianatorrini.com/"} +{"d:Title": "Topica: Telepathy", "d:Description": "Unmoderated mailing list for Emiliana Torrini. Archive and subscription instructions.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Torrini,_Emiliana", "url": "http://lists.topica.com/lists/telepathy/"} +{"d:Title": "All Music Guide: Emiliana Torrini", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Torrini,_Emiliana", "url": "http://www.allmusic.com/artist/emiliana-torrini-p319745"} +{"d:Title": "Tortoise", "d:Description": "Interview with bass player Doug McCombs. Discusses their influences and instrumental music.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise", "url": "http://www.scaruffi.com/interv/tortoise.html"} +{"d:Title": "Tortoise - Post-Rock", "d:Description": "Article about the group and full discography. [English/Italian]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise", "url": "http://www.ondarock.it/Tortoise.html"} +{"d:Title": "The History of Rock Music: Tortoise", "d:Description": "Biography, discography, reviews, and links. [English/Italian]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise", "url": "http://www.scaruffi.com/vol5/tortoise.html"} +{"d:Title": "Brainwashed: Tortoise", "d:Description": "Profile, discography, news, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise", "url": "http://www.brainwashed.com/tortoise/"} +{"d:Title": "Tortoise - Standards", "d:Description": "Jonathon Leonard's review: \"every track on this album has something to recommend it.\" Rated 4 out of 5.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise/Reviews/Standards", "url": "http://www.leonardslair.co.uk/standards.htm"} +{"d:Title": "Pitchfork: Tortoise - Standards", "d:Description": "Matt LeMay's review: \"by far the most intense, compelling, and memorable Tortoise has released to date.\" Rated 9.2.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise/Reviews/Standards", "url": "http://pitchfork.com/reviews/albums/8114-standards/"} +{"d:Title": "Almost Cool: Tortoise - Standards", "d:Description": "Aaron Coleman's review: \"Overall, the album probably isn't going to let you down if you're a fan of Tortoise.\" Rated 7.5.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise/Reviews/Standards", "url": "http://www.almostcool.org/mr/1287/"} +{"d:Title": "Metacritic: Standards", "d:Description": "Multiple critic and user reviews of the Tortoise album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tortoise/Reviews/Standards", "url": "http://www.metacritic.com/music/standards/tortoise"} +{"d:Title": "Toto", "d:Description": "Official band site includes news, tour dates, videos, discography, band history, and links to individual members' sites.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Toto", "url": "http://www.totoofficial.com/"} +{"d:Title": "Tourniquet", "d:Description": "Official site includes discography with lyrics and audio samples, photos, biographies, a forum, and concert listings.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tourniquet", "url": "http://www.tourniquet.net/"} +{"d:Title": "HevyDevy Records", "d:Description": "Record label created and owned by Devin Townsend, solely for his projects.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Townsend,_Devin", "url": "http://www.hevydevy.com/"} +{"d:Title": "Soundscape Webzine", "d:Description": "A fairly detailed biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Townsend,_Devin/Articles_and_Interviews", "url": "http://pages.infinit.net/smcanada/9devin_townsend.html"} +{"d:Title": "CNN - Pete Townshend as busy as ever", "d:Description": "Interview with Pete Townshend about his solo album and other upcoming projects.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Townshend,_Pete", "url": "http://www.cnn.com/SHOWBIZ/9605/15/townshend/index.html"} +{"d:Title": "Pete Townshend", "d:Description": "Official site for the lead guitarist of legendary rock band The Who. Includes a history, audio, video and latest projects.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Townshend,_Pete", "url": "http://www.petetownshend.co.uk/"} +{"d:Title": "Pete Townshend Filmography", "d:Description": "From IMDb.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Townshend,_Pete", "url": "http://www.imdb.com/name/nm0870228/"} +{"d:Title": "Kathy's Simon Townshend Pages", "d:Description": "Includes news, information on past concerts with setlists, history, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Townshend,_Simon", "url": "http://www.kathyszaksite.com/simon/"} +{"d:Title": "The Tragically Hip", "d:Description": "Official site from this Canadian band with information on their latest releases, and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The", "url": "http://www.thehip.com/"} +{"d:Title": "The Hipbase", "d:Description": "Searchable database of shows.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The", "url": "http://www.hipbase.com/"} +{"d:Title": "Canadian References in Phantom Power", "d:Description": "Song lyrics and references to Canada's history and culture in the Hip's album, \"Phantom Power.\"", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The", "url": "http://www.angelfire.com/on/canadianhip/"} +{"d:Title": "Unreleased Tragically Hip Songs", "d:Description": "Catalogue of unreleased songs and cover versions, with some lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The", "url": "http://www.hipmuseum.com/unreleased.html"} +{"d:Title": "The Tragically Hip Web Ring", "d:Description": "List of current member sites.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The", "url": "http://www.webring.org/hub?ring=hipring"} +{"d:Title": "Canoe.ca: The Tragically Hip", "d:Description": "Contains news, album and concert reviews related to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The", "url": "http://jam.canoe.com/Music/Artists/T/Tragically_Hip/"} +{"d:Title": "MTV: Like Hockey? Nickelback? People That Say 'Aboot'? Tragically Hip May Rock You", "d:Description": "Review of a show at Irving Plaza, New York. (June 28, 2006)", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Articles_and_Interviews", "url": "http://www.mtv.com/news/articles/1455483/tragically-hip-try-us-invasion-again.jhtml"} +{"d:Title": "Jon's Tragically Hip Page", "d:Description": "Provides a short history of the band, discography, lyrics, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Fan_Pages", "url": "http://www.angelfire.com/ca/thetragicallyhip/index.html"} +{"d:Title": "The Tragically Hip at Camrose 2002", "d:Description": "Pictures from Stage 13 \"The Ultimate Roadtrip\" at the Camrose Regional Exhibition, 2002 .", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Image_Galleries", "url": "http://www.angelfire.com/music4/camrosehip/"} +{"d:Title": "Gerald Oskoboiny: Tragically Hip", "d:Description": "Photos of the Hip taken at a 29 June 2000 appearance in Somerville.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Image_Galleries", "url": "http://impressive.net/people/gerald/2000/06/29/hip.html"} +{"d:Title": "Paul's Tragically Hip Photos Index", "d:Description": "Photographs of the Tragically Hip in concert.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Image_Galleries", "url": "http://members.tripod.com/baddog01/photo_index.htm"} +{"d:Title": "Tragically Hip", "d:Description": "Concert photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Image_Galleries", "url": "http://members.tripod.com/tragicallysam/hip.html"} +{"d:Title": "PopMatters - Man Machine Poem", "d:Description": "Review by Jedd Beaudoin.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Reviews", "url": "http://www.popmatters.com/review/the-tragically-hip-man-machine-poem/"} +{"d:Title": "Practically Hip", "d:Description": "Tribute to The Tragically Hip. Established in Southern Ontario in 1997. Vocalist, Dean Hughes.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Tribute_Bands", "url": "http://www.practicallyhip.com/"} +{"d:Title": "The Strictly Hip", "d:Description": "North American tribute to The Tragically Hip. News, dates, pictures, member profiles, biography, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Tribute_Bands", "url": "http://www.thestrictlyhip.com/"} +{"d:Title": "The Hip Show", "d:Description": "Western Canada's tribute to The Tragically Hip. Available for special events and festivals.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Tribute_Bands", "url": "http://www.thehipshow.com/"} +{"d:Title": "Little Bones", "d:Description": "An Ottawa-based tribute to The Tragically Hip. Schedule, member profiles, pictures, and audio/ video files.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tragically_Hip,_The/Tribute_Bands", "url": "http://littlebonesottawa.webs.com/"} +{"d:Title": "Carrying Freight", "d:Description": "Interview from MetroActive Music.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Train", "url": "http://www.metroactive.com/papers/metro/12.12.96/train-9650.html"} +{"d:Title": "Entertainment Ave: Matchbox Twenty and Train", "d:Description": "Review of a concert at The Tweeter Center in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Train", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/matchbox_twenty/mb080301.htm"} +{"d:Title": "Entertainment Ave: Train", "d:Description": "Review of a concert at The Riviera in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Train", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/t/train/tr051601.htm"} +{"d:Title": "Pat Monahan", "d:Description": "Fan site of Train's lead singer has news, a picture gallery, links, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Train", "url": "http://www.patmonahan.net/"} +{"d:Title": "All Music Guide: Train", "d:Description": "Biography and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Train", "url": "http://www.allmusic.com/artist/train-mn0000746302"} +{"d:Title": "Metacritic: Drops of Jupiter", "d:Description": "Multiple critic and user reviews for the Train album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Train", "url": "http://www.metacritic.com/music/drops-of-jupiter/train"} +{"d:Title": "Train", "d:Description": "Official site includes news and tour dates, a radio diary, song samples, photos, and a band biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Train", "url": "http://www.savemesanfrancisco.com/"} +{"d:Title": "Trish Thuy Trang", "d:Description": "Official fan site with profile, tour schedule, photographs, lyrics, and CD ordering information. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trang,_Trish_Thuy", "url": "http://trishttrang.com/"} +{"d:Title": "Transglobal Underground [Official Site]", "d:Description": "Eclectic mix of house, dance, and world beat music. Discography, history, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Transglobal_Underground", "url": "http://www.transglobalunderground.net/"} +{"d:Title": "We Are Transvision Vamp", "d:Description": "Fan site with band history, member profiles, articles, discography, lyrics, song clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Transvision_Vamp", "url": "http://www.itm-ed.de/tvamp/"} +{"d:Title": "Transvideos", "d:Description": "A fan's collection of still images from Transvision Vamp's videos. With some background information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Transvision_Vamp", "url": "http://members.tripod.com/~MarcD/Transvideos/transvideos.html"} +{"d:Title": "Trapt", "d:Description": "Official website for the band has band biography, latest news, music and video, tour info, board and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trapt", "url": "http://www.trapt.com/"} +{"d:Title": "Lyrics On Demand: Trapt", "d:Description": "Lyrics for the songs from the debut album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trapt", "url": "http://www.lyricsondemand.com/t/traptlyrics/"} +{"d:Title": "Music Frisk: Trapt Interview", "d:Description": "The band talks to Dave Dalka.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trapt", "url": "http://www.musicfrisk.com/picture/interview/trapt.02.18.2003.php"} +{"d:Title": "All Music Guide: Trapt", "d:Description": "Biography, group members, discography, song highlights and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trapt", "url": "http://www.allmusic.com/artist/trapt-p543404"} +{"d:Title": "MTV.com: Trapt", "d:Description": "Band biography, videos, ringtones, news headlines, photography flipbooks, music downloads and album details.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trapt", "url": "http://www.mtv.com/artists/trapt/"} +{"d:Title": "Trash Can Sinatras", "d:Description": "Audio and video clips, show information, lyrics, and resources.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trash_Can_Sinatras", "url": "http://www.trashcansinatras.com/"} +{"d:Title": "TrouserPress.com: Trash Can Sinatras", "d:Description": "Review of their career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trash_Can_Sinatras", "url": "http://trouserpress.com/entry.php?a=trash_can_sinatras"} +{"d:Title": "OldieLyrics.com: The Traveling Wilburys lyrics", "d:Description": "Lyrics to some Traveling Wilburys songs ordered by albums.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Traveling_Wilburys,_The", "url": "http://www.oldielyrics.com/t/the_traveling_wilburys.html"} +{"d:Title": "Sub Pop Records: Trembling Blue Stars", "d:Description": "Includes profile, history, audio, tour dates, and album release information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trembling_Blue_Stars", "url": "http://www.subpop.com/artists/trembling_blue_stars"} +{"d:Title": "Tribe 8", "d:Description": "Roadkill Cafe album review.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tribe_8", "url": "http://www.ucalgary.ca/UofC/students/VOX/Albums/tribe8.htm"} +{"d:Title": "Tribe 8 Interview (theroc.org)", "d:Description": "Lynn Breedlove talks about censorship, revolution, San Francisco, and the Michigan Women's Music Festival.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tribe_8", "url": "http://www.theroc.org/roc-mag/textarch/roc-18/roc18-08.htm"} +{"d:Title": "RollingStone.com: A Tribe Called Quest", "d:Description": "Includes biography, discography, pictures, articles, video file, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tribe_Called_Quest,_A", "url": "http://www.rollingstone.com/music/artists/a-tribe-called-quest"} +{"d:Title": "MTV: A Tribe Called Quest", "d:Description": "Sound files, news, reviews, biography, discography, and chat transcript.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tribe_Called_Quest,_A", "url": "http://www.mtv.com/artists/a-tribe-called-quest/"} +{"d:Title": "Trina", "d:Description": "Includes vital statistics, album information, image gallery, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trina", "url": "http://www.angelfire.com/nv/trina/index.html"} +{"d:Title": "AskMen.com: Trina", "d:Description": "Pictures, commentary, and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trina", "url": "http://www.askmen.com/celebs/women/singer_150/169_trina.html"} +{"d:Title": "Tripping Daisy", "d:Description": "Official site includes news, links, and discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tripping_Daisy", "url": "http://www.trippingdaisy.com/"} +{"d:Title": "Tripping Daisy Mailing List", "d:Description": "Located at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tripping_Daisy/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/trippingdaisy/"} +{"d:Title": "Trooper", "d:Description": "Official site for Canada's legendary rock band. Includes news, tour schedule, biographies, discography, a store, and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trooper", "url": "http://www.trooper.ca/"} +{"d:Title": "Celebrity Page: Trooper", "d:Description": "Discography and a personal opinion of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trooper", "url": "http://members.tripod.com/DickJohnson/celebrity/trooper.html"} +{"d:Title": "All Music Guide: Trooper", "d:Description": "Brief history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trooper", "url": "http://www.allmusic.com/artist/trooper-p21139"} +{"d:Title": "Ra McGuire", "d:Description": "Lead singer for Trooper shares his thoughts and opinions.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trooper", "url": "http://ramcguire.com/"} +{"d:Title": "Canadian Music Encyclopedia", "d:Description": "Trooper's history and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trooper", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/T/Trooper.html"} +{"d:Title": "Robin Trower", "d:Description": "Fan site including discography, news and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trower,_Robin", "url": "http://www.angelfire.com/ca/RobinTrower/"} +{"d:Title": "Trower Power", "d:Description": "Official site includes news, tour dates, sound files, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trower,_Robin", "url": "http://www.trowerpower.com/"} +{"d:Title": "Steve's Place", "d:Description": "History, news, exclusive interviews and pictures, live show history, memorabilia, magazine articles, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Trower,_Robin", "url": "http://www.members.shaw.ca/stevesplace/"} +{"d:Title": "Jolin Our Joy", "d:Description": "A fan site with biography, discography, samples, scanned articles, pictures, forum, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tsai,_Jolin", "url": "http://www.angelfire.com/music4/jolintsai/"} +{"d:Title": "Tsunami Bomb", "d:Description": "Official site with news, biography and an image gallery. Contains tour dates, video and audio clips downloads, and a chat room.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tsunami_Bomb", "url": "http://www.tsunamibomb.com/"} +{"d:Title": "Tsunami Bomb Tabs", "d:Description": "Guitar tablatures and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tsunami_Bomb", "url": "http://tbtabs.tripod.com/tsunamibomb/"} +{"d:Title": "Metroactive Music: Tsunami Bomb, Taking the Reigns", "d:Description": "Article about the California tour venue at Sonoma State's Main Quad by Sara Bir.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tsunami_Bomb", "url": "http://www.metroactive.com/papers/sonoma/05.02.02/tsunami-0218.html"} +{"d:Title": "Plyrics.com: Tsunami Bomb", "d:Description": "The lyrics from this band grouped by album titles.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tsunami_Bomb", "url": "http://www.plyrics.com/t/tsunamibomb.html"} +{"d:Title": "In Music We Trust: California's Up and Coming Pop-Punk Threat", "d:Description": "Interview with Agent M from Tsunami Bomb about their album \"The Ultimate Escape.\"", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tsunami_Bomb", "url": "http://www.inmusicwetrust.com/articles/56h07.html"} +{"d:Title": "Tsunami Bomb Fanclub", "d:Description": "Yahoo! Group with news, photos and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tsunami_Bomb", "url": "http://launch.groups.yahoo.com/group/tsunamibombfanclub/"} +{"d:Title": "Tubes Discography", "d:Description": "List of albums, singles, compilations, and occurrences on various artists collections", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tubes,_The", "url": "http://www.deaddisc.com/GDFD_Tubes.htm"} +{"d:Title": "The Tubes", "d:Description": "Official site includes band member profiles, news, show dates, pictures, and lyrics. Requires Macromedia Flash.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tubes,_The", "url": "http://www.thetubes.com/"} +{"d:Title": "All Music Guide: The Tubes", "d:Description": "Contains biography, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tubes,_The", "url": "http://www.allmusic.com/artist/the-tubes-p5708"} +{"d:Title": "Wikipedia: Tubeway Army", "d:Description": "Introduction with discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tubeway_Army", "url": "http://en.wikipedia.org/wiki/Tubeway_Army"} +{"d:Title": "Mississippi to Monterey", "d:Description": "Review and information for the John Broadway Tucker CD.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tucker,_John_Broadway", "url": "http://www.messaround.com/album5.html"} +{"d:Title": "Mostly You", "d:Description": "Review and information for the John Broadway Tucker CD.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tucker,_John_Broadway", "url": "http://www.messaround.com/album3.html"} +{"d:Title": "Ink19: Tullycraft", "d:Description": "Review and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tullycraft", "url": "http://www.ink19.com/issues/june2000/wetInk/musicTZ/tullycraft.html"} +{"d:Title": "Tweekitten: Tullycraft", "d:Description": "Short show review.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tullycraft", "url": "http://www.tweekitten.com/tk/articles/tullycraft.sept8.95.html"} +{"d:Title": "Tullycraft", "d:Description": "Official site. Includes news, complete discography, photo gallery, and press.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tullycraft", "url": "http://www.tullycraftnation.com/"} +{"d:Title": "KT Tunstall", "d:Description": "Official artist site has news, biography, message boards, gig schedule, photos and online diary.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tunstall,_KT", "url": "http://kttunstall.com/"} +{"d:Title": "KTtabs", "d:Description": "Includes tabs, lyrics, and a list of instruments used by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tunstall,_KT", "url": "http://www.g-skyline.freeserve.co.uk/kttabs.htm"} +{"d:Title": "NPR: KT Tunstall Takes the Long View", "d:Description": "World cafe interview includes live performance of three songs from Eye to the Telescope.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tunstall,_KT", "url": "http://www.npr.org/templates/story/story.php?storyId=5186208"} +{"d:Title": "Wikipedia: KT Tunstall", "d:Description": "Hyperlinked encyclopedia article discusses the singer's personal life, career, performances, awards, name and discography", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tunstall,_KT", "url": "http://en.wikipedia.org/wiki/Kt_tunstall"} +{"d:Title": "Turin Brakes", "d:Description": "Official site. Includes news, reviews, a list of gig dates, a pictorial history, and a discography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turin_Brakes", "url": "http://www.turinbrakes.com/"} +{"d:Title": "Cushy Productions - Turin Brakes", "d:Description": "Copy of the band's press release from 1999 about their EP, \"The Door\".", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turin_Brakes", "url": "http://www.cushyproductions.com/html/tbrakes.htm"} +{"d:Title": "NME.COM: Turin Brakes", "d:Description": "Reviews, photographs, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turin_Brakes", "url": "http://www.nme.com/artists/turin-brakes"} +{"d:Title": "Metacritic: The Optimist LP", "d:Description": "Collection of short reviews by critics, with the facility for users to rate the album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turin_Brakes/Articles_and_Interviews", "url": "http://www.metacritic.com/music/the-optimist-lp/turin-brakes"} +{"d:Title": "Jared's Pick: Boss of the Blues", "d:Description": "Review of the album released in 1956.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Big_Joe", "url": "http://www.angelfire.com/nh/jaredspick/turner.html"} +{"d:Title": "Kansas City Missouri History: Joe Turner", "d:Description": "Hometown profile of the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Big_Joe", "url": "http://kchistory.org/cdm4/item_viewer.php?CISOROOT=/Biographies&CISOPTR=135&CISOBOX=1&REC=2"} +{"d:Title": "Rock Before Elvis: Big Joe Turner", "d:Description": "Profile, photographs, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Big_Joe", "url": "http://www.hoyhoy.com/artists/bigjoe.htm"} +{"d:Title": "All Music Guide: Big Joe Turner", "d:Description": "Includes biography, related artists, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Big_Joe", "url": "http://www.allmusic.com/artist/big-joe-turner-p556"} +{"d:Title": "Rock and Roll Hall of Fame: Big Joe Turner", "d:Description": "Photograph and profile of the inductee.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Big_Joe", "url": "http://rockhall.com/inductees/big-joe-turner/"} +{"d:Title": "St. Louis Walk of Fame", "d:Description": "Short article on Tina Turner's musical career. Includes location of her star on the walk of fame and induction date.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.stlouiswalkoffame.org/inductees/tina-turner.html"} +{"d:Title": "Tina Turner.co.uk", "d:Description": "Fan site includes news, forums, gallery, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.tina-turner.co.uk/"} +{"d:Title": "Tina Turner Online", "d:Description": "An official Dutch fan site with news, photos, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.tina-turner.nl/"} +{"d:Title": "Tina Turner - International fanclub", "d:Description": "The official site with an illustrated biography, news, discography, lyrics, details of her books and movies, and link to a Google fan forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.tinaturnerfanclub.eu/"} +{"d:Title": "All Music Guide: Tina Turner", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.allmusic.com/artist/tina-turner-p5714"} +{"d:Title": "RollingStone.com: Tina Turner", "d:Description": "Includes biography, discography, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.rollingstone.com/music/artists/tina-turner"} +{"d:Title": "AskMen.com: Tina Turner", "d:Description": "Pictures, biography, information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.askmen.com/celebs/women/singer/27_tina_turner.html"} +{"d:Title": "MTV: Tina Turner", "d:Description": "News, full biography, musical influences, audio clips, photos, music videos, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina", "url": "http://www.mtv.com/artists/tina-turner/"} +{"d:Title": "Marshall, Lusia", "d:Description": "Tina Turner tribute show \"All Hail the Queen.\" Includes profile, video clip, reviews, and a song list", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turner,_Tina/Tribute_Acts", "url": "http://www.luisamarshall.com/"} +{"d:Title": "Turtles, The", "d:Description": "The official site, featuring Flo and Eddie. Band history, news, discography, lyrics and chords, media corner and tour schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turtles,_The", "url": "http://www.theturtles.com/"} +{"d:Title": "Flo and Eddie", "d:Description": "Sightings of and snippets about Kaylan and Volman, includes a discography and partial lyrics of \"Illegal, Immoral, and Fattening\".", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turtles,_The", "url": "http://www.united-mutations.com/v/mark_volman.htm"} +{"d:Title": "Slow and Steady with Flo of Flo and Eddie", "d:Description": "An article from Ragbaby on-line magazine that focuses on Kaylan's return to university as an adult student, but includes other aspects of their work and the band's legal problems in the late '60s and early '70s.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turtles,_The", "url": "http://www.ragbaby.com/magazine/19990409c.htm"} +{"d:Title": "The History of Flo and Eddie and The Turtles", "d:Description": "Official site of their booking agency. Contains a biography of Kaylan and Volman, discography 1963 to 1970, a current itinerary, and Elenore in RealAudio format.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turtles,_The", "url": "http://www.utopiaartists.com/bio_turtles.htm"} +{"d:Title": "Harry Nilsson - Flo and Eddie and Harry", "d:Description": "Harry Nilsson appears as a guest on Flo and Eddie's radio show in 1992. 28 minutes, RealAudio.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turtles,_The", "url": "http://www.harrynilsson.com/index.php?action=ARTICLE&subaction=DISPLAY&detail=218&articleref=218"} +{"d:Title": "Tarja Turunen", "d:Description": "Official site of the Finish soprano singer. Includes news, biography, event information, magazine covers, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Turunen,_Tarja", "url": "http://www.tarjaturunen.com/"} +{"d:Title": "First Things First", "d:Description": "Album with Ron Tutt on the drums. Credit and song information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tutt,_Ron", "url": "http://www.museweb.com/bennett/ftf.html"} +{"d:Title": "Diamondville: Ron Tutt", "d:Description": "Profile of the drummer.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tutt,_Ron", "url": "http://diamondville.com/NDRRT/Ron.html"} +{"d:Title": "Tuuli - Pretty In Pink", "d:Description": "Fan site includes pictures, lyrics, tablature, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tuuli", "url": "http://www.angelfire.com/music/tuuli/"} +{"d:Title": "PLyrics: Tuuli", "d:Description": "Lyrics to the songs by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tuuli", "url": "http://www.plyrics.com/t/tuuli.html"} +{"d:Title": "All Music Guide: Tuuli", "d:Description": "Includes profile, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tuuli", "url": "http://www.allmusic.com/artist/p389819"} +{"d:Title": "Tuxedomoon", "d:Description": "Official site includes band history and information on recent releases.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tuxedomoon", "url": "http://www.tuxedomoon.co/"} +{"d:Title": "Free Williamsburg: TV on the Radio", "d:Description": "Interview with David Andrew Sitek.", "topic": "Top/Arts/Music/Bands_and_Artists/T/TV_on_the_Radio", "url": "http://www.freewilliamsburg.com/september_2003/tvontheradio.html"} +{"d:Title": "Pitchfork: Desperate Youth, Blood Thirsty Babes", "d:Description": "Album review by Chris Dahlen, rated 7.8 out of 10. (March 8, 2004)", "topic": "Top/Arts/Music/Bands_and_Artists/T/TV_on_the_Radio", "url": "http://pitchfork.com/reviews/albums/8197-desperate-youth-blood-thirsty-babes/"} +{"d:Title": "Shania Twain", "d:Description": "Official website offers a biography, news, photos, audio and video samples, tour dates and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://www.shaniatwain.com/"} +{"d:Title": "Abstracts.net: Shania Twain", "d:Description": "Abstract thumbnailed picture gallery, biography, news and gossip, chat, discography, filmography, hangman game, and quiz.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://abstracts.net/shania-twain/"} +{"d:Title": "MiRea's Realm of Mega Links: Shania Twain", "d:Description": "Offers listings for sites by country of origin, articles, photo galleries and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://www.angelfire.com/realm/mirea/twain/"} +{"d:Title": "Shania Twain News: Topix", "d:Description": "News about Shania Twain continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://www.topix.com/who/shania-twain"} +{"d:Title": "Topix: Shania Twain", "d:Description": "News about Shania Twain, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://www.topix.com/rss/who/shania-twain.xml"} +{"d:Title": "All Music Guide: Shania Twain", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://www.allmusic.com/artist/shania-twain-p42121"} +{"d:Title": "AskMen.com: Shania Twain", "d:Description": "Collection of pictures, information and biography on the singer.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://www.askmen.com/celebs/women/singer/1_shania_twain.html"} +{"d:Title": "MTV: Shania Twain", "d:Description": "News, biography, influences, audio clips, music videos, bulletin boards and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://www.cmt.com/artists/shania-twain/"} +{"d:Title": "Canoe.ca: Shania Twain", "d:Description": "Articles and album reviews from a Canadian newspaper group.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania", "url": "http://jam.canoe.com/Music/Artists/T/Twain_Shania/"} +{"d:Title": "Cory Churko", "d:Description": "1998 BCCMA Fiddle Player of the Year.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Band_Members", "url": "http://www.corychurko.com/"} +{"d:Title": "Dan Schafer The Bender Guy", "d:Description": "Worked with Shania for TV appearances during the time of The Woman In Me album. Pictures of that time plus other details.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Band_Members", "url": "http://www.danschafer.com/"} +{"d:Title": "Shania World", "d:Description": "Fan site offers a photo gallery, lyrics, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://shania.50megs.com/"} +{"d:Title": "Shania Twain Shrine", "d:Description": "Includes news, biography, tour information, multimedia, photo gallery, and Windows themes.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://www.musicfanclubs.org/shania/"} +{"d:Title": "Twain In To This", "d:Description": "Pictures, information, video facts, more about her husband Mutt, discography, and information about her early years.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://members.tripod.com/~shaneya/twainin1.htm"} +{"d:Title": "Shania Twain", "d:Description": "Song lyrics, sheet music, concert tickets, fan club, magazine articles and interviews, audio and video clips, and over 500 pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://www.shaniatwain.20m.com/"} +{"d:Title": "Shania World Ranch", "d:Description": "Short picture Gallery, information.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://members.tripod.com/worldranch/welcome.htm"} +{"d:Title": "Shania", "d:Description": "Includes pictures, news, discography, biography, MIDIs, lyrics, tour dates, awards, polls, articles, and upcoming television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://shaniafan10.tripod.com/shaniatwain/"} +{"d:Title": "Shania Twain World", "d:Description": "A celebration to the country rock singer Shania Twain, with discography, lyrics, gallery, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://www.thunderbaynet.com/shaniatwainworld/"} +{"d:Title": "Tommy's Shania Twain SuperSite", "d:Description": "News, biography, pictures, quotations, lyrics, trivia, links, discography with audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Fan_Pages", "url": "http://shaniasupersite.com/"} +{"d:Title": "Melicent's Shania Twain", "d:Description": "Small, personal collection of photos.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Image_Galleries", "url": "http://www.angelfire.com/me/melicent/shania.html"} +{"d:Title": "Shania Twin", "d:Description": "Profile and song list for Donna Huber, Shania Twain impersonator.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Tribute_Acts", "url": "http://www.continentalentertainment.ca/stwin/stwin.html"} +{"d:Title": "Shania", "d:Description": "Site for the tribute show includes song clips, references, a song list, and a photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twain,_Shania/Tribute_Acts", "url": "http://www.theshaniashow.com/"} +{"d:Title": "AskMen.com: Tweet", "d:Description": "Pictures, biography, and commentary.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tweet", "url": "http://www.askmen.com/celebs/women/singer_100/136_tweet.html"} +{"d:Title": "The Twilight Singers", "d:Description": "The band's official website. Features news, tour dates, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twilight_Singers,_The", "url": "http://www.thetwilightsingers.com/"} +{"d:Title": "Summer's Kiss", "d:Description": "Articles, interviews, reviews, setlists, live dates, bootlegs, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twilight_Singers,_The", "url": "http://www.summerskiss.com/"} +{"d:Title": "Vancouver's Twist", "d:Description": "News and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twist", "url": "http://twist.indiegroup.com/"} +{"d:Title": "The Official Twisted Sister Web Site", "d:Description": "Photo gallery, band history, news, and links to fan sites.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twisted_Sister", "url": "http://www.twistedsister.com/"} +{"d:Title": "The Twisted Sister Pages", "d:Description": "List of lyrics for most released Twisted Sister songs.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twisted_Sister", "url": "http://www.acc.umu.se/~adrian/twistedsister/"} +{"d:Title": "MTV: Twisted Sister", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twisted_Sister", "url": "http://www.mtv.com/artists/twisted-sister/"} +{"d:Title": "All Music Guide: Twisted Sister", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twisted_Sister", "url": "http://www.allmusic.com/artist/twisted-sister-mn0000165663"} +{"d:Title": "Eddie Ojeda Online", "d:Description": "Official site of the guitarist. Information, pictures, and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twisted_Sister/Ojeda,_Eddie", "url": "http://eddieojeda.com/"} +{"d:Title": "Dee Snider", "d:Description": "Dedicated to the lead singer for Twisted Sister, his history with the band, and his side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twisted_Sister/Snider,_Dee", "url": "http://www.deesnider.com/"} +{"d:Title": "Dee Snider", "d:Description": "The Onion AV Club interviews the former Twisted Sister frontman about directing StrangeLand and piercing his own flesh.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twisted_Sister/Snider,_Dee", "url": "http://www.avclub.com/articles/dee-snider,13560/"} +{"d:Title": "Twiztid", "d:Description": "Official site, available in high bandwidth (Flash) and low bandwidth versions. News, tour dates, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Twiztid", "url": "http://www.twiztid.com/"} +{"d:Title": "Two Ton Shoe", "d:Description": "Includes tour dates, history, sound clips, photos, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Two_Ton_Shoe", "url": "http://twotonshoe.com/"} +{"d:Title": "Tygers of Pan Tang", "d:Description": "Brief profile, discography, and band lineups.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tygers_of_Pan_Tang", "url": "http://www.bnrmetal.com/v3/band/band/TPT"} +{"d:Title": "Bonnie Tyler", "d:Description": "Official site includes news, biography, discography, lyrics, articles and interviews, sound files, photos, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tyler,_Bonnie", "url": "http://www.bonnietyler.com/"} +{"d:Title": "All Music Guide: Bonnie Tyler", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Tyler,_Bonnie", "url": "http://www.allmusic.com/artist/bonnie-tyler-p5720"} +{"d:Title": "Type O Negative: Green Men", "d:Description": "Features quotes from Pete, interviews with Josh, and insights about each album.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Type_O_Negative", "url": "http://www.angelfire.com/mi/type38/"} +{"d:Title": "Requiem for a Souless Band", "d:Description": "Includes history, discography, pictures, sound clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Type_O_Negative", "url": "http://www.angelfire.com/ri/typeoneg/negative.html"} +{"d:Title": "Prelude to Agony", "d:Description": "Agonize over video/audio clips, pictures, lyrics, a member's site, and original reactions.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Type_O_Negative", "url": "http://www.angelfire.com/nj/Agonize/"} +{"d:Title": "The New Origin of the Feces", "d:Description": "Well-established Type O Negative site with extensive information on their albums, biographies on the band members, news, interviews, and random goodies.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Type_O_Negative", "url": "http://www.groundzero-brooklyn.com/TON/index.html"} +{"d:Title": "Alan's Type O Negative Collection", "d:Description": "Pictures and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/T/Type_O_Negative", "url": "http://lveu2death.www2.50megs.com/"} +{"d:Title": "Tribute City", "d:Description": "A complete resource for active professional tribute bands. Includes band/gig listings, fan reviews, forums, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/Tribute_Acts", "url": "http://www.tributecity.com/"} +{"d:Title": "Canadian Tribute Bands", "d:Description": "Promoting and recognizing the musicians that perform in tribute bands. Features a band of the month.", "topic": "Top/Arts/Music/Bands_and_Artists/Tribute_Acts", "url": "http://www.canadiantributebands.com/"} +{"d:Title": "Tribute Bands", "d:Description": "Listings of bands, gigs, reviews, and venues.", "topic": "Top/Arts/Music/Bands_and_Artists/Tribute_Acts", "url": "http://www.tribute-band.com/"} +{"d:Title": "Vinyl Tap", "d:Description": "British Spinal Tap tribute band. Capture the sights, the sounds and the smells of Britain's now legendary band with the spoof of the spoof. Why waste good music on a brain?", "topic": "Top/Arts/Music/Bands_and_Artists/Tribute_Acts/S/Spinal_Tap", "url": "http://vinyltap.bizhosting.com/"} +{"d:Title": "Union Youth", "d:Description": "Official site for the German band features pictures, news, live dates, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.union-youth.com/"} +{"d:Title": "Universal Constructors", "d:Description": "Official site of the UK-based trip-hop band consisting of Tom Walker, Matt Critchlow and Matt Hodgson. Includes free music and samples (MP3 format).", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.universalconstructors.com/"} +{"d:Title": "Unit:187", "d:Description": "Official site includes audio clips, news, biographies and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unit187.com/"} +{"d:Title": "Uncle Slim", "d:Description": "Nashville alternative rock band with tour schedule, fan club, Slim of the month, merchandise, and history of the Slim Hollow Rock Opera.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.uncleslim.com/"} +{"d:Title": "Ultronz, The", "d:Description": "An assortment of unique original rock, ska, reggae, and power pop music.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ultronz.com/"} +{"d:Title": "Unbelievable Jolly Machine", "d:Description": "Electric-powered three piece rock band from Minneapolis, Minnesota. Official site includes sound files, CD information, lyrics, biography, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.worldofstuff.com/ujm/"} +{"d:Title": "Utah Carol", "d:Description": "Band information, photos, soundbites, album reviews, and links can be found here. Formed in 1997 by Grant Birkenbeuel and JinJa Davis, Utah Carol has a lo-fi alternative-country and folk-tinged sound.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.utahcarol.com/"} +{"d:Title": "UFOMAMMUT", "d:Description": "Biography, music, links, lyrics, pictures and news.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ufomammut.com/"} +{"d:Title": "Unleashed", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://lyrics.rockmagic.net/lyrics/unleashed/"} +{"d:Title": "Ultra Noir", "d:Description": "Romantic and atmospheric pop group from Tampere, Finland. Includes biography, pictures, band news, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.angelfire.com/pop/ultranoir/"} +{"d:Title": "Unholy", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://lyrics.rockmagic.net/lyrics/unholy/"} +{"d:Title": "Unpronounceable", "d:Description": "Biography, tour dates, and music.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.mogensmusic.com/unpronounceable/welcome.html"} +{"d:Title": "Up In Arms", "d:Description": "Brutal metal hardcore from the depths of Central Florida, with music, merchandise, pictures, and show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.angelfire.com/band/UpInArms/Page1.html"} +{"d:Title": "U-mass", "d:Description": "Official site of the French power-pop band from Marseille.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://members.tripod.com/~u_mass/"} +{"d:Title": "UMKC Accordion Orchestra", "d:Description": "Under the direction of Joan C. Sommers is recognized as one of the finest accordion orchestras in the world.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://accordions.com/umkc"} +{"d:Title": "Up, Bustle and Out", "d:Description": "Ninja tune artists, Cuban film footage, the rebel radio diary, record releases, biography and DJ events.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.upbustleandout.co.uk/"} +{"d:Title": "U-Roy", "d:Description": "The creator of DJ music, beginning as a Jamaican sound system DJ in 1961. Fan site includes news, biography, discography and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://u-roy.20m.com/"} +{"d:Title": "Undertow", "d:Description": "Grunge rock band from Velsen, The Netherlands. Photos, biography, and downloadable Real Player songs.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.angelfire.com/or/undertow/"} +{"d:Title": "Ulver", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://lyrics.rockmagic.net/lyrics/ulver/"} +{"d:Title": "Urban Folk", "d:Description": "Comprised of brothers Matt and Beau Humphreys. Biography, tour dates, music, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.urbanfolk.ca/"} +{"d:Title": "UltraMax", "d:Description": "The Fusion of Classical and Techno Music by Max I. Fomitchev. MP3s, albums, and other electronic and computer music resources.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ultramax-music.com/"} +{"d:Title": "Underschool Element", "d:Description": "Official site. Dates, gallery, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.underschool-element.com/"} +{"d:Title": "Uncle Sid", "d:Description": "Guitar driven hard rock band from Vancouver, Canada. Information, MP3, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unclesid.com/"} +{"d:Title": "Urban Funk Ordinance", "d:Description": "Official site for the Chicago based funk band. Biography, concert dates, pictures and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.horse-drawnproductions.com/pages/urbanfunk.html"} +{"d:Title": "Unfinished Thought", "d:Description": "Official site for ethereal rock duo from Michigan. Includes history of the duo, music, lyrics, photographs and contact numbers.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unfinishedthought.com/"} +{"d:Title": "Umoya", "d:Description": "Dedicated to the reggae band and their music. Provides biography, latest news, releases, photographs, music downloads and contact.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.umoya.de/"} +{"d:Title": "Ultrasport", "d:Description": "The official homepage of the Finnish indie pop band. Includes photos, news and upcoming shows, music and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ultrasport.org/"} +{"d:Title": "Unchosen, The", "d:Description": "Official web site for the rock/metal band. Includes biography, photo gallery, upcoming shows and music information.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unchosen.co.uk/"} +{"d:Title": "Ultratones, The Fabulous", "d:Description": "Nine-piece dance band based in San Diego, California. Offers biographies, photographs, song list, references and contact numbers.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ultratones.com/"} +{"d:Title": "Ukulele Orchestra of Great Britain, The", "d:Description": "Founded in the UK in 1985. Offers a history of the group, upcoming shows and events, CD offers and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ukuleleorchestra.com/"} +{"d:Title": "Unnamed", "d:Description": "Metal band from the Southeast of England. Includes photographs of the members and short biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unnamed.i8.com/"} +{"d:Title": "Underclassmen", "d:Description": "Independent hip-hop group. Includes the latest band news, biography, discography, photo gallery, press articles and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.underclassmen.ch/"} +{"d:Title": "Unadulterated", "d:Description": "Official site for the alternative rock band from Cincinnati. Includes photographs and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://unadulterated.tripod.com/"} +{"d:Title": "Unshine", "d:Description": "Official site for the Finnish rock group. Offers history of the band, photographs, upcoming shows and events, lyrics, music and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unshine.com/"} +{"d:Title": "Unkle Bob", "d:Description": "Four-piece group from Glasgow. Includes biography, photographs, band news, music downloads and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unklebob.com/"} +{"d:Title": "Uplift Mofos", "d:Description": "Offers a synergization of several styles including emo, ska, punk, alternative, metal and garage rock. Includes news, photo gallery, lyrics, upcoming events and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://upliftmofos.tripod.com/upliftmofos.html"} +{"d:Title": "U.N.P.O.C.", "d:Description": "Official homepage signed to Domino Records.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unpoc.com/"} +{"d:Title": "Uberkarnies", "d:Description": "A britrock explosion group that also provide a site for bored websurfers.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.angelfire.com/tn2/uberkarnies/"} +{"d:Title": "Unease", "d:Description": "Alternative rock duo from Stockholm, Sweden. Information, songs, review, news and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unease.se/"} +{"d:Title": "Undermine", "d:Description": "Tour information, biographies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://undermine.8k.com/"} +{"d:Title": "Uncle Seth", "d:Description": "Independent original band from Toronto, Canada includes information on band members, upcoming shows, sound samples and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.musicface.com/uncleseth/"} +{"d:Title": "Urban, Todd", "d:Description": "Offers information on up-coming performances and recordings by this pianist/bassist. Contact and lesson information is also available. Teaches multiple instruments. Charleston, SC.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://toddurban.com/"} +{"d:Title": "Unicorns, The", "d:Description": "Fan site for the trio from Montreal, Canada. Includes news, audio, lyrics, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.cs.mcgill.ca/~abatko/unicorns/"} +{"d:Title": "Underground Zero", "d:Description": "Official site for the UK rock band. Features history of the band, discography, photo gallery, members page and demo downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.undergroundzero.co.uk/"} +{"d:Title": "Ursula 1000", "d:Description": "News items, discography, upcoming appearances, and links to reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ursula1000.com/"} +{"d:Title": "Urban, Robert", "d:Description": "Promotional information, photos, sound clips, CD purchasing, contact information, poetry, band, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.roberturban.com/"} +{"d:Title": "UltraViolet Uforia", "d:Description": "Official band homepage. Offers news, contact information, biographies, downloads, lyrics and history.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.ultravioletuforia.com/"} +{"d:Title": "UnchainD", "d:Description": "Florida rock band. Biography, news, pictures, audio, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://unchaindvin.tripod.com/"} +{"d:Title": "Uncle Spooge", "d:Description": "Really offensive old school hardcore punk rock band with politically incor-\"erect\" views.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://deadbe.tripod.com/UncleSpooge.htm"} +{"d:Title": "UV Pop", "d:Description": "Devoted to the group and their music. Offers discography, articles and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.uvpop.com/"} +{"d:Title": "Unicorn", "d:Description": "This site is dedicated to the 70's country rock band Unicorn. Biography, photos, articles, lyrics, and album covers.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.unicornmusic.net/"} +{"d:Title": "Urban Dread", "d:Description": "Reggae music band who's sound is derived from a blend of reggae, funk, rock and hip-hop. Show dates and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.urbandread.com/"} +{"d:Title": "Urban Phunk Society", "d:Description": "Remixes, soundtracks, chill out and groove music. Links and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.urban-phunk.com/"} +{"d:Title": "Ultramarine", "d:Description": "Audio clips, biography, discography, and album reviews. At MTV.com.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.mtv.com/artists/ultramarine/"} +{"d:Title": "Uncle Bonsai", "d:Description": "From MTV. Audio clips, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.mtv.com/artists/uncle-bonsai/"} +{"d:Title": "Urth", "d:Description": "Come hear this band mix saxophone, keyboards, guitar, bass, and drums with soulful, driving vocals.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.urth.ws/"} +{"d:Title": "Uz Jsme Doma", "d:Description": "Official site of the Czech prog rock band. Includes news, tour dates, MP3 audio, pictures, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U", "url": "http://www.uzjsmedoma.cz/"} +{"d:Title": "MTV: UK", "d:Description": "Audio clips, biography, discography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U.K.", "url": "http://www.mtv.com/artists/uk-6/"} +{"d:Title": "MTV: U.S. Bombs", "d:Description": "Videos, audio clips, biography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U.S._Bombs", "url": "http://www.mtv.com/artists/us-bombs/"} +{"d:Title": "U2", "d:Description": "Official site of the rock group from Dublin, Ireland. Band news and events. Also offers: streaming audio and video clips, archived articles, band history timeline, song lyrics, interviews, picture gallery, shopping area, and fan forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2", "url": "http://www.u2.com/"} +{"d:Title": "U2 News: Topix", "d:Description": "News about U2 continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2", "url": "http://www.topix.com/who/u2"} +{"d:Title": "Topix: U2", "d:Description": "News about U2, collected from various sources on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2", "url": "http://www.topix.com/rss/who/u2.xml"} +{"d:Title": "U2tour", "d:Description": "This mailing list is for discussion related specifically to U2's tours, including information or questions about past shows they have performed, set lists, reviews, and most importantly information their current tour.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://groups.yahoo.com/group/u2tour"} +{"d:Title": "U2 Wire", "d:Description": "Large U2 mailing list with around 4000+ subscribers. A source of information and discussion.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://www.u2wire.com/"} +{"d:Title": "U2-list", "d:Description": "Mailing list by U2 fans for U2 fans.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://groups.yahoo.com/group/u2-list"} +{"d:Title": "Yahoo Groups: U2 FanClub", "d:Description": "Discussion group for fans of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://groups.yahoo.com/group/u2fanclub/"} +{"d:Title": "U2-Talk Discussion Group", "d:Description": "This is the U2-talk mailing list, a general discussion list where fans around the world are welcome to discuss U2. The U2-talk mailing list is a service of the U2tours.com web site.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://groups.yahoo.com/group/u2-talk/"} +{"d:Title": "U2 Pride", "d:Description": "A mailing list and forum for queer (lesbian, gay, bi, trans*) and queer-friendly U2 fans.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/u2-pride/"} +{"d:Title": "Trip Through The Wires", "d:Description": "U2 discussion forum.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://musicunlimited.proboards.com/"} +{"d:Title": "The U2 Discussion Board", "d:Description": "Discussions, polls, and competitions.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://u2.proboards.com/"} +{"d:Title": "Interference", "d:Description": "Lyrics archive, chat, announcements, and forum still available. Email access available. This site is under major reconstruction.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Chats_and_Forums", "url": "http://www.u2interference.com/forums/"} +{"d:Title": "U2 MP3 Heaven", "d:Description": "An extensive listing of rare tracks for immediate downloading.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Downloads", "url": "http://www.angelfire.com/id/u2mp3heaven/"} +{"d:Title": "Poptart.de", "d:Description": "Several rare U2 MP3-songs, plus lyrics", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Downloads", "url": "http://www.poptart.de/"} +{"d:Title": "U2 World", "d:Description": "Offers multimedia including MP3s, RealAudio, videos, pictures and guitar tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Downloads", "url": "http://www.angelfire.com/me/u2man/index.html"} +{"d:Title": "U2 - Concerts Vault", "d:Description": "A collection of U2 concerts in MP3 and Realaudio formats.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Downloads", "url": "http://pages.infinit.net/steverod/u2/"} +{"d:Title": "Negativland Samples", "d:Description": "Includes a copy of the 1991 recording \"U2/Negativland\" that got the latter band in a heap of legal trouble with both bands' labels. (Warning: contains very strong language and Casey Kasem.)", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Downloads", "url": "http://www.negativland.com/shop/index.php?main_page=product_info&cPath=1&products_id=64"} +{"d:Title": "U2: BONO", "d:Description": "Information about Bono, lead singer of the band U2. Includes news, pictures, links, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://u2_inspire.tripod.com/"} +{"d:Title": "@U2", "d:Description": "Dedicated to preserving and celebrating the music of Irish rock band U2 in words and pictures, and to providing a source for U2 fans around the world to do likewise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.atu2.com/"} +{"d:Title": "Have Another Grape", "d:Description": "Devoted to our man Edge, U2 humor, and history the way we see it.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://have_another_grape.tripod.com/haveanothergrape.html"} +{"d:Title": "The U2 Experience", "d:Description": "Videos, midi, pictures, downloads, MP3s, band information, videography, news, new album and tour information, forum, links, and greeting cards.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.angelfire.com/wi/theu2experience/"} +{"d:Title": "U2 at Macphisto.net", "d:Description": "Includes news, link directory, biography, discography, videography, lyrics archive, cover bands, books, interviews, setlist archive, macphisto phonecalls, exclusive pictures, tickets, bootleg cover, and German weblog.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.macphisto.net/"} +{"d:Title": "Mlk's U2 page", "d:Description": "A fan site with tour schedules, links, photos, band quotes, band history and biographies, song lyrics, album covers, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://classifieds.kingsoft-denmark.com/"} +{"d:Title": "U2 Station", "d:Description": "Features sounds, discography, guitar tablatures, images, chat, articles and interactive midi.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.u2station.com/"} +{"d:Title": "U2 Wanderer", "d:Description": "Features discography of U2 official releases, fan artwork, lyrics, pictures of releases, and multimedia downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.u2wanderer.org/"} +{"d:Title": "U2 ZooTV Station", "d:Description": "Lyrics, a brief history, and the latest U2 news.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.angelfire.com/id/u2/"} +{"d:Title": "Unforgettable Fire", "d:Description": "A site about U2. Site offers U2 cd trading, u2 videos, old and new news, and lots of pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.angelfire.com/ut2/spanisheyes/index.html"} +{"d:Title": "Matt's U2 Page", "d:Description": "Images, video clips, chat, forum, tour dates, lyrics, guitar tablatures, sound clips, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.mattsmusicpage.com/nu2.htm"} +{"d:Title": "The U2 Rendezvous", "d:Description": "Provides news on the four Irish rockers, with pictures, biographies, message board and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://theu2rendezvous00.tripod.com/"} +{"d:Title": "Lypton Village", "d:Description": "Forum, weblog, and tour photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://lyptonvillage.blogspot.com/"} +{"d:Title": "U2Exit.com", "d:Description": "A U2 fansite offering the news, audio, and video from the biggest band in the world.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.u2exit.com/"} +{"d:Title": "U2 Rocks!!", "d:Description": "Includes quotes, lyrics, pics, facts, and a bio. Completely and totally devoted to U2.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.angelfire.com/band2/u2megbael/"} +{"d:Title": "Three Chords And The Truth", "d:Description": "Irish based fan site that features pictures, quotes, biographies, album information, quizzes, polls, mp3 downloads, links, and news updates.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://www.threechordsandthetruth.net/"} +{"d:Title": "Get Elevated", "d:Description": "Discography, links, multimedia, news, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://get_elevated.tripod.com/"} +{"d:Title": "U2 Elevation", "d:Description": "Provides current tour news, pictures, links to Elevation tour 2001 reviews, information about the coverband Ultraviolet.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Fan_Pages", "url": "http://members.tripod.com/elevateyourmind/"} +{"d:Title": "Musicfoto: U2", "d:Description": "Seven concert photos of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Image_Galleries", "url": "http://www.musicfoto.com/bands/artist.php?artist_id=338&artist_letter=U"} +{"d:Title": "U2 Elevation Tour Photos", "d:Description": "Large gallery of U2 live performance photos from various contributors.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Image_Galleries", "url": "http://www.elevation-tour.com/gallery/"} +{"d:Title": "The Joshua Lyrics", "d:Description": "Lyrics indexed by album. Also includes a history of live appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Lyrics", "url": "http://web.tiscali.it/joshualyrics/"} +{"d:Title": "Oldielyrics: U2", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Lyrics", "url": "http://www.oldielyrics.com/u/u2.html"} +{"d:Title": "U2MoL - The Meaning of U2 Lyrics", "d:Description": "Fan-contributed interpretations of U2 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Lyrics", "url": "http://www.muorji.se/U2MoL/"} +{"d:Title": "LyricsFreak: U2", "d:Description": "Lyrics listed alphabetically by title.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Lyrics", "url": "http://www.lyricsfreak.com/u/u2/"} +{"d:Title": "Music Olympus: U2", "d:Description": "Biography, pictures, lyrics, tablature, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.musicolympus.com/u2/"} +{"d:Title": "Cosmopolis: U2", "d:Description": "Biography, discography, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.cosmopolis.ch/english/cosmo14/u2.htm"} +{"d:Title": "Rockdirt.com: U2", "d:Description": "News headlines and links for the band.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://rockdirt.com/modules.php?name=Search&query=U2"} +{"d:Title": "U2: Musicfolio Album Reviews", "d:Description": "Discography, album reviews and ratings, with links to similar 80s alternative music artists.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://musicfolio.com/modernrock/u2.html"} +{"d:Title": "U2 Setlist Archive", "d:Description": "Collection of setlists with U2's live concerts and media appearances sorted by date.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.u2setlists.com/"} +{"d:Title": "U2tours.com", "d:Description": "Resource for tour-related news and information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.u2tours.com/"} +{"d:Title": "U2 Elevation Tour", "d:Description": "Provides details on the recent tour with setlists, articles, fan reviews, and pictures. Tour database with all shows since the early days.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.elevation-tour.com/"} +{"d:Title": "cMusicWeb.com: U2", "d:Description": "Biography, discography, news, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://cmusicweb.com/modernrock/u2/index.shtml"} +{"d:Title": "RollingStone.com: U2", "d:Description": "Includes biography, discography, pictures, articles, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.rollingstone.com/music/artists/u2"} +{"d:Title": "AskMen.com : Bono", "d:Description": "Pictures, biography, and information on the U2 singer", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.askmen.com/celebs/men/entertainment/31_bono.html"} +{"d:Title": "Rock and Roll Hall of Fame: U2", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://rockhall.com/inductees/u2/"} +{"d:Title": "Bootlegpedia: U2", "d:Description": "Complete information of U2 studio&live recordings cross-referenced with bootlegs, concert information, setlists, dates and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.bootlegpedia.com/en/artist/U2"} +{"d:Title": "MTV: U2", "d:Description": "Videos, reviews, and image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Resources", "url": "http://www.mtv.com/artists/u2/"} +{"d:Title": "TrouserPress.com: U2", "d:Description": "Ira Robbins reviews all of U2's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews", "url": "http://www.trouserpress.com/entry.php?a=u2"} +{"d:Title": "Scott's Rock and Soul Album Reviews: U2", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews", "url": "http://www.sfloman.com/u2.html"} +{"d:Title": "Rolling Stone: Achtung Baby", "d:Description": "Elysa Gardner's review: \"U2 sets out to experiment rather than pay homage. In doing so, the band is able to draw confidently and consistently on its own native strengths.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Achtung_Baby", "url": "http://www.rollingstone.com/music/albumreviews/achtung-baby-19920109"} +{"d:Title": "All Music Guide: Achtung Baby", "d:Description": "Stephen Thomas Erlewine's review: \"Few bands as far into their career as U2 have recorded an album as adventurous or fulfilled their ambitions quite as successfully as they do on Achtung Baby, and the result is arguably their best album.\" 5 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Achtung_Baby", "url": "http://allmusic.com/album/achtung-baby-r1973542/review"} +{"d:Title": "Music-Critic.com: All That You Can't Leave Behind", "d:Description": "James Good's review: \"U2 seems to have rediscovered it's the songwriting that matters and with this new release, the writing combined with various musical influences and arrangements, makes an effort to reassure its core fan foundation they can walk the fence and be the U2 of 10 years ago as well as the U2 of this decade.\" Rating: 4/5.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/All_That_You_Can't_Leave_Behind", "url": "http://www.music-critic.com/rock/u2_allthatyoucantleavebehind.htm"} +{"d:Title": "Playlouder : All That You Can't Leave Behind", "d:Description": "John Williamson's review: \"U2 at both their best and worst: as a piece of product, it is robust and dependable, on an artistic level it is a snack rather than a meal.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/All_That_You_Can't_Leave_Behind", "url": "http://playlouder.com/dhtml/#/content/11083/all-that-you-can-t-leave-behind"} +{"d:Title": "Rolling Stone: All That You Can't Leave Behind", "d:Description": "James Hunter's review: \"U2 distill two decades of music-making into the illusion of effortlessness usually only possible from veterans. The album represents the most uninterrupted collection of strong melodies U2 have ever mounted..\" 4 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/All_That_You_Can't_Leave_Behind", "url": "http://www.rollingstone.com/music/albumreviews/all-that-you-cant-leave-behind-20001026"} +{"d:Title": "Pitchfork: All That You Can't Leave Behind", "d:Description": "Brent DiCrescenzo's review: \"In searching so hard for their souls, U2 have hacked away their flesh and skull, leaving a lobotomized approximation of glory.\" Rating: 5/10.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/All_That_You_Can't_Leave_Behind", "url": "http://pitchfork.com/reviews/albums/8329-all-that-you-cant-leave-behind/"} +{"d:Title": "All Music Guide: All That You Can't Leave Behind", "d:Description": "Stephen Thomas Erlewine's review: \"a clever and craftsmanlike record, filled with nifty twists in the arrangements, small sonic details and colors.\" 4 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/All_That_You_Can't_Leave_Behind", "url": "http://www.allmusic.com/album/all-that-you-cant-leave-behind-mw0000101186"} +{"d:Title": "New York Magazine: All That You Can't Leave Behind", "d:Description": "Robert Levine's review: \"It's a simpler songwriter's album from a band that sounds like it has lost faith in anything fancier.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/All_That_You_Can't_Leave_Behind", "url": "http://nymag.com/nymetro/arts/music/pop/reviews/4003/"} +{"d:Title": "Jam: All That You Can't Leave Behind", "d:Description": "John Sakamoto's review: \"All That You Can't Leave Behind leaves behind an impression that even the group's staunchest critics would not apply to any other U2 album: That this is the sound of a band that has lost its nerve.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/All_That_You_Can't_Leave_Behind", "url": "http://jam.canoe.com/Music/Artists/U/U2/AlbumReviews/2000/10/28/772071.html"} +{"d:Title": "Prindle Record Reviews: Boy", "d:Description": "Mark Prindle's review: \"This isn't radio-ready overproduced rock - it's rough-around-the-edges art rock in the vein of early Public Image Limited (with more emphasis on guitar) - a darned fine attempt to combine the amateurish feel of punk with the emotional moodmaking of all those silly types of music that aren't punk.\" Rating: 8/10.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Boy", "url": "http://www.markprindle.com/u2a.htm#boy"} +{"d:Title": "Awesome80s.com: Boy", "d:Description": "Jerry McCulley's review: \"Though not quite as moody or musically accomplished as October, arguably the band's first masterpiece, Boy still ranks as one of U2's best albums.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Boy", "url": "http://www.awesome80s.com/Awesome80s/Music/1981/U2-Boy.asp"} +{"d:Title": "Unsung Reviews: Boy", "d:Description": "Le Samourai's review: \"this amazing debut LP stands moody and proud like the menacing, grey Irish thunder cloud it was when it was first released in 1980.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Boy", "url": "http://www.headheritage.co.uk/unsung/reviews/index.php?review_id=385"} +{"d:Title": "All Music Guide: Boy", "d:Description": "Stephen Thomas Erlewine's review: \"all of its emotions are on the surface, delivered with optimistic, youthful self-belief, yet the unusual, distinctive guitar textures give it an unexpected tension that makes it an exhilarating debut.\" 4 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Boy", "url": "http://www.allmusic.com/album/boy-mw0000192151"} +{"d:Title": "InThe80s.com: The Joshua Tree", "d:Description": "Javier's review: \"One of the best overall albums of the 1980's...\" Rating: 8/10.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Joshua_Tree,_The", "url": "http://www.inthe80s.com/reviews/u2thejoshuatree.shtml"} +{"d:Title": "All Music Guide:The Joshua Tree", "d:Description": "Stephen Thomas Erlewine's review: \"a powerful, uncompromising record that became a hit due to its vision and its melody.\" 5 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Joshua_Tree,_The", "url": "http://www.allmusic.com/album/the-joshua-tree-mw0000196162"} +{"d:Title": "Prindle Record Reviews: October", "d:Description": "Mark Prindle's review: \"In short, not a bad album at all. But not up to the standards of early U2, if you ask me.\" Rating: 7/10.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/October", "url": "http://www.markprindle.com/u2a.htm#october"} +{"d:Title": "All Music Guide: October", "d:Description": "Stephen Thomas Erlewine's review: \"The record isn't weaker than its predecessor because it repeats the formula of Boy, it's because the band tries too hard to move forward.\" 3 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/October", "url": "http://www.allmusic.com/album/october-mw0000650915"} +{"d:Title": "All Music Guide: Pop", "d:Description": "Stephen Thomas Erlewine's review: \"an easy record to admire, but a hard one to love.\" 2.5 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Pop", "url": "http://www.allmusic.com/album/pop-r248962"} +{"d:Title": "Salon.com: Pop", "d:Description": "Charles Taylor's review: \"U2 moves like kings of the dance floor throwing down flashy moves and a challenge: Open your ears or get left in the dust.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Pop", "url": "http://www.salon.com/1997/04/13/sharps_15/"} +{"d:Title": "Jam: Pop", "d:Description": "John Sakamoto's review: \"Far from an exercise in daring self-indulgence, Pop is too often guilty of a much more serious offence: not going far enough.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Pop", "url": "http://jam.canoe.com/Music/Artists/U/U2/AlbumReviews/2004/12/09/775343.html"} +{"d:Title": "All Music Guide: Rattle and Hum", "d:Description": "Stephen Thomas Erlewine's review: \"by far the least-focused record U2 ever made, and it's little wonder that they retreated for three years after its release to rethink their whole approach.\" 3 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Rattle_and_Hum", "url": "http://www.allmusic.com/album/rattle-and-hum-r51739"} +{"d:Title": "All Music Guide: Under a Blood Red Sky", "d:Description": "Stephen Thomas Erlewine's review: \"these live versions, while less textured, are considerably tougher than their studio counterparts and illustrate quite effectively why U2 were considered one of the best, most exhilarating live bands of the '80s.\" 3 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Under_A_Blood_Red_Sky", "url": "http://www.allmusic.com/album/under-a-blood-red-sky-r20761"} +{"d:Title": "All Music Guide:The Unforgettable Fire", "d:Description": "Stephen Thomas Erlewine's review: \"the band both flourishes and flounders, creating some of their greatest music, as well as some of their worst.\" 4 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Unforgettable_Fire,_The", "url": "http://www.allmusic.com/album/the-unforgettable-fire-r20744"} +{"d:Title": "Prindle Record Reviews: War", "d:Description": "Mark Prindle's review: \"a really good early-'80s album that finally let the world in on the fact that U2 were a force to be reckoned with.\" Rating: 8/10.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/War", "url": "http://www.markprindle.com/u2a.htm#war"} +{"d:Title": "All Music Guide: War", "d:Description": "Stephen Thomas Erlewine's review: \"U2 always aimed at greatness, but War was the first time they achieved it.\" 5 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/War", "url": "http://www.allmusic.com/album/war-r168664"} +{"d:Title": "All Music Guide: Wide Awake in America", "d:Description": "Stephen Thomas Erlewine's review: \"the record may be aimed at the hardcore collector, but most U2 fans will find it necessary..\" 2 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Wide_Awake_In_America", "url": "http://www.allmusic.com/album/wide-awake-in-america-r20765"} +{"d:Title": "All Music Guide: Zooropa", "d:Description": "Stephen Thomas Erlewine's review: \"the best moments of Zooropa rank among U2's most inspired and rewarding music.\" 4 out of 5 stars.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Reviews/Zooropa", "url": "http://www.allmusic.com/album/zooropa-mw0000098950"} +{"d:Title": "U2 guitar tabs @ XGuitar.com", "d:Description": "Tablatures indexed by albums and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tablature", "url": "http://www.xguitar.com/guitar-tabs/u2/"} +{"d:Title": "Ultimate-Guitar.Com: U2", "d:Description": "Over 240 guitar tabs of U2 with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tablature", "url": "http://www.ultimate-guitar.com/tabs/u2_tabs.htm"} +{"d:Title": "U2 Tabs", "d:Description": "An alphabetical listing of U2 guitar tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tablature", "url": "http://www.guitaretab.com/u/u2/"} +{"d:Title": "Songsterr: U2", "d:Description": "Bass tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tablature", "url": "http://www.songsterr.com/a/wsa/u2-tabs-a35?inst=bass"} +{"d:Title": "Flare's U2 Collection", "d:Description": "A discography and chronology of collected U2 releases featuring cover art, chart positions and rare MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Trading", "url": "http://www.users.globalnet.co.uk/~flare/index.html"} +{"d:Title": "The Big Idea", "d:Description": "U2 bootleg trading site from the Netherlands. Trades audio and video (including NTSC format video). Collection spans from Joshua Tree to present.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Trading", "url": "http://pascalpijcke.tripod.com/thebigidea/id4.html"} +{"d:Title": "SHN Trading", "d:Description": "Site that offers U2 SHN bootlegs for trading. Additional source information for each show are included.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Trading", "url": "http://www.shntrading.com/"} +{"d:Title": "All U2", "d:Description": "U2 fansite with bootleg trading, pictures, mpeg video downloads, wallpaper, and guitar tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Trading", "url": "http://www.angelfire.com/ca3/allu2/"} +{"d:Title": "U2 VCD Guide", "d:Description": "Guide for U2 vcd trading. Includes setlists, reviews, forum and covers.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Trading", "url": "http://watchmorevcds.free.fr/"} +{"d:Title": "The U2 Tribute", "d:Description": "Based in the Netherlands. Show dates, bookings, and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.u2tribute.nl/"} +{"d:Title": "Elevation", "d:Description": "Band from Toronto, Ontario, Canada. Show dates, sound clips, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.u2tributeband.com/"} +{"d:Title": "ZOOSTATION", "d:Description": "Based in San Francisco, CA. Includes sites, sounds and schedule of gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.zoostation-online.com/"} +{"d:Title": "Unforgettable Fire", "d:Description": "Based in New York City. Repertoire, show dates, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.uf2.com/"} +{"d:Title": "Wide Awake in America", "d:Description": "Based in Connecticut. Show dates, setlist, photos and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.rcivistalite.com/wideawake.htm"} +{"d:Title": "The Joshua Tree", "d:Description": "Massachusetts band. Show dates, biography and setlist.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.joshuatreeband.com/"} +{"d:Title": "U2 Zoo", "d:Description": "Milwaukee, Wisconsin band. Show dates, song list, audio and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.u2zoo.com/"} +{"d:Title": "Station", "d:Description": "Hungarian band. News, show dates, song list and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://station.pcdome.hu/"} +{"d:Title": "ZOO2", "d:Description": "Band based in San Diego, California. Includes shows, audio, biography and songlist.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.zoo-2.com/"} +{"d:Title": "Under A Blood Red Sky", "d:Description": "Based in Denver, Colorado. Concert dates, video and audio clips, photos, member profiles, and fan registration.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.uabrs.com/"} +{"d:Title": "The Joshua Tree", "d:Description": "Based in Southern California. Bios, music, and show date information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.u2jt.com/"} +{"d:Title": "Rattle and Hum - Kansas City", "d:Description": "Based in Kansas City, MO. Show dates, video and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.rattleandhumkc.com/"} +{"d:Title": "Hollywood U2", "d:Description": "Based in California. Tour dates, bios, media, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.hollywoodu2.com/"} +{"d:Title": "2U", "d:Description": "Based in the New York area. Show dates, audio/video clips, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.notu2.com/"} +{"d:Title": "Desire", "d:Description": "Band from Hamilton, Ontario, Canada. Member profiles, setlist, audio clips, photos and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.u2tributedesire.com/"} +{"d:Title": "U2 Desire", "d:Description": "Band from Czech Republic. Show dates, reviews, song list, audio, video, mailing list and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://u2desire.com/"} +{"d:Title": "Us4/U2", "d:Description": "UK based band. Contains contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U2/Tribute_Bands", "url": "http://www.us4u2.co.uk/"} +{"d:Title": "U96 Discography", "d:Description": "Dedicated to the music of the group. Includes albums, singles, compilations, lyrics, tracks and feedback.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U96", "url": "http://u96.hauner.cz/"} +{"d:Title": "U96 Fan Page", "d:Description": "Dedicated to the music of the group. Offers latest news, history of the group, discography, lyrics and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/U/U96", "url": "http://u96fan.planetaclix.pt/"} +{"d:Title": "UB40 Jams", "d:Description": "The UB40 message board.", "topic": "Top/Arts/Music/Bands_and_Artists/U/UB40", "url": "http://members2.boardhost.com/ubjams"} +{"d:Title": "2UB", "d:Description": "A fan page from the Netherlands. Band information, news, tour dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/U/UB40", "url": "http://home.iae.nl/users/jjvdl/ub40.html"} +{"d:Title": "MTV: UB40", "d:Description": "News, links, reviews, biography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/U/UB40", "url": "http://www.mtv.com/artists/ub40/"} +{"d:Title": "MTV: Uberzone", "d:Description": "Audioclips, biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uberzone", "url": "http://www.mtv.com/artists/berzone-1/"} +{"d:Title": "Metacritic: Faith In The Future", "d:Description": "Multiple reviews for Uberzone's \"Faith In The Future\" by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uberzone", "url": "http://www.metacritic.com/music/faith-in-the-future/uberzone"} +{"d:Title": "UFO and Michael Schenker", "d:Description": "A fan page with band news, tour dates, album information, photos, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/UFO", "url": "http://www.csv.warwick.ac.uk/~masai/ufo/index.html"} +{"d:Title": "MTV Artists: Ugly Kid Joe", "d:Description": "Audio clips, biography, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ugly_Kid_Joe", "url": "http://www.mtv.com/artists/ugly-kid-joe/"} +{"d:Title": "The Ukrainians", "d:Description": "Official site. Includes news, sound clips, discography, history, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ukrainians,_The", "url": "http://www.the-ukrainians.com/"} +{"d:Title": "BBC Radio 3: Len Liggins", "d:Description": "Interview with the vocalist and skipra (fiddle) player. Includes a sound clip of The Ukrainians.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ukrainians,_The", "url": "http://www.bbc.co.uk/radio3/world/onyourstreet/mslen1.shtml"} +{"d:Title": "Ultimate Fakebooks Ultimate Fanpage", "d:Description": "Includes lyrics, songs, links, photos, and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultimate_Fakebook", "url": "http://www.angelfire.com/indie/UltimateFakebook/"} +{"d:Title": "MTV: Ultimate Fakebook", "d:Description": "Biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultimate_Fakebook", "url": "http://www.mtv.com/artists/ultimate-fakebook/"} +{"d:Title": "MTV: Ultramagnetic MC's", "d:Description": "News, links, album reviews, biography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultramagnetic_MC's", "url": "http://www.mtv.com/artists/ultramagnetic-mcs/"} +{"d:Title": "MTV: Ultrasound", "d:Description": "Biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultrasound", "url": "http://www.mtv.com/artists/ultrasound/"} +{"d:Title": "Ultravox", "d:Description": "Official site for the group. Offers band information and news, forum, chat, lyrics, audio/video clips, discography, gigography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultravox", "url": "http://www.ultravox.org.uk/"} +{"d:Title": "Ultravox - Lyrics", "d:Description": "Words to songs listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultravox", "url": "http://www.davemcnally.com/Lyrics/Ultravox/"} +{"d:Title": "MTV: Ultravox", "d:Description": "Audio clips, links, biography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultravox", "url": "http://www.mtv.com/artists/ultravox/"} +{"d:Title": "MTV: Ultra Bide", "d:Description": "Audio clips, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultra_Bide", "url": "http://www.mtv.com/artists/ultra-bide/"} +{"d:Title": "Eyesore: Ultra Vivid Scene", "d:Description": "Discography illustrated with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultra_Vivid_Scene", "url": "http://quimby.gnus.org/html/group/ultravividscene.html"} +{"d:Title": "4AD: Ultra Vivid Scene", "d:Description": "Profile, discography, images, and music video from his record label.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultra_Vivid_Scene", "url": "http://www.4ad.com/artists/ultravividscene/"} +{"d:Title": "MTV: Ultra Vivid Scene", "d:Description": "Audio clips, biography, album reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ultra_Vivid_Scene", "url": "http://www.mtv.com/artists/ultra-vivid-scene/"} +{"d:Title": "Daylight", "d:Description": "Home of the Unbelievable Truth fanclub. With biography, fan club information, tour dates, discography, lyrics, and mailing list. English and French content.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth", "url": "http://unbelievable.truth.free.fr/"} +{"d:Title": "Stone", "d:Description": "Reviews, interviews and tour dates. No longer updated.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth", "url": "http://members.tripod.com/~De_ath/stone.html"} +{"d:Title": "Indyrock", "d:Description": "News (often updated) and reviews mostly.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth", "url": "http://www.indyrock.es/radio14.htm"} +{"d:Title": "ArtistDirect.com: Unbelievable Truth", "d:Description": "Contains a biography, tour schedule, related links and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth", "url": "http://www.artistdirect.com/artist/unbelievable-truth/664392"} +{"d:Title": "MTV: Unbelievable Truth", "d:Description": "Audio clips, news, links, reviews, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth", "url": "http://www.mtv.com/artists/unbelievable-truth/"} +{"d:Title": "UWO Gazette - Thursday, October 22, 1998", "d:Description": "Interview.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth/Articles_and_Interviews", "url": "http://www.usc.uwo.ca/gazette/1998/October/22/Arts_and_Entertainment2.htm"} +{"d:Title": "NME.com: You Wouldn't Believe It", "d:Description": "Article about group signing to Shifty Disco.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth/Articles_and_Interviews", "url": "http://www.nme.com/news/unbelievable-truth/3089"} +{"d:Title": "NME: The Truth Hurts", "d:Description": "The band call it a day after Thom Yorke's brother Andy quits to pursue other interests.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth/Articles_and_Interviews", "url": "http://www.nme.com/news/unbelievable-truth/4362"} +{"d:Title": "Yahoo Groups: Unbelievable Truth", "d:Description": "Unbelievable Truth mailing list. Website contains information about how to subscribe, archive, links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth/Chats_and_Forums", "url": "http://groups.yahoo.com/group/unbelievable-truth"} +{"d:Title": "Yahoo Groups: Unbelievable Truth", "d:Description": "Message Board and chatroom.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth/Chats_and_Forums", "url": "http://groups.yahoo.com/group/unbelievabletruth2/"} +{"d:Title": "George Graham Reviews Unbelievable Truth", "d:Description": "Review of Almost here.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth/Reviews", "url": "http://www.georgegraham.net/untruth.html"} +{"d:Title": "Arrogant Humility - Unofficial discography", "d:Description": "An attempt at listing all live tapes available.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unbelievable_Truth/Trading", "url": "http://members.tripod.com/un-truth/discoen2.htm"} +{"d:Title": "Uncle Kracker - Who's Your Uncle?", "d:Description": "Official site. Exclusive video, photos, news, tour dates, biography, and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Kracker", "url": "http://www.unclekracker.com/"} +{"d:Title": "Hip Online: Uncle Kracker", "d:Description": "Biography, interview, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Kracker", "url": "http://www.hiponline.com/2213/uncle-kracker.html"} +{"d:Title": "AllMusic: Uncle Kracker", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Kracker", "url": "http://www.allmusic.com/artist/p433815"} +{"d:Title": "MTV: Uncle Sam", "d:Description": "Audio clips, biography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Sam", "url": "http://www.mtv.com/artists/uncle-sam/"} +{"d:Title": "Postcard", "d:Description": "An e-mail based discussion of the music of Uncle Tupelo and its descendants, Son Volt, Wilco and Golden Smog.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Tupelo", "url": "http://www.postcardfromhell.com/"} +{"d:Title": "Uncle Tupelo", "d:Description": "An online resource for fans of the (now disbanded) alternative country-rock band Uncle Tupelo, of Belleville, Illinois, and for the two bands which evolved from it, Son Volt and Wilco.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Tupelo", "url": "http://www.gumbopages.com/uncle-tupelo.html"} +{"d:Title": "Artist Direct: Uncle Tupelo", "d:Description": "Biography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Tupelo", "url": "http://www.artistdirect.com/artist/uncle-tupelo/504288"} +{"d:Title": "Scott's Music Reviews: Uncle Tupelo", "d:Description": "Personal reviews and analysis of the band's albums, includes letter ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Tupelo", "url": "http://sfloman.com/uncletupelo.html"} +{"d:Title": "RollingStone.com: Uncle Tupelo", "d:Description": "Includes biography, discography, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Tupelo", "url": "http://www.rollingstone.com/music/artists/uncle-tupelo"} +{"d:Title": "MTV: Uncle Tupelo", "d:Description": "Audio clips, links, biography, and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uncle_Tupelo", "url": "http://www.mtv.com/artists/uncle-tupelo/"} +{"d:Title": "The Undertones Official Website", "d:Description": "Features news, biography, discography, media appearances, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Undertones,_The", "url": "http://www.theundertones.com/"} +{"d:Title": "MTV: Underwater", "d:Description": "Audio clips, links, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underwater", "url": "http://www.mtv.com/artists/underwater/"} +{"d:Title": "RTSR Board of Trade", "d:Description": "Longest running Underworld related website. Primarily a trading resource for rare material. Also consists of mailing list, webring and radio show.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld", "url": "http://rtsr.rowla.com/"} +{"d:Title": "The Underworld Gigography", "d:Description": "Full gig listings from 1983 to 2007.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld", "url": "http://uwlive.rowla.com/"} +{"d:Title": "Lazlo's Discography Machine", "d:Description": "Discography for Underworld from their Freur era to 1999.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld", "url": "http://www.studio-nibble.com/lazlo-bin/discogs/freur"} +{"d:Title": "MTV: Underworld", "d:Description": "Videos and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld", "url": "http://www.mtv.com/artists/underworld/"} +{"d:Title": "iJamming: The Underworld Interview", "d:Description": "In depth interview with Karl Hyde of Underworld by Tony Fletcher, subsequent to the release of A Hundred Days Off.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://www.ijamming.net/Music/Underworld1.html"} +{"d:Title": "Freaky Trigger: Two Months Off", "d:Description": "Review of the track.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://freakytrigger.co.uk/old-ft/nylpm/2002/06/underworld-two-months-off/"} +{"d:Title": "Chaos Control Digizine: Karl Hyde Interview (2007)", "d:Description": "Conducted prior to the release of \"Oblivion with Bells.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://www.chaoscontrol.com/underworld/"} +{"d:Title": "Chaos Control Digizine: Karl Hyde Interview (1994)", "d:Description": "Speaking after the addition of Darren Emmerson to the band and the release of \"dubnobasswithmyheadman.\"", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://www.chaoscontrol.com/underworld-2/"} +{"d:Title": "Pitchfork Record Review: Oblivion With Bells", "d:Description": "Album review by Mark Pytlik, October 26, 2007.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://pitchfork.com/reviews/albums/10830-oblivion-with-bells/"} +{"d:Title": "Pitchfork Record Review: Beaucoup Fish", "d:Description": "Album review by Brent DiCrescenzo.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://pitchfork.com/reviews/albums/8349-beaucoup-fish/"} +{"d:Title": "Pitchfork Record Review: King of Snake EPs", "d:Description": "EP review by Paul Cooper.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://pitchfork.com/reviews/albums/8347-king-of-snake-eps/"} +{"d:Title": "Pitchfork Record Review: Everything, Everything", "d:Description": "DVD review by Paul Cooper.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://pitchfork.com/reviews/albums/8348-everything-everything/"} +{"d:Title": "Freaky Trigger: Underworld Live", "d:Description": "A personal review, alternatively titled That Thing I Never Wrote About X-Press 2.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Articles_and_Interviews", "url": "http://freakytrigger.co.uk/ft/2002/09/underworld/"} +{"d:Title": "Underworldlive", "d:Description": "Source of non-album output from Underworld's Karl Hyde and Rick Smith. Archived interactive photographs, videos, and MP3s of live gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Downloads", "url": "http://www.underworldlive.com/"} +{"d:Title": "kwiebusch", "d:Description": "Fan blog with archives back to January 2005.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Fan_Pages", "url": "http://kwiebusch.blogspot.com/"} +{"d:Title": "rowla", "d:Description": "Underworld fan art projects.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Image_Galleries", "url": "http://www.rowla.com/"} +{"d:Title": "Tomato", "d:Description": "Online portfolio for the art and design collective co-founded by Rick Smith and Karl Hyde of Underworld. Produce television commercials, documentaries, film titles, music and sound design, publications, typography and brand identities.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Underworld/Image_Galleries", "url": "http://www.tomato.co.uk/"} +{"d:Title": "Pitchfork Review: The Unicorns", "d:Description": "Review of Who Will Cut Our Hair When We're Gone.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unicorns,_The", "url": "http://pitchfork.com/reviews/albums/8380-who-will-cut-our-hair-when-were-gone/"} +{"d:Title": "Full Flavor - Unified Theory", "d:Description": "Fan site features articles, downloads, lyrics, and song information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unified_Theory", "url": "http://fullflavor.freeservers.com/"} +{"d:Title": "Metacritic: Unified Theory", "d:Description": "Links to several reviews of the self-titled album.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unified_Theory", "url": "http://www.metacritic.com/music/unified-theory/unified-theory"} +{"d:Title": "The Uninvited", "d:Description": "Official site of the Santa Monica band with tour information, biography, schedule, message boards, MP3 files, and their online store.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uninvited,_The", "url": "http://www.uninvited.com/"} +{"d:Title": "Pam's Union Underground Page", "d:Description": "Contains band information, links, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Union_Underground,_The", "url": "http://www.angelfire.com/music3/killingthefly/"} +{"d:Title": "Amy's Union Underground", "d:Description": "Contains pictures, news, poll, discography and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Union_Underground,_The", "url": "http://www.angelfire.com/grrl/amyproulx/TheUnionUnderground.html"} +{"d:Title": "MTV: UNKLE", "d:Description": "Audio clips, reviews, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/UNKLE", "url": "http://www.mtv.com/artists/unkle-00/"} +{"d:Title": "MTV: UNKLE", "d:Description": "Videos, biography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/UNKLE", "url": "http://www.mtv.com/artists/unkle/"} +{"d:Title": "Prindle Record Reviews", "d:Description": "Unrest album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unrest", "url": "http://www.markprindle.com/unresta.htm"} +{"d:Title": "Unrest", "d:Description": "Discography from the eyesore database.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unrest", "url": "http://quimby.gnus.org/html/group/unrest.html"} +{"d:Title": "4AD: Unrest", "d:Description": "Profile and images.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unrest", "url": "http://www.4ad.com/artists/unrest/"} +{"d:Title": "Teenbeat: Unrest", "d:Description": "Profile and discography from their record label.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unrest", "url": "http://www.teenbeat.net/artists/unrest.html"} +{"d:Title": "MTV: Unrest", "d:Description": "Audio clips, news, biography, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unrest", "url": "http://www.mtv.com/artists/unrest/"} +{"d:Title": "MTV: Unwound", "d:Description": "Audio clips, news, links, biography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unwound", "url": "http://www.mtv.com/artists/unwound/"} +{"d:Title": "Metacritic: Leaves Turn Inside You", "d:Description": "Links to several reviews of the Unwound album.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unwound", "url": "http://www.metacritic.com/music/leaves-turn-inside-you/unwound"} +{"d:Title": "Unwritten Law", "d:Description": "The official site of the punk band. News, photos, tour dates, a/v clips, shopping, discography, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unwritten_Law", "url": "http://www.unwrittenlaw.com/"} +{"d:Title": "MTV: Unwritten Law", "d:Description": "Audio clips, news, links, reviews, and chat trascript.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Unwritten_Law", "url": "http://www.mtv.com/artists/unwritten-law/"} +{"d:Title": "Midgeure.com", "d:Description": "Midge Ure's official site with content from the man himself. New audio and video tracks, video diary, tour dates, plus up-to the minute news.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ure,_Midge", "url": "http://www.midgeure.com/"} +{"d:Title": "Musicfolio: Midge Ure", "d:Description": "Discography, album reviews and ratings, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ure,_Midge", "url": "http://www.musicfolio.com/modernrock/midgeure.html"} +{"d:Title": "Midge, Thy Name is God", "d:Description": "Biography, discography, lyrics, news, quotes, magazines, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ure,_Midge", "url": "http://www.angelfire.com/80s/midgere/"} +{"d:Title": "Ure, Midge", "d:Description": "Fan site dedicated to the life and music of the artist. Includes biography, photo gallery, quotes, magazine interviews and news.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ure,_Midge", "url": "http://www.angelfire.com/hero/midgeure/"} +{"d:Title": "MTV: Midge Ure", "d:Description": "Biography, discography, and audio clips from the former Ultravox vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Ure,_Midge", "url": "http://www.mtv.com/artists/midge-ure/"} +{"d:Title": "MTV: The Urge", "d:Description": "Biography, audio clips, discography, music reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Urge,_The", "url": "http://www.mtv.com/artists/the-urge/"} +{"d:Title": "Prindle Record Reviews", "d:Description": "Urge Overkill album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Urge_Overkill", "url": "http://www.markprindle.com/urgea.htm"} +{"d:Title": "MTV: Urge Overkill", "d:Description": "Biography, news, audio clips, music reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Urge_Overkill", "url": "http://www.mtv.com/artists/urge-overkill/"} +{"d:Title": "Uriah Heep", "d:Description": "The band's official website.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uriah_Heep", "url": "http://www.uriah-heep.com/"} +{"d:Title": "J\u00f8rgen Angel - Uriah Heep", "d:Description": "Photos of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uriah_Heep", "url": "http://www.angel.dk/Pages/UHovers0.html"} +{"d:Title": "Uriah Heep Suomi Finland", "d:Description": "Discographies, sound files, wallpapers and information about the band specific to Finland.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uriah_Heep", "url": "http://heepfiles.net/"} +{"d:Title": "Echoes In The Dark", "d:Description": "Uriah Heep discography including album covers and both official and unofficial releases.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uriah_Heep", "url": "http://www.echoes.dk/"} +{"d:Title": "Dedicated to Uriah Heep", "d:Description": "Contains information about the various band members.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uriah_Heep", "url": "http://home.kpn.nl/urhefan/"} +{"d:Title": "Ken Hensley Website, The", "d:Description": "Dedicated to Uriah Heep's original keyboard player Ken Hensley. Includes a biography, discography, competitions, an online store, interviews, MIDI files and other Hensley related information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uriah_Heep", "url": "http://www.ken-hensley.com/"} +{"d:Title": "MTV: Uriah Heep", "d:Description": "Audio clips, news, reviews, discography, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uriah_Heep", "url": "http://www.mtv.com/artists/uriah-heep/"} +{"d:Title": "Fanlisting", "d:Description": "Fanlistings.org approved listing for the singer with biography and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Urio,_Francisca", "url": "http://musik.geschenkkorb.com/"} +{"d:Title": "Wikipedia: Francisca Urio", "d:Description": "Personal life, music career and discography of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Urio,_Francisca", "url": "http://en.wikipedia.org/wiki/Francisca_Urio"} +{"d:Title": "RNBDirt.com: Usher", "d:Description": "News headlines for the R&B artist.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher", "url": "http://rnbdirt.com/modules.php?name=Search&query=Usher"} +{"d:Title": "Usherworld.com", "d:Description": "Official site for the artist. Includes biography, photographs, fan club information, merchandise and the latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher", "url": "http://www.usherworld.com/"} +{"d:Title": "Rock On The Net: Usher", "d:Description": "Provides a timetable, discography, and FAQs.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher", "url": "http://www.rockonthenet.com/artists-u/usher_main.htm"} +{"d:Title": "ArtistDirect.com: Usher", "d:Description": "Biography and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher", "url": "http://www.artistdirect.com/artist/usher/504522"} +{"d:Title": "All Music Guide: Usher", "d:Description": "Offers a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher", "url": "http://www.allmusic.com/artist/usher-p44890"} +{"d:Title": "AskMen.com: Usher", "d:Description": "Pictures, biography, comments, quote and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher", "url": "http://www.askmen.com/celebs/men/entertainment_150/152_usher.html"} +{"d:Title": "MTV: Usher", "d:Description": "Videos, interviews, and news features.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher", "url": "http://www.mtv.com/artists/usher/"} +{"d:Title": "Little Songs", "d:Description": "A David Usher fan site. Contains a biography, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher,_David", "url": "http://members.tripod.com/emuga/index.html"} +{"d:Title": "Curious Scribblings", "d:Description": "A fan page for David Usher with lyrics and artist information.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher,_David", "url": "http://www.angelfire.com/az/graveller/index1.html"} +{"d:Title": "Light On David Usher", "d:Description": "Provides pictures, tour dates, links to articles and reviews, discography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher,_David", "url": "http://lightondavidusher.freecyberzone.com/"} +{"d:Title": "The Playdoh Mask", "d:Description": "A tribute to one of Canada's premiere singer/songwriters, David Usher. Includes biography, lyrics, discography, images and news.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher,_David", "url": "http://members.shaw.ca/brycon/main.html"} +{"d:Title": "MTV: David Usher", "d:Description": "Biography, videos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher,_David", "url": "http://www.mtv.com/artists/david-usher/"} +{"d:Title": "Lyrics On Demand: Usher", "d:Description": "Features lyrics from albums and singles.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher/Lyrics", "url": "http://www.lyricsondemand.com/u/usherlyrics/"} +{"d:Title": "AZ Lyrics: Usher", "d:Description": "Lyrics for several albums.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Usher/Lyrics", "url": "http://www.azlyrics.com/u/usher.html"} +{"d:Title": "Hikaru Utada", "d:Description": "A fan site for the Japanese singer/songwriter. Artist information, discography, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Utada,_Hikaru", "url": "http://www.senris.com/hikki/"} +{"d:Title": "AsiaFinest: Utada Hikaru", "d:Description": "Profile.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Utada,_Hikaru", "url": "http://www.asiafinest.com/japanese/utada.htm"} +{"d:Title": "Hikki Texts", "d:Description": "Offers up-to-date English translations of messages originally written in Japanese by the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Utada,_Hikaru", "url": "http://hikki.blogspot.com/"} +{"d:Title": "Jai Uttal", "d:Description": "Official site includes news, bio, audio, reviews, discography, touring schedule, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/U/Uttal,_Jai", "url": "http://www.jaiuttal.com/"} +{"d:Title": "Funender", "d:Description": "Provides MP3 music hosting and directory.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.funender.com/"} +{"d:Title": "BandRadio", "d:Description": "A musician's resource site for all that is the music business. Includes a searchable directory.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.bandradio.com/"} +{"d:Title": "Bandit A&R Newsletter", "d:Description": "Monthly newsletter featuring companies looking for talent.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.banditnewsletter.com/"} +{"d:Title": "EvO:R", "d:Description": "Helps promote the music of its members. Bands information, reviews, and application information.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.evor.com/"} +{"d:Title": "Indie Music", "d:Description": "Many free resources for musicians including contacts, reviews, and venues.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://indie-music.com/"} +{"d:Title": "Indie News Network", "d:Description": "Webzine and newsletter that gives you information on what is happening in the world of independent music.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://indienews.8m.com/"} +{"d:Title": "Yahoo! Groups: BSharpNews", "d:Description": "Monthly music industry newsletter published by Kenny Love, focused toward independent musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://groups.yahoo.com/group/BSharpNews"} +{"d:Title": "Grass Roots Entertainment", "d:Description": "Providing reviews and resources for local and independent musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.angelfire.com/indie/lawnchair/grassroots.html"} +{"d:Title": "Pass the Mic", "d:Description": "Web showcase for Rock bands, articles, news, and classifieds.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.passthemic.com/"} +{"d:Title": "The Unsigned Guide", "d:Description": "Music directory for unsigned bands and artists in the United Kingdom. Contains information on getting a record deal, where to practice, and get CDs copied.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.theunsignedguide.com/"} +{"d:Title": "Canadian Music Artists", "d:Description": "Created to promote Canadian music artists for free, including musician resources such as production tutorials, articles on song writing, and royalty free samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.canadianmusicartists.com"} +{"d:Title": "Music Copyright Information", "d:Description": "Music copyright information and advice for song writers and composers.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.copyrightservice.co.uk/protect/p07_music_copyright"} +{"d:Title": "Jamwave", "d:Description": "Independent artists community offering websites and weblog creation to upload music, films or videos. Requires registration.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.jamwave.com"} +{"d:Title": "Indie Link Exchange, The", "d:Description": "Includes a list of music people who wish to exchange links with other music related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.bigmeteor.com/ile"} +{"d:Title": "About.com: Music Careers", "d:Description": "Lengthy article about contracts, agents and managers, and finding musicians for bands.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://musicians.about.com"} +{"d:Title": "The Music Biz Academy", "d:Description": "A musician's guide to promoting, selling and doing music business on the Internet, with promotion tips and links to other online resources.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.musicbizacademy.com"} +{"d:Title": "GigLeader.com", "d:Description": "Provides a facility to search for bands in local area. United States only.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://www.gigleader.com"} +{"d:Title": "Generator", "d:Description": "UK arts development organisation supporting musicians and other music businesses. Details of events, tours, newsletter and funders.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources", "url": "http://generator.org.uk/"} +{"d:Title": "Bono Music Musician Matching Center", "d:Description": "Free classified ads for musicians to meet others to form bands or songwriting partnerships.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Jobs,_Community_and_Notice_Boards", "url": "http://www.bonomusic.com/"} +{"d:Title": "Flash Rock", "d:Description": "Free showcase and web hosting, classifieds, musician search, and MP3 uploading.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Jobs,_Community_and_Notice_Boards", "url": "http://www.flashrock.com/"} +{"d:Title": "Just Plain Folks", "d:Description": "Community of over 10,000 musicians, songwriters, and others from all areas of the music business networking together for free.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Jobs,_Community_and_Notice_Boards", "url": "http://justplainfolks.org/"} +{"d:Title": "Local Band", "d:Description": "Portal for local band information, schedules, music samples and news.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Jobs,_Community_and_Notice_Boards", "url": "http://www.localband.net/"} +{"d:Title": "302 Music", "d:Description": "Site linking and promoting original bands and artists in Delaware, Philadelphia, and surrounding areas.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Jobs,_Community_and_Notice_Boards", "url": "http://302music.tripod.com/"} +{"d:Title": "Musician Match", "d:Description": "Free online listing for musicians seeking for a band, and vice-versa.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Jobs,_Community_and_Notice_Boards", "url": "http://www.angelfire.com/band/musicianmatch/"} +{"d:Title": "Yahoo! Groups: The Independent Underground", "d:Description": "Share your tips, experiences, and post your gigs.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Jobs,_Community_and_Notice_Boards", "url": "http://groups.yahoo.com/group/theIndependentUnderground"} +{"d:Title": "GoGirlsMusic.com", "d:Description": "A site aimed to promote women in the indie music scene.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.gogirlsmusic.com/"} +{"d:Title": "Hollywood Music", "d:Description": "Offers a facility to submit your profile, and promote your band with industry professionals.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.hollywoodmusic.tv/"} +{"d:Title": "Get Signed", "d:Description": "Tips from professionals in the music industry describing promotion methods and \"foot in the door\" techniques.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.getsigned.com/"} +{"d:Title": "HR Muzic", "d:Description": "Offers free promotion for Southern California bands, concerts, and events.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://hrpromos.tripod.com/"} +{"d:Title": "The Indiehour", "d:Description": "Promotion of indie music: information, pictures, updates, news, links, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.angelfire.com/or/indiehour/"} +{"d:Title": "TheBuzzFactor.com", "d:Description": "Music marketing and promotion tips for indie musicians, songwriters, and bands on a budget.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.bob-baker.com/buzz/"} +{"d:Title": "Thumped", "d:Description": "Information on gigging, recording and touring in Ireland.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.thumped.com/"} +{"d:Title": "The Concert Channel", "d:Description": "Site to promote musicians, comedians, writers and other artists.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://theconcertchannel.com/"} +{"d:Title": "MacIdol", "d:Description": "A site for Mac musicians to share their music.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.macidol.com/"} +{"d:Title": "Radio-Active-Music.com", "d:Description": "Promotional center for up-and-coming glam, goth, industrial, synth, techno rock artists - including artist pages, mp3s, interviews, reviews, news, album and tour dates, and fan interaction.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.radio-active-music.com/"} +{"d:Title": "Vancity Bands", "d:Description": "Showcasing unsigned Vancouver indie music", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.vancitybands.com/"} +{"d:Title": "Kings of A&R", "d:Description": "Kings of artists and repertoire (KOAR) offers daily music press releases, new CD reviews, and reviews unsigned artists.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.kingsofar.com/"} +{"d:Title": "Unsigned Band Promotion", "d:Description": "Offering resources and services to assist with online promotion for musicians, including tips and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.unsignedbandpromotion.com/"} +{"d:Title": "Full in Bloom Music", "d:Description": "Features interviews with musicians, resources to help facilitate music sale, and a music store.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.fullinbloommusic.com/"} +{"d:Title": "uEmcee", "d:Description": "Resource for independent rap and hiphop artists. Stream audio, browse artist profiles and post in the online forum.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.uemcee.com/"} +{"d:Title": "Altsounds.com", "d:Description": "MP3 streams and downloads, music samples, artist information and charts.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.altsounds.com/"} +{"d:Title": "Indie 360", "d:Description": "A music promotion project where independent Bands and Artists can gain exposure on compilation CDs. All music styles welcome.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.8882823483.com/3000records/indie360/home.htm"} +{"d:Title": "OurStage", "d:Description": "Features monthly contests organized by musical genre and judged by site visitors. Also has artist press kits and streaming playback.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.ourstage.com/"} +{"d:Title": "Unsigned", "d:Description": "Offers unsigned and independent label artists the ability to upload their songs and create personalized profile pages.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.unsigned.com/"} +{"d:Title": "MadeMusic.com", "d:Description": "Musicians can promote themselves by creating their own virtual magazine cover that will appear on the home page on a rotating basis. Members can also upload their songs and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.mademusic.com/"} +{"d:Title": "SongVault.fm", "d:Description": "Songs are ranked by listener votes. Listeners can preview songs from a variety of genres in a streaming format before deciding which songs to download. Artists can upload songs in MP3 format.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.songvault.fm/"} +{"d:Title": "Liberation Frequency", "d:Description": "Promoting unsigned artists or bands who have not got industry backing but whose talent deserves and audience. They cover all genres and are a non-profit website that anyone can submit to.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.liberationfrequency.co.uk/"} +{"d:Title": "MyMusicStream", "d:Description": "Organized by musical categories, artists can create profiles and fans can listen to songs and watch music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.mymusicstream.com/"} +{"d:Title": "NumberOneMusic", "d:Description": "Indie and unsigned artists can sign up for free hosting and other promotional tools.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://numberonemusic.com/"} +{"d:Title": "Jango Airplay", "d:Description": "Provides targeted radio airplay for indie musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://airplay.jango.com/"} +{"d:Title": "Tunecore", "d:Description": "Offers free accounts for selling songs, albums, ringtones and demos on iTunes, AmazonMP3, MySpace Music, eMusic, Napster, MediaNet.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.tunecore.com/"} +{"d:Title": "Nimbit", "d:Description": "A platform for bands to sell music, merchandise, and tickets, directly and via social media. Most features are free with the basic plan, although there are paid plans as well.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.nimbit.com/"} +{"d:Title": "MusicFast.com", "d:Description": "Free music sharing site for independent artists and unsigned bands. Offering a platform for free audio downloads, also videos, listing concerts, and selling merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://musicfast.com/"} +{"d:Title": "The Spotlight Zone", "d:Description": "JJ Rocks presents site where bands/musicians can not only post their music,videos, blogs etc, but also have the chance to have an article written about their music.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.thespotlightzone.com/"} +{"d:Title": "Unsigned Superstar", "d:Description": "Artists can sign up free, and then upload and sell music, as well as upload video and photographs. Fans can discover new talent and keep in touch with favourite artists.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.unsignedsuperstar.com/"} +{"d:Title": "Your Band", "d:Description": "A blog about and for unsigned and independent bands, with reviews, interviews and features. Artists can upload MP3 for potential fans and for review and comment.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.yourband.info/"} +{"d:Title": "Gubic", "d:Description": "The blog has reviews of unsigned and independent artists and music, as well as some tips and opinions helpful for grass roots musicians, including covers and tribute bands.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://gubic.co.uk/"} +{"d:Title": "TalentWatch", "d:Description": "Video-driven platform enables music artists to showcase their talent and tell their story in an engaging and entertaining format. Fans rate artists on talent, entertainment and likeability.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion", "url": "http://www.talentwatch.net/"} +{"d:Title": "Extreme Sports Music", "d:Description": "Offer music by independent musicians who also participate in extreme sports.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Music_Promotion/Online_Publishing", "url": "http://www.extremesportsmusic.com/"} +{"d:Title": "Bandname.com", "d:Description": "Worldwide band name registry: also a band search engine, issue press releases, and a music industry database.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Names", "url": "http://www.bandname.com/"} +{"d:Title": "Name your band", "d:Description": "Automatically generate 1000 random names for your band.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Names", "url": "http://www.nukekiller.net/cgi-bin/namer.cgi"} +{"d:Title": "Swarming Midget Band Name Archive", "d:Description": "Archive of band names broken into categories such as political, animal, diseased, religious, and food.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Names", "url": "http://www.theorchidpool.com/swarmingmidget/"} +{"d:Title": "Band Names In The Internet Age", "d:Description": "Article examining how internet search engines can affect the naming of bands.", "topic": "Top/Arts/Music/Bands_and_Artists/Unsigned_Band_Resources/Names", "url": "http://www.coolnoise.co.uk/bandnames.htm"} +{"d:Title": "Vocal Line", "d:Description": "A modern a cappella choir boasting 33 singers and a conductor, Jens Johansen.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.vocalline.dk/"} +{"d:Title": "von Goisern, Hubert", "d:Description": "Official site for Austrian musician; includes biography, discography, and lyrics. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.hubertvongoisern.com/"} +{"d:Title": "Vegetable Orchestra", "d:Description": "Performs music on instruments made of vegetables. Contains programme of concerts, history, samples and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.gemueseorchester.org/"} +{"d:Title": "Voo Voo", "d:Description": "A Polish world folk rock band. Site has band information and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.voovoo.art.pl/"} +{"d:Title": "Vani", "d:Description": "A group who performs a genre of fusion music called raga rock. Band background, sound clips, CD ordering, booking information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://ragarock.com/"} +{"d:Title": "Valente, Caterina", "d:Description": "European vocalist. Official site includes biography, discography, news, image galleries, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.caterinavalente.com/"} +{"d:Title": "Vox, Vykki", "d:Description": "Singer and songwriter from Boston, Massachusetts. Biographies, audio and video clips, pictures and event calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.vykkivox.com/"} +{"d:Title": "v-T-z", "d:Description": "A producer, composer and recording artist combining electronic music and flamenco or other elements. With background, MP3 clips, and release purchasing information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://v-t-z.tripod.com/"} +{"d:Title": "Vapors, The", "d:Description": "A Swedish fan maintains this tribute page. Complete discography, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.parengstrom.com/vapors.htm"} +{"d:Title": "Vincent, Sonny", "d:Description": "Punk singer. MP3s, biography, discography, press clips, gallery, stories, and writings.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/ny/punkrocknroll/"} +{"d:Title": "Vendors, The", "d:Description": "Punk rock from northern Wisconsin. Pictures, biography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/punk/vendors/"} +{"d:Title": "Vergueiro, Guilherme", "d:Description": "Brazilian pianist, composer and arranger. With biography, discography, audio clips and an interview.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://brazilianmusic.com/vergueiro/"} +{"d:Title": "Voices of Africa, The", "d:Description": "All female African American a cappella and traditional West African percussion ensemble.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.voicesofafrica.net/"} +{"d:Title": "Vougas, Stratos", "d:Description": "Website of jazz saxophonist Vougas includes biographical information, discography, pictures, transcriptions, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.stratosvougas.com/"} +{"d:Title": "Valentine, Hilton", "d:Description": "Official website of the guitarist from the British Invasion band, The Animals. Features news, photos, biography, discography, audio clips, and equipment page.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.hiltonvalentine.com/"} +{"d:Title": "Vaine, Gregory", "d:Description": "Sound samples, a synopsis, the libretto, pictures and ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.mcilvanity.com/"} +{"d:Title": "Vibracore", "d:Description": "A UK underground, unsigned rock band. Site features band information, reviews, gig list, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.vibracore.free-online.co.uk/"} +{"d:Title": "Voodoo Armpit", "d:Description": "Welsh rock band. Official site includes bios, sound files, gig dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.voodooarmpit.co.uk/"} +{"d:Title": "Vinnie and the Stardusters", "d:Description": "A parody band.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://tt.net/ultramodern/vinnie/"} +{"d:Title": "Van Detta, Bobbie", "d:Description": "Singer/songwriter, guitarist and bassist involved in performance and studio work in a range of styles, including extensive commercial/jingle experience. Discography, sound file, and calendar.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.bobbievandetta.com/"} +{"d:Title": "Vortis", "d:Description": "Chicago-area rock band. Includes member profiles, pictures, show dates and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/indie/vortis/"} +{"d:Title": "Vital", "d:Description": "Modern metal with a West Virginia flavor. Features MP3 files, pictures, and band history.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://vital-wv.tripod.com/"} +{"d:Title": "Velia", "d:Description": "Music that grooves with an edge. Features RealAudio, appearance dates, photos, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.velia-music.com/"} +{"d:Title": "Venja", "d:Description": "Biography, discography, pictures, reviews, MP3s, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.venja.com/"} +{"d:Title": "Vacancy", "d:Description": "Official site contains upcoming concert dates and band profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/ut/vacancy/"} +{"d:Title": "Vast Existence", "d:Description": "Hard core band from Michigan. Member profiles, history, upcoming show dates, news, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/mi2/vastexistence/index.html"} +{"d:Title": "Velvet", "d:Description": "Hardcore/indie/emo band from Riverdale Park, MD. Biography, news, tour dates, pictures, sound files, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.enjoyvelvet.com/"} +{"d:Title": "Voivod", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://lyrics.rockmagic.net/lyrics/voivod/"} +{"d:Title": "Vader", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://lyrics.rockmagic.net/lyrics/vader/"} +{"d:Title": "Vladeck, Andrew", "d:Description": "Artist from New York City, New York. Biography, pictures, news, reviews, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://andrewvladeck.com/"} +{"d:Title": "Vampire Lezbos", "d:Description": "Punk rock band. History, discography, and upcoming show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/va2/vampirelezbos/"} +{"d:Title": "Vermillion Skye", "d:Description": "Official site of the Canadian progressive rock band includes biography, newsletter, sound files, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.vermillionskye.com/"} +{"d:Title": "Velez, Glen", "d:Description": "Biography, discography, and news about this modern percussion master.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.glenvelez.com/"} +{"d:Title": "Voodoo Moose", "d:Description": "Includes upcoming shows, news, pictures, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/mo/VoodooMoose/"} +{"d:Title": "Vapids, The", "d:Description": "Punk band from Burlington, Canada. Includes discography, photos, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/punk/thevapids/"} +{"d:Title": "Velvet Butterfly", "d:Description": "Official site includes history, news, gig dates, discography, sound files, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.crystal.com.au/~dancar/"} +{"d:Title": "Voodoo Machine", "d:Description": "Official site for the Louisville, Kentucky band includes pictures and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://voodoomachine.tripod.com/"} +{"d:Title": "VooDoo Chili", "d:Description": "New Hampshire band playing several styles of music. Biographies, pictures and event schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://mothersuckerfunk.8m.com/"} +{"d:Title": "Venus", "d:Description": "Fan site for the Belgian rock band. Biography, concert history, pictures, and press articles.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://mapage.noos.fr/outofbreath/"} +{"d:Title": "Vanessa", "d:Description": "Song samples and information about the Canadian singer.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/music/vanessaw/"} +{"d:Title": "velocitymirror", "d:Description": "Rochester, N.Y. band with schedule, photos and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://angelfire.com/pop/velocitymirror"} +{"d:Title": "Vandermark, Ken", "d:Description": "Complete discography of the Chicago-based composer and performer of jazz and improvised music.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://tisue.net/vandermark"} +{"d:Title": "Vikre, Caron", "d:Description": "Singer/songwriter from California. Profile, pictures, interview, and lyrics. [May not work in all browsers.]", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.caronvikre.com/"} +{"d:Title": "Vortex", "d:Description": "Heavy metal band from Nebraska. Personal history, lyrics, MP3s, the band history, photos, links, and press clippings.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/band/vortextwist/"} +{"d:Title": "Voodoo Rising", "d:Description": "High energy rock band. Links and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/band/voodoorising/"} +{"d:Title": "Velvet Rut", "d:Description": "Colorado Springs, Colorado jazz/rock band. MP3s and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.velvetrut.com/"} +{"d:Title": "Vega, Patrick", "d:Description": "Austrian guitarist. Biography, discography, MP3s, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/music2/vega/"} +{"d:Title": "Vi3", "d:Description": "Chicago, Illinois pop trio. Photos, lyrics, art work, biography, and upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/music3/faze4ever/"} +{"d:Title": "Varca, Phil", "d:Description": "Rockin' blues guitarist. Biography, pictures, reviews, links, merchandise, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.philvarcaandtheslamjammers.com/"} +{"d:Title": "Void", "d:Description": "Biography, news, lyrics, midi, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://into_thevoid1.tripod.com/"} +{"d:Title": "Veillon, Jean Michel", "d:Description": "UK wooden flute player. Biography, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://jmveillon.stalig.com/"} +{"d:Title": "Volpe, Chris", "d:Description": "New York City singer/songwriter. Biography, discography, MP3s and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.chrisvolpe.com/"} +{"d:Title": "Vertical Vision", "d:Description": "Moncton, New Brunswick, Canada Christian alternative rock band. Biography, news, pictures, sounds, links, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.v2.faithweb.com/"} +{"d:Title": "Vestrymen, The", "d:Description": "Western Massachusetts jangle-pop band. MP3s and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.davicci.com/vestry/"} +{"d:Title": "VSP -Orkestra", "d:Description": "A mini big band featuring eleven to thirteen musicians playing odd instruments, together creating a unique jazzy sound. Discography and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.vsp-orkestra.com/"} +{"d:Title": "Valentino, Vinny", "d:Description": "Jazz guitarist born in Virginia. Gig schedule, biography, album information, RealAudio files, equipment list, and CD ordering information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.vinny.com/"} +{"d:Title": "Villafruela, Miguel", "d:Description": "Chilean concert saxphonist. Biography, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.angelfire.com/music3/saxophone/"} +{"d:Title": "Vic Twenty", "d:Description": "UK synthesizer band. News, audio clips, lyrics, show dates, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.victwenty.free-online.co.uk/index.htm"} +{"d:Title": "Vers, The", "d:Description": "Wisconsin rock band. History, stories, photos, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.z9design.com/vers/intro.html"} +{"d:Title": "Vandenberg, Adrian", "d:Description": "Fan site dedicated to the guitarplayer for Whitesnake, Vandenberg and Manic Eden.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.euronet.nl/~wat"} +{"d:Title": "Viconte, Kriss", "d:Description": "Troubadour one-man band based in Finland offers gig dates and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.krissviconte.com/"} +{"d:Title": "Vizer, Honey", "d:Description": "Independent singer/instrumentalist from Oregon. Biography, MP3s, recordings and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.honeyvizer.com/"} +{"d:Title": "Verdicts, The", "d:Description": "Official site of the three-piece punk group from Long Island, New York. Pictures, MP3s, mailing list, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://theverdicts.tripod.com/"} +{"d:Title": "Verplanken", "d:Description": "Official site includes news, biography, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://verplanken.com/"} +{"d:Title": "Vearncombe, Colin", "d:Description": "Official homepage of the artist formerly known as Black whose hits include 'Wonderful Life', 'Sweetest Smile' and 'Paradise'. Features news, discography, and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.colinvearncombe.com/"} +{"d:Title": "Vitamin Z", "d:Description": "Official site. Features photos, lyrics, discography and news on what members are currently doing.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://pages.interlog.com/~dolphind/vitz.html"} +{"d:Title": "Vermillion", "d:Description": "A 4 piece group from Sioux Falls, South Dakota with family harmonies combined with acoustic guitar and fiddle. Member profiles, gig dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.vermillionmusic.com/"} +{"d:Title": "Valle, Jaime", "d:Description": "Jazz guitarist's site includes biography, CD sales, concert dates, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.jaimevalle.com/"} +{"d:Title": "Vacuum", "d:Description": "Dedicated to the Swedish symphonic synthpop group. Includes history, news, lyrics, discography and a fan area with discussion boards.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://vacuum-music.com/"} +{"d:Title": "Volpe, Lou", "d:Description": "New York jazz guitarist. Profile, discography, audio clips, guestbook, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://louvolpejazz.com/"} +{"d:Title": "Voudouris, Paul", "d:Description": "Official website for the longtime collaborator of Chris Spheeris. Site offers MP3 downloads, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.voudourisdesigns.com/"} +{"d:Title": "Visceglia, Mike", "d:Description": "Includes a biography, discography, interviews, FAQs and a monthly column by Mike. Also, resources for bass players, musicians, producers and fans of the artists Mike has worked with over the years.", "topic": "Top/Arts/Music/Bands_and_Artists/V", "url": "http://www.mikeviscegliaworks.com/"} +{"d:Title": "Steve Vai", "d:Description": "The guitarist's official home page. Biography, discography, photos, sound and video clips, and tablatures.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vai,_Steve", "url": "http://www.vai.com/"} +{"d:Title": "MTV: Steve Vai", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vai,_Steve", "url": "http://www.mtv.com/artists/steve-vai/"} +{"d:Title": "The Official John Valby Website", "d:Description": "Tour dates, shirts, videos, information and other links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Valby,_John", "url": "http://www.johnvalby.com/"} +{"d:Title": "JD Hay's Crooner Collection: Jerry Vale", "d:Description": "Collection of full length songs in wav format.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vale,_Jerry", "url": "http://www.angelfire.com/retro2/crooner02b/JerryVale.htm"} +{"d:Title": "My Dinner with Rudy", "d:Description": "Personal account of an evening spent with the singer in his declining years.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallee,_Rudy", "url": "http://www.sealander.com/Dinner_at_Rudys.html"} +{"d:Title": "Introduction to Swing That Music", "d:Description": "Text written by Valle for the 1936 book by Louis Armstrong.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallee,_Rudy", "url": "http://xroads.virginia.edu/~ASI/musi212/emily/swingtext1.html"} +{"d:Title": "Lyrics World: Rudy Vallee", "d:Description": "Lyrics to several of his more popular songs.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallee,_Rudy", "url": "http://ntl.matrix.com.br/pfilho/html/main_index/by_artist/vallee_rudy.html"} +{"d:Title": "Rudy Vallee", "d:Description": "Official site with biography, photographs, newsletter, and merchandise, including a biography by his wife Eleanor Vallee.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallee,_Rudy", "url": "http://www.rudyvallee.com/"} +{"d:Title": "U.S. Coast Guard: Rudy Vallee", "d:Description": "Profile and photographs of the 11th district Coast Guard band.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallee,_Rudy", "url": "http://www.uscg.mil/history/faqs/rudyvallee.asp"} +{"d:Title": "AMG: Rudy Vallee", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallee,_Rudy", "url": "http://www.allmusic.com/artist/rudy-valle-p3156"} +{"d:Title": "IMDb: Rudy Vallee", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallee,_Rudy", "url": "http://www.imdb.com/name/nm0884964/"} +{"d:Title": "Vallejo Club", "d:Description": "Yahoo! group with chat room and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vallejo", "url": "http://groups.yahoo.com/group/Vallejo_Music/"} +{"d:Title": "The Institute of Seasonology", "d:Description": "Fan site created by members of the Fans of Frankie Valli and the Four Seasons email group.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Valli,_Frankie_and_The_Four_Seasons", "url": "http://www.angelfire.com/music/SeasonologyInstitute/"} +{"d:Title": "Rock and Roll Hall of Fame: The Four Seasons", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Valli,_Frankie_and_The_Four_Seasons", "url": "http://rockhall.com/inductees/the-four-seasons/"} +{"d:Title": "Johnny Vance", "d:Description": "Official site includes news, gig dates, sound files, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vance,_Johnny", "url": "http://www.johnnyvance.com/"} +{"d:Title": "Nitro Records", "d:Description": "Home of such punk rock greats as The Vandals, A.F.I., Guttermouth, Jughead's Revenge, and One Hit Wonder.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandals,_The", "url": "http://www.nitrorecords.com/"} +{"d:Title": "The Vandals", "d:Description": "The official home page of the Orange County, California hard core punk band. Lyrics, photos, tablatures, tour dates, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandals,_The", "url": "http://www.vandals.com/"} +{"d:Title": "Artist Direct: Vandals", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandals,_The", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,504897,00.html?src=search&artist=The+Vandals"} +{"d:Title": "Vanden Plas - The Web Thing", "d:Description": "Official homepage of the German progressive metal band includes news, tour dates, biography, discography, MP3 samples, and fanclub information.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanden_Plas", "url": "http://www.vandenplas.de/"} +{"d:Title": "Luther Vandross", "d:Description": "Official site with profile of the singer who passed away July 1, 2005. Includes link to previous site with sound files.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandross,_Luther", "url": "http://luthervandross.com/"} +{"d:Title": "Topix", "d:Description": "News about Luther Vandross from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandross,_Luther", "url": "http://www.topix.com/who/luther-vandross"} +{"d:Title": "ArtistDirect: Luther Vandross", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandross,_Luther", "url": "http://www.artistdirect.com/artist/luther-vandross/504992"} +{"d:Title": "RollingStone.com: Luther Vandross", "d:Description": "Biography, pictures, discography, trivia, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandross,_Luther", "url": "http://www.rollingstone.com/music/artists/luther-vandross"} +{"d:Title": "MTV: Luther Vandross", "d:Description": "News, biography, links, Real Audio clips, and music videos.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vandross,_Luther", "url": "http://www.mtv.com/artists/luther-vandross/"} +{"d:Title": "Wikipedia: Vanessa-Mae", "d:Description": "Biography and career overview.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanessa-Mae", "url": "http://en.wikipedia.org/wiki/Vanessa-Mae"} +{"d:Title": "The Red Hot Vanessa-Mae Homepage", "d:Description": "Extensive site features latest news, discography, mailing list and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanessa-Mae", "url": "http://www.vanessamae.com/"} +{"d:Title": "Vanessa-Mae: Information from Answers.com", "d:Description": "Biography of Vanessa-Mae and description of her musical style", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanessa-Mae", "url": "http://www.answers.com/topic/vanessa-mae"} +{"d:Title": "Askmen.com: Vanessa-Mae", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanessa-Mae", "url": "http://www.askmen.com/celebs/women/singer_60/75_vanessa_mae.html"} +{"d:Title": "Four Seasons of Vanessa-Mae", "d:Description": "Fan site with news, updates, biography, photo gallery, and forum where fans communicate and exchange information.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanessa-Mae", "url": "http://vanessamae.net/"} +{"d:Title": "Vanessa-Mae WebRing", "d:Description": "All fan sites linked together and accessible from one page.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanessa-Mae", "url": "http://www.webring.org/hub?ring=vanessamae"} +{"d:Title": "Elsewhere", "d:Description": "Unofficial Vangelis fan site offering news, rumours, album and single reviews, interviews, concert information, gallery, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vangelis", "url": "http://elsew.com/"} +{"d:Title": "Vangelis Collector", "d:Description": "Music, movies, articles, and a guide to collecting.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vangelis", "url": "http://vangeliscollector.com/"} +{"d:Title": "Vangelis - Mind on Music", "d:Description": "A discography from the Mind on Music database.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vangelis", "url": "http://www.cs.uit.no/Music/ViewGrp?grp_id=94"} +{"d:Title": "(6354) Vangelis", "d:Description": "Information on a minor planet named for the composer.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vangelis", "url": "http://www.cfa.harvard.edu/iau/special/rocknroll/0006354.html"} +{"d:Title": "Nemo Studios", "d:Description": "A tribute site to Vangelis' Nemo years, 1975 until 1987. Many photos.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vangelis", "url": "http://www.nemostudios.co.uk/"} +{"d:Title": "Vangelis Lyrics Page, The", "d:Description": "A collection of lyrics from Vangelis' music as well as those of his collaborators.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vangelis", "url": "http://www.vangelislyrics.com/"} +{"d:Title": "Yahoo Groups: Vangelis", "d:Description": "Incorporates the Direct mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vangelis", "url": "http://launch.groups.yahoo.com/group/Vangelis/"} +{"d:Title": "Vanilla Fudge : life and work", "d:Description": "Profile, discography, lyrics, gallery (mostly in Portuguese).", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanilla_Fudge", "url": "http://members.tripod.com/drmiccoli/vanilla_fudge.htm"} +{"d:Title": "Vanilla Fudge", "d:Description": "The official Vanilla Fudge site. News, biographies, forum, chat room, discography with sound clips, photos, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanilla_Fudge", "url": "http://www.vanillafudge.com/"} +{"d:Title": "Official Vanilla Ice Website", "d:Description": "Features a schedule of concerts, events, and public appearances; also news, biography, discography, and multimedia downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanilla_Ice", "url": "http://www.vanillaice.com/"} +{"d:Title": "Vanilla Ice 2001", "d:Description": "Discography, videography, tour dates, sounds and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanilla_Ice", "url": "http://ice2001.freeservers.com/"} +{"d:Title": "The Onion AV Club", "d:Description": "Interview with Van Winkle about rap's future, drugs, posing nude with Madonna, and coming back as a reinvented Vanilla Ice.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanilla_Ice", "url": "http://www.avclub.com/articles/vanilla-ice,13518/"} +{"d:Title": "MTV: Vanilla Ice", "d:Description": "Features music video clips, album reviews and his latest news.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vanilla_Ice", "url": "http://www.mtv.com/artists/vanilla-ice/"} +{"d:Title": "Gino Vannelli", "d:Description": "Official site features photo galleries, sound archives, chat rooms, fan pages, album information, tour information, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vannelli,_Gino", "url": "http://www.ginov.com/"} +{"d:Title": "Gino Vannelli : The Master of Music", "d:Description": "Discography, biography, fan stories, pictures, photo-books, concert reviews, newspaper, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vannelli,_Gino", "url": "http://ginovannelli.tripod.com/"} +{"d:Title": "Crazy Life", "d:Description": "Steely Dan tribute band Royal Scam also performs a tribute to Gino Vannelli. Includes MP3 files, play list, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vannelli,_Gino/Tribute_Acts", "url": "http://www.royalscam.com/crazylife.htm"} +{"d:Title": "Van der Graaf Generations", "d:Description": "A Van der Graaf Generator family tree.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_der_Graaf_Generator", "url": "http://www.fuzzlogic.com/vdgg/"} +{"d:Title": "Peter Hammill and Van der Graaf Generator", "d:Description": "Memories of Peter Hammill and Van der Graaf Generator.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_der_Graaf_Generator", "url": "http://www.vandergraafgenerator.co.uk/"} +{"d:Title": "Peter Hammill / Van der Graaf Generator Transcription Page", "d:Description": "This site contains transcriptions of Peter Hammill / Van der Graaf Generator songs. It's contributed by musicians for musicians in order to enable them to play Peter Hammill songs for their private pleasure.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_der_Graaf_Generator", "url": "http://www.nalogo.com/hammill/"} +{"d:Title": "Van der Graaf Generator, official releases", "d:Description": "Officially released records, covers and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_der_Graaf_Generator", "url": "http://www.chem.bg.ac.rs/~mario/VdGG/"} +{"d:Title": "Vandit Records", "d:Description": "Record label, includes artist information and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Dyk,_Paul", "url": "http://www.vandit.com/"} +{"d:Title": "AskMen.com: Paul Van Dyk", "d:Description": "Includes a biography and 2001 interview.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Dyk,_Paul", "url": "http://www.askmen.com/toys/interview/15_paul_van_dyk_interview.html"} +{"d:Title": "Paul Van Dyk - Unofficial Discography", "d:Description": "This is an unofficial, detailed discography of all releases by the dj and offers information on related projects.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Dyk,_Paul", "url": "http://www.tursa.franken.de/PaulVanDyk_discog.html"} +{"d:Title": "Levelheaded: Paul van Dyk", "d:Description": "Includes a brief biography and detailed December, 2001 interview by Ashley Matthews.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Dyk,_Paul", "url": "http://www.hybridmagazine.com/level/interviews/1201/pvd.shtml"} +{"d:Title": "PVD Clubworld", "d:Description": "Community includes an extensive photo gallery, regularly updated information, and gigs. Also offers occasional raffles and a forum. [English, German]", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Dyk,_Paul", "url": "http://www.pvd-clubworld.de/"} +{"d:Title": "The DJ List: Paul van Dyk", "d:Description": "Biography and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Dyk,_Paul", "url": "http://www.thedjlist.com/djs/PAUL_VAN_DYK/"} +{"d:Title": "MTV: Paul Van Dyk", "d:Description": "Includes information on tour dates, media appearances, new releases, biography, and discography. Also message boards, links, music videos, interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Dyk,_Paul", "url": "http://www.mtv.com/artists/paul-van-dyk-00/"} +{"d:Title": "VH Links", "d:Description": "A database of Van Halen related sites, with user site ratings and reviews. Also features active discussion forums.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.vhlinks.com/"} +{"d:Title": "Van Halen Trade Site", "d:Description": "Audio and video lists, set lists, trader lists, classifieds, tape trees, and other bootleg resources for hardcore Van Halen fans.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.vhtrading.com/"} +{"d:Title": "Undernet's Van Halen IRC Channel", "d:Description": "News and information about the band and IRC chat, plus tablatures, pictures, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.vanhalen-irc.com/"} +{"d:Title": "EddieVanHalen.com", "d:Description": "Fan site including news, tour information, forums, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.eddievanhalen.com/"} +{"d:Title": "Van Halen News Desk", "d:Description": "Extensive news coverage of Van Halen, David Lee Roth, and Sammy Hagar.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.vhnd.com/"} +{"d:Title": "Wikipedia - Van Halen", "d:Description": "Encyclopedia entry about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://en.wikipedia.org/wiki/Van_Halen"} +{"d:Title": "BR's Classic Rock Concert Photos", "d:Description": "Sells prints of Van Halen concert photos from 1980.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.e-rockworld.com/van.htm"} +{"d:Title": "Brown Sound", "d:Description": "The theory behind Van Halen's guitar sound, and information about Eddie's gear.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.legendarytones.com/brownsound.html"} +{"d:Title": "VanHalen.com", "d:Description": "Fan site with current news on the band and all its members, photos, videos, concert reviews, and tributes from other musicians.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.vanhalen.com/"} +{"d:Title": "EVH", "d:Description": "Fender site for their Eddie Van Halen Frankenstein replica guitar. Includes detailed information, timeline, wallpapers, and a newsletter.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.evhgear.com/"} +{"d:Title": "Skins and Papers", "d:Description": "Assortment of Van Halen browser skins", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://aware-studios.tripod.com/vanhalen.html"} +{"d:Title": "Van Halen", "d:Description": "Official site includes news, tour and ticket information, merchandise, newsletters, photos, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.van-halen.com/"} +{"d:Title": "RollingStone.com: Van Halen", "d:Description": "Includes biography, discography, pictures, news, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen", "url": "http://www.rollingstone.com/music/artists/van-halen"} +{"d:Title": "Atomic Punks", "d:Description": "David Lee Roth era Van Halen tribute band. Photos, bio, FAQ, audio, upcoming show dates, merchandise, and links to articles and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen/Tribute_Bands", "url": "http://www.theatomicpunks.com/"} +{"d:Title": "Hot for Teacher", "d:Description": "California based Van Halen tribute band. News, show dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Halen/Tribute_Bands", "url": "http://www.hftrocks.com/"} +{"d:Title": "Ricky Van Shelton", "d:Description": "The country guitarist's home page. Tour dates, reviews, photos, sound clips, and fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Shelton,_Ricky", "url": "http://www.rickyvanshelton.com/"} +{"d:Title": "CMT: Ricky Van Shelton", "d:Description": "Biography, tour dates, discography, audio clips, fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Shelton,_Ricky", "url": "http://www.cmt.com/artists/ricky-van-shelton/"} +{"d:Title": "Townes Van Zandt - Blue Sky Homepage", "d:Description": "Extensive memorial section, photo gallery, audio/video jukebox, guitar chords, complete discography, song guide, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Van_Zandt,_Townes", "url": "http://ippc2.orst.edu/coopl/tvzindex.html"} +{"d:Title": "Vas", "d:Description": "Fan site for Narada group Vas, including press clippings, images, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vas", "url": "http://members.tripod.com/~tgryn/vas.html"} +{"d:Title": "Discography", "d:Description": "A semi-complete discography of the Vaselines' work with 53rd and 3rd.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaselines", "url": "http://www.twee.net/bands/v/vaselines.html"} +{"d:Title": "All-Music Guide: Vaselines", "d:Description": "Contains discography, biography, and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaselines", "url": "http://www.allmusic.com/artist/the-vaselines-mn0000575379"} +{"d:Title": "Bart's V\u00e4sen Page", "d:Description": "A fan page with information on the band's members, history and music.", "topic": "Top/Arts/Music/Bands_and_Artists/V/V\u00e4sen", "url": "http://www.atmos.washington.edu/~brash/vasen.html"} +{"d:Title": "V\u00e4sen", "d:Description": "Official home page for the folk rock band, with biography, discography, mp3 sound samples, news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V/V\u00e4sen", "url": "http://www.vasen.se/"} +{"d:Title": "Higher", "d:Description": "Lyrics, music samples, pictures, articles, poems, links, e-cards, game, art, WinAmp skins, and webring.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vast", "url": "http://www.xeternity.com/"} +{"d:Title": "Sail Into Oblivion: A VAST Fan Site", "d:Description": "Includes album and band information, photos, lyrics, tour reviews, and fan interaction.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vast", "url": "http://sailintooblivion.tripod.com/"} +{"d:Title": "The Lure of the Siren's Song", "d:Description": "Clips from each track on the CD and a short description of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vast", "url": "http://vastfan.tripod.com/"} +{"d:Title": "ArtistDirect.com: Vast", "d:Description": "Biography, tour dates, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vast", "url": "http://www.artistdirect.com/artist/vast/661152"} +{"d:Title": "Jimmie Vaughan", "d:Description": "The guitarist's official site. Discography, sound clips, reviews, pictures, tour dates, e-zine.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Jimmie", "url": "http://www.jimmievaughan.com/"} +{"d:Title": "Joe Valeriano's Stevie Ray Vaughan Page", "d:Description": "Includes biography, discography, pictures, lyrics, magazine covers, and quotes. [English and Italian]", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://srvaughan.altervista.org/"} +{"d:Title": "Stevie Ray Vaughan Tribute", "d:Description": "Site dedicated to blues guitar master, Stevie Ray Vaughan and his band, Double Trouble.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://www.angelfire.com/blues/srvloverspage/index.html"} +{"d:Title": "Harry's Blues Lyrics Online - Stevie Ray Vaughan", "d:Description": "Includes lyrics, sound clips, biography, recommended listening, reading, and viewing.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://blueslyrics.tripod.com/artistswithsongs/stevie_ray_vaughan_index.htm"} +{"d:Title": "Stevie Ray Vaughan 1954-1990", "d:Description": "Fan page including pictures and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://members.home.nl/b.schotanus/index.htm"} +{"d:Title": "Texas Flood Mailing List", "d:Description": "Information on the list and how to join.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://groups.yahoo.com/group/texas-flood/"} +{"d:Title": "Stevie Ray Vaughan Import CD Reviews", "d:Description": "Reviews (positive and negative comments) about \"import\" CDs. The information is pulled from the TexasFlood mailing list from its beginning back in 1995.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://www.srvrocks.com/boot_review/entry.htm"} +{"d:Title": "Stevie Ray Vaughan Fan Club", "d:Description": "Information about the club including news, anthology, collectibles, and tours.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://www.stevieray.com/"} +{"d:Title": "Stevie Ray Vaughan News: Topix", "d:Description": "News about Stevie Ray Vaughan continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://www.topix.com/who/stevie-ray-vaughan"} +{"d:Title": "RollingStone.com: Stevie Ray Vaughan", "d:Description": "Includes biography, discography, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray", "url": "http://www.rollingstone.com/music/artists/stevie-ray-vaughan"} +{"d:Title": "inna Rude Mood", "d:Description": "Southern California based tribute to Stevie Ray Vaughan that lists sound samples, performance photos, equipment and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray/Tribute_Bands", "url": "http://innarudemood.com/"} +{"d:Title": "Alan Iglesias and Crossfire", "d:Description": "California-based tribute band. Biography, pictures, schedule, audio and video, gear details and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vaughan,_Stevie_Ray/Tribute_Bands", "url": "http://alaniglesias-and-crossfire.com/"} +{"d:Title": "Bobby Vee", "d:Description": "The singer's official page. Photos, bio, chart history, film history, interviews, tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vee,_Bobby", "url": "http://www.bobbyvee.net/"} +{"d:Title": "Bobby Vee", "d:Description": "The 60s singing rage talks with Ronald Sklar of popentertainment.com about his career.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vee,_Bobby", "url": "http://www.popentertainment.com/vee.htm"} +{"d:Title": "Interscope Records: Suzanne Vega", "d:Description": "News, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne", "url": "http://www.suzannevega.com/"} +{"d:Title": "Suzanne Vega Fan Club", "d:Description": "Discussion group at Yahoo.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne", "url": "http://groups.yahoo.com/group/suzannevegafanclub/"} +{"d:Title": "All Music Guide: Suzanne Vega", "d:Description": "Contains a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne", "url": "http://www.allmusic.com/artist/suzanne-vega-p5751"} +{"d:Title": "Neighborhood Girl", "d:Description": "David Bowman interviews Suzanne Vega about folk music and her book, 'The Passionate Eye'.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne", "url": "http://www.salon.com/1999/02/17/17int_html/"} +{"d:Title": "Women-folk", "d:Description": "Women-folk: review of the Newport Folk Festival. Suzanne Vega appears.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne/Fan_Pages", "url": "http://members.tripod.com/~Dykeland/newport.html"} +{"d:Title": "Plateau Suzanne Vega", "d:Description": "Analysis of the urban imagery in Vega's poems and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne/Fan_Pages", "url": "http://www.entropic-empire.com/vega/cityindex.htm"} +{"d:Title": "Suzanne Vega Guitar Chords", "d:Description": "The most complete set of Suzanne Vega guitar chords on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne/Fan_Pages", "url": "http://adamschneider.net/music/sv/"} +{"d:Title": "Nilla Wafer's Suzanne Vega page", "d:Description": "Nilla Wafer is a fan of Suzanne Vega", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne/Fan_Pages", "url": "http://www.nwafer.com/vega/vega.html"} +{"d:Title": "Ectophiles' Guide - Suzanne Vega", "d:Description": "The guide is a database of musical artists and groups as seen through the comments and reviews of the ectophiles.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne/Fan_Pages", "url": "http://ectoguide.org/guide.cgi?artists/vega.suzanne"} +{"d:Title": "Gregg Wagener's Suzanne Vega page", "d:Description": "Gregg's home page with nice water colors of Suzanne Vega. He also has a number of other female singer paintings.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vega,_Suzanne/Fan_Pages", "url": "http://gwagener.tripod.com/vega.html"} +{"d:Title": "Regine Velasquez: The Inside Buzz", "d:Description": "News, message boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velasquez,_Regine", "url": "http://www.members.tripod.com/insidebuzz/"} +{"d:Title": "Regine Velasquez Picture Page", "d:Description": "Photos, lyrics, downloads, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velasquez,_Regine", "url": "http://www.members.tripod.com/musicbird/images/tableof.htm"} +{"d:Title": "Regine Velasquez Mailing List", "d:Description": "Discussion group for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velasquez,_Regine", "url": "http://groups.yahoo.com/group/regine-v"} +{"d:Title": "AskMen.com: Regine Velasquez", "d:Description": "Pictures, commentary, and profile.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velasquez,_Regine", "url": "http://www.askmen.com/celebs/women/singer_150/153_regine_velasquez.html"} +{"d:Title": "Metropolis Records: Velvet Acid Christ", "d:Description": "News about new releases, with sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Acid_Christ", "url": "http://www.metropolis-records.com/?artists/velvetac.html"} +{"d:Title": "Velvet Acid Christ", "d:Description": "Official site includes lyrics, news, biography, discography, interviews and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Acid_Christ", "url": "http://www.velvetacidchrist.com/"} +{"d:Title": "Velvet Chain", "d:Description": "Official site includes news, concert dates, biographies, discography, video files, reviews, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Chain", "url": "http://velvetchain.com/"} +{"d:Title": "Velvet Empire Net", "d:Description": "Fan page containing links to articles, group history, biographies, discography, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Empire", "url": "http://velvetempire.tripod.com/"} +{"d:Title": "Yahoo! Groups: Velvet Empire", "d:Description": "Mailing list for fans of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Empire", "url": "http://groups.yahoo.com/group/velvetempire/"} +{"d:Title": "Yahoo! Groups: Velvet Empire Promo Team", "d:Description": "Mailing list for fans which focuses on promoting the group.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Empire", "url": "http://groups.yahoo.com/group/velvet-empire/"} +{"d:Title": "Velvet Empire", "d:Description": "Fan site with biographies, a message board, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Empire", "url": "http://www.angelfire.com/empire/velvet0/"} +{"d:Title": "andPOP: Exclusive: Meet Velvet Empire, the New Popstars", "d:Description": "Information and a video interview for download in Real Media.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Empire", "url": "http://www.andpop.com/2003/01/23/exclusive-meet-velvet-empire-the-new-popstars/"} +{"d:Title": "All Music Guide: Velvet Hammer", "d:Description": "History and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Hammer", "url": "http://www.allmusic.com/artist/velvet-hammer-p310220"} +{"d:Title": "PBS Frontline: The Way the Music Died - Artists' Stories", "d:Description": "The public television series talks with new artists Sarah Hudson and Velvet Revolver about getting noticed in the music world.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Revolver", "url": "http://www.pbs.org/wgbh/pages/frontline/shows/music/artists/"} +{"d:Title": "Wikipedia: Velvet Revolver", "d:Description": "Article includes discography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Revolver", "url": "http://en.wikipedia.org/wiki/Velvet_Revolver"} +{"d:Title": "The Velvet Underground: An Unofficial Fan Site", "d:Description": "Introduction to the band includes lyrics, biographies, sound clips and a gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://www.thevelvetunderground.co.uk/"} +{"d:Title": "OldieLyrics.com: Velvet Underground", "d:Description": "Lyrics to all Velvets songs, organized by album.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://www.oldielyrics.com/t/the_velvet_underground.html"} +{"d:Title": "Velvet Underground Group", "d:Description": "Discussion board from Launch/Yahoo.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://launch.groups.yahoo.com/group/Velvet_Underground/"} +{"d:Title": "Velvet Underground Lyrics", "d:Description": "Lyrics to about 70 Velvets songs from LyricsFreak.com.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://www.lyricsfreak.com/v/velvet+underground/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: The Velvet Underground", "d:Description": "Reviews and analysis of the band's four original studio albums.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://sfloman.com/velvetunderground.html"} +{"d:Title": "RollingStone.com: The Velvet Underground", "d:Description": "Includes a biography, discography, album guide, message board, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://www.rollingstone.com/music/artists/the-velvet-underground"} +{"d:Title": "Rock and Roll Hall of Fame and Museum: The Velvet Underground", "d:Description": "Inducted in 1996.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://rockhall.com/inductees/the-velvet-underground/"} +{"d:Title": "All Music Guide: The Velvet Underground", "d:Description": "Biography and comprehensive discography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The", "url": "http://www.allmusic.com/artist/the-velvet-underground-mn0000840402"} +{"d:Title": "Willie Alexander", "d:Description": "Official site including information on Alexander's Persistence of Memory Orchestra, a brief biography, upcoming gigs and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Alexander,_Willie", "url": "http://www.williealexander.com/"} +{"d:Title": "Artist Profile: Willie Alexander", "d:Description": "Bio and discography from Smasheasy Records.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Alexander,_Willie", "url": "http://www.smasheasy.com/willieloco.html"} +{"d:Title": "All Music Guide: Willie \"Loco\" Alexander", "d:Description": "Summary of his stay with the Velvets, and subsequent solo career.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Alexander,_Willie", "url": "http://www.allmusic.com/artist/willie-loco-alexander-p15361"} +{"d:Title": "Angus MacLise", "d:Description": "Discography and links; from the creator of the Velvet Underground Web Page.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/MacLise,_Angus", "url": "http://olivier.landemaine.free.fr/angusmaclise/angusmaclise.html"} +{"d:Title": "All Music Guide: Angus MacLise", "d:Description": "Covers his post-Velvets career and recently-released archival recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/MacLise,_Angus", "url": "http://www.allmusic.com/artist/p42603"} +{"d:Title": "Sterling Morrison", "d:Description": "By Bill Bentley. Published in the Austin Chronicle shortly after Morrison's passing in 1995.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Morrison,_Sterling", "url": "http://www.austinchronicle.com/issues/vol15/issue2/music.morrison.html"} +{"d:Title": "A Tribute to Sterling Morrison", "d:Description": "In memory of the group's late guitarist. Includes remembrances by his bandmates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Morrison,_Sterling", "url": "http://olivier.landemaine.free.fr/sterling/sterling.html"} +{"d:Title": "All Music Guide: Sterling Morrison", "d:Description": "Individual entry details his contributions to the Velvets and their sound.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Morrison,_Sterling", "url": "http://www.allmusic.com/artist/sterling-morrison-p107662"} +{"d:Title": "An Interview with Sterling Morrison", "d:Description": "From the March 6, 1970, issue of Fusion magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Morrison,_Sterling", "url": "http://olivier.landemaine.free.fr/vu/articles/sterl69/sterl69.html"} +{"d:Title": "IMDb: Sterling Morrison", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Morrison,_Sterling", "url": "http://www.imdb.com/name/nm0607316/"} +{"d:Title": "Nico Web Site", "d:Description": "Song lyrics, discography, films, videos and a brief bio.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Nico", "url": "http://smironne.free.fr/NICO/index.html"} +{"d:Title": "RollingStone.com: Nico", "d:Description": "Short bio and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Nico", "url": "http://www.rollingstone.com/music/artists/nico"} +{"d:Title": "All Music Guide: Nico", "d:Description": "Provides details of her solo musical career and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Nico", "url": "http://www.allmusic.com/artist/nico-mn0000868306"} +{"d:Title": "IMDb: Nico", "d:Description": "Filmography, from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Nico", "url": "http://www.imdb.com/name/nm0630113/"} +{"d:Title": "Taj Moe Hal", "d:Description": "Original version of Moe's official site; no longer maintained.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Tucker,_Maureen", "url": "http://www.angelfire.com/biz/Moepage/"} +{"d:Title": "The Maureen \"Moe\" Tucker Web Page", "d:Description": "Unofficial site from the creator of the Velvet Underground Web Page.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Tucker,_Maureen", "url": "http://olivier.landemaine.free.fr/moetucker/tucker.html"} +{"d:Title": "All Music Guide: Maureen Tucker", "d:Description": "Discography and information on Moe's post-Velvets solo career.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Tucker,_Maureen", "url": "http://www.allmusic.com/artist/maureen-tucker-mn0000330994"} +{"d:Title": "IMDb: Maureen Tucker", "d:Description": "Her appearances on film with the Velvets, from the Internet Movie Database.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Tucker,_Maureen", "url": "http://www.imdb.com/name/nm0875944/"} +{"d:Title": "All Music Guide: Billy Yule", "d:Description": "Doug's younger brother, who replaced Maureen Tucker as Velvets drummer during her pregnancy in 1970.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Yule,_Billy", "url": "http://www.allmusic.com/artist/billy-yule-mn0000222175"} +{"d:Title": "Doug Yule Interview", "d:Description": "From Perfect Sound Forever, by Pat Thomas", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Yule,_Doug", "url": "http://www.furious.com/perfect/yule.html"} +{"d:Title": "Doug Yule", "d:Description": "From the creator of the Velvet Underground Web Page; includes interviews, sound clips and an essay by Doug.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Yule,_Doug", "url": "http://olivier.landemaine.free.fr/dougyule/dougyule.html"} +{"d:Title": "All Music Guide: Doug Yule", "d:Description": "Focuses individually on Yule's contributions to the Velvets and other bands.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Velvet_Underground,_The/Yule,_Doug", "url": "http://www.allmusic.com/artist/doug-yule-p140635"} +{"d:Title": "Vengazone.de", "d:Description": "Includes news, reviews, pictures, and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vengaboys", "url": "http://vengazone.blogspot.com/"} +{"d:Title": "Venice Central", "d:Description": "Unofficial page provides latest news and Venice information. Includes a large collection of pictures, sound clips and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Venice", "url": "http://www.venicecentral.com/"} +{"d:Title": "Rockmagic.net: Venom", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Venom", "url": "http://lyrics.rockmagic.net/lyrics/venom/"} +{"d:Title": "Site of the Hydra", "d:Description": "News, photos, lyrics, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Venom", "url": "http://www.siteofthehydra.com/"} +{"d:Title": "From the Depths of Hell: Venom", "d:Description": "Fansite includes lyrics, discography, audio, screensaver, pc and buddy icons, wallpapers, puzzle, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Venom", "url": "http://www.angelfire.com/music4/venom/"} +{"d:Title": "Venus Hum", "d:Description": "Official site includes news, biography, mailing list, message board, concert dates, audio, video and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Venus_Hum", "url": "http://www.venushum.com/"} +{"d:Title": "The Veronicas", "d:Description": "Official site with news, tours, videos, photoblog, biography, diary, image galleries, street team, MySpace, and a forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veronicas,_The", "url": "http://www.theveronicas.com/"} +{"d:Title": "The Veronicas Chat", "d:Description": "Veronicas chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veronicas,_The", "url": "http://aurorasynn.ugbox.net/veronicaschat/"} +{"d:Title": "VerticalHorizon.com", "d:Description": "Official site with band news, biography, discography, lyrics, tour dates, audio, video, images, articles, merchandise and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vertical_Horizon", "url": "http://www.verticalhorizon.com/"} +{"d:Title": "Lines Upon Your Page", "d:Description": "Home of the Vertical Horizon Webring with biography, tour dates, setlist vault, tape trading resources and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vertical_Horizon", "url": "http://saygoodbye.tripod.com/vh.html"} +{"d:Title": "Vertical Horizon FAQ", "d:Description": "Answers to frequently asked questions about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vertical_Horizon", "url": "http://verticalhorizonfaq.tripod.com/"} +{"d:Title": "Alternative Vertical Horizon", "d:Description": "Biography, discography, picture gallery, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vertical_Horizon", "url": "http://verticalhoriz.20m.com/"} +{"d:Title": "Rock On The Net: Vertical Horizon", "d:Description": "A band biography, timeline with major events in Vertical Horizon's history plotted out and discussed in chronological order.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vertical_Horizon", "url": "http://www.rockonthenet.com/artists-v/verticalhorizon.htm"} +{"d:Title": "The Seether's Louise Post", "d:Description": "News, tour information, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt", "url": "http://www.angelfire.com/al2/louisepost/"} +{"d:Title": "Always A Favorite", "d:Description": "Pictures, movies, lyrics and discography, concert reviews, articles, interviews, biographies, FAQ, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt", "url": "http://www.angelfire.com/hi/verucaslut/"} +{"d:Title": "Angels Can Be So Deceiving", "d:Description": "Includes news, biographies, RealAudio files, discography, lyrics, pictures, tour dates, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt", "url": "http://www.angelfire.com/ny2/drift/veruca.html"} +{"d:Title": "Veruca Salt", "d:Description": "Official site with biography, discography, tour dates, tabs, sound clips and mp3s, photos, video information, wallpapers, lyrics, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt", "url": "http://www.verucasalt.com/"} +{"d:Title": "Veruca Salt Mailing List", "d:Description": "Located at Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt/Chats_and_Forums", "url": "http://groups.yahoo.com/group/verucasalt/"} +{"d:Title": "Consumable: Veruca Salt - Eight Arms to Hold You", "d:Description": "Eric Hsu's review: \"I come away from this record feeling exhausted and regret for wasted melodies and songs.\"", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt/Reviews/Eight_Arms_to_Hold_You", "url": "http://www.westnet.com/consumable/1997/06.03/revveruc.html"} +{"d:Title": "Concert Livewire: Veruca Salt - Eight Arms to Hold You", "d:Description": "Tony Bonyata's review: \"Veruca Salt has transformed themselves into a bona fide bubblegum hard rock act (imagine Josie and the Pussycats on steroids)\"", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt/Reviews/Eight_Arms_to_Hold_You", "url": "http://www.concertlivewire.com/cdarchives/veruca.htm"} +{"d:Title": "Yale Herald: Veruca Salt - Resolver", "d:Description": "Rick Cortazar's review: \"It may not be pretty, but this seamless album is certainly able to stand its ground among the bubble gum pop stuck to the bottom of the airwaves.\"", "topic": "Top/Arts/Music/Bands_and_Artists/V/Veruca_Salt/Reviews/Resolver", "url": "http://yaleherald.com/archive/xxx/2000.09.15/ae/p15veruca.html"} +{"d:Title": "The Verve Pipe", "d:Description": "Official site includes a history, member profiles, sound and video files, tour dates, articles and reviews, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Verve_Pipe,_The", "url": "http://www.thevervepipe.com/"} +{"d:Title": "Matt's Music Page: Verve Pipe", "d:Description": "Images, forum, lyrics, sound clips, videos, guitar tablatures, band biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Verve_Pipe,_The", "url": "http://www.mattsmusicpage.com/nvervep.htm"} +{"d:Title": "Verve Pipeings", "d:Description": "Mailing list and newsletter for fans of The Verve Pipe. Site includes subscription information, list rules, FAQ, archives, tour dates, pictures, CD information, and reviews.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/V/Verve_Pipe,_The", "url": "http://vervepipeings.net/"} +{"d:Title": "Via Media", "d:Description": "Biography, audio, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Via,_Angela", "url": "http://jondisc_44.tripod.com/av/index.html"} +{"d:Title": "Sid Vicious - God Save The Sex Pistols", "d:Description": "Fan site with various articles about and interviews with Sid Vicious. Details of books about Sid.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vicious,_Sid", "url": "http://www.philjens.plus.com/sid/"} +{"d:Title": "Sid Vicious", "d:Description": "Fan site with photos and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vicious,_Sid", "url": "http://www.angelfire.com/wa/Sid/main.html"} +{"d:Title": "Sid Vicious", "d:Description": "Photos of Sid Vicious.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vicious,_Sid", "url": "http://www.angelfire.com/punk2/vicious/sidpics.html"} +{"d:Title": "Sid Vicious - The Smoking Gun", "d:Description": "A site that shows all the legal documents involving Sid. From his arrest records, to his confession, and death certificate.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vicious,_Sid", "url": "http://www.thesmokinggun.com/documents/celebrity/sid-viciouss-biggest-hit"} +{"d:Title": "#Videodrone", "d:Description": "Information on the #videodrone channel on the IRC undernet.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Videodrone", "url": "http://www.angelfire.com/ab/videodrone/"} +{"d:Title": "Lost Decoys", "d:Description": "Videodrone fansite with articles, biography, clubs, mailing lists, greeting cards, images, links, lyrics, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Videodrone", "url": "http://www.angelfire.com/on2/videodrone/"} +{"d:Title": "Ecstacy To The Spine", "d:Description": "Videodrone interviews, reviews, pictures, bio, profiles, discography, greeting cards, polls and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Videodrone", "url": "http://www.angelfire.com/il2/videodrone2/main.html"} +{"d:Title": "Phantom Tollbooth Interview", "d:Description": "1997 interview with Bill Mallonee and Chris Bland by The Phantom Tollbooth.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vigilantes_of_Love", "url": "http://www.tollbooth.org/features/vol.html"} +{"d:Title": "Parting Shot - A Vigilantes of Love Fan Site", "d:Description": "Site features information about the music of Bill Mallonee and the Vigilantes of Love, including a complete lyric database.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vigilantes_of_Love", "url": "http://www.parting-shot.com/"} +{"d:Title": "Onlineathens.com VOL Article Archive", "d:Description": "Archived (1999-2000) articles about VOL.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vigilantes_of_Love", "url": "http://onlineathens.com/rockathens/bands/vigilantesoflove.shtml"} +{"d:Title": "Bill Mallonee", "d:Description": "Official site, with radio appearances, tour dates, and new album information.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vigilantes_of_Love", "url": "http://www.billmalloneemusic.com/"} +{"d:Title": "Tom Simon: Gene Vincent", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vincent,_Gene", "url": "http://www.tsimon.com/vincent.htm"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vincent,_Gene", "url": "http://rockhall.com/inductees/gene-vincent/"} +{"d:Title": "Derek Henderson's Gene Vincent Homepage", "d:Description": "Personal home page of the author of the published Gene Vincent discography, with news and discographical information.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vincent,_Gene", "url": "http://www.spentbrothers.com/"} +{"d:Title": "The Official Kyle Vincent Website", "d:Description": "The official website for pop singer/songwriter Kyle Vincent. News, music, merchandise, mailing list, tour dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vincent,_Kyle", "url": "http://www.kylevincent.com/"} +{"d:Title": "Tony Vincent", "d:Description": "Discography, sound clips, notes from Tony, merchandise, pictures and itinerary.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vincent,_Tony", "url": "http://www.tonyvincent.com/"} +{"d:Title": "Violent Femmes", "d:Description": "Official home page.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Violent_Femmes", "url": "http://www.vfemmes.com/"} +{"d:Title": "Violent Femmes Discography", "d:Description": "Guide to the band's albums, singles and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Violent_Femmes", "url": "http://www.violentfemmesrecords.com/"} +{"d:Title": "Violet Indiana Lyrics", "d:Description": "Lyrics and pictures of this band.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Violet_Indiana", "url": "http://www.alwaysontherun.net/violet.htm"} +{"d:Title": "True Life Story: The Virgin Prunes Pages", "d:Description": "Includes a brief history, discography, interviews, lyrics, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgin_Prunes,_The", "url": "http://www.virginprunes.com/"} +{"d:Title": "TrouserPress.com: Virgin Prunes", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgin_Prunes,_The", "url": "http://www.trouserpress.com/entry.php?a=virgin_prunes"} +{"d:Title": "All Music Guide: Virgin Prunes", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgin_Prunes,_The", "url": "http://www.allmusic.com/artist/virgin-prunes-p5768"} +{"d:Title": "Virgin Steele", "d:Description": "Official site of the metal band includes Pictures, merchandise, news, CD information, biography, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgin_Steele", "url": "http://www.virgin-steele.com/"} +{"d:Title": "Rockmagic.net: Virgin Steele", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgin_Steele", "url": "http://lyrics.rockmagic.net/lyrics/virgin_steele/"} +{"d:Title": "Virgin Steele", "d:Description": "Official Polish site. Discography, news, tablatures, biography, pictures, message board, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgin_Steele", "url": "http://virgin-steele.rockmetal.art.pl/"} +{"d:Title": "Virgin Steele", "d:Description": "A fan page with photos, lyrics, official biography, member information, discography, news, fan club information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgin_Steele", "url": "http://digilander.libero.it/virginsteele/"} +{"d:Title": "The Un-Official Virgos Merlot Fan Club", "d:Description": "Biography, discography, press, tour dates, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgos_Merlot", "url": "http://www.angelfire.com/nj/virgosmerlot/"} +{"d:Title": "All Music Guide: Virgos Merlot", "d:Description": "Biography, album information and links to similar artists.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Virgos_Merlot", "url": "http://www.allmusic.com/artist/virgos-merlot-p365454"} +{"d:Title": "Musicfolio.com: Visage", "d:Description": "Album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Visage", "url": "http://musicfolio.com/modernrock/visage.html"} +{"d:Title": "Beneath The Green", "d:Description": "Vision of Disorder fan site including information about the band and their work, pictures and multimedia, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vision_of_Disorder", "url": "http://www.angelfire.com/fl/VoD/vod.html"} +{"d:Title": "Hatred and Vengence Run Deep", "d:Description": "Vision of Disorder fan site including a biography, discography, lyrics, sounds, pictures, links and live movies.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vision_of_Disorder", "url": "http://www.angelfire.com/biz3/vod/"} +{"d:Title": "Vixen Mailing List", "d:Description": "A discussion list for Vixen fans hosted by Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vixen", "url": "http://groups.yahoo.com/group/vixen1/"} +{"d:Title": "MTV: Vixen", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Vixen", "url": "http://www.mtv.com/artists/vixen/"} +{"d:Title": "Roch Voisine", "d:Description": "Official site. Includes biography, discography, tour dates and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Voisine,_Roch", "url": "http://www.rochvoisine.com/"} +{"d:Title": "Karin's Roch Voisine Page", "d:Description": "Pictures, concert reviews, articles, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Voisine,_Roch", "url": "http://rochvoisine.free.fr/roch.html"} +{"d:Title": "Catlam's Roch Voisine Page", "d:Description": "News, Discography, Lyrics, Biography, Photo gallery, Screensaver, Wallpaper", "topic": "Top/Arts/Music/Bands_and_Artists/V/Voisine,_Roch", "url": "http://pagesperso-orange.fr/catlam/"} +{"d:Title": "Canoe: Roch Voisine", "d:Description": "Aggregation of articles about the singer from Sun Media Corp. newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Voisine,_Roch", "url": "http://jam.canoe.com/Music/Artists/V/Voisine_Roch/"} +{"d:Title": "Voodoo Glow Skulls", "d:Description": "Official site with band information, discography, sounds, merchandise and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/V/Voodoo_Glow_Skulls", "url": "http://www.voodooglowskulls.com/"} +{"d:Title": "Wicked Crew", "d:Description": "Metal/rap/hip-hop band from Slovenia. News, tour dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wickedcrew.com/"} +{"d:Title": "Wolfs Moon", "d:Description": "Heavy metal band based in Germany. Contains history, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wolfsmoon.de/"} +{"d:Title": "Winter, Paul", "d:Description": "Saxophonist, composer and bandleader, explorer of the world's musical traditions, and founder of Living Music. Discography, biography, interviews, reviews, and information on new releases and concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.livingmusic.com/"} +{"d:Title": "Wyatt, Robert", "d:Description": "Includes biographies, sleeve albums, lyrics, press reviews, discography, and links to other major sites on the web.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.strongcomet.com/wyatt/"} +{"d:Title": "Wrigley Sisters, The", "d:Description": "BBC award winning fiddle, guitar and piano traditional folk duo from the Orkney Islands in Scotland. Features tour dates and news.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wrigleysisters.com/"} +{"d:Title": "Weinstein, Noam", "d:Description": "Biographies, concert dates, sound files, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://enoam.com/"} +{"d:Title": "Wright, Norma Jean", "d:Description": "An original member of the disco band Chic, later a solo and background vocalist; includes biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://discotheque.narod.ru/normajean.html"} +{"d:Title": "Wurster, Jim", "d:Description": "Music embedded with character and a singular vocal and guitar style. News, sound files, discography, press, and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jimwurster.com/"} +{"d:Title": "Witzend", "d:Description": "Baltimore based pop-alternative band. Official site includes biography, schedule, photos, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.witzendmusic.com/"} +{"d:Title": "Weston, Jack", "d:Description": "Minneapolis-based songwriter/recording artist. Biography, schedule, sound files, and CD-ROM information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jackweston.com/"} +{"d:Title": "Woolf, Sharon", "d:Description": "Recording artist and songwriter. Contains news, audio samples, a video interview, and a list of links.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.sharonwoolf.com/"} +{"d:Title": "Wolff, Michael", "d:Description": "Official site of the jazz pianist includes a biography, news, forum and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.michaelwolff.com/"} +{"d:Title": "Winchell, Terry", "d:Description": "Official site for the singer/songwriter. Sound clips, photos, biography, concert information, and information about her debut CD \"Under The Veil Of Wildernes\".", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.terrywinchell.com/"} +{"d:Title": "Woods, Mitch and his Rocket 88's", "d:Description": "Blues musician providing biography, upcoming shows, video and audio samples, venues, and booking information", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.mitchwoods.com/"} +{"d:Title": "Williams III, Tom", "d:Description": "Biography, discography, and sound files of the romantic music composer.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://fredstephenson.tripod.com/dreamsharer.htm"} +{"d:Title": "Williams, K.C.", "d:Description": "Official site for the country recording artist includes biography, sound files, photos, merchandise, and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.kcwilliamsfanclub.com/"} +{"d:Title": "Wright, Michael and The Coconut Cowboys", "d:Description": "Rock band based in Montgomery, Alabama. Site contains biography, show dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.michaelwright.com/"} +{"d:Title": "Wolfsheim", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://lyrics.rockmagic.net/lyrics/wolfsheim/"} +{"d:Title": "Wright, Celena", "d:Description": "Background information, photos, and sound files for the vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.celena.co.uk/"} +{"d:Title": "Watson, Wah Wah", "d:Description": "Official site of the session guitarist, writer, producer, and artist. Details about his career, discography, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wahwah.com/"} +{"d:Title": "Winnie Cooper", "d:Description": "Low-fi pop band from Sao Paulo, Brazil.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/co2/winniecooper/homepage.html"} +{"d:Title": "Webb, Jimmy", "d:Description": "The sixties pop songwiter talks with Ronald Sklar of popentertainment.com about his new songwriting book.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.popentertainment.com/webb.htm"} +{"d:Title": "Warren, Lydia", "d:Description": "Chicago blues from a Boston teen. Includes biography, photos and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.lydiawarren.com/"} +{"d:Title": "Weiss, Chuck E.", "d:Description": "An interview with the Los Angeles hipster from popentertainment.com.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.popentertainment.com/chucke.htm"} +{"d:Title": "Wild Bill's Party Army", "d:Description": "Performance artist Wild Bill Wampler. Includes a biography, MP3 files, pictures, video clips, and an online store.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://firehands.com/"} +{"d:Title": "Warhead", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://lyrics.rockmagic.net/lyrics/warhead/"} +{"d:Title": "Welch, Chris", "d:Description": "Artist information and CD ordering for instrumental artist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.chriswelch.net/"} +{"d:Title": "Williams, Gary", "d:Description": "Show dates, biography, reviews, photos, and discography for this British swing singer.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.garywilliams.co.uk/"} +{"d:Title": "Wright, Dusty", "d:Description": "Purchasing and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.dustywright.com/"} +{"d:Title": "Wiredmasses", "d:Description": "Discography, show dates, biography, and photos for the rock and soul band from Detroit.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://wiredmasses.iwarp.com/"} +{"d:Title": "West, Joe and The Sinners", "d:Description": "Songs for truckers, boozers, and lovers. An alternative country/folk band based in Austin, Texas. Band history, photos, and CD information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/sd/joewestandthesinners/"} +{"d:Title": "White Town", "d:Description": "Official website for the band project of Jyoti Mishra, best known for his 1997 worldwide hit 'Your Woman.' Includes news, song samples, pictures, reviews, interviews, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whitetown.co.uk/"} +{"d:Title": "Winch, Ray", "d:Description": "Gig dates, lyrics, sound files, and CD information for the singer-songwriter from the New York City area.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/nj/rayw/"} +{"d:Title": "Witch Throttlegush", "d:Description": "Rock band from Portland, Oregon. Contains news, upcoming performances, photographs, audio samples and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/rock/Throttlegush/"} +{"d:Title": "Whippoorwill's Secret", "d:Description": "Funky bluegrass fusion band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/wi/whippoorwillssecret/"} +{"d:Title": "Warnblinker", "d:Description": "A new Bay Area band; includes biographies, news, calendar, photos, songs, lyrics, and poetry.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://warnblinker.tripod.com/"} +{"d:Title": "Workhorse Movement, The", "d:Description": "News, biography, articles, reviews, tour dates and images of the band. Detroit based, hard-core rap.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://workhorse.stormloader.com/"} +{"d:Title": "Welsman, Carol", "d:Description": "Official site of the Canadian female vocalist. Includes biography, discography, photographs, reviews, tour dates, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.carolwelsman.com/"} +{"d:Title": "Worthless", "d:Description": "A Dutch/Australian/French Rock/Pop band. History, lyrics, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/co3/worthless/"} +{"d:Title": "Wordworx", "d:Description": "New Zealand kiwi rock. Lyrics, images, press releases, merchandise, MP3s, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wordworx.co.nz/buzzindex.html"} +{"d:Title": "Wakely, Eric", "d:Description": "Hawaiian boat music. Show dates, links, images, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.boatmusic.com/"} +{"d:Title": "Welcome to Julian", "d:Description": "Home of this French alternative band provides band information, photos and sample songs.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://homepage.eircom.net/~jacques/index.htm"} +{"d:Title": "Wysteria", "d:Description": "Official site for this rock/dance band which plays parties and clubs. Has set lists, demos, pictures and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wysteriaband.com/"} +{"d:Title": "Whitesides, Jody", "d:Description": "Provides music samples, discography, biography, upcoming shows, photographs, reviews and information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jodywhitesides.com/"} +{"d:Title": "Wilson, Ransom", "d:Description": "Official site of New York fluitist-conductor. Includes biography, news, schedule, discography, resume, collaborations, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.ransomwilson.com/"} +{"d:Title": "Williams, Tony", "d:Description": "Featuring MP3 samples from the cd From The Heart.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/music2/tonywilliams/"} +{"d:Title": "Winters Brothers Band, The", "d:Description": "Official site; offering information on their CD, including audio samples, their television show, a biography, guest book, a mailing list, and a collection of links.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wintersbrothersband.com/"} +{"d:Title": "Whitton, Michael Lawrence", "d:Description": "Singer/songwriter from Reno, Nevada. Includes a biography, lyrics and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whittonmusic.com/"} +{"d:Title": "Wilson, Jim", "d:Description": "Los Angeles based recording artist of piano-featured instrumentals. Contains audio samples, calendar, biography, photographs, interviews and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jimwilson.net/"} +{"d:Title": "Washburn, John and the Wayward Saints", "d:Description": "Brooklyn twang rockers. Contains biography, tour schedule, news, sound clips, photo gallery, press and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.johnwashburn.com/"} +{"d:Title": "Wench Face", "d:Description": "English Punk band. Provides pictures, a show schedule, lyrics, a list of links, and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wenchface.20m.com/"} +{"d:Title": "White Noize", "d:Description": "Phoenix based rock group. Includes a profile, upcoming shows, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/rock2/whitenoize/"} +{"d:Title": "What About JimBo?", "d:Description": "Ska band. Offering biography, merchandise, audio samples, lyrics, calendar and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/sk/WhatAboutJimbo/"} +{"d:Title": "Windsor Creek Trio", "d:Description": "American folk, rock and pop band. Includes a song list, history, discography, reviews and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://windsorcreektrio.com/"} +{"d:Title": "Woloshin, Ellen", "d:Description": "Pop Singer and songwriter. Contains biography, news, audio samples, discography and performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.ellenwoloshin.com/"} +{"d:Title": "Wolfgang", "d:Description": "Hard rock band. Includes audio samples, photographs and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://wolfgangusa.homestead.com/WolfgangUSA.html"} +{"d:Title": "Where's Bobby?", "d:Description": "Punk rock band. Contains photographs, tour information, biographies, lyrics and news.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/punk2/wheresbobby/"} +{"d:Title": "White, Josh", "d:Description": "Biography of the folk-blues singer and civil rights pioneer, with archive of unpublished photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.elijahwald.com/josh.html"} +{"d:Title": "Whittaker, Roger", "d:Description": "Official site of the British singer, songwriter, guitarist and whistler. Includes a discography, audio samples, photographs, a concert schedule, a biography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.rogerwhittaker.com/"} +{"d:Title": "Wald, Elijah", "d:Description": "Folk-blues musician and author. Contains writings, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.elijahwald.com/index.html"} +{"d:Title": "Waronker, Anna", "d:Description": "Official site for the former singer and songwriter for That Dog. Contains news, upcoming shows, audio samples from her solo CD and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.annawaronker.com/"} +{"d:Title": "Weiland, Carrie", "d:Description": "News, show dates, review, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.carrieweiland.com/"} +{"d:Title": "Woody Dewer Project, The", "d:Description": "Rock/funk/blues/fusion band from Corpus Christi, Texas. Includes audio clips, show dates, mailing list, links, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/music4/thewoodydewerproject/"} +{"d:Title": "Woodland", "d:Description": "Mythic musical ensemble. Includes news, biography, audio samples, and event dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.woodlandmusic.net/"} +{"d:Title": "We Are Scientists", "d:Description": "California based rock band. Contains news, biography, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wearescientists.com/"} +{"d:Title": "White Buffalo", "d:Description": "Contains news, biography, audio samples, lyrics, photos, and show dates of the UK based rock trio.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whitebuffalo.co.uk/"} +{"d:Title": "Wilkins, Susie", "d:Description": "Contains biography, photos, and audio files of the female singer/songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.susiewilkins.com/"} +{"d:Title": "Wehrle, Tom", "d:Description": "Contains biography, photos, and audio samples of the pop-rock artist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.tomwehrle.com/"} +{"d:Title": "Whaley, Heather", "d:Description": "Singer, songwriter and storyteller from Ontario, Canada. Includes biography and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.heatherwhaley.ca/"} +{"d:Title": "Widow", "d:Description": "Contains photos, audio samples, and show dates of the heavy metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.burning-village.com/"} +{"d:Title": "Winter Olympics, The", "d:Description": "Contains news and audio samples of the UK pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.thewinterolympicsband.com/"} +{"d:Title": "Wayside Drive", "d:Description": "Houston, Texas based rock band. Contains news, show dates, member profiles, photos, lyrics, and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.waysidedrive.com/"} +{"d:Title": "Wright, Lizz", "d:Description": "Official site contains tour dates, news, biography, photos, video, and audio samples of the female soul singer songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.lizzwright.net/"} +{"d:Title": "Woost", "d:Description": "Electronic rock band based in Tilburg, Netherlands. Contains biography, gig dates, audio files, and photos. [English and Dutch]", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.woost.nl/"} +{"d:Title": "Waara, Kevin", "d:Description": "Contains biography, photos, audio samples, and lyrics of the country/rock artist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.kevinwaara.com/"} +{"d:Title": "W!CK", "d:Description": "Contains biography, photos, and show dates of the industrial rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.thebandwick.com/"} +{"d:Title": "Wallace, James", "d:Description": "Singer, composer and multi-instrumentalist from Canada performing new world and Celtic music. Includes biography, audio samples, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jameswallace.net/"} +{"d:Title": "Wheeler, Laurie", "d:Description": "Includes biography and reviews of the female jazz vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.lauriewheeler.com/"} +{"d:Title": "What Anne Likes", "d:Description": "Includes articles, flyers, photos, and audio files of the Gainesville, Florida based pop band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whatannelikes.com/"} +{"d:Title": "What the Hell", "d:Description": "Metalcore band from Schaffhausen, Switzerland. Includes biography and audio samples. [English and German]", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whatthehell.ch/"} +{"d:Title": "Williams, Joe", "d:Description": "Performance dates, mailing list and recording information for the Chapel Hill, North Carolina songwriter.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://bigshoe.com/joe/index.html"} +{"d:Title": "Weltschmerz", "d:Description": "Italian rock band. Contains news, discography, reviews, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://weltschmerz.8m.net/"} +{"d:Title": "Wing, Courtney", "d:Description": "Montreal based musician. Contains biography, tour dates, photographs, art, and audio and video files.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.courtneywing.com/"} +{"d:Title": "Women in Docs", "d:Description": "Folk-pop acoustic duo from Brisbane, Australia. Contains biography, gig dates, tour diary, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.womenindocs.com/"} +{"d:Title": "What Made Milwaukee Famous", "d:Description": "Austin, Texas based rock band. Contains biography, show dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whatmademilwaukeefamous.com/"} +{"d:Title": "Wildsteed", "d:Description": "Country/rock band based in Sierra Nevada Mountains of Northern California. Contains biography, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wildsteed.com/"} +{"d:Title": "Wolf, Ashton", "d:Description": "Includes biography and photos of the swing/jazz piano player/vocalist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.ashtonwolf.com/"} +{"d:Title": "Wolfmann, Melissa", "d:Description": "Biography, resume, photographs, news, and sound files for the soprano.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.melissawolfmann.com/Apage.html"} +{"d:Title": "White, Ward", "d:Description": "New York City based rock songwriter. Biography, show dates, reviews, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wardwhite.net/"} +{"d:Title": "Wreckingboy", "d:Description": "Original music, art, and writing by Joaqu\u00edn Ramon Herrera.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wreckingboy.com/"} +{"d:Title": "Webb, Tim", "d:Description": "Acoustic and electric bass player living in Kittery, Maine. Discography, gig dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.tim-webb.com/"} +{"d:Title": "Whyle, Tommy", "d:Description": "Rock/punk/hip-hop artist based in Pittsburgh. News, biography, show dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://tommyrock.net/"} +{"d:Title": "Woods, Matt", "d:Description": "Contains biography, news, tour information, photos, and contact information", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.mattwoodsmusic.com/"} +{"d:Title": "Wilkerson, K.C.", "d:Description": "Includes a biography, discography, lyrics, downloads, and tour dates for the folk music singer.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.risingstarmusic.com/KC/"} +{"d:Title": "Witmer, Denison", "d:Description": "Offers news, tour dates, discographies, photos, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.denisonwitmer.com/"} +{"d:Title": "What Once Was", "d:Description": "Features band information, photos, tour dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.freewebs.com/whatoncewas/"} +{"d:Title": "Watt, Casey", "d:Description": "Australian singer-songwriter. Contains tour dates, discography, contact information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.caseywatt.com/"} +{"d:Title": "White T", "d:Description": "News, biography, audio downloads, and booking information for the Garland, Texas, band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whitet.com/"} +{"d:Title": "Witmer, Rick Allen", "d:Description": "Features a tour schedule, biography, repertoire, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://rickallenwitmer.landeserve.com/"} +{"d:Title": "When Day Descends", "d:Description": "Atmospheric metal band from Tasmania, Australia. Includes news, downloads, discography, and a message forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whendaydescends.com/"} +{"d:Title": "Webb, Rich", "d:Description": "Contains news, discography, MP3 downloads, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.allkiller.com.au/"} +{"d:Title": "Wasa Express", "d:Description": "From Scandinavia. Features news, discography, biographies, photos, and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wasaexpress.net/"} +{"d:Title": "White Flame", "d:Description": "Official Site. Includes a biography, photo gallery, discography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whiteflamemusic.com/"} +{"d:Title": "Westfall, The", "d:Description": "The official website for Milwaukee groove band The Westfall. Includes gig information, setlist, biographies, news about the band and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.thewestfall.com/"} +{"d:Title": "Wildwood, Kathleen", "d:Description": "Inspirational Celtic harpist and storyteller. Information about her writings, recordings and her show.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.kathleenwildwood.com/"} +{"d:Title": "Wilson, Janine", "d:Description": "Blues vocalist from Washington, DC. Includes artist biography, photographs, album information, tour dates and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.crosstownarts.com/janine/"} +{"d:Title": "Windsor, Michael", "d:Description": "Provides links, photos and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.angelfire.com/sk/mchwindsor/"} +{"d:Title": "Watts, Reggie", "d:Description": "Seattle based entertainer who performs music, theater, dance, and comedy. Contains information on projects, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.reggiewatts.com/"} +{"d:Title": "Washboard Willy", "d:Description": "Biography, schedule, and photos of the children's entertainer.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.washboardwilly.com/"} +{"d:Title": "Walsh, Simon", "d:Description": "UK Singer-songwriter. Includes audio, video, photos, news, reviews, show dates, history, discography, and merchandise store.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.simonwalsh.org/"} +{"d:Title": "Wolfe Tones", "d:Description": "Popular Irish folk and trad group. Press cuttings, history, song samples, album information, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wolfetonesofficialsite.com/"} +{"d:Title": "Wadge, Amy", "d:Description": "Folk singer and songwriter from Bristol living in Cardiff. Contains news, a biography, audio samples, lyrics, photographs, and a show schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.amywadge.com/"} +{"d:Title": "Wag, The", "d:Description": "Original New Jersey based pop-rock band. Biography, gig dates, pictures, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://thewagband.com/"} +{"d:Title": "Weston, Randy", "d:Description": "African rhythms composer and pianist. Contains news, biography, discography, contacts, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.randyweston.info/"} +{"d:Title": "Wertico, Paul", "d:Description": "Features Paul's products, biography, concert, club and clinic schedule, discography, videography, and published educational articles.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.paulwertico.com/"} +{"d:Title": "What About Joshua", "d:Description": "Includes a biography, audio downloads, gig information, photo gallery, links, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://home.deds.nl/~joshua/"} +{"d:Title": "White Skull", "d:Description": "Italian power metal band. Contains news, photos, discography, and member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.whiteskull.it/"} +{"d:Title": "Woodward, Josh", "d:Description": "Folk singer and songwriter from Findlay, Ohio. Contains biography, photos, show dates, lyrics, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.joshwoodward.com/"} +{"d:Title": "The Webb Sisters", "d:Description": "Official site. Includes biographies, news, tour dates, forums and music.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.thewebbsisters.com/"} +{"d:Title": "Wells, Jason", "d:Description": "Knoxville, Tennessee based rock artist. Show dates and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jasonwells.com/"} +{"d:Title": "Waldman, Randy", "d:Description": "Arranger, composer, producer and pianist's biography and credits, aviation interests, and sales of his Christmas, motion picture music and jazz recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jazzpilot.com/"} +{"d:Title": "Wiseman, Ron", "d:Description": "Jewish Singer-Songwriter. Includes history, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://ronwiseman.com/"} +{"d:Title": "Wen", "d:Description": "MP3 downloads from the former indie rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://music.plasticity.com/"} +{"d:Title": "Wheelers, The", "d:Description": "Iowa-based band. Site contains news, photos, tour dates, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.bewarethewheelers.com/"} +{"d:Title": "Wilp, Charles", "d:Description": "Original easy listening from the 60's. Also a photographer, advertising conceptualist and artonaut, strange and entertaining.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.atatak.com/e/music/ewilp/ewilp.html"} +{"d:Title": "Weiler, Brenda", "d:Description": "Official site of the folk/rock songwriter and performer. Tour schedule, news, discography with sound files, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.brendaweiler.com/"} +{"d:Title": "Wednesday Week", "d:Description": "Vintage 1980s photographs of the Los Angeles pop/rock band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.waywardswan.com/wednesday_week/"} +{"d:Title": "Watson, Mojo", "d:Description": "Blues singer and guitarist. Includes tour schedule and discography. [Flash.]", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.mojowatson.com/"} +{"d:Title": "Wassy, Brice", "d:Description": "Music incorporates jazz, African, and pop. Ordering and general information, biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.melt.co.za/index.php?main_page=page&id=15&chapter=0"} +{"d:Title": "Wallen, Byron", "d:Description": "Biography of the rap, funk, jazz and reggae artist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.melt.co.za/index.php?main_page=page&id=20&chapter=0"} +{"d:Title": "Welchman, Geoffrey", "d:Description": "Singer/songwriter and guitarist, based in Baltimore, Maryland. Includes album information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.geoffreywelchman.com/"} +{"d:Title": "Wilson, Jason", "d:Description": "Official site includes biography, pictures, performance dates, lyrics, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.jasonwilsonmusic.com/"} +{"d:Title": "Warren, Britt", "d:Description": "Includes biography and audio samples of the guitarist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.brittwarren.com/"} +{"d:Title": "Whitehead, Tim", "d:Description": "Official website of jazz musician, composer and tenor saxophone with gig list, albums, biography and news about the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.timwhitehead.co.uk/"} +{"d:Title": "Walsh, Alex", "d:Description": "Based in San Francisco. Features tour dates, biography, photos, calendar of events, and contact information", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.alexwalsh.com/"} +{"d:Title": "Ward, Clifford T.", "d:Description": "Features a biography, discography, lyrics, photo gallery, and news articles.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.mick-armitage.staff.shef.ac.uk/cliffordt/ward.html"} +{"d:Title": "Weeden, J.", "d:Description": "A self taught pianist and singer/songwriter from Montreal, Canada. Site with audio samples, and artwork.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://jweeden.tripod.com/froot/home.htm"} +{"d:Title": "Winter Dance Party", "d:Description": "Official site for the band from Massachusetts, containing news, audio samples, show schedule, profiles, photographs, and lyrics. [Flash required.]", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://winterdanceparty.tripod.com/"} +{"d:Title": "Waycross Express Show Band", "d:Description": "Seven piece show band from Waycross Georgia. Contains profile and a list of upcoming shows.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://waycrossexpressband.tripod.com/"} +{"d:Title": "Winter, Ophelie", "d:Description": "Provides pictures, biography, commentary and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.askmen.com/celebs/women/singer/36_ophelie_winter.html"} +{"d:Title": "Werner, Kenny", "d:Description": "Jazz pianist, composer and author. Includes biography, itinerary, discography, photo gallery, discussion forums and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://kennywernerlive.com/"} +{"d:Title": "Wheeler, Ronnie Jay", "d:Description": "Singer/songwriter from Oklahoma. Features MP3s, photos, discography, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://ronniejaywheeler.net/"} +{"d:Title": "The Wombats", "d:Description": "News, music, videos, reviews and a forum for this indie band.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.thewombats.co.uk/"} +{"d:Title": "Wallin's Blues", "d:Description": "Blues-based trio from Sweden. Audio, gig list, pictures and press. [English and Swedish.]", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wallinsblues.com/"} +{"d:Title": "Wood, Lesli", "d:Description": "Singer-songwriter from the Austin area. Biography, news, audio samples, show dates and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://hazelberrymusic.com/"} +{"d:Title": "Walker, Les", "d:Description": "Features a biography, discography, photo gallery, tour schedule, and contact information", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://myweb.tiscali.co.uk/leswalker/"} +{"d:Title": "Wild Rovers, The", "d:Description": "Irish American musical entertainment in the Boston area.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wildroversus.com/"} +{"d:Title": "Western Justice", "d:Description": "Tulsa-based country band with tinges of southern rock and rhythm and blues. Includes biography, tourdates, photos, sound files, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.westernjustice.com/"} +{"d:Title": "Williams, Mica Lee", "d:Description": "San Francisco pop-rock musician and composer. Includes news, biography, audio clips, gig dates, lyrics, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://micaleewilliams.com/"} +{"d:Title": "Wilton Said", "d:Description": "Contains tour dates, discography and MP3s, photos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wiltonsaid.com/"} +{"d:Title": "Watchorn, Patsy", "d:Description": "Official website of an Irish singer with news, biography, articles, discography, song lyrics, discussion board, photographs and music samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.patsywatchorn.com/"} +{"d:Title": "Williams, Peter", "d:Description": "Jazz double bass player from Copenhagen, Denmark. Contains information about bands for hire, press releases and concert schedules.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.andallthatjazz.dk/"} +{"d:Title": "Walden, W.G. Snuffy", "d:Description": "Official site containing news, biography, photos, awards, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.snuffywalden.com/"} +{"d:Title": "Willoughby, Brian and Craig", "d:Description": "Tour dates, contact information, biographies, pictures, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.craigandwilloughby.com/"} +{"d:Title": "Williams, Deniece", "d:Description": "Official site for an American singer, songwriter and record producer. Biography, discography, projects, resource links.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://deniecewilliams.com/"} +{"d:Title": "Wilson, Jeff", "d:Description": "Acoustic guitarist. Features biography, audio files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.soundclick.com/bands/default.cfm?bandID=5409"} +{"d:Title": "Weak", "d:Description": "Official site of the French punk rock band includes news, show dates, biography, discography, pictures, and sound files. In English and French.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://weak.pagesperso-orange.fr/"} +{"d:Title": "Woodsiders Barn Dance Band", "d:Description": "Southampton, UK based barn dance band available for bookings in the south of England.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.woodsiders.co.uk/"} +{"d:Title": "Wofsey, Dr. Jill and Gary", "d:Description": "Crestview, Florida based flutist and bassist. Biography and show dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W", "url": "http://www.wofseymusic.com/"} +{"d:Title": "Waco Brothers", "d:Description": "Fan page including articles, photographs, lyrics and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waco_Brothers", "url": "http://www.wacobrothers.com/"} +{"d:Title": "Artist Direct: Waco Brothers", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waco_Brothers", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,538321,00.html"} +{"d:Title": "Wade, Robert", "d:Description": "Features a biography, lyrics, tour dates, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wade,_Robert", "url": "http://robertwade.net/"} +{"d:Title": "Wagon Christ Source", "d:Description": "Includes news, discography, interviews, reviews, message board, photos, links, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wagon_Christ", "url": "http://www.brainwashed.com/vibert/"} +{"d:Title": "Pitchfork: Wagon Christ - Musipal", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wagon_Christ", "url": "http://pitchfork.com/reviews/albums/8555-musipal/"} +{"d:Title": "Purevolume: Waiger", "d:Description": "Includes a short biography and downloadable MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waiger", "url": "http://www.purevolume.com/waiger"} +{"d:Title": "Lyrics On Demand: Waik", "d:Description": "Features lyrics to \"Distant,\" \"Filtered, \"Into The Ground,\" \"Save You,\" \"The Calling,\" \"Twilight,\" and \"You Don't Exist.\"", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waik", "url": "http://www.lyricsondemand.com/w/waiklyrics/"} +{"d:Title": "Bunny Wailer: The Forgotten Music Legend", "d:Description": "Biography and history.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wailer,_Bunny", "url": "http://www.furious.com/perfect/bunny.html"} +{"d:Title": "All Music Guide: Bunny Wailer", "d:Description": "Includes a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wailer,_Bunny", "url": "http://www.allmusic.com/artist/bunny-wailer-p98938"} +{"d:Title": "The Wailers News", "d:Description": "Features biographies, photos, news, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wailers,_The", "url": "http://www.iration.com/wailers/"} +{"d:Title": "A Wailers Addict", "d:Description": "Features a vinyl gallery, past concert listings, articles, and unreleased song samples (required Flash).", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wailers,_The", "url": "http://robertnestamarley.free.fr/"} +{"d:Title": "All Music Guide: The Wailers", "d:Description": "Includes a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wailers,_The", "url": "http://www.allmusic.com/artist/the-wailers-mn0000923432"} +{"d:Title": "Berklee Student Profile: Eric Wainaina", "d:Description": "Includes a brief biography plus audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainaina,_Eric", "url": "http://www.berklee.edu/profiles/wainaina.html"} +{"d:Title": "Rosebud Agency: Loudon Wainwright III", "d:Description": "Provides a biography, discography, news, tour dates and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Loudon,_III", "url": "http://www.rosebudus.com/wainwright/"} +{"d:Title": "Slipcue E-zine: Loudon Wainwright III", "d:Description": "Profile and discography with reviews and recommendations by DJ Joe Sixpack.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Loudon,_III", "url": "http://www.slipcue.com/music/international/celtic/artists/wainwright.html"} +{"d:Title": "Guardian Unlimited: Not just the girl in the songs", "d:Description": "Article about her UK tour.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Martha", "url": "http://www.theguardian.com/uk/1999/nov/13/9"} +{"d:Title": "Canoe.ca: Music in Wainwright's blood", "d:Description": "Article by Dave Veitch of the Calgary Sun.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Martha", "url": "http://jam.canoe.com/Music/Artists/W/Wainwright_Martha/2000/06/14/751588.html"} +{"d:Title": "Rufus Wainwright", "d:Description": "Official site. Biography, news, tour dates, song clips, lyrics, and a message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Rufus", "url": "http://www.rufuswainwright.com/"} +{"d:Title": "Rufus Wainwright", "d:Description": "Small collection of images and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Rufus", "url": "http://www.angelfire.com/ma2/rufus/"} +{"d:Title": "A Rufus Wainwright FAQ", "d:Description": "Answers to frequently asked questions, as well as an image gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Rufus", "url": "http://www.angelfire.com/space/rufusfaq/"} +{"d:Title": "Our Matinee Idol", "d:Description": "Lyrics, MP3 and WAV files, reviews, quotes, a penpal list, images, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Rufus", "url": "http://ourmatineeidol.tripod.com/"} +{"d:Title": "Metacritic: Poses", "d:Description": "Links to multiple critic and user reviews of the \"Poses\" album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wainwright,_Rufus", "url": "http://www.metacritic.com/music/poses/rufus-wainwright"} +{"d:Title": "Grunnen Rocks: The Waistcoats", "d:Description": "Contains audio samples, biography, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waistcoats,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/w/waistcoats.htm"} +{"d:Title": "Austin Chronicle Music: The Waistcoats", "d:Description": "Review of the band's album \"Stark Raving Mod.\"", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waistcoats,_The", "url": "http://www.austinchronicle.com/music/2001-03-16/the-waistcoats-stark-raving-mod/"} +{"d:Title": "John Waite Worldwide Connection", "d:Description": "Includes news, tour dates, biography, discography, fan reviews, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waite,_John", "url": "http://www.johnwaite.com/"} +{"d:Title": "John Waite - Take 2", "d:Description": "Interview from MelodicRock.com.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waite,_John", "url": "http://www.melodicrock.com/interviews/johnwaite99.html"} +{"d:Title": "Archives of Raindogs Listserv", "d:Description": "Archives of the Tom Waits mailing list, and information on how to join.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://listserv.heanet.ie/raindogs.html"} +{"d:Title": "Tom Waits Lyrics", "d:Description": "Lyrics, newsletter, bibliography, and CD trading. Some content is in German.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://www.tomwaits.de/"} +{"d:Title": "IMDb.com: Tom Waits", "d:Description": "Complete filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://www.imdb.com/name/nm0001823/"} +{"d:Title": "The Covers Project: Tom Waits", "d:Description": "Lists the artists' songs covered by others. Part of a larger database intended to create chains of cover songs.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://coversproject.com/artist/tom%20waits"} +{"d:Title": "RollingStone.com: Tom Waits", "d:Description": "Includes biography, discography, pictures, articles, audio and video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://www.rollingstone.com/music/artists/tom-waits"} +{"d:Title": "All Music Guide: Tom Waits", "d:Description": "Includes biography, discography, images, and related information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://www.allmusic.com/artist/p5778"} +{"d:Title": "TV Guide -Tom Waits", "d:Description": "Lists current appearances on television.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://www.tvguide.com/celebrities/tom-waits/177234"} +{"d:Title": "Anti", "d:Description": "News and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://www.anti.com/artists/tom-waits/"} +{"d:Title": "MTV: Tom Waits", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom", "url": "http://www.mtv.com/artists/tom-waits/"} +{"d:Title": "Chico News and Review - Music", "d:Description": "Interview about the release of \"Alice\" and \"Blood Money.\"", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Articles_and_Interviews", "url": "http://www.newsreview.com/issues/chico/2002-05-02/music.asp"} +{"d:Title": "Metroactive Music | John Hammond", "d:Description": "Sonoma County Independent article on musician John Hammond's 2001 'tribute' album, Wicked Grin.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Articles_and_Interviews", "url": "http://www.metroactive.com/papers/sonoma/08.23.01/hammond-0134.html"} +{"d:Title": "New York Rock: Tom Waits", "d:Description": "Contains Real Audio with Big in Japan.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Downloads", "url": "http://www.nyrock.com/interviews/waits_int.htm"} +{"d:Title": "Yesterday is Here: a Tribute to Tom Waits", "d:Description": "All albums through Mule Variations in Real Audio, lyrics to download and cover photos. Site in English and Russian.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Fan_Pages", "url": "http://audio.msk.ru/waits/"} +{"d:Title": "Tom Waits | Russia", "d:Description": "A site accessible in Russian and English. Discography, lyrics, forum, guestbook, links and many MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Fan_Pages", "url": "http://tom-waits.spb.ru/"} +{"d:Title": "Tom Waits", "d:Description": "Lyrics through the Black Rider album, and some information in Russian.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Fan_Pages", "url": "http://lib.ru/SONGS/whaits/"} +{"d:Title": "Tom Waits Silver Cloud Lounge", "d:Description": "A catalogue of all Tom Waits recordings and some lyrics in a nice graphic layout.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Fan_Pages", "url": "http://www.ripcat.free-online.co.uk/tomwaits.htm"} +{"d:Title": "Waitstock", "d:Description": "Information about an annual gathering of fans.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Fan_Pages", "url": "http://www.echonyc.com/~jet66/waits/"} +{"d:Title": "The Eyeball Kid", "d:Description": "Weblog with news about the work of Tom Waits.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Fan_Pages", "url": "http://eyeballkid.blogspot.com/"} +{"d:Title": "Tom Waits Library", "d:Description": "Features biography, quotes, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waits,_Tom/Fan_Pages", "url": "http://www.tomwaitslibrary.com/"} +{"d:Title": "Wakefield", "d:Description": "Fan page for the punk band from Waldorf, Maryland. Includes a forum, fan surveys, upcoming shows, biographies, photographs, and a collection of links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wakefield", "url": "http://www.angelfire.com/md2/wakefield/"} +{"d:Title": "Rick Wakeman's Communications Centre", "d:Description": "Official website containing up to date news and tour information, a discography and background information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wakeman,_Rick", "url": "http://www.rwcc.com/"} +{"d:Title": "RickShows - Wakeman Live At Marlborough", "d:Description": "Review and photographs of Rick Wakeman and the New English Rock Ensemble live in concert in Marlborough, UK.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wakeman,_Rick", "url": "http://homepages.which.net/~simon.arnold1/wakeindex.htm"} +{"d:Title": "MTV: Rick Wakeman", "d:Description": "Includes news, biography, links, real audio clips, and music videos in real video.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wakeman,_Rick", "url": "http://www.mtv.com/artists/rick-wakeman/"} +{"d:Title": "Ready, Butch, Go", "d:Description": "Official site containing a biography, pictures, chat, reviews, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Butch", "url": "http://www.butchwalker.com/"} +{"d:Title": "Yahoo! Groups: Butch Walker", "d:Description": "Mailing list. Includes an archive and information on joining.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Butch", "url": "http://groups.yahoo.com/group/Butch_Walker"} +{"d:Title": "Butch Walker Fan Site", "d:Description": "Contains news, tour dates, audio samples, and links to articles.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Butch", "url": "http://www.butchwalkerfansite.com/"} +{"d:Title": "Jerry Jeff Walker", "d:Description": "Official site. Includes news, biography, band, autobiography information, tour information, discography, fan club, and e-mail list.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Jerry_Jeff", "url": "http://www.jerryjeff.com/"} +{"d:Title": "Jerry Jeff Walker News: Topix", "d:Description": "News about Jerry Jeff Walker continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Jerry_Jeff", "url": "http://www.topix.com/who/jerry-jeff-walker"} +{"d:Title": "Classic Bands: Junior Walker and The All Stars", "d:Description": "Profile of the group.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Junior", "url": "http://www.classicbands.com/jrwalker.html"} +{"d:Title": "Soulwalking: Junior Walker", "d:Description": "Profile with photos, sound files, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Junior", "url": "http://www.soulwalking.co.uk/Junior%20Walker.html"} +{"d:Title": "Tom Simon: Junior Walker And The All Stars", "d:Description": "Biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Junior", "url": "http://www.tsimon.com/walkerjr.htm"} +{"d:Title": "Google Answers: Legendary Sax player Junior Walker", "d:Description": "Collection of articles and quotes about Walker.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Junior", "url": "http://answers.google.com/answers/threadview?id=214315"} +{"d:Title": "History of Rock: Junior Walker and the All Stars", "d:Description": "Profile with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Junior", "url": "http://www.history-of-rock.com/junior_walker_and_the_all_stars.htm"} +{"d:Title": "All Music Guide: Junior Walker", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Junior", "url": "http://www.allmusic.com/artist/junior-walker-p5781"} +{"d:Title": "Scott Walker and the Walker Brothers Photo Archive", "d:Description": "Photos taken by rock photographer Chris Walter.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Scott", "url": "http://www.photofeatures.com/scottwalker/index.html"} +{"d:Title": "All Music Guide: Scott Walker", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walker,_Scott", "url": "http://www.allmusic.com/artist/scott-walker-p21307"} +{"d:Title": "The Skeleton Ravine", "d:Description": "A Wallflowers site, once closed, now reopened and better than before.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.angelfire.com/mn/flowerwall/index.html"} +{"d:Title": "The Wallflowers Breach", "d:Description": "Includes information, biographies, news, articles, pictures, calendar, tour dates, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.angelfire.com/music2/wallflowers725/index.html"} +{"d:Title": "Matt's Wallflowers Page", "d:Description": "Contains photos, song clips, lyrics and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.mattsmusicpage.com/nwallflow.htm"} +{"d:Title": "The Wallflowers Lyrics", "d:Description": "This site has lyrics to songs from the Wallflowers first four studio albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.azlyrics.com/w/wallflowers.html"} +{"d:Title": "Rock On The Net: The Wallflowers", "d:Description": "Rock on the Net includes daily news updates, information on your favorite artists, and a weekly compilation of major music charts.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.rockonthenet.com/artists-w/wallflowers_main.htm"} +{"d:Title": "Wikipedia: The Wallflowers", "d:Description": "A biography of the band through their struggle with their first album to right after the release of Bringing Down The Horse.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://en.wikipedia.org/wiki/The_Wallflowers"} +{"d:Title": "The Wallflowers Official Site", "d:Description": "News items, discography, tour details, stream of an album, and multimedia.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.thewallflowers.com/"} +{"d:Title": "Song Premiere: The Wallflowers, 'Reboot the Mission' | Music News | Rolling Stone", "d:Description": "Click to listen to the Wallflowers' 'Reboot the Mission' The Wallflowers are back with a new record, the group's first since 2005's Rebel, Sweetheart", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.rollingstone.com/music/news/song-premiere-the-wallflowers-reboot-the-mission-20120723"} +{"d:Title": "VH1.com: Jakob Dylan On The Wallflowers Making New Music", "d:Description": "Jakob Dylan talks about The Wallflowers coming together again to make a new album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.vh1.com/video/misc/842506/jakob-dylan-on-the-wallflowers-making-new-music.jhtml#id=1694925"} +{"d:Title": "Audiography: Episode 065: \u201cJakob Dylan (of The Wallflowers)\u201d | Consequence of Sound", "d:Description": "News and reviews of Sufjan Stevens' latest collection of Christmas melodies,\u00a0Bad Brains'\u00a0Into the Future.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://consequenceofsound.net/2012/11/audiography-episode-065-jakob-dylan-of-the-wallflowers/"} +{"d:Title": "WYEP Studio Session: The Wallflowers", "d:Description": "Live in-studio interview and performance from The Wallflowers", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.wyep.org/audio/wyep-studio-session-the-wallflowers"} +{"d:Title": "The Wallflowers | Facebook", "d:Description": "The Wallflowers official Facebook page", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "https://www.facebook.com/thewallflowersmusic"} +{"d:Title": "@TheWallflowers - Official Twitter Account", "d:Description": "This is the official Twitter account for The Wallflowers", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.twitter.com/thewallflowers"} +{"d:Title": "Last.fm: The Wallflowers", "d:Description": "The Wallflowers \u2013 Free listening, videos, concerts, stats and pictures at Last.fm", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.last.fm/music/The+Wallflowers"} +{"d:Title": "MTV.com - The Wallflowers", "d:Description": "As part of the mid-'90s revival of roots-rock, the Wallflowers held a special connection to one of the original inspirations: vocalist/songwriter/guitarist Jakob Dylan.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.mtv.com/artists/the-wallflowers/"} +{"d:Title": "The Wallflowers on Spotify", "d:Description": "The full Wallflowers catalog available for streaming on Spotify", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "https://play.spotify.com/artist/0jJNGWrpjGIHUdTTJiIYeB"} +{"d:Title": "The Wallflowers Live on Letterman - Last.fm Originals", "d:Description": "The Wallflowers Perform Live on the David Letterman show", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://originals.last.fm/partners/the-wallflowers-to-play-live-on-letterman/"} +{"d:Title": "The Wallflowers - \"Love Is a Country\" (Live at WFUV) - YouTube", "d:Description": "http://wfuv.org. The Wallflowers performs"Love Is a Country" live in Studio A. Recorded 10/2/2012. Host: Claudia Marshall Engineer: Jeremy Rainer Cameras: A...", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "https://www.youtube.com/watch?v=mtgKWFKuFho"} +{"d:Title": "The Wallflowers on World Caf\u00e9 on NPR", "d:Description": "In this episode of World Cafe on NPR, listen to excerpts from The Wallflowers recent concert at World Caf\u00e9 Live, including \u201cLove Is a Country\u201d, \u201cSleepwalker\u201d, \u201cFirst One in the Car\u201d and \u201cOne Headlight\u201d, as well as an interview with the band - http://budurl.com/d6yb", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://budurl.com/d6yb"} +{"d:Title": "Metacritic: Breach", "d:Description": "Multiple critic and user reviews for Breach, by The Wallflowers.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wallflowers,_The", "url": "http://www.metacritic.com/music/breach/the-wallflowers"} +{"d:Title": "The Walls", "d:Description": "Official site includes news, history, audio files, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walls,_The", "url": "http://www.thewalls.ie/"} +{"d:Title": "Roddy's Irish Music Show: The Walls", "d:Description": "Interview with Steve and Joe Wall.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walls,_The", "url": "http://www.almostfabulous.com/rc/interviews/walls.php"} +{"d:Title": "CLUAS: The Walls", "d:Description": "Interview with Steve Wall.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walls,_The", "url": "http://www.cluas.com/music/features/thewalls.htm"} +{"d:Title": "Joe Walsh", "d:Description": "Fan page with pictures, tablatures, lyrics, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walsh,_Joe", "url": "http://www.nysse.com/jw/"} +{"d:Title": "Joe Walsh", "d:Description": "Official site with schedules, updates, biography, online movie clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walsh,_Joe", "url": "http://www.joewalsh.com/"} +{"d:Title": "Joe Walsh", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Walsh,_Joe", "url": "http://www.imdb.com/name/nm0909695/"} +{"d:Title": "Rockmagic.net: Waltari", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waltari", "url": "http://lyrics.rockmagic.net/lyrics/waltari/"} +{"d:Title": "Waltari", "d:Description": "Official site with news, tour dates, history, articles, and multimedia files.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waltari", "url": "http://www.waltarimusic.com/"} +{"d:Title": "Waltham the Band", "d:Description": "News, show dates, audio/video clips, and pictures of the Massachusetts band.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waltham", "url": "http://www.walthamtheband.com/"} +{"d:Title": "War", "d:Description": "Profile, discography, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/War", "url": "http://www.soul-patrol.com/funk/war.htm"} +{"d:Title": "All Music Guide: Warlock Pinchers", "d:Description": "Provides a biography, photograph, member profiles, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Warlock_Pinchers", "url": "http://www.allmusic.com/artist/warlock-pinchers-p14673"} +{"d:Title": "ArtistDirect: Warrant", "d:Description": "Biography, message board, reviews, and online store.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Warrant", "url": "http://www.artistdirect.com/artist/warrant/507417"} +{"d:Title": "MTV: Warrant", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Warrant", "url": "http://www.mtv.com/artists/warrant/"} +{"d:Title": "Satan Stole My Teddybear: Warrior Soul", "d:Description": "Reviews of the albums \"Last Decade Dead Century\" and \"Drugs, God and the New Republic\".", "topic": "Top/Arts/Music/Bands_and_Artists/W/Warrior_Soul", "url": "http://www.ssmt-reviews.com/artist/warrior.html"} +{"d:Title": "Dionne Warwick", "d:Description": "The popular singer's official website. Includes a biography, photos, latest news, tour dates and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Warwick,_Dionne", "url": "http://www.dionnewarwick.info/"} +{"d:Title": "An Unofficial Warzone Page", "d:Description": "Includes a biography, discography, photographs and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Warzone", "url": "http://hxcxpride.tripod.com/warzonepage/"} +{"d:Title": "The Queen Of Clubland", "d:Description": "Official Site. Contains news, biography, discography, photographs, concert schedule, articles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wash,_Martha", "url": "http://www.marthawash.com/"} +{"d:Title": "All Music Guide: Dinah Washington", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Washington,_Dinah", "url": "http://www.allmusic.com/artist/dinah-washington-p7773"} +{"d:Title": "Rock and Roll Hall of Fame: Dinah Washington", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Washington,_Dinah", "url": "http://rockhall.com/inductees/dinah-washington/"} +{"d:Title": "Verve Records: Dinah Washington", "d:Description": "Profile, and label discography with sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Washington,_Dinah", "url": "http://www.vervemusicgroup.com/dinahwashington"} +{"d:Title": "TrouserPress.com: Was (Not Was)", "d:Description": "Reviews of the band's first four albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Was_Not_Was", "url": "http://www.trouserpress.com/entry.php?a=was_not_was"} +{"d:Title": "World Wide Was", "d:Description": "News, discography, and links on the band and band members David and Don Was.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Was_Not_Was", "url": "http://worldwidewas.com/"} +{"d:Title": "MTV: Was (Not Was)", "d:Description": "Image, sound clip, brief history, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Was_Not_Was", "url": "http://www.mtv.com/artists/was-not-was/"} +{"d:Title": "Top of the World", "d:Description": "A Watchmen fan site with pictures, biographies, discography, lyrics, articles, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Watchmen,_The", "url": "http://linds_5.tripod.com/"} +{"d:Title": "Canoe: The Watchmen", "d:Description": "The Canadian Online Explorer's collection of articles on The Watchmen's music and career.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Watchmen,_The", "url": "http://jam.canoe.com/Music/Artists/W/Watchmen/"} +{"d:Title": "REG: Roger Waters International Fan Club", "d:Description": "Based in California. Information includes news, tour itinerary, club history, members and joining, discography, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waters,_Roger", "url": "http://www.rogerwaters.org/"} +{"d:Title": "Official Roger Waters Site", "d:Description": "Includes news, tour dates, photos, CD and DVD information, press, audio interviews, and forums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waters,_Roger", "url": "http://www.roger-waters.com/"} +{"d:Title": "Rockmagic.net: Roger Waters", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waters,_Roger", "url": "http://lyrics.rockmagic.net/lyrics/waters_roger/"} +{"d:Title": "Amused to Death: Roger Waters", "d:Description": "Includes album information on both his solo and Pink Floyd works.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waters,_Roger", "url": "http://www.ingsoc.com/waters/"} +{"d:Title": "Roger Waters Facebook", "d:Description": "Official Facebook page of Roger Waters. Roger is most prolific with communicating to fans on this page. Login is not required unless commenting is desired.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waters,_Roger", "url": "https://www.facebook.com/rogerwaters"} +{"d:Title": "Roger Waters Tour - Us and Them 2017-2018", "d:Description": "Complete coverage of Roger Waters Tour of Us and Them 2017-2018. Set lists, images, tickets, band information and new album details.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waters,_Roger", "url": "http://www.rogerwatersusandthemtour.com/"} +{"d:Title": "Waterson:Carthy", "d:Description": "Feature on the group from the Cambridge Folk festival website. Includes photos, and interview with Norma.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waterson-Carthy", "url": "http://www.fatea-records.co.uk/CAMBRID/cam99/Waterson.html"} +{"d:Title": "Topic Records: Waterson:Carthy", "d:Description": "Discography and brief biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waterson-Carthy", "url": "http://www.topicrecords.co.uk/?cat=37"} +{"d:Title": "BBC Newsround: Lauren Waterworth chats to Newsround", "d:Description": "Pete Waterman's latest pop prodigy spills the beans.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waterworth,_Lauren", "url": "http://news.bbc.co.uk/cbbcnews/hi/music/newsid_1991000/1991038.stm"} +{"d:Title": "Yahoo groups: Lauren Waterworth", "d:Description": "Message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waterworth,_Lauren", "url": "http://uk.groups.yahoo.com/group/LaurenWaterworth/"} +{"d:Title": "Jody Watley", "d:Description": "Official site containing news, photographs, merchandise, mailing list and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Watley,_Jody", "url": "http://www.jodywatley.net/"} +{"d:Title": "Russell Watson", "d:Description": "Official site. Profile, discography, selected lyrics, videos, image gallery, events schedule, and fansite links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Watson,_Russell", "url": "http://www.russell-watson.com/"} +{"d:Title": "Mike Watt's Hoot Page", "d:Description": "Official site. Contains upcoming performances, news, biography, interviews and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Watt,_Mike", "url": "http://www.hootpage.com/"} +{"d:Title": "Wave", "d:Description": "Fan site offers brief member profiles, photos, tour dates, news, articles and chat transcripts.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wave", "url": "http://luvsduck9.tripod.com/wave/index.html"} +{"d:Title": "Canoe.ca: Wave", "d:Description": "Archive of articles and reviews from JAM! Showbiz.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wave", "url": "http://jam.canoe.com/Music/Artists/W/Wave/"} +{"d:Title": "H2SO4: Waycross", "d:Description": "Review of Waycross' first album, by Jill Stauffer.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Waycross", "url": "http://h2so4.net/reviews/waycross.html"} +{"d:Title": "TrouserPress.com: We've Got a Fuzzbox and We're Gonna Use It", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/W/We've_Got_a_Fuzzbox_and_We're_Gonna_Use_It", "url": "http://www.trouserpress.com/entry.php?a=weve_got_a_fuzzbox_and_were_gonna_use_it"} +{"d:Title": "The Weakerthans", "d:Description": "Fan site includes news, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weakerthans,_The", "url": "http://www.angelfire.com/ks/weakerthans/"} +{"d:Title": "Lazyeye Review: The Weakerthans", "d:Description": "Review of 'Left and Leaving'.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weakerthans,_The", "url": "http://www.timmcmahan.com/weakerthans.htm"} +{"d:Title": "Ink 19: The Weakerthans", "d:Description": "Review of 'Left and Leaving'.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weakerthans,_The", "url": "http://www.ink19.com/issues/october2000/wetInk/musicTZ/weakerthans.html"} +{"d:Title": "Weakerthans and Lowest of the Low", "d:Description": "Concert photographs from New Music West 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weakerthans,_The", "url": "http://members.tripod.com/newmusicwest2/wtll.html"} +{"d:Title": "Schedule Two: The Weakerthans", "d:Description": "Set of six live videos from a 2007 Minnesota show.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weakerthans,_The", "url": "http://scheduletwo.com/video/the_weakerthans"} +{"d:Title": "Canoe: The Weakerthans", "d:Description": "Several Canadian newspaper articles and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weakerthans,_The", "url": "http://jam.canoe.com/Music/Artists/W/Weakerthans/"} +{"d:Title": "Weathermen, The", "d:Description": "History, audio samples, and mailing list of the electronic music band.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weathermen,_The", "url": "http://www.theweathermen.net/"} +{"d:Title": "TrouserPress.com: Weathermen", "d:Description": "Reviews of \"Ten Deadly Kisses,\" \"The Black Album,\" and \"Beyond the Beyond.\"", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weathermen,_The", "url": "http://trouserpress.com/entry.php?a=weathermen"} +{"d:Title": "Belgian Pop&Rock Archives: The Weathermen", "d:Description": "Features a biography, discography, links, and a message forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weathermen,_The", "url": "http://houbi.com/belpop/groups/weathermen.htm"} +{"d:Title": "Phespirit.info: The Wedding Present", "d:Description": "Includes discography, lyrics and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wedding_Present,_The", "url": "http://www.phespirit.info/theweddingpresent/"} +{"d:Title": "Chester's Wedding Present Page", "d:Description": "Contains articles, tablature, photographs, discography, a FAQ, lyrics, merchandise, audio clips, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wedding_Present,_The", "url": "http://www.severien.nl/chester/wp/"} +{"d:Title": "Scopitones", "d:Description": "Includes history of The Wedding Present and Cinerama, news, tour dates, discography, merchandise and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wedding_Present,_The", "url": "https://scopitones.co.uk/"} +{"d:Title": "Whatever Happened to The Wedding Present?", "d:Description": "Includes a potted history, timeline, discography with sleeve art thumbnails and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wedding_Present,_The", "url": "http://pip.host22.com/music/"} +{"d:Title": "Ween.com", "d:Description": "Ween's official site. News, tourdates, photos, official band merchandise, and exclusive audio downloads. Updated and maintained personally by Dean Ween.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.ween.com/"} +{"d:Title": "Ween.net", "d:Description": "MP3s, video files, news, discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.ween.net/"} +{"d:Title": "Links Fit For Boognish", "d:Description": "Listing of Ween links. Also includes a list of live recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.angelfire.com/fl2/bootlegs/"} +{"d:Title": "The Grobe - Ween", "d:Description": "Ween MP3s, Images, Tourdates, Discography, Links and News.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.angelfire.com/nm/igor/"} +{"d:Title": "Weenville", "d:Description": "Pictures, fan art, MP3 downloads and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.angelfire.com/indie/WEENVILLE/index.html"} +{"d:Title": "Twin Tone Records Ween Page", "d:Description": "Ween biography from 1990 and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.twintone.com/ween.html"} +{"d:Title": "MTV: Ween", "d:Description": "Daily music news, biography, links, RealAudio clips, and music videos in RealVideo.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.mtv.com/artists/ween/"} +{"d:Title": "Metacritic: White Pepper", "d:Description": "Links to several reviews of the Ween album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween", "url": "http://www.metacritic.com/music/white-pepper/ween"} +{"d:Title": "Brown Tracker: Ween Torrents", "d:Description": "Downloadable audio and video files", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween/Downloads", "url": "http://www.browntracker.net/"} +{"d:Title": "Boognish Bar-B-Que....", "d:Description": "Ween pictures from Stubb's, Austin, TX 7-7-00 and 7-8-00.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween/Image_Galleries", "url": "http://members.tripod.com/chango13/Stubbs2000/"} +{"d:Title": "Live Ween Images - The Brownness", "d:Description": "Concert photographs from the White Pepper tour.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Ween/Image_Galleries", "url": "http://www.trovish.org/~rm55/ween/"} +{"d:Title": "Weeping Tile - Canada's Secret", "d:Description": "Offers a biography, articles, song clips and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weeping_Tile", "url": "http://members.tripod.com/~weeping_tile1/"} +{"d:Title": "Weeping Tile - A Basement Apartment", "d:Description": "Fan site includes a tribute, pictures and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weeping_Tile", "url": "http://members.tripod.com/~kimbalina/index-7.html"} +{"d:Title": "Weezer.com", "d:Description": "Official site. Includes a discography, news, merchandise and a message board.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer", "url": "http://www.weezer.com/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Weezer", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer", "url": "http://sfloman.com/weezer.html"} +{"d:Title": "RollingStone.com: Weezer", "d:Description": "Biography, discography, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer", "url": "http://www.rollingstone.com/music/artists/weezer"} +{"d:Title": "Goat Punishment", "d:Description": "Biography, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://goatpunishment.tripod.com/"} +{"d:Title": "The Weezer", "d:Description": "News, pictures, biography, discography, tour dates, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://weezergeek7.tripod.com/"} +{"d:Title": "The Rivers Cuomo Love Fest", "d:Description": "Fan site with lyrics, articles, biographies, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://www.angelfire.com/music4/riverscuomo/"} +{"d:Title": "The Weezer Inc.", "d:Description": "Biography, discography, FAQ, interviews, lyrics, pictures, reviews and sounds.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://home.swipnet.se/~w-39890/"} +{"d:Title": "Weezer", "d:Description": "Offers tabs, lyrics, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://www.youneverlisten.com/bands/weezer/index.html"} +{"d:Title": "Weezer Online", "d:Description": "Offers discography, lyrics, related artists and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://www.weezer-online.com/"} +{"d:Title": "The Weezerchild Domain", "d:Description": "Reviews, pictures, news and info.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://weezerchild.i8.com/"} +{"d:Title": "Mike's World of Weezer", "d:Description": "Live shows, interviews, lyrics, and information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Fan_Pages", "url": "http://www.mikesworldofweezer.com/"} +{"d:Title": "Weezer Lyrics", "d:Description": "A collection of lyrics from many Weezer songs, including some unreleased and rarites.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Lyrics", "url": "http://home.swipnet.se/~w-39890/lyrics.html"} +{"d:Title": "Weezer Lyrics", "d:Description": "Search for lyrics by Album, Song Title, Artist, or browse Alphebetically.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Lyrics", "url": "http://www.lyricsfreak.com/w/weezer/"} +{"d:Title": "Pitchfork Review: Weezer (Green Album)", "d:Description": "Offers a review of \"Weezer\" (The Green Album) by Spencer Owen.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Reviews", "url": "http://pitchfork.com/reviews/albums/8611-weezer-green-album/"} +{"d:Title": "Weezer Tablature Project", "d:Description": "A site attempting to provide correct tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Tablature", "url": "http://www.kzguild.com/wtp/"} +{"d:Title": "Weezer Guitar Tabs", "d:Description": "Weezer Tabs at guitareTAB.com.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Tablature", "url": "http://www.guitaretab.com/w/weezer/"} +{"d:Title": "Songsterr: Weezer", "d:Description": "Collection of tabs for Weezer", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Tablature", "url": "http://www.songsterr.com/a/wsa/weezer-tabs-a200?inst=bass"} +{"d:Title": "EL Scorcho", "d:Description": "Based in Atlanta. Contains news, tour information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weezer/Tribute_Bands", "url": "http://www.elscorchoband.com/"} +{"d:Title": "Entertainment Ave: Scott Weiland", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weiland,_Scott", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/scott_weiland.htm"} +{"d:Title": "All Music Guide: Scott Weiland", "d:Description": "Contains biography, a photograph, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weiland,_Scott", "url": "http://www.allmusic.com/artist/scott-weiland-mn0000836226"} +{"d:Title": "Ocean Planet: Baru Bay", "d:Description": "The story behind the children's book co-written by Weir and his sister.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weir,_Bob", "url": "http://seawifs.gsfc.nasa.gov/OCEAN_PLANET/HTML/bob_weir.html"} +{"d:Title": "Sound Waves Magazine: Bob Weir", "d:Description": "A biographical article.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weir,_Bob", "url": "http://www.swaves.com/Back_Issues/Aug00/Bob_Weir.htm"} +{"d:Title": "Flying Other Brothers: Weir and Hart Show", "d:Description": "Setlists and photographs from two 1999 concerts with Mickey Hart.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weir,_Bob", "url": "http://www.rockument.com/fobbs/dead/gore1.html"} +{"d:Title": "Grateful Dead Family Discography: Bob Weir", "d:Description": "Discography of his works, including solo, band, and compilations.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weir,_Bob", "url": "http://www.deaddisc.com/GDFD_Bob_Weir.htm"} +{"d:Title": "Grateful Dead Time Capsule: Bob Weir", "d:Description": "Biography, timeline, discography, songlist, and album gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weir,_Bob", "url": "http://www.dead101.com/bob.htm"} +{"d:Title": "Launch Groups: Gillian Welch and David Rawlings", "d:Description": "Biography and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welch,_Gillian", "url": "http://launch.groups.yahoo.com/group/whiskey-girl/"} +{"d:Title": "Gillian Welch", "d:Description": "Official site features news, tour dates, discography, and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welch,_Gillian", "url": "http://www.gillianwelch.com/"} +{"d:Title": "AskMen.com: Gillian Welch", "d:Description": "Pictures, biography, and commentary, with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welch,_Gillian", "url": "http://www.askmen.com/celebs/women/singer_200/212_gillian_welch.html"} +{"d:Title": "All Music Guide: Gillian Welch", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welch,_Gillian", "url": "http://www.allmusic.com/artist/gillian-welch-mn0000551465"} +{"d:Title": "Metacritic: Time (The Revelator)", "d:Description": "Reviews by an array of music critics and publications.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welch,_Gillian", "url": "http://www.metacritic.com/music/time-the-revelator/gillian-welch"} +{"d:Title": "IMDB: Lawrence Welk", "d:Description": "Biography and TV shows.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welk,_Lawrence", "url": "http://www.imdb.com/name/nm0919785/"} +{"d:Title": "Woking Class Hero", "d:Description": "Paul Weller, Jam, and Style Council news only mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weller,_Paul", "url": "http://www.angelfire.com/ok3/wokingclasshero/"} +{"d:Title": "Paul Weller", "d:Description": "Official site. News, photos, articles, tour dates, audio, video, discography, forum, mailing list, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weller,_Paul", "url": "http://www.paulweller.com/"} +{"d:Title": "The Blue Cafe - Live Picture Site", "d:Description": "Graham Scales' 'Live Picture Site' site features photos from live performances as well as info on the Paul Weller Weekends, an annual event that takes place in Weller's hometown of Woking, England.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weller,_Paul", "url": "http://easyweb.easynet.co.uk/~litjen/index.htm"} +{"d:Title": "The Paul Weller Corner", "d:Description": "A collection of stuff not usually found elsewhere. Features still photos from Jam videos, PW magazine articles and concert tickets.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weller,_Paul", "url": "http://myweb.tiscali.co.uk/mikejh/PW.htm"} +{"d:Title": "Paul Weller Bootlegs", "d:Description": "Database of live recordings from The Jam, Style Council and solo.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Weller,_Paul", "url": "http://myweb.tiscali.co.uk/gardenstudio/weller-live/"} +{"d:Title": "Grateful Dead Family Discography: Vince Welnick", "d:Description": "Discography of solo and band works, including compilations and contributions.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welnick,_Vince", "url": "http://www.deaddisc.com/GDFD_Vince_Welnick.htm"} +{"d:Title": "GDF: Vince Welnick", "d:Description": "Transcript of a live chat event.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welnick,_Vince", "url": "http://www.gdforum.com/vince.html"} +{"d:Title": "History Through Journalism: Jubilant Reinvention", "d:Description": "An article by Toni A. Brown about the evolution of the Missing Man Formation.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welnick,_Vince", "url": "http://www.tonibrownband.com/welnick25-4.html"} +{"d:Title": "Vince Welnick Interview", "d:Description": "By Paul Gerald for the Memphis Flyer.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welnick,_Vince", "url": "http://www.auburn.edu/~vestmon/welnick9503.html"} +{"d:Title": "Grateful Dead Time Capsule: Vince Welnick", "d:Description": "Timeline, biography, discography, album gallery, and song list.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welnick,_Vince", "url": "http://www.dead101.com/vince.htm"} +{"d:Title": "JamBands.Com: Vince Welnick's New Formation", "d:Description": "An interview by Matt Iarrobino about Welnick's career and the Missing Man Formation.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welnick,_Vince", "url": "http://www.jambands.com/features/1998/11/15/vince-welnick-s-new-formation"} +{"d:Title": "Phoenix New Times: Better off Dead", "d:Description": "An article by Jimmy Magahern about the Phoenix native joining the Grateful Dead.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Welnick,_Vince", "url": "http://www.phoenixnewtimes.com/1995-12-05/music/better-off-deadphoenix-native-vince-welnick-makes-good-on-grateful-expectations/"} +{"d:Title": "Wendy and Lisa", "d:Description": "Official site includes news, audio and video clips, and press. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wendy_and_Lisa", "url": "http://www.wendyandlisa.com/"} +{"d:Title": "TrouserPress: Wendy and Lisa", "d:Description": "Brief review of their first three albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wendy_and_Lisa", "url": "http://www.trouserpress.com/entry.php?a=wendy_and_lisa"} +{"d:Title": "Allmusic: Wendy&Lisa", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wendy_and_Lisa", "url": "http://www.allmusic.com/artist/wendy-lisa-mn0000244537"} +{"d:Title": "AskMen.com - Lizzie West", "d:Description": "Pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/W/West,_Lizzie", "url": "http://www.askmen.com/women/singer_200/205_lizzie_west.html"} +{"d:Title": "HayleyWestenra.com", "d:Description": "Official site. News, music samples, biography, photographs, guestbook, and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westenra,_Hayley", "url": "http://www.hayleywestenra.com/"} +{"d:Title": "Hayley Westenra International", "d:Description": "News, concerts, reviews, audio, video, guestbook, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westenra,_Hayley", "url": "http://www.hayley-westenra-international.com/"} +{"d:Title": "Summer Rain", "d:Description": "Biography, pictures, lyrics, gallery, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westenra,_Hayley", "url": "http://www.freewebs.com/mysummerrain/"} +{"d:Title": "Allmusic: Hayley Westenra", "d:Description": "Biography, discography, songs, credits, and awards.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westenra,_Hayley", "url": "http://www.allmusic.com/artist/p612946"} +{"d:Title": "AskMen.com - Hayley Westenra", "d:Description": "Hayley Westenra pictures and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westenra,_Hayley", "url": "http://www.askmen.com/celebs/women/singer_300/375_hayley_westenra.html"} +{"d:Title": "Hayley Westenra: Facebook", "d:Description": "Westenra's official Facebook group. Features messages from Westenra and comments from group members. (Login Required.)", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westenra,_Hayley", "url": "https://www.facebook.com/hayleywestenra"} +{"d:Title": "Paul Westerberg News: Topix", "d:Description": "News about Paul Westerberg continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westerberg,_Paul", "url": "http://www.topix.com/who/paul-westerberg"} +{"d:Title": "westlifeweb.com", "d:Description": "Includes news, gossip, pictures, interviews and song lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife", "url": "http://www.westlifeweb.com/"} +{"d:Title": "Music Olympus: Westlife", "d:Description": "Gallery of pictures, lyrics of famous songs, guitar tablatures, discography, wallpaper, midi music and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife", "url": "http://www.musicolympus.com/westlife/"} +{"d:Title": "Westlife News: Topix", "d:Description": "News about Westlife continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife", "url": "http://www.topix.com/who/westlife"} +{"d:Title": "MTV: Westlife", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife", "url": "http://www.mtv.com/artists/westlife/"} +{"d:Title": "Westlife", "d:Description": "Official site features blog, pictures, discography, video, lyrics, biography, merchandise, tour dates and diary.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife", "url": "https://www.facebook.com/Westlife"} +{"d:Title": "Yahoo! Groups: Westlife", "d:Description": "Contains message forum, chat room, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Chats_and_Forums", "url": "http://uk.groups.yahoo.com/group/westlife_lovingeachday/"} +{"d:Title": "Essie's Kian Egan Dream Page", "d:Description": "Profile, biography, multimedia files, pictures, interviews, quotes, fun stuff and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Egan,_Kian", "url": "http://www.angelfire.com/boybands/kian/"} +{"d:Title": "Westlife Drive", "d:Description": "Profiles, pictures, astrological signs, and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/stars2/worldofpop4/westlife.html"} +{"d:Title": "Becks Westlife Wonderland", "d:Description": "Includes biographies, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/ok2/becks/"} +{"d:Title": "Official K.e.n.n.y. Westlife", "d:Description": "Biography, articles and interviews, discography and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/pop/kennywl/"} +{"d:Title": "The Westlife Connection", "d:Description": "A site dedicated to the band breaking through in the USA. Also contains pictures, news, TV appearances and events.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/pop/westlifeconnection/"} +{"d:Title": "Ristanty's Westlife Cyber Center", "d:Description": "Pictures, lyrics, songs, funpages, games and Westlife background theme.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/myband2/westlifecyber/"} +{"d:Title": "Sarah's Westlife Page", "d:Description": "Profiles, news, lyrics and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/music2/sazzy/"} +{"d:Title": "Flying with Westlife", "d:Description": "Includes lyrics, audio files, videos, Sunday World column, games, pictures and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://westlife.online.fr/"} +{"d:Title": "Westlife", "d:Description": "Includes MP3s, information, pictures, lyrics, screensaver, MIDIs, and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://members.tripod.com/westlife_luver/"} +{"d:Title": "Marita's Westlife Page", "d:Description": "Articles and profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/journal/marita/"} +{"d:Title": "WestlifeZone", "d:Description": "Band information, news, audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.westlifezone.de/"} +{"d:Title": "Westlife My Life", "d:Description": "Includes profile, history, photographs, lyrics, discography, audio and video clips, tour and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.angelfire.com/band/westlifemylife/"} +{"d:Title": "Imaginary Westlife", "d:Description": "News, tour dates, profiles, pictures, fan fiction, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://imaginarywestlife.tripod.com/"} +{"d:Title": "Wahey - It's Westlife", "d:Description": "Filled with pictures, profiles, downloads, lyrics, the latest news and lots of interactive games and quizzes.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.shortty.8k.com/8kwestlife.html"} +{"d:Title": "Nice Irish Westlife Guys", "d:Description": "Contains wallpapers, news, biographies, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://home.arcor.de/nickaaay/"} +{"d:Title": "Westlife Fanfics", "d:Description": "Features fan fiction, interactive pages, tagboard, and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.freewebs.com/westlife_fanfics/"} +{"d:Title": "Westlife Mania", "d:Description": "Includes interviews, chart listings, calendar, discography, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Fan_Pages", "url": "http://www.tbns.net/gemfilan/main.html"} +{"d:Title": "Sexy Shane", "d:Description": "Facts, lyrics, discography and photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Filan,_Shane", "url": "http://www.angelfire.com/bc/sexyshane/"} +{"d:Title": "Shane Filan's World", "d:Description": "Includes pictures, profile, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Filan,_Shane", "url": "http://www.angelfire.com/boybands/shanefilan/shaneindex.htm"} +{"d:Title": "Captured!", "d:Description": "Frame captures from Westlife's music videos, interviews and behind-the-scenes.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Image_Galleries", "url": "http://westlifecaptured.tripod.com/"} +{"d:Title": "Westlife Chord Zone", "d:Description": "Guitar and piano chords/tablature to songs.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Westlife/Tablature", "url": "http://westlifezone.tripod.com/"} +{"d:Title": "We Talked About Murder", "d:Description": "Fan site. Interview with bassist Chris.", "topic": "Top/Arts/Music/Bands_and_Artists/W/We_Talked_About_Murder", "url": "http://www.angelfire.com/geek/nca/wetalkedaboutmurder.html"} +{"d:Title": "The Austin Chronicle Music: Record Reviews", "d:Description": "The Austin Chronicle Reviews the WTAM CDep.", "topic": "Top/Arts/Music/Bands_and_Artists/W/We_Talked_About_Murder", "url": "http://www.austinchronicle.com/music/2001-02-16/we-talked-about-murder/"} +{"d:Title": "Whale Review", "d:Description": "A review of a 1995 performance at London's Camden Underworld.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whale", "url": "http://www.sean.co.uk/a/musicjournalism/whale.shtm"} +{"d:Title": "Wham!Duran", "d:Description": "England based tribute band, performing the music of both Wham! and Duran Duran. Site contains pictures, a brief history, concert list, audio samples and Wham! lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wham", "url": "http://www.whamduran.com/"} +{"d:Title": "All Music Guide: Wham!", "d:Description": "Biography, discography, album reviews and chart listings.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wham", "url": "http://www.allmusic.com/artist/wham-p5811"} +{"d:Title": "Entertainment Ave: Zebrahead and Wheatus", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wheatus", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/z/zebrahead/ze090800.htm"} +{"d:Title": "Wheatus", "d:Description": "Official website. Contains news, photos, calendar of events, downloads, and a message forum", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wheatus", "url": "http://www.wheatus.com/"} +{"d:Title": "Whiskeytown News: Topix", "d:Description": "News about Whiskeytown continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whiskeytown", "url": "http://www.topix.com/who/whiskeytown"} +{"d:Title": "Lost Highway Records: Whiskeytown", "d:Description": "Record label's site features news, biography, press articles, audio samples, and message board. [Requires Flash]", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whiskeytown", "url": "http://www.losthighwayrecords.com/artist/default.aspx?aid=69"} +{"d:Title": "Andy White", "d:Description": "Official site containing news, discography, information on his book, tour information, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Andy", "url": "http://www.andywhite.com/"} +{"d:Title": "White, Don", "d:Description": "Official site. Includes biography, gig dates, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Don", "url": "http://www.donwhite.net/"} +{"d:Title": "Don White Fans - Simple and True", "d:Description": "Features sound clips, lyrics and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Don", "url": "http://simpleandtrue.homestead.com/"} +{"d:Title": "Karyn White", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Karyn", "url": "http://urban_music.tripod.com/karynwhite.html"} +{"d:Title": "Pink Floyd Interactions Database: Snowy White", "d:Description": "Details of Snowy White's involvement with Pink Floyd and with various members of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Snowy", "url": "http://www.cs.umd.edu/~dekhtyar/pfdb/snowy.html"} +{"d:Title": "Snowy White Fan Club", "d:Description": "Includes a history, news, tour dates, discography and information on joining the fan club.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Snowy", "url": "http://www.snowywhitefanclub.org/"} +{"d:Title": "All Music Guide: Snowy White", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Snowy", "url": "http://www.allmusic.com/artist/snowy-white-mn0000752603"} +{"d:Title": "The Dutch Progressive Rock Page", "d:Description": "Review of Little Wing.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Snowy/Articles_and_Interviews", "url": "http://www.dprp.net/reviews/9804.htm#snowy"} +{"d:Title": "The Dutch Progressive Rock Page", "d:Description": "Review of Keep Out - We Are Toxic.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Snowy/Articles_and_Interviews", "url": "http://www.dprp.net/reviews/9904.htm#snowy"} +{"d:Title": "White, TJ", "d:Description": "Guitarist and songwriter from New York. Contains tour dates, photos, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_TJ", "url": "http://www.angelfire.com/vt/tjw/"} +{"d:Title": "Leocort's Pages: Tony Joe White", "d:Description": "Fan page featuring news, links, photos, articles, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Tony_Joe", "url": "http://www.leocort.nl/index_t.html"} +{"d:Title": "Tony Joe White", "d:Description": "Official website. Features tour dates, discography, biography, lyrics, photo gallery, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White,_Tony_Joe", "url": "http://www.tonyjoewhite.com/"} +{"d:Title": "Rockmagic.net: Whitesnake", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whitesnake", "url": "http://lyrics.rockmagic.net/lyrics/whitesnake/"} +{"d:Title": "OldieLyrics.com: Whitesnake", "d:Description": "Lyrics to all Whitesnake songs ordered by albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whitesnake", "url": "http://www.oldielyrics.com/w/whitesnake.html"} +{"d:Title": "The Unofficial DC&Whitesnake Web Site", "d:Description": "News, information and history from submissions by fans worldwide.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whitesnake", "url": "http://www.whitesnake.com/"} +{"d:Title": "Mane Attraction", "d:Description": "Fan page containing a discography, history, tablature, news, photographs, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Lion", "url": "http://www.angelfire.com/me/xplorer/wlion.html"} +{"d:Title": "White Lion Fan Page", "d:Description": "Contains photos, news, contact information, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Lion", "url": "http://www.white-lion.webs.com/"} +{"d:Title": "All Music Guide: White Lion", "d:Description": "Includes a biography, photograph, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Lion", "url": "http://www.allmusic.com/artist/white-lion-p5817"} +{"d:Title": "MTV: White Lion", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Lion", "url": "http://www.mtv.com/artists/white-lion/"} +{"d:Title": "Highway To Hell: Route 666", "d:Description": "Contains Zombie art, tablatures, wav and midi files, and a discography. Not being updated.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Zombie", "url": "http://members.tripod.com/~Spyder635/index.html"} +{"d:Title": "Astrocreep 2001", "d:Description": "Fan site that includes images and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Zombie", "url": "http://wesorics.tripod.com/zombie/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "White Zombie song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Zombie", "url": "http://lyrics.rockmagic.net/lyrics/white_zombie/"} +{"d:Title": "The White Zombie Website", "d:Description": "White Zombie tablatures, pictures, lyrics, and merchandise links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Zombie", "url": "http://www.youneverlisten.com/bands/whitezombie/index.htm"} +{"d:Title": "Zombie Land II", "d:Description": "White Zombie fanpage with lyrics, pictures, tablatures, video, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Zombie", "url": "http://white.zombie.online.fr/d/zombie/00index.htm"} +{"d:Title": "Psychoholic World of White Zombie", "d:Description": "Information includes lyrical references, sound sources, lyrics, bootleg information, pictures, a discography, and tablatures.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Zombie", "url": "http://homepages.gac.edu/~dkuster/zombie/"} +{"d:Title": "That Creeping Feeling", "d:Description": "A reprinted Pulse magazine interview with Rob Zombie of White Zombie.", "topic": "Top/Arts/Music/Bands_and_Artists/W/White_Zombie/Articles_and_Interviews", "url": "http://homepages.gac.edu/~dkuster/zombie/src/interviews/pulse.html"} +{"d:Title": "The Whitlams", "d:Description": "Official site, with news, tour dates, Tim's tour diary, a discography with audio samples and background notes, press releases, merchandise for sale, video clips and snippets, competitions, and desktop wallpapers. Also the official home of the fan club, Friends of the Whitlams.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whitlams,_The", "url": "http://www.thewhitlams.com/"} +{"d:Title": "Manuella's Whitlams", "d:Description": "A fan presents a discography, lyrics, some photographs, information about the Friends of the Whitlams, media transcripts, and illustrated band member profiles.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Whitlams,_The", "url": "http://www.angelfire.com/band/WHITLAMS/"} +{"d:Title": "The Who Webring", "d:Description": "List of sites in the ring and information on joining.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://thevoid.co.uk/webring/"} +{"d:Title": "Quadrophenia.net", "d:Description": "Archive of Quadrophenia information, including the album, the story and the film.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.quadrophenia.net/"} +{"d:Title": "The Ultimate Who Collection", "d:Description": "A collector's display of his collection of rock memorabilia related to The Who, including rare guitars, drums, gold records and posters.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.whocollection.com/"} +{"d:Title": "Making Time: The Who", "d:Description": "Book and CD reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.makingtime.co.uk/who.html"} +{"d:Title": "Pink Floyd Interactions Database: The Who", "d:Description": "Instances when The Who and Pink Floyd have worked together.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.cs.umd.edu/~dekhtyar/pfdb/who.html"} +{"d:Title": "Matt's Music Page: The Who", "d:Description": "Images, midi, chat, forum, tablature, lyrics, tour dates, sound clips, sheet music, biography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.mattsmusicpage.com/nthewho.htm"} +{"d:Title": "Mailing List Archives", "d:Description": "Archives for a Who mailing list dating back to 1994.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.igtc.com/archives/thewho/index.html"} +{"d:Title": "The Who News: Topix", "d:Description": "News about The Who continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.topix.com/who/the-who"} +{"d:Title": "The Who Mailing List", "d:Description": "Includes an archives and information on how to join the list.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.igtc.com/mailman/listinfo/thewho"} +{"d:Title": "The Hypertext Who", "d:Description": "Biography of members, discography, album reviews, and concert photos going back to 1969.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.thewho.net/"} +{"d:Title": "RollingStone.com: The Who", "d:Description": "Includes biography, discography, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.rollingstone.com/music/artists/the-who"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://rockhall.com/inductees/the-who/"} +{"d:Title": "MTV: The Who", "d:Description": "News, biography, musical influences, audio clips, photos, music videos, bulletin boards, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://www.mtv.com/artists/the-who/"} +{"d:Title": "The Who", "d:Description": "Official site includes history, news, tour dates, photos, movies, videos and store.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The", "url": "http://thewho.com/"} +{"d:Title": "Austin Chronicle: The Who Bettered, The Who's Best", "d:Description": "Article on the re-issue of The Who catalog.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Articles_and_Interviews", "url": "http://www.austinchronicle.com/issues/vol16/issue15/music.who.html"} +{"d:Title": "The Who - Information Center", "d:Description": "Contains records, discography and fanzines. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://www.the-who.net/"} +{"d:Title": "Rich's Who Stuff", "d:Description": "Photo gallery, bootlegs, bootleg cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://www.xmission.com/~legalize/who/"} +{"d:Title": "The Who Rave", "d:Description": "Contains pictures, history, and album covers.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://members.tripod.com/whorave/"} +{"d:Title": "The Who: My Generation and More", "d:Description": "Discography, history, top tens, and price guide for collectors.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://sea-monkeys.tripod.com/thewho/"} +{"d:Title": "The Who in Australia", "d:Description": "Information on concerts and record releases Down Under", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://www.thewho.net/australia/"} +{"d:Title": "WhiteFang's Who Site", "d:Description": "Discography, photo gallery, rarities, collector's items, e-mail discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://www.thewho.info/"} +{"d:Title": "The Who Location Guide", "d:Description": "An online guide to historical Who locations. Includes maps, photographs and descriptions.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://www.thewholocationguide.blogspot.com/"} +{"d:Title": "The Who Forum", "d:Description": "Message forum discussing The Who, plus a section on non-Who topics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Fan_Pages", "url": "http://whochat.proboards.com/"} +{"d:Title": "Philm Freax Digital Archive", "d:Description": "Pictures from the Isle of Wight Festival.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Image_Galleries", "url": "http://www.ibiblio.org/mal/MO/philm/who/"} +{"d:Title": "E-Rock World", "d:Description": "Photos from the Capital Center in Washington, DC, December 13 and 17, 1979.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Image_Galleries", "url": "http://www.e-rockworld.com/Who.htm"} +{"d:Title": "Drum Gods: Keith Moon", "d:Description": "Profile and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Moon,_Keith", "url": "http://drum_gods.tripod.com/drumgods/id9.html"} +{"d:Title": "IMDb: Keith Moon (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Moon,_Keith", "url": "http://www.imdb.com/name/nm0007127/"} +{"d:Title": "Wilson and Alroy's Record Reviews: The Who", "d:Description": "Reviews of several of The Who's and Pete Townshend's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Reviews", "url": "http://www.warr.org/who.html"} +{"d:Title": "The Who - Quadrophenia", "d:Description": "Reprint of a \"Rolling Stone\" review.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Reviews", "url": "http://www.superseventies.com/who3.html"} +{"d:Title": "The Who - Meaty Beaty Big and Bouncy", "d:Description": "Reprint of a \"Circus\" review.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Reviews", "url": "http://www.superseventies.com/who1.html"} +{"d:Title": "The Who - Who's Next", "d:Description": "Reprint of a \"Rolling Stone\" review.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Reviews", "url": "http://www.superseventies.com/who2.html"} +{"d:Title": "Seattle Times: The Who brings back special memories for longtime fans", "d:Description": "Review of the Quadrophenia concert.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Reviews", "url": "http://community.seattletimes.nwsource.com/archive/?date=19961015&slug=2354431"} +{"d:Title": "Prindle Record Reviews", "d:Description": "Reviews of nearly every album by The Who.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Reviews", "url": "http://www.markprindle.com/whoa.htm"} +{"d:Title": "The Tech: Leeds Album Showcases The Who's Live Improvisation", "d:Description": "\"Live at Leeds\" review from MIT's online newspaper.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Reviews", "url": "http://tech.mit.edu/V115/N17/thewho.17a.html"} +{"d:Title": "Whotabs", "d:Description": "Guitar tablature for every album, some rare singles, as well as solo work from the members of The Who.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Tablature", "url": "http://www.thewho.net/whotabs/"} +{"d:Title": "Rockmagic.net: The Who", "d:Description": "Guitar and bass tablature and chords.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Tablature", "url": "http://www.rockmagic.net/guitar-tabs/the_who/"} +{"d:Title": "Who's Who", "d:Description": "Based in the UK. Includes news, gig listings, photographs, merchandise, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Tribute_Bands", "url": "http://www.thewhotribute.com/"} +{"d:Title": "Wholigans, The", "d:Description": "Based in Florida, USA. Concentrating on the \"Live At Leeds\" era. Includes band biography, merchandise, photographs, video and audio, gig listings, message board, blog, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Tribute_Bands", "url": "http://www.thewholigans.com/"} +{"d:Title": "Who's Next", "d:Description": "Based in the UK. Includes gig listings, news, forum, photographs, audio and video, repertoire, links, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Who,_The/Tribute_Bands", "url": "http://www.premierwhotribute.com/"} +{"d:Title": "Satisfied: My Panic Linc", "d:Description": "A CDR list for trading.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Widespread_Panic", "url": "http://www.angelfire.com/or2/satisfied/mycdrlist.html"} +{"d:Title": "Spreadnet", "d:Description": "News, mailing lists, tour dates, audio clips, lyrics, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Widespread_Panic", "url": "http://www.spreadnet.org/"} +{"d:Title": "The Everyday Companion Online", "d:Description": "Catalog of the concerts and songs. Includes performance dates, set lists, and other statistics. Also PDF and Palm OS downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Widespread_Panic", "url": "http://www.everydaycompanion.com/asp/default.asp"} +{"d:Title": "Widespread Panic", "d:Description": "Official site with tour dates, merchandise, and downloads.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/Widespread_Panic", "url": "http://www.widespreadpanic.com/"} +{"d:Title": "Phantasy Panic", "d:Description": "Contains concert information, tour dates, photos, news, and a setlist game.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Widespread_Panic", "url": "http://www.phantasytour.com/wsp"} +{"d:Title": "PanicStream.com", "d:Description": "Provides streaming audio for fans of Widespread Panic to listen to selected concerts in addition to providing fan-submitted photos, downloads and an active discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Widespread_Panic", "url": "http://panicstream.com/"} +{"d:Title": "The Gateway", "d:Description": "A group of Widespread Panic fans who choose to remain drug and alcohol free.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Widespread_Panic", "url": "http://www.soberfans.com/"} +{"d:Title": "Wide World of Wide Mouth Mason", "d:Description": "Includes concert reviews, photos, news, reviews and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wide_Mouth_Mason", "url": "http://members.tripod.com/soundcheckca/frames.html"} +{"d:Title": "Wide Mouthing Off", "d:Description": "Offers band highlights, articles, pictures and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wide_Mouth_Mason", "url": "http://www.angelfire.com/sc2/wwm/"} +{"d:Title": "Wide Mouth Mason", "d:Description": "Blues-rock trio from the Canadian heartland. Website includes a biography, recordings, lyrics and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wide_Mouth_Mason", "url": "https://widemouthmason.wordpress.com/"} +{"d:Title": "Gin Wigmore", "d:Description": "Official site with biography, music, videos, photos, tour information, and a store.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wigmore,_Gin", "url": "http://www.ginwigmore.com/"} +{"d:Title": "Wikipedia: Gin Wigmore", "d:Description": "Includes biographical and career information, a discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wigmore,_Gin", "url": "http://en.wikipedia.org/wiki/Gin_Wigmore"} +{"d:Title": "Facebook: Gin Wigmore", "d:Description": "The artist's official Facebook page with news, photos, videos, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wigmore,_Gin", "url": "https://www.facebook.com/GinWigmore"} +{"d:Title": "Twitter: Gin Wigmore", "d:Description": "The artist's official Twitter feed.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wigmore,_Gin", "url": "https://twitter.com/ginwigmore"} +{"d:Title": "Instagram: Gin Wigmore", "d:Description": "The artist's official Instagram page with photos and short video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wigmore,_Gin", "url": "https://instagram.com/ginwigmore/"} +{"d:Title": "Wilco", "d:Description": "Official site includes news, tour dates, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://www.wilcoworld.net/"} +{"d:Title": "PopMatters - Wilco", "d:Description": "Review of a concert in Towson, Maryland.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://popmatters.com/music/concerts/w/wilco.html"} +{"d:Title": "Glorious Noise Interview with Jay Bennett", "d:Description": "Interview on why he left the group and what roles he played on Yankee Hotel Foxtrot.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://www.gloriousnoise.com/?pg=bennett.php"} +{"d:Title": "A Sea Black with Ink: The Wilco Lyrics Archive", "d:Description": "List of lyrics for all recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://www.bemydemon.org/"} +{"d:Title": "Wilco News: Topix", "d:Description": "News about Wilco continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://www.topix.com/who/wilco"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Wilco", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://www.sfloman.com/wilco.html"} +{"d:Title": "RollingStone.com: Wilco", "d:Description": "Includes biography, discography, pictures, articles, video files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://www.rollingstone.com/music/artists/wilco"} +{"d:Title": "Launch: Wilco", "d:Description": "Pictures, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://new.music.yahoo.com/wilco/"} +{"d:Title": "Been There - Tweedy Talks About Losing His Alt-Country Baggage", "d:Description": "Summerteeth-era interview with Jeff Tweedy from Salon.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilco", "url": "http://www.salon.com/1999/03/17/17int/"} +{"d:Title": "KimWilde.com", "d:Description": "Large fan site features news, discography/videography/bibleography, chronology, articles, pictures, and MIDI files.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilde,_Kim", "url": "http://www.kimwilde.com/"} +{"d:Title": "Kim Wilde TV", "d:Description": "Online programming includes videos, audio, news, press, pictures and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilde,_Kim", "url": "http://www.kimwildetv.com/"} +{"d:Title": "Wilde Wilde Life", "d:Description": "Contains news, biography, photos, chat room, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilde,_Kim", "url": "http://groups.yahoo.com/group/wildewildelife/"} +{"d:Title": "Yahoo! Groups: Wildhearts", "d:Description": "Mailing list with online archives, for discussion of The Wildhearts and the many related bands formed by ex-members.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://groups.yahoo.com/group/Wildhearts/"} +{"d:Title": "Henry's Box of Rocks: The Wildhearts", "d:Description": "Descriptions of unreleased early demo tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://www.users.globalnet.co.uk/~hamnet/wildht.htm"} +{"d:Title": "Yahoo! Groups: SilverGinger 5 Fanclub", "d:Description": "Unofficial club.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://groups.yahoo.com/group/silverginger5fanclub/"} +{"d:Title": "The Wildhearts", "d:Description": "The official site of the British rock band The Wildhearts - the first source for all news and information on the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://www.thewildhearts.com/"} +{"d:Title": "Unofficial Wildhearts/Ginger Forum", "d:Description": "Message board. Must be a member to participate.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://wildhearts.suddenlaunch3.com/"} +{"d:Title": "NME: Wildhearts", "d:Description": "Contains news, reviews, articles and photographs from the British music magazine.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://www.nme.com/artists/wildhearts"} +{"d:Title": "H2G2: The Wildhearts", "d:Description": "Wildhearts biography on The Hitchhiker's Guide to the Galaxy - an all-purpose guide to Earth.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://www.h2g2.com/approved_entry/A164710"} +{"d:Title": "MTV: Wildhearts Biography", "d:Description": "Biography charts the band's rise to fame.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://www.mtv.com/artists/the-wildhearts/"} +{"d:Title": "The Village Voice: Music: Wildhearts Can Be Broken", "d:Description": "Feature/review by Doug Brod, looking at Wildhearts members' new bands - SilverGinger 5, The Yo-Yo's and Grand Theft Audio.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wildhearts", "url": "http://www.villagevoice.com/music/wildhearts-can-be-broken-6415991"} +{"d:Title": "Wild Orchid.net", "d:Description": "Includes articles, lyrics, biography, photos, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wild_Orchid", "url": "http://wildorchid.8m.com/"} +{"d:Title": "AskMen.com: Wild Orchid", "d:Description": "Includes pictures, biography, background information, ratings, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wild_Orchid", "url": "http://www.askmen.com/celebs/women/singer/15_wild_orchid.html"} +{"d:Title": "Wild Orchid Messageboard", "d:Description": "Contains discussion boards, links to fan sites, polls, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wild_Orchid", "url": "http://wildorchid.proboards.com/"} +{"d:Title": "SoundClick: Wild Orchid", "d:Description": "Includes audio tracks, a biography, news, a photo of the band, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wild_Orchid", "url": "http://www.soundclick.com/members/default.cfm?member=wildorchid"} +{"d:Title": "All Music Guide: Wild Orchid", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wild_Orchid", "url": "http://www.allmusic.com/search/artists/wild+orchid"} +{"d:Title": "Wild Strawberries", "d:Description": "Official site offers news, reviews, merchandise, gig information, multimedia and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wild_Strawberries", "url": "http://www.strawberries.com/"} +{"d:Title": "Canoe.ca: Wild Strawberries", "d:Description": "Archive of articles pertaining to the band.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wild_Strawberries", "url": "http://jam.canoe.com/Music/Artists/W/Wild_Strawberries/"} +{"d:Title": "Official Toyah Willcox Web Site", "d:Description": "Includes news, biography, discography, career information, pictures, and her journal.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willcox,_Toyah", "url": "http://www.toyahwillcox.com/"} +{"d:Title": "Creepy Room", "d:Description": "A Toyah fan site with discography, acting credits, images, classifieds, and links to related sites.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willcox,_Toyah", "url": "http://www.users.globalnet.co.uk/~wamphyri/"} +{"d:Title": "Behind The Lake", "d:Description": "A look at some of the musicians that have been part of the Toyah band over the years.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willcox,_Toyah", "url": "http://www.orbit.force9.co.uk/behindthelake/home.htm"} +{"d:Title": "Dreamscape", "d:Description": "News, interviews, image galleries, discography, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willcox,_Toyah", "url": "http://toyah.net/"} +{"d:Title": "IMDb: Toyah Willcox", "d:Description": "The Internet Movie Database includes her filmography, biographical information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willcox,_Toyah", "url": "http://www.imdb.com/name/nm0929679/"} +{"d:Title": "Harmony's Room", "d:Description": "A fan site dedicated to the folk/pop duo.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Andrew_and_David", "url": "http://www.angelfire.com/pop/harmony/"} +{"d:Title": "The Williams Brothers", "d:Description": "A fan page containing a list of albums and links for this duo.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Andrew_and_David", "url": "http://williamsfan.50megs.com/"} +{"d:Title": "The Williams Brothers", "d:Description": "Profile of the duo from a Partridge Family site.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Andrew_and_David", "url": "http://www.cmongethappy.com/people/williamsbrothers.html"} +{"d:Title": "Andy Williams Performing Arts Center", "d:Description": "The official web site for Andy Williams and his Moon River Theatre in Branson, Missouri.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Andy", "url": "http://www.andywilliamspac.com/"} +{"d:Title": "Kathryn Williams", "d:Description": "Official site. About the music, news, chat, and concert info.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Kathryn", "url": "http://www.kathrynwilliams.net/"} +{"d:Title": "Guardian Unlimited: Kathryn Williams, Queen Elizabeth Hall, London", "d:Description": "Concert review.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Kathryn", "url": "http://www.theguardian.com/culture/2001/sep/06/artsfeatures.popandrock"} +{"d:Title": "Lucinda Williams", "d:Description": "The official site for Lucinda Williams.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Lucinda", "url": "http://www.lucindawilliams.com/"} +{"d:Title": "Lucinda Williams Guitar Chords", "d:Description": "Tablatures for about 30 songs.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Lucinda", "url": "http://adamschneider.net/music/lw/"} +{"d:Title": "Lucinda Williams News: Topix", "d:Description": "News about Lucinda Williams continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Lucinda", "url": "http://www.topix.com/who/lucinda-williams"} +{"d:Title": "Metacritic: Essence", "d:Description": "Multiple critic and user reviews of the Lucinda Williams album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Lucinda", "url": "http://www.metacritic.com/music/essence/lucinda-williams"} +{"d:Title": "Paul Williams Music and Acting Page", "d:Description": "Fan site features biographies, interviews, discography, lyrics, filmography, and a list of artists who have performed his songs.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Paul", "url": "http://www.paulwilliamscouk.plus.com/"} +{"d:Title": "All Music Guide: Paul Williams", "d:Description": "Includes a biography, and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Paul", "url": "http://www.allmusic.com/artist/paul-williams-p138514"} +{"d:Title": "Twangin'! Reviews", "d:Description": "A review of the Musings of a Creekdipper album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williams,_Victoria", "url": "http://www.steamiron.com/twangin/r-victoria.williams.html"} +{"d:Title": "True Blue", "d:Description": "The official site of this songwriter and singer. Includes gig guide, photographs, online biography and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Williamson,_John", "url": "http://www.johnwilliamson.com.au/"} +{"d:Title": "Jimitenor.com: Nicole Willis", "d:Description": "Nicole Willis shares her thoughts about the making of her solo album Soul Makeover at jimitenor.com.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Nicole", "url": "http://www.jimitenor.com/nicole.html"} +{"d:Title": "The Official Nicole Willis Site", "d:Description": "The official Nicole Willis site offers you the latest news about Nicole Willis, discography with audio samples, biographical information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Nicole", "url": "http://www.nicolewillis.com/"} +{"d:Title": "Insider One: Soul Makeover Review - 44.1kHz", "d:Description": "Nicole Willis' first solo album Soul Makeover reviewed by InsiderOne - 44.1kHz in 2001.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Nicole", "url": "http://www.insiderone.net/reviews/review071.html"} +{"d:Title": "All Music Guide: Repercussions", "d:Description": "Nicole Willis as a former member of Repercussions in the 1990s.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Nicole", "url": "http://www.allmusic.com/artist/repercussions-mn0000390919"} +{"d:Title": "Ghost Train Interview", "d:Description": "A 1996 interview with Willis.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Wesley", "url": "http://members.tripod.com/~fritzh/Music/Wesley.htm"} +{"d:Title": "Wesley Willis Eulogy", "d:Description": "Open letter from long-time friend and fan Jello Biafra upon learning of his death.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Wesley", "url": "http://www.alternativetentacles.com/jelloonwesley.htm"} +{"d:Title": "Alternative Tentacles: Wesley Willis", "d:Description": "Biography and death announcement from the Chicago-based independent label includes information on his schizophrenia and leukemia with links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Wesley", "url": "http://www.alternativetentacles.com/wesleywillis.htm"} +{"d:Title": "Wesley Willis Dies", "d:Description": "Obituary from RollingStone.com on the death of the street musician favorite of indie rockers.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willis,_Wesley", "url": "http://www.rollingstone.com/music/news/wesley-willis-dies-20030822"} +{"d:Title": "The Willowz", "d:Description": "Official site containing show dates, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willowz,_The", "url": "http://www.thewillowz.com/"} +{"d:Title": "That Willowz Feelin'", "d:Description": "Fansite containing news, photos, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willowz,_The", "url": "http://thewillowz.tripod.com/"} +{"d:Title": "In the Church of Marty Willson-Piper", "d:Description": "Interview.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willson-Piper,_Marty", "url": "http://members.tripod.com/vermontreview/Interviews/church.htm"} +{"d:Title": "The Marty Willson-Piper Setlist Database", "d:Description": "Setlists covering several years.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Willson-Piper,_Marty", "url": "http://faculty.rwu.edu/pwebb/mwp.html"} +{"d:Title": "The Official Brian Wilson Web Site", "d:Description": "Includes a biography, news, tour dates, merchandise, audio/video clips, interviews and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Brian", "url": "http://www.brianwilson.com/"} +{"d:Title": "Brian Wilson", "d:Description": "Focuses on the more recent history of Brian Wilson.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Brian", "url": "http://www.swaves.com/Back_Issues/June99/brian_wilson.htm"} +{"d:Title": "Gravity's Rainbow: Brian Wilson's Smile", "d:Description": "A few notes on this album that was never made.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Brian", "url": "http://www.hyperarts.com/pynchon/gravity/extra/smile.html"} +{"d:Title": "RollingStone.com: Brian Wilson", "d:Description": "Includes biography, discography, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Brian", "url": "http://www.rollingstone.com/music/artists/brian-wilson"} +{"d:Title": "Dennis Wilson and HARMONY", "d:Description": "A bit about Dennis and his boat Harmony; images included.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Dennis", "url": "http://www.cinetropic.com/blacktop/harmony/index.html"} +{"d:Title": "A Place for Dennis Wilson", "d:Description": "Tribute to the singer. Includes some lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Dennis", "url": "http://www.angelfire.com/ca5/ForRosslyn/index1.html"} +{"d:Title": "Denny 4 Ever", "d:Description": "Yahoo group including a message board, photographs, and chat.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Dennis", "url": "http://groups.yahoo.com/group/denny4ever/"} +{"d:Title": "Blue Bamboo Room", "d:Description": "Quotes, pictures, music, a message board, and 'his story'. Plus a collection of interviews and essays written about Dennis and his music.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Dennis", "url": "http://www.danaddington.com/denny/enter.html"} +{"d:Title": "Jackie Wilson Story: The Man, The Music&The Mob", "d:Description": "Synopsis of the book by author Tony Douglas.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Jackie", "url": "http://www.soul-patrol.com/soul/jackie.html"} +{"d:Title": "History of Rock: Jackie Wilson", "d:Description": "Biography with photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Jackie", "url": "http://www.history-of-rock.com/jackie_wilson.htm"} +{"d:Title": "All Music Guide: Jackie Wilson", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Jackie", "url": "http://www.allmusic.com/artist/jackie-wilson-p5843"} +{"d:Title": "Rock and Roll Hall of Fame: Jackie Wilson", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Jackie", "url": "http://rockhall.com/inductees/jackie-wilson/"} +{"d:Title": "Mary Wilson", "d:Description": "Contains a biography, discography, photos, news, appearance dates, fan club information and books she has written.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wilson,_Mary", "url": "http://www.marywilson.com/"} +{"d:Title": "Mario Winans", "d:Description": "Official website. Contains a biography, discography, tour dates, videos, and contact information (requires Flash).", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winans,_Mario", "url": "http://www.mariowinans.co.uk/"} +{"d:Title": "MTV.com: Mario Winans", "d:Description": "Features a biography, discography, downloads, and a photo gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winans,_Mario", "url": "http://www.mtv.com/artists/mario-winans/"} +{"d:Title": "Amy Winehouse", "d:Description": "Official site - with biography, news, photos, audio and video clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winehouse,_Amy", "url": "http://www.amywinehouse.com/"} +{"d:Title": "Guardian Unlimited: Amy Winehouse, Frank", "d:Description": "Review of the first album by Amy Winehouse.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winehouse,_Amy", "url": "http://www.theguardian.com/music/2003/oct/17/jazz.shopping1"} +{"d:Title": "KipWinger.com", "d:Description": "Official site with links, photos, and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winger", "url": "http://www.kipwinger.com/"} +{"d:Title": "Shrine to Kip Winger", "d:Description": "Photos of Kip and the lyrics to the song Seventeen.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winger", "url": "http://members.tripod.com/pease/winger/"} +{"d:Title": "All-Reviews.com - Songs From the Ocean Floor", "d:Description": "Review of the album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winger", "url": "http://www.all-reviews.com/music/songs-from-ocean.htm"} +{"d:Title": "Satan Stole My Teddybear: Winger", "d:Description": "Reviews of the 1988 self-titled album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winger", "url": "http://www.ssmt-reviews.com/artist/winger.html"} +{"d:Title": "MTV: Winger", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winger", "url": "http://www.mtv.com/artists/winger/"} +{"d:Title": "The Second Band ... On The Run", "d:Description": "Article discussing Wings' first US tour, from Rock Around The World.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wings", "url": "http://ratw.com/issues/1/paul_mc.htm"} +{"d:Title": "Paul McCartney Wings It Alone", "d:Description": "1982 interview with Paul from Canada's \"Music Express\" magazine, where he discusses the breakup of Wings.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wings", "url": "http://www.ncf.ca/beatles/mpl.html"} +{"d:Title": "Paul McCartney On Tour", "d:Description": "Wings tours, with band personnel, set lists, tour dates and venues, 1972-1979", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wings", "url": "http://mcbeatle.de/macca/tour/index.html"} +{"d:Title": "Wingspan", "d:Description": "The news, facts, pictures, and history of this 2 CD set that condenses McCartney's career in the 1970s into the early 1980s.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wings", "url": "http://paulmccartney.com/"} +{"d:Title": "Paul McCartney and Wings", "d:Description": "Features photos, trivia, memorabilia, history, and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wings", "url": "http://heyjude80.tripod.com/"} +{"d:Title": "Wings Timeline", "d:Description": "A short biographical timeline of Wings, their albums, and tours.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wings", "url": "http://mcbeatle.de/macca/wings.html"} +{"d:Title": "Edgar Winter Official Web Site", "d:Description": "Biography, discography, merchandise and current tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Winter,_Edgar", "url": "http://www.edgarwinter.com/"} +{"d:Title": "Pinkflag.com", "d:Description": "The official Wire page currently has just tour dates and merchandise mail order.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wire", "url": "http://www.pinkflag.com/"} +{"d:Title": "Idealcopy: The Wire Mail List", "d:Description": "Subscription information for the internet mail list devoted to the discussion of the group Wire and its numerous side projects.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wire", "url": "http://www.mindspring.com/~outdoorminer/wire/"} +{"d:Title": "Pay Attention: I Am Wired", "d:Description": "Fan site includes images, audio files (music and interviews) and a collection of set lists.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wire", "url": "http://patrick.op.het.net.je/wire/"} +{"d:Title": "The Wire Sound Archive", "d:Description": "60 second MP3 clips from 1977 to 2000.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wire", "url": "http://www.brainwashed.com/wire/index.html"} +{"d:Title": "Wireviews", "d:Description": "Contains reviews of Wire, Wir, Swim~, Colin Newman, Bruce Gilbert, and Graham Lewis releases, along with the Wire video page, and dugga.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wire", "url": "http://www.wireviews.com/"} +{"d:Title": "Almost Cool: The Wiseguys - The Antidote", "d:Description": "Aaron Coleman's review: \"a great end-of-the-summer blowout disc, almost arriving too close to the cold for comfort\".", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wiseguys,_The", "url": "http://www.almostcool.org/mr/1441/"} +{"d:Title": "The other Wishbone Ash site", "d:Description": "A bootleg collection.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wishbone_Ash", "url": "http://www.weidelt-web.de/ash.htm"} +{"d:Title": "Wishbone Ash", "d:Description": "The official Wishbone Ash web site", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wishbone_Ash", "url": "http://www.wishboneash.com/"} +{"d:Title": "Wishbone Ash Discography", "d:Description": "With complete background information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wishbone_Ash", "url": "http://www.fubb.fi/"} +{"d:Title": "Harry's Blues Lyrics Online: Jimmy Witherspoon", "d:Description": "Lyrics and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Witherspoon,_Jimmy", "url": "http://blueslyrics.tripod.com/artistswithsongs/jimmy_witherspoon_index.htm"} +{"d:Title": "Stony Plain: Jimmy Witherspoon", "d:Description": "Releases by the label, with audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Witherspoon,_Jimmy", "url": "http://www.stonyplainrecords.com/Web/artist.asp?id=455"} +{"d:Title": "Toronto Blues Society: Jimmy Witherspoon", "d:Description": "Richard Flohil's article about Spoon's friendships with Holger Petersen and Duke Robillard. (May 1, 1997)", "topic": "Top/Arts/Music/Bands_and_Artists/W/Witherspoon,_Jimmy", "url": "http://torontobluessociety.com/1997/05/that-spoon/"} +{"d:Title": "Imdb.com: Jimmy Witherspoon", "d:Description": "Filmography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Witherspoon,_Jimmy", "url": "http://www.imdb.com/name/nm0936761/"} +{"d:Title": "Within Temptation", "d:Description": "Official site from the Dutch gothic rock band. Includes news, tour dates, biography, discography, lyrics, concert reports, pictures, videos, chat, and forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Within_Temptation", "url": "http://www.within-temptation.com/"} +{"d:Title": "The Silent Force", "d:Description": "Official fan club. Includes news, band history, member profiles, discography, lyrics, tour dates, and concert reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Within_Temptation", "url": "http://www.thesilentforce.com/"} +{"d:Title": "The Wolfgang Press Unofficial Homepage", "d:Description": "Fan site includes news, interview and review archive, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wolfgang_Press,_The", "url": "http://lspacey.chat.ru/"} +{"d:Title": "Eyesore: The Wolfgang Press", "d:Description": "Detailed discography with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wolfgang_Press,_The", "url": "http://quimby.gnus.org/html/group/wolfgangpress.html"} +{"d:Title": "4AD: Wolfgang Press", "d:Description": "Biography, discography, and images.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wolfgang_Press,_The", "url": "http://www.4ad.com/artists/thewolfgangpress/"} +{"d:Title": "The Soul of Bobby Womack", "d:Description": "Fan site featuring a biography, magazine articles, tour information, and personal experiences.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Womack,_Bobby", "url": "http://www.angelfire.com/music2/BobbyWomack/index.html"} +{"d:Title": "Wilson and Alroy's Record Reviews: Stevie Wonder", "d:Description": "Short reviews of most of his albums.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.warr.org/stevie.html"} +{"d:Title": "Rock Around the World: Stevie Wonder", "d:Description": "Interview from the time of the release of \"Looking Back.\"", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.ratw.com/issues/16/s_wonder.htm"} +{"d:Title": "The Stevie Wonder Website", "d:Description": "Contains photos and list of albums, songs, and musicians he has worked with.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://steviewonder.free.fr/"} +{"d:Title": "Stevie Wonder Official Site", "d:Description": "Contains news, biography, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.steviewonder.net/"} +{"d:Title": "Oldielyrics.com - Stevie Wonder", "d:Description": "Lyrics listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.oldielyrics.com/s/stevie_wonder.html"} +{"d:Title": "Stevie Wonder Web", "d:Description": "Features news, discography, lyrics, tour information, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.steviewonder-unofficial.com/"} +{"d:Title": "Lyricsfreak.com - Stevie Wonder", "d:Description": "Alphabetically listed lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.lyricsfreak.com/s/stevie+wonder/"} +{"d:Title": "A World of Wonder", "d:Description": "Contains news, biography, discography, and a message forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.steviewonder.org.uk/"} +{"d:Title": "Rock and Roll Hall of Fame: Stevie Wonder", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://rockhall.com/inductees/stevie-wonder/"} +{"d:Title": "The Kennedy: Stevie Wonder", "d:Description": "Profile of the 1999 honoree.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie", "url": "http://www.kennedy-center.org/artist/A3820"} +{"d:Title": "Steviewonderland", "d:Description": "Audio samples, member profiles, set lists, events for the five-piece tribute based in Brighton, England.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Stevie/Tribute_Bands", "url": "http://www.freewebs.com/steviewonderland/"} +{"d:Title": "MTV.com: Wayne Wonder", "d:Description": "Includes artist news, discography and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder,_Wayne", "url": "http://www.mtv.com/artists/wayne-wonder/"} +{"d:Title": "The Wonder Stuff", "d:Description": "Official site with biographies, press releases, and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wonder_Stuff,_The", "url": "http://www.thewonderstuff.co.uk/"} +{"d:Title": "Woodward, Lucy", "d:Description": "Contains biography, audio files, and photos of the female soul/pop/rock artist.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Woodward,_Lucy", "url": "http://www.lucywoodward.com/"} +{"d:Title": "Hawksley Workman", "d:Description": "Official site offers a discography, personal commentary, merchandise, photos, reviews, links and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Workman,_Hawksley", "url": "http://www.hawksleyworkman.com/"} +{"d:Title": "Canoe: Hawksley Workman", "d:Description": "News articles and reviews from several Canadian newspapers.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Workman,_Hawksley", "url": "http://jam.canoe.com/Music/Artists/W/Workman_Hawksley/"} +{"d:Title": "Metropolis Records", "d:Description": "News direct from the source, short biography, discography of releases available from Metropolis and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wumpscut", "url": "http://www.metropolis-records.com/?artists/wumpscut.html"} +{"d:Title": "Sonic Boom", "d:Description": "Interview conducted by Kevin Congdon.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wumpscut/Articles_and_Interviews", "url": "http://www.sonic-boom.com/interview/wumpscut.interview.html"} +{"d:Title": "Bad Subjects: A World of Burnt Corpses", "d:Description": "Interview with Rudy Ratzinger of :Wumpscut: by Christopher Sharrett.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wumpscut/Articles_and_Interviews", "url": "http://bad.eserver.org/issues/1998/37/sharrett.html"} +{"d:Title": "Wrapped In Wire", "d:Description": "Reviews of Dried Blood of Gomorrha, Embryodead, Bunkertor 7 and Music For A Slaughtering Tribe.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wumpscut/Reviews", "url": "http://www.wrappedinwire.com/W/Wumpscut.htm"} +{"d:Title": "Yahoo! Groups: Wurzels", "d:Description": "Features a mailing list, chat room, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wurzels", "url": "http://launch.groups.yahoo.com/group/wurzels/"} +{"d:Title": "Wurzel World", "d:Description": "Official site. Offers history, gig list, discography, photos, merchandise, and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wurzels", "url": "http://www.thewurzels.com/"} +{"d:Title": "The Mangledwurzels", "d:Description": "Tribute band. Includes gig calendar and guide, news, and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wurzels", "url": "http://www.mangledwurzels.co.uk/"} +{"d:Title": "Wah! Discography", "d:Description": "UK releases with cover images.", "topic": "Top/Arts/Music/Bands_and_Artists/W/Wylie,_Pete", "url": "http://members.tripod.com/~dirkh/wahdiscography.htm"} +{"d:Title": "Xero", "d:Description": "Official site of the Cleveland-based band offers a biography, show dates, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/X", "url": "http://www.angelfire.com/band/xero/"} +{"d:Title": "Xiren", "d:Description": "Electronic/rock music from Denver, Colorado, US. Includes MP3 and RealAudio clips, profile, photographs, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/X", "url": "http://xiren.net/"} +{"d:Title": "Xyra and Verborgen", "d:Description": "Self-described \"cabaret rock nouveau\" band. Song samples, biographies, gigs and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/X", "url": "http://www.angelfire.com/va/XyraAndVerborgen/"} +{"d:Title": "Xenoton", "d:Description": "German electronic musician. Profile, news, sound files, reviews, and discography. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/X", "url": "http://xenoton.de/"} +{"d:Title": "Xanadu", "d:Description": "New Zealand synth rock band. News, photos, MP3s, reviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/X", "url": "http://www.angelfire.com/music4/xanadu/"} +{"d:Title": "Xavier Xerxes", "d:Description": "Fun and wacky, guitar oriented heavy metal music, influenced by the likes of Van Halen, Judas Priest, Dokken, Frank Zappa and others.", "topic": "Top/Arts/Music/Bands_and_Artists/X", "url": "http://xavier-xerxes.com/"} +{"d:Title": "X Anima", "d:Description": "Scandinavian art-rock band. Biography, news, tour dates, fan club, audio samples and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/X", "url": "http://www.xanima.eu/"} +{"d:Title": "X Japan", "d:Description": "Official Site translated to English. News, history, audio clips, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/X/X-Japan", "url": "http://members.tripod.com/xjapanmirror/"} +{"d:Title": "Chris and Catrin: X Japan", "d:Description": "Information about the band members, including a discography, lyrics, pictures, history and guest-book.", "topic": "Top/Arts/Music/Bands_and_Artists/X/X-Japan", "url": "http://www.x-japan.de/"} +{"d:Title": "Song Meanings: X-Japan", "d:Description": "Discuss, rate and contribute lyrics by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/X/X-Japan", "url": "http://www.songmeanings.net/artist.php?aid=6582"} +{"d:Title": "XTC Ten Feet Tall", "d:Description": "Unofficial Italian site (in English)- including news, lyrics, forum, chat, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/X/XTC", "url": "http://www.10ft.it/"} +{"d:Title": "Chalkhills: The XTC Site", "d:Description": "The official mailing list for the discussion of the music and recordings of the group. FAQ, archives, news and classifieds.", "topic": "Top/Arts/Music/Bands_and_Artists/X/XTC", "url": "http://chalkhills.org/"} +{"d:Title": "Optimism's Flames", "d:Description": "Audio and video trading list of the band, including live boots, demos, studio outtakes, memorabilia, posters, pins, presskits, and autographed items.", "topic": "Top/Arts/Music/Bands_and_Artists/X/XTC", "url": "http://members.tripod.com/~The_Last_Balloon/index.html"} +{"d:Title": "Guitargonauts", "d:Description": "Official site of former guitarist Dave Gregory, includes news, biography, discography, photos, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/X/XTC", "url": "http://www.guitargonauts.info/"} +{"d:Title": "The XTC Nonsvch Colouring Book", "d:Description": "Images from the Nonsvch cover for you to color, plus the results others have sent in.", "topic": "Top/Arts/Music/Bands_and_Artists/X/XTC", "url": "http://chalkhills.org/images/ncb/"} +{"d:Title": "Canoe: XTC", "d:Description": "A collection of band related articles.", "topic": "Top/Arts/Music/Bands_and_Artists/X/XTC", "url": "http://jam.canoe.com/Music/Artists/X/XTC/"} +{"d:Title": "XzibtZone", "d:Description": "Pictures, audio and video files, lyrics, and wallpaper.", "topic": "Top/Arts/Music/Bands_and_Artists/X/Xzibit", "url": "http://www.angelfire.com/hiphop2/xzibitzone/"} +{"d:Title": "The Hip Hop Cipher", "d:Description": "Brief profile of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/X/Xzibit", "url": "http://www.angelfire.com/hiphop/thecipher/xzibit.html"} +{"d:Title": "Xzibit Central", "d:Description": "Fan site includes pictures, audio, video, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/X/Xzibit", "url": "http://www.xzibitcentral.com/"} +{"d:Title": "Metacritic.com: Restless", "d:Description": "Links to reviews of the Restless album on other sites.", "topic": "Top/Arts/Music/Bands_and_Artists/X/Xzibit", "url": "http://www.metacritic.com/music/restless/xzibit"} +{"d:Title": "Young Gods, The", "d:Description": "The official site of the Swiss band, containing information, news, pictures, discography and Real Audio song clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.younggods.com/"} +{"d:Title": "YSIB", "d:Description": "MP3 sound clips, lyrics, discography, FAQ, pictures, purchase and show information, reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.ysib.com/"} +{"d:Title": "Your Mom SRO", "d:Description": "Madison, WI based progressive psychedelic rock band. History, gig dates, lyrics, discography, sound files, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://uvulittle.com/yourmomsro/"} +{"d:Title": "Young, Eric", "d:Description": "Latest information on EY, including his latest CD, MP3 files, and performance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.erikyoung.com/"} +{"d:Title": "Yoke Shire", "d:Description": "Boston, Massachusetts based progressive rock band. Show information, profile, reviews, articles, news, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.yokeshire.com/"} +{"d:Title": "You", "d:Description": "Official site of the band from Bloomington, IN includes profile, calendar, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://b.rottinghaus.tripod.com/"} +{"d:Title": "Yakooza", "d:Description": "A German alternative rock band (official page).", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.yakooza.de/"} +{"d:Title": "Yikes", "d:Description": "Rock funk band from southern California. Show dates, profiles, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.yikesmonster.com/"} +{"d:Title": "Young, Daniel", "d:Description": "Includes news, photos, sound files, and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.daniellive.com/"} +{"d:Title": "You Call That Art", "d:Description": "Fan site, with pictures, stories about past shows, upcoming show information, venue information, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.angelfire.com/band2/ycta/index.html"} +{"d:Title": "Yo!Bots", "d:Description": "Five futuristic robot rappers. Includes profiles, video, FAQ, games, and MP3 sampler.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.yobots.com/"} +{"d:Title": "Yosi", "d:Description": "New Jersey singer/songwriter and children's entertainer. Profile, show information, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.yosimusic.com/"} +{"d:Title": "Yellow Bell", "d:Description": "South Florida based group. Profile, events, discography, reviews, and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://yellowbellmusic.com/"} +{"d:Title": "Young, Dennis", "d:Description": "Former member of Liquid Liquid, plays marimba, keyboards, drums, roto toms, and percussion and composes his own music. Includes biography, discography, contact details and track downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.dennisyoungmusic.com/"} +{"d:Title": "Young, Darrel and The Last Stage West", "d:Description": "Country and Western musical group located in central Indiana. History, news, MP3s, pictures and biographies.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.laststagewest.com/"} +{"d:Title": "Yesterday's Fatigue", "d:Description": "Rock band from Mesa, Arizona. Sound samples, biography, lyrics, shows, and equipment.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.angelfire.com/music5/yf/"} +{"d:Title": "Young, Terry", "d:Description": "Norwegian independent songwriter-performer. Includes biography, discography, photos, audio, interview, and industry information.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.terryyoung.net/"} +{"d:Title": "Yates, Tom", "d:Description": "British singer-songwriter. News, show dates, lyrics, biography, photos and sample tracks.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://tomyates.com/"} +{"d:Title": "Yellow Number 5", "d:Description": "Lee's Summit, MO based band; features biography, news and MP3 files.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.yellownumberfive.com/"} +{"d:Title": "Yes But", "d:Description": "German alternative rock band; audio, press, gigs and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.yes-but.com/"} +{"d:Title": "Yishai, Shefi", "d:Description": "Israeli musician's site offers album information, lyrics and other projects.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://shefi.com/english/"} +{"d:Title": "Youngers, The", "d:Description": "Official Website for the band that includes schedule, bio, music, merchandise, and tour info.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.theyoungers.com/"} +{"d:Title": "Young, Neil W.", "d:Description": "Canadian country artist's tour dates, news, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.neilwyoung.com/"} +{"d:Title": "Yeager, Billy", "d:Description": "California multi-instrumentalist. Includes information on his music and films.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.billyyeager.com/"} +{"d:Title": "Yellow Brick Road", "d:Description": "Acoustic Americana from the Ozarks. Pictures, show dates and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.theyellowbrick.net/"} +{"d:Title": "Yancey, Ericka", "d:Description": "Profile and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Y", "url": "http://www.soundclick.com/bands/default.cfm?bandID=3393"} +{"d:Title": "Gabriel Yacoub", "d:Description": "Official site of French singer. Lyrics, audio, news, photos, and store. [English and French versions]", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yacoub,_Gabriel", "url": "http://gabrielyacoub.com/"} +{"d:Title": "Wikipedia: Gabriel Yacoub", "d:Description": "Offers a detailed biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yacoub,_Gabriel", "url": "http://en.wikipedia.org/wiki/Gabriel_Yacoub"} +{"d:Title": "Gabriel Yacoub", "d:Description": "Offers a biography, and discography also includes a review of the artists solo years.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yacoub,_Gabriel", "url": "http://www.answers.com/topic/gabriel-yacoub"} +{"d:Title": "All Music Guide", "d:Description": "Includes a biography, discography, also offers details about the artists genere.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yacoub,_Gabriel", "url": "http://www.allmusic.com/artist/p28791"} +{"d:Title": "Yamada, Joe", "d:Description": "Official site for the composer including links page, concert dates and news.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yamada,_Joe", "url": "http://www.joeyamada.com/solopiano.html"} +{"d:Title": "Facebook", "d:Description": "Offers a profile for the artist including a biography, discography, user comments and photos. [Login Required]", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yamada,_Joe", "url": "http://www.facebook.com/joeyamadamusic"} +{"d:Title": "Yandall, Patrick", "d:Description": "Releases from the contemporary jazz musician.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yandall,_Patrick", "url": "http://patrickyandall.com/"} +{"d:Title": "Patrick Yandall", "d:Description": "Facebook page of the artist including updated news, photos and user comments. [Login Required]", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yandall,_Patrick", "url": "http://www.facebook.com/patrick.yandall/"} +{"d:Title": "Patrick Yandall", "d:Description": "Offers a short biography of the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yandall,_Patrick", "url": "http://en.wikipedia.org/wiki/Patrick_Yandall"} +{"d:Title": "World of Weird Al Yankovic Forums", "d:Description": "The biggest forums about Weird Al Yankovic. Moderated by Jon \"Bermuda\" Schwartz.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al", "url": "http://www.weirdalforum.com/"} +{"d:Title": "The Official Weird Al Yankovic Web Site", "d:Description": "The latest news, photos, insider information, FAQs, biography, trivia, and merchandise. Maintained by Al's drummer, Jon \"Bermuda\" Schwartz.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al", "url": "http://www.weirdal.com/"} +{"d:Title": "alt.music.weird-al FAQ", "d:Description": "Frequently Asked Questions list for the usenet newsgroup.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al", "url": "http://www.al-oholicsanonymous.com/faq/index.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al", "url": "http://www.imdb.com/name/nm0946148/"} +{"d:Title": "He's Al... and He's All Right", "d:Description": "Concert review by Alphonse Leong.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Articles_and_Interviews", "url": "http://dropd.com/issue/69/WeirdAl/"} +{"d:Title": "Interview with 'Weird Al' Yankovic - Parody Artist and Star Wars Super Fan", "d:Description": "By Chris Knight. [TheForce.net]", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Articles_and_Interviews", "url": "http://theforce.net/jedicouncil/interview/weirdal.shtml"} +{"d:Title": "The Onion A.V. Club : \"Weird Al\" Yankovic", "d:Description": "Yankovic speaks about the length of his career, the success of his side projects, and his clean-living ways.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Articles_and_Interviews", "url": "http://www.avclub.com/articles/weird-al-yankovic,13677/"} +{"d:Title": "Weird Al for MTV Video Vanguard Award", "d:Description": "Internet petition.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Campaigns_and_Petitions", "url": "http://www.valsadie.com/weirdal/"} +{"d:Title": "Hollywood Walk of Fame Star Campaign for \"Weird Al\" Yankovic", "d:Description": "FAQs, donation information, list of contributors, merchandise, press release, promotional material and discussion group.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Campaigns_and_Petitions", "url": "http://www.weirdalstar.com/"} +{"d:Title": "Make the Rock Hall \"Weird\"", "d:Description": "A grassroots fan campaign dedicated to getting Yankovic inducted into the Rock and Roll Hall of Fame.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Campaigns_and_Petitions", "url": "http://www.allthingsyank.com/rockhall/"} +{"d:Title": "The 27 List", "d:Description": "Mailing list for latest updates on the 27 List - for Weird Al Yankovic fans.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Chats_and_Forums", "url": "http://groups.yahoo.com/group/The27List"} +{"d:Title": "Yahoo Groups: Weird Al", "d:Description": "Free e-mail discussion list.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Chats_and_Forums", "url": "http://groups.yahoo.com/group/weird-al/"} +{"d:Title": "World of \"Weird Al\" Yankovic Forums", "d:Description": "The almost official \"Weird Al\" Yankovic forum featuring Ask Bermuda and lots of fans.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Chats_and_Forums", "url": "http://www.weirdalforum.com/"} +{"d:Title": "Yahoo Groups: Weird Al Yankovic SETI", "d:Description": "Message board and chat room for fans participating in Berkeley's SETI@Home project.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/weirdalyankovicseti/"} +{"d:Title": "Yahoo Groups: Official Weird Al Yankovic Fan Club", "d:Description": "Message board and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/weirdalyankovicfanclub/"} +{"d:Title": "Yahoo Groups: Running With Scissors", "d:Description": "Features message board and chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/runningwithscissors2/"} +{"d:Title": "Yankovic!", "d:Description": "Yahoo parody site provides a directory of Weird Al websites.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://www.yankovic.org/"} +{"d:Title": "The Weird Al Underground", "d:Description": "News, fan art, sound clips and concert schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://www.angelfire.com/mo/alyankovic/"} +{"d:Title": "Weirdness Central", "d:Description": "Concert photos and reviews, MIDI files, art work, lyrics, band member information, album and video covers, links, and other things pertaining to Weird Al Yankovic.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://www.angelfire.com/wv/weirdnesscentral/"} +{"d:Title": "Al-oholics Anonymous", "d:Description": "A Twelve Step program to better understanding of the accordion-playing parody artist through pictures, sound bytes, video clips, interviews, news and other details.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://www.al-oholicsanonymous.com/"} +{"d:Title": "The Weird Al Information Source", "d:Description": "Offering detailed data on Weird Al's past, present, the band, his shoe size, and other information.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://www.al-oholicsanonymous.com/faq/wais.html"} +{"d:Title": "Rainbow Heron's Weird Al Site Spectrum", "d:Description": "Dedicated information source featuring: \"The Newbie's Guide to alt.music.weird-al\"; acronyms and references glossary; archived fan lists and concert series FAQs; original artwork, essays and reviews; trading pages; concert photos; links; and the home of \"Defenders of Rub\u00e9n the Keyboardist\".", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://web.ftc-i.net/~rkanderson/weirdal.htm"} +{"d:Title": "Weird ALvatars Inc.", "d:Description": "Avatars, \"candy hearts,\" information on getting \"The Weird Al Show\" on DVD and Easter eggs.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://www.angelfire.com/weird2/alvatars/"} +{"d:Title": "Mr. Music's Weird Al Pics", "d:Description": "Concert photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://webs.lanset.com/mrmusic1/pics.htm"} +{"d:Title": "Weird-Al Fan DataBase", "d:Description": "The purpose of the Weird-Al Fan DataBase (WAFDB) is to give all worldwide fans of Weird-Al Yankovic a listing of who else is on the net with that one special thing in common, namely that they all love \"Al\".", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://users.telenet.be/ws35075/wafdb/"} +{"d:Title": "All Things Yankovic", "d:Description": "Home of \"The Weird Al Yankovic Songography\"; \"This Day in Al History\"; and \"The UHF 10th Anniversary Page\". Also includes TV schedule, trading page, and the campaign to keep alt.music.weird-al free of trolls.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Fan_Pages", "url": "http://www.allthingsyank.com/"} +{"d:Title": "Sandy's compleat video trade page", "d:Description": "Wanting to trade Al videos?", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Videos_and_Recordings", "url": "http://www.angelfire.com/al/spatulacity/SPATULACITY.html"} +{"d:Title": "Wendy Carlos, P+W Notes", "d:Description": "Tracklist and behind-the-scenes information from Wendy Carlos' official site.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yankovic,_Weird_Al/Videos_and_Recordings/Peter_and_the_Wolf", "url": "http://www.wendycarlos.com/+pwca2.html"} +{"d:Title": "Yanni", "d:Description": "Official site features news, discography, message board, timeline, fan newsletter archive, and photos.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yanni", "url": "http://www.yanni.com/"} +{"d:Title": "Yanni News: Topix", "d:Description": "News about Yanni continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yanni", "url": "http://www.topix.com/who/yanni"} +{"d:Title": "Wikipedia: Yanni", "d:Description": "Offers a detailed biography on the artist including album discography and background information.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yanni", "url": "http://en.wikipedia.org/wiki/Yanni"} +{"d:Title": "Yanni", "d:Description": "Includes a short biography, streaming music and album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yanni", "url": "http://www.last.fm/music/Yanni/"} +{"d:Title": "India 50 presents: Yanni live at the Taj - A Photo Story", "d:Description": "Yanni at the Taj Mahal.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yanni", "url": "http://www.india50.com/yannI.html"} +{"d:Title": "Yardbirds Singles Lyrics", "d:Description": "Lyrics of the singles released when Eric Clapton was with the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yardbirds", "url": "http://www.iem.ac.ru/clapton/cl_lyr/yardbirds.singles.html"} +{"d:Title": "Richmond R&B", "d:Description": "A website about the rock bands The Yardbirds and Downliners Sect", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yardbirds", "url": "http://yardbird.freeservers.com/"} +{"d:Title": "Yardbirds Official World Wide Web Page", "d:Description": "The official site of the band's current incarnation. Tour dates, photos, audio samples, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yardbirds", "url": "http://www.theyardbirds.com/"} +{"d:Title": "The Yardbirds", "d:Description": "Lyrics, lists of key facts about the band, photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yardbirds", "url": "http://www.loughrigg.org/yardbirds/"} +{"d:Title": "Rock and Roll Hall of Fame: The Yardbirds", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yardbirds", "url": "http://rockhall.com/inductees/the-yardbirds/"} +{"d:Title": "Rollingstone.com: The Yardbirds", "d:Description": "Includes biography, discography, and trivia.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yardbirds", "url": "http://www.rollingstone.com/music/artists/the-yardbirds"} +{"d:Title": "Diskant: Urusei Yatsura", "d:Description": "Detailed interview with the band. Includes photographs of members.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yatsura", "url": "http://www.diskant.net/features/urusei-yatsura/"} +{"d:Title": "All Music Guide: Yatsura", "d:Description": "A brief profile, a discography, links to related artists and a photograph of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yatsura", "url": "http://www.allmusic.com/artist/p200422"} +{"d:Title": "Bad Connection", "d:Description": "Unofficial home page with lots of information, album covers and audio files.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yazoo", "url": "http://www.yazoo.org.uk/"} +{"d:Title": "Musicfolio.com: Yazoo", "d:Description": "Band profile, album reviews and ratings.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yazoo", "url": "http://musicfolio.com/modernrock/yazoo.html"} +{"d:Title": "Yazoo", "d:Description": "Official site includes news, tour dates, fan gallery, media, biography and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yazoo", "url": "http://www.yazooinfo.com/"} +{"d:Title": "Yazoo Discography", "d:Description": "Complete discography, including covers, remixes and bootlegs.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yazoo", "url": "http://www.yazooyaz.com/"} +{"d:Title": "Yeah Yeah Yeahs", "d:Description": "Profile, show dates, sound files, and pictures of the New York City band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yeah_Yeah_Yeahs", "url": "http://www.yeahyeahyeahs.com/"} +{"d:Title": "NME.com: Yeah Yeah Yeahs", "d:Description": "News, photos, discography and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yeah_Yeah_Yeahs", "url": "http://www.nme.com/artists/yeah-yeah-yeahs"} +{"d:Title": "YYYS Twitter", "d:Description": "Tweet site for the band including updated tweets.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yeah_Yeah_Yeahs", "url": "http://www.twitter.com/YYYS/"} +{"d:Title": "All Music Guide: Yeah Yeah Yeahs", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yeah_Yeah_Yeahs", "url": "http://www.allmusic.com/artist/yeah-yeah-yeahs-p522831"} +{"d:Title": "RollingStone.com - Yeah Yeah Yeahs", "d:Description": "Biography, discography, reviews, photos, video, charts, trivia, message board and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yeah_Yeah_Yeahs", "url": "http://www.rollingstone.com/music/artists/yeah-yeah-yeahs"} +{"d:Title": "Yeah Yeah Yeahs", "d:Description": "Offers updated news on the band, also includes a bio and cd information.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yeah_Yeah_Yeahs", "url": "http://www.mtv.com/artists/yeah-yeah-yeahs/"} +{"d:Title": "Yello - Mind On Music", "d:Description": "Part of a user-edited database of music information. Includes discography and user ratings of albums.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yello", "url": "http://www.cs.uit.no/Music/ViewGrp?grp_id=391"} +{"d:Title": "Yello Mailing List", "d:Description": "Instructions for joining the Yello list run by Lazlo Nibble.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yello", "url": "http://www.studio-nibble.com/lists/yello.info"} +{"d:Title": "Yello - The HomeBase", "d:Description": "The official Yello page in Switzerland. Includes news about upcoming releases, sound and video samples, history, and an interactive music creation page.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yello", "url": "http://www.yello.com/"} +{"d:Title": "Yello Reference Site", "d:Description": "Unofficial fan site featuring detailed discographies, videographies, a mailing list for news about Yello, and links to other Yello sites.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yello", "url": "http://www.discog.info/yello.html"} +{"d:Title": "Yello Mailing List Archive", "d:Description": "Plain text archives of the mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yello", "url": "http://www.xmission.com/pub/lists/yello/archive/"} +{"d:Title": "Lazlo's Discography Machine: Yello", "d:Description": "Searchable discography, with links to other Yello sites.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yello", "url": "http://pages.swcp.com/~lazlo/cgi-bin/discogs?yello"} +{"d:Title": "The Yello Site", "d:Description": "A picture discography, biographies, lyrics, and news.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yello", "url": "http://www.theyellosite.com/"} +{"d:Title": "Yellowcard", "d:Description": "Official page for the band includes tour dates, photos, album info and a biography of the band.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellowcard", "url": "http://www.yellowcardrock.com/"} +{"d:Title": "Yellowcard", "d:Description": "Includes user submitted tabs for songs by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellowcard", "url": "http://www.xguitar.com/tabs/yellowcard/"} +{"d:Title": "Yellowcard Review", "d:Description": "Paper Walls album review by inside punk.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellowcard", "url": "http://insidepunk.com/reviews/yellowcard-paper-walls-album-review/"} +{"d:Title": "Yellowcard", "d:Description": "Offers a biography on the band and a detailed review of each album.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellowcard", "url": "http://www.sputnikmusic.com/band/Yellowcard"} +{"d:Title": "NME Review", "d:Description": "Offers a review of the band including albums, also offers a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellowcard", "url": "http://www.nme.com/artists/yellowcard"} +{"d:Title": "TrouserPress.com: Yellow Magic Orchestra", "d:Description": "Review of the band's recordings and career up to 1985.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellow_Magic_Orchestra", "url": "http://www.trouserpress.com/entry.php?a=yellow_magic_orchestra"} +{"d:Title": "Yellow Magic Orchestra", "d:Description": "Offers a detailed biography on the band, also including a full discography of each album.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellow_Magic_Orchestra", "url": "http://en.wikipedia.org/wiki/Yellow_Magic_Orchestra"} +{"d:Title": "All Music Guide: Yellow Magic Orchestra", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yellow_Magic_Orchestra", "url": "http://www.allmusic.com/artist/yellow-magic-orchestra-p5886"} +{"d:Title": "Notes From the Edge", "d:Description": "A regular newsletter published by the webmasters of the official Yes site including news, interviews and fan contributions.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://www.nfte.org/"} +{"d:Title": "Perpetual Change - Thirty Years of Yes", "d:Description": "Official site for David Watkinson's book about Yes, Perpetual Change.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://homepages.which.net/~simon.arnold1/yesbook.htm"} +{"d:Title": "Yes List", "d:Description": "Dedicated to providing a list of Yes and Yes-related promotional recordings.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://yeslist.freeservers.com/"} +{"d:Title": "Yes Catalog", "d:Description": "Discography concentrating on promotional releases and other rarities.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://yescat.tripod.com/"} +{"d:Title": "Yesworld: The Yes Online Service", "d:Description": "Official site including news, pictures, biography, sample tracks, discography, video and touring information.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://yesworld.com/"} +{"d:Title": "Yescography", "d:Description": "An online Yes Discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://yescography.tripod.com/"} +{"d:Title": "Yescapade", "d:Description": "Fan-gatherings prior to Yes concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://progedge.com/yescapade/"} +{"d:Title": "Topix: Yes", "d:Description": "Gathers Yes news items from multiple sources across the Internet.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://www.topix.com/who/yes"} +{"d:Title": "Yes", "d:Description": "Offers detailed information about the band including discography, biography and cd releases.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://en.wikipedia.org/wiki/Yes_(band)"} +{"d:Title": "RollingStone.com: Yes", "d:Description": "Includes biography, discography, articles, trivia, pictures, concert files, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://www.rollingstone.com/music/artists/yes"} +{"d:Title": "Yes WebRing", "d:Description": "Yes WebRing with over 100 participating sites", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes", "url": "http://www.webring.org/hub?ring=yesring"} +{"d:Title": "Yahoo Groups : Universal Garden", "d:Description": "Yahoo group dedicated to Jon Anderson and Yes.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Chats_and_Forums", "url": "http://groups.yahoo.com/group/universal_garden/"} +{"d:Title": "Yesomania", "d:Description": "Polish site about Yes. Complete discography, lyrics, gallery and news.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Fan_Pages", "url": "http://yes.art.pl/e/"} +{"d:Title": "Scott's Rock and Soul Album Reviews", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Reviews", "url": "http://sfloman.com/yes.html"} +{"d:Title": "Wonderous Stories", "d:Description": "Based in New York. Includes gig listings, biography, photographs, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Tribute_Bands", "url": "http://www.wonderous-stories.com/"} +{"d:Title": "Aslan", "d:Description": "Based in Japan. Includes photographs, biography, and links. Last updated 2001", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Tribute_Bands", "url": "http://www5a.biglobe.ne.jp/~aslan/aslanhome.htm"} +{"d:Title": "Seyes", "d:Description": "Based in the UK, includes gig listings, band information, photographs, video, contact details, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Tribute_Bands", "url": "http://www.seyes.co.uk/"} +{"d:Title": "Going for the One", "d:Description": "Based in the USA. Includes news, band information, gig listings, video, links, and contact details.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Tribute_Bands", "url": "http://goingfortheone.net/"} +{"d:Title": "Yessongs", "d:Description": "Based in Brazil. Includes biographies, audio, pictures and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yes/Tribute_Bands", "url": "http://yessongsbr.tripod.com/maining.htm"} +{"d:Title": "Sub Pop Records: The Yo-Yos", "d:Description": "Label site with profile, tour dates, discography and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo-Yos,_The", "url": "http://www.subpop.com/artists/the_yo_yos"} +{"d:Title": "The Yo-Yos", "d:Description": "Offers a short biography on the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo-Yos,_The", "url": "http://en.wikipedia.org/wiki/The_Yo-Yos"} +{"d:Title": "FAME Review", "d:Description": "Review of the song by acousticmusic.com.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yoder,_Brad", "url": "http://www.acousticmusic.com/fame/p00748.htm"} +{"d:Title": "Brad Yoder", "d:Description": "Pittsburgh singer-songwriter. Discography, lyrics, MP3s, merchandise, biography, show lists, reviews, and links.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yoder,_Brad", "url": "http://www.bradyoder.com/"} +{"d:Title": "Music Preview", "d:Description": "The artist talks about his new album and its religious overtones.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yoder,_Brad", "url": "http://old.post-gazette.com/pg/07018/754505-42.stm"} +{"d:Title": "Pete Yorn", "d:Description": "Official site with news, biography, audio samples, lyrics, set lists, images and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yorn,_Pete", "url": "http://www.peteyorn.com/"} +{"d:Title": "All Music Guide: Pete Yorn", "d:Description": "Biography, discography and song highlights.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yorn,_Pete", "url": "http://www.allmusic.com/artist/pete-yorn-p470055"} +{"d:Title": "Blogcritics: Band of the Week - Yoshi", "d:Description": "Interview with the band by a neighbour.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yoshi", "url": "http://blogcritics.org/music/article/interview-band-of-the-week-yoshi/"} +{"d:Title": "Yothu Yindi", "d:Description": "Offers a detailed biography and background on the band, also offers a full discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yothu_Yindi", "url": "http://en.wikipedia.org/wiki/Yothu_Yindi"} +{"d:Title": "Young, David", "d:Description": "Biography, discography, and CD information for the instrumental musician.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_David", "url": "http://www.davidyoungmusic.com/"} +{"d:Title": "David Young", "d:Description": "Offers a full biography of the musician.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_David", "url": "http://en.wikipedia.org/wiki/David_Young_(musician)"} +{"d:Title": "James \"J.Y.\" Young - an unofficial website", "d:Description": "Unofficial site includes biography, photo gallery, musical history, interview archives, current tour dates, and links to other fan pages.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_James", "url": "http://www.jamesyoung.ws/"} +{"d:Title": "Yahoo Groups: Day Pass", "d:Description": "Fan community e-mail list, message archive, chat, file-sharing, calendar, and polls.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_James", "url": "http://groups.yahoo.com/group/DayPass"} +{"d:Title": "The James Young Group", "d:Description": "Official site. History, biographies, photographs, and sound clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_James", "url": "http://www.waterdogmusic.com/ralph/artists/james_young/bio.html"} +{"d:Title": "Guitar Player: James Young", "d:Description": "Reprint of an interview by Jim Schwartz.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_James/Articles_and_Interviews", "url": "http://www.racerrecords.com/Styx/GPIntJY.html"} +{"d:Title": "KAOS2000 Interview 1999", "d:Description": "Interview with James Young for KAOS2000.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_James/Articles_and_Interviews", "url": "http://www.kaos2000.net/interviews/styx/jy1999/styxphil99.html"} +{"d:Title": "Vapor Records", "d:Description": "Neil Young's record label. Information about the artists, tour dates including Bridge School concerts, and CD ordering.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.vaporrecords.com/"} +{"d:Title": "HyperRust Never Sleeps", "d:Description": "Homebase of the Rust List mailing list, discography, lyrics, chords and tablatures, tour and performance information and reviews, musical career chronology, news, articles, awards, books, quotes, television and movie appearances.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://hyperrust.org/"} +{"d:Title": "Bridge School", "d:Description": "The benefactor of Neil Young's annual benefit concerts. The school helps physically challenged children overcome their impairments via the use of technology. Concerts are webcast.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.bridgeschool.org/"} +{"d:Title": "Jim's Neil Young Site", "d:Description": "Photo gallery, discography with album notes, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.angelfire.com/ny4/heartofgold/"} +{"d:Title": "Neil Young Appreciation Society", "d:Description": "Publishes the quarterly fanzine Broken Arrow. Online membership application.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.nyas.org.uk/"} +{"d:Title": "Thrasher's Wheat", "d:Description": "News, reviews, and archived posts from the Neil Young community from 1993 to the present.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.thrasherswheat.org/"} +{"d:Title": "Numbers on the Site", "d:Description": "Statistics and setlists of tours from 1966 to the present and an unreleased song performances list.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.butterweck.de/nytourix/index.htm"} +{"d:Title": "Neil Young News: Topix", "d:Description": "News about Neil Young continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.topix.com/who/neil-young"} +{"d:Title": "Neil's Garage", "d:Description": "Official site with tour dates and information about Young's film Greendale, including a trailer, music, lyrics, audio and images from the DVD chapters, and a map of the town.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.neilyoung.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Neil Young", "d:Description": "Inductee profile, bibliography, and timeline.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://rockhall.com/inductees/neil-young/"} +{"d:Title": "All Music Guide: Neil Young", "d:Description": "Offers detailed information about the artist and his work. Includes a biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.allmusic.com/artist/neil-young-p5896"} +{"d:Title": "RollingStone.com: Neil Young", "d:Description": "Biography, photo gallery, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.rollingstone.com/music/artists/neil-young"} +{"d:Title": "MTV: Neil Young", "d:Description": "Album reviews, news, photo gallery, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.mtv.com/artists/neil-young/"} +{"d:Title": "Salon: Neil Young", "d:Description": "Magazine's articles on Neil Young.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil", "url": "http://www.salon.com/topic/neil_young/"} +{"d:Title": "NY Rock: Neil Young", "d:Description": "Still Crazy Horse After All These Years, a review of the Bridge School Concerts CD.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil/Reviews", "url": "http://www.nyrock.com/features/neilyoung.htm"} +{"d:Title": "Jam Music: Neil Young", "d:Description": "Tour and album news and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil/Reviews", "url": "http://jam.canoe.com/Music/Artists/Y/Young_Neil/"} +{"d:Title": "Don't Spook the Horse", "d:Description": "English Neil Young tribute band; includes reveiews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Neil/Tribute_Acts", "url": "http://www.dontspookthehorse.co.uk/"} +{"d:Title": "Paul Young Mailing List", "d:Description": "At Yahoo Groups.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Paul", "url": "http://groups.yahoo.com/group/paul_young/"} +{"d:Title": "Paul Young", "d:Description": "Paul Young Official Web site.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Paul", "url": "http://www.paul-young.com/"} +{"d:Title": "Paul Young (Sad Caf\u00e9)", "d:Description": "Offers a detailed biography on the artist.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_Young_(Sad_Caf%C3%A9)"} +{"d:Title": "William Young Unofficial Group", "d:Description": "Discussion group for fans, that also offers photos, articles and music downloads.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Will", "url": "http://groups.yahoo.com/group/William-Young/"} +{"d:Title": "Will Young Official Website", "d:Description": "News and events, discography with music clips, gallery and downloads. Also has a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Will", "url": "http://www.willyoung.co.uk/"} +{"d:Title": "Will Young Fandom", "d:Description": "Message board community for fans.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young,_Will", "url": "http://willyoungfandom.proboards.com/"} +{"d:Title": "Down For Youngstown", "d:Description": "Profile, pictures, and interviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://members.tripod.com/down4ytown/index.htm"} +{"d:Title": "Youngstown Is Sexy", "d:Description": "A fan site with biographies, rumors, photos, and fan fiction.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://www.angelfire.com/boybands/youngstownissexy/"} +{"d:Title": "Go Go Youngstown", "d:Description": "A Youngstown fan page with tour dates, photos and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://www.angelfire.com/band/GoGoYoungstown/"} +{"d:Title": "Youngstown is on Fire", "d:Description": "A Youngstown fan and humor site. With band member information and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://www.angelfire.com/pop/youngstownisonfire/"} +{"d:Title": "Upstate NY Youngstown Street Team", "d:Description": "Includes news, pictures, and information on the team.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://www.angelfire.com/ny4/ytstreetteam/"} +{"d:Title": "Youngstown Charm", "d:Description": "Includes profiles, lyrics, tour information, pictures, and links. Also includes information on the SC street team.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://ytscteamleader.tripod.com/YoungstownCharm/"} +{"d:Title": "Pedal to the Steel", "d:Description": "A Youngstown fan site which includes biographies, lyrics, pictures, and appearance dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://www.angelfire.com/ca4/youngstown1/"} +{"d:Title": "Sugar: A Youngstown Site", "d:Description": "Includes profiles, pictures, quotes, articles, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://www.angelfire.com/pop2/sugar4yt/"} +{"d:Title": "Youngstown's So Tight", "d:Description": "Fan club at Yahoo.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://launch.groups.yahoo.com/group/youngstownssotight/"} +{"d:Title": "Youngstown Wiki", "d:Description": "Offers a detailed biography and complete discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Youngstown", "url": "http://en.wikipedia.org/wiki/Youngstown_(band)"} +{"d:Title": "The Young Fresh Fellows", "d:Description": "Fan site with history and news, discography, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young_Fresh_Fellows", "url": "http://www.angelfire.com/sk/seattlebands/yff.html"} +{"d:Title": "Young Fresh Fellows", "d:Description": "Offers a full biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Young_Fresh_Fellows", "url": "http://en.wikipedia.org/wiki/Young_Fresh_Fellows"} +{"d:Title": "Yahoo Groups: Punkarella", "d:Description": "Official fan e-mail list.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/You_Am_I", "url": "http://launch.groups.yahoo.com/group/punkarella/"} +{"d:Title": "You Am I", "d:Description": "Offers a detailed bio, discography, also offers a list of current and former band members.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/You_Am_I", "url": "http://en.wikipedia.org/wiki/You_Am_I"} +{"d:Title": "You Am I Review", "d:Description": "Offers a detailed review of each album by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/You_Am_I", "url": "http://www.sputnikmusic.com/band/You+Am+I"} +{"d:Title": "You Am I", "d:Description": "Official site with news, tour information, discography and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/You_Am_I", "url": "http://www.youami.com.au/"} +{"d:Title": "AMG Overview", "d:Description": "Offers a review of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/You_Am_I", "url": "http://www.allmusic.com/artist/p144710"} +{"d:Title": "Lyrics and Tab Archive", "d:Description": "Includes lyrics, and tabs.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://members.tripod.com/~Yo_La_Tengo/index.htm"} +{"d:Title": "They've Got It", "d:Description": "An interview with Yo La Tengo's Ira Kaplan (The Reader/LazyEye - March 1998", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.timmcmahan.com/yo_la_tengo.htm"} +{"d:Title": "James McNew interview", "d:Description": "by Theresa Stern (December 1996)", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.furious.com/perfect/ylt.html"} +{"d:Title": "Yo La Tengo's official site", "d:Description": "The band, Yo La Tengo offers this site with news, photos, audio samples and merchandise. There are also some games, animations and drawings by Jad Fair and others.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.yolatengo.com/"} +{"d:Title": "Tabs and lyrics archive", "d:Description": "You can find here Yo La Tengo tabs and lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://ylt.czweb.org/"} +{"d:Title": "The Onion AV Club: Yo La Tengo", "d:Description": "Interview with singer and guitarist Ira Kaplan about comedy, Yo La Tengo's place in a specific scene, and commercial pursuits.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.avclub.com/articles/yo-la-tengo,13648/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Yo La Tengo", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.sfloman.com/yolatengo.html"} +{"d:Title": "Yo La Tengo", "d:Description": "Includes a detailed biography and dicography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://en.wikipedia.org/wiki/Yo_La_Tengo"} +{"d:Title": "Yo La Tengo Bar/None Records", "d:Description": "Offers a short biography and discography of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.bar-none.com/yo-la-tengo.html"} +{"d:Title": "Yo La Tengo", "d:Description": "Offers a detailed biography of the artist including a discography and other album reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.last.fm/music/yo+la+tengo"} +{"d:Title": "RollingStone.com: Yo La Tengo", "d:Description": "Includes biography, discography, trivia, pictures, articles, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.rollingstone.com/music/artists/yo-la-tengo"} +{"d:Title": "Yahoo Music: Yo La Tengo", "d:Description": "Includes videos.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://new.music.yahoo.com/yo-la-tengo/"} +{"d:Title": "All Music Guide", "d:Description": "Offers a detailed review of Ride the Tiger by the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://allmusic.com/album/r22470"} +{"d:Title": "Contactmusic.com - Yo La Tengo", "d:Description": "Yo La Tengo \"Summer Sun\" album review.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.contactmusic.com/pages/yolatengox01x05x03"} +{"d:Title": "Yo La Tengo", "d:Description": "Offers photos of the band playing from the venue Magnetic Field.", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.brooklynvegan.com/the-abones-cond/"} +{"d:Title": "Metacritic: Danelectro", "d:Description": "Multiple critic and user reviews for Danelectro", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.metacritic.com/music/danelectro-ep/yo-la-tengo"} +{"d:Title": "Metacritic: And Then Nothing Turned Itself Inside-Out", "d:Description": "Multiple critic and user reviews for And Then Nothing Turned Itself Inside-Out", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yo_La_Tengo", "url": "http://www.metacritic.com/music/and-then-nothing-turned-itself-inside-out/yo-la-tengo"} +{"d:Title": "Yuppie Flu Wikipedia", "d:Description": "Offers a detailed biography and discography of the band. [Italian]", "topic": "Top/Arts/Music/Bands_and_Artists/Y/Yuppie_Flu", "url": "http://it.wikipedia.org/wiki/Yuppie_Flu"} +{"d:Title": "Zee, Mike", "d:Description": "A musician who describes his style as \"Triangular Electro.\" Includes sound excerpts and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://mzentertainment.com/"} +{"d:Title": "Zoar", "d:Description": "Gothic band producing ambient soundtracks, includes biography, press clippings, live performances and audio.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zoar.com/"} +{"d:Title": "Zamir Chorale of Boston", "d:Description": "Non-profit mixed chorus who perform of Jewish choral music. Includes schedule, press clippings, recordings and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zamir.org/"} +{"d:Title": "Zim Zemarel Orchestra, The", "d:Description": "Reviews, recordings and appearances of this Baltimore-based big band.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.swazze.com/"} +{"d:Title": "Zazanis, Elena", "d:Description": "A female vocalist. Music, lyrics, contact details, biography and upcoming performances.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.elenazazanis.com/"} +{"d:Title": "Zen Ponies", "d:Description": "Includes tour dates, upcoming news, member information, a discussion forum, and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zenponies.com/"} +{"d:Title": "Zapata, Ziggy", "d:Description": "An Australian cabaret and concert guitarist. Includes biography and a performance schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.ziggy.com.au/"} +{"d:Title": "Zuzu's Petals", "d:Description": "Includes a discography and a short biography for this female trio.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.twintone.com/zuzu.html"} +{"d:Title": "Zepeda, Ray", "d:Description": "Information for the Jazz Saxophonist's \"Step by Step\" release. Includes a track listing, featured musicians and influences.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://home.earthlink.net/~rpzepeda/"} +{"d:Title": "Zenobia, Molly", "d:Description": "A female pianist, vocalist and songwriter. Biography, news and song excerpts.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.mollyzenobia.com/"} +{"d:Title": "Zero Hour, The", "d:Description": "The official site of a punk-hardcore band from Sarasota, Florida. Includes biographies, lyrics, news and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.angelfire.com/punk/thezerohour/"} +{"d:Title": "Zifa", "d:Description": "A world music artist whose site contains news, a biography, sound files, lyrics, and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zifa.nu/"} +{"d:Title": "Zooey", "d:Description": "Indie band, from Florida, US. Includes band news, MP3 audio clips, biographies, upcoming shows, and mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.angelfire.com/indie/zooey/"} +{"d:Title": "Zona Marginal", "d:Description": "Portuguese pop/rock artist. MP3s and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://zona_marginal.tripod.com/"} +{"d:Title": "Zony Mash", "d:Description": "Seattle-based Jazz quartet, includes press reviews, biographies of members, news, pictures and a mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zonymash.com/"} +{"d:Title": "Zookeepers, The", "d:Description": "A \"Folkadelic party rock\" group from Los Angeles, US. Biography, sound clips, photographs, tour schedule and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.thezookeepers.com/"} +{"d:Title": "Zonshine, Arie", "d:Description": "Male composer of theater music, includes his current projects, a biography and details of his recording studio.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zonshine.com/"} +{"d:Title": "Zigante, Fr\u00e9d\u00e9ric", "d:Description": "French Classical guitarist. Includes a biography, press releases, discography and tour information.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.fredericzigante.com/indexen.htm"} +{"d:Title": "Zapen, Rebecca", "d:Description": "Jazz violinist/vocalist from Florida. Audio clips, photos, mailing list, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zapen.com/"} +{"d:Title": "Zmrzlina", "d:Description": "Post punk band based in San Francisco, US. Includes news, photograph gallery, MP3 audio clips, and gig information.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zmrzlina.com/"} +{"d:Title": "Zhurbin, Lev", "d:Description": "Russian male violist and composer. Offers MP3 audio excerpts for free download, discography and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.ljova.com/"} +{"d:Title": "Zaza, Neil", "d:Description": "The official site of the melodic instrumental guitarist. Includes biography, FAQ, MP3s, mailing list and schedule.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.neilzaza.com/"} +{"d:Title": "ZaZa", "d:Description": "French born singer-songwriter performing \"New World Pop\" with an ethnic twist\". Includes biography and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zaza-s.com/"} +{"d:Title": "Zayas, Juana", "d:Description": "Cuban-American pianist, famous for her Chopin interpretations. Includes biography, discography, schedule and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.juanazayas.com/"} +{"d:Title": "Zeitler, William Wilde", "d:Description": "Composer of music for the Glass Armonica. Includes information about the instrument, biography and audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.glassarmonica.com/"} +{"d:Title": "Zenzo", "d:Description": "Japanese amateur musician, who composes instrumental and Japanese music. Songs are available in Real Audio format.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.bekkoame.ne.jp/~zenzo/index-e.html"} +{"d:Title": "Zone 4", "d:Description": "A San Diego, CA based rock and roll band that composes and performs original material. Biographies, photographs, chatroom, and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zone4rocks.com/"} +{"d:Title": "Z. Wheeler", "d:Description": "Four-piece alternative rock band from New York City, US. Includes biography, history, pictures and Real Audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.angelfire.com/ny2/zwheeler/"} +{"d:Title": "Ziskin, Zach", "d:Description": "Official site for the south-floridian pop artist, including audio, photos, merchandise, journal, tour dates and fan club information.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zachziskin.com/"} +{"d:Title": "Zero as the Reference", "d:Description": "A home recording project with rock, pop and blues influences. Includes a discography, downloads, resources, and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.cubanfanbelt.com/zero/"} +{"d:Title": "Zollitsch, Robert", "d:Description": "German composer, performer, and producer of world music. Biography, discography, reviews, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zollitsch.com/"} +{"d:Title": "Ziegler, Harald Sack", "d:Description": "News, discography, and audio samples from the German musician.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.haraldsackziegler.de/"} +{"d:Title": "Zottarelli, Mauricio", "d:Description": "Brazilian jazz percussionist. News, biography, photos, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.mzdrums.com/"} +{"d:Title": "Zag and The Coloured Beads", "d:Description": "Alternative rock band of the 1980s. Information on the band, gigs, and songs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zatcb.co.uk/"} +{"d:Title": "Zwish", "d:Description": "Audio samples, photos, news, and lyrics of the rock band based in Australia.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zwish.net/"} +{"d:Title": "Zangryus", "d:Description": "Biography, discography, and photos of the dance metal band.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zangryus.com/"} +{"d:Title": "Zoot Woman", "d:Description": "UK based rock band. News, show dates, audio samples, and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zootwoman.com/"} +{"d:Title": "Zero Down", "d:Description": "Seattle based rock band. Biography, news, photos, show dates, and audio samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.angelfire.com/ok4/cleveland/ALTSITE.html"} +{"d:Title": "Zazen", "d:Description": "Instrumental, New Age group. Includes reviews, music samples, tour dates, and album information.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zazen.com/"} +{"d:Title": "Zammit, Aidan", "d:Description": "Keyboard player for the band Adika Pongo, based in Rome, Italy. Includes music samples, concert dates and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zamm.it/"} +{"d:Title": "Ziegler, Roxanne", "d:Description": "Celtic and folk harpist who uses pedal and folk harps. Includes contact information and an annotated discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.rochesterharpist.com/"} +{"d:Title": "Zuka", "d:Description": "New Jersey-based modern rock band; includes tour dates, merchandise, biographies and photos.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zuka.cc/"} +{"d:Title": "ZuZu Peddals", "d:Description": "Seven-piece show/dance band based in Dallas, Texas, US. Includes biographies, picture gallery, concert calendar, and repertoire.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zuzupeddals.com/"} +{"d:Title": "Zarzycki, Daniel", "d:Description": "Polish artist connected with electronic music. News, releases, instruments used, pictures and audio. [Also in Polish.]", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://danielzarzycki.com/index.php?id=1&lng=en&pct=on"} +{"d:Title": "Zombies Under Stress", "d:Description": "An industrial band from the Netherlands, discography, interviews, and audio in MP3 and Real Audio format.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.pravdada.org/zus/"} +{"d:Title": "Zannoni, Davide", "d:Description": "Italian-born composer and percussionist based in New York. Includes biography, projects and Real Audio clips.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.polyhymnion.org/zannoni/"} +{"d:Title": "Zito, Tommy", "d:Description": "Pennsylvania entertainer and piano man. Includes show dates, photo, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.tommyzito.com/"} +{"d:Title": "Zimmerman, Jay Alan", "d:Description": "Composer of classical music for film and theater. Includes media reviews, photographs and a description of his CDs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://jayalanzimmerman.com/"} +{"d:Title": "Zeeteah", "d:Description": "News, biography, discography, pictures, audio samples, and booking information for the London-based singer.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zeeteah.com/"} +{"d:Title": "Zallen", "d:Description": "Biography, MP3s, pictures, and guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://zallen777.tripod.com/"} +{"d:Title": "Zea", "d:Description": "A Dutch rock band whose sound is best described as \"rammelnoise\". Features news, lyrics, pictures and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.zea.dds.nl/"} +{"d:Title": "Zuro, Che", "d:Description": "Utah-based pop-rock guitarist. Biography, news, album information, photographs and tour dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.checheche.com/"} +{"d:Title": "Zedek, Thalia", "d:Description": "Formerly of Uzi, Live Skull, and Come. Biography, discography and news.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://thrilljockey.com/thrill/Thalia-Zedek/"} +{"d:Title": "Zumbyes", "d:Description": "All male a cappella group at Amherst, Massachusetts. Includes hiring information, photographs and a discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www3.amherst.edu/~zumbyes/"} +{"d:Title": "Z-Axis", "d:Description": "A post-progressive new edge band from Atlanta. Includes album reviews and photographs of the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.gnosisarts.org/z/"} +{"d:Title": "Zazou, Hector", "d:Description": "All Music Guide entry containing a biography, discography and related links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z", "url": "http://www.allmusic.com/artist/hector-zazou-mn0000671414"} +{"d:Title": "Z's Bass Place", "d:Description": "Official home page is in both French and English and contains movies, sound files, bass techniques and other resources.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Z", "url": "http://www.zzz.ch/"} +{"d:Title": "Zaleski, Paul", "d:Description": "Pianist, composer and producer. Song samples, album information, online store, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zaleski,_Paul", "url": "http://paulzaleski.com/"} +{"d:Title": "Zallen", "d:Description": "Includes a biography, lyrics and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zallen", "url": "http://www.zallenmusic.com/"} +{"d:Title": "Pahardcore: Zao", "d:Description": "Introduction to the band and reviews by fans. Includes a photograph of Zao.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zao", "url": "http://www.pahardcore.com/bands/bands.cfm?id=6"} +{"d:Title": "Lord of the Pit: Zao", "d:Description": "Review of a concert in Latham, New York.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zao", "url": "http://www.angelfire.com/planet/fallenempirelord/zao.html"} +{"d:Title": "Trendy Album Review: Zao", "d:Description": "Reviews of several albums.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zao", "url": "http://www.angelfire.com/planet/fallenempiretrendy/zao.html"} +{"d:Title": "ZAO underground service", "d:Description": "A fan site with biography, dyscography, lyrics, and believers.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zao", "url": "http://doom2.w.interiowo.pl/"} +{"d:Title": "AZDZ", "d:Description": "Members of the Ahmet and Dweezil Zappa mailing lists at Yahoo Groups. Includes news, audio, and biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Dweezil", "url": "http://members.tripod.com/ahmetdweezil/"} +{"d:Title": "AzDz", "d:Description": "Includes biographies, press, news, multimedia, and tablature.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Dweezil", "url": "http://members.tripod.com/azdz/"} +{"d:Title": "Zappa.com", "d:Description": "The official website of Frank and the Zappa family.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.zappa.com/"} +{"d:Title": "Frank Zappa Memorial Photo Pages", "d:Description": "Unpublished photos from the Copenhagen concerts in the 70s.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.dk-web.com/zappa/index.html"} +{"d:Title": "ZappaLinks", "d:Description": "Frank Zappa links and merchandise.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://members.tripod.com/~GODGADGETS/zappa-2"} +{"d:Title": "FZ Lyrics", "d:Description": "Discography including information on differences between different vinyl pressings and CD releases, songlist with extensive notes, and chronology.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.globalia.net/donlope/fz/"} +{"d:Title": "Zappa 4 u", "d:Description": "Simple Zappa page, with a biography, discography, lyrics and pictures.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://zappa4u.tripod.com/"} +{"d:Title": "Planet Of My Dreams", "d:Description": "Gig list, touring band lineups, and information about tours of the early 1970s.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://members.shaw.ca/fz-pomd/"} +{"d:Title": "Kill Ugly Radio", "d:Description": "Frank Zappa news, quotes, quizzes, image galleries, discography, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.killuglyradio.com/"} +{"d:Title": "United Mutations", "d:Description": "Includes directory of musicians who recorded or performed with Zappa, discographies, e-zine \"The Big Note Files,\" scheduled performances of Zappa material around the world, and extensive links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.united-mutations.com/"} +{"d:Title": "Zappa Interviews", "d:Description": "Given by him on Swedish and Danish radio.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://home.swipnet.se/bengt-jonsson/zappaint.htm"} +{"d:Title": "Zomby Woof", "d:Description": "Tribute page with links, reviews, lyrics and a chat room.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://members.tripod.com/~navanax/"} +{"d:Title": "Phase II Of Zappa Web", "d:Description": "Discography, some midi files and movie information.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://members.tripod.com/~zappa_web2/home.html"} +{"d:Title": "ArFZ", "d:Description": "Information about his guitars, equipment and musical language with some scores. Also covers the Spanish language musical saga \"The Unmatched series.\"", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.angelfire.com/freak2/arfz/arfz.html"} +{"d:Title": "Stuff Up the Cracks", "d:Description": "Scans and info on Zappa vinyl releases and labels.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://home.westbrabant.net/~hades/"} +{"d:Title": "Philm Freax: Frank Zappa", "d:Description": "Notes on the shooting of the photographs for the Chunga's Revenge album cover by the photographer. Includes additional photographs from the same session.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.ibiblio.org/mal/MO/philm/zappa/"} +{"d:Title": "Stephen Homan: Zappa's Outermost Phaze", "d:Description": "Review of \"Civilization, Phaze III\" and a phone conversation with the musician.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://chunga.apana.org.au/~heederik/cp3/review-homan.html"} +{"d:Title": "The Idiot Bastard Son of T'Mershi Duween", "d:Description": "Zappa page with news, reviews, and rumors.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.idiotbastard.com/"} +{"d:Title": "Books About Zappa", "d:Description": "Guide to written material, including books as well as magazines, articles and fanzines.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.afka.net/"} +{"d:Title": "Rock and Roll Hall of Fame: Frank Zappa", "d:Description": "Biography and timeline of his career.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://rockhall.com/inductees/frank-zappa/"} +{"d:Title": "Frank Zappa - Coffee Achiever", "d:Description": "A profile on Frank Zappa and his love of coffee.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.ineedcoffee.com/00/zappa/"} +{"d:Title": "Edgard Varese: The Idol of My Youth", "d:Description": "Essay by Zappa honoring one of his major influences and the effect that \"The Complete Works of Edgard Varese Volume I\" had on his musical development.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://rchrd.com/mfom/zappa-varese.html"} +{"d:Title": "MTV: Frank Zappa", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.mtv.com/artists/frank-zappa/"} +{"d:Title": "Conceptual Continuity", "d:Description": "Web ring of sites about or dedicated to Frank.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.webring.org/hub?ring=zappa"} +{"d:Title": "IMDb: Frank Zappa", "d:Description": "Filmography as composer, director, writer, actor, and producer.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank", "url": "http://www.imdb.com/name/nm0953261/"} +{"d:Title": "ARF: Frank Zappa Scholars Web Page", "d:Description": "Includes bibliography, notes and comments, suggestions as to what album fans should purchase next, FAQ, interviews, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Analysis", "url": "http://www.arf.ru/"} +{"d:Title": "Modern Day Composer", "d:Description": "Brief description of Zappa and analysis of a few of his songs to support the author's argument that Zappa's apparent cynicism is really a harsh brand of idealism.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Analysis", "url": "http://www.morethings.com/music/zappa"} +{"d:Title": "Frank Zappa's Musical Language", "d:Description": "Examination of various Zappa compositions, including transcriptions and MIDI files.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Analysis", "url": "http://www.zappa-analysis.com/"} +{"d:Title": "Anarchy TV", "d:Description": "Official site of comedy film co-starring Dweezil, Moon Unit, Ahmet and Diva Zappa.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/The_Zappa_Family", "url": "http://www.anarchytv.com/"} +{"d:Title": "ByteMasters", "d:Description": "Descriptions of bootleg recordings and links to related sites. In English and German.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Trading", "url": "http://www.progmaniac.de/zappa/"} +{"d:Title": "Zappateers", "d:Description": "Tape trading guide and information on #zappateers IRC group.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Trading", "url": "http://www.zappateers.com/"} +{"d:Title": "Shall We Trade Our Tapes Seriously?", "d:Description": "Includes reviews of many Zappa concert tapes circulating among collectors.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Trading", "url": "http://zappastuff.iwarp.com/tapes.htm"} +{"d:Title": "Zappa Patio", "d:Description": "Includes extensive bootleg discography, a listing of unusual FZ recordings, and examines differences between vinyl and CD versions of official Zappa releases.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Trading", "url": "http://lukpac.org/~handmade/patio/"} +{"d:Title": "FZShows", "d:Description": "Lists of unreleased concert recordings, mystery tracks, and a collection of covers.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Trading", "url": "http://www.zappateers.com/fzshows/"} +{"d:Title": "Project/Object", "d:Description": "New York based tribute to the musical legacy of Frank Zappa. News, show dates, biographies, photos, and soundbytes.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Tribute_Acts", "url": "http://www.projectobject.com/"} +{"d:Title": "Ed Palermo Big Band", "d:Description": "Combo has been playing and honing the music of Frank Zappa for close to five years, mainly in performance at the Bottom Line in New York City. Latest CD is \"Ed Palermo Big Band Plays The Music of Frank Zappa.\"", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Tribute_Acts", "url": "http://www.palermobigband.com/"} +{"d:Title": "Ugly Radio Rebellion", "d:Description": "Detroit-based band that performs the music of Frank Zappa. Includes live dates, pictures and MP3s.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappa,_Frank/Tribute_Acts", "url": "http://www.uglyradiorebellion.com/"} +{"d:Title": "Alfie Zappacosta", "d:Description": "Official website offers upcoming show dates, merchandise, discussion forum and MP3 samples.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappacosta,_Alfie", "url": "http://www.iamzappacosta.com/"} +{"d:Title": "Canoe.ca: Zappacosta", "d:Description": "Provides an original biography, discography and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zappacosta,_Alfie", "url": "http://jam.canoe.com/Music/Pop_Encyclopedia/Z/Zappacosta.html"} +{"d:Title": "michaelzapruder.com", "d:Description": "The official site.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zapruder,_Michael", "url": "http://www.michaelzapruder.com/"} +{"d:Title": "Belgian Pop and Rock Archives: Zap Mama", "d:Description": "Includes a biography, sound clips, a discussion forum and an abridged discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zap_Mama", "url": "http://houbi.com/belpop/groups/zapmama.htm"} +{"d:Title": "Zap Mama", "d:Description": "This official site includes news and information, tour dates and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zap_Mama", "url": "http://www.zapmama.com/"} +{"d:Title": "Tracks Of Creation: Zaraza", "d:Description": "A review of the \"Slavic Blasphemy\" album and an interview with Jacek.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zaraza", "url": "http://disemia.com/creation/issue_2_3/dark/zaraza.html"} +{"d:Title": "Zard", "d:Description": "Includes news, a profile and discography, sound files, lyrics, pictures and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zard", "url": "http://elzardt.8m.com/"} +{"d:Title": "Lena Zavaroni Obituary", "d:Description": "Life story, short biography and news items.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zavaroni,_Lena", "url": "http://elvispelvis.com/lenazavaroni.htm"} +{"d:Title": "E.J.N: Tom Ze", "d:Description": "A short transcribed interview. Includes photograph of Ze.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Ze,_Tom", "url": "http://www.ejn.it/musicians/ze.htm"} +{"d:Title": "Slipcue E-Zine: Tom Ze discography (Brazil)", "d:Description": "A detailed discography of tropicalia artist Tom Ze.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Ze,_Tom", "url": "http://www.slipcue.com/music/brazil/tomze.html"} +{"d:Title": "Official Zebra Website", "d:Description": "Biographies, news, tour dates, reviews, chat room, mailing list, guestbook, lyrics and audio links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zebra", "url": "http://www.thedoor.com/"} +{"d:Title": "MTV.com: Zebra", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zebra", "url": "http://www.mtv.com/artists/zebra-1/"} +{"d:Title": "Zebragurls Page", "d:Description": "Zebrahead fan site with pictures, biography, lyrics, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zebrahead", "url": "http://www.angelfire.com/co/zebragurl/"} +{"d:Title": "Mike and Jason's Zebrahead Page", "d:Description": "Fan site with thumbnailed picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zebrahead", "url": "http://members.tripod.com/tigger75/"} +{"d:Title": "Zebrahead Zoo", "d:Description": "Features a discography, biography, lyrics and facts about the band.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zebrahead", "url": "http://www.angelfire.com/rant/zebrahead/"} +{"d:Title": "Entertainment Ave: Zebrahead and Wheatus", "d:Description": "Review of a concert at The Metro in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zebrahead", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/z/zebrahead/ze090800.htm"} +{"d:Title": "The First European Zebrahead Webpage", "d:Description": "News, tour information, pictures, and concert reviews, from a European perspective.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zebrahead", "url": "http://mfzbeurope.free.fr/"} +{"d:Title": "Gail's Jordan Zed Page", "d:Description": "A fan-run site that includes news and updates, album information and upcoming concerts for this singer/songwriter/pianist.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zed,_Jordan", "url": "http://www.angelfire.com/nb/jordanzed/"} +{"d:Title": "Celia Jordan's Fansite", "d:Description": "Includes links, a short biography and a Jordan Zed postcard.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zed,_Jordan", "url": "http://www.angelfire.com/mt/jzed/"} +{"d:Title": "Jon Shea's Fansite", "d:Description": "Includes a short biography, song titles and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zed,_Jordan", "url": "http://www.angelfire.com/mt/JordanZed/"} +{"d:Title": "ArtistDirect: Sophie Zelmani", "d:Description": "Includes a profile, discography, and a message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zelmani,_Sophie", "url": "http://www.artistdirect.com/artist/sophie-zelmani/543344"} +{"d:Title": "Zelmani.se", "d:Description": "News, biography, discography, tour dates and videos.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zelmani,_Sophie", "url": "http://www.zelmani.se/engelska/index.html"} +{"d:Title": "Sophie Zelmani", "d:Description": "Official site with biography, discography, news, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zelmani,_Sophie", "url": "http://www.sophie-zelmani.com/"} +{"d:Title": "Entertainment Ave: Zero", "d:Description": "Review of a concert at The Cubby Bear in Chicago, Illinois.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zero", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/z/zero/ze042796.htm"} +{"d:Title": "The Music Box: The Zero Page", "d:Description": "Reviews of albums and live performances.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zero", "url": "http://www.musicbox-online.com/zero.html"} +{"d:Title": "Zero 7", "d:Description": "Official site of the English electronica band. Includes live dates, press reviews, releases, remixes and biography.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zero_7", "url": "http://www.zero7.co.uk/"} +{"d:Title": "Zero 7 Desktops", "d:Description": "Wallpaper collection.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zero_7", "url": "http://zero7desktops.tripod.com/"} +{"d:Title": "WarrenZevon.com", "d:Description": "Official website. Includes news, tour dates and photographs.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zevon,_Warren", "url": "http://www.warrenzevon.com/"} +{"d:Title": "DaveMcNally.com: Warren Zevon", "d:Description": "Lyrics, listed by album.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zevon,_Warren", "url": "http://www.davemcnally.com/Lyrics/WarrenZevon/"} +{"d:Title": "Warren Zevon Other Page, The", "d:Description": "Features Top Ten lists, a biography, FAQ, articles and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zevon,_Warren", "url": "http://members.tripod.com/~Zevonaticism/"} +{"d:Title": "OldieLyrics.com: Warren Zevon", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zevon,_Warren", "url": "http://www.oldielyrics.com/w/warren_zevon.html"} +{"d:Title": "RollingStone.com: Warren Zevon", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zevon,_Warren", "url": "http://www.rollingstone.com/music/artists/warren-zevon"} +{"d:Title": "Ziggens", "d:Description": "An extensive collection of photographs from many of the band's concerts.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Ziggens,_The", "url": "http://www.ziggens.8m.com/"} +{"d:Title": "Ziggenizms", "d:Description": "Offers an introduction to the band, a history and a fan's definition of the Ziggens.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Ziggens,_The", "url": "http://www.angelfire.com/ca/sexypenguin/ziggenizms.html"} +{"d:Title": "The Ziggens", "d:Description": "Official site includes discography, tour dates, and sound files.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Ziggens,_The", "url": "http://www.cornerstoneras.com/ziggens/"} +{"d:Title": "ArtistDirect.com: Zim Zum", "d:Description": "Includes the things Zim has contributed to during his Marilyn Manson days.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zim_Zum", "url": "http://artistdirect.com/music/artist/card/0,,720102,00.html?src=search&artist=Zim+Zum"} +{"d:Title": "Dean Guitars - Dean Players", "d:Description": "Features a couple of pictures of Zim with his Dean guitars, including one with founder Dean Zelinsky.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zim_Zum", "url": "http://www.deanguitars.com/content/endorsees/zimzum.html"} +{"d:Title": "All Music Guide: Zim Zum", "d:Description": "Includes the things Zim has contributed to during his Marilyn Manson days.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zim_Zum", "url": "http://www.allmusic.com/artist/zim-zum-mn0001633003"} +{"d:Title": "Rock N Roll Experience: An Exclusive Interview With Zim Zum", "d:Description": "Another great interview that touches on the meaning of Pleistoscene as well as on his involvement in Marilyn Manson.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zim_Zum/Articles_and_Interviews", "url": "http://rocknrollexperience.com/news/142/19/ZIM-ZUM-Marilyn-Manson---Interview/d,interviews/"} +{"d:Title": "The Intravenous Ultra World of Zim Zum (proboards)", "d:Description": "A forum for the discussion of all things Zim Zum and his projects, plus almost anything else you want to talk about.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zim_Zum/Chats_and_Forums", "url": "http://zimzum.proboards.com/"} +{"d:Title": "ZZIV", "d:Description": "Official fan site features news, biography, tour dates, FAQs, fanzine, discography and quotes.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zim_Zum/Fan_Pages", "url": "http://www.zimzum.net/"} +{"d:Title": "Zippora", "d:Description": "Belgian dance artist. Profile, MP3s, pictures, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zippora", "url": "http://dancevibes.be/artists/zippora.jsp"} +{"d:Title": "Sexysanctuary", "d:Description": "Yahoogroup for the band, where fans can chat, gossip, upload photos and files and exchange news and views.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zita_Swoon", "url": "http://groups.yahoo.com/group/sexysanctuary/"} +{"d:Title": "Hey! My Life Is OkaY!", "d:Description": "Fan-pages about Zita Swoon, providing a biography and a large photograph gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zita_Swoon", "url": "http://www.mandiapple.com/Zitaswoonintro.html"} +{"d:Title": "Tony.co.za: Zita Swoon", "d:Description": "Two galleries of photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zita_Swoon", "url": "http://www.tony.co.za/galleries/zitaswoon.htm"} +{"d:Title": "Song Texts", "d:Description": "Waltertje's page of Zita Swoon lyrics.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zita_Swoon", "url": "http://home.scarlet.be/waltertje/waltertjes_bestanden/artiesten/zitaswoon.htm"} +{"d:Title": "Rabbitfield", "d:Description": "Unofficial site featuring news, information, articles, images and a forum.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zita_Swoon", "url": "http://rabbitfield.wordpress.com/"} +{"d:Title": "Zita Swoon Group", "d:Description": "The official site featuring news from the band, a short history, merchandise, multimedia, images, tour-dates and a mailing list.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zita_Swoon", "url": "http://www.zitaswoongroup.be/"} +{"d:Title": "Unofficial Zodiac Mindwarp and the Love Reaction", "d:Description": "Includes a discography, guestbook, interviews and a FAQ for the heavy metal and goth metal influenced group.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zodiac_Mindwarp_and_the_Love_Reaction", "url": "http://users.computerweekly.net/mindwarp/zmain/"} +{"d:Title": "RobZombie.com", "d:Description": "Official site includes news, tour dates, pictures, discography, multimedia, tablatures, and a Zombie discussion board.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zombie,_Rob", "url": "http://robzombie.com/"} +{"d:Title": "Zombie Land 2", "d:Description": "Rob Zombie fan page with lyrics, pictures, news, biography, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zombie,_Rob", "url": "http://rzr.online.fr/zombie.htm"} +{"d:Title": "Artist Direct: Rob Zombie", "d:Description": "Biography, photographs, links, and message board.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zombie,_Rob", "url": "http://www.artistdirect.com/artist/rob-zombie/533912"} +{"d:Title": "RollingStone.com: Rob Zombie", "d:Description": "Brief biography, discography, and articles.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zombie,_Rob", "url": "http://www.rollingstone.com/music/artists/rob-zombie"} +{"d:Title": "Colin Blunstone's Website", "d:Description": "Official site of the lead singer of the Zombies; includes solo discography and gig dates.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zombies,_The", "url": "http://www.colinblunstone.co.uk/"} +{"d:Title": "Ad's Fan Page", "d:Description": "Includes a discography, photographs, lyrics, a biography and reviews of their one-time reunion in 1997.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zombies,_The", "url": "http://zom.thefondfarewells.com/"} +{"d:Title": "RollingStone.com: The Zombies", "d:Description": "Biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zombies,_The", "url": "http://www.rollingstone.com/music/artists/the-zombies"} +{"d:Title": "John Zorn", "d:Description": "Biography, CD commentary, essays, interviews, linear notes and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zorn,_John", "url": "http://members.tripod.com/~JFGraves/zorn-index.html"} +{"d:Title": "John Zorn Mailing List, The", "d:Description": "Description of the list, instructions for use, and FAQ.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zorn,_John", "url": "http://www.browbeat.com/zornlist.html"} +{"d:Title": "Unofficial John Zorn's Masada discography", "d:Description": "Includes a comments page, photos, and explanations of Hebrew and Aramaic titles.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zorn,_John", "url": "http://barkokhba.chez.com/masada.htm"} +{"d:Title": "Zoviet France Interview", "d:Description": "Hyperreal e-zine interview by Brian Duguid.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zoviet_France", "url": "http://www.hyperreal.org/intersection/zines/est/intervs/zovfr_fn.html"} +{"d:Title": ":zoviet*france:", "d:Description": "Official site. Contains listing of members, a discography organized by year and title, images of posters and handouts, and links.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zoviet_France", "url": "http://www.pretentious.net/zoviet/"} +{"d:Title": ":zoviet*france: Discography", "d:Description": "Hyperreal discography for the pre-2000 releases of Zoviet France, and side projects Horizon 222 and Penumbra.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zoviet_France", "url": "http://music.hyperreal.org/artists/zoviet_france/zovdis.htm"} +{"d:Title": "Zrazy", "d:Description": "Official site includes news, tour dates, discography, biography, lyrics, sound files, and reviews.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zrazy", "url": "http://www.iol.ie/~zrazy/"} +{"d:Title": "Yahoo Groups: Zrazy-News", "d:Description": "Mailing list.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zrazy", "url": "http://groups.yahoo.com/group/ZRAZY-NEWS"} +{"d:Title": "Roddy's Irish Music Show: Zrazy", "d:Description": "Interview with Maria Walsh.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zrazy", "url": "http://www.almostfabulous.com/rc/interviews/zrazy.php"} +{"d:Title": "Zrazy", "d:Description": "Official site of the group from Ireland. Includes biography, awards, discography, lyrics, photo gallery, news, sound clips, press reviews and contact information.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zrazy", "url": "http://www.zrazy.com/"} +{"d:Title": "IMHO Jazzgrrls: Zrazy", "d:Description": "Review of 'Private Wars'.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zrazy", "url": "http://www.imho-reviews.com/opinion.php?id=219_0_2_0_M"} +{"d:Title": "Sugar Land", "d:Description": "Offers a discography, biography and an extensive picture gallery.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zucchero", "url": "http://members.tripod.com/~ZugarLand/"} +{"d:Title": "Blue", "d:Description": "An unofficial site which includes a biography, discography, lyrics and Midi files.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zucchero", "url": "http://web.tiscali.it/energy/eng.htm"} +{"d:Title": "HEIA People: Pinchas Zukerman", "d:Description": "A brief biography of the violinist, violist, and conductor.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zukerman,_Pinchas", "url": "http://www.upenn.edu/heia/people/bio/zukerman.html"} +{"d:Title": "J.S. Bach: Pinchas Zukerman", "d:Description": "A thorough overview of Zukerman's violin concertos.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zukerman,_Pinchas", "url": "http://www.jsbach.org/zukermanviolinconcertos.html"} +{"d:Title": "Independent: Pinchas Zukerman", "d:Description": "Article about his trip to Israel to unite Palestinian and Jewish children in music.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/Zukerman,_Pinchas", "url": "http://www.independent.co.uk/arts-entertainment/music/features/a-painful-progress-towards-harmony-638254.html"} +{"d:Title": "The Little Ol' Web Page from Texas", "d:Description": "Includes news, tour information, lyrics, reviews, discography, links, music clips, set lists, e-mail listserv, and photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://www.lowpft.com/"} +{"d:Title": "ZZ Top Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://lyrics.rockmagic.net/lyrics/zz_top/"} +{"d:Title": "ZZ Top", "d:Description": "This official site contains tour information, new music release information and a discussion forum.", "priority": "1", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://www.zztop.com/"} +{"d:Title": "Famous Texans - ZZ Top", "d:Description": "Band members personal and musical biography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://www.famoustexans.com/zztop.htm"} +{"d:Title": "99th Floor", "d:Description": "Includes a discography, biography, a FAQ and a guestbook.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://web.tiscali.it/wrongway/99thfloor/index.htm"} +{"d:Title": "A Guide to ZZ Top", "d:Description": "Fan site from Japan. Includes photographs.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://zztop.hippy.jp/"} +{"d:Title": "ZZ Top News: Topix", "d:Description": "News about ZZ Top continually updated from around the net.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://www.topix.com/who/zz-top"} +{"d:Title": "Rock and Roll Hall of Fame: ZZ Top", "d:Description": "Inductee profile and bibliography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://rockhall.com/inductees/zz-top/"} +{"d:Title": "RollingStone.com: ZZ Top", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, audio/video, and message boards.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://www.rollingstone.com/music/artists/zz-top"} +{"d:Title": "Yahoo Music: ZZ Top", "d:Description": "Includes news, concert information, music videos, reviews, and discography.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top", "url": "http://new.music.yahoo.com/zz-top/"} +{"d:Title": "ZZ Stop", "d:Description": "UK tribute to those Texan wonders; includes information, photos and booking information.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top/Tribute_Bands", "url": "http://www.shotguncharlie.co.uk/zzstopframe.htm"} +{"d:Title": "ZZ Top Revival Band", "d:Description": "Czech tribute band; schedule and play list.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top/Tribute_Bands", "url": "http://www.zztop.cz/"} +{"d:Title": "Fandango", "d:Description": "Tribute group debuted in 1996. Includes songlist, photographs, short biography of the group and past shows.", "topic": "Top/Arts/Music/Bands_and_Artists/Z/ZZ_Top/Tribute_Bands", "url": "http://zztribute.com/"} +{"d:Title": "Roots Music Report", "d:Description": "Weekly online compiled from over 100 reporting radio stations from roots rock, roots country, roots blues, folk, americana and bluegrass genres.", "topic": "Top/Arts/Music/Charts", "url": "http://www.rootsmusicreport.com/"} +{"d:Title": "All Time Top 100 Albums", "d:Description": "A personal compilation of top albums of all-time from sources around the world, published by Martijn Boeren.", "topic": "Top/Arts/Music/Charts", "url": "http://martijn-2000.tripod.com/"} +{"d:Title": "Charts All Over the World", "d:Description": "Features a directory of links to charts on the Internet from 80 countries.", "priority": "1", "topic": "Top/Arts/Music/Charts", "url": "http://www.lanet.lv/misc/charts/"} +{"d:Title": "Brendan Barry's Greatest Hits", "d:Description": "Personal list of the top 1000 songs of all time, sorted by artist or year of release.", "topic": "Top/Arts/Music/Charts", "url": "http://homepage.eircom.net/~brendanbarry/"} +{"d:Title": "Alaska Jim's Music Charts", "d:Description": "Compiles current top singles and albums from industry and personal charts around the world.", "topic": "Top/Arts/Music/Charts", "url": "http://www.alaskajim.com/"} +{"d:Title": "Pop Music", "d:Description": "Charts of the best and worst in popular music.", "topic": "Top/Arts/Music/Charts", "url": "http://www.popculturemadness.com/Music"} +{"d:Title": "Top40-Charts.com", "d:Description": "Contains 30 Top 20 and Top 40 charts and news from 22 countries around the world.", "topic": "Top/Arts/Music/Charts", "url": "http://top40-charts.com/"} +{"d:Title": "Top Hits Online", "d:Description": "A weekly music chart compiled from hundreds of personal music charts found on the web.", "topic": "Top/Arts/Music/Charts", "url": "http://www.tophitsonline.com/"} +{"d:Title": "UU-BRU Radio Playlist", "d:Description": "Weekly top 40 music chart, with year-end summaries dating to 1955.", "topic": "Top/Arts/Music/Charts", "url": "http://www.keystonehighways.com/uubru.html"} +{"d:Title": "Allcharts.org", "d:Description": "Weekly top albums and singles from around the world with box office and television rankings listed as well.", "topic": "Top/Arts/Music/Charts", "url": "http://allcharts.org/"} +{"d:Title": "Top 10 Songs", "d:Description": "Weekly rankings of the top downloads from online song retailers.", "topic": "Top/Arts/Music/Charts", "url": "http://top10songs.com/"} +{"d:Title": "Acclaimed Music", "d:Description": "List of the 2000 most recommended rock albums and singles of all time by year from years 1956 to 2004.", "topic": "Top/Arts/Music/Charts", "url": "http://www.acclaimedmusic.net/"} +{"d:Title": "Blue-Box", "d:Description": "Features the Blue Islands official pop singles charts. Also includes discographies by artist.", "topic": "Top/Arts/Music/Charts", "url": "http://blue-box.blueislands.net/"} +{"d:Title": "Beyond Radio", "d:Description": "Compiles hits, new releases and songs not yet heard on the air waves, all tracked from personal charts and internet voting sites.", "topic": "Top/Arts/Music/Charts", "url": "http://beyondradio.com/"} +{"d:Title": "World Charts", "d:Description": "Links to official singles charts sites from countries worldwide.", "topic": "Top/Arts/Music/Charts", "url": "http://www.worldcharts.co.uk/"} +{"d:Title": "TimePieces", "d:Description": "A collection of all-time Top 100 Albums charts from the US, UK, Netherlands and Belgium from 1974 onwards, indexed alphabetically and by decade.", "topic": "Top/Arts/Music/Charts", "url": "http://www.timepieces.nl/"} +{"d:Title": "Numberoneinhistory.com", "d:Description": "Extracted from Billboard, showing the number one song on any date back to the 1890s, with links to the more recent tunes on Youtube, Rhapsody and iTunes.", "priority": "1", "topic": "Top/Arts/Music/Charts", "url": "http://www.numberoneinhistory.com/"} +{"d:Title": "RateTheMusic.com", "d:Description": "Polls for various types of new music; prizes.", "topic": "Top/Arts/Music/Charts/Polls", "url": "http://www.ratethemusic.com/"} +{"d:Title": "Rkstar Reader's Choice", "d:Description": "Modern Rock song chart as voted on by the readers. Includes streaming quicktime sound clips.", "topic": "Top/Arts/Music/Charts/Polls", "url": "http://www.bumrock.com/rc/"} +{"d:Title": "Happy Songs", "d:Description": "Interactive chart of the Top 100 happy songs.", "topic": "Top/Arts/Music/Charts/Polls", "url": "http://www.happysongs.nl/"} +{"d:Title": "Top 50 UK Singles", "d:Description": "Find the voters choice for best songs by year.", "topic": "Top/Arts/Music/Charts/Polls", "url": "http://www.top50singles.co.uk/"} +{"d:Title": "Edge Online Top Music List", "d:Description": "Voting for dance, rock, rap, pop songs, artists and albums.", "topic": "Top/Arts/Music/Charts/Polls", "url": "http://www.edge-inc.net/music/"} +{"d:Title": "thesixtyone", "d:Description": "Musicians upload music and listeners decide which songs go on the homepage.", "topic": "Top/Arts/Music/Charts/Polls", "url": "http://www.thesixtyone.com/"} +{"d:Title": "Album of The Year", "d:Description": "Features album reviews, ratings, charts, year end lists.", "topic": "Top/Arts/Music/Charts/Polls", "url": "http://www.albumoftheyear.org/"} +{"d:Title": "Net Music Zone", "d:Description": "Provides a platform for bands to break into the music business. Features daily music news and weekly charts.", "topic": "Top/Arts/Music/Charts/Regional/Europe", "url": "http://www.netmusiczone.de/"} +{"d:Title": "Midlist", "d:Description": "The average hitlist of The Netherlands, composed out of the three Dutch national charts: the Top 40, the Veronica Top 100 and the Mega Top 100. Archives, year charts, hit facts.", "topic": "Top/Arts/Music/Charts/Regional/Europe", "url": "http://www.midlist.net/"} +{"d:Title": "Bulgarian Dance Top20", "d:Description": "Weekly top 20 dance songs in Bulgaria.", "topic": "Top/Arts/Music/Charts/Regional/Europe", "url": "http://bulgariantop20dancechart.50megs.com/"} +{"d:Title": "Billboard.com", "d:Description": "Daily music news, charts, music downloads, and artist features for rock, pop, country, rhythm and blues, jazz, world, and hip-hop.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.billboard.com/"} +{"d:Title": "Oldies Music - Number One Hits", "d:Description": "The number one hits in Chicago for this week in each year from the 50s to the 70s.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.oldiesmusic.com/no1.htm"} +{"d:Title": "Top 50 Music Charts", "d:Description": "Compilation of the most popular rock, pop, and alternative songs of the week, based on airplay at radio stations across the nation. Also includes a short review of each song.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.all-reviews.com/musicchart.htm"} +{"d:Title": "ARC Weekly Top 40", "d:Description": "A weekly chart site with the top 40 singles, LPs and videos, with links to other chart sites as well. It is reference all over the world.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.rockonthenet.com/charts/index.htm"} +{"d:Title": "Casey Kasem's American Top 40", "d:Description": "American Top 40 singles chart with a list of upcoming singles and ones falling out of the chart.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.angelfire.com/fl3/musicdays/at40.html"} +{"d:Title": "Chartster Rap and Rock", "d:Description": "Charts for the top Rap, Hip Hop, Rock, Rhythm and Blues, and Heavy Metal songs.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://chartster.8m.com/"} +{"d:Title": "3DD Interactive Weekly Top 10", "d:Description": "Provides the Top 10 popular songs for the week as voted by you. Interactive voting site where you vote for you favourite songs.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.angelfire.com/band/threedoorsdownaus/weekly.html"} +{"d:Title": "Super Seventies", "d:Description": "Top 100 seventies singles; ten for each year of the decade.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.superseventies.com/singles.html"} +{"d:Title": "Dixie Dance Kings Top 50", "d:Description": "Features dance, urban charts and weekly picks compiled from club and mix show DJs throughout the United States.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.dancekings.com/ddkchrt.htm"} +{"d:Title": "TrueOldies", "d:Description": "Top 40 music chart between 1956 and 1964 from the San Francisco Bay Area radio stations.", "topic": "Top/Arts/Music/Charts/Regional/North_America/United_States", "url": "http://www.trueoldieschannel.com/"} +{"d:Title": "Australia's Top 40 Albums.", "d:Description": "Unofficial chart featuring the top 40 albums in Australia at the present time.", "topic": "Top/Arts/Music/Charts/Regional/Oceania", "url": "http://www.angelfire.com/bc/Albums/"} +{"d:Title": "Australia's Top 50 Singles", "d:Description": "Unofficial chart featuring Australia's top 50 singles.", "topic": "Top/Arts/Music/Charts/Regional/Oceania", "url": "http://www.angelfire.com/ab/Ragemusic/"} +{"d:Title": "ARIAnet Charts", "d:Description": "Official Australian Record Industry charts, covering top 50 singles, top 20 alternative and dance, plus country, albums, DVD and video charts.", "topic": "Top/Arts/Music/Charts/Regional/Oceania", "url": "http://www.aria.com.au/"} +{"d:Title": "Songwriting Lounge", "d:Description": "Songwriting discussions every Monday night from 9pm Eastern onward. Guest speakers are frequent.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.musesmuse.com/songdisc.html"} +{"d:Title": "Music Unbound", "d:Description": "Devoted to the proposition that commercial/professional music is stuck in rigid concepts of style, genre and format, and needs to be freed of these categories, in order to flourish.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.munb.com/"} +{"d:Title": "Lyrics Land", "d:Description": "A chat room for a fans of Rap and Rhythm and Blues music. Advice and information about artists.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://network54.com/Hide/Chat/51575"} +{"d:Title": "PetShopGirls", "d:Description": "For fans of Pet Shop Boys, Neil Tennant, and Chris Lowe.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://groups.yahoo.com/group/petshopsluts/"} +{"d:Title": "Music of the Soul", "d:Description": "Describe music that has expressed the depths of your soul. Usually, it's connected with a significant relationship, life event, crisis, or time of change.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.musicofthesoul.com/"} +{"d:Title": "iMusic Artist Direct Community", "d:Description": "Community containing over 35,000 bulletin boards broken down by style, artist and news.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://imusic.artistdirect.com/"} +{"d:Title": "HDR Orphanz", "d:Description": "Forum for users of the now discontinued Vestax Hard Disc Recorders (HDR6, HDR8, HDR V8). Portable digital recording studios, tips, tricks, mods, and techniques.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://groups.yahoo.com/group/HDR_Orphanz"} +{"d:Title": "Pet de Kat Krewe Litter Box", "d:Description": "Message board for Pet de Kat Krewe. Discussions about music festivals, upcoming events and travel plans. Also offers music reviews, parties and recipes.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://forums.delphiforums.com/petdekat/"} +{"d:Title": "Futureproducers.com", "d:Description": "This site enables the music people to meet, and mix music online, read articles, and interviews.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.futureproducers.com/forums/"} +{"d:Title": "UKMIX", "d:Description": "The forum section of the e-zine, with topics on pop culture and genres.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.ukmix.org/forums/"} +{"d:Title": "Music Scores.com", "d:Description": "Forum for music composers, including student and sheet music sections.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.music-scores.com/discus/"} +{"d:Title": "Metal Tabs", "d:Description": "Large forum on guitars, with a metal music section.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://metaltabs.com/forum/"} +{"d:Title": "Music Banter", "d:Description": "A general music discussion forum with sections for many genres.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.musicbanter.com/"} +{"d:Title": "Musician War", "d:Description": "A music forum allowing guitarists, bass and synth players, vocalists, and songwriters to test their skills against each other, in competition.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.guitarwar.com/"} +{"d:Title": "Sputnikmusic", "d:Description": "Large message board with sections dealing a variety of genres and instruments.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://www.sputnikmusic.com/forums/"} +{"d:Title": "Phishhook", "d:Description": "Large community about legal live concert recording.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://forums.phishhook.com/"} +{"d:Title": "Music Is My Life", "d:Description": "A lil message board for you to chat about all sorts of music, be it pop, soul, hip-hop, rock, jazz or classical.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://musicismylife.yuku.com/"} +{"d:Title": "i80s.com - Forum", "d:Description": "An 80's forum on the Internet.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://1980s.yuku.com/"} +{"d:Title": "Endemoniada's Warboard", "d:Description": "From Endemoniada zine, dedicated to covering women of the extreme underground scene.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://endemoniadaswarboard.yuku.com/"} +{"d:Title": "Oldies Music Bulletin Board", "d:Description": "Questions, trivia and comments on the music of the 50's, 60's and 70's. Also includes a Chat Room.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://members.boardhost.com/OldiesMusic/"} +{"d:Title": "Global Band Promotion", "d:Description": "Discussions revolving around music promotion of new bands and artists.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://austintexas.proboards.com/"} +{"d:Title": "Pulse Music Board", "d:Description": "Large general music message board.", "topic": "Top/Arts/Music/Chats_and_Forums", "url": "http://pulsemusic.proboards.com/"} +{"d:Title": "FluteTalk Dot Com Flute forums", "d:Description": "Forum and discussions for flutists.", "topic": "Top/Arts/Music/Chats_and_Forums/Instruments", "url": "http://www.flutetalk.com/"} +{"d:Title": "Horn-u-copia", "d:Description": "Forum for those interested in brass instruments. Threads by maker and also on obscure and historic horns.", "topic": "Top/Arts/Music/Chats_and_Forums/Instruments", "url": "http://horn-u-copia.net/"} +{"d:Title": "Lina's Sax 'n' Stuff Site", "d:Description": "Forum dedicated to the saxophone. Includes photos and chat room.", "topic": "Top/Arts/Music/Chats_and_Forums/Instruments", "url": "http://tangentc.co.uk/linasax/"} +{"d:Title": "TalkBass.com Forums", "d:Description": "For bass players of any genre.", "topic": "Top/Arts/Music/Chats_and_Forums/Instruments", "url": "http://www.talkbass.com/forum"} +{"d:Title": "theMouthPiece.com Brass Discussion Forum", "d:Description": "A very active and complete brass community.", "topic": "Top/Arts/Music/Chats_and_Forums/Instruments", "url": "http://www.themouthpiece.com/"} +{"d:Title": "Violinist.com Discussion Board", "d:Description": "Discussion board for violinists.", "topic": "Top/Arts/Music/Chats_and_Forums/Instruments", "url": "http://www.violinist.com/discussion"} +{"d:Title": "Channel HMV", "d:Description": "A meeting place for all #HMV Galaxynet chatters. Site offers channel news, information on members and regs, mailing list, music news, charts etc.", "topic": "Top/Arts/Music/Chats_and_Forums/IRC", "url": "http://members.tripod.com/ChannelHMV/"} +{"d:Title": "AusMusic", "d:Description": "E-mail discussion list, message board and chat room. Aussie bands, gigs, and reviews.", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists", "url": "http://members.tripod.com/~aus_music/"} +{"d:Title": "BritInvasion Mailing List", "d:Description": "For discussion of 1960s British Invasion groups, especially The Beatles and The Who. Discussion of other related groups is also welcome.", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists", "url": "http://members.tripod.com/emjo/britinvasion/"} +{"d:Title": "World Music Network", "d:Description": "Keep up with world music news, new releases and features with our free newsletter.", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists", "url": "http://www.worldmusic.net/network/index.html"} +{"d:Title": "Carillon and Chimes List", "d:Description": "Includes operation, technical information and expertise, sources of parts, recorded music, documentation and the playing technique of a Carillon by a Carillonneur.", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists", "url": "http://www.theatreorgans.com/carillonsandchimesystems/"} +{"d:Title": "Wavin' Country", "d:Description": "Discussing today's top country stars", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists", "url": "http://www.angelfire.com/or2/wavincountry/"} +{"d:Title": "Inspirational Woodwinds Discussion Group", "d:Description": "An e-mail discussion group for woodwind players with an inspiration for music.", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/iwoodwinds/"} +{"d:Title": "Plastic the List", "d:Description": "A list of mailing lists dedicated to the discussion of women in rock/girl bands.", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists/Directories", "url": "http://www.angelfire.com/mn/plasticka/list.html"} +{"d:Title": "CTI Music", "d:Description": "Information on how to join numerous music related lists run by enthusiasts or professionals. Archive to October 2004.", "topic": "Top/Arts/Music/Chats_and_Forums/Mailing_Lists/Directories", "url": "http://www.jiscmail.ac.uk/lists/CTI-MUSIC.html"} +{"d:Title": "Indian Guitar Tabs", "d:Description": "Indian and pakistani guitar tabs forum, including lyrics sections.", "topic": "Top/Arts/Music/Chats_and_Forums/Regions", "url": "http://www.indianguitartabs.com/"} +{"d:Title": "West Virginia Musicians Message Board", "d:Description": "Leave messages, bands seeking West Virginia musicians, WV musicians seeking bands in Pennsylvania, West Virginia, Maryland and Ohio.", "topic": "Top/Arts/Music/Chats_and_Forums/Regions", "url": "http://www.angelfire.com/band/thesoulrevue/messages.html"} +{"d:Title": "Anthems Dance Music", "d:Description": "Forum about modern dance music; sections include DJ mixing, dance events and \"Name that song\".", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://www.anthems.com/board/"} +{"d:Title": "Classic Rock Forums", "d:Description": "A classic rock community discussing music and culture of the 60's, 70's and 80's.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://www.crf2.com/"} +{"d:Title": "The Grunge Forum", "d:Description": "Grunge-centered discussion with focus on several large Grunge bands.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://www.grungeforum.com/"} +{"d:Title": "Tranceaddict Forums", "d:Description": "Large trance and electronica community.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://www.tranceaddict.com/forums/"} +{"d:Title": "Stereokiller", "d:Description": "Hardcore, punk rock, emo, indie rock music community.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://www.stereokiller.com/boards/"} +{"d:Title": "Inthemix", "d:Description": "Dance music community based in Australia.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://www.inthemix.com.au/forum/"} +{"d:Title": "xltronic.com | messageboard", "d:Description": "International electronic music community.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://xltronic.com/mb/"} +{"d:Title": "Ungovernableforce", "d:Description": "A Yahoo! group devoted to fans of punk music.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://launch.groups.yahoo.com/group/UNGOVERNABLEFORCE/"} +{"d:Title": "Dubplates", "d:Description": "Radio with free MP3 and unsigned artist upload and share features. Genres include Drum and Bass, Dubstep, Nu Skool, Garage Bassline and Hip-Hop.", "topic": "Top/Arts/Music/Chats_and_Forums/Styles", "url": "http://www.dubplates.co.uk/"} +{"d:Title": "Sonoma Wire Works", "d:Description": "Sonoma Wire Works products help musicians enjoy learning, playing, recording, and sharing their music.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.sonomawireworks.com/"} +{"d:Title": "The Muse's Muse", "d:Description": "Classifieds for Songwriters", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.musesmuse.com/museads.html"} +{"d:Title": "Free Musicians' Classifieds", "d:Description": "Automated classifieds system for musicians and music business professionals.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.freemusiciansclassifieds.com/"} +{"d:Title": "St. Louis Music Classified", "d:Description": "Designed to help musicians find playing opportunities, sell and buy musical equipment, and keep up-to-date on various musical events in and around St. Louis.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.stlmusic.com/"} +{"d:Title": "Recordsmith Pop Music Collectables", "d:Description": "Popular music recordings from the last 100 years in CD, vinyl, LPs and singles.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.angelfire.com/pop/recordsmith/main.html"} +{"d:Title": "Music Collectors.com", "d:Description": "Classifieds for hard to find Albums, Compact Discs, Cassettes, and Music Memorabilia.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.musiccollectors.com/"} +{"d:Title": "Premier Guitar", "d:Description": "Offers guitar information and equipment, music interviews, product reviews and gear search.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.premierguitar.com/"} +{"d:Title": "Mode, The", "d:Description": "Free classifieds to help musicians get gigs and buy and sell equipment, by area.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.themode.com/"} +{"d:Title": "MusoFinder", "d:Description": "Find musicians wanted and musicians available on Musofinder the search engine for musicians. Separate classifieds for US and UK.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.musofinder.com/"} +{"d:Title": "JamConnect.com", "d:Description": "Free musician classifieds, sortable by state. Most recent classifieds are shown on front page.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.jamconnect.com/"} +{"d:Title": "Join My Band", "d:Description": "Find band members in the UK and US for free. Also includes features on unsigned and up and coming bands.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.joinmyband.co.uk/"} +{"d:Title": "Jzik", "d:Description": "Buy and sell instruments and music with the directory. Even make adverts for 'wanted items'.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.jzik.com/"} +{"d:Title": "Band Mix", "d:Description": "Site for discovering musicians and bands in your local area. Upload music and video to your profile. Get notified of matches by e-mail.", "topic": "Top/Arts/Music/Classifieds", "url": "http://bandmix.com/"} +{"d:Title": "Find a Musician", "d:Description": "Musicians available and musicians wanted ads. Advertise and search for free.", "topic": "Top/Arts/Music/Classifieds", "url": "http://www.find-a-musician.com/"} +{"d:Title": "UsedFlutes.com", "d:Description": "Free classified ads for used flutes, piccolos, and headjoints.", "topic": "Top/Arts/Music/Classifieds/Instruments", "url": "http://www.usedflutes.com/"} +{"d:Title": "Musicians Buy Line", "d:Description": "International advertisements for musicians and instruments for sale.", "topic": "Top/Arts/Music/Classifieds/Instruments", "url": "http://www.musiciansbuyline.com/"} +{"d:Title": "Used Violins", "d:Description": "Free classified ads for used violins, violas, cellos, basses, and bows.", "topic": "Top/Arts/Music/Classifieds/Instruments", "url": "http://www.usedviolins.com/"} +{"d:Title": "FluteClassifieds Dot Com", "d:Description": "Classified advertisement site for flutists.", "topic": "Top/Arts/Music/Classifieds/Instruments", "url": "http://www.freefluteclassifieds.com/"} +{"d:Title": "GetHiroshima", "d:Description": "Showcases the hot spots in Hiroshima including cool events, entertainment, clubs, bars, and restaurants.", "topic": "Top/Arts/Music/Clubs_and_Venues/Asia", "url": "http://gethiroshima.com/"} +{"d:Title": "Pollstar - The Concert Hotwire", "d:Description": "A concert venue database. The who's who of concert venue information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States", "url": "http://www.pollstar.com/"} +{"d:Title": "OpenMikes.org", "d:Description": "User-contributed listings of music open-mike nights in the United States and Canada.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States", "url": "http://www.openmikes.org/"} +{"d:Title": "LetzGoOut.com", "d:Description": "Information about bars, clubs, discos, comedy clubs, sports bars, restaurants and other venues all over the USA.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States", "url": "http://www.letzgoout.com/"} +{"d:Title": "Ladd-Pebbles Stadium", "d:Description": "Event schedule and information on the stadium in Mobile, Alabama.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Alabama", "url": "http://www.laddpeeblesstadium.com/"} +{"d:Title": "Cowboys", "d:Description": "Dothan. A country western night club in the tri-state area. Visit site for calendar of events that includes national entertainment concerts and regional bands.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Alabama", "url": "http://www.cowboysofdothan.com/"} +{"d:Title": "Chilkoot Charlie's", "d:Description": "Anchorage nightclub. Includes listings for events and music, history of the club and floor plan and description of the bars.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Alaska", "url": "http://www.koots.com/"} +{"d:Title": "Georges Majestic Lounge", "d:Description": "Music venue based out of Fayettville.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Arkansas", "url": "http://www.georgesmajesticlounge.com/"} +{"d:Title": "DNA Lounge", "d:Description": "Located in San Francisco, the DNA Lounge offers a nightclub environment which plays hip-hop, trance, and 80's music.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.dnalounge.com/"} +{"d:Title": "ClubPlanet Nightlife Guide", "d:Description": "Guide to nightlife and clubbing in San Francisco. Featuring listings, reviews, chat rooms, message boards, news, and rumors.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.clubplanet.com/"} +{"d:Title": "The Greek Theatre in Los Angeles", "d:Description": "Located at Griffith Park, this venue host popular pop, classical, reggae and rock entertainment.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.greektheatrela.com/"} +{"d:Title": "Kulak's Woodshed", "d:Description": "Various styles of music, seats about 50, and is located in North Hollywood, California.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.kulakswoodshed.com/"} +{"d:Title": "Belly Up Tavern", "d:Description": "A night club located in Solana Beach. This 21 and up bar/club hosts a wide array of musical events, ranging from nationally known artists to local bands.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.bellyup.com/"} +{"d:Title": "The Coach House Concert Hall", "d:Description": "Experience the history of one of Orange County's famous landmarks. Well known for getting large name acts to perform in an intimate setting.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.thecoachhouse.com/"} +{"d:Title": "Fat Cat Music House and Lounge", "d:Description": "Fat Cat Music House and Lounge is a thirties-era show house featuring local and \"big name\" live entertainment.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.fatcatmodesto.com/"} +{"d:Title": "Cowboy Country", "d:Description": "A country nightclub located in Long Beach, California. Featuring live country music, dancing and free dance lessons on 2 large stages.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.cowboycountry.mu/"} +{"d:Title": "Pappy&Harriet's Pioneertown Palace", "d:Description": "Saloon, nightclub, and restaurant in the High Desert of California", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.pappyandharriets.com/"} +{"d:Title": "The Mint", "d:Description": "A music venue in Los Angeles. The Mint features live music, dining and has a recording studio.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.themintla.com/"} +{"d:Title": "Hollywood Bowl", "d:Description": "This 79 years old amphitheater, with a shell design, accommodates a large array of events.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.hollywoodbowl.com/"} +{"d:Title": "Croce's", "d:Description": "Croce's Jazz Bar offers a variety of styles of live jazz nightly and is located in the Gaslamp district of downtown San Diego.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.croces.com/"} +{"d:Title": "The Tipsy Crow", "d:Description": "A three level bar and night club in the Gaslamp Quarter. Includes martini menu and information about lounges, live music, and dress code.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://thetipsycrow.com/"} +{"d:Title": "The Observatory", "d:Description": "A live music venue featuring rock, alternative, jazz and blues in Orange County.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.observatoryoc.com/"} +{"d:Title": "Event Center at San Jose State University", "d:Description": "Holds more than 6,500 for concerts. It has hosted events such as Pearl Jam, MTV Campus Invasion, the Beastie Boys, Prince and SJSU Sporting events to name a few.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.union.sjsu.edu/ec/"} +{"d:Title": "Shoreline Amphitheatre", "d:Description": "Concert and event venue in Mountain View, California (San Francisco Bay Area.)", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.livenation.com/venues/14489/shoreline-amphitheatre"} +{"d:Title": "The Fox Theatre", "d:Description": "Located in Boulder, CO. It offers live music from the best local, regional and national acts of all genres.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Colorado", "url": "http://www.foxtheatre.com/"} +{"d:Title": "Pepsi Center", "d:Description": "An ultra-modern sports arena designed to set new standards in fan entertainment, comfort, and convenience in Denver, Colorado.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Colorado", "url": "http://www.pepsicenter.com/"} +{"d:Title": "Boulder Theater", "d:Description": "Live music, performances, and movies.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Colorado", "url": "http://www.bouldertheater.com/"} +{"d:Title": "Soiled Dove", "d:Description": "The site features a calendar of events, photos, and descriptions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Colorado", "url": "http://www.tavernhg.com/soiled-dove"} +{"d:Title": "The Bushnell", "d:Description": "Live performance venue in Hartford for Broadway theatre, music, dance, travel films, opera, and children's theatre. Includes events calendar and program information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://www.bushnell.org/"} +{"d:Title": "CT Clubs", "d:Description": "Club/venue and local band listings for Connecticut.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://www.clubct.com/"} +{"d:Title": "Toad's Place", "d:Description": "Music performance venue in New Haven.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://www.toadsplace.com/"} +{"d:Title": "Cheney Hall", "d:Description": "Victorian building opened in 1867 and located in Manchester, Connecticut, is now a cultural community center. Background and contact information provided.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://www.cheneyhall.org/"} +{"d:Title": "The Webster Theatre", "d:Description": "Located in Hartford. Live performance venue with events listing and ability to purchase tickets online.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://www.webstertheater.com/"} +{"d:Title": "Black-eyed Sally's BBQ&Blues", "d:Description": "Cajun cuisine and live performance venue in Hartford for national Blues musicians.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://blackeyedsallys.com/"} +{"d:Title": "Jorgensen Center for the Performing Arts", "d:Description": "UConn's main performance center.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://jorgensen.uconn.edu/"} +{"d:Title": "Xfinity Theatre", "d:Description": "Concert calendar, season ticket information, history of the theater, directions and parking. Hartford.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://www.livenation.com/venues/14505/xfinity-theatre"} +{"d:Title": "Visage Lives!", "d:Description": "Dedicated to keeping the 80's alive. Focuses on Central Florida.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://members.tripod.com/visage_lives/index.htm"} +{"d:Title": "Tampa - Latin Night Club", "d:Description": "Listing of clubs in Tampa, FL that have Latin nites.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://con-sabor-a.freeservers.com/clubs.html"} +{"d:Title": "Absoluterly Florida Nightlife", "d:Description": "List and reviews of clubs, discos, bars, music houses and local bars.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.abfla.com/nightlife/nightlife.htm"} +{"d:Title": "Bullwinkles Saloon", "d:Description": "Saloon venue located in Tallahassee.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://bullwinklessaloon.com/"} +{"d:Title": "Churchill Grounds", "d:Description": "Jazz cafe located in downtown Atlanta. Enjoy exotic coffees, pastries and nightly live performances.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Georgia", "url": "http://www.churchillgrounds.com/"} +{"d:Title": "Prairie Land Dance Club", "d:Description": "A facility dedicated to Country Western dancing. Site has information on events, classes, and workshops. Located in Illiopolis, Illinois.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Illinois", "url": "http://www.pldc.org/"} +{"d:Title": "The Emerson Theater", "d:Description": "Central Indiana's largest all original, all ages music venue.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Indiana", "url": "http://www.emersontheater.com/"} +{"d:Title": "The Post - \"Something To Do\" in Goshen", "d:Description": "Located in Goshen Indiana and offers local punk, rock, hardcore, and indie music. The Post is an all ages venue.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Indiana", "url": "http://www.the-post.org/"} +{"d:Title": "The Cotillion", "d:Description": "Find out about upcoming events, venue policies, and the locations of ticket outlets.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Kansas", "url": "http://www.thecotillion.com/"} +{"d:Title": "Topeka Performing Arts Center (TPAC)", "d:Description": "Community, non-profit performing arts center featuring a wide variety of musical and theatrical productions. This site provides information on shows, special events, a seating chart and historical information on the center. You can also purchase tickets for performances here.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Kansas", "url": "http://www.tpactix.org/"} +{"d:Title": "Pipeline Productions", "d:Description": "A live music calendar listing for two Lawrence area venues, the Bottleneck and the Granada.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Kansas", "url": "http://www.pipelineproductions.com/"} +{"d:Title": "Replay Lounge", "d:Description": "An up-to-date schedule of shows for this bar and grill featuring independent local bands and tons of pinball games. Also a listing of current pinball games available and drink specials.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Kansas", "url": "http://www.replaylounge.com/"} +{"d:Title": "Kansas Expocentre", "d:Description": "Event listings, directions and facility details. Topeka.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Kansas", "url": "http://www.ksexpo.com/"} +{"d:Title": "Chelsea's Cafe", "d:Description": "This club features bands four nights a week, in an intimate, casual setting. Includes music calendar and news updates. Located near Louisiana State University in Baton Rouge, Louisiana.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Louisiana", "url": "http://www.chelseascafe.com/"} +{"d:Title": "The Big Kahuna Cafe", "d:Description": "Blues, folk, and jazz venue in Bridgton. Site includes an events schedule.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Maine", "url": "http://www.thebigkahunacafe.com/"} +{"d:Title": "Ocean City Nightlife", "d:Description": "Links to bars and night clubs in Ocean City.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Maryland", "url": "http://www.ocean-city.com/nightlife/"} +{"d:Title": "Club 66", "d:Description": "Private club for classic rock and roll by the original artists.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Maryland", "url": "http://www.club66.net/"} +{"d:Title": "Red Eye's Dock Bar", "d:Description": "Waterfront dock bar with live entertainment along the Kent Narrows on the eastern shore of the Chesapeake Bay.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Maryland", "url": "http://www.redeyedockbar.com/"} +{"d:Title": "Iron Horse", "d:Description": "Information and events listings for the Iron Horse Music Hall, Calvin Theatre and Performing Arts Center, and the Pearl Street nightclub located in Northampton.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://www.iheg.com/"} +{"d:Title": "Bull Run Restaurant, Shirley, Mass. USA", "d:Description": "Home page of the historic Bull Run Restaurant, in Shirley Massachusetts, USA.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://bullrunrestaurant.com/"} +{"d:Title": "Wendell Full Moon Coffeehouse", "d:Description": "Non-profit venue in New England where every show is for the benefit of local environmental and service organizations.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://www.wendellfullmoon.org/"} +{"d:Title": "The Grog Restaurant", "d:Description": "This restaurant features live music in their Cabaret and is located in Newburyport, Massachusetts. Monthly schedule of bands is available at the site.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://www.thegrog.com/"} +{"d:Title": "Indian Ranch - Country Music Club", "d:Description": "New England location for camping and country music.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://www.indianranch.com/"} +{"d:Title": "The Palace", "d:Description": "This site serves The Palace and DTE Energy Music Theatre. List of concerts, sport events, and ticket information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Michigan", "url": "http://palacenet.com/"} +{"d:Title": "Majestic Theatre", "d:Description": "With one restaurant, two live music venues, four bars, ten billiard tables and sixteen bowling lanes.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Michigan", "url": "http://www.majesticdetroit.com/"} +{"d:Title": "I-Rock", "d:Description": "When you enter the I-ROCK it is a walk down ROCK-N-ROLL memory lane. There are hundreds of autographed photos and guitars.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Michigan", "url": "http://www.irocknightclub.com/"} +{"d:Title": "Crazy Wisdom Bookstore and Tea Room", "d:Description": "Ann Arbor - Live Music every Friday and Saturday night. Smoke and alcohol free environment of the Crazy Wisdom Tea Room. Coffee and over 100 teas and herbal teas.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Michigan", "url": "http://www.crazywisdom.net/"} +{"d:Title": "Nefarious UnderGround Detroit Culture", "d:Description": "Rave information, DJ Mixes, electronic traxx, Detroit DJ information, and live radio.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Michigan", "url": "http://nefarious.net/"} +{"d:Title": "First Avenue / 7th St. Entry", "d:Description": "This well known nightclub in Minneapolis presents both local and national bands. The site offers live and rebroadcasted webcasts of their concerts.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.first-avenue.com/"} +{"d:Title": "The Artists' Quarter", "d:Description": "Club features live jazz nightly by local and national artists in St. Paul.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.artistsquarter.com/"} +{"d:Title": "Turf Club", "d:Description": "Music calendar, booking info, and pictures of past show. Located in St. Paul.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.turfclub.net/"} +{"d:Title": "The Red Carpet", "d:Description": "This three level nightclub in Saint Cloud has live music, including both local and national bands. An event calendar and links to bands are at this site.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.redcarpetnightclub.com/"} +{"d:Title": "Fineline Music Cafe", "d:Description": "Located in downtown Minneapolis, this club features both local and national bands, and caters to special events and corporate functions. Events calendar, pictures, merchandise, menu, and ticket requests.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.finelinemusic.com/"} +{"d:Title": "Kieran's Irish Pub", "d:Description": "Located in downtown Minneapolis, this pub offers traditional Irish music.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.kierans.com/"} +{"d:Title": "Xcel Energy Center", "d:Description": "This new multi-purpose entertainment and sports venue opened in Saint Paul in 2000. A panorama view of the venue is offered at this site via Quicktime.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.xcelenergycenter.com/"} +{"d:Title": "Target Center", "d:Description": "The most popular national bands have played here over the last decade. You can see the view from your seat with the 'seat locator' at this site.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.targetcenter.com/"} +{"d:Title": "Dunn Bros Coffee", "d:Description": "There's live music six nights a week at this Saint Paul coffeehouse. Music calendar and booking information available.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.dunnbrosgrand.com/"} +{"d:Title": "The Cabooze", "d:Description": "This popular club near the west bank features local and national bands. Live music calendar, ticket information, and directions available on the site.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.cabooze.com/"} +{"d:Title": "Medina Entertainment Center", "d:Description": "Large entertainment facility that offers diverse local and national bands. Searchable event calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.medinaentertainment.com/"} +{"d:Title": "Harmony Park Music Garden", "d:Description": "Music festivals which include weekend camping and draw national bands. The site has upcoming events and ticket information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://hapar.wordpress.com/"} +{"d:Title": "Hollywood Casino Amphitheatre", "d:Description": "Current concert listing, seating chart and map.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Missouri", "url": "http://thehollywoodcasinoamphitheatre.com/"} +{"d:Title": "Watchung Arts Center", "d:Description": "A central New Jersey venue for the performing arts. Concerts include jazz, classical, and folk music. Offers links, contact information and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.watchungarts.org/"} +{"d:Title": "Buckalew's Tavern", "d:Description": "Offering live bands nightly, a local band showcase located in Beach Haven. Includes an entertainment calendar, special events and menus.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.buckalews.com/"} +{"d:Title": "Meadowlands Sports Complex", "d:Description": "New Jersey's concert venue. Schedule of up-coming concert events, seating diagrams, ticket information and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.meadowlands.com/"} +{"d:Title": "The Stone Pony", "d:Description": "Asbury Park venue offering concert events. Music news, online store, and free live show broadcasts.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.stoneponyonline.com/"} +{"d:Title": "Albert Music Hall", "d:Description": "For over 25-years, a source of Bluegrass music in Southern Jersey. Includes photos and venue history.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.alberthall.org/"} +{"d:Title": "NJPAC", "d:Description": "New Jersey Performing Arts Center's web site offers up-to-date information about concert events, ticket information and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.njpac.org/"} +{"d:Title": "Bar Anticipation", "d:Description": "Open year round Belmar nightclub offering live bands, dance music and open mic nights. Contains extensive picture archive from past events.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.bar-a.com/"} +{"d:Title": "Old Bay Restaurant", "d:Description": "Located in New.Brunswick, a nightclub presenting Blues and Jazz on Thursday, Friday and Saturday nights. Flash required.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.oldbay.com/"} +{"d:Title": "Colorado Cafe", "d:Description": "A Honky Tonk bar in Watchung offering Western dance music, dance contests, and a mechanical bull. Virtual tour and pictures.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.coloradocafe.com/"} +{"d:Title": "The Internet Cafe", "d:Description": "A Red Bank Coffeehouse offering open mic nights, live bands, improvisational jam nights. Includes event schedule and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.icafenj.com/"} +{"d:Title": "Orphan Annie's", "d:Description": "A club in Stirling featuring live music four nights a week, live bands every weekend, a Blues Jam on Wednesdays, and open mic every Sunday. Photo gallery, directions and even calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://oannies.tripod.com/"} +{"d:Title": "Rooney's Ocean Crab House", "d:Description": "Offering year round live music events every weekend features live Blues and Memphis style music. Photo album, directions and a crab tutor.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.rooneysocean.com/"} +{"d:Title": "Willie McBride's", "d:Description": "An Irish Pub located in Hoboken featuring live music every Thursday, Friday, and Saturday night. Includes a photo gallery, directions and event calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.williemcbrides.com/"} +{"d:Title": "Just Jakes", "d:Description": "Located in Montclair, this venue offers live bands three nights a week. Calendar, mailing list sign-up and directions are available on the site.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.justjakes.com/"} +{"d:Title": "Bamboo Bar", "d:Description": "Seasonal Seaside Heights nightclub offering seasonal events of dance music and live bands. Site offers pictures and schedule of events.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.bamboobar.com/"} +{"d:Title": "Donovan's Reef", "d:Description": "Seasonal nightclub in Sea Bright featuring dance music and live music. Photos, directions, virtual tour and event calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.donovansreefseabright.com/"} +{"d:Title": "Jimmy's Nightclub", "d:Description": "Seasonal nightclub in North Wildwood presenting live music all summer. Band biographies, pictures and even calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.angelfire.com/nj3/jimmys/"} +{"d:Title": "Martell's Tiki Bar", "d:Description": "Seasonal Point Pleasant nightclub offering live music and dance music all summer long. Beach cam, club cam and event calendar. [Flash required]", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.tikibar.com/"} +{"d:Title": "Moores Inlet", "d:Description": "Seasonal North Wildwood beach front patio bar and nightclub offering live music, dancing and karaoke. Features photos, history and event calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.mooresinlet.com/"} +{"d:Title": "The Ocean Drive", "d:Description": "Seasonal nightclub in Sea Isle City offering dance music and live bands. Event calendar and merchandise.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.theod.com/"} +{"d:Title": "Joe Pop's Shore Bar", "d:Description": "Beach Haven night spot offering live music all season. Calendar, pictures and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.joepops.com/"} +{"d:Title": "The Starland Ballroom", "d:Description": "Hosting national and local acts, located in Sayreville. Includes upcoming shows, directions, ticket information, and event photographs.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.starlandballroom.com/"} +{"d:Title": "State Theatre", "d:Description": "New Brunswick venue hosting national acts, orchestras, and bands, including Classical, Pop, Rock, Jazz, and Blues concerts. Press releases, ordering information and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.statetheatrenj.org/"} +{"d:Title": "Coffee with Conscience", "d:Description": "Hosts monthly concerts by singer/songwriters in Westfield with proceeds going to charity. Includes event calendar, history, image galleries, charity and sponsor list, details of CDs for on-line sale, map and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.coffeewithconscience.org/"} +{"d:Title": "The Headliner", "d:Description": "Located in Central New Jersey, this club offers live music by area bands and dance music. Includes directions and event calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.theheadliner.com/"} +{"d:Title": "Triumph Brewery", "d:Description": "This Princeton brew pub offers live bands every weekend. Directions are listed under contact information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.triumphbrewing.com/princeton/"} +{"d:Title": "The Sea Shell Resort&Beach Club", "d:Description": "Beach Haven, Long Beach Island nightclub offering DJs and live bands during the summer season. Newsletter, directions and events calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.seashellresortandbeachclub.com/"} +{"d:Title": "Jenk's Pavilion", "d:Description": "Located on the beach in Point Pleasant and open year round, featuring live bands and DJs. Event schedule, picture archives and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.jenkspavilion.com/"} +{"d:Title": "PNC Bank Arts Center", "d:Description": "A multi-million dollar performing arts center specially designed for live concerts. Site features include seating chart, ticket information and event calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.livenation.com/venues/14235/pnc-bank-arts-center"} +{"d:Title": "Nitevibe", "d:Description": "A New York nightlife resource with venue and event reviews and listings.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.nitevibe.com/"} +{"d:Title": "Club Long Island", "d:Description": "Directory and search engine of nightclubs, restaurants, limousine companies, catering halls, tuxedo rentals and entertainment.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.clublongisland.com/"} +{"d:Title": "The Bitter End", "d:Description": "New York City's longest running Rock Club. Plenty of videos online.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://bitterend.com/"} +{"d:Title": "B.B. King Blues Club&Grill", "d:Description": "Find suggested artists, tickets, online entertainment and enjoy the Gospel brunch.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.bbkingblues.com/"} +{"d:Title": "Four Ds International", "d:Description": "Brooklyn nightclub featuring the Reggae, Calypso, HipHop and Rythum and Blues.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://fourdsinternational.tripod.com/fourdsinternational/"} +{"d:Title": "Body and Soul", "d:Description": "New York City on sundays. DJS are Francois Kevorkian, Danny Krivitt and Joe Claussell.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.bodyandsoul-nyc.com/"} +{"d:Title": "Cutting Room", "d:Description": "An elegant lounge and music club in the heart of Manhattan's Flatiron District, The Cutting Room is a comfortable venue for eclectic music and food.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.thecuttingroomnyc.com/"} +{"d:Title": "New York Readio", "d:Description": "Lists NYC clubs, concert halls, and churches. Includes Apollo Theater, Beacon Theatre, Irving Plaza, Hammerstein Ballroom at the Manhattan Center, Madison Square Garden, Radio City Music Hall, Roseland, Roxy, and The Theater.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.readio.com/clubs/newyorkclubs1.html"} +{"d:Title": "JoonBug Productions", "d:Description": "Provides party promotion information for NYC nightlife events and venues.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.joonbug.com/"} +{"d:Title": "People's Voice Cafe", "d:Description": "Offering affordable performances with a political, left-wing focus.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.peoplesvoicecafe.org/"} +{"d:Title": "The Chance Theater", "d:Description": "The Hudson Valley's premier live music venue.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.thechancetheater.com/"} +{"d:Title": "Manos Arriba Productions", "d:Description": "Provides personal services and entertainment.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.tagrafx.com/MA/"} +{"d:Title": "Continental", "d:Description": "New York City rock club. Event schedule, photographs, and booking information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.continentalnyc.com/"} +{"d:Title": "Bowery Ballroom", "d:Description": "Manhattan venue hosting live music. Includes calendar, box office information, directions, picture gallery and club history.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.boweryballroom.com/"} +{"d:Title": "Postcrypt Coffeehouse", "d:Description": "Features acoustic musicians performing each Friday and Saturday night during the school year. Located on the campus of Columbia University. Open to the public.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://postcrypt.virb.com/"} +{"d:Title": "Greensboro Coliseum Complex", "d:Description": "The Coliseum is the primary host of events in the Piedmont Triad area. Information on tickets, events, seating, maps, vendors and contacts.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://www.greensborocoliseum.com/"} +{"d:Title": "Tremont Music Hall and THE CASBAH in Charlotte", "d:Description": "Live music club", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://www.tremontmusichall.com/"} +{"d:Title": "Alltel Pavilion (Walnut Creek, near Raleigh)", "d:Description": "Large venue with covered and open seating", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://www.alltelpavilion.com/"} +{"d:Title": "The Orange Peel Social Aid and Pleasure Club", "d:Description": "Asheville, North Carolina. Hosts national acts from all genres. Calendar of events, ticket information, message board, map and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://www.theorangepeel.net/"} +{"d:Title": "Cat's Cradle", "d:Description": "Includes schedule, directions, booking information and online ticket ordering.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://catscradle.com/"} +{"d:Title": "PNC Arena", "d:Description": "Arena in Raleigh hosts sporting and entertainment events. Includes event, ticket, and booking information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://www.thepncarena.com/"} +{"d:Title": "LJVM Coliseum Complex in Winston-Salem", "d:Description": "Event listings, plus artist and facility information. Buy tickets online, take a virtual tour, view seating charts.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://www.wakeforestsports.com/facilities/ljvm-events.html"} +{"d:Title": "The Fargodome", "d:Description": "The Fargodome hosts a wide variety of events, such as concerts, Bison football, and expos.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Dakota", "url": "http://www.fargodome.com/"} +{"d:Title": "Alerus Center", "d:Description": "The home of Sioux football and hosts concerts and other events.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/North_Dakota", "url": "http://www.aleruscenter.com/"} +{"d:Title": "The Velvet Dog", "d:Description": "Located in Cleveland, the lounge and dance club provides an atmosphere that's drenched in sweeping colors and lively retro sounds. Site contains additional information for private parties.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.velvetdogcleveland.com/"} +{"d:Title": "U.S. Bank Arena", "d:Description": "A sports and entertainment venue on the banks of the Ohio River. U.S. Bank Arena is a first-class, state-of-the-art venue which will host approximately 125 exciting events each year.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.usbankarena.com/"} +{"d:Title": "Coffee Amici", "d:Description": "Located in Findlay, a fine arts coffeehouse and concert venue.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.coffeeamici.com/"} +{"d:Title": "The Beachland Ballroom", "d:Description": "Located in Collinwood (Cleveland), venue contains 2 clubs: the ballroom and smaller tavern. National touring acts to local talent. Mostly Punk, Rock, Garage, with some Jazz and Folk shows.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.beachlandballroom.com/"} +{"d:Title": "Canal Street Tavern", "d:Description": "Located in Dayton, \"An intimate and unpretentious concert atmosphere designed for good listening.\" -Since 1981", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.canalstreettavern.com/"} +{"d:Title": "Larry's Bar", "d:Description": "Located in Columbus, OSU Campus area bar with a poetry night and live music. Poetry forum on Tuesday nights.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.larrysbar.com/"} +{"d:Title": "Rohs Street Cafe", "d:Description": "Located in Cincinnati, (Clifton community), live music events, delicious Pura Vida coffee and an atmosphere of fellowship and good-will. Information about the cafe, weekly and upcoming events with links to the performers' websites, and directions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.rohsstreetcafe.com/"} +{"d:Title": "PromoWest Productions", "d:Description": "Located in Columbus. Venue information and concert listings for PromoWest Pavilion and Newport Music Hall.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.promowestlive.com/"} +{"d:Title": "Fur Peace Station Concert Hall", "d:Description": "Located in Pomeroy (Southeast Ohio), a 200 seat concert hall located in the foothills of the Appalachian Mountains. Concert schedule, ticket info, and virtual tour available at site.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.furpeaceranch.com/"} +{"d:Title": "Classic Rock Cafe", "d:Description": "Site of the Oklahoma City club and restaurant. Includes a gig calendar and photographs.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Oklahoma", "url": "http://theresa2334.tripod.com/"} +{"d:Title": "Rogue Theatre", "d:Description": "Rogue Theatre of Grants Pass is a performing arts center in a historic theatre featuring rock, blues, and jazz artists. Site includes a concert schedule.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Oregon", "url": "http://www.roguetheatre.com/"} +{"d:Title": "The Mann Center for the Performing Arts in Philadelphia", "d:Description": "The Mann Center for the Performing Arts in Philadelphia offers a diverse array of entertainment with internationally recognized artists.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Pennsylvania", "url": "http://manncenter.org/"} +{"d:Title": "PatGarrett.com", "d:Description": "See country music legends, like Willie, George, Loretta, at the beautiful outdoor Pat Garrett Amphitheater in Strausstown, PA. Located at the foothills of the Blue Mountains.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Pennsylvania", "url": "http://www.patgarrett.com/"} +{"d:Title": "Acoustic Brew Concerts", "d:Description": "Folk concerts in a smoke and alcohol-free setting. Includes singer, songwriters, old-timey, acoustic blues, Celtic and bluegrass.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Pennsylvania", "url": "http://www.acousticbrew.org/"} +{"d:Title": "Keswick Theatre Glenside, PA", "d:Description": "A 1300 seat concert venue located in suburban Philadelphia.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Pennsylvania", "url": "http://www.keswicktheatre.com/"} +{"d:Title": "Music Farm", "d:Description": "Night club featuring live entertainment, includes photos, concert calendar, and contact information; located in Charleston.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/South_Carolina", "url": "http://www.musicfarm.com/"} +{"d:Title": "Fill My Cup Coffeehouse", "d:Description": "Live music coffeehouse located in Pegram. Event schedule, pictures, and menu.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Tennessee", "url": "http://fill_my_cup.tripod.com/"} +{"d:Title": "The Continental Club", "d:Description": "Austin Texas historic live music venue. Rockin' South Austin since 1957!", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://www.continentalclub.com/"} +{"d:Title": "21: A Wine and Martini Bar", "d:Description": "Features a full-service bar with over 100 wines, 50 by the glass, over 20 martinis, and a selection of specialty beers and cigars. Located in Galveston, Texas.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://galveston.com/21/"} +{"d:Title": "Texas Dance Hall Preservation", "d:Description": "Promotes the public awareness, preservation, and continuing use of historic Texas dance halls. Features news, purpose, event calendar, map of venues, and list of dance halls.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://www.texasdancehall.org/"} +{"d:Title": "The Gladewater Saturday Night Opry", "d:Description": "A five time national award winner, this two-hour country music stage show is packed with good music and comedy and features primarily independent artists in the local and surrounding regions.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://www.gladewateropry.com/"} +{"d:Title": "Pickle Barrel Night Club", "d:Description": "Site contains concert schedule of national acts coming to Killington, Vermont, happy hour schedule and snow reports.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Vermont", "url": "http://www.picklebarrelnightclub.com/"} +{"d:Title": "Jammin Java", "d:Description": "Six out of seven nights a week customers can hear outstanding performing artists from Grammy Award winners to local artists.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Virginia", "url": "http://www.jamminjava.com/"} +{"d:Title": "Jazzbones", "d:Description": "Jazzbones is a South Puget Sound's nightclub featuring live Jazz, and Blues. Features live music seven nights per week.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington", "url": "http://www.jazzbones.com/"} +{"d:Title": "9:30 Club", "d:Description": "State-of-the-art concert space presents top-name rock, punk, hip-hop and country acts nightly. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.930.com/"} +{"d:Title": "Black Cat", "d:Description": "Showcases the best of local, national and international independent and alternative music in its main concert space and more intimate Backstage. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.blackcatdc.com/"} +{"d:Title": "Blues Alley", "d:Description": "A Georgetown institution modeled after the jazz supper clubs of the 1920s and '30s. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.bluesalley.com/"} +{"d:Title": "Bohemian Caverns", "d:Description": "\"The Sole Home of Soul Jazz\" is U Street's historical home of jazz and blues. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.bohemiancaverns.com/"} +{"d:Title": "Twins Jazz", "d:Description": "Intimate U Street jazz supper club featuring top local and national talent. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.twinsjazz.com/"} +{"d:Title": "DAR Constitution Hall", "d:Description": "National Historic Landmark concert hall.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.dar.org/conthall/"} +{"d:Title": "Velvet Lounge", "d:Description": "Serves up a wide variety of music ranging from punk rock to alt-folk. Calendar, sound bites, booking, links and Free Beer Mailing List.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.velvetloungedc.com/"} +{"d:Title": "DC9", "d:Description": "Ninth Street club books an eclectic mix of local and national acts. Calendar, MP3s, forum, directions and contact information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.dcnine.com/"} +{"d:Title": "Chief Ike's Mambo Room", "d:Description": "Offers happy hour, upstairs lounge and live music. Features entertainment schedule, links, directions and guestbook.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.chiefikes.com/"} +{"d:Title": "John F. Kennedy Center for the Performing Arts", "d:Description": "Home to the National Symphony Orchestra, Washington National Opera and Washington Ballet. Presents classical, jazz, choral, dance and family programs, as well as daily free performances on the Millennium Stage. Includes history, information on upcoming performances and online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.kennedy-center.org/"} +{"d:Title": "Carter Barron Amphitheatre", "d:Description": "Administered by the National Park Service, the summertime site of outdoor rock/pop, jazz and classical performances, many of them free of charge.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.nps.gov/rocr/planyourvisit/cbarron.htm"} +{"d:Title": "Warehouse", "d:Description": "Downtown performing arts venue for theater, music, art and film, with schedules of upcoming events.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.warehousetheater.com/"} +{"d:Title": "Atlas Performing Arts Center", "d:Description": "Community-centered venue for theater, music and dance located in the H Street corridor of Northeast Washington. History, news and press, mailing list, arts partners, season schedule and online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.atlasarts.org/"} +{"d:Title": "Michael Romeo Group", "d:Description": "Links to sites for group's clubs, including FUR Nightclub, Lotus Lounge, Midtown and Tattoo Bar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://michaelromeogroup.com/"} +{"d:Title": "Madam' s Organ", "d:Description": "Includes weekly schedule of local and national blues acts.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.madamsorgan.com/"} +{"d:Title": "Ultrabar", "d:Description": "Downtown nightclub, bar and lounge. Includes events, admission passes and photo gallery.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.ultrabardc.com/"} +{"d:Title": "Club Glow", "d:Description": "Weekly electronic music event at Ultrabar and Fur Nightclub. Schedule, artists, tickets, audio and video.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.clubglow.com/"} +{"d:Title": "Warner Theatre", "d:Description": "Historic downtown venue for national and international recording artists and popular theatrical, dance and television presentations. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://warnertheatredc.com/"} +{"d:Title": "Greenberg Theatre, American University", "d:Description": "Located near Tenleytown in Northwest Washington. Events, rental information and online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.american.edu/cas/greenberg/index.cfm"} +{"d:Title": "Lincoln Theatre", "d:Description": "Historic U Street theater presents music shows, comedy and other productions. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.thelincolndc.com/"} +{"d:Title": "Opera Ultra Lounge", "d:Description": "Profile, events, gallery, press, newsletter and contact information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.operadc.com/"} +{"d:Title": "Lisner Auditorium", "d:Description": "On the campus of the George Washington University in Foggy Bottom. Online ticketing.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://lisner.gwu.edu/"} +{"d:Title": "Flash", "d:Description": "Intimate nightclub and bar located in the Shaw neighborhood. Events, venue details, reservations and FAQ.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://flashdc.com/"} +{"d:Title": "Inferno Nightclub", "d:Description": "Nightclub located in Madison. Includes calendar and description of nightly events, picture gallery, and forum.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.clubinferno.com/"} +{"d:Title": "The Rave", "d:Description": "Multiple venues in one entertainment complex, with up to 4 stages of live national entertainment can be seen in one night. Includes a calendar and contests.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.therave.com/"} +{"d:Title": "Barrymore Theatre", "d:Description": "Concert venue in Madison. Event schedule and ticket information.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.barrymorelive.com/"} +{"d:Title": "Shank Hall", "d:Description": "An intimate club located in Milwaukee, Wisconsin. Live music calendar and FAQ about the club.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.shankhall.com/"} +{"d:Title": "Club Milwaukee", "d:Description": "A guide and information portal about dance clubs and other music venues in Milwaukee. Features reviews, a discussion community, and interviews with venue owners and operators.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.clubmilwaukee.com/"} +{"d:Title": "Lake Superior Big Top Chautauqua", "d:Description": "A non-profit performing arts tent theater. Order tickets, performance schedule, merchandise.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.bigtop.org/"} +{"d:Title": "Club Anything", "d:Description": "Milwaukee club features gothic, industrial, and electronic music. Contains featured DJs and pictures.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.clubanything.us/"} +{"d:Title": "The Bradley Center", "d:Description": "Ticket information, seating chart, event calendar, directions and maps.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.bmoharrisbradleycenter.com/"} +{"d:Title": "Alpine Valley Music Theatre", "d:Description": "Large outdoor music theatre located just south of Milwaukee. Site offers forum, and event calendar.", "topic": "Top/Arts/Music/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://alpinevalleymusictheatre.org/"} +{"d:Title": "International Music Discographies", "d:Description": "Online music price guide, available by paid subscription. USA values in dollars; accepts submissions from users.", "topic": "Top/Arts/Music/Collecting", "url": "http://recordmaster.com/"} +{"d:Title": "Nibble's Record Shop Guides", "d:Description": "Guide to record and compact disc shops in San Diego, Los Angeles and Albuquerque.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.studio-nibble.com/guides/index.html"} +{"d:Title": "The Internet Museum of Flexi / Cardboard / Oddity Records", "d:Description": "Devoted to odd promotional and novelty records, usually printed on paper. Images and RealAudio from a collection.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.wfmu.org/MACrec/"} +{"d:Title": "Vinyl Bank Record and CD Fairs", "d:Description": "UK Midlands based record and CD fair organiser. Find fair dates, venue information, public and dealer information.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.vinylbank.co.uk/"} +{"d:Title": "RockPosterCollector.com", "d:Description": "History of rock posters and information for collectors.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.rockpostercollector.com/"} +{"d:Title": "Gigposters", "d:Description": "Images of gig posters, flyers and handbills from around the world.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.gigposters.com/"} +{"d:Title": "PNPrecords", "d:Description": "Includes a history of Russian rock and information on Russian music labels.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.pnprecords.spb.ru/"} +{"d:Title": "Record Song Book", "d:Description": "Private collector presents information about and seeks copies of the UK music magazine published in the 1960s and 1970s.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.radisol.com/rsb"} +{"d:Title": "The History of Sound Recording Technology", "d:Description": "Explores the history and impact of the inventions that changed the way we listen; includes business, cultural, and technological sections.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.recording-history.org/"} +{"d:Title": "Recordjunkie.com", "d:Description": "Collectors' community and worldwide record store guide.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.recordjunkie.com/"} +{"d:Title": "Record-price-guide", "d:Description": "German-based database of record and CD prices for independent releases.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.record-price-guide.org/"} +{"d:Title": "Discogs", "d:Description": "User built music database. Store and organise personal music collection listings for free. Includes cross-referenced discographies of artists and labels, marketplace, and forums for music and general discussion.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.discogs.com/"} +{"d:Title": "Cylinder, Disc and Tape Care in a Nutshell", "d:Description": "Care, handling and storage of musical recordings in a collections environment, from the US Library of Congress. Includes a bibliography.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.loc.gov/preserv/care/record.html"} +{"d:Title": "Music Price Guide", "d:Description": "Includes recent online auction results, free classified ads, and a worldwide directory of record sellers.", "topic": "Top/Arts/Music/Collecting", "url": "http://www.musicpriceguide.com/"} +{"d:Title": "The Care and Handling of Recorded Sound Materials", "d:Description": "Technical paper on the archival maintenance of music recordings. Expanded version of an article initially prepared for the National Library of Canada.", "topic": "Top/Arts/Music/Collecting", "url": "http://cool.conservation-us.org/byauth/st-laurent/care.html"} +{"d:Title": "Record Covers Drawn by Comic Artists", "d:Description": "Listing of album covers (CD and vinyl) drawn by comic artists and illustrators. Includes many images. In French and English.", "topic": "Top/Arts/Music/Collecting", "url": "https://ronaldk.home.xs4all.nl/index2.html"} +{"d:Title": "Suzy's 8-Track Flashback", "d:Description": "Dedicated to the adoration and preservation of the 8-track.", "topic": "Top/Arts/Music/Collecting/8-track", "url": "http://members.tripod.com/~Suzy116/8trackin.html"} +{"d:Title": "8-Track Landfill", "d:Description": "Galleries of pirated albums, players, and unusual storage cases, a journal logging thrift store finds, and an \"8-track Hall of Shame.\"", "topic": "Top/Arts/Music/Collecting/8-track", "url": "http://members.tripod.com/gregg-n/"} +{"d:Title": "2XL Home Page", "d:Description": "Dedicated to an 8-track based toy from the late 1970s. FAQ, reader letters, pictures, and audio clips of the machine at work.", "topic": "Top/Arts/Music/Collecting/8-track", "url": "http://www.pickletreats.com/2XL/"} +{"d:Title": "Paul Solarski Rare Records", "d:Description": "Buying collections and accumulations of records from 1890-1968 with an emphasis on 1920-35 blues and jazz.", "topic": "Top/Arts/Music/Collecting/Buyers", "url": "http://78rpms.com/"} +{"d:Title": "Rockin' Rarities", "d:Description": "Buys 45 rpm and 78 rpm record collections, primarily blues, rock, soul, and rhythm and blues.", "topic": "Top/Arts/Music/Collecting/Buyers", "url": "http://www.angelfire.com/blues2/recordcollections/index.html"} +{"d:Title": "Cash For CDs", "d:Description": "Offers cash for used CDs and DVDs from the USA and Canada.", "topic": "Top/Arts/Music/Collecting/Buyers", "url": "http://www.cashforcds.com/"} +{"d:Title": "CDsWanted.com", "d:Description": "Buyer of rare and collectible vinyl records, compact discs, and music memorabilia from the 50s to the present day.", "topic": "Top/Arts/Music/Collecting/Buyers", "url": "http://cdswanted.com/"} +{"d:Title": "Both Sides Now Publications", "d:Description": "Publisher of a guidebook and newsletter about oldies on CD.", "topic": "Top/Arts/Music/Collecting/Compact_Disc", "url": "http://www.bsnpubs.com/"} +{"d:Title": "Classical Net", "d:Description": "Offers a collection of information on classical music subjects, including a basic repertoire list and a guide to building a classical CD collection.", "topic": "Top/Arts/Music/Collecting/Compact_Disc", "url": "http://www.classical.net/"} +{"d:Title": "Filmtracks Soundtrack Collectibles Corner", "d:Description": "Information about the collectibility of soundtrack CDs.", "topic": "Top/Arts/Music/Collecting/Compact_Disc", "url": "http://www.filmtracks.com/collecting/"} +{"d:Title": "Soundtrack Price Engine", "d:Description": "Monitors auctions to gather statistics on movie soundtrack and film score CD prices, composers, out-of-print status, rarity and used CD availability.", "topic": "Top/Arts/Music/Collecting/Compact_Disc", "url": "http://soundtrackguide.com/"} +{"d:Title": "Care and Handling of CDs and DVDs: A Guide for Librarians and Archivists", "d:Description": "Report describes how optical discs are made and how they work, then distills current industry knowledge about disc longevity, conditions that affect life expectancy, and how to care for optical media.", "topic": "Top/Arts/Music/Collecting/Compact_Disc", "url": "http://www.clir.org/pubs/reports/pub121/contents.html"} +{"d:Title": "A Beginner's Guide to Collecting Compact Discs", "d:Description": "Ten rules for collecting classical CDs.", "topic": "Top/Arts/Music/Collecting/Compact_Disc", "url": "http://www.good-music-guide.com/articles/collecting.htm"} +{"d:Title": "Cover Target", "d:Description": "Well organized archive with audio, Playstation 2, pc, psx and Xbox covers.", "topic": "Top/Arts/Music/Collecting/Compact_Disc/Cover_Images", "url": "http://www.covertarget.com/"} +{"d:Title": "Automated Usenet Binary Archive", "d:Description": "An archive of almost every cd-cover image posted to the usenet group alt.binaries.pictures.cd-covers.", "topic": "Top/Arts/Music/Collecting/Compact_Disc/Cover_Images", "url": "http://alt.binaries.pictures.cd-covers.xusenet.com/"} +{"d:Title": "AllCDCovers", "d:Description": "Collection of covers for music and movies.", "topic": "Top/Arts/Music/Collecting/Compact_Disc/Cover_Images", "url": "http://www.allcdcovers.com/"} +{"d:Title": "All Music Guide", "d:Description": "A guide to recordings in every genre. Cross-indexed source of reviews, essays, and ratings; all available online, on in-store kiosks, and in print form. Uses submissions from readers.", "topic": "Top/Arts/Music/Collecting/Guidebooks", "url": "http://www.allmusic.com/"} +{"d:Title": "Osborne Enterprises and Jellyroll Productions", "d:Description": "Producer of price guides (in the \"Official Price Guide\" series) and reference books for music collectibles. General descriptions of each volume.", "topic": "Top/Arts/Music/Collecting/Guidebooks", "url": "http://www.jerryosborne.com/"} +{"d:Title": "Joel Whitburn's Record Research Books", "d:Description": "Information from Billboard magazine's major charts with complete chart data on every recording ever charted. Short descriptions and sample pages from this large line of books.", "topic": "Top/Arts/Music/Collecting/Guidebooks", "url": "http://www.recordresearch.com/"} +{"d:Title": "Trouser Press", "d:Description": "Official site of Trouser Press magazine (1974-1984) and the Trouser Press Record Guides. Reviews and discographies from five editions of the guidebook.", "topic": "Top/Arts/Music/Collecting/Guidebooks", "url": "http://www.trouserpress.com/"} +{"d:Title": "Krause Publications", "d:Description": "Publisher of several record and CD collecting related books, including price guides.", "topic": "Top/Arts/Music/Collecting/Guidebooks", "url": "http://www.krausebooks.com/category/records"} +{"d:Title": "Like Wow! Vinyl Culture Quarterly", "d:Description": "An online pictorial magazine featuring photos of unusual records as well as useful hobby tips.", "topic": "Top/Arts/Music/Collecting/Magazines_and_E-zines", "url": "http://www.vinylrevival.com/likewow/index.html"} +{"d:Title": "Audiophile Audition", "d:Description": "Software-positive web magazine for audio and home theater buffs who are more into music than into equipment. Features reviews, in all music genres, of CDs, SACDs, DVD-As, DVD-Vs, and audiophile LPs.", "topic": "Top/Arts/Music/Collecting/Magazines_and_E-zines", "url": "http://www.audaud.com/"} +{"d:Title": "The Endless Groove", "d:Description": "Archives of an ezine for the vinyl record collector and enthusiast.", "topic": "Top/Arts/Music/Collecting/Magazines_and_E-zines", "url": "http://www.endlessgroove.com/"} +{"d:Title": "Jazz Collector", "d:Description": "Website and newsletter focused on the market for Jazz vinyl records and other memorabilia. Features price lists from the eBay market.", "topic": "Top/Arts/Music/Collecting/Magazines_and_E-zines", "url": "http://jazzcollector.com/"} +{"d:Title": "Soundfountain's Audio and Music Bulletin", "d:Description": "Ezine covering such topics as analog and digital audio, vinyl, audiophile recordings, and record cleaning.", "topic": "Top/Arts/Music/Collecting/Magazines_and_E-zines", "url": "http://www.soundfountain.com/amb/amb.html"} +{"d:Title": "Goldmine", "d:Description": "US magazine about collectible records, CDs and music memorabilia covering all eras/styles. Reviews, record show calendar, forum, and shop directory. From Krause Publications.", "topic": "Top/Arts/Music/Collecting/Magazines_and_E-zines", "url": "http://www.goldminemag.com/"} +{"d:Title": "Record Collector", "d:Description": "British based magazine focusing on the European collecting market. Latest issue contents, subscription information, and back issue ordering.", "topic": "Top/Arts/Music/Collecting/Magazines_and_E-zines", "url": "http://www.recordcollectormag.com/"} +{"d:Title": "ARSC: Association for Recorded Sound Collections", "d:Description": "A non-profit association of those interested in the history and technology of recorded sound.", "topic": "Top/Arts/Music/Collecting/Organizations", "url": "http://www.arsc-audio.org/"} +{"d:Title": "The Metal Mayhem Personal Collection", "d:Description": "Large collection of Heavy Metal music.", "topic": "Top/Arts/Music/Collecting/Personal_Collections", "url": "http://www.metalmayhem.com/coll_frame.htm"} +{"d:Title": "Home Base", "d:Description": "Collection of about 400 Urban/Rap CDs.", "topic": "Top/Arts/Music/Collecting/Personal_Collections", "url": "http://www.angelfire.com/ny3/CodeRed/cdlist.html"} +{"d:Title": "Jugband Music", "d:Description": "Jugband music collection (about 15-20) plus instruments commonly used in jugbands.", "topic": "Top/Arts/Music/Collecting/Personal_Collections", "url": "http://home.earthlink.net/~kenk2000/jughome.htm"} +{"d:Title": "Sixties Music Is Back", "d:Description": "Collection of obscure late 1960s records, with some audio clips of obscurities.", "topic": "Top/Arts/Music/Collecting/Personal_Collections", "url": "http://users.telenet.be/60spop/Index.htm"} +{"d:Title": "Chief Moon's Gallery", "d:Description": "An online gallery of rock and roll images from 1975-1999 including The Rolling Stones, Muddy Waters, Bob Dylan, and Grateful Dead.", "topic": "Top/Arts/Music/Collecting/Personal_Collections/Photographs", "url": "http://www.chief-moons-gallery.com/"} +{"d:Title": "Rik Walton Music and Theatre Photography", "d:Description": "A collection from photographs of rock, jazz and folk musicians, from 1970 to the present.", "topic": "Top/Arts/Music/Collecting/Personal_Collections/Photographs", "url": "http://www.rikwalton.com/"} +{"d:Title": "QuadraphonicQuad", "d:Description": "Information about multichannel sound, including quadraphonic, DVD-A, and SACD. Features a forum, and label histories with discographies.", "topic": "Top/Arts/Music/Collecting/Quadraphonic_and_Surround", "url": "http://www.quadraphonicquad.com/"} +{"d:Title": "Tab Patterson's Quadraphonic Sound Site", "d:Description": "Information about quad formats, featuring information about transferring quad records to CD-R.", "topic": "Top/Arts/Music/Collecting/Quadraphonic_and_Surround", "url": "http://www.4channelsound.com/"} +{"d:Title": "About.com Antiques: Sheet Music", "d:Description": "Article on collecting old sheet music.", "topic": "Top/Arts/Music/Collecting/Sheet_Music", "url": "http://antiques.about.com/od/collectingbookspaper/a/aa070100.htm"} +{"d:Title": "Jan's 78 RPM Record Warehouse", "d:Description": "Listen to a collection of 78 RPM records, transcribed in streaming Real Audio, accompanied with background information, photos and record labels. In English and Dutch.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.78rpm.hovers.nl/"} +{"d:Title": "Nipperhead Antique Phonograph", "d:Description": "Information pertaining to antique phonographs, gramophones, records, Victor, Edison, Columbia, and of course, Nipper. Contains cylinder and disc sound recordings, a phonograph gallery, repair instructions, and resource listings.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.nipperhead.com/index.html"} +{"d:Title": "Phono-holics Anonymous", "d:Description": "A safe place to talk about those talking machines. Covers Edison cylinders, 78s, Diamond discs and 10\" LPs.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://home.earthlink.net/~gnordenstam/"} +{"d:Title": "Early Recorded Sounds and Wax Cylinders", "d:Description": "Antique phonographs, early sound recording methods, wax cylinder records, excerpts of old-time marching band music, and much of interest to collectors of vintage records.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.tinfoil.com/"} +{"d:Title": "Dismuke's Virtual Talking Machine", "d:Description": "This site is devoted to vintage music from the early decades of the 20th century.\u00a0Features RealAudio streams of acoustic and electrically recorded records.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.dismuke.org/"} +{"d:Title": "Dawn of Sound", "d:Description": "Information about vintage recordings and reissues of vintage recordings. New RealAudio selections every month.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.dawnofsound.com/"} +{"d:Title": "Swazoo Koolak's Web Jukebox", "d:Description": "Audio selections from a collection of 78 RPM records, rotated monthly. Also, demonstration clips of noise reduction, and a trivia quiz.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.swazoo.com/"} +{"d:Title": "Mainspring Press", "d:Description": "Specializes in high-quality, peer-reviewed books and electronic publications for researchers, librarians, archivists, and advanced collectors of historic sound recordings", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.mainspringpress.com/"} +{"d:Title": "Dinosaur Discs", "d:Description": "Online magazine about vintage recordings; shop and museum devoted to old records in England.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.78rpm.co.uk/index2.htm"} +{"d:Title": "Tim's Phonographs and Old Records", "d:Description": "Tim Gracyk's home page: a large collection of original articles on vintage phonographs and recordings.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.gracyk.com/"} +{"d:Title": "The 78rpm Record Home Page", "d:Description": "The complete resource for 78 collectors. Pictures of labels, many good articles and lots of links.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://78rpmrecord.com/"} +{"d:Title": "The Blue Pages: The Encyclopedic Guide to 78 RPM Party Records", "d:Description": "Focused on under-the-counter 78 RPM records and specialty series produced by very small labels.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.hensteeth.com/"} +{"d:Title": "Cylinder Preservation and Digitization Project", "d:Description": "Information about cylinder recordings and streaming audio, from the University of California, Santa Barbara.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://cylinders.library.ucsb.edu/"} +{"d:Title": "The Virtual Gramophone", "d:Description": "A multimedia Web site devoted to the first half-century of recorded sound in Canada. When complete, it will provide a look at the 78-rpm era. A project of the National Library of Canada.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.collectionscanada.gc.ca/gramophone/index-e.html"} +{"d:Title": "Majestic Phonograph", "d:Description": "History of two companies involved in the manufacture and sale of 7\" and 9.25\" etched label. Discography, mp3s, phonografs.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.majesticrecord.com/"} +{"d:Title": "Bill Clark's 78rpm Website", "d:Description": "About the history of English 78rpm records and cylinders, with Gramophone record labels and advertisements to view, and early sounds to listen to.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings", "url": "http://www.78records.co.uk/"} +{"d:Title": "Association of Vogue Picture Record Collectors", "d:Description": "A site devoted to collectors and enthusiasts of Vogue picture records. Produced in 1946-1947 by Sav-Way Industries, Vogues were probably one of the more successful of the early picture records. The records are primarily collected for their colorful (albeit corny) illustrations.", "topic": "Top/Arts/Music/Collecting/Vintage_Recordings/Organizations", "url": "http://www.voguepicturerecords.org/"} +{"d:Title": "Both Sides Now Album Discographies", "d:Description": "LP discographies for independent labels of the 1950s and 60s.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.bsnpubs.com/discog.html"} +{"d:Title": "Record Collectors Guild", "d:Description": "For and about collecting vinyl records, with a rapidly growing club to join, a chatroom, messageboard, and information on record care and maintenance.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.recordcollectorsguild.org/"} +{"d:Title": "The Vinyl Tourist", "d:Description": "Non-commercial site for record collectors, featuring regional guides to record stores, discographies, record care information, and other resources.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.laventure.net/tourist/"} +{"d:Title": "Classic UK 45s", "d:Description": "Discographies, biographies, and anecdotes concerning UK issued 45s of the 1950s and 1960s.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.45-rpm.org.uk/"} +{"d:Title": "The Vinyl Engine", "d:Description": "The home of the turntable: gallery, library, forum, technical manuals for tonearms, turntables, cartridges, and phono stages.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.vinylengine.com/"} +{"d:Title": "Apple Sleevographia", "d:Description": "Pictures and historical information on one avid fan's collection of releases from Apple Records.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://users.telenet.be/mapinguari/apple/"} +{"d:Title": "Vinyl Record Collectors", "d:Description": "Information on the history, technology, and care of vinyl records.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.vinyl-record-collectors.net/"} +{"d:Title": "Popsike.com", "d:Description": "Database of auction prices of rare vinyl records.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.popsike.com/"} +{"d:Title": "Michael Fitzgerald - Jazz Research", "d:Description": "Articles on jazz, its musicians, and discographies for many jazz artists and labels.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.jazzdiscography.com/fitzgera/"} +{"d:Title": "VinylHunt.com", "d:Description": "Directory of record stores that offer new or used vinyl.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.vinylhunt.com/"} +{"d:Title": "Minnesota Record Show", "d:Description": "Information about a bi-monthly show held in Minniapolis.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.mnrecordshow.com/"} +{"d:Title": "The Worst Record Covers from Around the World.", "d:Description": "Images and stories of bad record covers from various countries.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.badrecordcovers.com/"} +{"d:Title": "Vinyl Zone", "d:Description": "Information for lovers of music on vinyl. Includes equipment reviews, record reviews and technical articles.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.deadwaxcafe.com/vzone/"} +{"d:Title": "Beat Swap Meet", "d:Description": "Beat Swap Meet is a traveling record swap meet featuring record collectors and dealers from around the world.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://beatswapmeet.com/"} +{"d:Title": "Vinyl Hub", "d:Description": "Vinyl Hub is a community-built database that catalogs the location and types of music sold for record shops with brick and mortar shops.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "https://www.vinylhub.com/"} +{"d:Title": "Dust&Grooves", "d:Description": "Photographs and interviews of notable vinyl collectors from around the world.", "topic": "Top/Arts/Music/Collecting/Vinyl", "url": "http://www.dustandgrooves.com/"} +{"d:Title": "Albumcovers.de", "d:Description": "Gallery of album covers with information about the records and artists.", "topic": "Top/Arts/Music/Collecting/Vinyl/Image_Galleries", "url": "http://www.albumcovers.de/"} +{"d:Title": "317X", "d:Description": "Lounge, exotica, and easy listening LP record covers.", "topic": "Top/Arts/Music/Collecting/Vinyl/Image_Galleries", "url": "http://www.317x.com/"} +{"d:Title": "Vinyl Underground", "d:Description": "Collection/gallery of colored vinyl records, shaped records, and picture discs.", "topic": "Top/Arts/Music/Collecting/Vinyl/Image_Galleries", "url": "http://www.vinylunderground.net/"} +{"d:Title": "Sam Holloway: Record Collecting", "d:Description": "A gallery of generic company sleeves and center labels for UK 7\" singles.", "topic": "Top/Arts/Music/Collecting/Vinyl/Image_Galleries", "url": "http://www.samholloway.co.uk/records.htm"} +{"d:Title": "BizarreRecords.com", "d:Description": "Images of unusual album cover art.", "topic": "Top/Arts/Music/Collecting/Vinyl/Image_Galleries", "url": "http://www.bizarrerecords.com/"} +{"d:Title": "Vinyl Rotation", "d:Description": "Exhibition of record covers and single sleeves.", "topic": "Top/Arts/Music/Collecting/Vinyl/Image_Galleries", "url": "http://www.vinylrotation.org/"} +{"d:Title": "Album Cover Artists", "d:Description": "Gallery of album covers by artists such as Mati Klarwein, Roger Dean, David Stone Martin, Burt Goldblatt, Peter Max, Andy Warhol and Jack Davis.", "topic": "Top/Arts/Music/Collecting/Vinyl/Image_Galleries", "url": "http://www.albumcoverartists.com/"} +{"d:Title": "Harmony Sweepstakes A Cappella Festival", "d:Description": "An annual nationwide festival with the finals held in San Rafael, California. Regional results, schedules, group profiles, and travel information.", "topic": "Top/Arts/Music/Competitions", "url": "http://www.harmony-sweepstakes.com/"} +{"d:Title": "Queen Elisabeth International Music Competition of Belgium", "d:Description": "Competitions for violinists, pianists, composers and singers held in Brussels.", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.cmireb.be/"} +{"d:Title": "William C. Byrd Young Artist Competition", "d:Description": "Annual competition in Flint, Michigan, rotating through categories for piano, strings, voice, and winds and brass.", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.byrdartists.com/"} +{"d:Title": "International Paulo Cello Competition", "d:Description": "Helsinki, Finland event offers rules, schedule, participants, tickets, application form, cash prizes, history, archives, and contact information. [English and Finnish]", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.cellocompetitionpaulo.org/"} +{"d:Title": "World Federation of International Music Competitions", "d:Description": "Organization founded in Geneva, Switzerland in 1951 offers worldwide database of classical performance competitions searchable by city, sponsoring organization, and name of winner. With downloadable yearbook [PDF].", "priority": "1", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.wfimc.org/"} +{"d:Title": "BBC Young Musician of the Year", "d:Description": "Biennial competition, with link to applications and results of previous events.", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.bbc.co.uk/youngmusician/"} +{"d:Title": "BBC Cardiff Singer of the World", "d:Description": "Competition for young opera singers established in 1983 and held every other year in Wales, with scheduled performer, past winners, and ticket information.", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.bbc.co.uk/wales/cardiffsinger05/"} +{"d:Title": "IBLA Grand Prize", "d:Description": "Annual competition for pianists, vocalists, instrumentalists and composers with no age limit, held at Ragusa-Ibla, Sicily. [English and Italian]", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.ibla.org/"} +{"d:Title": "Chopin Society of Houston", "d:Description": "Sponsoring the International Chopin Youth Competition and the Adult Amateur Competition, with links to past and future events.", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://chopinsocietyofhouston.org/"} +{"d:Title": "International Music Competitions of the Val Tidone", "d:Description": "Annual event judging piano, accordion, chamber music and composition at the Val Tidone castles outside Milan, Italy.", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.valtidone-competitions.com/"} +{"d:Title": "Tansman Competition", "d:Description": "International competition held in Lodz, Poland, named for 19th century Polish composer Alexsander Tansman. Categories for clarinet, piano, violin, cello, and guitar. [English and Polish]", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.tansman.lodz.pl/"} +{"d:Title": "Kathleen Ferrier Singing Awards", "d:Description": "Held each April at Wigmore Hall in London, to benefit memorial scholarship fund named for the British lyric contralto.", "topic": "Top/Arts/Music/Competitions/Classical", "url": "http://www.ferrierawards.org.uk/"} +{"d:Title": "International Piano Competition J. S. Bach", "d:Description": "Includes history, program, prizes and winners, and sponsor list. Saarbr\u00fccken, Germany. [Multilingual]", "topic": "Top/Arts/Music/Competitions/Classical/Piano", "url": "http://www.bach-competition.de/"} +{"d:Title": "San Antonio International Piano Competition", "d:Description": "Held every third year, highly skilled pianists between the ages of 20 and 32 compete in San Antonio, Texas. All competition events are free and open to the public.", "topic": "Top/Arts/Music/Competitions/Classical/Piano", "url": "http://www.saipc.org/"} +{"d:Title": "Van Cliburn Foundation", "d:Description": "Host of the international piano competition held every four years in Fort Worth, Texas. History, prizes, past winners and entry requirements.", "topic": "Top/Arts/Music/Competitions/Classical/Piano", "url": "http://www.cliburn.org/"} +{"d:Title": "The Gina Bachauer International Piano Foundation Competition Pages", "d:Description": "The Bachauer presents a four-year cycle of international piano competitions. Each competition appeals to and attracts a variety of competitors and audience members.", "topic": "Top/Arts/Music/Competitions/Classical/Piano", "url": "http://www.bachauer.com/"} +{"d:Title": "Cleveland International Piano Competition", "d:Description": "Held over a two-week period each odd-numbered year. Offers information on the competition, winners, a local program, and news.", "topic": "Top/Arts/Music/Competitions/Classical/Piano", "url": "http://clevelandpiano.org/"} +{"d:Title": "International/National/Regional Piano Competitions", "d:Description": "Directory listing more than 600 competitions organized by country, with age limits, entry deadlines, and links to official sites, compiled by Masa Mizuno.", "priority": "1", "topic": "Top/Arts/Music/Competitions/Classical/Piano", "url": "http://www.afn.org/~afn39483/index.html"} +{"d:Title": "Young Pianist Competition of New Jersey", "d:Description": "Offers six competition divisions annually: Solo, Young Artist, Cyclical Work, Piano Ensemble, Chamber Music and Concerto. Students from 4 years of age to 18 years of age are eligible to participate in any Division.", "topic": "Top/Arts/Music/Competitions/Classical/Piano", "url": "http://www.simx.com/users/YPCNJ/YPCNJ.stt"} +{"d:Title": "Queen Elisabeth Competition", "d:Description": "Works for piano and orchestra, approx. 10 minutes duration. Open to composers age 40 and under. Anonymous submission. Cash award, performance and possible radio/TV broadcast.", "topic": "Top/Arts/Music/Composition/Competitions", "url": "http://www.cmireb.be/"} +{"d:Title": "MacDowell Colony", "d:Description": "A place for both emerging and well-established artists of all disciplines to create and work without interruption. Lists studio descriptions, residency program, fellowship opportunities, history, and financial information.", "topic": "Top/Arts/Music/Composition/Competitions", "url": "http://www.macdowellcolony.org/"} +{"d:Title": "The American Academy in Berlin", "d:Description": "Candidates must be either American citizens or permanent residents. Stipend of $3,000 - $5,000 per month, round trip airfare, apartment and partial board at the Hans Arnhold Center. Proposed projects must demonstrate a need to be in Berlin. Website has guidelines, application forms, and list of current fellows.", "topic": "Top/Arts/Music/Composition/Competitions", "url": "http://www.americanacademy.de/index.php?id=6"} +{"d:Title": "John Simon Guggenheim Memorial Foundation", "d:Description": "Offers fellowships to further the development of scholars and artists.", "topic": "Top/Arts/Music/Composition/Competitions", "url": "http://www.gf.org/"} +{"d:Title": "International Composing Competition \"2 Agosto\"", "d:Description": "Works for specified genre, changes each year. Open to composer of all nationalities who are under age 41. Anonymous entry. Work may not have been previously performed. Three winning works will receive cash award, performance in Italy.", "topic": "Top/Arts/Music/Composition/Competitions", "url": "http://www.concorso2agosto.it/"} +{"d:Title": "Young Composer Award", "d:Description": "The DSO present a competition for GCSE and A Level music students, to give them a live performance opportunity, and one cash prize.", "topic": "Top/Arts/Music/Composition/Competitions", "url": "http://www.dulwichsymphonyorchestra.org.uk/outreach/outreachIndex.htm"} +{"d:Title": "Classical Net: Composers", "d:Description": "Extensive link collection.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.classical.net/music/composer/"} +{"d:Title": "Dr. Estrella's Incredibly Abridged Dictionary of Composers", "d:Description": "Includes timelines, birthdays, essays, links, contact information, and gift shop. Search by date or name.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.stevenestrella.com/composers/"} +{"d:Title": "I Write The Music", "d:Description": "A music portal for composers.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://iwritethemusic.com/"} +{"d:Title": "Composers of African Descent", "d:Description": "A brief discography of classical music by African, African American, and African European composers.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://chevalierdesaintgeorges.homestead.com/Others.html"} +{"d:Title": "The Madness Behind the Music", "d:Description": "Contains information about the madness and creativity ofsome famous composers.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.angelfire.com/ma/madnessbehindthemusi/"} +{"d:Title": "Classical Music Archives", "d:Description": "Contains thousands of classical music files in MIDI format as well as live recordings. New contributions are solicited. A search engine is included. Suggests books, links to free sheet music, alphabetical index, featured composers.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.classicalarchives.com/"} +{"d:Title": "mfiles: Composers", "d:Description": "Classical, contemporary, and film Composers, with biographies, major works, influences and styles, CD reviews, free sheet music, MIDI and MP3 files.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.mfiles.co.uk/composers.htm"} +{"d:Title": "What to Listen for in Music", "d:Description": "Series of Kennedy Center lectures by Dr. Michael Broyles in AU audio format with transcripts. Includes music history with emphasis on classical and romantic composers.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://town.hall.org/radio/Kennedy/Broyles/"} +{"d:Title": "BigEye Music Center - Classical Composers", "d:Description": "Information about composers, their life and works.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.bigeye.com/muscompo.htm"} +{"d:Title": "Kunst der Fuge / On Classical", "d:Description": "Thousands of classical music audio files on the fugue, counterpoint and generic classical music. Over 5,000 listed fugues, fugue theories, analyses, reviews, bibliographies, and tools.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.kunstderfuge.com/"} +{"d:Title": "ccm composers-classical-music com", "d:Description": "Composer biographies and compositions database.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://composers-classical-music.com/"} +{"d:Title": "Boosey&Hawkes: Composers", "d:Description": "Search for composers biographies and works.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.boosey.com/pages/cr/"} +{"d:Title": "Conservatory: Classical Music at Humanities Web", "d:Description": "A carefully edited introduction to the composers, history, culture, and music of the Medieval, Renaissance, Baroque, Classical, Romantic, and Modern periods. Detailed with considerable background material, illustrations, and commentary.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.humanitiesweb.org/human.php?s=c"} +{"d:Title": "Music History 102: A Guide to Western Composers and their Music", "d:Description": "Internet Public Library entry categorizes according to musical period, including Middle Ages, Renaissance, Baroque, Classical, Romantic, and Twentieth Century. Includes Real Audio RAM files.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.ipl.org/div/mushist/"} +{"d:Title": "Classical Net: Composer Master List", "d:Description": "Short biographies with discography.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.classical.net/music/composer/masterindex.php"} +{"d:Title": "Classical Archives: Composers", "d:Description": "List of notable composers from the 14th century onward with links to music in MIDI, MP3, WMA formats.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.classicalarchives.com/composers/"} +{"d:Title": "Musicalics", "d:Description": "Listings of classical composers with on-site and remote links to biographies, pictures, music listings, various analyses of style and methodology, and forums. Owner Jos Smeets accepts and solicits links and contributions.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://musicalics.com/"} +{"d:Title": "Composers Datebook", "d:Description": "Two minute program from Minnesota Public Radio and American Composers Forum outlining each day's history. Includes RAM audio, archives, and links.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.yourclassical.org/programs/composers-datebook/episodes"} +{"d:Title": "Universit\u00e9 du Qu\u00e9bec: Composers", "d:Description": "Alphabetical index of names with birth and death dates.", "topic": "Top/Arts/Music/Composition/Composers", "url": "http://www.musiqueorguequebec.ca/compo.html"} +{"d:Title": "Erik Verner Aaltonen", "d:Description": "Brief biographical sketch with emphasis on his organ works.", "topic": "Top/Arts/Music/Composition/Composers/A/Aaltonen,_Erkki_Verner", "url": "http://www.organ-biography.info/composers-a/Aaltonen_ErikVerner.html"} +{"d:Title": "Wikipedia: Karl Friedrich Abel", "d:Description": "Biography of the German composer.", "topic": "Top/Arts/Music/Composition/Composers/A/Abel,_Karl_Friedrich", "url": "http://en.wikipedia.org/wiki/Karl_Friedrich_Abel"} +{"d:Title": "HOASM: Carl Friedrich Abel", "d:Description": "A couple of portraits and a brief biography of the German composer and viola da gamba player. Includes a partial discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Abel,_Karl_Friedrich", "url": "http://www.hoasm.org/VIIJ/Abel.html"} +{"d:Title": "Classical Archives: Karl Friedrich Abel", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Abel,_Karl_Friedrich", "url": "http://www.classicalarchives.com/composer/2022.html"} +{"d:Title": "Carl Friedrich Abel", "d:Description": "Summary of musical style and output, biography, and portrait painting from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/A/Abel,_Karl_Friedrich", "url": "http://musicalics.com/en/node/78688"} +{"d:Title": "Paul Abraham", "d:Description": "Partial works list from Musical Theatre Guide includes link to synopsis and cast list for Viktoria und ihr Husar.", "topic": "Top/Arts/Music/Composition/Composers/A/\u00c1brah\u00e1m,_P\u00e1l", "url": "http://www.musicaltheatreguide.com/composers/abraham/abraham_paul.html"} +{"d:Title": "Paul Abraham", "d:Description": "Filmography noting the cinematic and television use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/A/\u00c1brah\u00e1m,_P\u00e1l", "url": "http://www.imdb.com/name/nm0008964/"} +{"d:Title": "Abrahamsen, Hans", "d:Description": "Biography, work list, review.", "topic": "Top/Arts/Music/Composition/Composers/A/Abrahamsen,_Hans", "url": "http://www.musicsalesclassical.com/composer/short-bio/1"} +{"d:Title": "Hans Abrahamsen, Danish composer (b. 1952)", "d:Description": "Brief biography with photograph.", "topic": "Top/Arts/Music/Composition/Composers/A/Abrahamsen,_Hans", "url": "http://www.dacapo-records.dk/en/artist-hans-abrahamsen.aspx"} +{"d:Title": "Adam, Adolphe Charles (1803 - 1856), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Adam,_Adolphe_Charles", "url": "http://stevenestrella.com/composers/composerfiles/adam1856.html"} +{"d:Title": "Adolphe Adam: Pioneer of Romantic Ballet", "d:Description": "Brief biographical timeline, key works, recommended reading and recordings, and additional resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/A/Adam,_Adolphe_Charles", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=111"} +{"d:Title": "Adolphe Adam - ABT", "d:Description": "Biography from the American Ballet Theatre.", "topic": "Top/Arts/Music/Composition/Composers/A/Adam,_Adolphe_Charles", "url": "http://www.abt.org/education/archive/composers/adam_a.html"} +{"d:Title": "Adolphe Charles Adam", "d:Description": "Biography and musical commentary focusing on his hymn O Holy Night. From CyberHymnal.", "topic": "Top/Arts/Music/Composition/Composers/A/Adam,_Adolphe_Charles", "url": "http://www.hymntime.com/tch/bio/a/d/a/adam_ac.htm"} +{"d:Title": "Classical Music Archives: Adam", "d:Description": "Sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Adam,_Adolphe_Charles", "url": "http://www.classicalarchives.com/composer/13670.html#music"} +{"d:Title": "Adam, Adolphe (1803-1856)", "d:Description": "Biographical sketch tracing his musical education and development, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Adam,_Adolphe_Charles", "url": "http://www.naxos.com/person/Adolphe_Adam/17612.htm"} +{"d:Title": "John Adams", "d:Description": "Official site. Biography, list of works with program notes, photographs, interviews, articles, essays, upcoming performances, links, news, and discography.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://www.earbox.com/"} +{"d:Title": "Steven G. Estrella: Adams, John", "d:Description": "List of works and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://www.stevenestrella.com/composers/composerfiles/adamsalive.html"} +{"d:Title": "John Coolidge Adams", "d:Description": "Wikipedia article with biographical and musical information, internal references to related people and topics, list of notable works, and links.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://en.wikipedia.org/wiki/John_Coolidge_Adams"} +{"d:Title": "John Adams", "d:Description": "Biographical sketch, commentary on his stage and orchestral works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://www.naxos.com/composerinfo/3303.htm"} +{"d:Title": "Music Sales Classical: John Adams", "d:Description": "Biography, selected discography, work list, reviews, upcoming performances.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://www.musicsalesclassical.com/composer/short-bio/John-Adams"} +{"d:Title": "John Adams", "d:Description": "Listing at the Lied and Art Songs Text Page with selected lyrics.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=16919"} +{"d:Title": "Infoplease: John Adams", "d:Description": "(1947- ) Biography.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://www.infoplease.com/encyclopedia/people/adams-john-american-composer.html"} +{"d:Title": "John Adams", "d:Description": "Filmography noting movies and television programs scored and those using his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_John_Coolidge", "url": "http://www.imdb.com/name/nm0011076/"} +{"d:Title": "Adams, Leslie (1932 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_Leslie", "url": "http://stevenestrella.com/composers/composerfiles/adamsliving.html"} +{"d:Title": "Leslie Adams \"Prelude and Fugue for Solo Organ\"", "d:Description": "A page of the score and a biography.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_Leslie", "url": "http://www.vivacepress.com/342.html"} +{"d:Title": "Leslie Adams CD Review", "d:Description": "Leslie Adams' Songs, Albany Records, Catalog 428.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_Leslie", "url": "http://agocleveland.tripod.com/newsletterselections/200001/adamscdrev.html"} +{"d:Title": "H. Leslie Adams, American Composer", "d:Description": "Archival history, biography, calendar, photo gallery, and works.", "topic": "Top/Arts/Music/Composition/Composers/A/Adams,_Leslie", "url": "http://www.hleslieadams.com/"} +{"d:Title": "Richard Addinsell", "d:Description": "Find A Grave entry with link to others at the Golders Green Crematorium in London.", "topic": "Top/Arts/Music/Composition/Composers/A/Addinsell,_Richard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8682"} +{"d:Title": "Richard Addinsell (1904-1977) - British Composer and Songwriter", "d:Description": "An overview of the work of composer with filmography and recommendations.", "topic": "Top/Arts/Music/Composition/Composers/A/Addinsell,_Richard", "url": "http://www.mfiles.co.uk/composers/Richard-Addinsell.htm"} +{"d:Title": "Richard Addinsell", "d:Description": "Filmography at IMDb with forty movie titles listed.", "topic": "Top/Arts/Music/Composition/Composers/A/Addinsell,_Richard", "url": "http://www.imdb.com/name/nm0005941/"} +{"d:Title": "Richard Addinsell", "d:Description": "Musicalics entry with short biography and comments on noted works plus links.", "topic": "Top/Arts/Music/Composition/Composers/A/Addinsell,_Richard", "url": "http://musicalics.com/en/node/78696"} +{"d:Title": "Addinsell, Richard (1904 - 1977)", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Addinsell,_Richard", "url": "http://www.naxos.com/person/Richard_Addinsell/17613.htm"} +{"d:Title": "Adler, Samuel (1928 - Living), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Adler,_Samuel_Hans", "url": "http://stevenestrella.com/composers/composerfiles/adlerliving.html"} +{"d:Title": "Adler, Samuel", "d:Description": "(1928- ), Mannheim, Germany. Short biography and works performed by the Hopkins Symphony Orchestra.", "topic": "Top/Arts/Music/Composition/Composers/A/Adler,_Samuel_Hans", "url": "http://pages.jh.edu/~jhso/about/adler.html"} +{"d:Title": "Songs by Adler", "d:Description": "Several cycles and individual songs, some with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/A/Adler,_Samuel_Hans", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3131"} +{"d:Title": "Alexander Agricola", "d:Description": "Biography, positions held, summary of works, partial discography, and related material from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/A/Agricola,_Alexander", "url": "http://www.hoasm.org/IVA/AgricolaA.html"} +{"d:Title": "Alexander Agricola", "d:Description": "Biography mentioning the possibility of unpublished manuscripts still in Spanish libraries. From the Catholic Encyclopedia.", "topic": "Top/Arts/Music/Composition/Composers/A/Agricola,_Alexander", "url": "http://www.newadvent.org/cathen/01230c.htm"} +{"d:Title": "Alexander Agricola", "d:Description": "Biography, analysis of style, especially in counterpoint, related composers, and discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Agricola,_Alexander", "url": "http://www.medieval.org/emfaq/composers/agricola.html"} +{"d:Title": "Alexander Agricola", "d:Description": "Biography and some recommended recordings from Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/A/Agricola,_Alexander", "url": "http://www.classical.net/music/comp.lst/agricola.php"} +{"d:Title": "Aguado, Dionisio", "d:Description": "Portrait, summary of life, partial works list, and the piece \"Valse en sol majeur\" in downloadable PDF format.", "topic": "Top/Arts/Music/Composition/Composers/A/Aguado_y_Garcia,_Dionisio", "url": "http://www.delcamp.net/auteurs/en/3_classique/aguado_en.html"} +{"d:Title": "Dionisio Aguado", "d:Description": "Biography, portrait, picture of his tripodium playing stand, and copy of his Study in E tablature. Includes opportunity to purchase more works and lessons from the Solo Guitarist Network.", "topic": "Top/Arts/Music/Composition/Composers/A/Aguado_y_Garcia,_Dionisio", "url": "http://sologuitarist.net/aguado.html"} +{"d:Title": "Dionisio Aguado", "d:Description": "Wikipedia article summarizing his life and works with internal references to related people and topics plus external links.", "topic": "Top/Arts/Music/Composition/Composers/A/Aguado_y_Garcia,_Dionisio", "url": "http://en.wikipedia.org/wiki/Dionisio_Aguado"} +{"d:Title": "Dionisio Aguado", "d:Description": "Biography, comments on his virtuosity and compositional skills, and books on his life and on playing his works from Tecla Editions.", "topic": "Top/Arts/Music/Composition/Composers/A/Aguado_y_Garcia,_Dionisio", "url": "http://www.tecla.com/authors/aguado.htm"} +{"d:Title": "Dionisio Aguado", "d:Description": "Brief biographical sketch, summary of guitar works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Aguado_y_Garcia,_Dionisio", "url": "http://www.naxos.com/person/26366.htm"} +{"d:Title": "Karl Ahrendt", "d:Description": "Complete list of works, biography, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/A/Ahrendt,_Karl_F.", "url": "http://musicalics.com/en/composer/Karl-Ahrendt"} +{"d:Title": "Jehan Alain", "d:Description": "The French organist and composer's official site, under the auspices of his sister, organist Marie-Claire Alain. Includes biographical and musicological information and current news.", "topic": "Top/Arts/Music/Composition/Composers/A/Alain,_Jehan", "url": "http://www.jehanalain.com/"} +{"d:Title": "Musicalics: Jehan-Ariste Alain", "d:Description": "Biography and compositions.", "topic": "Top/Arts/Music/Composition/Composers/A/Alain,_Jehan", "url": "http://musicalics.com/en/node/78707"} +{"d:Title": "Dan Abnormal Mailing List", "d:Description": "A mailing list for fans of Damon Albarn of Blur.", "topic": "Top/Arts/Music/Composition/Composers/A/Albarn,_Damon", "url": "http://groups.yahoo.com/group/danabnormal/"} +{"d:Title": "Damon Albarn mailing list", "d:Description": "A mailing list for fans of Damon Albarn of Blur.", "topic": "Top/Arts/Music/Composition/Composers/A/Albarn,_Damon", "url": "http://groups.yahoo.com/group/damonalbarn"} +{"d:Title": "Alb\u00e9niz, Isaac (1860 - 1909), Spain", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Alb\u00e9niz,_Isaac_Manuel_Francisco", "url": "http://stevenestrella.com/composers/composerfiles/albeniz1909.html"} +{"d:Title": "Isaac Albeniz", "d:Description": "Biography, musical examples, and recommendations for further study from mfiles.", "topic": "Top/Arts/Music/Composition/Composers/A/Alb\u00e9niz,_Isaac_Manuel_Francisco", "url": "http://www.mfiles.co.uk/composers/isaac-albeniz.htm"} +{"d:Title": "Classic Cat: Albeniz", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/A/Alb\u00e9niz,_Isaac_Manuel_Francisco", "url": "http://www.classiccat.net/albeniz_i/"} +{"d:Title": "ON CLASSICAL: Isaac Albeniz biography", "d:Description": "Brief biography by Alessandro Simonetto, links to Albeniz' music (audio samples).", "topic": "Top/Arts/Music/Composition/Composers/A/Alb\u00e9niz,_Isaac_Manuel_Francisco", "url": "http://www.kunstderfuge.com/bios/albeniz.html"} +{"d:Title": "Classical Music Archives: Albeniz", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Over 80 complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/A/Alb\u00e9niz,_Isaac_Manuel_Francisco", "url": "http://www.classicalarchives.com/composer/2039.html"} +{"d:Title": "Alb\u00e9niz, Isaac (1860 - 1909)", "d:Description": "Biography, caricature, information on his piano music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Alb\u00e9niz,_Isaac_Manuel_Francisco", "url": "http://www.naxos.com/person/Isaac_Albeniz/17616.htm"} +{"d:Title": "Women of Note: Alberga", "d:Description": "(1949- ) Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/A/Alberga,_Eleanor", "url": "http://www.ambache.co.uk/wAlberga.htm"} +{"d:Title": "Albert, Stephen (1941 - 1992), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Albert,_Stephen", "url": "http://stevenestrella.com/composers/composerfiles/albert1992.html"} +{"d:Title": "Stephen Albert", "d:Description": "Review of Yo-Yo Ma's performance of the Cello Concerto with the Boston Symphony Orchestra.", "topic": "Top/Arts/Music/Composition/Composers/A/Albert,_Stephen", "url": "http://tech.mit.edu/V113/N9/yo-yo-ma.09a.html"} +{"d:Title": "G. Schirmer: Stephen Albert", "d:Description": "Includes biography, work list, reviews, and select discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Albert,_Stephen", "url": "http://www.musicsalesclassical.com/composer/short-bio/22"} +{"d:Title": "Alberti, Domenico (1710 - 1740), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Alberti,_Domenico", "url": "http://stevenestrella.com/composers/composerfiles/alberti1740.html"} +{"d:Title": "Domenico Alberti", "d:Description": "Encyclopedia article from Wikipedia.", "topic": "Top/Arts/Music/Composition/Composers/A/Alberti,_Domenico", "url": "http://en.wikipedia.org/wiki/Domenico_Alberti"} +{"d:Title": "Albinoni, Tomaso (1671 - 1751), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://stevenestrella.com/composers/composerfiles/albinoni1751.html"} +{"d:Title": "Tomaso Albinoni", "d:Description": "Life and work, note on his oboe concertos, portrait, and MP3 audio sample from Arton's Baroque Music.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.baroquemusic.org/bqxalb.html"} +{"d:Title": "Classic Cat: Albinoni", "d:Description": "Short biography, links, and MP3.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.classiccat.net/albinoni_t/"} +{"d:Title": "Tomaso Albinoni: The Life of a Self-Confessed Musical Dillettante", "d:Description": "Biography, suggested reading, key works, timeline, and recommended recordings from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=29"} +{"d:Title": "Classical Music Archives: Biography of Tomaso Albinoni", "d:Description": "Biography from the Concise Oxford Dictionary of music. Some of his works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Includes time line, download and playing instructions, and links to artist pages.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.classicalarchives.com/composer/2041.html"} +{"d:Title": "Kunst der Fuge: Albinoni, Tomaso Giovanni [Tommaso]", "d:Description": "MIDI files (free downloadable) included \"Celebre Adagio\".", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.kunstderfuge.com/albinoni.htm"} +{"d:Title": "Tomaso Albinoni", "d:Description": "Filmography at Internet Movie Database (IMDb) includes list of movies and television programs using his music, brief biography, and summary of other works.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.imdb.com/name/nm0016846/"} +{"d:Title": "Tomaso Giovanni Albinoni", "d:Description": "Musicalics listing. Extended biography and commentary on oboe concertos by Michael Talbot plus related composers links.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://musicalics.com/en/node/78713"} +{"d:Title": "Albinoni, Tomaso Giovanni (1671 - 1750)", "d:Description": "Brief biographical sketch, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.naxos.com/person/Tomaso_Giovanni_Albinoni/17618.htm"} +{"d:Title": "Tomaso Albinoni", "d:Description": "Recommended discography from Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/A/Albinoni,_Tomaso_Giovanni", "url": "http://www.classical.net/music/comp.lst/albinoni.php"} +{"d:Title": "Johann Georg Albrechtsberger", "d:Description": "Portrait, biography, discography, and related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/A/Albrechtsberger,_Johann_Georg", "url": "http://www.hoasm.org/XIIC/Albrechtsberger.html"} +{"d:Title": "Johann Georg Albrechtsberger's Music", "d:Description": "MIDI files and list of fugues written by the composer from 'KunstderFuge.com.'", "topic": "Top/Arts/Music/Composition/Composers/A/Albrechtsberger,_Johann_Georg", "url": "http://www.kunstderfuge.com/albrechtsberger.htm"} +{"d:Title": "Johann Georg Albrechtsberger", "d:Description": "Wikipedia article with biography, summary of musical output, positions held, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/A/Albrechtsberger,_Johann_Georg", "url": "http://en.wikipedia.org/wiki/Johann_Georg_Albrechtsberger"} +{"d:Title": "Classical Archives: Johann Georg Albrechtsberger", "d:Description": "Biography and sound files in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/A/Albrechtsberger,_Johann_Georg", "url": "http://www.classicalarchives.com/composer/2042.html"} +{"d:Title": "Johann Georg Albrechtsberger (1736 - 1809)", "d:Description": "Brief biographical sketch, caricature, summaries of organ, chamber, and orchestral works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Albrechtsberger,_Johann_Georg", "url": "http://www.naxos.com/person/Johann_Georg_Albrechtsberger/17619.htm"} +{"d:Title": "Alfv\u00e9n, Hugo Emil (1872 - 1960), Sweden", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Alfv\u00e9n,_Hugo_Emil", "url": "http://stevenestrella.com/composers/composerfiles/alfven1960.html"} +{"d:Title": "The Hugo Alfv\u00e9n Society", "d:Description": "Includes life, work as composer, selected works, discography, bibliography, his paintings, photographs, the Alfv\u00e9n Museum, and upcoming performances of his music. English and Swedish.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/A/Alfv\u00e9n,_Hugo_Emil", "url": "http://www.alfvensallskapet.se/"} +{"d:Title": "Hugo Alfv\u00e9n (I)", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/A/Alfv\u00e9n,_Hugo_Emil", "url": "http://www.imdb.com/name/nm0019266/"} +{"d:Title": "Hugo Alfv\u00e9n (1872-1960)", "d:Description": "Brief biographical sketch, caricature, comments on orchestral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Alfv\u00e9n,_Hugo_Emil", "url": "http://www.naxos.com/person/Hugo_Alfven_17621/17621.htm"} +{"d:Title": "Silk Road Project Artists: Ali-Zadeh", "d:Description": "Brief biography, photograph, and works from the project.", "topic": "Top/Arts/Music/Composition/Composers/A/Ali-Zadeh,_Franghiz", "url": "http://www.silkroadproject.org/MusicArtists/SilkRoadEnsemble/PerformersComposersTabbed/FranghizAliZadeh/tabid/287/Default.aspx"} +{"d:Title": "Ali-Zadeh", "d:Description": "Basic biographical details with comment on compositional style and chronological listing of works.", "topic": "Top/Arts/Music/Composition/Composers/A/Ali-Zadeh,_Franghiz", "url": "http://home.online.nl/ovar/zadeh.htm"} +{"d:Title": "Franghiz Ali-Zadeh", "d:Description": "Entry from Musicalics with brief life, works list, and links.", "topic": "Top/Arts/Music/Composition/Composers/A/Ali-Zadeh,_Franghiz", "url": "http://musicalics.com/en/node/84533"} +{"d:Title": "Alkan, Charles Henri Valentin Morhange (1813 - 1888), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Alkan,_Charles-Valentin_Morhange", "url": "http://stevenestrella.com/composers/composerfiles/alkan1888.html"} +{"d:Title": "Alkan, Charles-Valentin, French Composer", "d:Description": "Biography, works, free scores to download, recordings, and publishers.", "topic": "Top/Arts/Music/Composition/Composers/A/Alkan,_Charles-Valentin_Morhange", "url": "http://alkan.assos.free.fr/"} +{"d:Title": "Charles Alkan", "d:Description": "MIDI audio files including Douze \u00c9tudes, dans les tons majeurs, Douze Etudes dans le tons mineurs, Esquisses, and Trois grandes Etudes pour le piano, plus portrait. From Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/A/Alkan,_Charles-Valentin_Morhange", "url": "http://www.kunstderfuge.com/alkan.htm"} +{"d:Title": "Charles-Valentin Alkan", "d:Description": "Wikipedia article with biography and possibly apocryphal story of his death, summary of his compositional style and performing abilities, internal references, external links, and portrait photograph.", "topic": "Top/Arts/Music/Composition/Composers/A/Alkan,_Charles-Valentin_Morhange", "url": "http://en.wikipedia.org/wiki/Charles-Valentin_Alkan"} +{"d:Title": "Classical Archives: Charles Valentin Alkan", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Alkan,_Charles-Valentin_Morhange", "url": "http://www.classicalarchives.com/work/299374.html"} +{"d:Title": "Charles-Valentin Alkan", "d:Description": "Picture, biography, works list, related composers, and recommended discography from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/A/Alkan,_Charles-Valentin_Morhange", "url": "http://www.classical.net/music/comp.lst/alkan.php"} +{"d:Title": "Charles-Valentin Alkan (1813-1888)", "d:Description": "Brief biographical sketch, caricature, summary of piano works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Alkan,_Charles-Valentin_Morhange", "url": "http://www.naxos.com/person/Charles_Valentin_Alkan/17622.htm"} +{"d:Title": "Allegri, Gregorio", "d:Description": "Biography from the Catholic Encyclopedia.", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://www.newadvent.org/cathen/01319a.htm"} +{"d:Title": "Gregorio Allegri: A Potted Biography", "d:Description": "Brief life history with links to music and analysis.", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://www.cappella.demon.co.uk/music/biog.html"} +{"d:Title": "Allegri, Gregorio (c. 1582 - 1652), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://stevenestrella.com/composers/composerfiles/allegri1652.html"} +{"d:Title": "Gregorio Allegri", "d:Description": "Wikipedia article with summary of life and works, education, benefices and appointments, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://en.wikipedia.org/wiki/Gregorio_Allegri"} +{"d:Title": "Classical Archives: Gregorio Allegri", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://www.classicalarchives.com/composer/2048.html"} +{"d:Title": "Allegri", "d:Description": "Brief biography from Classical Net's Basic Repertoire List with link to his Miserere.", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://www.classical.net/music/comp.lst/allegri.php"} +{"d:Title": "Gregorio Allegri's Miserere mei", "d:Description": "Notes on the work and on performance by the Sistine Chapel Choir.", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://www.ancientgroove.co.uk/essays/allegri.html"} +{"d:Title": "Gregorio Allegri", "d:Description": "Caricature, brief comments on church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Allegri,_Gregorio", "url": "http://www.naxos.com/person/Gregorio_Allegri/17623.htm"} +{"d:Title": "Between Ecstasy and Asceticism: A Portrait of Claude Loyola Allg\u00e9n", "d:Description": "Extended biographical essay including personal reminiscences by author Mats Persson touches on his on-going difficulties with Sweden's \"Monday Group\" of composers.", "topic": "Top/Arts/Music/Composition/Composers/A/Allg\u00e9n,_Claude_Johannes_Maria_Loyola", "url": "http://www.alice-musik.se/020B.html"} +{"d:Title": "Claude Loyola Allg\u00e9n", "d:Description": "Brief sketch of his life and list of organ works from the Biographical Dictionary of Organists.", "topic": "Top/Arts/Music/Composition/Composers/A/Allg\u00e9n,_Claude_Johannes_Maria_Loyola", "url": "http://www.organ-biography.info/composers-a/Allgen_ClaudeLoyola.html"} +{"d:Title": "Tom Alonso: Composer, Orchestrator, Producer", "d:Description": "Official site. Music samples in MP3, MOV work samples, biography, and related material concerning for film, television, theater, and advertising industry works.", "topic": "Top/Arts/Music/Composition/Composers/A/Alonso,_Tom", "url": "http://www.tomalonso.com/"} +{"d:Title": "Tom Alonso", "d:Description": "Filmography listing television programs and films he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/A/Alonso,_Tom", "url": "http://www.imdb.com/name/nm0022281/"} +{"d:Title": "O Little Flock, Fear Not the Foe", "d:Description": "English translation of the most famous hymn credited to J. M. Altenburg.", "topic": "Top/Arts/Music/Composition/Composers/A/Altenburg,_Johann_Michael", "url": "http://www.ccel.org/a/anonymous/luth_hymnal/tlh263.htm"} +{"d:Title": "Alwyn, William", "d:Description": "(1905-1985). Includes biographies, discography, list of works, film scores, and articles.", "topic": "Top/Arts/Music/Composition/Composers/A/Alwyn,_William", "url": "http://www.musicweb-international.com/alwyn/"} +{"d:Title": "Miscellaneous Songs by Alwyn", "d:Description": "Three song cycles, some with lyrics, from recmusic.org.", "topic": "Top/Arts/Music/Composition/Composers/A/Alwyn,_William", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3175"} +{"d:Title": "Miscellaneous Songs by Amram", "d:Description": "Five Shakespeare Songs with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/A/Amram,_David", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3182"} +{"d:Title": "Amram, David", "d:Description": "(1930- ) Upcoming events, Kerouac and Amram, classical reviews and conversations, jazz and world music seminars and concerts, classical compositions catalog, articles about the composer, contact information, scrapbook, biography, and discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Amram,_David", "url": "http://david-amram.blogspot.com/"} +{"d:Title": "David Amram Remembers Jack Kerouac", "d:Description": "Jack Kerouac's friend and musical collaborator, the composer David Amram, talks of their life and times in a Jerry Jazz Musician interview.", "topic": "Top/Arts/Music/Composition/Composers/A/Amram,_David", "url": "http://www.jerryjazzmusician.com/2002/07/david-amram-author-of-offbeat-collaborating-with-kerouac/"} +{"d:Title": "Juan de Anchieta", "d:Description": "Brief biography noting positions held, place among peers, and overall style from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/A/Anchieta,_Juan_de", "url": "http://www.hoasm.org/IVL/Anchieta.html"} +{"d:Title": "Anderson, Leroy (1908 - 1975), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://stevenestrella.com/composers/composerfiles/anderson1975.html"} +{"d:Title": "Leroy Anderson", "d:Description": "Official site of the composer of light concert music. Biography, Real Audio RAM samples, video and CD information, catalog, guest book, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://www.leroyanderson.com/"} +{"d:Title": "Once Upon A Sleighride", "d:Description": "PBS documentary companion web site for the composer and his music. Includes biography, Real Audio RM format samples of music, transcripts and reprints of various interviews and articles, video and audio recording information, and feedback.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://www.pbs.org/sleighride/"} +{"d:Title": "Leroy Anderson", "d:Description": "Find A Grave entry with photograph of tombstone and fan homages.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1807"} +{"d:Title": "Leroy Anderson", "d:Description": "Musical biography tracing his career from the mid-1930s as he was noticed by Arthur Fiedler and began writing light orchestral music. From Space Age Pop Music.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://www.spaceagepop.com/anderson.htm"} +{"d:Title": "Leroy Anderson: Orchestral Favourites", "d:Description": "CD review with general comments on his life, education, and musical history and accomplishments.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://www.musicweb-international.com/classrev/2002/Apr02/Anderson.htm"} +{"d:Title": "Classical Music Midi Page: Leroy Anderson", "d:Description": "Biography, photograph, and MIDI audio of select compositions.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://www.classicalmidi.co.uk/anderson.htm"} +{"d:Title": "Leroy Anderson", "d:Description": "Filmography at Internet Movie Database (IMDb) listing films using his music.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://www.imdb.com/name/nm1180163/"} +{"d:Title": "Leroy Anderson", "d:Description": "Brief life and musical summary with emphasis on his relationship with Arthur Fiedler and the Boston Pops. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Leroy", "url": "http://musicalics.com/en/node/85441"} +{"d:Title": "Olive Anderson", "d:Description": "Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/A/Anderson,_Olive", "url": "http://www.australianmusiccentre.com.au/artist/anderson-olive"} +{"d:Title": "Jurriaan Andriessen", "d:Description": "Credits from the Internet Movie Database (IMDb) with list of films for which he wrote the music.", "topic": "Top/Arts/Music/Composition/Composers/A/Andriessen,_Jurriaan,_1925_-_1996", "url": "http://www.imdb.com/name/nm0028990/"} +{"d:Title": "Jurriaan Andriessen (Dutch artist, 1951-1991)", "d:Description": "Biography on message board with follow-up posts.", "topic": "Top/Arts/Music/Composition/Composers/A/Andriessen,_Jurriaan,_1951_-_1991", "url": "http://www.9types.com/movieboard/messages/9460.html"} +{"d:Title": "Louis Andriessen", "d:Description": "Biography and list of works from the Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/A/Andriessen,_Louis", "url": "http://composers21.com/compdocs/andriesl.htm"} +{"d:Title": "Louis Andriessen Biography", "d:Description": "Information on life, works, and major compositions from Boosey and Hawkes music publishers.", "topic": "Top/Arts/Music/Composition/Composers/A/Andriessen,_Louis", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2690"} +{"d:Title": "Louis Andriessen", "d:Description": "Biographyical sketch and compositional and acting credits from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/A/Andriessen,_Louis", "url": "http://www.imdb.com/name/nm0028991/"} +{"d:Title": "Jean-Henri D'Anglebert", "d:Description": "Biography noting keyboard positions and summarizing his original works and transcriptions from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/A/Anglebert,_Jean-Henri_d'", "url": "http://www.hoasm.org/VIIB/Danglebert.html"} +{"d:Title": "Jean-Henri D'Anglebert", "d:Description": "Short biography, CD reviews, and recommended recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/A/Anglebert,_Jean-Henri_d'", "url": "http://www.classical.net/music/comp.lst/anglebert.php"} +{"d:Title": "Kunst der Fuge: Anglebert, Jean-Henri d'", "d:Description": "Freely downloadable MIDI audio files.", "topic": "Top/Arts/Music/Composition/Composers/A/Anglebert,_Jean-Henri_d'", "url": "http://www.kunstderfuge.com/anglebert.htm"} +{"d:Title": "Jean Henri d'Anglebert", "d:Description": "Summary list of works, brief biography showing working relationship with Lully, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/A/Anglebert,_Jean-Henri_d'", "url": "http://musicalics.com/en/node/78326"} +{"d:Title": "Jean-Henri d' Angelbert", "d:Description": "Brief biographical sketch, caricature, summary of keyboard works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Anglebert,_Jean-Henri_d'", "url": "http://www.naxos.com/person/Jean_Henri_DAnglebert/17628.htm"} +{"d:Title": "Kunst der Fuge: Anonymous", "d:Description": "Selection of MIDI audio files of anonymous music (mostly polish).", "topic": "Top/Arts/Music/Composition/Composers/A/Anonymous", "url": "http://www.kunstderfuge.com/anonymous.htm"} +{"d:Title": "Miscellaneous Songs by Ansink", "d:Description": "\"Al Prisionero,\" a song cycle.", "topic": "Top/Arts/Music/Composition/Composers/A/Ansink,_Caroline", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3201"} +{"d:Title": "The Life and Music of George Antheil", "d:Description": "Tribute site by the Paris Transatlantic Media and the Friends of George Antheil. Includes biographical notes, discography and filmography, MP3 clips, and links to related sites.", "topic": "Top/Arts/Music/Composition/Composers/A/Antheil,_George", "url": "http://www.paristransatlantic.com/antheil/"} +{"d:Title": "The Ballet Mecanique page", "d:Description": "This page is totally devoted to this work and its performances.", "topic": "Top/Arts/Music/Composition/Composers/A/Antheil,_George", "url": "http://www.antheil.org/"} +{"d:Title": "Find A Grave: George Antheil", "d:Description": "Biography and photographs of his grave site.", "topic": "Top/Arts/Music/Composition/Composers/A/Antheil,_George", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=12841"} +{"d:Title": "George Antheil", "d:Description": "Biography, work list, select discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Antheil,_George", "url": "http://www.musicsalesclassical.com/composer/short-bio/George-Antheil"} +{"d:Title": "Musicalics: George Antheil", "d:Description": "Picture and music.", "topic": "Top/Arts/Music/Composition/Composers/A/Antheil,_George", "url": "http://musicalics.com/en/node/78330"} +{"d:Title": "Antill, John (1904 - 1986)", "d:Description": "Entry in The Australian Dance Collection: A Directory of Resources with biography focusing on his balletic works, picture, and links to related material.", "topic": "Top/Arts/Music/Composition/Composers/A/Antill,_John", "url": "http://www.australiadancing.org/subjects/2201.html"} +{"d:Title": "Antill: Corroboree Ballet Suite", "d:Description": "CD review of the Eugene Goosens recording includes link to remastered version.", "topic": "Top/Arts/Music/Composition/Composers/A/Antill,_John", "url": "http://classicalcdreview.com/corrobwpd.html"} +{"d:Title": "John Antill", "d:Description": "Picture, biography, and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/A/Antill,_John", "url": "http://www.australianmusiccentre.com.au/artist/antill-john"} +{"d:Title": "Denis ApIvor", "d:Description": "Biography, autobiography.", "topic": "Top/Arts/Music/Composition/Composers/A/ApIvor,_Denis", "url": "http://www.musicweb-international.com/apivor/"} +{"d:Title": "Denis ApIvor", "d:Description": "Extensive biography apparently provided by himself with photographs and links.", "topic": "Top/Arts/Music/Composition/Composers/A/ApIvor,_Denis", "url": "http://www.musicweb-international.com/apivor/biog2.htm"} +{"d:Title": "Arcadelt, Jacob (c. 1505 - 1568), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Arcadelt,_Jacob", "url": "http://stevenestrella.com/composers/composerfiles/arcadelt1568.html"} +{"d:Title": "Arcadelt, Jacob", "d:Description": "Catholic Encyclopedia article with details of secular and religious compositions, especially madrigals and masses.", "topic": "Top/Arts/Music/Composition/Composers/A/Arcadelt,_Jacob", "url": "http://www.newadvent.org/cathen/01687b.htm"} +{"d:Title": "Jacob Arcadelt", "d:Description": "Biography and links to related topics from the Here of a Sunday Morning radio show on WBAI 99.5 FM in New York.", "topic": "Top/Arts/Music/Composition/Composers/A/Arcadelt,_Jacob", "url": "http://www.hoasm.org/IVF/Arcadelt.html"} +{"d:Title": "Jacob Arcadelt: Ave Maria", "d:Description": "Werner Icking Music Archive entry with PDF and GIF scores, MIDI audio, and added information.", "topic": "Top/Arts/Music/Composition/Composers/A/Arcadelt,_Jacob", "url": "http://www.icking-music-archive.org/ByComposer/Arcadelt.php"} +{"d:Title": "Classical Archives: Jacob Arcadelt", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Arcadelt,_Jacob", "url": "http://www.classicalarchives.com/composer/2075.html"} +{"d:Title": "Arensky, Anton Stepanovich (1861 - 1906), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Arensky,_Anton_Stepanovich", "url": "http://stevenestrella.com/composers/composerfiles/arensky1906.html"} +{"d:Title": "Anton Arensky", "d:Description": "Find A Grave entry with photograph of carved tombstone, fan homages, and list of other notable people buried in the cemetery.", "topic": "Top/Arts/Music/Composition/Composers/A/Arensky,_Anton_Stepanovich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1511"} +{"d:Title": "Classical Archives: Anton Arensky", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Arensky,_Anton_Stepanovich", "url": "http://www.classicalarchives.com/composer/2078.html"} +{"d:Title": "Arensky, Anton Stepanovich (1861-1906)", "d:Description": "Brief biographical sketch, caricature, comments on orchestral and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Arensky,_Anton_Stepanovich", "url": "http://www.naxos.com/person/Anton_Stepanovich_Arensky/17635.htm"} +{"d:Title": "Music Reviews: Dominick Argento", "d:Description": "Review of the recording \"Valentino Dances: Music of Dominic Argento.\"", "topic": "Top/Arts/Music/Composition/Composers/A/Argento,_Dominick", "url": "http://www.hometheaterhifi.com/volume_7_2/music-classical-part-12-april-2000.html"} +{"d:Title": "Argento, Dominick (1927 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Argento,_Dominick", "url": "http://stevenestrella.com/composers/composerfiles/argentoliving.html"} +{"d:Title": "Dominick Argento", "d:Description": "Provides a list of his operas and available recordings.", "topic": "Top/Arts/Music/Composition/Composers/A/Argento,_Dominick", "url": "http://www.usopera.com/composers/argento.html"} +{"d:Title": "Harold Arlen", "d:Description": "Official site. Includes biography, photo album, catalog, music samples in Real Audio RAM format, shopping links, press releases, licensing, featured song, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/A/Arlen,_Harold", "url": "http://www.haroldarlen.com/"} +{"d:Title": "Harold Arlen Lyrics Page", "d:Description": "Transcriptions in ASCII by Todd Peach.", "topic": "Top/Arts/Music/Composition/Composers/A/Arlen,_Harold", "url": "http://www.thepeaches.com/music/composers/arlen/"} +{"d:Title": "Harold Arlen", "d:Description": "Biography and photograph from Jewish Buffalo (NY) on the Web.", "topic": "Top/Arts/Music/Composition/Composers/A/Arlen,_Harold", "url": "http://www.jbuff.com/harl.htm"} +{"d:Title": "Harold Arlen's Chord Progressions listed on SongTrellis", "d:Description": "Chord progressions of Harold Arlen compositions in MIDI and printable GIF format available for study, practice and improvisation", "topic": "Top/Arts/Music/Composition/Composers/A/Arlen,_Harold", "url": "http://www.songtrellis.com/composers/Arlen,Harold/changeslist"} +{"d:Title": "Harold Arlen", "d:Description": "Filmography at IMDb includes two biographies, composer, lyricist, and actor credits, awards and nominations, ratings, sales, and publicity information.", "topic": "Top/Arts/Music/Composition/Composers/A/Arlen,_Harold", "url": "http://www.imdb.com/name/nm0002182/"} +{"d:Title": "Harold Arlen: Selections from The Wizard of Oz", "d:Description": "EMI titles and brief biographical sketch.", "topic": "Top/Arts/Music/Composition/Composers/A/Arlen,_Harold", "url": "http://www.musicsalesclassical.com/composer/work/25673"} +{"d:Title": "Arne, Michael (1741 - 1786), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Michael", "url": "http://stevenestrella.com/composers/composerfiles/arne1786.html"} +{"d:Title": "Michael Arne", "d:Description": "Brief biography and links to related composers and topics from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Michael", "url": "http://www.hoasm.org/VIIJ/ArneM.html"} +{"d:Title": "Catholic Encyclopedia: Thomas Augustine Arne", "d:Description": "Brief biography.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Thomas_Augustine", "url": "http://www.newadvent.org/cathen/01746a.htm"} +{"d:Title": "Arne, Thomas Augustine (1710 - 1778), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Thomas_Augustine", "url": "http://stevenestrella.com/composers/composerfiles/arne1778.html"} +{"d:Title": "Classical Archives: Thomas Arne", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Thomas_Augustine", "url": "http://www.classicalarchives.com/composer/10773.html"} +{"d:Title": "Thomas Arne: Biographical Summary", "d:Description": "Features a detailed biography, and brief discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Thomas_Augustine", "url": "http://rslade.co.uk/18th-century-music/composers/thomas-arne/"} +{"d:Title": "Thomas Augustine Arne", "d:Description": "Biography, portrait, and comments on music from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Thomas_Augustine", "url": "http://musicalics.com/en/node/78343"} +{"d:Title": "Naxos: Arne, Thomas (1710 - 1778)", "d:Description": "Brief biographical note; discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Thomas_Augustine", "url": "http://www.naxos.com/person/Thomas_Augustine_Arne/17636.htm"} +{"d:Title": "Classical Net - Basic Repertoire List: Thomas Arne", "d:Description": "Brief biographical note; discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Arne,_Thomas_Augustine", "url": "http://www.classical.net/music/comp.lst/arne.php"} +{"d:Title": "Arnell, Richard", "d:Description": "Life and works by David C. F. Wright from Music Web UK.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnell,_Richard_Anthony_Sayer", "url": "http://www.musicweb-international.com/arnell/"} +{"d:Title": "Richard Arnell", "d:Description": "List of movies in which he provided composition or music direction from the INternet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/A/Arnell,_Richard_Anthony_Sayer", "url": "http://www.imdb.com/name/nm0036195/"} +{"d:Title": "Malcolm Arnold", "d:Description": "Biography, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.classiccat.net/arnold_m/"} +{"d:Title": "Malcolm Arnold", "d:Description": "Wikipedia article with life, noted works, internal references and external links.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://en.wikipedia.org/wiki/Malcolm_Arnold"} +{"d:Title": "Malcolm Arnold CBE", "d:Description": "Official site. Detailed biography with bibliography, gallery, works, publishers, discography, news and current events, contacts, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.malcolmarnold.co.uk/"} +{"d:Title": "Malcolm Arnold", "d:Description": "Filmography including over ninety movies, awards, biography, links, and related material from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.imdb.com/name/nm0002185/"} +{"d:Title": "Sir Malcolm Arnold - Great British Drama and Humour", "d:Description": "Biography of composer with a focus on his film music.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.mfiles.co.uk/composers/Malcolm-Arnold.htm"} +{"d:Title": "Malcolm Arnold Society", "d:Description": "Biography, list of works, film scores, bibliography, discography, composer essay, articles. Also details about the society with newsletter information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.musicweb-international.com/arnold/arnold.htm"} +{"d:Title": "Classical Archives: Sir Malcolm Arnold", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.classicalarchives.com/composer/2084.html"} +{"d:Title": "Malcolm Henry Arnold (1921-)", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3225"} +{"d:Title": "Classical Net - Composers - Arnold", "d:Description": "Biography, discography, introductory listening.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.classical.net/music/comp.lst/acc/arnold.php"} +{"d:Title": "Malcolm Arnold (1921-)", "d:Description": "Extended biography with summary of musical accomplishments, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Malcolm_Henry,_Sir", "url": "http://www.naxos.com/person/Malcolm_Arnold_17637/17637.htm"} +{"d:Title": "Arnold, Samuel (1740-1802)", "d:Description": "Portrait and biography listing his influences, accomplishments, setbacks, and positions and offices. From Naxos.", "topic": "Top/Arts/Music/Composition/Composers/A/Arnold,_Samuel", "url": "http://www.naxos.com/person/Samuel_Arnold/22155.htm"} +{"d:Title": "Juan Cris\u00f3stomo Arriaga", "d:Description": "CD review from Classics Today praising his work while lamenting his early death and the loss of most of his compositions from the current repertoire.", "topic": "Top/Arts/Music/Composition/Composers/A/Arriaga_y_Balzola,_Juan_Cris\u00f3stomo_Jacobo_Antonio", "url": "http://www.classicstoday.com/review.asp?ReviewNum=2644"} +{"d:Title": "Juan Cris\u00f3stomo Jacobo Antonio Arriaga y Balzola", "d:Description": "Summary list of works, brief biographical sketch, and portrait from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/A/Arriaga_y_Balzola,_Juan_Cris\u00f3stomo_Jacobo_Antonio", "url": "http://musicalics.com/en/node/78347"} +{"d:Title": "Lovely Music: Robert Ashley", "d:Description": "(1930- ), Ann Arbor, Michigan. Picture, biography, discography, video and film, books, compositions, bibliography, and productions.", "topic": "Top/Arts/Music/Composition/Composers/A/Ashley,_Robert", "url": "http://www.lovely.com/bios/ashley.html"} +{"d:Title": "Perfect Sound Forever: Robert Ashley", "d:Description": "Interview by Theresa Stern.", "topic": "Top/Arts/Music/Composition/Composers/A/Ashley,_Robert", "url": "http://www.furious.com/perfect/robertashley.html"} +{"d:Title": "Other Minds: Robert Ashley", "d:Description": "Photograph, biography, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/A/Ashley,_Robert", "url": "http://www.otherminds.org/shtml/Ashley.shtml"} +{"d:Title": "Thomas Moore, Pianist: Robert Ashley", "d:Description": "Interview with the composer.", "topic": "Top/Arts/Music/Composition/Composers/A/Ashley,_Robert", "url": "http://userpages.umbc.edu/~tmoore/interview_frame.html"} +{"d:Title": "HOASM: Hugh Aston", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Aston,_Hugh", "url": "http://www.hoasm.org/IVM/Aston.html"} +{"d:Title": "Classical Archives: Hugh Aston", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/A/Aston,_Hugh", "url": "http://www.classicalarchives.com/composer/2094.html"} +{"d:Title": "Atterberg, Kurt (1887-1974)", "d:Description": "Brief biographical sketch, portrait, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Atterberg,_Kurt_Magnus", "url": "http://www.naxos.com/person/Kurt_Atterberg/17640.htm"} +{"d:Title": "Classical Archives: Daniel-Fran\u00e7ois-Esprit Auber", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/A/Auber,_Daniel-Fran\u00e7ois_Esprit", "url": "http://www.classicalarchives.com/composer/14077.html"} +{"d:Title": "Auber, Daniel-Francois (1782-1871)", "d:Description": "Brief biographical sketch, caricature, comments on his operas and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Auber,_Daniel-Fran\u00e7ois_Esprit", "url": "http://www.naxos.com/person/Daniel_Francois_Auber/17641.htm"} +{"d:Title": "Daniel Fran\u00e7ois Esprit Auber", "d:Description": "List of works from OperaGlass at Stanford University.", "topic": "Top/Arts/Music/Composition/Composers/A/Auber,_Daniel-Fran\u00e7ois_Esprit/Operas", "url": "http://opera.stanford.edu/Auber/"} +{"d:Title": "Aubert, Jacques (1689 - 1753), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/A/Aubert,_Jacques", "url": "http://stevenestrella.com/composers/composerfiles/aubert1753.html"} +{"d:Title": "Aubert, Louis Fran\u00e7ois Marie (1877 - 1968), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/A/Aubert,_Louis_Fran\u00e7ois_Marie", "url": "http://stevenestrella.com/composers/composerfiles/aubert1968.html"} +{"d:Title": "Aubert, Louis (1887-1968)", "d:Description": "Brief biographical sketch, caricature, comments on stage and orchestral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/A/Aubert,_Louis_Fran\u00e7ois_Marie", "url": "http://www.naxos.com/person/Louis_Aubert/17642.htm"} +{"d:Title": "Auric, Georges (1899 - 1983), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/A/Auric,_Georges", "url": "http://stevenestrella.com/composers/composerfiles/auric1983.html"} +{"d:Title": "mfiles: Georges Auric", "d:Description": "Biography and list of films.", "topic": "Top/Arts/Music/Composition/Composers/A/Auric,_Georges", "url": "http://www.mfiles.co.uk/Composers/Georges-Auric.htm"} +{"d:Title": "Georges Auric", "d:Description": "Partial list of compositions with dates.", "topic": "Top/Arts/Music/Composition/Composers/A/Auric,_Georges", "url": "http://musicalics.com/en/node/78355"} +{"d:Title": "Charles Avison", "d:Description": "Biography noting his compositions, teaching, and music criticism from the Here Of A Sunday Morning radio program. Includes related material and contemporaries.", "topic": "Top/Arts/Music/Composition/Composers/A/Avison,_Charles", "url": "http://www.hoasm.org/VIIJ/Avison.html"} +{"d:Title": "Charles Avison", "d:Description": "Detailed biography, illustrations, and discography from 18th Century English Music.", "topic": "Top/Arts/Music/Composition/Composers/A/Avison,_Charles", "url": "http://rslade.co.uk/18th-century-music/composers/charles-avison/"} +{"d:Title": "Zimbel Press -- Paul Ayres", "d:Description": "Works available from this publisher.", "topic": "Top/Arts/Music/Composition/Composers/A/Ayres,_Paul", "url": "http://www.zimbel.com/ayres.html"} +{"d:Title": "Babbit, Milton (1916 - ), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Babbitt,_Milton_Byron", "url": "http://stevenestrella.com/composers/index.html?composerfiles/babbittliving.html"} +{"d:Title": "Fact Monster: Milton Babbitt", "d:Description": "(1916- ) Biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Babbitt,_Milton_Byron", "url": "http://www.factmonster.com/encyclopedia/people/babbitt-milton.html"} +{"d:Title": "Milton Byron Babbit", "d:Description": "Appreciation and select discography from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/B/Babbitt,_Milton_Byron", "url": "http://www.classical.net/music/comp.lst/babbitt.php"} +{"d:Title": "Milton Babbitt", "d:Description": "Biography, works list, select discography, citations in Library of Congress from Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/B/Babbitt,_Milton_Byron", "url": "http://www.musicsalesclassical.com/composer/short-bio/52"} +{"d:Title": "Graznya Bacewicz - Polish Music Center", "d:Description": "From the University of Southern California at Los Angeles, which houses some of her manuscripts. Biography. Page by Maja Trochimczyk.", "topic": "Top/Arts/Music/Composition/Composers/B/Bacewicz,_Grazyna", "url": "http://www.usc.edu/dept/polish_music/composer/bacewicz.html"} +{"d:Title": "Bacewicz' String Quartets 4, 6, and 7", "d:Description": "Music on the Web's 1999 review of the CD.", "topic": "Top/Arts/Music/Composition/Composers/B/Bacewicz,_Grazyna", "url": "http://www.musicweb-international.com/classrev/sept99/bacewicz.htm"} +{"d:Title": "Women of Note - Bacewicz", "d:Description": "Commentary and information about selected works.", "topic": "Top/Arts/Music/Composition/Composers/B/Bacewicz,_Grazyna", "url": "http://oboeclassics.com/~oboe3583/ambache/wBacewicz.htm"} +{"d:Title": "Miscellaneous Songs by Bacewicz (1909-1969)", "d:Description": "Texts from selected songs.", "topic": "Top/Arts/Music/Composition/Composers/B/Bacewicz,_Grazyna", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=123"} +{"d:Title": "Berlin: Capital of Bach", "d:Description": "Andreas Sieling writes about the music and the influence of August Wilhelm, especially concerning the performance of works by the unrelated Johann Sebastian Bach.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_August_Wilhelm", "url": "http://www.hetorgel.nl/e2000-04b.htm"} +{"d:Title": "Bach, Carl Philipp Emanuel: Biography", "d:Description": "Brief sketch of his life as part of a larger work dedicated to the composer's father.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://jan.ucc.nau.edu/~tas3/cpebach.html"} +{"d:Title": "Bach, Carl Philipp Emanuel (1714 - 1788), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://stevenestrella.com/composers/composerfiles/bach1788.html"} +{"d:Title": "Carl Philipp Emanuel Bach", "d:Description": "Biography, related composers, musical development, and links to related information and a discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://www.hoasm.org/XID/BachCPE.html"} +{"d:Title": "NewOlde.com - Carl Philipp Emanuel Bach", "d:Description": "Recommended links, recordings, sheet music and books, and new recordings of the music of CPE Bach.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://www.newolde.com/cpe_bach.htm"} +{"d:Title": "A Tribute to Carl Philipp Emanuel Bach", "d:Description": "T. L. Hubeart, Jr. praises the composer, also comparing him to his brothers and his father.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://www.pennuto.com/music/cpe_bach.htm"} +{"d:Title": "Classical Music Archives: Carl Philipp Emanuel Bach", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Over 20 files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://www.classicalarchives.com/composer/2110.html"} +{"d:Title": "Bach, Carl Philipp Emanuel (1714 - 1788)", "d:Description": "Brief biography and caricature with summaries of his orchestral, chamber, and keyboard music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://www.naxos.com/person/Carl_Philipp_Emanuel_Bach/17646.htm"} +{"d:Title": "Miscellaneous Lieder by C. P. E. Bach", "d:Description": "List from recmusic.org linked to song texts, some with English translation.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Carl_Philipp_Emanuel", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3257"} +{"d:Title": "Christoph Bach", "d:Description": "Biographical sketch of Johann Sebastian's grandfather from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Christoph", "url": "http://www.hoasm.org/VIB/BachChr.html"} +{"d:Title": "Georg Christoph Bach", "d:Description": "Biographical sketch from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Georg_Christoph", "url": "http://www.hoasm.org/VIF/BachGeorgChr.html"} +{"d:Title": "Heinrich Bach", "d:Description": "Biographical sketch with links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Heinrich", "url": "http://www.hoasm.org/VIB/BachHeinr.html"} +{"d:Title": "Bach, Johann Ambrosius: Biography", "d:Description": "Entry from Timothy A. Smith's Sojourn pages dealing with the musical family.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Ambrosius", "url": "http://jan.ucc.nau.edu/~tas3/jabach.html"} +{"d:Title": "Johann Ambrosius Bach", "d:Description": "Biography and portrait with links to family members and related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Ambrosius", "url": "http://www.hoasm.org/VIB/BachJAmbrosius.html"} +{"d:Title": "Bach, Johann Bernhard: Biography", "d:Description": "Entry from Timothy A. Smith's Sojourn pages regarding the musical family.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Bernhard", "url": "http://jan.ucc.nau.edu/~tas3/jbbach.html"} +{"d:Title": "Johann Bernhard Bach", "d:Description": "Biography, family relationships, and links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Bernhard", "url": "http://www.hoasm.org/VIB/BachJBernh.html"} +{"d:Title": "Bach, Johann Christian: Biography", "d:Description": "Brief sketch of his life as part of a larger work dedicated to the composer's father.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://jan.ucc.nau.edu/~tas3/jcbach.html"} +{"d:Title": "Bach, Johann Christian (1735 - 1782), Germany/England", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://stevenestrella.com/composers/composerfiles/bach1782.html"} +{"d:Title": "Grave of Johann Christian Bach", "d:Description": "Find a Grave photographs of the plaque dedicated to the composer in the Churchyard Gardens of the Old Saint Pancras Church, London, England.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8691"} +{"d:Title": "Johann Christian Bach", "d:Description": "Biographical information and portrait with links to related composers and period information from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://www.hoasm.org/VIIG/BachJC.html"} +{"d:Title": "NewOlde.com: Johann Christian Bach", "d:Description": "Reviews and recommended recordings, books, and related material.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://www.newolde.com/jc_bach.htm"} +{"d:Title": "Johann Christian Bach", "d:Description": "Wikipedia article tracing his life and musical development, noting his pioneering work with the piano. Includes general evaluation and internal references.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://en.wikipedia.org/wiki/Johann_Christian_Bach"} +{"d:Title": "Classical Archives: Johann Christian Bach", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://www.classicalarchives.com/composer/2112.html"} +{"d:Title": "Operas of Johann Christian Bach", "d:Description": "List of compositions with dates and venues of first performances.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://opera.stanford.edu/Bach/"} +{"d:Title": "Johann Christian Bach", "d:Description": "Brief biographical sketch, caricature, summary of orchestral works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://www.naxos.com/person/Luc_Beausejour/51.htm"} +{"d:Title": "Bach, Johann Christian", "d:Description": "Short biography includes religious conversion. Also caricature, summary of orchestral music, and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://www.naxos.com/person/Johann_Christian_Bach/17647.htm"} +{"d:Title": "Johann Christian Bach", "d:Description": "Brief entry at the Lied and Art Songs Text Page includes lyrics to his Magnificat: Quia Respexit.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christian", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3258"} +{"d:Title": "Bach, Johann Christoph: Biography", "d:Description": "Entry from Timothy A. Smith's Sojourn pages includes explanatory links.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christoph,_1642_-_1703", "url": "http://jan.ucc.nau.edu/~tas3/jchristoph.html"} +{"d:Title": "Johann Christoph Bach (1642-1703)", "d:Description": "Biography, related composers, and companion links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christoph,_1642_-_1703", "url": "http://www.hoasm.org/VIB/BachJohChr.html"} +{"d:Title": "Johann Christoph Bach (1645-1694)", "d:Description": "Biographical information about Sebastian's uncle with familial and related musical links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christoph,_1645_-_1694", "url": "http://www.hoasm.org/VIF/BachJohChr9.html"} +{"d:Title": "Johann Christoph Bach (1671-1721)", "d:Description": "Biographical sketch and links, including to his teacher Pachelbel, from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christoph,_1671_-_1721", "url": "http://www.hoasm.org/VIB/BachJohChr14.html"} +{"d:Title": "Bach, Johann Christoph Friedrich (1732 - 1795), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christoph_Friedrich", "url": "http://stevenestrella.com/composers/composerfiles/bach1795.html"} +{"d:Title": "Bach, Johann Christoph Friedrich: Biography", "d:Description": "Outline of his life with links to glossary.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christoph_Friedrich", "url": "http://jan.ucc.nau.edu/~tas3/jcfbach.html"} +{"d:Title": "Johann Christoph Friedrich Bach", "d:Description": "Biographical information and period-related links from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Christoph_Friedrich", "url": "http://www.hoasm.org/XID/BachJCF.html"} +{"d:Title": "Johann Egidius Bach", "d:Description": "Biographical sketch and links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Egidius", "url": "http://www.hoasm.org/VIB/BachJEgidius.html"} +{"d:Title": "Bach, Johann Ernst: Biography", "d:Description": "Entry from Timothy A. Smith's Sojourn pages about this first cousin of Sebastian.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Ernst,_of_Arnstadt", "url": "http://jan.ucc.nau.edu/~tas3/jebofarnstadt.html"} +{"d:Title": "Bach, Johann Ernst: Biography", "d:Description": "Biographical sketch and links from Timothy A. Smith's Sojourn pages devoted to the Bach family.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Ernst,_of_Eisenach", "url": "http://jan.ucc.nau.edu/~tas3/jebach.html"} +{"d:Title": "Johann Ernst Bach", "d:Description": "Biographical information about the Eisenach composer with links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Ernst,_of_Eisenach", "url": "http://www.hoasm.org/VIID/BachJErnst.html"} +{"d:Title": "Bach, Johann Ludwig: Biography", "d:Description": "Entry with portrait and links from Timothy A. Smith's Sojourn pages.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Ludwig", "url": "http://jan.ucc.nau.edu/~tas3/jlbach.html"} +{"d:Title": "Johann Ludwig Bach", "d:Description": "Biography and link to church music information from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Ludwig", "url": "http://www.hoasm.org/VIF/BachJLudwig.html"} +{"d:Title": "Johann Michael Bach", "d:Description": "Biographical sketch and links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Michael", "url": "http://www.hoasm.org/VIB/BachJohMich.html"} +{"d:Title": "Johann Nikolaus Bach", "d:Description": "Biography and links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Nikolaus", "url": "http://www.hoasm.org/VIB/BachJNikolaus.html"} +{"d:Title": "Bach-Archiv Leipzig", "d:Description": "\"Our purpose is to collect and examine literary and documentary material about the life, work and history of Johann Sebastian Bach and his family - and to present his work to the public through exhibitions, lectures, guided tours and publications.\" Site in English and German.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.bach-leipzig.de/"} +{"d:Title": "Oregon Bach Festival", "d:Description": "A summer festival held in Eugene, Oregon directed by Helmuth Rilling. Booking information and schedules of concerts and master classes.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.oregonbachfestival.com/"} +{"d:Title": "Bach, Mozart, and the Musical Midwife", "d:Description": "Speculation preceding examination of known facts about Amadeus transcribing music by Sebastian. Includes looks at other related composers and certain theoretical studies.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://schillerinstitute.org/music/m_rasmus_801.html"} +{"d:Title": "J.S. Bach Home Page", "d:Description": "An extensive biography, tour of Bach's life in Germany, catalog of his works, bibliography, recommended recordings, and links. Award-winning site, maintained by Jan Hanford in North America and Jan Koster in Europe.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.jsbach.org/"} +{"d:Title": "J. S. Bach: Canons and Fugues", "d:Description": "Tim Smith's studies of the composer's life and music, concentrating on the contrapuntal music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://jan.ucc.nau.edu/~tas3/bachindex.html"} +{"d:Title": "J. S. Bach Texts", "d:Description": "Complete vocal works with English translations. Limited permission to copy in programs and program notes.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.uvm.edu/~classics/faculty/bach/"} +{"d:Title": "Johann Sebastian Bach: The Learned Musician", "d:Description": "Review of the biography by Christoph Wolff details the book's contents and points out highlights.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.cosmopolis.ch/english/cosmo7/wolffbach.htm"} +{"d:Title": "Dave's J.S. Bach Works Catalog", "d:Description": "Complete works catalog, directory of internet resources, recommended recordings, MIDI and RealAudio files, images, icons, links, and a monthly quiz and CD giveaway.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.jsbach.net/catalog/index.html"} +{"d:Title": "Johann Sebastian Bach", "d:Description": "Review of the biography by Malcolm Boyd. Emphasizes the book's strengths, including early influences that triggered his creative outbursts.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.cosmopolis.ch/english/cosmo7/boydbach.htm"} +{"d:Title": "Bach, Johann Sebastian (1685 - 1750), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://stevenestrella.com/composers/composerfiles/bach1750.html"} +{"d:Title": "Bach Central Station", "d:Description": "A large directory of J.S. Bach resources on the internet.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.jsbach.net/bcs/"} +{"d:Title": "J.S. Bach (1685-1750)", "d:Description": "Brief chronology, partial list of works with MIDI audio for selected BMV numbers, gallery, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://chuchristine.tripod.com/"} +{"d:Title": "The Well Tempered Clavier: Music of Sacred Temperament", "d:Description": "Exploration of the intellectual and spiritual dimensions of the works involved. Includes MIDI audio samples.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.geocities.jp/imyfujita/index.html"} +{"d:Title": "Classical Music Archives: J.S.Bach", "d:Description": "Over 1500 complete compositions in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Includes two biographies, time line, download and playing instructions, and links to artist pages.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.classicalarchives.com/bach.html"} +{"d:Title": "Grave of Johann Sebastian Bach", "d:Description": "Find a Grave details the burial, reburial, and subsequent moving of the composer's remains to Thomaskirche, Leipzig, Germany with portrait of composer and photographs of grave plate and church exterior.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4237"} +{"d:Title": "Johann Sebastian Bach", "d:Description": "Biography, musicography, links to related composers, and companion resources from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.hoasm.org/IX/IXBach.html"} +{"d:Title": "Johann Sebastian Bach", "d:Description": "Detailed biography and comments on his music from Grove Music plus MIDI audio, illustrations, and links from Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.kunstderfuge.com/bach.htm"} +{"d:Title": "The London Bach Society", "d:Description": "Provides newsletter, details of the annual Bach festival, history of the organisation, database of his works and details of sponsorship and membership.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.bachlive.co.uk/"} +{"d:Title": "Johann Sebastian Bach's Achievement", "d:Description": "Article examining the role the composer's works had in helping to reunite a Europe torn by post-Reformation conflict even as it became the standard upon which almost all subsequent Western music was based.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.fermentmagazine.org/essays/jsbach1.html"} +{"d:Title": "Early Music Myths", "d:Description": "Paul Baker of Diabolus in Musica uses the music of Bach to illustrate perceived problems and solutions to various performance issues, including choir size and instrument choice.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.diabolus.org/myths/myths.htm"} +{"d:Title": "mfiles - Johann Sebastian Bach", "d:Description": "Biography and music examples in the form of sheet music and sound files.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.mfiles.co.uk/composers/johann-sebastian-bach.htm"} +{"d:Title": "Bach's Leipzig in pictures, 1725-1750", "d:Description": "Contemporary prints of Bach's Leipzig showing familiar scenes and some lesser-known facets of Leipzig city life, institutions and facilities. Of unusual interest is the city's piped fresh water supply.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.baroquemusic.org/bachleipzig.html"} +{"d:Title": "Johann Sebastian Bach", "d:Description": "Detailed biography, covering each period in Bach's life.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.baroquemusic.org/bqxjsbach.html"} +{"d:Title": "Bach Bibliography", "d:Description": "A searchable catalogue of books, articles, theses, papers and facsimile editions on the works of Johann Sebastian Bach.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.music.qub.ac.uk/~tomita/bachbib/"} +{"d:Title": "Bach's Life in Pictures", "d:Description": "Color illustrations with captions and music samples documenting the major periods in Bach's life.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.baroquemusic.org/bachillustrated.html"} +{"d:Title": "The Global Bach Community", "d:Description": "An organization devoted to linking and supporting local Bach organizations worldwide.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.bach-net.org/"} +{"d:Title": "Johann Sebastian BACH Cantata 198: The Trauer Ode.", "d:Description": "Mourning Ode on the death of Queen Christiana of Poland/Saxony, 1727. Illustrated history, full text, and music samples.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.baroquemusic.org/198trauerode.html"} +{"d:Title": "Classic Cat", "d:Description": "Directory of free Bach mp3s made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.classiccat.net/bach_js/"} +{"d:Title": "Well-Tempered Clavier", "d:Description": "Index summarizing fugue-by-fugue analysis drawing comparisons from visual arts, physics, mathematics, literature and astronomy. Links to scores with digital sound and many resources on the life and works of Bach, designed for a course at Northern Arizona University.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://jan.ucc.nau.edu/~tas3/wtc"} +{"d:Title": "Well-Tempered Clavier: Hypermedia Analysis", "d:Description": "Analytical essays and animations by Tim Smith, performance-related essays by David Korevaar, and Korevaar's performances of the music. [Shockwave]", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://jan.ucc.nau.edu/~tas3/wtc.html"} +{"d:Title": "Bach's organ works complete BWV listing", "d:Description": "Complete BWV listing of Bach's Organ Works with links to CD recordings on Silbermann Organs from the Baroque Music Club.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.baroquecds.com/organtable.html"} +{"d:Title": "Emmanuel Music", "d:Description": "Founded in 1970 by Craig Smith to perform the complete cycle of over 200 sacred cantatas of J.S. Bach in the liturgical setting for which they were intended. Includes extensive translations and program notes.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.emmanuelmusic.org/"} +{"d:Title": "Music of Intellect: Bach's Goldberg Variations", "d:Description": "Detailed study of the intellectual and psychological dimensions of one of these works. Includes MIDI audio samples plus pianistic information about Schoenberg, Schumann, and Mari Kumamoto.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.geocities.jp/imyfujita/goldberg/indexe.html"} +{"d:Title": "Johann Sebastian Bach", "d:Description": "Wikipedia article covering formative years, professional life and family life, later years, and legacy with BWV commentary, further reading, internal references, external links, and portrait.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://en.wikipedia.org/wiki/Johann_Sebastian_Bach"} +{"d:Title": "Johann Sebastian Bach", "d:Description": "Filmography linked to hundreds of films and television shows using his works with biography, trivia, and links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.imdb.com/name/nm0001925/"} +{"d:Title": "The Industrious Herr Bach", "d:Description": "Biography, timeline, quotes, key works, suggested recordings and reading, selected works, and links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=31"} +{"d:Title": "Johann Sebastian Bach", "d:Description": "Hymn tunes by him or based on his works with MIDI audio and NWC format scores plus portrait. From the Cyberhymnal.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.hymntime.com/tch/bio/b/a/c/bach_js.htm"} +{"d:Title": "J.S. Bach Archive and Bibliography", "d:Description": "Biographical information, pictures and the full texts of over forty cantatas.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://odur.let.rug.nl/Linguistics/diversen/bach/intro.html"} +{"d:Title": "On Bach and the Curved Bow", "d:Description": "A review of Michael Bach's performance of Bach's solo cello suites (Mode Records) using a curved cello bow which allows him to play chords without arpeggiation. Review considers the significance and historical implications of the curved bow in the performance of Bach's music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.rosewhitemusic.com/cage/texts/bachbow.html"} +{"d:Title": "Dorset Bach Cantata Club", "d:Description": "Information for amateur singers and orchestral players wishing to join a long-established choir and orchestra for weekend study and performance of Bach cantatas and related works.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.dorsetbach.org.uk/"} +{"d:Title": "Bach's Method of Transcription", "d:Description": "Study of his compositional ideas using the clavier transcription (BWV 964) of the A minor Sonata for solo violin (BWV 1003). Includes musical notation to illustrate the author's points.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.delmar.edu/music/faculty/phii/bachtran.html"} +{"d:Title": "A Study of the Texts of J.S. Bach's Motets", "d:Description": "Tad Inoue of Japan provides an English version of a research paper dealing with the source material and characteristics of the lyrics with an evaluation of these writings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://music.geocities.jp/dikaios/CMstudy/English/papers/B-Motets.htm"} +{"d:Title": "Bach, Johann Sebastian (1685-1750)", "d:Description": "brief biography, caricature, summaries of his choral and vocal, organ, other keyboard, chamber, orchestral, and concerto output, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.naxos.com/person/Clyde_Beavers/52.htm"} +{"d:Title": "J. S. Bach: His Predecessors", "d:Description": "Gives overview of his influences, including the German and Italian keyboard schools, French polyphony and organ music, as well as mathematics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.classical.net/music/comp.lst/articles/bachjs/predcssr.php"} +{"d:Title": "Tradition and Individual Style in the Motets of J. S. Bach", "d:Description": "Essay examines how the composer expanded this musical genre within the context of its existing form.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.calstatela.edu/sites/default/files/centers/Wagner/documents/Bach.pdf"} +{"d:Title": "Exeter Bach Society", "d:Description": "News of Bach concerts in the Cathedral which support its Music Foundation Trust. Includes contact and membership information and an events diary.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "https://exeterbachsociety.wordpress.com/"} +{"d:Title": "Music History 102: Johann Sebastian Bach", "d:Description": "Biography, illustrations, and Real Audio RAM files from the Internet Public Library. Written by Robert Sherrane, Cataloging librarian The Juilliard School, New York.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.ipl.org/div/mushist/bar/bach.html"} +{"d:Title": "Johann Sebastian Bach (1685-1750)", "d:Description": "Listing at the Lied and Art Songs Text Page with German lyrics, many having English translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3259"} +{"d:Title": "J. S. Bach's Organbuchlein (Little Organ Book)", "d:Description": "MIDI files, with versions for general MIDI and for specific Roland synthesizer models.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Audio_Files", "url": "http://www.lutheran-hymnal.com/organbook/organbuchlein.htm"} +{"d:Title": "A Johann Sebastian Bach Midi Page", "d:Description": "Biography, information on the music and MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Audio_Files", "url": "http://www.bachcentral.com/"} +{"d:Title": "Bach Chorales", "d:Description": "400+ MIDI files; some graphics and QuickTime movies.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Audio_Files", "url": "http://www.jsbchorales.net/"} +{"d:Title": "Sweet on Bach", "d:Description": "Assortment of clavier music with embedded MIDI audio files.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Audio_Files", "url": "http://www.sweetpea.jp/sweetpeaEnglishHp.htm"} +{"d:Title": "J. S. Bach: Well-Tempered Clavier", "d:Description": "MIDI version interpreted by S. D. Rodrian.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Audio_Files", "url": "http://bach.sdrodrian.com/the_well-tempered_clavier/"} +{"d:Title": "Bach E-Baroque", "d:Description": "Electronic versions of various works for streaming and downloading in M3U and MP3 formats.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Audio_Files", "url": "http://www.soundclick.com/bands/default.cfm?bandID=199087"} +{"d:Title": "Kunst der Fuge - Bach bibliography", "d:Description": "Bibliography in txt format from Grove encyclopaedia.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Bibliographies", "url": "http://www.kunstderfuge.com/bios/bach.txt"} +{"d:Title": "Bach Bibliography", "d:Description": "Scholarly, searchable, database by Yo Tomita, Queens University of Belfast. Gateway to similar sites and mirrors.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Bibliographies", "url": "http://www.music.qub.ac.uk/~tomita/bachbib/"} +{"d:Title": "Bach Cantatas", "d:Description": "Entries generally compiled from postings about the compositions and their recordings at the Bach Cantatas Mailing List. Participants suggest works to study. Subscription and background information included.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.bach-cantatas.com/"} +{"d:Title": "Book Review of Handbook to Bach's Sacred Cantata Texts", "d:Description": "Review by Yo Tomita of Melvin P. Unger's book which details links between bible quotations and allusions and Bach's Cantata movements", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.music.qub.ac.uk/tomita/bachbib/review/bb-review_Unger-HandbookBSCT.html"} +{"d:Title": "Laurenscantorij", "d:Description": "Performing monthly Cantata at the Saint Laurens church of Rotterdam The Netherlands. Dates and works to be performed.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.laurenscantorij.nl/"} +{"d:Title": "Bach's Cantatas: A Brief Orientation", "d:Description": "Tracing patterns and changes in his cantata compositions through different periods in his life. From the Baroque Music Club.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.baroquecds.com/cantatas.html"} +{"d:Title": "Bach Cantata recording review", "d:Description": "An explanation of the essence of Bach's Cantata's and a review by Steve Schwartz of selections from the first two volumes of Ton Koopman's complete recording project.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.classical.net/music/recs/reviews/e/era12598a.php"} +{"d:Title": "The Bach Cantatas", "d:Description": "The pages contain the German texts of all cantatas and most other vocal works by J.S. Bach, as well as French translations of many cantatas.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "https://webdocs.cs.ualberta.ca/~wfb/bach.html"} +{"d:Title": "Listener's Guide to the Cantatas of J. S. Bach", "d:Description": "Simon Crouch article deals with what to listen for, recommended recordings, books, and Internet references.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.classical.net/music/comp.lst/works/bachjs/cantatas.php"} +{"d:Title": "Bach Cantatas: Indexed Listener's Guide", "d:Description": "Separate listings of sacred and secular pieces placed in numerical order with links to pages for individual pieces.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.classical.net/music/comp.lst/works/bachjs/cantindx.php"} +{"d:Title": "Bach Cantatas: Listener's Guide Indexed by Rating", "d:Description": "Personal and professional opinion about the overall quality of the religious pieces by Simon Crouch.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Cantatas", "url": "http://www.classical.net/music/comp.lst/works/bachjs/rateindx.php"} +{"d:Title": "Bach Archives", "d:Description": "University of Houston index includes date-ordered posts, search, and subscription information for listserv e-mail list dealing with the composer and other early and baroque musicians and music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Chats_and_Forums", "url": "http://listserv.uh.edu/archives/bach-list.html"} +{"d:Title": "Jonann Sebastian Bach", "d:Description": "Yahoo club.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Chats_and_Forums", "url": "http://groups.yahoo.com/group/johannsebastianbach/"} +{"d:Title": "When Did Bach Find Time to Pray?", "d:Description": "Brief examination of the gematria, or number patterns in his compositions, suggesting that their careful placement was part of his prayer and meditation.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://www.adoremus.org/11-00-mattingly.html"} +{"d:Title": "Canons: Why Did Bach Write Them?", "d:Description": "Essay concludes that canonic processes may have held profound theological significance for the composer.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://jan.ucc.nau.edu/~tas3/whycanon.html"} +{"d:Title": "Meaningfulness in Bach's Cyclical Works", "d:Description": "This article examines pattern and meaning in the canons and other parts of the composers' works, both sacred and secular. Includes VCD sound.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://jan.ucc.nau.edu/~tas3/intentional.html"} +{"d:Title": "Table Talk: What Language Shall I Borrow To Thank You, Dearest Friend?", "d:Description": "Lutheran Ministerium and Synod of the United States newsletter includes article on the religious works of Bach.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://www.lmsusa.org/tt-08-00.htm"} +{"d:Title": "Bach and D\u00fcrer: Passion Music and Imagery", "d:Description": "Series of woodcuts matched with selections from the St. Matthew Passion. Includes scenes from late in the life of Christ, his suffering, death, burial.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://www.bc.edu/bc_org/avp/cas/ashp/oconnor/freedom/pcpage.html"} +{"d:Title": "An Evangelical Musical Genius: \"J. S. B.: S. D. G.\"", "d:Description": "Evaluation of Bach's overt Christianity, Lutheran heritage, and commitment to Reformation doctrine.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://www.faithalone.org/journal/1996i/Farstad.html"} +{"d:Title": "Fugue No. 4: C-Sharp Minor", "d:Description": "Theological connotations of the piece from the Well-Tempered Clavier Book I with an analysis and performance in Shockwave format. From Sojourn by Timothy A. Smith.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://jan.ucc.nau.edu/~tas3/wtc/i04.html"} +{"d:Title": "J. S. Bach: Orthodox Lutheran Theologian", "d:Description": "A brief study his theology, examining whether he was an Orthodox Lutheran, a Pietist, or a Rationalist. Includes endnotes, annotated bibliography, and a brief time line.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://www.xrysostom.com/bach.html"} +{"d:Title": "Bach: The Fifth Evangelist", "d:Description": "Emphasizes the religious education and theological understanding of the composer as a means of understanding and appreciating his compositions.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://www.christianitytoday.com/ct/2000/julyweb-only/52.0c.html"} +{"d:Title": "J.S. Bach in Japan", "d:Description": "Uwe Siemon-Netto makes claims that the composer's religious music is evangelizing Japan and preparing hearers for Christianity.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Johann_Sebastian/Theology", "url": "http://www.firstthings.com/article/2000/06/j-s-bach-in-japan"} +{"d:Title": "Bach, P. D. Q. (1807 - 1742), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_P._D._Q.", "url": "http://stevenestrella.com/composers/composerfiles/bach1807.html"} +{"d:Title": "P.D.Q. Bach Bio", "d:Description": "Official site of JSB's (possibly illegitimate or even counterfeit) twenty-first of his twenty children. Biography of one named \"the most dangerous musician since Nero,\" purchase information (caveat emptor), concert and recording details, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_P._D._Q.", "url": "http://www.schickele.com/pdqbio.htm"} +{"d:Title": "PDQ Bach Memorial Library at the University of Southern North Bondi in Sydney", "d:Description": "References and resources dedicated to the fictitious composer and his flesh-and-blood alter ego Peter Schickele.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_P._D._Q.", "url": "http://gabrielmccann.tripod.com/pdqbml.html"} +{"d:Title": "P. D. Q. Bach", "d:Description": "Wikipedia article with summary, biography, musicography, works, and internal references to related topics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_P._D._Q.", "url": "https://en.wikipedia.org/wiki/P._D._Q._Bach"} +{"d:Title": "PDQ Bach Tidbits", "d:Description": "Page designed for a middle school includes explanation of some of the humor and annotated links.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_P._D._Q.", "url": "http://www.bandnotes.info/tidbits/tidbits-pdqbach.htm"} +{"d:Title": "P.D.Q. Bach", "d:Description": "Biographical information on the twenty-first of J. S. Bach's twenty children with illustrations, works, recordings, books, audio books, video cassettes, and summaries of reviews at Presser.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_P._D._Q.", "url": "http://www.presser.com/composer/p-d-q-bach/"} +{"d:Title": "Bach, Wilhelm Friedemann (1710 - 1784), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Wilhelm_Friedemann", "url": "http://stevenestrella.com/composers/composerfiles/bach1784.html"} +{"d:Title": "Wilhelm Friedemann Bach", "d:Description": "Biography with account of his rise and fall and portrait plus related links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Wilhelm_Friedemann", "url": "http://www.hoasm.org/XID/BachWF.html"} +{"d:Title": "Bach, Wilhelm Friedemann", "d:Description": "Brief biography of Johann Sebastian's eldest son with portrait, family background, and links from Timothy A. Smith's Sojourn pages.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Wilhelm_Friedemann", "url": "http://jan.ucc.nau.edu/~tas3/wfbach.html"} +{"d:Title": "Bach, Wilhelm Friedemann (1710 - 1784)", "d:Description": "Brief biography and caricature with summaries of his keyboard, orchestral, chamber, and church music with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Wilhelm_Friedemann", "url": "http://www.naxos.com/person/Wilhelm_Friedemann_Bach/15902.htm"} +{"d:Title": "W. F. Bach", "d:Description": "Brief biography, portrait, links to related composers, and suggested recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Wilhelm_Friedemann", "url": "http://www.classical.net/music/comp.lst/bachwf.php"} +{"d:Title": "Bach, Wilhelm Friedrich Ernst: Biography", "d:Description": "Short outline of the life of the grandson of Johann Sebastian.", "topic": "Top/Arts/Music/Composition/Composers/B/Bach,_Wilhelm_Friedrich_Ernst", "url": "http://jan.ucc.nau.edu/~tas3/wfebach.html"} +{"d:Title": "Miscellaneous songs by Backer-Gr\u00f8ndahl", "d:Description": "List of songs, some with lyrics included.", "topic": "Top/Arts/Music/Composition/Composers/B/Backer_Gr\u00f6ndahl,_Agathe", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3264"} +{"d:Title": "IMDb: Klaus Badelt", "d:Description": "Filmography as well as biographies, message board, and information.", "topic": "Top/Arts/Music/Composition/Composers/B/Badelt,_Klaus", "url": "http://www.imdb.com/name/nm0046004/"} +{"d:Title": "SoundtrackNet: Klaus Badelt", "d:Description": "Information which includes filmography, trailer usage, representation, and top rated albums.", "topic": "Top/Arts/Music/Composition/Composers/B/Badelt,_Klaus", "url": "http://www.soundtrack.net/person/klaus-badelt/"} +{"d:Title": "Huygens-Fokker Foundation: Henk Badings", "d:Description": "Picture and extended biography with links and music samples in MP3 and MIDI.", "topic": "Top/Arts/Music/Composition/Composers/B/Badings,_Henk", "url": "http://www.huygens-fokker.org/whoswho/badings.html"} +{"d:Title": "Henk Badings", "d:Description": "Biographical sketch and commentary on his being a father of electronic music from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Badings,_Henk", "url": "http://musicalics.com/en/node/81512"} +{"d:Title": "Miscellaneous Songs by Badings", "d:Description": "List at recmusic.org includes selected texts, some in English or with English translation.", "topic": "Top/Arts/Music/Composition/Composers/B/Badings,_Henk", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3268"} +{"d:Title": "Tadeusz Baird", "d:Description": "Biography, list of works, discography, bibliography, manuscripts, and quotes from the Polish Music Center of the University of Southern California.", "topic": "Top/Arts/Music/Composition/Composers/B/Baird,_Tadeusz", "url": "http://www.usc.edu/dept/polish_music/composer/baird.html"} +{"d:Title": "Tadeusz Baird", "d:Description": "List of films for which he wrote music from the Internet Movie Database. Includes links to related material.", "topic": "Top/Arts/Music/Composition/Composers/B/Baird,_Tadeusz", "url": "http://www.imdb.com/name/nm0047929/"} +{"d:Title": "Edward Cuthbert Bairstow", "d:Description": "Brief biographical sketch tracing special musical accomplishments with emphasis on his Bach recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bairstow,_Edward_Cuthbert,_Sir", "url": "http://www.bach-cantatas.com/Bio/Bairstow-Edward.htm"} +{"d:Title": "Recollections of Sir Edward Bairstow", "d:Description": "Personal sketch of his life by former student and friend David Hird touching not only his musical abilities but also his personality.", "topic": "Top/Arts/Music/Composition/Composers/B/Bairstow,_Edward_Cuthbert,_Sir", "url": "http://www.duresme.org.uk/bairstow.htm"} +{"d:Title": "Miscellaneous Songs by Bairstow", "d:Description": "List from recmusic.org with lyrics of art songs for which he composed music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bairstow,_Edward_Cuthbert,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3273"} +{"d:Title": "David N. Baker", "d:Description": "Honors page from Indiana University's Alliance of Distinguished Rank Professors notes his abilities and achievements that garnered this distinction.", "topic": "Top/Arts/Music/Composition/Composers/B/Baker,_David_Nathaniel,_Jr.", "url": "http://www.indiana.edu/~alldrp/members/baker.html"} +{"d:Title": "Jazz Influences on Classical with David Baker", "d:Description": "Transcript of NPR's Milestones of the Millennium with host Martin Goldsmith. Includes RMM audio of the broadcast with music edited out.", "topic": "Top/Arts/Music/Composition/Composers/B/Baker,_David_Nathaniel,_Jr.", "url": "http://www.npr.org/programs/specials/milestones/990210.motm.jazz.html"} +{"d:Title": "Balakirev, Mily Alexeyevich", "d:Description": "Outline biography with links to biographical essays. Includes links to CDs, books, and sheet music from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://www.stevenestrella.com/composers/composerfiles/balakirev1910.html"} +{"d:Title": "Grave of Milij Balakirev", "d:Description": "Picture of tombstone with link to list of other notable interments in the Alexander Nevsky Monastery cemetery in St. Petersburg. From Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSmpid=360&GRid=4351&"} +{"d:Title": "Mily Balakirev", "d:Description": "Wikipedia article outlining his life and works with links to related topics and people.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://en.wikipedia.org/wiki/Mily_Balakirev"} +{"d:Title": "Mily Balakirev", "d:Description": "Biography, links, and directory of free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://www.classiccat.net/balakirev_ma/"} +{"d:Title": "Classical Archives: Mily Balakirev", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://www.classicalarchives.com/composer/2122.html"} +{"d:Title": "Mily Alekseyevich Balakirev", "d:Description": "Musical biography noting varied career paths, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://www.naxos.com/person/Philippe_Bernold/59.htm"} +{"d:Title": "Balakirev, Mily Alexeyevich", "d:Description": "Brief biography with comments on orchestral and piano music and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://www.naxos.com/person/Mily_Alexeyevich_Balakirev/25962.htm"} +{"d:Title": "Mily Balakirev", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to Russian (Cyrillic and transliterated) lyrics, some in English translation.", "topic": "Top/Arts/Music/Composition/Composers/B/Balakirev,_Mily_Alexeyevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3282"} +{"d:Title": "Balfe, Michael William", "d:Description": "Includes biography, discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Balfe,_Michael_William", "url": "http://indigo.ie/~callas1/balf.htm"} +{"d:Title": "Michael W. Balfe", "d:Description": "Illustrated biographical article, catalog of works, brief discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Balfe,_Michael_William", "url": "http://www.britishandirishworld.com/"} +{"d:Title": "Classical Archives: Michael William Balfe", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Balfe,_Michael_William", "url": "http://www.classicalarchives.com/composer/2124.html"} +{"d:Title": "Miscellaneous songs by Balfe", "d:Description": "With text for \"The Arrow and the Song.\"", "topic": "Top/Arts/Music/Composition/Composers/B/Balfe,_Michael_William", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3285"} +{"d:Title": "Adriano Banchieri", "d:Description": "Biographical information and links to related period material from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Banchieri,_Adriano", "url": "http://www.hoasm.org/VA/Banchieri.html"} +{"d:Title": "Banchieri, Adriano (1568-1634)", "d:Description": "Biography with portrait examines his musical life and other endeavors, including writings and participation in intellectual and academic societies. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Banchieri,_Adriano", "url": "http://www.naxos.com/person/Adriano_Banchieri/25963.htm"} +{"d:Title": "Bantock, Granville (1868 - 1946), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bantock,_Granville", "url": "http://stevenestrella.com/composers/composerfiles/bantock1946.html"} +{"d:Title": "The Bantock Society", "d:Description": "Official site of organization founded by Jean Sibelius. Life, works, discography, article on Sappho, with information on joining and annual fees.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/B/Bantock,_Granville", "url": "http://www.musicweb-international.com/bantock/"} +{"d:Title": "Bantock: Sappho", "d:Description": "Brief study and review of his sapphic poem.", "topic": "Top/Arts/Music/Composition/Composers/B/Bantock,_Granville", "url": "http://www.musicweb-international.com/bantock/sappho.htm"} +{"d:Title": "Granville Bantock", "d:Description": "Detailed introduction to the composer by Vincent Budd. Includes major times of his life and important events.", "topic": "Top/Arts/Music/Composition/Composers/B/Bantock,_Granville", "url": "http://www.musicweb-international.com/bantock/buddint.htm"} +{"d:Title": "Bantock, Granville (1868-1946)", "d:Description": "Detailed biography includes schooling, major works, and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bantock,_Granville", "url": "http://www.naxos.com/person/Granville_Bantock/25964.htm"} +{"d:Title": "Bartolomeo Barbarino", "d:Description": "Brief biographical sketch with link to related composers of monody and the vocal concerto from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Barbarino,_Bartolomeo", "url": "http://www.hoasm.org/VA/Barbarino.html"} +{"d:Title": "Samuel Osborne Barber", "d:Description": "Essay from PBS series I Hear America Singing includes RAM and WAV files of Sure on This Shining Night.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.pbs.org/wnet/ihas/composer/barber.html"} +{"d:Title": "Barber, Samuel (1910 - 1981), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://stevenestrella.com/composers/composerfiles/barber1981.html"} +{"d:Title": "Grave of Samuel Barber", "d:Description": "Find A Grave photograph of the composer's burial place with available map to the Westchester, Pennsylvania site. Link to the cemetery shows other notables sharing his resting place.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8288"} +{"d:Title": "Samuel Barber", "d:Description": "Directory of free classical MP3s from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.classiccat.net/barber_s/"} +{"d:Title": "Classical Music Archives: Barber", "d:Description": "Biography from the Concise Oxford Dictionary of Music.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.classicalarchives.com/composer/2130.html"} +{"d:Title": "Samuel Barber", "d:Description": "Brief biography, trivia, and links to movies using his music from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.imdb.com/name/nm0053462/"} +{"d:Title": "Samuel Barber: American Composer", "d:Description": "Diary of one person's personal reaction to his works, especially the Adagio for Strings, as part of a growing appreciation of classical music.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://jdmoncada.tripod.com/barber.html"} +{"d:Title": "Barber, Samuel", "d:Description": "Biography, work list.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.classical.net/music/comp.lst/barber.php"} +{"d:Title": "Barber, Samuel", "d:Description": "Brief biography and caricature with short synopses of his orchestral, vocal, stage, chamber, and piano music and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.naxos.com/person/Jeffrey_Biegel/64.htm"} +{"d:Title": "Samuel Barber", "d:Description": "Biography, selected discography, work list, reviews, links.", "topic": "Top/Arts/Music/Composition/Composers/B/Barber,_Samuel", "url": "http://www.musicsalesclassical.com/composer/short-bio/Samuel-Barber"} +{"d:Title": "Bargiel, Woldemar (1828 - 1897), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bargiel,_Woldemar", "url": "http://stevenestrella.com/composers/composerfiles/bargiel1897.html"} +{"d:Title": "Classical Archives: Woldemar Bargiel", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bargiel,_Woldemar", "url": "http://www.classicalarchives.com/composer/2134.html"} +{"d:Title": "Woldemar Bargiel", "d:Description": "Selected works, short biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bargiel,_Woldemar", "url": "http://musicalics.com/fr/compositeur/Woldemar-Bargiel"} +{"d:Title": "Mehalah: a Story of the Salt Marshes", "d:Description": "Complete HTML e-text of novel.", "topic": "Top/Arts/Music/Composition/Composers/B/Baring-Gould,_Sabine", "url": "http://www.allthingsransome.net/literary/meh_top.htm"} +{"d:Title": "Songs of the West", "d:Description": "Includes biography, bibliography, discography, articles, and links.", "topic": "Top/Arts/Music/Composition/Composers/B/Baring-Gould,_Sabine", "url": "http://www.sbgsongs.org/"} +{"d:Title": "Never Completely Submerged - The Diary Of Sabine Baring-Gould.", "d:Description": "The story of the Squarson of Lewtrenchard as revealed in The Diary of Sabine Baring-Gould. Transcribed and set in context by Ron Wawman.", "topic": "Top/Arts/Music/Composition/Composers/B/Baring-Gould,_Sabine", "url": "http://www.nevercompletelysubmerged.co.uk/"} +{"d:Title": "John Barry: Film Composer", "d:Description": "Biography, career overview, and soundtrack links from mfiles.", "topic": "Top/Arts/Music/Composition/Composers/B/Barry,_John", "url": "http://www.mfiles.co.uk/Composers/John-Barry.htm"} +{"d:Title": "IMDb: John Barry", "d:Description": "Filmography with films he scored or which used his music plus biographical information, awards, and related links.", "topic": "Top/Arts/Music/Composition/Composers/B/Barry,_John", "url": "http://www.imdb.com/name/nm0000290/"} +{"d:Title": "Bart\u00f3k, B\u00e9la (1881 - 1945), Hungary", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://stevenestrella.com/composers/composerfiles/bartok1945.html"} +{"d:Title": "Bela Bartok", "d:Description": "Timeline in German and English with links to related sites.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.onlinekunst.de/maerz/25_03_2_Bartok_Bela.htm"} +{"d:Title": "B\u00e9la Bart\u00f3k Memorial House", "d:Description": "Budapest museum reconstructed from his home. Includes photo gallery and concert schedule. [English and Magyar.]", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.bartokmuseum.hu/"} +{"d:Title": "B\u00e9la Bart\u00f3k", "d:Description": "Listing at Boosey and Hawkes music publishers with summary information, photograph, biography, works list, news, performances, discography, new recordings, discography, and RAM audio samples.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2694"} +{"d:Title": "Bela Bartok Club", "d:Description": "Unmoderated Yahoo Launch email discussion group dedicated to the man and his music. Membership open to the public.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://launch.groups.yahoo.com/group/belabartokclub/"} +{"d:Title": "Bela-Bartok-No1-Fan", "d:Description": "Weblog by Christine Gleeson dedicated to the man and his works. Includes recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://bela-bartok-no1-fan.blogspot.com/"} +{"d:Title": "Bela Bartok", "d:Description": "Find A Grave listing of his Hungarian tomb in Farkasreti Cemetery with portrait photo, pictures of the grave site, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4241&pt=Bela+Bartok"} +{"d:Title": "Bela Bartok", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.classiccat.net/bartok_b/"} +{"d:Title": "B\u00e9la Bart\u00f3k: Early Ethnomusicologist", "d:Description": "Brief biography, quotes, selected works, discography, suggested reading, other resources, chronology, and related articles from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=67"} +{"d:Title": "B\u00e9la Bart\u00f3k", "d:Description": "Filmography showing cinematic and television use of his works and biographical material from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.imdb.com/name/nm0059388/"} +{"d:Title": "Kunst der Fuge | B\u00e9la Bart\u00f3k", "d:Description": "MIDI files (freely downloadable) of Sonata per piano, Roumanian folk Dances and other pieces; short biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.kunstderfuge.com/bartok.htm"} +{"d:Title": "B\u00e9la Bart\u00f3k", "d:Description": "Wikipedia article with biography, list of selected orchestral, choral, chamber, piano, and stage works, internal references to related people and topics, external links, and photograph.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://en.wikipedia.org/wiki/B%C3%A9la_Bart%C3%B3k"} +{"d:Title": "Classical Music Archives: B\u00e9la Bart\u00f3k", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Over 25 complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.classicalarchives.com/composer/2144.html"} +{"d:Title": "B\u00e9la Bart\u00f3k", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics in German and Magyar, some with English translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Bart\u00f3k,_B\u00e9la", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3318"} +{"d:Title": "Leslie Bassett Festival of Music", "d:Description": "October 6-7, 2001. Programs, picture, and biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bassett,_Leslie_Raymond", "url": "http://www-personal.umich.edu/~cyoungk/bassett.htm"} +{"d:Title": "Miscellaneous Songs by Bassett", "d:Description": "Several song cycles, some songs with text.", "topic": "Top/Arts/Music/Composition/Composers/B/Bassett,_Leslie_Raymond", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3321"} +{"d:Title": "Leslie Bassett", "d:Description": "Official site with the composer's biography and listing of compositions, recordings, and publishers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bassett,_Leslie_Raymond", "url": "http://www.lesliebassett.com/"} +{"d:Title": "Bax, Arnold (1883 - 1953), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bax,_Arnold_Edward_Trevor,_Sir", "url": "http://stevenestrella.com/composers/composerfiles/bax1953.html"} +{"d:Title": "Arnold Bax", "d:Description": "Filmography at IMDb includes films and television shows scored by him or using his music and a television movie based on his life.", "topic": "Top/Arts/Music/Composition/Composers/B/Bax,_Arnold_Edward_Trevor,_Sir", "url": "http://www.imdb.com/name/nm0062624/"} +{"d:Title": "Arnold Bax (1883-1953)", "d:Description": "Brief biography with summaries of film, orchestral, chamber, vocal and choral, and piano music and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bax,_Arnold_Edward_Trevor,_Sir", "url": "http://www.naxos.com/person/Michael_Bloss/75.htm"} +{"d:Title": "Arnold Bax", "d:Description": "Notes on music and its interpretation from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bax,_Arnold_Edward_Trevor,_Sir", "url": "http://musicalics.com/fr/compositeur/Arnold-Bax"} +{"d:Title": "Antonio Bazzini", "d:Description": "Entry with life, links to related artists and composers, and most noted works from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bazzini,_Antonio", "url": "http://musicalics.com/en/node/78787"} +{"d:Title": "Amy Beach", "d:Description": "Biography, career highlights, and information about selected works.", "topic": "Top/Arts/Music/Composition/Composers/B/Beach,_Amy_Marcy_Cheney", "url": "http://www.ibiblio.org/cheryb/women/abeach.html"} +{"d:Title": "Beach, Amy (1867 - 1944), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Beach,_Amy_Marcy_Cheney", "url": "http://stevenestrella.com/composers/composerfiles/beach1944.html"} +{"d:Title": "Amy Beach and the American Symphonic Movement", "d:Description": "Article by Adrienne Fried Block detailing the composer's ideas for a national voice in orchestral music, showing how she put these into practice in the \"Gaelic\" Symphony.", "topic": "Top/Arts/Music/Composition/Composers/B/Beach,_Amy_Marcy_Cheney", "url": "http://www.americancomposers.org/beach_article.htm"} +{"d:Title": "Classic Cat: Beach", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Beach,_Amy_Marcy_Cheney", "url": "http://www.classiccat.net/beach_a/"} +{"d:Title": "Amy Beach", "d:Description": "Listing from U. S. Opera with discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Beach,_Amy_Marcy_Cheney", "url": "http://www.usopera.com/composers/beach.html"} +{"d:Title": "Amy Marcy Beach", "d:Description": "Biography and links, Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Beach,_Amy_Marcy_Cheney", "url": "http://musicalics.com/en/node/78788"} +{"d:Title": "Amy Marcy Cheney Beach: Miscellaneous Songs", "d:Description": "List from recmusic.org of attributed works. Selected lieder include texts, some originally in English, others in original language with English translation.", "topic": "Top/Arts/Music/Composition/Composers/B/Beach,_Amy_Marcy_Cheney", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=174"} +{"d:Title": "Franz Beck", "d:Description": "Film credits from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Beck,_Franz_Ignaz", "url": "http://www.imdb.com/name/nm0065130/"} +{"d:Title": "Franz Beck (1734-1809)", "d:Description": "Biographical sketch, speculation on his reasons for leaving Mannheim, personal affairs, including his elopement, and details of his 20th Century Renaissance. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Beck,_Franz_Ignaz", "url": "http://www.naxos.com/person/Sebastian_Bluth/77.htm"} +{"d:Title": "Franz Ignaz Beck", "d:Description": "Life and musical highlights with related links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Beck,_Franz_Ignaz", "url": "http://musicalics.com/en/node/86885"} +{"d:Title": "The Unheard Beethoven", "d:Description": "MIDI files of the composer's less famous compositions.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.unheardbeethoven.org/"} +{"d:Title": "The Master: Ludwig Van Beethoven", "d:Description": "Catalog of works, biography, and letters.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://home.swipnet.se/zabonk/cultur/ludwig/index.htm"} +{"d:Title": "Lucare: Beethoven the Immortal", "d:Description": "Introduction and detailed account of the composer's life. Articles include his deafness, demeanor, daily routine, medical history, final days, and letters. MIDI recordings also available.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.lucare.com/immortal/"} +{"d:Title": "Beethoven: The Magnificent Master", "d:Description": "Biography, listing of works, picture gallery, creation histories, music criticism, other composers, and opera guides.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://raptusassociation.org/"} +{"d:Title": "Grave of Beethoven", "d:Description": "Photographs of the burial place of the composer in Vienna. The cemetery shows other notables sharing his resting place.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=72"} +{"d:Title": "Kunst der Fuge: Ludwig van Beethoven", "d:Description": "Includes a collection of MIDI files, biography from Grove, portrait and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.kunstderfuge.com/beethoven.htm"} +{"d:Title": "Mfiles: Ludwig van Beethoven", "d:Description": "Brief biography, links to related composers, and music examples in sheet music, MIDI, or MP3.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.mfiles.co.uk/Composers/Ludwig-van-Beethoven.htm"} +{"d:Title": "BBC News: Beethoven's Ninth Up for Sale", "d:Description": "The earliest-known draft of Beethoven's Ninth Symphony goes under the hammer with an estimated price tag of up \u00a3200,000.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/1931276.stm"} +{"d:Title": "Beethoven on Vintage Postcards", "d:Description": "Graphic image gallery and history of early 20th century European postcards depicting the composer. Biographical notes with images of some of the postcards.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.luckymojo.com/beethoven.html"} +{"d:Title": "Classic Cat: Beethoven", "d:Description": "Directory of mp3s that have been made available by their performing artists and links to related sites.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.classiccat.net/beethoven_l_van/"} +{"d:Title": "Beethoven Depot", "d:Description": "Catalog of works, information on the 9 symphonies, MIDI collection, message board and chat room, pictures, and biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.edepot.com/beethoven.html"} +{"d:Title": "All About Beethoven", "d:Description": "Major life events and informative influences, commentary on various works with sample notation, gallery of portraits and places, free sheet music in DOC format, advice on playing selected pieces, and links.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.all-about-beethoven.com/"} +{"d:Title": "Humanities Web: Ludwig Van Beethoven", "d:Description": "Brief biography, list of key works, a timeline, and quotes.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=b&ID=40"} +{"d:Title": "Beethoven's Symphony No. 5", "d:Description": "A flash presentation analyzing the first movement of Beethoven's Symphony No. 5 in C Minor.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://myweb.liu.edu/~jmeschi/Flash/b5/b5.htm"} +{"d:Title": "Sonatas for Piano Solo", "d:Description": "Interpretations of the composers piano sonatas, including the Appassionata and Moonlight sonatas.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.qedinteractive.com.au/html/jbc/bethvint.htm"} +{"d:Title": "Ludwig van Beethoven at Piano Society", "d:Description": "Biography from the Piano Society with photographs and free MP3 audio recordings of Beethoven's works.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://pianosociety.com/cms/index.php?section=102"} +{"d:Title": "Beethoven Reference Site", "d:Description": "Includes biography, pictures, a discussion, family tree, and complete list of works.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.lvbeethoven.co.uk/"} +{"d:Title": "Beethoven, the Man and the Artist, as Revealed in His Own Words", "d:Description": "Quotes and writings by the composer.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.gutenberg.org/ebooks/3528"} +{"d:Title": "IMDb: Beethoven Filmography", "d:Description": "Includes television shows and movies featuring his music and various productions based on his life.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.imdb.com/name/nm0002727/"} +{"d:Title": "Beethoven: Music and Information", "d:Description": "Biography, MIDI files, list of compositions and portraits.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://beethoven.stormloader.com/"} +{"d:Title": "Classical Net: Beethoven", "d:Description": "Basic repertoire list, MIDI files, and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.classical.net/music/comp.lst/beethoven.php"} +{"d:Title": "Classical Music Archives: Beethoven", "d:Description": "Over 800 works by the composer offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music. Timelines, search engine.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van", "url": "http://www.classicalarchives.com/composer/Ludwig_van_Beethoven?navID=0"} +{"d:Title": "Diabelli Variations: 34 Pianists", "d:Description": "Background notes on the piece in a performance guide from Northport, New York. Includes biographies of performers.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van/Diabelli_Variations", "url": "http://www.northportarts.org/diabelli.html"} +{"d:Title": "Diabelli Variations", "d:Description": "Entry from Wikipedia with links to related material and biographical information.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van/Diabelli_Variations", "url": "http://en.wikipedia.org/wiki/Diabelli_Variations"} +{"d:Title": "The Diabelli Variations: Ludwig van Beethoven", "d:Description": "Illustrated examination of the works with commentary on specific sections by Michelle Oliver.", "topic": "Top/Arts/Music/Composition/Composers/B/Beethoven,_Ludwig_van/Diabelli_Variations", "url": "http://www.lvbeethoven.com/Oeuvres_Presentation/Presentation-Variations-Diabelli.html"} +{"d:Title": "Bella, J\u00e1n Levoslav (1843 - 1936), Hungary", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bella,_J\u00e1n_Levoslav", "url": "http://stevenestrella.com/composers/composerfiles/bella1936.html"} +{"d:Title": "Celebrated Personalities: J\u00e1n Levoslav Bella", "d:Description": "Details of Slovakian postage stamp issued in his honor.", "topic": "Top/Arts/Music/Composition/Composers/B/Bella,_J\u00e1n_Levoslav", "url": "http://www.telecom.gov.sk/externe/znamky/1993/9310e.html"} +{"d:Title": "Bella, Jan Levoslav (1843 - 1936)", "d:Description": "Brief biography and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bella,_J\u00e1n_Levoslav", "url": "http://www.naxos.com/person/Jan_Levoslav_Bella/25978.htm"} +{"d:Title": "Bellini, Vincenzo (1801 - 1835), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://stevenestrella.com/composers/composerfiles/bellini1835.html"} +{"d:Title": "Grave of Vincenzo Bellini", "d:Description": "Find a Grave brief biography with photographs of his tomb in Catania Church, Catania, Italy.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8479"} +{"d:Title": "Vincenzo Bellini", "d:Description": "Wikipedia article with life, works, important operas, other Bel Canto composers, and additional internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://en.wikipedia.org/wiki/Vincenzo_Bellini"} +{"d:Title": "Vincenzo Bellini", "d:Description": "List of films using his music, including the 1935 biographical movie Casta Diva, from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://www.imdb.com/name/nm0069057/"} +{"d:Title": "Bel Canto: The Opera of Vincenzo Bellini", "d:Description": "Portrait, chronology, quotes, suggested reading and recordings, and further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=113"} +{"d:Title": "Classical Music Archives: Vincenzo Bellini", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://www.classicalarchives.com/composer/2160.html"} +{"d:Title": "Vincenzo Salvatore Carmelo Francesco Bellini", "d:Description": "Listing of operas with links to details of La Sonnambula, creators of roles, portraits, and related sites. Includes bibliographic references.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://opera.stanford.edu/Bellini/"} +{"d:Title": "Bellini, Vincenzo (1801 - 1835)", "d:Description": "Brief biography, caricature, summary of operas and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://www.naxos.com/person/Peter_Bondesen/83.htm"} +{"d:Title": "Vincenzo Bellini", "d:Description": "Recommended works and recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://www.classical.net/music/comp.lst/bellini.php"} +{"d:Title": "Vincenzo Bellini", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, most having English translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Bellini,_Vincenzo_Salvatore_Carmelo_Francesco", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3364"} +{"d:Title": "Benda, Franz", "d:Description": "Brief biographical sketch noting relationship with the Bach family from Timothy A. Smith's Sojourn pages.", "topic": "Top/Arts/Music/Composition/Composers/B/Benda,_Frantisek", "url": "http://jan.ucc.nau.edu/~tas3/benda.html"} +{"d:Title": "Franz [Frantisek] Benda", "d:Description": "Biography with family background, education, and links to related composers and topics from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Benda,_Frantisek", "url": "http://www.hoasm.org/XID/Benda.html"} +{"d:Title": "Benda, Jan Jiri (1686 - 1757)", "d:Description": "Biographical sketch of the patriarch of the noted Bohemian-German family of composers and musicians. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Benda,_Jan_Jiri", "url": "http://www.naxos.com/person/Jan_Jiri_Benda/23350.htm"} +{"d:Title": "Georg (Anton) [Jir\u00ed Antonin] Benda", "d:Description": "Biography noting family connections and showing his work in the Prussian court from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Benda,_Jiri_Antonin", "url": "http://www.hoasm.org/XID/BendaG.html"} +{"d:Title": "Benda, Georg (1722-1795)", "d:Description": "Biographical sketch, portrait, musical history, noted works, family relationships, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Benda,_Jiri_Antonin", "url": "http://www.naxos.com/person/Georg_Benda/25980.htm"} +{"d:Title": "Victor Bendix, Danish composer (1851-1926)", "d:Description": "Brief biography with photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/B/Bendix,_Victor", "url": "http://www.dacapo-records.dk/en/artist-victor-bendix.aspx"} +{"d:Title": "Brian Benison Music", "d:Description": "Filmography, credits and music samples of Brian Benison, composer for film, television and theatre.", "topic": "Top/Arts/Music/Composition/Composers/B/Benison,_Brian", "url": "http://www.bigandloud.com/"} +{"d:Title": "Brian Benison page on SilentEra.com", "d:Description": "Contemporary composer of music for silent films - with links to DVDs featuring his soundtracks.", "topic": "Top/Arts/Music/Composition/Composers/B/Benison,_Brian", "url": "http://www.silentera.com/people/musicians/Benison-Brian.html"} +{"d:Title": "Benison, Brian", "d:Description": "Filmography of composer and orchestrator Brian Benison in the Internet Movie DataBase", "topic": "Top/Arts/Music/Composition/Composers/B/Benison,_Brian", "url": "http://www.imdb.com/name/nm0003668/"} +{"d:Title": "Benjamin, Arthur (1893 - 1960), Australia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Benjamin,_Arthur", "url": "http://stevenestrella.com/composers/composerfiles/benjamin1960.html"} +{"d:Title": "Boosey&Hawkes: Arthur Benjamin", "d:Description": "(1893-1960) Picture, biography, work list, discography, and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/B/Benjamin,_Arthur", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2779&langid=1&ttype=BIOGRAPHY&ttitle=BiographyBoosey+&+Hawkes"} +{"d:Title": "Benjamin: Australian Symphonist", "d:Description": "Biographical essay and music study are part of this CD review by Rob Barnett for Music on the Web(UK). Includes quotes, noted works, and related composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Benjamin,_Arthur", "url": "http://www.musicweb-international.com/classrev/2000/dec00/ArthurBenjamin.htm"} +{"d:Title": "Benjamin, Arthur (1893 - 1960)", "d:Description": "Brief biography and caricature with summary of orchestral music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Benjamin,_Arthur", "url": "http://www.naxos.com/person/Arthur_Benjamin/25982.htm"} +{"d:Title": "Arthur Benjamin", "d:Description": "Biographical background, list of movies scored by him or using his music, and supplemental film material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Benjamin,_Arthur", "url": "http://www.imdb.com/name/nm0071280/"} +{"d:Title": "Australian Music Centre: Arthur Benjamin", "d:Description": "Biography and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Benjamin,_Arthur", "url": "http://www.australianmusiccentre.com.au/artist/benjamin-arthur"} +{"d:Title": "Move Records: Arthur Benjamin", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Benjamin,_Arthur", "url": "http://www.move.com.au/artist/arthur-benjamin"} +{"d:Title": "Bennet, John (c. 1570 - c. 1614), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennet,_John", "url": "http://stevenestrella.com/composers/composerfiles/bennet1614.html"} +{"d:Title": "HOASM: John Bennet", "d:Description": "Biography and partial discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennet,_John", "url": "http://www.hoasm.org/IVM/Bennet.html"} +{"d:Title": "Classical Music Archives: John Bennet", "d:Description": "Biography and audio files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennet,_John", "url": "http://www.classicalarchives.com/composer/2168.html"} +{"d:Title": "Bennet, John (?1575 - 1614?)", "d:Description": "Brief biographical sketch and summary of his vocal music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennet,_John", "url": "http://www.naxos.com/person/John_Bennet/25983.htm"} +{"d:Title": "Naxos Music Library", "d:Description": "Categorized by genre.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennett,_Robert_Russell", "url": "http://www.naxosmusiclibrary.com/"} +{"d:Title": "Robert Russell Bennett", "d:Description": "Biographical information, trivia, list of film music he orchestrated, arranged, or composed, awards and honors, and related links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Bennett,_Robert_Russell", "url": "http://www.imdb.com/name/nm0072021/"} +{"d:Title": "Naxo: Bennet, Robert Russell", "d:Description": "Biographical sketch, photograph, and brief examination of his orchestrations.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennett,_Robert_Russell", "url": "http://www.naxos.com/person/Robert_Russell_Bennett_25985/25985.htm"} +{"d:Title": "Bennett, William Sterndale (1816 - 1875), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennett,_William_Sterndale", "url": "http://stevenestrella.com/composers/composerfiles/bennett1875.html"} +{"d:Title": "Grave of William Sterndale Bennett", "d:Description": "Find a Grave biographical sketch and photograph of tomb in Westminster Abbey. Includes link to others encrypted in the church.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennett,_William_Sterndale", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20771"} +{"d:Title": "Bennett, William Sterndale (1816 - 1875)", "d:Description": "Brief biography and caricature with summary of orchestral music and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennett,_William_Sterndale", "url": "http://www.naxos.com/person/William_Sterndale_Bennett/25986.htm"} +{"d:Title": "Miscellaneous songs by W. Bennett", "d:Description": "A list of songs, some with texts.", "topic": "Top/Arts/Music/Composition/Composers/B/Bennett,_William_Sterndale", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3377"} +{"d:Title": "Miscellaneous Songs by Bentzon", "d:Description": "A list of three songs.", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_J\u00f8rgen_Liebenberg", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3382"} +{"d:Title": "Niels Viggo Bentzon", "d:Description": "Filmography including compositional, writing, directorial, acting, and musician credits from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_Niels_Viggo", "url": "http://www.imdb.com/name/nm0073022/"} +{"d:Title": "Niels Viggo Bentzon (1919-2000) Piano Works", "d:Description": "CD review with biographic sketch and analysis of his overall musical ability.", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_Niels_Viggo", "url": "http://www.musicweb-international.com/classrev/2001/Apr01/bentzon.htm"} +{"d:Title": "Bentzon, Niels Viggo", "d:Description": "(1919-2000) Picture, biography, work list, performances, discography, and an essay.", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_Niels_Viggo", "url": "http://www.musicsalesclassical.com/composer/short-bio/103"} +{"d:Title": "Niels Viggo Bentzon, Danish composer (b. 1919)", "d:Description": "Brief biography with photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_Niels_Viggo", "url": "http://www.dacapo-records.dk/en/artist-niels-viggo-bentzon.aspx"} +{"d:Title": "Niels Viggo Bentzon (1919-2000)", "d:Description": "Brief biography and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_Niels_Viggo", "url": "http://www.naxos.com/person/Milan_Brunner/94.htm"} +{"d:Title": "Nikolaj Bentzon", "d:Description": "Official site. Includes various ensembles, biography, photographs, concert schedule, news and contact information. [May not load well in all browsers.]", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_Nikolaj", "url": "http://www.nikolajbentzon.com/"} +{"d:Title": "Nikolaj Bentzon", "d:Description": "Brief filmography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bentzon,_Nikolaj", "url": "http://www.imdb.com/name/nm1775012/"} +{"d:Title": "Berezowsky (Nicolai) Scores, [ca. 1916 - ca. 1953]", "d:Description": "Works catalogued and held by the New York Public Library Digital Library Collections. Includes brief biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Berezowsky,_Nicolai_Tikhonovich", "url": "http://digilib.nypl.org/dynaweb/ead/nypl/musberezo/@Generic__BookView"} +{"d:Title": "Polish Institute of Arts and Sciences of America: Berezowsky Nicolai Papers,(1929-) 1933-1953", "d:Description": "List of holdings, including official and private correspondence, personal papers, private correspondence, and press clippings.", "topic": "Top/Arts/Music/Composition/Composers/B/Berezowsky,_Nicolai_Tikhonovich", "url": "http://www.piasa.org/archives/pin032.html"} +{"d:Title": "Nicolai Berezowsky Papers", "d:Description": "Collection from the Columbia University Library with biography, noting correspondence, subject files, photographs, clippings, and scores.", "topic": "Top/Arts/Music/Composition/Composers/B/Berezowsky,_Nicolai_Tikhonovich", "url": "http://www.columbia.edu/cu/lweb/eresources/archives/rbml/Berezowsky/"} +{"d:Title": "Berg, Alban (1885 - 1935), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://stevenestrella.com/composers/composerfiles/berg1935.html"} +{"d:Title": "Alban Berg", "d:Description": "Biography, links, and directory of free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://www.classiccat.net/berg_a/"} +{"d:Title": "Alban Berg", "d:Description": "Wikipedia article with life and work, compositions, bibliography, portrait, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://en.wikipedia.org/wiki/Alban_Berg"} +{"d:Title": "Alban Berg", "d:Description": "Find A Grave entry with birth and death dates, comments on his lyricism, cemetery information, portraits, picture of tomb, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1294&pt=Alban+Berg"} +{"d:Title": "Classical Music Archives: Alban Berg", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://www.classicalarchives.com/composer/2173.html"} +{"d:Title": "Alban Berg", "d:Description": "Detailed musical biography with caricature and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://www.naxos.com/person/Sine_Bungaard/95.htm"} +{"d:Title": "Berg, Alban (1885 - 1935)", "d:Description": "Brief biography and caricature with summaries of his operatic and orchestral music.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://www.naxos.com/person/Alban_Berg_25989/25989.htm"} +{"d:Title": "Alban Berg", "d:Description": "Entry at the Lied and Art Songs Text Page listing vocal works linked to lyrics, many in English and other translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Alban_Maria_Johannes", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3386"} +{"d:Title": "CD Spotlight: Precocious talent - The Music of Fred Jonny Berg", "d:Description": "Review from Music and Vision's CD Spotlight for the albums About My Grandfather and Seasons of Life with personal information and RAM audio selections.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Fred_Jonny", "url": "http://www.mvdaily.com/articles/2004/05/fjberg1.htm"} +{"d:Title": "Fred Jonny Berg", "d:Description": "Summary of works, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Fred_Jonny", "url": "http://musicalics.com/en/composer/Fred-Jonny-Berg"} +{"d:Title": "Gunnar Berg (1909-1989)", "d:Description": "Brief biography showing musical progression, and reputation in Denmark and abroad from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/B/Berg,_Gunnar_Johnsen", "url": "http://www.dacapo-records.dk/en/artist-gunnar-berg.aspx"} +{"d:Title": "Ludwig Berger", "d:Description": "Brief musical biography with selected works, influences, and noted piano students from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Berger,_Ludwig", "url": "http://musicalics.com/en/node/81968"} +{"d:Title": "Miscellaneous Lieder by L. Berger", "d:Description": "Goethe verses which he set to music from recmusic.org's collection. [German, English, French]", "topic": "Top/Arts/Music/Composition/Composers/B/Berger,_Ludwig", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3391"} +{"d:Title": "Theodor Berger (1905-1992): Orchestral Works", "d:Description": "Review from June 2001 MusicWeb(UK) includes background information with portrait on CD cover.", "topic": "Top/Arts/Music/Composition/Composers/B/Berger,_Theodor", "url": "http://www.musicweb-international.com/classrev/2001/June01/Berger.htm"} +{"d:Title": "Andreas Peter Berggreen", "d:Description": "Listing with Find a Grave includes portrait and interactive eulogy.", "topic": "Top/Arts/Music/Composition/Composers/B/Berggreen,_Andreas_Peter", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6687230"} +{"d:Title": "Andreas Peter Berggreen", "d:Description": "Biography, portrait, and music sample in MIDI format from the Cyberhymnal.", "topic": "Top/Arts/Music/Composition/Composers/B/Berggreen,_Andreas_Peter", "url": "http://www.hymntime.com/tch/bio/b/e/r/berggreen_ap.htm"} +{"d:Title": "Erik Bergman", "d:Description": "Motion picture composition credits from the Internet Movie Database (IMDB). Includes movie reviews.", "topic": "Top/Arts/Music/Composition/Composers/B/Bergman,_Erik_Valdemar", "url": "http://www.imdb.com/name/nm0074766/"} +{"d:Title": "The Singing Tree", "d:Description": "Cast of characters, instrumentation, and summary of reviews of the opera from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/B/Bergman,_Erik_Valdemar", "url": "http://www.musicsalesclassical.com/composer/work/107/11401"} +{"d:Title": "Miscellaneous Songs by Erik Bergman", "d:Description": "List from recmusic.org of songs he has set to music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bergman,_Erik_Valdemar", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3396"} +{"d:Title": "Bergsma, William", "d:Description": "(1921-1994) University of Washington composer of international renown. Biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bergsma,_William_Laurence", "url": "http://www.washington.edu/research/showcase/1963a.html"} +{"d:Title": "Miscellaneous Songs by Bergsma", "d:Description": "List of songs and song cycles, some with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bergsma,_William_Laurence", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3397"} +{"d:Title": "Berio, Luciano (1925 -2003 ), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Berio,_Luciano", "url": "http://stevenestrella.com/composers/composerfiles/berioliving.html"} +{"d:Title": "Kunst der Fuge | Luciano Berio", "d:Description": "Short biography by Alessandro Simonetto, links to music.", "topic": "Top/Arts/Music/Composition/Composers/B/Berio,_Luciano", "url": "http://www.kunstderfuge.com/bios/berio.html"} +{"d:Title": "Berio, Luciano (1925 - 2003)", "d:Description": "Brief biographical sketch and summaries of his instrumental and vocal music.", "topic": "Top/Arts/Music/Composition/Composers/B/Berio,_Luciano", "url": "http://www.naxos.com/person/Lionel_Hampton_2419/2419.htm"} +{"d:Title": "B\u00e9riot, Charles Auguste de (1802 - 1870), Belgium", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/B\u00e9riot,_Charles-Auguste_de", "url": "http://stevenestrella.com/composers/composerfiles/beriot1870.html"} +{"d:Title": "B\u00e9riot, Charles-Auguste de (1802 - 1870)", "d:Description": "Brief biographical sketch and caricature with summary of his violin concertos and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/B/B\u00e9riot,_Charles-Auguste_de", "url": "http://www.naxos.com/person/Charles_Auguste_de_Beriot/22765.htm"} +{"d:Title": "Lennox Berkeley", "d:Description": "Filmography noting cinematic use of his works with some biographical information from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.imdb.com/name/nm0075340/"} +{"d:Title": "Lennox Berkeley Society", "d:Description": "Official site. Biography, works, discography, society membership, news, picture gallery, and feedback.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.lennoxberkeley.org.uk/"} +{"d:Title": "Lennox Berkeley", "d:Description": "Wikipedia article with major works, influences, and internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://en.wikipedia.org/wiki/Lennox_Berkeley"} +{"d:Title": "Berkeley, Lennox", "d:Description": "Entry from the Gilder-MusicWeb Dictionary of Composers with brief biography, major honors,and works list.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.musicweb-international.com/Classpedia/Berkeley_L.htm"} +{"d:Title": "Lennox Berkeley", "d:Description": "Biography, work list, and discussions of the music.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.musicweb-international.com/berkleyl/lberkley.htm"} +{"d:Title": "Sir Lennox Berkeley", "d:Description": "Article by Peter Dickinson for MusicWeb with detailed biography and commentary on his works plus links to works list, comments by Francis Routh, and an extended interview with illustrations by John France.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.musicweb-international.com/berkleyl/"} +{"d:Title": "Sir Lennox Berkeley", "d:Description": "Complimentary article by David Wright for MusicWeb talks of his relationships with other composers, his religion, and the quality of his output. Includes links.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.musicweb-international.com/berkleyl/wright.htm"} +{"d:Title": "Sir Lennox Randal Francis Berkeley (1903-1989), Composer", "d:Description": "List of pictures in Britain's National Portrait Gallery with him as subject. Most include link to an image.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.npg.org.uk/collections/search/person.php?LinkID=mp00393"} +{"d:Title": "Berkeley, Lennox (1903-1990)", "d:Description": "Brief biographical sketch, outline of career and major works, comments from him about composing religious music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.naxos.com/person/Lennox_Berkeley/22781.htm"} +{"d:Title": "Sir Lennox Berkeley (1903-1989)", "d:Description": "Listing at The Lied and Art Song Texts Page with lyrics to vocal works.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Lennox_Randall_Francis,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3399"} +{"d:Title": "Michael Berkeley", "d:Description": "Filmography noting movies scored and other cinematic use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Michael", "url": "http://www.imdb.com/name/nm0075345/"} +{"d:Title": "Michael Berkeley", "d:Description": "Wikipedia entry with noted works, special accomplishments, and internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Michael", "url": "http://en.wikipedia.org/wiki/Michael_Berkeley"} +{"d:Title": "Michael Berkeley", "d:Description": "Brief biography, photograph, and works list from MusicWeb(UK).", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Michael", "url": "http://www.musicweb-international.com/berkleym/index.htm"} +{"d:Title": "Michael Berkeley", "d:Description": "Biography and photograph from Oxford University Press. Includes major events, noted works, influences, comments on his evolving style, catalogue of works, discography, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Michael", "url": "http://ukcatalogue.oup.com/category/music/composers/berkeley.do"} +{"d:Title": "Sunday Morning: Michael Berkeley", "d:Description": "Discussion in January 2002 with Radio National (Australia) host Julie Copeland about his career and his involvement with the Sydney Music Festival.", "topic": "Top/Arts/Music/Composition/Composers/B/Berkeley,_Michael", "url": "http://www.abc.net.au/rn/legacy/programs/sunmorn/stories/s466739.htm"} +{"d:Title": "Berlioz, Hector", "d:Description": "Includes biography, catalogs of musical and literary works, of works, and articles [English, French]", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.hberlioz.com/"} +{"d:Title": "Berlioz, Hector (1803 - 1869), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://stevenestrella.com/composers/composerfiles/berlioz1869.html"} +{"d:Title": "Louis Hector Berlioz", "d:Description": "Biographical information noting unlikely beginnings, financial struggles, critical acclaim, depression and withdrawal from composing, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.pianoinstructors.com/musichistory/berlioz.html"} +{"d:Title": "Les Troyens", "d:Description": "Information about performances and recordings of Les Troyens.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.johnrpierce.com/troyens.html"} +{"d:Title": "Berlioz in Paris", "d:Description": "A reconstruction of Berlioz's Paris, using original photos of and commentary on buildings associated with Berlioz.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.hberlioz.com/Paris/BerliozParis.html"} +{"d:Title": "Berlioz Music Scores", "d:Description": "Scores which may be both viewed and played on line as midi files.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.hberlioz.com/Scores/BerliozScores.html"} +{"d:Title": "Aesthetic Realism, Hector Berlioz, and Anger&Tenderness", "d:Description": "Essay: Berlioz was passionate yet suffered from not knowing the difference between good and bad anger, true and false tenderness.--Edward Green", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://edgreenmusic.org/Berlioz.html"} +{"d:Title": "Hector Berlioz", "d:Description": "Wikipedia article with biography, legacy, musical influence and works, literary accomplishments, publication, internal references to related people and topics, links, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://en.wikipedia.org/wiki/Hector_Berlioz"} +{"d:Title": "Hector Berlioz", "d:Description": "Find A Grave listing with birth and death dates, summary of important works, portrait photo, pictures of tomb, cemetery information, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=89&pt=Hector+Berlioz"} +{"d:Title": "Hector Berlioz", "d:Description": "Filmography showing cinematic use of his works with biography and trivia from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.imdb.com/name/nm0075685/"} +{"d:Title": "Hector Berlioz: Episodes in the Life of an Artist", "d:Description": "Biography, key works, timeline, quotes, recommended recordings, and suggested further resources.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=44"} +{"d:Title": "Classical Music Archives: Hector Berlioz", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Over 20 complete works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.classicalarchives.com/composer/2175.html"} +{"d:Title": "Hector Berlioz", "d:Description": "Biographical sketch tracing his movement from outsider to practical definition of French Romanticism, summaries of orchestral, choral, and operatic works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.naxos.com/person/Vilmos_Buza/99.htm"} +{"d:Title": "Berlioz, Hector (1803 - 1869)", "d:Description": "Brief biography and caricature with summaries of orchestral music, choral works, and operas with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.naxos.com/person/Hector_Berlioz_25992/25992.htm"} +{"d:Title": "Hector Berlioz", "d:Description": "Biography, pictures, and links from the Internet Public Library's Music History 102.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.ipl.org/div/mushist/rom/berlioz.html"} +{"d:Title": "Hector Berlioz", "d:Description": "The Lied and Song Texts Page has the lyrics of 27 Berlioz m\u00e9lodies and chansons, some with an English translation.", "topic": "Top/Arts/Music/Composition/Composers/B/Berlioz,_Louis_Hector", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3401"} +{"d:Title": "Miscellaneous Songs by Matvei Ivanovich Bernard", "d:Description": "Listing from recmusic.org includes text of Pushkin's Dorozhnyje zhaloby, which he set to music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernard,_Matvey_Ivanovich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=13987"} +{"d:Title": "Christoph Bernhard", "d:Description": "Biography with background information on life and studies, accomplishments, and links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernhard,_Christoph", "url": "http://www.hoasm.org/VIG/Bernhard.html"} +{"d:Title": "Elmer Bernstein", "d:Description": "Official site. Includes biography, photographs, awards, discography, filmography, television, other works, news and events, and related links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Elmer", "url": "http://www.elmerbernstein.com/"} +{"d:Title": "Elmer Bernstein - film composer", "d:Description": "This is the mfiles page for composer Elmer Bernstein, with career overview and links to soundtrack reviews and related composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Elmer", "url": "http://www.mfiles.co.uk/Composers/Elmer-Bernstein.htm"} +{"d:Title": "Elmer Bernstein", "d:Description": "Filmography at IMDb with composer, conductor, music director, and actor credits for more than 200 films.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Elmer", "url": "http://www.imdb.com/name/nm0000930/"} +{"d:Title": "Leonard Bernstein", "d:Description": "Official site. Includes background information, correspondence, scores, concert memorabilia, excerpted audio files, working notes, biographical details, photographs, recordings, items for sale, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://www.leonardbernstein.com/"} +{"d:Title": "Bernstein, Leonard (1918 - 1990), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://stevenestrella.com/composers/composerfiles/bernstein1990.html"} +{"d:Title": "Leonard Bernstein - conductor and composer", "d:Description": "This is the mfiles page for Leonard Bernstein, with career overview and links to soundtrack reviews and related composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://www.mfiles.co.uk/Composers/Leonard-Bernstein.htm"} +{"d:Title": "Arias and Bacarolles: Leonard Bernstein", "d:Description": "Homage featuring biography, classical and theater works, features, newspaper articles, MIDI audio files, and links.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://www.spingal.plus.com/"} +{"d:Title": "Topix: Leonard Bernstein", "d:Description": "News about Leonard Bernstein, collected from various sources on the web.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://www.topix.com/rss/who/leonard-bernstein.xml"} +{"d:Title": "Classical Music Archives: Leonard Bernstein", "d:Description": "Biography from the Concise Oxford Dictionary of Music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://www.classicalarchives.com/composer/2178.html"} +{"d:Title": "iTunes Preview: Leonard Bernstein", "d:Description": "Extended biography with links to related composers, conductors, and orchestras. Includes discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://itunes.apple.com/us/artist/leonard-bernstein/id269390"} +{"d:Title": "Leonard Bernstein", "d:Description": "Filmography at the Internet Movie Database with television and motion picture credits and links.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://www.imdb.com/name/nm0077086/"} +{"d:Title": "Bernstein, Leonard (1918 - 1990)", "d:Description": "Brief biography and caricature with summaries of stage, orchestral, and choral works.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://www.naxos.com/person/Leonard_Bernstein_21045/21045.htm"} +{"d:Title": "The Leonard Bernstein Collection", "d:Description": "U.S. Library of Congress special collection.", "topic": "Top/Arts/Music/Composition/Composers/B/Bernstein,_Leonard", "url": "http://memory.loc.gov/ammem/collections/bernstein/"} +{"d:Title": "Antonio Bertali", "d:Description": "Biographical sketch and links to purchase sheet music, books, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/B/Bertali,_Antonio", "url": "http://www.grainger.de/music/composers/bertali.html"} +{"d:Title": "Gary Bertini", "d:Description": "List of movie music work from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Bertini,_Gary", "url": "http://www.imdb.com/name/nm0078119/"} +{"d:Title": "Berwald, Franz Adolf (1796 - 1868), Sweden", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Berwald,_Franz_Adolf", "url": "http://stevenestrella.com/composers/composerfiles/berwald1868.html"} +{"d:Title": "Grave of Franz Berwald", "d:Description": "Find a Grave picture of his grave with link to Norra begravningsplatsen (The Northern Cemetery) in Stockholm, Sweden, showing other notables sharing his burial place.", "topic": "Top/Arts/Music/Composition/Composers/B/Berwald,_Franz_Adolf", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8883"} +{"d:Title": "Classical Archives: Franz Berwald", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Berwald,_Franz_Adolf", "url": "http://www.classicalarchives.com/composer/2184.html"} +{"d:Title": "Berwald, Franz (1796- 1868)", "d:Description": "Brief biography and caricature with summary of orchestral works and suggested recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Berwald,_Franz_Adolf", "url": "http://www.naxos.com/person/Franz_Berwald/25996.htm"} +{"d:Title": "Franz Berwald", "d:Description": "Portrait, major works in chamber music and symphonies, and links from Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/B/Berwald,_Franz_Adolf", "url": "http://www.classical.net/music/comp.lst/berwald.php"} +{"d:Title": "Franz Berwald", "d:Description": "Short biography emphasizing his symphonies and his musical abilities from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Berwald,_Franz_Adolf", "url": "http://musicalics.com/en/node/78829"} +{"d:Title": "Biber, Heinrich", "d:Description": "Brief biography and caricature with analysis of his instrumental music.", "topic": "Top/Arts/Music/Composition/Composers/B/Biber,_Heinrich_Ignaz_Franz_von", "url": "http://www.naxos.com/person/Heinrich_Ignaz_Franz_von_Biber/24291.htm"} +{"d:Title": "William Billings", "d:Description": "Radio transcript and RAM audio of radio broadcast from the show Engines of Our Ingenuity. Features biography and musical and cultural impact.", "topic": "Top/Arts/Music/Composition/Composers/B/Billings,_William", "url": "http://www.uh.edu/engines/epi1188.htm"} +{"d:Title": "Classical Archives: William Billings", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Billings,_William", "url": "http://www.classicalarchives.com/composer/2192.html"} +{"d:Title": "William Billings", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Billings,_William", "url": "http://www.factmonster.com/encyclopedia/people/billings-william.html"} +{"d:Title": "The Psalm-singer's Amusement", "d:Description": "Scans of book \"containing a number of fuging pieces and anthems\": various formats at the Internet Archive.", "topic": "Top/Arts/Music/Composition/Composers/B/Billings,_William/Works", "url": "http://archive.org/details/psalmsingersamus00bill"} +{"d:Title": "The Suffolk Harmony", "d:Description": "Page scans of 1786 publication: various formats at the Internet Archive.", "topic": "Top/Arts/Music/Composition/Composers/B/Billings,_William/Works", "url": "http://archive.org/details/imslp-suffolk-harmony-billings-william"} +{"d:Title": "Music in Miniature", "d:Description": "\"Containing a collection of Psalm Tunes in various metres set in score by W. Billings\": page scans in various formats at the Internet Archive.", "topic": "Top/Arts/Music/Composition/Composers/B/Billings,_William/Works", "url": "http://archive.org/details/imslp-in-miniature-billings-william"} +{"d:Title": "The Continental Harmony", "d:Description": "Page scans of 1794 tunebook containing anthems and hymn tunes: page scans in various formats at the Internet Archive.", "topic": "Top/Arts/Music/Composition/Composers/B/Billings,_William/Works", "url": "http://archive.org/details/imslp-continental-harmony-billings-william"} +{"d:Title": "Binchois, Gilles (c. 1400 - 1460), Belgium", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Binchois,_Gilles_de_Bins", "url": "http://stevenestrella.com/composers/composerfiles/binchois1460.html"} +{"d:Title": "Gilles Binchois", "d:Description": "Biographical information and links from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/B/Binchois,_Gilles_de_Bins", "url": "http://www.classical.net/music/comp.lst/acc/binchois.php"} +{"d:Title": "Binge, Ronald (1910 - 1979), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Binge,_Ronald", "url": "http://stevenestrella.com/composers/composerfiles/binge1979.html"} +{"d:Title": "Binge, Ronald (1910 - 1979)", "d:Description": "Biographical sketch and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/B/Binge,_Ronald", "url": "http://www.naxos.com/person/Ronald_Binge/25997.htm"} +{"d:Title": "Braunarts: Harrison Birtwistle", "d:Description": "Includes interview and a discussion of the opera \"The Mask of Orpheus.\"", "topic": "Top/Arts/Music/Composition/Composers/B/Birtwistle,_Harrison", "url": "http://www.braunarts.com/birtwistle/"} +{"d:Title": "Harrison Birtwistle", "d:Description": "Introduction to works called \"raw and visceral\" with reviews including The Mask of Orpheus, The Triumph of Time, and Earth Dances. By Gavin Thomas; reprinted by Composition Today.", "topic": "Top/Arts/Music/Composition/Composers/B/Birtwistle,_Harrison", "url": "http://www.compositiontoday.com/articles/birtwistle.asp"} +{"d:Title": "Grave of Sir Henry Rowley Bishop", "d:Description": "Find a Grave pictures of his tomb with brief biography and link to cemetery with listing of others buried there.", "topic": "Top/Arts/Music/Composition/Composers/B/Bishop,_Henry_Rowley,_Sir", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8181"} +{"d:Title": "H.R. Bishop", "d:Description": "List of movies using his songs or other music from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Bishop,_Henry_Rowley,_Sir", "url": "http://www.imdb.com/name/nm0084060/"} +{"d:Title": "Bishop, Henry (1786 - 1855)", "d:Description": "Brief biographical sketch and caricature with summary of his vocal music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bishop,_Henry_Rowley,_Sir", "url": "http://www.naxos.com/person/Henry_Bishop/24553.htm"} +{"d:Title": "Miscellaneous Songs by Henry Rowley Bishop", "d:Description": "Listing from recmusic.org of songs he set to music, some with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bishop,_Henry_Rowley,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3438"} +{"d:Title": "Grave of Georges Bizet", "d:Description": "Find a Grave photographs of the burial place of the composer in Vienna. Link to the cemetery shows other notables sharing his resting place.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=96"} +{"d:Title": "Georges Bizet: An Overview", "d:Description": "This is the mfiles page for Georges Bizet, with brief biography, major works, links to related composer, and sheet music examples.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.mfiles.co.uk/Composers/Georges-Bizet.htm"} +{"d:Title": "Georges Bizet", "d:Description": "Biography, links, and directory of MP3 audio downloads from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.classiccat.net/bizet_g/"} +{"d:Title": "Georges Bizet", "d:Description": "Wikipedia article with portrait photo, internal references to related people and topics, and links. Emphasis on his operatic works and pianistic virtuosity.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://en.wikipedia.org/wiki/Georges_Bizet"} +{"d:Title": "Georges Alexandre-C\u00e9sar-L\u00e9opold Bizet", "d:Description": "List of operas with premiere dates and lyricists, other works, bibliography, and further information and libretti for Carmen and Djamileh.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://opera.stanford.edu/Bizet/main.html"} +{"d:Title": "Georges Bizet: A Belated Success", "d:Description": "Biography, selected works, quotes, recommended reading and recordings, other resources, and chronology from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=53"} +{"d:Title": "Classical Music Archives: Georges Bize", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Over 45 complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.classicalarchives.com/composer/2196.html"} +{"d:Title": "Georges Bizet", "d:Description": "List of movies based on or otherwise using his music, film reviews, biography and trivia, and related information from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.imdb.com/name/nm0005964/"} +{"d:Title": "Georges Bizet", "d:Description": "Brief biographical sketch, caricature, summaries of stage, orchestral, and piano works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.naxos.com/person/Meirion_Carey/106.htm"} +{"d:Title": "Bizet, Georges", "d:Description": "Brief biographical sketch, caricature, comments on his operatic and stage, orchestral, and piano works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.naxos.com/person/Georges_Bizet/25998.htm"} +{"d:Title": "Georges Bizet", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, including many with English translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Bizet,_Georges_Alexandre_C\u00e9sar_L\u00e9opold", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3441"} +{"d:Title": "Blacher, Boris (1903 - 1975), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Blacher,_Boris", "url": "http://stevenestrella.com/composers/composerfiles/blacher1975.html"} +{"d:Title": "Art Songs by Blacher", "d:Description": "List of songs and song cycles, some with texts.", "topic": "Top/Arts/Music/Composition/Composers/B/Blacher,_Boris", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3444"} +{"d:Title": "David Blake", "d:Description": "Biography, photograph, and noted works from the York Symphony Orchestra.", "topic": "Top/Arts/Music/Composition/Composers/B/Blake,_David_Leonard", "url": "http://www.yso.org.uk/biographies/blake.html"} +{"d:Title": "Allan Blank", "d:Description": "Profile and black and white photograph.", "topic": "Top/Arts/Music/Composition/Composers/B/Blank,_Allan", "url": "http://www3.uakron.edu/ssma/composers/Blank.shtml"} +{"d:Title": "Bliss, Arthur (1891 - 1975), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bliss,_Arthur_Drummond,_Sir", "url": "http://stevenestrella.com/composers/composerfiles/bliss1975.html"} +{"d:Title": "Sir Arthur Bliss", "d:Description": "Biographies, chronology, recommended introductions, work list, discography, bibliography, and links from Classical Music Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Bliss,_Arthur_Drummond,_Sir", "url": "http://www.musicweb-international.com/bliss/"} +{"d:Title": "The Arthur Bliss Society", "d:Description": "The official website of The Arthur Bliss Society. Information about the Society.", "topic": "Top/Arts/Music/Composition/Composers/B/Bliss,_Arthur_Drummond,_Sir", "url": "http://www.arthurbliss.org/"} +{"d:Title": "Arthur Bliss", "d:Description": "Background material, list of films scored, critical and viewer film rankings, and links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bliss,_Arthur_Drummond,_Sir", "url": "http://www.imdb.com/name/nm0088495/"} +{"d:Title": "Bliss, Arthur", "d:Description": "Brief biography and caricature, information on his film music and ballets, and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bliss,_Arthur_Drummond,_Sir", "url": "http://www.naxos.com/person/Arthur_Bliss_25999/25999.htm"} +{"d:Title": "Miscellaneous Songs by Arthur Bliss", "d:Description": "Includes titles of song cycles and individual works, most with English texts.", "topic": "Top/Arts/Music/Composition/Composers/B/Bliss,_Arthur_Drummond,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3450"} +{"d:Title": "Bloch, Ernest (1880 - 1959), Switzerland", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bloch,_Ernest", "url": "http://stevenestrella.com/composers/composerfiles/bloch1959.html"} +{"d:Title": "Ernest Bloch: A Voice In The Wilderness", "d:Description": "Essay emphasizes the Hebrew nature of the his works while debating his rank among the great composers. A brief biography of author Jeffrey Dane is also provided.", "topic": "Top/Arts/Music/Composition/Composers/B/Bloch,_Ernest", "url": "http://collection.nlc-bnc.ca/100/202/300/inditer/2001/06-04/dane/bloch/ernest.htm"} +{"d:Title": "Classical Music Archives: Ernest Bloch", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bloch,_Ernest", "url": "http://www.classicalarchives.com/composer/2200.html"} +{"d:Title": "Bloch, Ernest (1880 - 1959)", "d:Description": "Brief biography with notes on the compositional style of his orchestral music and suggested recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bloch,_Ernest", "url": "http://www.naxos.com/person/Ernest_Bloch/27074.htm"} +{"d:Title": "Classical Net - Basic Repertoire List - Bloch", "d:Description": "Biography, notes.", "topic": "Top/Arts/Music/Composition/Composers/B/Bloch,_Ernest", "url": "http://www.classical.net/music/comp.lst/bloch.php"} +{"d:Title": "Ernest Bloch", "d:Description": "Biography, list of orchestral works, citations in Library of Congress, selected discography, links.", "topic": "Top/Arts/Music/Composition/Composers/B/Bloch,_Ernest", "url": "http://www.musicsalesclassical.com/composer/short-bio/Ernest-Bloch"} +{"d:Title": "Vil\u00e9m (Wilhelm) Blodek (1834-1874)", "d:Description": "Miscellaneous songs or song cycles from recmusic.org include German texts, some with English translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Blodek,_Vil\u00e9m", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3457"} +{"d:Title": "Blow, John (1649 - 1708), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Blow,_John", "url": "http://stevenestrella.com/composers/composerfiles/blow1708.html"} +{"d:Title": "Grave of John Blow", "d:Description": "Find a Grave extended biography and photographs of his tomb in the North Choir of Westminster Abbey. Includes link to listing of others encrypted in the church.", "topic": "Top/Arts/Music/Composition/Composers/B/Blow,_John", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20585"} +{"d:Title": "Classical Archives: John Blow", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Blow,_John", "url": "http://www.classicalarchives.com/composer/2203.html"} +{"d:Title": "Blow, John (1649 - 1708)", "d:Description": "Brief biography and caricature with summaries of dramatic works and of sacred and secular vocal music.", "topic": "Top/Arts/Music/Composition/Composers/B/Blow,_John", "url": "http://www.naxos.com/person/John_Blow/27077.htm"} +{"d:Title": "John Blow", "d:Description": "Song title list from recmusic.org with English texts and translations from those in Latin.", "topic": "Top/Arts/Music/Composition/Composers/B/Blow,_John", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3459"} +{"d:Title": "Blumenfeld, Felix (1863 - 1931), Ukraine", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Blumenfeld,_Felix_Mikhailovich", "url": "http://stevenestrella.com/composers/composerfiles/blumenfeld1931.html"} +{"d:Title": "Felix Blumenfeld (1883-1931)", "d:Description": "Extended biography, CD review, listing of works, photograph, and recommended reading.", "topic": "Top/Arts/Music/Composition/Composers/B/Blumenfeld,_Felix_Mikhailovich", "url": "http://www.musicweb-international.com/classrev/2000/mar00/blumenfeld.htm"} +{"d:Title": "Classical Archives: Felix Blumenfeld", "d:Description": "Biography and audio files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Blumenfeld,_Felix_Mikhailovich", "url": "http://www.classicalarchives.com/composer/2204.html"} +{"d:Title": "Miscellaneous Songs by Blumenfeld", "d:Description": "Lists the cycle Songs of Innocence with links to the William Blake texts provided by recmusic.org.", "topic": "Top/Arts/Music/Composition/Composers/B/Blumenfeld,_Harold", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3463"} +{"d:Title": "Luigi Boccherini", "d:Description": "Contains bibliographic citations of scholarly literature concerning European art music circa 1740-1810, the Classical period, with emphasis on him and Haydn.", "topic": "Top/Arts/Music/Composition/Composers/B/Boccherini,_Ridolfo_Luigi", "url": "http://www.angelfire.com/wi/boccherini/index.html"} +{"d:Title": "Boccherini, Luigi (1743 - 1805), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boccherini,_Ridolfo_Luigi", "url": "http://stevenestrella.com/composers/composerfiles/boccherini1805.html"} +{"d:Title": "Classic Cat: Boccherini", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Boccherini,_Ridolfo_Luigi", "url": "http://www.classiccat.net/boccherini_l/"} +{"d:Title": "Classical Music Archives - Boccherini", "d:Description": "Works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music.", "topic": "Top/Arts/Music/Composition/Composers/B/Boccherini,_Ridolfo_Luigi", "url": "http://www.classicalarchives.com/composer/2207.html"} +{"d:Title": "Luigi Boccherini", "d:Description": "List of films using his music with links to related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Boccherini,_Ridolfo_Luigi", "url": "http://www.imdb.com/name/nm0090530/"} +{"d:Title": "Boccherini, Luigi", "d:Description": "Brief biography with notes on his chamber music and cello concertos with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Boccherini,_Ridolfo_Luigi", "url": "http://www.naxos.com/person/Luigi_Boccherini_27079/27079.htm"} +{"d:Title": "Boeck, August de (1865 - 1936), Belgium", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boeck,_August_de", "url": "http://stevenestrella.com/composers/composerfiles/boeck1936.html"} +{"d:Title": "Bo\u00ebllmann, Leon Ernest (1862 - 1897), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00ebllmann,_L\u00e9on_Ernest", "url": "http://stevenestrella.com/composers/composerfiles/boellmann1897.html"} +{"d:Title": "Bo\u00ebllmann, L\u00e9on (1862 - 1897)", "d:Description": "Brief biography and summary of his organ music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00ebllmann,_L\u00e9on_Ernest", "url": "http://www.naxos.com/person/Leon_Boellmann/27080.htm"} +{"d:Title": "Bo\u00ebly, Alexandre-P.-F.", "d:Description": "Portrait and partial list of organ works from On Classical/Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00ebly,_Alexandre_Pierre_Fran\u00e7ois", "url": "http://www.kunstderfuge.com/boely.htm"} +{"d:Title": "Boely", "d:Description": "Portrait and brief biography linked to score samples and fee-based downloads in PDF format from Every Note.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00ebly,_Alexandre_Pierre_Fran\u00e7ois", "url": "http://everynote.com/piano.choose/0/16/_/_.note"} +{"d:Title": "B\u00f6hm, Georg", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/B/B\u00f6hm,_Georg", "url": "http://jan.ucc.nau.edu/~tas3/bohm.html"} +{"d:Title": "Georg B\u00f6hm", "d:Description": "Audio samples of his work in MIDI format from Italian Opera.", "topic": "Top/Arts/Music/Composition/Composers/B/B\u00f6hm,_Georg", "url": "http://www.italianopera.org/compositori/bohm.html"} +{"d:Title": "Georg B\u00f6hm", "d:Description": "Reviews of his Organ Works, vol. 1 CD from the April 2003 MusicWeb(UK) including background material on his life and creativeness.", "topic": "Top/Arts/Music/Composition/Composers/B/B\u00f6hm,_Georg", "url": "http://www.musicweb-international.com/classrev/2002/Nov02/Naxos-GBohm.htm"} +{"d:Title": "Boieldieu, Fran\u00e7ois-Adrien (1775 - 1834), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boieldieu,_Fran\u00e7ois-Adrien", "url": "http://stevenestrella.com/composers/composerfiles/boieldieu1834.html"} +{"d:Title": "Grave of Fran\u00e7ois Adrien Boieldieu", "d:Description": "Find a Grave biographical sketch with photograph of his tomb and link to the cemetery, Le Pere Lachaise in Paris, which holds many other notable people.", "topic": "Top/Arts/Music/Composition/Composers/B/Boieldieu,_Fran\u00e7ois-Adrien", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7750"} +{"d:Title": "Boieldieu, Fran\u00e7ois-Adrien (1775 - 1834)", "d:Description": "Brief biography and caricature with summary of his operas.", "topic": "Top/Arts/Music/Composition/Composers/B/Boieldieu,_Fran\u00e7ois-Adrien", "url": "http://www.naxos.com/person/Francois_Adrien_Boieldieu/24556.htm"} +{"d:Title": "Joseph Bodin de Boismortier", "d:Description": "Links to sheet music, websites, books, and recorder music CDs.", "topic": "Top/Arts/Music/Composition/Composers/B/Boismortier,_Joseph_Bodin_de", "url": "http://www.grainger.de/music/composers/boism.html"} +{"d:Title": "Classical Archives: Joseph Bodin de Boismortier", "d:Description": "Biography and audio files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Boismortier,_Joseph_Bodin_de", "url": "http://www.classicalarchives.com/composer/2218.html"} +{"d:Title": "Boismortier, Joseph Bodin de", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Boismortier,_Joseph_Bodin_de", "url": "http://www.naxos.com/person/Joseph_Bodin_de_Boismortier/19138.htm"} +{"d:Title": "Boito, Arrigo (1842 - 1918), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00efto,_Arrigo_Enrico", "url": "http://stevenestrella.com/composers/composerfiles/boito1918.html"} +{"d:Title": "Arrigo Enrico Bo\u00efto", "d:Description": "Listing of birth and death dates. Links to operas, libretti, and portraits in JPG and GIF formats.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00efto,_Arrigo_Enrico", "url": "http://opera.stanford.edu/Boito/"} +{"d:Title": "Boito, Arrigo (1842 - 1918)", "d:Description": "Brief biography and caricature with summary of his operatic work.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00efto,_Arrigo_Enrico", "url": "http://www.naxos.com/person/Arrigo_Boito/27082.htm"} +{"d:Title": "Arrigo Boito", "d:Description": "Classical Net Basic Repertoire article with photograph, links, and list of operas.", "topic": "Top/Arts/Music/Composition/Composers/B/Bo\u00efto,_Arrigo_Enrico", "url": "http://www.classical.net/music/comp.lst/boito.php"} +{"d:Title": "William Bolcom and Joan Morris", "d:Description": "Official site of the Pulitzer Prize winning composer and his singer wife. Includes biographies, tour schedules, recordings, reviews, compositions, photographs, honors and awards, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/B/Bolcom,_William_Elden", "url": "http://www.bolcomandmorris.com/"} +{"d:Title": "Bolcom, William (1938 - ), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bolcom,_William_Elden", "url": "http://stevenestrella.com/composers/composerfiles/bolcomliving.html"} +{"d:Title": "American Ballet Theatre: William Bolcom", "d:Description": "Biography and information on two ballets.", "topic": "Top/Arts/Music/Composition/Composers/B/Bolcom,_William_Elden", "url": "http://www.abt.org/education/archive/composers/bolcom_w.html"} +{"d:Title": "William Bolcom", "d:Description": "Listing of films using his music, including those based on his opera McTeague, from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Bolcom,_William_Elden", "url": "http://www.imdb.com/name/nm0092741/"} +{"d:Title": "Miscellaneous Songs by Bolcom", "d:Description": "List of songs and song cycles, some with texts.", "topic": "Top/Arts/Music/Composition/Composers/B/Bolcom,_William_Elden", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3483"} +{"d:Title": "Bolling, Claude (1930 - Living), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bolling,_Claude", "url": "http://stevenestrella.com/composers/composerfiles/bollingliving.html"} +{"d:Title": "Claude Bolling", "d:Description": "Filmography including composing and acting credits at the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bolling,_Claude", "url": "http://www.imdb.com/name/nm0005969/"} +{"d:Title": "Claude Bolling", "d:Description": "Biographical and musicological sketch noting his overall work in jazz and special mention of his affinity for Ellington from Naxos.", "topic": "Top/Arts/Music/Composition/Composers/B/Bolling,_Claude", "url": "http://www.naxos.com/person/Grete_Merrem_Nikisch/5497.htm"} +{"d:Title": "Capel Bond", "d:Description": "Biography with background and noted works from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bond,_Capel", "url": "http://www.hoasm.org/VIIJ/BondC.html"} +{"d:Title": "Capel Bond", "d:Description": "Biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bond,_Capel", "url": "http://musicalics.com/en/node/78883"} +{"d:Title": "Victoria Bond Composer and Conductor", "d:Description": "Official site. Picture, biography, catalog, discography, CDs, and news.", "topic": "Top/Arts/Music/Composition/Composers/B/Bond,_Victoria", "url": "http://www.victoriabond.com/"} +{"d:Title": "Victoria Bond", "d:Description": "Listing at the Lied and Art Songs Text Page with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bond,_Victoria", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=7021"} +{"d:Title": "Margaret Bonds", "d:Description": "Brief biographical information.", "topic": "Top/Arts/Music/Composition/Composers/B/Bonds,_Margaret", "url": "http://library.duke.edu/rubenstein/scriptorium/sgo/texts/bonds1.html"} +{"d:Title": "Miscellaneous Songs by Bonds", "d:Description": "Texts from some of Bonds' songs.", "topic": "Top/Arts/Music/Composition/Composers/B/Bonds,_Margaret", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3489"} +{"d:Title": "Boni Motets", "d:Description": "CD summary and review for medieval.org includes track list and historical background of the recorded works.", "topic": "Top/Arts/Music/Composition/Composers/B/Boni,_Guillaume", "url": "http://www.medieval.org/emfaq/cds/adamn8.htm"} +{"d:Title": "Guillaume Boni", "d:Description": "Biography, influences, and summary analysis of style by Todd McComb for Classical Net. Includes links to related material.", "topic": "Top/Arts/Music/Composition/Composers/B/Boni,_Guillaume", "url": "http://www.classical.net/music/comp.lst/acc/boni.php"} +{"d:Title": "Miscellaneous Songs by Guillaume Boni", "d:Description": "Listing of selected Pierre de Ronsard poems which he set to music. Includes texts in French.", "topic": "Top/Arts/Music/Composition/Composers/B/Boni,_Guillaume", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3491"} +{"d:Title": "Severo Bonini", "d:Description": "Biography with analysis of style and links to related composers and supporting material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bonini,_Severo", "url": "http://www.hoasm.org/VG/Bonini.html"} +{"d:Title": "Giuseppe Bonno", "d:Description": "Brief biography with education, positions held, and links to related composers and period material from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bonno,_Giuseppe", "url": "http://www.hoasm.org/XIIC/Bonno.html"} +{"d:Title": "Antonio Maria Bononcini", "d:Description": "Brief biography and links to related topics from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bononcini,_Antonio_Maria", "url": "http://www.hoasm.org/VE/Bononcini.html"} +{"d:Title": "Giovanni Bononcini", "d:Description": "Biography, portrait, details of operas, and links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bononcini,_Giovanni_Battista", "url": "http://www.hoasm.org/VIIF/BononciniG.html"} +{"d:Title": "Giovanni Battista Bononcini", "d:Description": "Biographical information with links to related artists. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bononcini,_Giovanni_Battista", "url": "http://musicalics.com/en/node/78889"} +{"d:Title": "Giovanni Maria Bononcini", "d:Description": "Biography with related composers and musical styles from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bononcini,_Giovanni_Maria", "url": "http://www.hoasm.org/VE/Bononcini.html"} +{"d:Title": "Francesco Antonio Bonporti", "d:Description": "Biographical sketch, portrait, and comments on his originality from Promozione e Produzione Music Publishers. Includes RM audio samples.", "topic": "Top/Arts/Music/Composition/Composers/B/Bonporti,_Francesco_Antonio", "url": "http://www.ppmusic.com/music/comp05.htm"} +{"d:Title": "Francesco Antonio Bonporti", "d:Description": "Biographical sketch with early training, links to related composers, music, and period material, and summary of compositions from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bonporti,_Francesco_Antonio", "url": "http://www.hoasm.org/VIIIA/Bonporti.html"} +{"d:Title": "Francesco Antonio Bonporti", "d:Description": "Two short biographies including related composers, analysis of style, and representative works from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bonporti,_Francesco_Antonio", "url": "http://musicalics.com/en/node/78890"} +{"d:Title": "Giovanni Andrea Bontempi", "d:Description": "Biography including non-musical endeavors with links to related composers and period information from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bontempi,_Giovanni_Andrea", "url": "http://www.hoasm.org/VG/Bontempi.html"} +{"d:Title": "Borodin, Alexander Porfirievich", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Borodin,_Aleksandr_Porfir'yevich", "url": "http://stevenestrella.com/composers/composerfiles/borodin1887.html"} +{"d:Title": "Alexander Borodin", "d:Description": "Wikipedia entry summarizing life and works and linked to related articles with illustration and OGG format audio sample.", "topic": "Top/Arts/Music/Composition/Composers/B/Borodin,_Aleksandr_Porfir'yevich", "url": "http://en.wikipedia.org/wiki/Alexander_Borodin"} +{"d:Title": "Classical Music Archives: Alexander Borodin", "d:Description": "Biography of Borodin from the Concise Oxford Dictionary of Music. Complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Borodin,_Aleksandr_Porfir'yevich", "url": "http://www.classicalarchives.com/composer/2225.html"} +{"d:Title": "Borodin, Alexander Porfir'yevich", "d:Description": "Brief biography and caricature with summaries of his operas and orchestral music and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Borodin,_Aleksandr_Porfir'yevich", "url": "http://www.naxos.com/person/Alexander_Porfiryevich_Borodin/27086.htm"} +{"d:Title": "Miscellaneous Songs by A. Borodin", "d:Description": "List of songs, some with texts.", "topic": "Top/Arts/Music/Composition/Composers/B/Borodin,_Aleksandr_Porfir'yevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3507"} +{"d:Title": "Dmitri Stepanovich Bortniansky", "d:Description": "Biography and music from the Cyber Hymnal with links to MIDI audio of the tune St. Petersburg.", "topic": "Top/Arts/Music/Composition/Composers/B/Bortniansky,_Dmitri_Stepanovich", "url": "http://www.hymntime.com/tch/bio/b/o/r/bortniansky_ds.htm"} +{"d:Title": "Classical Archives: Dmitri Stepanovich Bortnyansky", "d:Description": "Biography and audio files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bortniansky,_Dmitri_Stepanovich", "url": "http://www.classicalarchives.com/composer/2226.html"} +{"d:Title": "Axel Borup-J\u00f8rgensen", "d:Description": "Summary of life and education, especially Swedish influences, and brief commentaries on selected guitar works by guitarist Per Dybro S\u00f8rensen.", "topic": "Top/Arts/Music/Composition/Composers/B/Borup-J\u00f8rgensen,_Axel", "url": "http://www.perdybro.dk/borupteksteng.htm"} +{"d:Title": "Axel Borup-Jorgensen", "d:Description": "Summary of musical output and brief biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Borup-J\u00f8rgensen,_Axel", "url": "http://musicalics.com/en/node/82632"} +{"d:Title": "Franciscus Bossinensis", "d:Description": "Brief biography noting his transcriptions and other works from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bossinensis,_Franciscus", "url": "http://www.hoasm.org/IVE/Bossinensis.html"} +{"d:Title": "Giovanni Bottesini: A Life", "d:Description": "Biography of this composer/bassist with links to essays about him.", "topic": "Top/Arts/Music/Composition/Composers/B/Bottesini,_Giovanni", "url": "http://bottesini.com/alife/"} +{"d:Title": "Bottesini, Giovanni (1821 - 1889)", "d:Description": "Biography and discussion of his double bass music and his chamber music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bottesini,_Giovanni", "url": "http://www.naxos.com/person/Giovanni_Bottesini/27091.htm"} +{"d:Title": "Alain Boublil Credits on Broadway", "d:Description": "Internet Broadway Database (IBDB): Official Broadway credits, biographical information, and related entries.", "topic": "Top/Arts/Music/Composition/Composers/B/Boublil,_Alain", "url": "https://www.ibdb.com/broadway-cast-staff/alain-boublil-3932"} +{"d:Title": "Boulanger, Lili (1893 - 1918), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulanger,_Lili_Juliette_Marie_Olga", "url": "http://stevenestrella.com/composers/composerfiles/boulanger1918.html"} +{"d:Title": "Boulanger, Lili (1893 - 1918)", "d:Description": "Brief biography with summaries of orchestral, chamber, and vocal and choral music.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulanger,_Lili_Juliette_Marie_Olga", "url": "http://www.naxos.com/person/Lili_Boulanger/22769.htm"} +{"d:Title": "Miscellaneous Boulanger Songs", "d:Description": "One song cycle and various songs, texts linked.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulanger,_Lili_Juliette_Marie_Olga", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3516"} +{"d:Title": "Boulanger, Nadia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulanger,_Nadia_Juliette", "url": "http://www.stevenestrella.com/composers/composerfiles/boulanger1979.html"} +{"d:Title": "Nadia Boulanger Remembered: My Personal Recollections", "d:Description": "Albert Alan Owen recalls his time as her composition student in Paris in the late 1960s and early 1970s. Gives glimpses of her personality as well as musical abilities.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulanger,_Nadia_Juliette", "url": "http://aaowen.com/index.php?option=com_content&task=view&id=41&Itemid=29"} +{"d:Title": "Boulez, Pierre (1925 - ), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulez,_Pierre", "url": "http://stevenestrella.com/composers/composerfiles/boulezliving.html"} +{"d:Title": "Le Marteau sans ma\u00eetre: Serialism Becomes Respectable", "d:Description": "Article about the work and its composer.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulez,_Pierre", "url": "http://www.scena.org/lsm/sm6-4/serialisme-en.html"} +{"d:Title": "The Man Who Would be King", "d:Description": "Interview.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulez,_Pierre", "url": "http://www.edwebproject.org/boulez.html"} +{"d:Title": "Pierre Boulez: Unreconstructed Modernist", "d:Description": "Atlantic Monthly article from September 1995 examines his staunch Modernism, tracing his path from composer to conductor and offering reasons for the changes.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulez,_Pierre", "url": "http://www.theatlantic.com/past/docs/issues/95sep/boulez.htm"} +{"d:Title": "Naxos: Boulez, Pierre", "d:Description": "Brief biography and caricature with summaries of his piano and instrumental music and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/B/Boulez,_Pierre", "url": "http://www.naxos.com/person/Pierre_Boulez_27094/27094.htm"} +{"d:Title": "Sonata for Trombone by Derek Bourgeois: A Review", "d:Description": "Article by David Vining for Online Trombone Journal lists the strengths and weaknesses of the piece.", "topic": "Top/Arts/Music/Composition/Composers/B/Bourgeois,_Derek_David", "url": "http://www.trombone.org/articles/library/viewarticles.asp?ArtID=190"} +{"d:Title": "Derek Bourgeois", "d:Description": "Filmography with links, biography, and related material from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bourgeois,_Derek_David", "url": "http://www.imdb.com/name/nm0099892/"} +{"d:Title": "Derek Bourgeois", "d:Description": "Official site. Picture, biography, scores and sound files in Sibelius format, catalog of works, discography, and information on his violinist and violist wife Jean.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/B/Bourgeois,_Derek_David", "url": "http://www.derekbourgeois.com/"} +{"d:Title": "Loys Bourgeois", "d:Description": "Biographical sketch focusing on his Psalm settings with partial discography. From the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Bourgeois,_Loys", "url": "http://www.hoasm.org/IVC/Bourgeois.html"} +{"d:Title": "Louis Bourgeois", "d:Description": "Short biography and links to attributed hymn tunes from the Cyber Hymnal.", "topic": "Top/Arts/Music/Composition/Composers/B/Bourgeois,_Loys", "url": "http://www.hymntime.com/tch/bio/b/o/u/bourgeois_l.htm"} +{"d:Title": "Boyce, William (1711 - 1779), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boyce,_William", "url": "http://stevenestrella.com/composers/composerfiles/boyce1779.html"} +{"d:Title": "Classical Archives: William Boyce", "d:Description": "Biography and audio files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Boyce,_William", "url": "http://www.classicalarchives.com/composer/2234.html"} +{"d:Title": "Classical Net - Basic Repertoire List - Boyce", "d:Description": "Biography; recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Boyce,_William", "url": "http://www.classical.net/music/comp.lst/boyce.php"} +{"d:Title": "William Boyce (1711-1779)", "d:Description": "Brief biography and caricature with summaries of instrumental and vocal and choral music with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/B/Boyce,_William", "url": "http://www.naxos.com/person/William_Boyce/27095.htm"} +{"d:Title": "William Boyce", "d:Description": "Biography, discography, and links to other 18th century music and composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Boyce,_William", "url": "http://rslade.co.uk/18th-century-music/composers/william-boyce/"} +{"d:Title": "Eug\u00e8ne Bozza", "d:Description": "Details of his life and works from several contributors at Musicalics. Includes works and additional references.", "topic": "Top/Arts/Music/Composition/Composers/B/Bozza,_Eug\u00e8ne", "url": "http://musicalics.com/en/node/78912"} +{"d:Title": "Johannes Brahms", "d:Description": "Film by J\u00e1nos Darvas. Complete postproduction script in PDF for download. Includes RAM soundtrack excerpt.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.darvas.de/brahms.htm"} +{"d:Title": "Clara Schumann and Johannes Brahms", "d:Description": "The relationship between the widow of Robert Schumann and the bachelor is explored through a creative writing assignment. Includes related links.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.lessontutor.com/eesSchumannBrahms.html"} +{"d:Title": "Johannes Brahms", "d:Description": "Sympathetic biographical essay about his life, his music, and his relationship with the Schumann family with links to related essays and creative writing assignments.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.lessontutor.com/bf2.html"} +{"d:Title": "Brahms, Johannes (1833 - 1897), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://stevenestrella.com/composers/composerfiles/brahms1897.html"} +{"d:Title": "Grave of Johannes Brahms", "d:Description": "Find a Grave photographs of the composer's Vienna burial place. Link to the cemetery shows other notables sharing his resting place, including Johann Strauss, Sr. and Jr., Beethoven, and Czerny, also the cenotaph of Mozart.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=129"} +{"d:Title": "Johannes Brahms: An Overview", "d:Description": "Illustrated biographical article from mfiles, noting major works, with links and examples of sheet music, MIDI and MP3 files.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.mfiles.co.uk/Composers/Johannes-Brahms.htm"} +{"d:Title": "Classical Music Archives: Brahms", "d:Description": "Over 320 complete works by Brahms offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music. Timelines, search engine.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.classicalarchives.com/brahms.html"} +{"d:Title": "Johannes Brahms", "d:Description": "List of works, biography, and free MIDI audio files from On Classical/Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.kunstderfuge.com/brahms.htm"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.classiccat.net/brahms_j/"} +{"d:Title": "Johannes Brahms", "d:Description": "Biography, catalog of works, pictures, links, organizations.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.johannesbrahms.org/"} +{"d:Title": "Brahms at the Piano: Musical Archaeology", "d:Description": "An analysis and transcription of the 1889 cylinder recording of Johannes Brahms performance of a segment of his First Hungarian Dance by Jonathan Berger. Includes illustrations and links to further resources.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://ccrma.stanford.edu/groups/edison/brahms/brahms.html"} +{"d:Title": "Johannes Brahms: The Last Classicist", "d:Description": "Brief biography, list of key works, quotes, recommended reading and recordings, timeline, and further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=51"} +{"d:Title": "Johannes Brahms", "d:Description": "Wikipedia article with his life, works, influences, personality, and portrait. Includes references to books, movies, and pop culture with internal and external links to related people, topics, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://en.wikipedia.org/wiki/Johannes_Brahms"} +{"d:Title": "Brahms", "d:Description": "Lengthy essay by David Wright from his series \"Great Composers.\" Details the influence of the Schumann family and notes what set him apart from other deemed merely very good.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.musicweb-international.com/classrev/2002/July02/Brahms_profile.htm"} +{"d:Title": "The Centennial of the Death of Brahms", "d:Description": "A series of sub-pages devoted to the 100 year anniversary of his death in 1897. Provides translations of essays by his pupil Gustav Jenner and conductor Wilhelm Furtwaengler, plus translations of texts that Brahms set to music.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://davidsbuendler.freehostia.com/brahms.htm"} +{"d:Title": "Johannes Brahms", "d:Description": "Listing of over seventy-five films using his music includes links to each and related materials from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.imdb.com/name/nm0005974/"} +{"d:Title": "Johannes Brahms", "d:Description": "Suggested biographies and scores, CD reviews, MIDI audio samples, portrait, links, and recommended recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.classical.net/music/comp.lst/brahms.php"} +{"d:Title": "Johannes Brahms", "d:Description": "Biographical article with examination of Classical and Romantic sources and RA audio samples from the Music History 102 collection of the Internet Public Library.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.ipl.org/div/mushist/rom/brahms.html"} +{"d:Title": "Johannes Brahms", "d:Description": "Entry at the Lied and Art Songs Text Page listing hundreds of individual vocal works and cycles with lyrics. Includes many with English and other translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Brahms,_Johannes", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3535"} +{"d:Title": "Other Minds", "d:Description": "Charles Amirkhanian Interviews Henry Brant.", "topic": "Top/Arts/Music/Composition/Composers/B/Brant,_Henry", "url": "http://www.otherminds.org/shtml/Brantinterview.shtml"} +{"d:Title": "Brant, Henry", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Brant,_Henry", "url": "http://www.stevenestrella.com/composers/index.html?composerfiles/brantliving.html"} +{"d:Title": "Musicalics: Henry Brant", "d:Description": "Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/B/Brant,_Henry", "url": "http://musicalics.com/en/node/82744"} +{"d:Title": "Bret\u00e9n, Tom\u00f3s (1850 - 1923), Spain", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bret\u00f3n,_Tom\u00e1s", "url": "http://stevenestrella.com/composers/composerfiles/breton1923.html"} +{"d:Title": "Brian, Havergal (1876 - 1972), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Brian,_Havergal", "url": "http://stevenestrella.com/composers/composerfiles/brian1972.html"} +{"d:Title": "Havergal Brian", "d:Description": "Biography, links.", "topic": "Top/Arts/Music/Composition/Composers/B/Brian,_Havergal", "url": "http://www.classical.net/music/comp.lst/brian.php"} +{"d:Title": "Guilio Briccialdi", "d:Description": "Chronological history of his life with line drawing and links to related musical terms.", "topic": "Top/Arts/Music/Composition/Composers/B/Briccialdi,_Giulio", "url": "http://www.flutepage.de/deutsch/flutists/person.php?id=31&englisch=true"} +{"d:Title": "Walter Bricht", "d:Description": "Dedicated to Walter Bricht (1904-1970). Offers biographical information, along with a list of compositions, plus mp3 clips of certain works.", "topic": "Top/Arts/Music/Composition/Composers/B/Bricht,_Walter", "url": "http://www.walterbricht.com/"} +{"d:Title": "Walter Bricht - Wikipedia", "d:Description": "Provides a biographical sketch, along with a list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/B/Bricht,_Walter", "url": "http://en.wikipedia.org/wiki/Walter_Bricht"} +{"d:Title": "Maurice Ravel, George Gershwin, and Walter Bricht", "d:Description": "Article by Robin McNeil describing Walter Bricht's life and work, along with Bricht's experiences with Ravel and Gershwin.", "topic": "Top/Arts/Music/Composition/Composers/B/Bricht,_Walter", "url": "http://opuscolorado.com/2011/04/06/maurice-ravel-george-gershwin-and-walter-bricht/"} +{"d:Title": "Bridge, Frank (1879 - 1941), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bridge,_Frank", "url": "http://stevenestrella.com/composers/composerfiles/bridge1941.html"} +{"d:Title": "Frank Bridge (1879-1941)", "d:Description": "Detailed biography, work list, discussion of works, selected discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bridge,_Frank", "url": "http://www.musicweb-international.com/bridge/"} +{"d:Title": "Bridge, Frank", "d:Description": "Brief biography and caricature with summary of his orchestral music and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bridge,_Frank", "url": "http://www.naxos.com/person/Frank_Bridge/27102.htm"} +{"d:Title": "Brito, Est\u00eav\u00e2o de (c. 1571 - 1641), Spain", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Brito,_Est\u00eav\u00e2o", "url": "http://stevenestrella.com/composers/composerfiles/brito1641.html"} +{"d:Title": "Benjamin Britten Page", "d:Description": "Features an exploration of his War Requiem. Includes related compositions, biography, sound clips, and links.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://www.cco.caltech.edu/~tan/Britten/"} +{"d:Title": "Britten, Benjamin (1913 - 1976), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://stevenestrella.com/composers/composerfiles/britten1976.html"} +{"d:Title": "Colorado Britten Society", "d:Description": "Official site. Intended to promote performance and research of his works and appreciation of his influences. Includes biography, directors, links, concert schedules, and membership and contact information.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://coloradobrittensociety.homestead.com/"} +{"d:Title": "Biography: Benjamin Britten", "d:Description": "Life, selected works, and recommended bibliography from OperaGlass.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://opera.stanford.edu/Britten/bio.html"} +{"d:Title": "Benjamin Britten's Gift for Melodic Prosody", "d:Description": "Biography, key works, timeline, portrait, suggested recordings and readings, and further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=75"} +{"d:Title": "Benjamin Britten", "d:Description": "Essay, biography, list of principal works, discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://www.musicweb-international.com/britten/"} +{"d:Title": "Classical Music Archives: Benjamin Britten", "d:Description": "Biography from the Concise Oxford Dictionary of Music.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://www.classicalarchives.com/composer/2251.html"} +{"d:Title": "Benjamin Britten", "d:Description": "Main life events, list of works, discography, and links.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://www.piersgaveston.com/BenjaminBritten.aspx"} +{"d:Title": "Benjamin Britten", "d:Description": "Filmography at the Internet Movie Database (IMDb) with television and movie music, writing, sound editing, and TV guest credits.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://www.imdb.com/name/nm0110123/"} +{"d:Title": "Britten, Benjamin (1913-1976)", "d:Description": "Brief biographical sketch, caricature, and summaries of his operas, orchestral works, chamber, and vocal and choral music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Britten,_Edward_Benjamin", "url": "http://www.naxos.com/person/Benjamin_Britten_27104/27104.htm"} +{"d:Title": "Riccardo Broschi", "d:Description": "Filmography noting films based on his writings or using his music, including links to individual titles, from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Broschi,_Riccardo", "url": "http://www.imdb.com/name/nm0112446/"} +{"d:Title": "Earle Browne", "d:Description": "Official site. Contains articles, reviews, sample scores, memorial biography, and music samples.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/B/Brown,_Earle", "url": "http://earle-brown.org/"} +{"d:Title": "John Browne", "d:Description": "Analysis of various works and overall style together with speculation as to his exact identity among several candidates. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/B/Browne,_John", "url": "http://www.hoasm.org/IVM/Browne.html"} +{"d:Title": "Bruch, Max (1838 - 1920), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruch,_Max_Christian_Friedrich", "url": "http://stevenestrella.com/composers/composerfiles/bruch1920.html"} +{"d:Title": "The Unfulfilled Destiny of Max Bruch", "d:Description": "Brief biography, key works, and suggested reading and recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruch,_Max_Christian_Friedrich", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=143"} +{"d:Title": "Classical Music Archives: Max Bruch", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Several complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruch,_Max_Christian_Friedrich", "url": "http://www.classicalarchives.com/composer/2257.html"} +{"d:Title": "Bruch, Max (1838 - 1920)", "d:Description": "Brief biography and caricature with summary of orchestral music and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruch,_Max_Christian_Friedrich", "url": "http://www.naxos.com/person/Max_Bruch/27106.htm"} +{"d:Title": "Lieder by Bruch", "d:Description": "Listing of art songs and song cycles from recmusic.org includes selected texts, some with English translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruch,_Max_Christian_Friedrich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3588"} +{"d:Title": "Brucknerians Web Site", "d:Description": "Bibliography, reviews, article, links.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://www.uv.es/~calaforr/brucknerians.html"} +{"d:Title": "Bruckner, Anton (1824 - 1896), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://stevenestrella.com/composers/composerfiles/bruckner1896.html"} +{"d:Title": "Anton Bruckner: Historical Recordings", "d:Description": "A list of known recordings of Bruckner symphonies made between 1924 and 1959, some un-published, with links to other collectors and specialists.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://home.arcor.de/lionel.tacchini/Historical_Bruckner.html"} +{"d:Title": "The Fascinating Paradox of Anton Bruckner", "d:Description": "Selected works, timeline, portrait, and recommended recordings and reading from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=b&ID=136"} +{"d:Title": "Anton Bruckner Symphony Versions Discography", "d:Description": "A directory of the recordings of Bruckner's symphonies and orchestral compositions. Compiled and maintained by John F. Berky.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://www.abruckner.com/"} +{"d:Title": "Anton Bruckner and 19th Century Austrian Music Culture and Society", "d:Description": "Biographical and historical notes, including comparisons with Wagner. Also provides Bruckner symphony discography.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://anthja.com/ab.html"} +{"d:Title": "Classical Music Archives: Anton Bruckner", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://www.classicalarchives.com/composer/2258.html"} +{"d:Title": "Anton Bruckner", "d:Description": "Lists films using his music, including a television movie based upon his later life fascination with numbers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://www.imdb.com/name/nm0115673/"} +{"d:Title": "Bruckner, Anton (1824 - 1896)", "d:Description": "Brief biography and caricature with summaries of orchestral and choral music and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://www.naxos.com/person/Anton_Bruckner/27107.htm"} +{"d:Title": "Bruckner: The Eternal Student", "d:Description": "The Symphonies of Anton Joseph Bruckner.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruckner,_Joseph_Anton", "url": "http://www.classical.net/music/comp.lst/articles/bruckner/bruckner.php"} +{"d:Title": "Bruneau, Alfred (1857 - 1934), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruneau,_Alfred", "url": "http://stevenestrella.com/composers/composerfiles/bruneau1934.html"} +{"d:Title": "Bruneau, Alfred (1857 - 1934)", "d:Description": "Brief biography and caricature with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/B/Bruneau,_Alfred", "url": "http://www.naxos.com/person/Alfred_Bruneau/27109.htm"} +{"d:Title": "Gavin Bryars", "d:Description": "Official site. Includes biography, complete works list, discography, diary, writings, reviews, early works and improvisations, his ensemble, professional representation, contact information, photographs, audio samples, and personal commentary.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/B/Bryars,_Gavin", "url": "http://www.gavinbryars.com/"} +{"d:Title": "Gavin Bryars", "d:Description": "Filmography noting movies and television shows he scored or which used his works with links to related material from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bryars,_Gavin", "url": "http://www.imdb.com/name/nm0117272/"} +{"d:Title": "Gavin Bryars", "d:Description": "Culturekiosque KlassikNet interview focusing on his post-minimalist style. Includes photographs.", "topic": "Top/Arts/Music/Composition/Composers/B/Bryars,_Gavin", "url": "http://www.culturekiosque.com/klassik/intervie/e_bryars.htm"} +{"d:Title": "Gavin Bryars", "d:Description": "Interview from Classical Pulse by Marc Weidenbaum published by Disquiet, a journal of ambient and electronic music, focusing on his Vita Nova. Examines religious influences in his works.", "topic": "Top/Arts/Music/Composition/Composers/B/Bryars,_Gavin", "url": "http://disquiet.com/1997/02/20/super-nova/"} +{"d:Title": "Gavin Bryars", "d:Description": "Photograph, recommended works, and links from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/B/Bryars,_Gavin", "url": "http://www.classical.net/music/comp.lst/bryars.php"} +{"d:Title": "Gavin Bryars", "d:Description": "Short biography, photograph, and summary of major works from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Bryars,_Gavin", "url": "http://musicalics.com/en/node/84582"} +{"d:Title": "Dudley Buck", "d:Description": "Information about his operas from usopera.com includes comments on other types of compositions.", "topic": "Top/Arts/Music/Composition/Composers/B/Buck,_Dudley", "url": "http://usopera.com/composers/buck.html"} +{"d:Title": "Miscellaneous Songs by Buck", "d:Description": "List from recmusic.org includes selected texts.", "topic": "Top/Arts/Music/Composition/Composers/B/Buck,_Dudley", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3600"} +{"d:Title": "Buck, Ole", "d:Description": "(1945- ), Denmark. Picture, biography, work list, previous performances, and discography, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/B/Buck,_Ole", "url": "http://www.musicsalesclassical.com/composer/works/193"} +{"d:Title": "Ole Buck, Danish composer (b. 1945)", "d:Description": "Brief biography with photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/B/Buck,_Ole", "url": "http://www.dacapo-records.dk/en/artist-ole-buck.aspx"} +{"d:Title": "Bull, John (c. 1562 - 1628), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Bull,_John", "url": "http://stevenestrella.com/composers/composerfiles/bull1628.html"} +{"d:Title": "John Bull", "d:Description": "Extended biography with illustrations, links, and a partial discography from Here on a Sunday Morning on WBAI FM in New York.", "topic": "Top/Arts/Music/Composition/Composers/B/Bull,_John", "url": "http://home.sprintmail.com/~cwhent/Bull.html"} +{"d:Title": "Classical Archives: John Bull", "d:Description": "Biography and audio files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/B/Bull,_John", "url": "http://www.classicalarchives.com/composer/2263.html"} +{"d:Title": "Bull, John (1562 - 1628)", "d:Description": "Brief biographical sketch and summary of his keyboard music.", "topic": "Top/Arts/Music/Composition/Composers/B/Bull,_John", "url": "http://www.naxos.com/person/Robert_Delcamp/156.htm"} +{"d:Title": "Ole Bull", "d:Description": "Biographical details and photograph with emphasis on his violin playing and compositions.", "topic": "Top/Arts/Music/Composition/Composers/B/Bull,_Ole_Bornemann", "url": "http://www.violinman.com/Violin_Family/performer/Violinist/bull/0001.htm"} +{"d:Title": "Musicalics", "d:Description": "Life history and relationship with Edvard Grieg are outlined at the Classical Composers Database.", "topic": "Top/Arts/Music/Composition/Composers/B/Bull,_Ole_Bornemann", "url": "http://musicalics.com/fr/compositeur/Ole-Bull"} +{"d:Title": "Ole Bull (1810-1880)", "d:Description": "Art song listing at recmusic.org includes the Norwegian text to S\u00e6terjentens S\u00f8ndag.", "topic": "Top/Arts/Music/Composition/Composers/B/Bull,_Ole_Bornemann", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3605"} +{"d:Title": "B\u00fclow, Hans von (1830 - 1894), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/B\u00fclow,_Hans_Guido_von", "url": "http://stevenestrella.com/composers/composerfiles/bulow1894.html"} +{"d:Title": "Hans von B\u00fclow", "d:Description": "Selected works, quotes, recommended recordings, and timeline from Humanities Web", "topic": "Top/Arts/Music/Composition/Composers/B/B\u00fclow,_Hans_Guido_von", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=85"} +{"d:Title": "Burgmueller, Norbert (1810 - 1836), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Burgm\u00fcller,_August_Joseph_Norbert", "url": "http://stevenestrella.com/composers/composerfiles/burgmueller1836.html"} +{"d:Title": "Burgmueller, Johann Friedrich Franz (1806 - 1874), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Burgm\u00fcller,_Johann_Friedrich_Franz", "url": "http://stevenestrella.com/composers/composerfiles/burgmueller1874.html"} +{"d:Title": "Friedrich Burgm\u00fcller and His Piano Pieces", "d:Description": "Introductory biography and analysis of his twenty-five studies for children. Includes PDF format copies of each of the pieces and details on playing them.", "topic": "Top/Arts/Music/Composition/Composers/B/Burgm\u00fcller,_Johann_Friedrich_Franz", "url": "http://www.gate.net/~nnomoto/burgmuller/burgmull.htm"} +{"d:Title": "Classical MIDI Musical Page: Johann Friedrich Franz Burgm\u00fcller", "d:Description": "Offers several sound files ready for downloading or playing online plus a brief biography.", "topic": "Top/Arts/Music/Composition/Composers/B/Burgm\u00fcller,_Johann_Friedrich_Franz", "url": "http://www.classicalmidi.co.uk/burgmuller.htm"} +{"d:Title": "Afrocentric Voices: H. T. Burleigh Biography", "d:Description": "Biographical article.", "topic": "Top/Arts/Music/Composition/Composers/B/Burleigh,_Harry_Thacker", "url": "http://www.afrovoices.com/burleigh.html"} +{"d:Title": "Jazz Roots: Spirituals and Harry Burleigh", "d:Description": "Brief biographical note and quote.", "topic": "Top/Arts/Music/Composition/Composers/B/Burleigh,_Harry_Thacker", "url": "http://www.jass.com/spirituals.html"} +{"d:Title": "Sequenza21: A Pianist's Notes on Busoni the Visionary", "d:Description": "Liner notes for a new recording.", "topic": "Top/Arts/Music/Composition/Composers/B/Busoni,_Ferruccio_Dante_Michelangiolo_Benvenuto", "url": "http://www.sequenza21.com/Busoni.html"} +{"d:Title": "Ferruccio Busoni's MIDI Files", "d:Description": "List of fugues and related pieces, many with audio from Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/B/Busoni,_Ferruccio_Dante_Michelangiolo_Benvenuto", "url": "http://www.kunstderfuge.com/busoni.htm"} +{"d:Title": "Classic Cat: Busoni", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Busoni,_Ferruccio_Dante_Michelangiolo_Benvenuto", "url": "http://www.classiccat.net/busoni_f/"} +{"d:Title": "Classical Archives: Ferruccio Busoni", "d:Description": "Biography and audio files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Busoni,_Ferruccio_Dante_Michelangiolo_Benvenuto", "url": "http://www.classicalarchives.com/composer/2269.html"} +{"d:Title": "Naxos: Busoni, Ferruccio", "d:Description": "Brief biography and portrait with summary of his piano music.", "topic": "Top/Arts/Music/Composition/Composers/B/Busoni,_Ferruccio_Dante_Michelangiolo_Benvenuto", "url": "http://www.naxos.com/person/Ferruccio_Busoni_22298/22298.htm"} +{"d:Title": "Busoni Manuscripts", "d:Description": "Descriptions of several letters and sketches in the Indiana University library.", "topic": "Top/Arts/Music/Composition/Composers/B/Busoni,_Ferruccio_Dante_Michelangiolo_Benvenuto", "url": "http://www.indiana.edu/~liblilly/lilly/mss/index.php?p=busoni"} +{"d:Title": "Ferruccio Busoni (1886-1924)", "d:Description": "Miscellaneous lieder in opus order from recmusic.org, most with original texts, some have English translations.", "topic": "Top/Arts/Music/Composition/Composers/B/Busoni,_Ferruccio_Dante_Michelangiolo_Benvenuto", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3627"} +{"d:Title": "Buss, Howard", "d:Description": "(1951- ), Allentown, Pennsylvania. Biography and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Buss,_Howard", "url": "http://musicalics.com/en/composer/Howard-Buss"} +{"d:Title": "Arthur Butterworth: A 75th Birthday Tribute", "d:Description": "Biography, work list, reviews, articles by composer.", "topic": "Top/Arts/Music/Composition/Composers/B/Butterworth,_Arthur_Eckersley", "url": "http://www.musicweb-international.com/buttera/"} +{"d:Title": "Arthur Butterworth Writes", "d:Description": "Monthly column for Classical Music Web on a variety of musical issues regarding topics including performance, conducting, composition, and the audience.", "topic": "Top/Arts/Music/Composition/Composers/B/Butterworth,_Arthur_Eckersley", "url": "http://www.musicweb-international.com/ButterworthWrites/Butterworthwrites.htm"} +{"d:Title": "Butterworth, George", "d:Description": "Brief biography and works list from the Gilder-MusicWeb Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Butterworth,_George_Sainton_Kaye", "url": "http://www.musicweb-international.com/Classpedia/Butterworth_George.htm"} +{"d:Title": "George Butterworth", "d:Description": "Brief life, comments on his music, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/B/Butterworth,_George_Sainton_Kaye", "url": "http://musicalics.com/en/composer/George-Butterworth"} +{"d:Title": "George Butterworth (1885-1916)", "d:Description": "Catalogue of art songs from recmusic.org includes cycles and individual pieces, all linked to their texts.", "topic": "Top/Arts/Music/Composition/Composers/B/Butterworth,_George_Sainton_Kaye", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3634"} +{"d:Title": "Buxtehude, Dietrich", "d:Description": "Biographical data for this Lutheran composer. Also recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Buxtehude,_Dietrich", "url": "http://www.stevenestrella.com/composers/composerfiles/buxtehude1707.html"} +{"d:Title": "Kunst der Fuge | D. Buxtehude MIDI files", "d:Description": "Real performance recorded on MIDI files and MIDI sequences on organ and keyboard music.", "topic": "Top/Arts/Music/Composition/Composers/B/Buxtehude,_Dietrich", "url": "http://www.kunstderfuge.com/buxtehude.htm"} +{"d:Title": "Classic Cat: Buxtehude", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Buxtehude,_Dietrich", "url": "http://www.classiccat.net/buxtehude_d/"} +{"d:Title": "Classical Archives: Dietrich Buxtehude", "d:Description": "Biography and audio files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/B/Buxtehude,_Dietrich", "url": "http://www.classicalarchives.com/composer/2270.html"} +{"d:Title": "Buxtehude, Dietrich", "d:Description": "Brief biography and short summation of his organ works with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Buxtehude,_Dietrich", "url": "http://www.naxos.com/person/Dieterich_Buxtehude/27114.htm"} +{"d:Title": "Dietrich Buxtehude", "d:Description": "Discography of his organ music, including choral preludes from Classical Net Basic Repertoire.", "topic": "Top/Arts/Music/Composition/Composers/B/Buxtehude,_Dietrich", "url": "http://www.classical.net/music/comp.lst/buxtehude.php"} +{"d:Title": "Diderich (Dietrich) Buxtehude, Danish composer (c. 1637-1707)", "d:Description": "Brief biography with illustration from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/B/Buxtehude,_Dietrich", "url": "http://www.dacapo-records.dk/en/artist-dietrich-buxtehude.aspx"} +{"d:Title": "Byrd, William", "d:Description": "Catholic Encyclopedia article examining life, sacred and secular music, and related composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.newadvent.org/cathen/03092f.htm"} +{"d:Title": "Byrd, William (1543 - 1623), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://stevenestrella.com/composers/composerfiles/byrd1623.html"} +{"d:Title": "William Byrd", "d:Description": "Biography, discography, related composers and concepts, background information, and links from the Here as of Sunday Morning radio program on WBAI-FM in New York.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.hoasm.org/IVM/WilliamByrd.html"} +{"d:Title": "Byrd MIDI files", "d:Description": "Real player MIDI files (free download). From 'Kunst der Fuge'.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.kunstderfuge.com/byrd.htm"} +{"d:Title": "William Byrd", "d:Description": "Biography, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.classiccat.net/byrd_w/"} +{"d:Title": "William Byrd: An Overview", "d:Description": "Biographical essay, related topics, plus samples of Pavan: The Earle of Salisbury in Sibelius Scorch, PDF, MIDI, and MP3 formats.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.mfiles.co.uk/Composers/William-Byrd.htm"} +{"d:Title": "William Byrd", "d:Description": "Wikipedia article paying special attention to the religious millieu in which he lived and worked with internal references to related people, places, and topics.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://en.wikipedia.org/wiki/William_Byrd"} +{"d:Title": "William Byrd", "d:Description": "Find A Grave entry with birth and death dates, musical biography, portrait, note on missing grave site with pictures of commemorative plaque and church, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9927927&pt=William+Byrd"} +{"d:Title": "Virtual Byrd Choir", "d:Description": "MP3 files of Byrd's choral works performed by a Tokyo-based solo ensemble. Links to Virtual Wilbye Consort site.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://a-babe.plala.jp/~wilbye/vbc.html"} +{"d:Title": "Classical Archies: William Byrd", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete solo keyboard works. Files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.classicalarchives.com/composer/2272.html"} +{"d:Title": "Byrd, William (1543 - 1623)", "d:Description": "Brief biography and caricature with summaries of church, vocal, consort, and keyboard music and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.naxos.com/person/William_Byrd/27115.htm"} +{"d:Title": "MIDI World: William Byrd", "d:Description": "Article about music notation, tuning, and interpretation and MIDI audio files of liturgical works, pavans and galliards, other dances, grounds, preludes and fantasies, program music, popular tunes, and other works.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.midiworld.com/byrd.htm"} +{"d:Title": "William Byrd", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to English and Latin lyrics.", "topic": "Top/Arts/Music/Composition/Composers/B/Byrd,_William", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3640"} +{"d:Title": "The Bach Family", "d:Description": "Table of contents for their history with family tree and links to other resources plus glossary.", "topic": "Top/Arts/Music/Composition/Composers/Bach_Family", "url": "http://jan.ucc.nau.edu/~tas3/fam.html"} +{"d:Title": "Bach's Pupils and His Legacy", "d:Description": "Outline of family members and others schooled by the master of Baroque composition. Includes links to biographies and related topics. From the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Bach_Family", "url": "http://www.hoasm.org/XIE/XIEBachPupils.html"} +{"d:Title": "The Bach Family", "d:Description": "Chronological and alphabetical categorizing of principal members of the family, spanning Johann's birth in 1604 through Wilhelm Friedrich Ernst's death in 1845. Includes links and commentary from the Here Of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Bach_Family", "url": "http://www.hoasm.org/IX/BakerBach.html"} +{"d:Title": "Bach Family", "d:Description": "Background on earlier generations in the town of Erfurt. Includes portrait of Johann Sebastian's father, Johann Ambrosius.", "topic": "Top/Arts/Music/Composition/Composers/Bach_Family", "url": "http://www.erfurt-guide.de/bach_musicians.htm"} +{"d:Title": "Baroque Music", "d:Description": "Articles, suggested recordings, links, biographies, portraits, plus music samples, notes on performance, information on the lute-harpsichord and the Baroque violin bow, and background on organ builder Gottfried Silbermann.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.baroquemusic.org/"} +{"d:Title": "German Baroque Music (17th Century)", "d:Description": "Follows the development of the new music from Italy with emphasis on Sch\u00fctz and Schein. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.hoasm.org/PeriodVI.html"} +{"d:Title": "The German Pre-Classics (1700-1760)", "d:Description": "Follows the popularizing of late Baroque musical styles, emphasizing Frederick the Great, Quantz, Graun, and Telemann. From the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.hoasm.org/PeriodXI.html"} +{"d:Title": "The Italian Seicento (17th Century)", "d:Description": "Traces the beginning of opera in Florence with links to biographies of composers and related material. From the Here Of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.hoasm.org/PeriodV.html"} +{"d:Title": "The Italian Settecento (18th Century)", "d:Description": "Summary of developments in Bel Canto and Opera Buffa as well as instrumental developments with links to biographies and related material from the Here Of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.hoasm.org/PeriodVIII.html"} +{"d:Title": "18th Century English Music", "d:Description": "Biographies of several composers, portraits, and in-depth illustrated history of the period.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.rslade.co.uk/"} +{"d:Title": "Kunst der Fuge - 17th c.", "d:Description": "Inclusive list of fugues written in the 1600's, comprising all the relevant repertory up to date and links to classical musician pages.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.kunstderfuge.com/600.htm"} +{"d:Title": "Music History 102: The Baroque Age", "d:Description": "Composers, major styles and developments, illustrations, and Real Audio RAM sound files.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.ipl.org/div/mushist/bar/"} +{"d:Title": "Baroque Composers", "d:Description": "Alphabetical index of over 100 Wikipedia articles plus internal links to related material.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://en.wikipedia.org/wiki/Category:Baroque_composers"} +{"d:Title": "Western Europe from 1650 to 1750", "d:Description": "Overview of new musical developments with special focus on Purcell, Couperin, Lully, and Rameau from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.hoasm.org/PeriodVII.html"} +{"d:Title": "Early Baroque (1600-1680)", "d:Description": "Background information, resources, and biographies and keyworks of the composers from The Conservatory at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.humanitiesweb.org/human.php?s=c&p=i&a=l&ID=3"} +{"d:Title": "Late Baroque (1680-1750)", "d:Description": "Background information, resources, biographies, and key works of the composers from The Conservatory at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/Baroque", "url": "http://www.humanitiesweb.org/human.php?s=c&p=i&a=l&ID=4"} +{"d:Title": "Bononcini", "d:Description": "Pronunciation guide and background for this musical family from Encyclopedia.com 2002.", "topic": "Top/Arts/Music/Composition/Composers/Bononcini_Family", "url": "http://www.encyclopedia.com/topic/Bononcini.aspx#1E1-Bononcin"} +{"d:Title": "Tofig Guliyev", "d:Description": "The memorial website of Azerbaijani composer. Biography; photo, audio and video archive; phonic lectures.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Asia/Azerbaijani", "url": "http://tofiqquliyev.musigi-dunya.az/"} +{"d:Title": "Afrasiyab Badalbeyli", "d:Description": "The memorial website of Azerbaijani composer. Biography; photo, audio and video archive.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Asia/Azerbaijani", "url": "http://afrasiyab.musigi-dunya.az/"} +{"d:Title": "Azerbaijan Classical Music", "d:Description": "Brief essay summarizing style and major composers.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Asia/Azerbaijani", "url": "http://www.bakupages.com/pages/music/classical-music_en.php"} +{"d:Title": "Composer Database", "d:Description": "Compilation of composers listed with Music from Japan.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Asia/Japanese", "url": "http://www.musicfromjapan.org/resources/mfjcomp.htm"} +{"d:Title": "Modern Works for Accordion by Japanese Composers", "d:Description": "Listing by the Free-Reed Journal includes composer name, age or year, piece name, and rights owners.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Asia/Japanese", "url": "http://www.ksanti.net/free-reed/essays/japan.html"} +{"d:Title": "Music of Cuba", "d:Description": "General history from the early days of Spanish settlement showing indigenous roots, special developments, and world recognition.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Caribbean/Cuban", "url": "http://www.sbgmusic.com/html/teacher/reference/cultures/cuba.html"} +{"d:Title": "German-Hollywood Connection", "d:Description": "Germanic composers of film music are listed with background material. Includes biographies and sketches.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Austrian", "url": "http://www.germanhollywood.com/"} +{"d:Title": "IPEM: Institute for Psychoacoustics and Electronic Music", "d:Description": "Academic organization of the Department of Musicology, Ghent University, Belgium founded by Louis de Meester.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Belgian", "url": "http://www.ipem.ugent.be/"} +{"d:Title": "The Composers of Bohemia", "d:Description": "Biographies of Dvo\u0159\u00e1k, Smetana, and Jan\u00e1cek with photographs plus information on the Hussite Chorale. Includes link to the Czech and Slovak Music Society List.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Bohemian", "url": "http://davidsbuendler.freehostia.com/bohemia.htm"} +{"d:Title": "The Netherlanders from Josquin des Pres", "d:Description": "Biographies of Dutch early music composers from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Dutch", "url": "http://www.hoasm.org/IVA/IVANetherlandersfrJosquin.html"} +{"d:Title": "The Netherlanders to Ockeghem", "d:Description": "Biographies of Dutch early music composers from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Dutch", "url": "http://www.hoasm.org/IIID/IIIDNetherlanderstoOckegh.html"} +{"d:Title": "England Through 1635", "d:Description": "Summary of English early music with links to biographies of composers from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/English", "url": "http://www.hoasm.org/IVM/Englandthru1635.html"} +{"d:Title": "The Eton Choirbook", "d:Description": "Story of the noted antiphon book with links to its composers from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/English", "url": "http://www.hoasm.org/IVM/choirbookseton.html"} +{"d:Title": "Franco-Flemish Composers", "d:Description": "Wikipedia directory summarizing this musicological categorization of various Low Country Europeans with links to articles on individuals.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Flemish", "url": "http://en.wikipedia.org/wiki/Category:Franco-Flemish_composers"} +{"d:Title": "At the Court of Louis XIV", "d:Description": "Biographies of selected composers under France's Sun King from Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/French", "url": "http://www.hoasm.org/VIIB/VIIBCourtLouisXIV.html"} +{"d:Title": "The French Chanson (16th Century)", "d:Description": "Background material noting the consistent use of the French language with special emphasis on nationalaspects of this song style from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/French", "url": "http://www.hoasm.org/IVI/IVIFrenchChanson.html"} +{"d:Title": "The Ars Nova In France", "d:Description": "Analysis of changes in music theory and composition during the 14th Century. Includes links to related subjects and composers.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/French", "url": "http://www.hoasm.org/IID/IIDArsNovaFrance.html"} +{"d:Title": "German-Hollywood Connection", "d:Description": "Germanic composers of film music are listed with background material. Includes biographies and sketches.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/German", "url": "http://www.germanhollywood.com/"} +{"d:Title": "The German Lied (16th Century)", "d:Description": "Background on the development of this song form noting early failure to fuse Germanic and Italian elements before later successes. From the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/German", "url": "http://www.hoasm.org/IVH/IVHGermanLied.html"} +{"d:Title": "German Baroque Music (17th Century)", "d:Description": "Follows the development of the new music from Italy with emphasis on Sch\u00fctz and Schein. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/German", "url": "http://www.hoasm.org/PeriodVI.html"} +{"d:Title": "The German Pre-Classics (1700-1760)", "d:Description": "Follows the popularizing of late Baroque musical styles, emphasizing Frederick the Great, Quantz, Graun, and Telemann. From the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/German", "url": "http://www.hoasm.org/PeriodXI.html"} +{"d:Title": "Hungarian Composers", "d:Description": "Alphabetical listing of links for all periods and genres.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Hungarian", "url": "http://www.hungarian-composers.com/index.htm"} +{"d:Title": "Irish Composers", "d:Description": "Directory of contemporary and recently deceased composers who were born or have chosen to live in Ireland.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Irish", "url": "http://www.cmc.ie/composers/index.cfm"} +{"d:Title": "The Venetian Style (16th Century)", "d:Description": "Emphasis on Venice's challenge to Rome as a leader in sacred music composition. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Italian", "url": "http://www.hoasm.org/IVN/IVNVenetianStyle.html"} +{"d:Title": "Sacred Music in the Cinquecento Outside Venice and Rome", "d:Description": "Focus on Naples, Florence, Bologna, Modena, Ferrara, Mantua, Milan, Cremona, Bergamo, Brescia, and elsewhere. Includes links to composer biographies and related topics from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Italian", "url": "http://www.hoasm.org/IVO/IVOCinquecento.html"} +{"d:Title": "The Italian Seicento (17th Century)", "d:Description": "Traces the beginning of opera in Florence with links to biographies of composers and related material. From the Here Of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Italian", "url": "http://www.hoasm.org/PeriodV.html"} +{"d:Title": "The Italian Settecento (18th Century)", "d:Description": "Summary of developments in Bel Canto and Opera Buffa as well as instrumental developments with links to biographies and related material from the Here Of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Italian", "url": "http://www.hoasm.org/PeriodVIII.html"} +{"d:Title": "The Neapolitan Group", "d:Description": "Summary of the music composed in 18th Century Naples with internal links to noted artists associated with this school. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Italian", "url": "http://www.hoasm.org/VIIIB/VIIIBNeapolitanGroup.html"} +{"d:Title": "Music in Latvia", "d:Description": "Historical and current composers, conductors, artists, and types of music.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Latvian", "url": "http://www.music.lv/en/"} +{"d:Title": "Poland's Contribution to Music", "d:Description": "Article by Felix Labunski reprintedin Polish Music Journal, Volume 5, Number 2, Winter 2002. Originally published in The Polish Review 4, Number 17.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Polish", "url": "http://www.usc.edu/dept/polish_music/PMJ/issue/5.2.02/polandlabunski.html"} +{"d:Title": "Completorium: Polish Early Music", "d:Description": "Spans time from the Middle Ages through the Baroque Period with biographies, MIDI audio, essays, and source material. Presented by Adam Jarczyk and Bogus\u0142aw Krawczyk.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Polish", "url": "http://www.adartemmusicae.com/completorium/"} +{"d:Title": "Classical Music in Russia: Audio Archive", "d:Description": "Real Audio RAM performances in multiple connection speeds of works mainly by Russian composers but also others, including J. S. Bach and Bruckner.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Russian", "url": "http://www.classical.ru:8080/e/Audio/"} +{"d:Title": "Soviet Composers Crushed by Stalin", "d:Description": "Essay by Andrew J. Horton examines reasons for government persecution, the results, and how some coped better than others. From the Central Europe Review.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Russian", "url": "http://www.ce-review.org/99/1/music1_horton.html"} +{"d:Title": "Classical Music of St. Petersburg, Russia", "d:Description": "MP3 audio selections of chamber, vocal, orchestral, and instrumental music, biographies, and links. Includes some non-Russian entries.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Russian", "url": "http://www.classicalmusic.spb.ru/music-mp3/"} +{"d:Title": "Music of Russia", "d:Description": "Wikipedia article tracing history and style. Includes classical, opera, ballet, and folk music, internal references to related people and topics, bibliography, and external links.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Russian", "url": "http://en.wikipedia.org/wiki/Music_of_Russia"} +{"d:Title": "Soviet Composers Page", "d:Description": "Includes biographies and links.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Russian", "url": "http://home.online.nl/ovar/"} +{"d:Title": "Music of Slovenia", "d:Description": "Summary with links to related people and themes.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Slovenian", "url": "http://www.fact-index.com/m/mu/music_of_slovenia.html"} +{"d:Title": "The Spanish Masters", "d:Description": "Summarizes 16th Century composition. Includes the schools of Andalusia, Castile and Victoria, and Aragon, details of instrumental and keyboard music, comments on late-Renaissance Portugal, and links to composer biographies. From Here of a Sunday Morning.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Spanish", "url": "http://www.hoasm.org/IVL/IVLSpanishMasters.html"} +{"d:Title": "Zarzuela: Composer Biographies", "d:Description": "Listing of those involved in a specialized form of lyric theater named for a hunting lodge of the King of Spain.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Europe/Spanish", "url": "http://www.zarzuela.net/hpage.htm"} +{"d:Title": "American Composers Forum", "d:Description": "Founded to join communities with composers and performers, encouraging making and playing new music. Includes history, news, datebook, membership information, and links.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/North_America/American", "url": "http://www.composersforum.org/"} +{"d:Title": "Thomas Hampson: I Hear America Singing", "d:Description": "An exploration of American concert song with information about influential composers, poets, writers, artists, ideas and events.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/North_America/American", "url": "http://www.pbs.org/wnet/ihas/"} +{"d:Title": "Australian Composers Web", "d:Description": "Listing of people published by Wirripang with individual biographies, photographs, purchase information, and score and MP3 sound samples.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/Oceania/Australian", "url": "http://www.australiancomposers.com.au/"} +{"d:Title": "The University of Akron Bierce Library: Brazilian Music Collection", "d:Description": "The Burle Marx Archive at the University of Akron. Includes introduction, bibliography, links, composers with selected biographies, scores, books, recordings, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/By_Region/South_America/Brazilian", "url": "http://www3.uakron.edu/bmca/"} +{"d:Title": "HOASM: Juan Cabanilles", "d:Description": "Biography from \"Here Of A Sunday Morning\" the radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Cabanilles,_Juan_Bautista_Jos\u00e9", "url": "http://www.hoasm.org/VIIH/Cabanilles.html"} +{"d:Title": "Antonio de Cabez\u00f3n", "d:Description": "Biography, innovations and major works, and links to related topics from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Cabez\u00f3n,_Antonio_de", "url": "http://www.hoasm.org/IVL/Cabezon.html"} +{"d:Title": "Cabez\u00f3n: Obras de M\u00fasica", "d:Description": "Review of harpsichord CD with biographical details and other suggested recordings from the Medieval Music and Arts Foundation.", "topic": "Top/Arts/Music/Composition/Composers/C/Cabez\u00f3n,_Antonio_de", "url": "http://www.medieval.org/emfaq/cds/sym98156.htm"} +{"d:Title": "Classical Archives: Antonio de Cabezon", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/C/Cabez\u00f3n,_Antonio_de", "url": "http://www.classicalarchives.com/composer/2274.html"} +{"d:Title": "Caccini, Francesca", "d:Description": "Biographical essay, recommended books and recordings, and links. Also a bibliography.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Francesca", "url": "http://www.stevenestrella.com/composers/composerfiles/caccini1640.html"} +{"d:Title": "Francesca Caccini", "d:Description": "Biographies of the woman called \"La Cecchina\" (the Songird) noting abilities as vocalist, instrumentalist, and composer from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Francesca", "url": "http://www.hoasm.org/VA/CacciniF.html"} +{"d:Title": "Francesca Caccini (1587-c1640)", "d:Description": "Art song listing at recmusic.org with Italian text.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Francesca", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3644"} +{"d:Title": "Caccini, Giulio (1551 - 1618), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Giulio", "url": "http://stevenestrella.com/composers/composerfiles/caccini1618.html"} +{"d:Title": "Caccini, Giulio", "d:Description": "Brief profile.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Giulio", "url": "http://galileo.rice.edu/chr/caccini.html"} +{"d:Title": "Giulio Caccini", "d:Description": "Biography noting especially his contribution to the theory and style of vocal music from the Here Of A Sunday Morning radio program. Includes links to related material.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Giulio", "url": "http://www.hoasm.org/IVD/Caccini.html"} +{"d:Title": "Giulio Caccini", "d:Description": "Brief biography and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Giulio", "url": "http://musicalics.com/en/node/79237"} +{"d:Title": "Giulio Caccini (1546-1618)", "d:Description": "List of over thirty art songs with Italian texts, some having English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Caccini,_Giulio", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=431"} +{"d:Title": "Four Minutes, Thirty-Three Seconds by John Cage", "d:Description": "A performance of the famous composition.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://hypertextbook.com/eworld/fourthirtythree.shtml"} +{"d:Title": "John Cage", "d:Description": "Find A Grave listing with outline of life and death, photograph, cremation details, and interactive fan homages.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1461"} +{"d:Title": "John Cage: Indeterminacy", "d:Description": "A collection of works.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.lcdf.org/indeterminacy/"} +{"d:Title": "Cage, John (1912 - 1992), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://stevenestrella.com/composers/index.html?composerfiles/cage1992.html"} +{"d:Title": "Malcolm's John Cage Page", "d:Description": "Links to Cage sites on the internet.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.ibiblio.org/mal/MO/cage/"} +{"d:Title": "The Sounds of Silence: John Cage and 4'33\"", "d:Description": "An essay examining the history and creation of the work 4'33\".", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://solomonsmusic.net/4min33se.htm"} +{"d:Title": "John Cage Biography (ABT)", "d:Description": "A short biography.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.abt.org/education/archive/composers/cage_j.html"} +{"d:Title": "Review: John Cage: Composed In America", "d:Description": "Composed In America edited by Marjorie Perloff and Charles Junkerman. Review by Kenneth Goldsmith", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://epc.buffalo.edu/rift/rift05/revi0501.html"} +{"d:Title": "John Cage", "d:Description": "Quotations.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.writing.upenn.edu/~afilreis/88/cage-quotes.html"} +{"d:Title": "John Cage", "d:Description": "Filmography with biographical information, composer credits in movies and television, related movie credits, and other works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.imdb.com/name/nm0128509/"} +{"d:Title": "Cage, John (1912-1992)", "d:Description": "Biographical sketch, caricature, comments on compositions, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.naxos.com/person/John_Cage/25916.htm"} +{"d:Title": "John Milton Cage (1912-1992)", "d:Description": "Miscellaneous songs and cycles, most with English texts, from recmusic.org.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3649"} +{"d:Title": "Rolywholyover: A Composition for Museum by John Cage", "d:Description": "(E-World) Paper by Glenn Elert", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton/Rolywholyover", "url": "http://hypertextbook.com/eworld/rolywholyover.shtml"} +{"d:Title": "John Cage and the Postwar Guggenheim", "d:Description": "Reviews by John Haber of 'The Tradition of the New: Postwar Masterpieces' and of 'Rolywholyover a Circus' at New York's Guggenheim Museum.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton/Rolywholyover", "url": "http://www.haberarts.com/cage.htm"} +{"d:Title": "John Cage's \"Rolywholyover\" in Philadelphia, 1995", "d:Description": "An informal discussion.", "topic": "Top/Arts/Music/Composition/Composers/C/Cage,_John_Milton/Rolywholyover", "url": "http://www.writing.upenn.edu/~afilreis/88/cage-exhibit-95.html"} +{"d:Title": "Caldara, Antonio: Biography", "d:Description": "Entry in the Sojourn pages with links to related composers and definitions of terms.", "topic": "Top/Arts/Music/Composition/Composers/C/Caldara,_Antonio", "url": "http://jan.ucc.nau.edu/~tas3/caldara.html"} +{"d:Title": "Antonio Caldara", "d:Description": "Biography and portrait from the Here of a Sunday Morning radio program. Includes links to related composers and specific musical periods and genres.", "topic": "Top/Arts/Music/Composition/Composers/C/Caldara,_Antonio", "url": "http://www.hoasm.org/XIIC/Caldara.html"} +{"d:Title": "Antonio Caldara", "d:Description": "Summary of life and works with comparisons drawn to other composers of the Baroque period and later.", "topic": "Top/Arts/Music/Composition/Composers/C/Caldara,_Antonio", "url": "http://web.tiscali.it/ruspoli/1709.html"} +{"d:Title": "Classical Archives: Antonio Caldara", "d:Description": "Biography and audio files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Caldara,_Antonio", "url": "http://www.classicalarchives.com/composer/2277.html"} +{"d:Title": "Caldara, Antonio (1671 - 1736)", "d:Description": "Brief biographical sketch commenting upon his virtuosity and compositional output with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Caldara,_Antonio", "url": "http://www.naxos.com/person/Antonio_Caldara/25917.htm"} +{"d:Title": "Antonio Caldara", "d:Description": "Classical Net biography. In-depth study of his life, divided into periods spent in various cities. Annotated and cross-linked.", "topic": "Top/Arts/Music/Composition/Composers/C/Caldara,_Antonio", "url": "http://www.classical.net/music/comp.lst/acc/caldara.php"} +{"d:Title": "Antonio Caldara (1670-1736)", "d:Description": "Miscellaneous art songs at recmusic.org with Italian texts. Some also have English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Caldara,_Antonio", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3654"} +{"d:Title": "Morley Calvert", "d:Description": "Summary of his works and short biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Calvert,_Morley", "url": "http://musicalics.com/en/node/87140"} +{"d:Title": "Giuseppe Maria Cambini", "d:Description": "Biographical information noting his musicianship and compositional skills with discography and period references from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Cambini,_Giuseppe_Maria_Gioacchino", "url": "http://www.hoasm.org/XIIC/Cambini.html"} +{"d:Title": "HOASM: Andr\u00e9 Campra", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Campra,_Andr\u00e9", "url": "http://www.hoasm.org/VIIF/Campra.html"} +{"d:Title": "Campra, Andr\u00e9 (1660 - 1744)", "d:Description": "Biography and discussion of stage works and church music.", "topic": "Top/Arts/Music/Composition/Composers/C/Campra,_Andr\u00e9", "url": "http://www.naxos.com/person/Andre_Campra/24557.htm"} +{"d:Title": "Rob Canning", "d:Description": "Picture, biography, complete works list, CDs and scores for sale, related reading, and PDF format profile from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/C/Canning,_Rob", "url": "https://www.cmc.ie/composers/rob-canning"} +{"d:Title": "Francesco da Milano", "d:Description": "Wikipedia article briefly summarizing his life and contributions to the lute repertoire with internal references to related material.", "topic": "Top/Arts/Music/Composition/Composers/C/Canova_da_Milano,_Francesco", "url": "http://en.wikipedia.org/wiki/Francesco_da_Milano"} +{"d:Title": "Francesco da Milano: Intabolatura de Lauto, Libro Terzo", "d:Description": "Biographical material and superlative praises for the man with lute notation converted to modern guitar tablature. By Donald Sauter.", "topic": "Top/Arts/Music/Composition/Composers/C/Canova_da_Milano,_Francesco", "url": "http://www.donaldsauter.com/francesco-da-milano.htm"} +{"d:Title": "Francesco Canova da Milano", "d:Description": "Brief biographical sketch summarizing the popularity of his lute works with Naxos biography.", "topic": "Top/Arts/Music/Composition/Composers/C/Canova_da_Milano,_Francesco", "url": "http://www.naxos.com/person/_Francesco_da_Milano/24634.htm"} +{"d:Title": "Marie-Joseph Canteloube", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/C/Canteloube,_Marie_Joseph,_de_Malaret", "url": "http://www.imdb.com/name/nm0134509/"} +{"d:Title": "Canteloube, Joseph (1879 - 1957)", "d:Description": "Brief biographical sketch, caricature, comments on vocal music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Canteloube,_Marie_Joseph,_de_Malaret", "url": "http://www.naxos.com/person/Joseph_Canteloube/25921.htm"} +{"d:Title": "Joseph Canteloube", "d:Description": "Entry from Musicalics with brief life, listing of major works, related composers, and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Canteloube,_Marie_Joseph,_de_Malaret", "url": "http://musicalics.com/en/node/79254"} +{"d:Title": "Andr\u00e9 Caplet: Le Myst\u00e8re de J\u00e9sus", "d:Description": "CD review from June 2000 Music on the Web with details of his brief life and untimely death. Compares French and English religious music of the period.", "topic": "Top/Arts/Music/Composition/Composers/C/Caplet,_Andr\u00e9", "url": "http://www.musicweb-international.com/classrev/2000/june00/capletjesus.htm"} +{"d:Title": "Caplet, Andre (1878 - 1925)", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Caplet,_Andr\u00e9", "url": "http://www.naxos.com/person/Andre_Caplet_25924/25924.htm"} +{"d:Title": "Andr\u00e9 Caplet (1879-1925)", "d:Description": "Song cycles and individual songs from recmusic. Many have French texts; some include English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Caplet,_Andr\u00e9", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3670"} +{"d:Title": "Carastathis, Aris", "d:Description": "(1957- ), Athens, Greece. Includes biographical information, list of works, score samples and sound clips.", "topic": "Top/Arts/Music/Composition/Composers/C/Carastathis,_Aris", "url": "http://flash.lakeheadu.ca/~acarasta"} +{"d:Title": "Carcas, Gillian", "d:Description": "(1963- ), England. Picture, biography, audio files, and selected compositions from the British Composers World Wide Web Project site.", "topic": "Top/Arts/Music/Composition/Composers/C/Carcas,_Gillian", "url": "http://www.composer.co.uk/composers/carcas.html"} +{"d:Title": "Cornelius Cardew - composer", "d:Description": "Short biography from CD liner notes \"Piano Music\".", "topic": "Top/Arts/Music/Composition/Composers/C/Cardew,_Cornelius", "url": "http://www.composer.co.uk/composers/cardew.html"} +{"d:Title": "Scratch Orchestra experimental music", "d:Description": "Members of the orchestra compiled by Music Now.", "topic": "Top/Arts/Music/Composition/Composers/C/Cardew,_Cornelius", "url": "http://www.musicnow.co.uk/scratch.html"} +{"d:Title": "Cornelius Cardew: Treatise", "d:Description": "Concert review.", "topic": "Top/Arts/Music/Composition/Composers/C/Cardew,_Cornelius", "url": "http://www.musicweb-international.com/SandH/Nov99/cardew.htm"} +{"d:Title": "Cardoso, Manuel (1566-1650)", "d:Description": "Brief biographical sketch, comments on royal patronage and church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Cardoso,_Manuel", "url": "http://www.naxos.com/person/Manuel_Cardoso/25928.htm"} +{"d:Title": "Carissimi, Giacomo", "d:Description": "Entry from the Catholic Encyclopedia detailing his work in and composition for the Roman Catholic Church.", "topic": "Top/Arts/Music/Composition/Composers/C/Carissimi,_Giacomo", "url": "http://www.newadvent.org/cathen/03348b.htm"} +{"d:Title": "Giacomo Carissimi", "d:Description": "Biography, links to related composers and topics, and mention of the lack of autograph manuscripts. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Carissimi,_Giacomo", "url": "http://www.hoasm.org/VH/Carissimi.html"} +{"d:Title": "Carissimi: Ten Motets", "d:Description": "CD review including details of his life and compositional career from the Classical Reviews of August 2001 on MusicWeb.", "topic": "Top/Arts/Music/Composition/Composers/C/Carissimi,_Giacomo", "url": "http://www.musicweb-international.com/classrev/2001/Sept01/Carissimi.htm"} +{"d:Title": "Classical Archives: Giacomo Carissimi", "d:Description": "Biography and audio files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Carissimi,_Giacomo", "url": "http://www.classicalarchives.com/composer/2294.html"} +{"d:Title": "Giacomo Carissimi", "d:Description": "Brief biographical sketch, caricature, comments on church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Carissimi,_Giacomo", "url": "http://www.naxos.com/person/Giacomo_Carissimi/25929.htm"} +{"d:Title": "Camillo Carlsen, Danish Composer (1876-1948)", "d:Description": "Brief biography and photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/C/Carlsen,_Camillo_Johannes_Peter", "url": "http://www.dacapo-records.dk/en/artist-camillo-carlsen.aspx"} +{"d:Title": "John Alden Carpenter (1876-1951)", "d:Description": "Miscellaneous songs and cycles listed with REC Music Foundation include many English and French texts.", "topic": "Top/Arts/Music/Composition/Composers/C/Carpenter,_John_Alden", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3677"} +{"d:Title": "Carter, Elliot (1908 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/C/Carter,_Elliott_Cook", "url": "http://stevenestrella.com/composers/composerfiles/carterliving.html"} +{"d:Title": "Elliott Carter", "d:Description": "Classical Net's Basic Repertoire List entry with biography, photograph, links, and suggested works.", "topic": "Top/Arts/Music/Composition/Composers/C/Carter,_Elliott_Cook", "url": "http://www.classical.net/music/comp.lst/carter.php"} +{"d:Title": "Charles Rosen on Elliott Carter", "d:Description": "Essay originally printed in the New York Review of Books in 1988, on the occasion of the composer's eightieth birthday.", "topic": "Top/Arts/Music/Composition/Composers/C/Carter,_Elliott_Cook", "url": "http://www.classical.net/music/comp.lst/articles/carter/rosen.php"} +{"d:Title": "Elliott Carter", "d:Description": "Includes biography and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Carter,_Elliott_Cook", "url": "http://www.musicsalesclassical.com/composer/short-bio/236"} +{"d:Title": "Elliott Carter (1908-)", "d:Description": "Art songs from REC Music Foundation including cycles and individual pieces, with English texts of non-copyright works.", "topic": "Top/Arts/Music/Composition/Composers/C/Carter,_Elliott_Cook", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3682"} +{"d:Title": "Ferdinando Carulli", "d:Description": "Biography, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/C/Carulli,_Ferdinando_Maria_Meinrado_Francesco_Pascale_Rosario", "url": "http://www.classiccat.net/carulli_f/"} +{"d:Title": "Ferdinando Carulli", "d:Description": "Wikipedia article emphasizing his guitar playing and works. Includes noted interpreters.", "topic": "Top/Arts/Music/Composition/Composers/C/Carulli,_Ferdinando_Maria_Meinrado_Francesco_Pascale_Rosario", "url": "http://en.wikipedia.org/wiki/Ferdinando_Carulli"} +{"d:Title": "Classical Archives: Ferdinando Carulli", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Carulli,_Ferdinando_Maria_Meinrado_Francesco_Pascale_Rosario", "url": "http://www.classicalarchives.com/composer/2299.html"} +{"d:Title": "Ferdinando Carulli", "d:Description": "Entry with selected flute and guitar works from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/C/Carulli,_Ferdinando_Maria_Meinrado_Francesco_Pascale_Rosario", "url": "http://www.classical.net/music/comp.lst/carulli.php"} +{"d:Title": "Ferdinando Carulli", "d:Description": "Brief biographical sketch, caricature, comments on guitar music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Carulli,_Ferdinando_Maria_Meinrado_Francesco_Pascale_Rosario", "url": "http://www.naxos.com/person/Ferdinando_Carulli/25932.htm"} +{"d:Title": "Robert Carver", "d:Description": "Biographical sketch, musical analysis, partial discography, and period material from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Carver,_Robert", "url": "http://www.hoasm.org/IVM/Carver.html"} +{"d:Title": "Robert Carver", "d:Description": "Biographical information and comments on his religious compositions from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/C/Carver,_Robert", "url": "http://www.classical.net/music/comp.lst/acc/carver.php"} +{"d:Title": "Robert Carver", "d:Description": "Comments on the sketchy details of his life, comparison of his polyphony to Josquin and Dufay, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Carver,_Robert", "url": "http://musicalics.com/en/node/79275"} +{"d:Title": "Francis Casadesus", "d:Description": "Biographical information, photograph, works list, and familial details from the official family pages.", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Francis", "url": "http://www.casadesus.com/UK/famille/francis-casadesus.html"} +{"d:Title": "Francis Casadesus", "d:Description": "Filmography and related material from the Internet Movie Database [IMDB].", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Francis", "url": "http://www.imdb.com/name/nm0142703/"} +{"d:Title": "Gr\u00e9co Casadesus", "d:Description": "Biographical details, photographs, musical development, and familial information from the official family web pages.", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Gr\u00e9co", "url": "http://www.casadesus.com/UK/famille/greco-casadesus.html"} +{"d:Title": "Gr\u00e9co Casadesus", "d:Description": "Filmography and links to related material from the Internet Movie Database [IMDB].", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Gr\u00e9co", "url": "http://www.imdb.com/name/nm0142705/"} +{"d:Title": "Henri Casadesus", "d:Description": "Biographical details, family background and relationships, musical highlights, photographs, and links from the official family pages.", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Henri", "url": "http://www.casadesus.com/UK/famille/henri-casadesus.html"} +{"d:Title": "Henri Casadesus", "d:Description": "Filmography and links from the Internet Movie Database [IMDB].", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Henri", "url": "http://www.imdb.com/name/nm0142706/"} +{"d:Title": "Jean-Claude Casadesus", "d:Description": "Biographical material, photographs, performance and conducting background, partial discography, and links from official family web pages.", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Jean-Claude", "url": "http://www.casadesus.com/UK/famille/jeanclaude-casadesus.html"} +{"d:Title": "Jean-Claude Casadesus", "d:Description": "Brief filmography and links to related material from the Internet Movie Database [IMDB].", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Jean-Claude", "url": "http://www.imdb.com/name/nm1028532/"} +{"d:Title": "Marius Casadesus", "d:Description": "Biography, family relationships, photograph, links, and works from the family web pages.", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Marius", "url": "http://www.casadesus.com/UK/famille/marius-casadesus.html"} +{"d:Title": "Robert Casadesus", "d:Description": "Biographical information focusing on the interrelationship between his keyboard virtuosity and his compositional skills from Eroica Classical Recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Robert_Marcel", "url": "http://www.eroica.com/phoenix/jdt135-rc.html"} +{"d:Title": "Robert Casadesus", "d:Description": "CD review including biographical outline and musical overview from March 2002 MusicWeb(UK).", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Robert_Marcel", "url": "http://www.musicweb-international.com/classrev/2002/Apr02/casadesus.htm"} +{"d:Title": "Robert Casadesus", "d:Description": "Chronological biography and musical overview with photographs, honors and awards, works list, discography, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/C/Casadesus,_Robert_Marcel", "url": "http://www.casadesus.com/UK/famille/robert-casadesus.html"} +{"d:Title": "Classical Archives: Alfredo Casella", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Casella,_Alfredo", "url": "http://www.classicalarchives.com/composer/2302.html"} +{"d:Title": "Casella, Alfredo (1883 - 1947)", "d:Description": "Biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Casella,_Alfredo", "url": "http://www.naxos.com/person/Alfredo_Casella/25934.htm"} +{"d:Title": "Alfredo Casella", "d:Description": "Entry from Musicalics with brief life, links, and summary of works.", "topic": "Top/Arts/Music/Composition/Composers/C/Casella,_Alfredo", "url": "http://musicalics.com/en/node/79280"} +{"d:Title": "Alfredo Casella (1883-1947)", "d:Description": "Song cycles and individual works listed with REC Music Foundation. Includes French and Italian lyrics.", "topic": "Top/Arts/Music/Composition/Composers/C/Casella,_Alfredo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3686"} +{"d:Title": "Mario Castelnuovo-Tedesco", "d:Description": "Filmography at IMDb includes many uncredited movies and use of his compositions as stock music.", "topic": "Top/Arts/Music/Composition/Composers/C/Castelnuovo-Tedesco,_Mario", "url": "http://www.imdb.com/name/nm0005997/"} +{"d:Title": "Castelnuovo-Tedesco, Mario (1895-1968)", "d:Description": "Brief biographical sketch, comments on vocal and guitar music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Castelnuovo-Tedesco,_Mario", "url": "http://www.naxos.com/person/Mario_Castelnuovo_Tedesco_25936/25936.htm"} +{"d:Title": "Mario Castelnuovo-Tedesco (1895-1968)", "d:Description": "Art songs and cycles listed with REC Music Foundation including some with original language texts and translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Castelnuovo-Tedesco,_Mario", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3689"} +{"d:Title": "Castillo, Ricardo (1894 - 1966), Guatemala", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Castillo,_Ricardo", "url": "http://stevenestrella.com/composers/composerfiles/castillo1966.html"} +{"d:Title": "Castillo, Ricardo (1894-1966)", "d:Description": "Biographical sketch and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Castillo,_Ricardo", "url": "http://www.naxos.com/person/Ricardo_Castillo/25937.htm"} +{"d:Title": "Ricardo Castillo", "d:Description": "Works list, life summary, family information, timeline, and related links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Castillo,_Ricardo", "url": "http://musicalics.com/en/node/87212"} +{"d:Title": "Catalani, Alfredo (1854 - 1893)", "d:Description": "Biography and discussion of his operas.", "topic": "Top/Arts/Music/Composition/Composers/C/Catalani,_Alfredo", "url": "http://www.naxos.com/person/Alfredo_Catalani/25938.htm"} +{"d:Title": "Cavalli, Pietro Francesco (1602 - 1676), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Cavalli,_Pier_Francesco", "url": "http://stevenestrella.com/composers/composerfiles/cavalli1676.html"} +{"d:Title": "Francesco Cavalli [Caletti]", "d:Description": "Biography, musical development, positions held, noted works, and link to related material on operas from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Cavalli,_Pier_Francesco", "url": "http://www.hoasm.org/VIIF/Cavalli.html"} +{"d:Title": "Cavalli, Francesco (1602-1676)", "d:Description": "Brief life and summary of operas.", "topic": "Top/Arts/Music/Composition/Composers/C/Cavalli,_Pier_Francesco", "url": "http://www.naxos.com/person/Francesco_Cavalli/24558.htm"} +{"d:Title": "Francesco Cavalli (1599-1676)", "d:Description": "Art songs listed at REC Music Foundation including Italian lyrics.", "topic": "Top/Arts/Music/Composition/Composers/C/Cavalli,_Pier_Francesco", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3697"} +{"d:Title": "Alfred Cellier", "d:Description": "Picture and biography from the D'Oyly Carte Opera Company.", "topic": "Top/Arts/Music/Composition/Composers/C/Cellier,_Alfred", "url": "http://diamond.boisestate.edu/gas/whowaswho/C/CellierAlfred.htm"} +{"d:Title": "Recordings of The Grand Duke", "d:Description": "Index to Recordings and Videos of 'The Mountebanks' by W. S. Gilbert and Alfred Cellier.", "topic": "Top/Arts/Music/Composition/Composers/C/Cellier,_Alfred", "url": "http://gasdisc.oakapplepress.com/mbk.htm"} +{"d:Title": "Joan Cererols", "d:Description": "Biographical information, comments on religious music, and related details on Iberian period music from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Cererols,_Joan", "url": "http://www.hoasm.org/VIIH/Cererols.html"} +{"d:Title": "Cervantes, Ignacio (1847 - 1905), Cuba", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, extended essay, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Cervantes,_Ignacio", "url": "http://stevenestrella.com/composers/composerfiles/cervantes1905.html"} +{"d:Title": "Ignacio Cervantes", "d:Description": "Musical and biographical information with links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Cervantes,_Ignacio", "url": "http://musicalics.com/en/node/79303"} +{"d:Title": "Cesti, Antonio (1623 - 1669), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Cesti,_Antonio", "url": "http://stevenestrella.com/composers/composerfiles/cesti1669.html"} +{"d:Title": "Chabrier, Emmanuel (1841 - 1894), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Chabrier,_Alexis-Emmanuel", "url": "http://stevenestrella.com/composers/composerfiles/chabrier1894.html"} +{"d:Title": "Emmanuel Chabrier: The Angel of the Absurd", "d:Description": "Key works, suggested reading, recommended recordings, portrait, and quotes from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/C/Chabrier,_Alexis-Emmanuel", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=115"} +{"d:Title": "Classical Archives: Emmanuel Chabrier", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/C/Chabrier,_Alexis-Emmanuel", "url": "http://www.classicalarchives.com/composer/2312.html"} +{"d:Title": "Chabrier, Emmanuel (1841-1894)", "d:Description": "Brief biography and caricature with summaries of orchestral and piano music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Chabrier,_Alexis-Emmanuel", "url": "http://www.naxos.com/person/Emmanuel_Chabrier/25942.htm"} +{"d:Title": "Emmanuel Chabrier", "d:Description": "Filmography listing TV shows and movies based on or using his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/C/Chabrier,_Alexis-Emmanuel", "url": "http://www.imdb.com/name/nm0149333/"} +{"d:Title": "Emmanuel Alexis Chabrier", "d:Description": "Birth and death dates, noted works, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Chabrier,_Alexis-Emmanuel", "url": "http://musicalics.com/en/node/79308"} +{"d:Title": "Art Songs by Emmanuel Chabrier", "d:Description": "Miscellaneous pieces listed with recmusic.org, all having French texts, some with English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Chabrier,_Alexis-Emmanuel", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3700"} +{"d:Title": "George Whitefield Chadwick", "d:Description": "Summary of operas and operettas with brief biography, partial discography, and links to related material from U S Opera.", "topic": "Top/Arts/Music/Composition/Composers/C/Chadwick,_George_Whitefield", "url": "http://www.usopera.com/composers/chadwick.html"} +{"d:Title": "Wikipedia: George Whitefield Chadwick", "d:Description": "Includes biography and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Chadwick,_George_Whitefield", "url": "http://en.wikipedia.org/wiki/George_Whitefield_Chadwick"} +{"d:Title": "Chadwick, George Whitefield (1854-1931)", "d:Description": "Biography tracing his musical development in spite of his puritanical background, noting how his work helped define a truly American sound. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Chadwick,_George_Whitefield", "url": "http://www.naxos.com/person/George_Whitefield_Chadwick/20720.htm"} +{"d:Title": "George Whitefield Chadwick", "d:Description": "List of selected orchestral works, operas, and librettists, with life and related composers from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Chadwick,_George_Whitefield", "url": "http://musicalics.com/en/node/85134"} +{"d:Title": "George Whitefield Chadwick (1854-1931)", "d:Description": "Miscellaneous art songs and cycles listed with REC Music Foundation. Includes English texts of public domain pieces.", "topic": "Top/Arts/Music/Composition/Composers/C/Chadwick,_George_Whitefield", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3701"} +{"d:Title": "Classical Archives: C\u00e9cile Chaminade", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Chaminade,_C\u00e9cile_Louise_Stephanie", "url": "http://www.classicalarchives.com/composer/2316.html"} +{"d:Title": "C\u00e9cile Chaminade (1857-1944)", "d:Description": "Miscellaneous songs in alphabetical order, most with French texts, several also having English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Chaminade,_C\u00e9cile_Louise_Stephanie", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3705"} +{"d:Title": "The Claude Champagne Virtual Exhibition", "d:Description": "Digital selection of documents, photographs, music samples, and artifacts from the National Library of Canada. Archived.", "topic": "Top/Arts/Music/Composition/Composers/C/Champagne,_Claude_Adonai", "url": "http://epe.lac-bac.gc.ca/100/200/301/lac-bac/claude_champagne-ef/www.lac-bac.gc.ca/4/3/index-e.html"} +{"d:Title": "Claude Champagne", "d:Description": "Summary works list, short biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Champagne,_Claude_Adonai", "url": "http://musicalics.com/en/node/87432"} +{"d:Title": "Yu-Hui Chang: Composer", "d:Description": "Biographical and professional information, works and calendar.", "topic": "Top/Arts/Music/Composition/Composers/C/Chang,_Yu-Hui", "url": "http://yuhuichang.com/"} +{"d:Title": "Classical Archives: Ruperto Chapi", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Chapi,_Ruperto", "url": "http://www.classicalarchives.com/composer/2317.html"} +{"d:Title": "Charpentier, Gabriel", "d:Description": "Short life history with links to related material from the Encyclopedia of Canadian Theatre.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Gabriel", "url": "http://www.canadiantheatre.com/dict.pl?term=Gabriel+Charpentier"} +{"d:Title": "Charpentier, Gustave (1860 - 1956), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Gustave", "url": "http://stevenestrella.com/composers/composerfiles/charpentier1956.html"} +{"d:Title": "Gustave Charpentier", "d:Description": "List of works from Opera Glass at Stanford University with details on Louise including performance history and two studies of the libretto.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Gustave", "url": "http://opera.stanford.edu/opera/Charpentier/"} +{"d:Title": "Grave of Gustave Charpentier", "d:Description": "Find a Grave photograph of his Paris tomb with link to cemetery showing other notables sharing his burial place.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Gustave", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7739"} +{"d:Title": "Gustave Charpentier", "d:Description": "Filmography linked to movies based upon or using his music or libretto from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Gustave", "url": "http://www.imdb.com/name/nm0153431/"} +{"d:Title": "Charpentier, Gustave (1860-1956)", "d:Description": "Brief biography and caricature with summary of operas and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Gustave", "url": "http://www.naxos.com/person/Gustave_Charpentier/25944.htm"} +{"d:Title": "Gustave Charpentier (1860-1956)", "d:Description": "Miscellaneous songs, most with French texts, some with English, German, and/or Italian translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Gustave", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3708"} +{"d:Title": "Charpentier, Marc-Antoine (c. 1643 - 1704), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://stevenestrella.com/composers/composerfiles/charpentier1704.html"} +{"d:Title": "NewOlde.com - Marc-Antoine Charpentier Discography", "d:Description": "Complete, chronological discography of recordings of the music of Charpentier from 1978 to date.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://www.newolde.com/charpentier_cds.htm"} +{"d:Title": "Marc-Antoine Charpentier: Capturing the Essence of French Nobility", "d:Description": "Brief biography, key works, suggested reading, timeline, recommended recordings, and additional resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=24"} +{"d:Title": "Classical Archives: Marc-Antoine Charpentier", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://www.classicalarchives.com/composer/2319.html"} +{"d:Title": "Marc-Antoine Charpentier", "d:Description": "Filmography linked to details about movies and television programs based upon or using his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://www.imdb.com/name/nm0153443/"} +{"d:Title": "Charpentier, Marc-Antoine (1643-1704)", "d:Description": "Brief biography and caricature with summary of church music and links to recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://www.naxos.com/person/Marc_Antoine_Charpentier/25945.htm"} +{"d:Title": "Marc-Antoine Charpentier", "d:Description": "Listing of major works and recommended recordings from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://www.classical.net/music/comp.lst/charpentierma.php"} +{"d:Title": "Marc-Antoine Charpentier", "d:Description": "English and French evaluations of his works, especially an extended study of his Te Deum and Missa Assumpta est Maria, including related composers from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Charpentier,_Marc-Antoine", "url": "http://musicalics.com/en/node/79320"} +{"d:Title": "Ernest Chausson (1855-1899)", "d:Description": "DoveSong International biography and photograph with evaluation of his place among composers, recommended recordings and books, and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Chausson,_Ernest_Am\u00e9d\u00e9e", "url": "http://www.dovesong.com/positive_music/archives/romantic/Chausson.asp"} +{"d:Title": "Ernest Chausson", "d:Description": "Find A Grave entry with brief biography, photos of him and his tomb, and cemetery and plot information.", "topic": "Top/Arts/Music/Composition/Composers/C/Chausson,_Ernest_Am\u00e9d\u00e9e", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7865"} +{"d:Title": "Classical Archives: Ernest Chausson", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Chausson,_Ernest_Am\u00e9d\u00e9e", "url": "http://www.classicalarchives.com/composer/2320.html"} +{"d:Title": "Chausson, Ernest (1855-1899)", "d:Description": "Brief biography and caricature with mention of his orchestral works and Naxos discography of his orchestral, jazz, and chamber music.", "topic": "Top/Arts/Music/Composition/Composers/C/Chausson,_Ernest_Am\u00e9d\u00e9e", "url": "http://www.naxos.com/person/Ernest_Chausson/25946.htm"} +{"d:Title": "Ernest Am\u00e9d\u00e9e Chausson (1855-1899)", "d:Description": "Art songs and cycles with French texts, some also having English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Chausson,_Ernest_Am\u00e9d\u00e9e", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3710"} +{"d:Title": "Carlos Ch\u00e1vez", "d:Description": "Biography, works, selected discography, review, and photograph.", "topic": "Top/Arts/Music/Composition/Composers/C/Ch\u00e1vez_y_Ram\u00edrez,_Carlos_Antonio_de_Padua", "url": "http://www.musicsalesclassical.com/composer/short-bio/257"} +{"d:Title": "Carlos Ch\u00e1vez (1899-1978)", "d:Description": "Miscellaneous songs and cycles from recmusic.org, some with texts.", "topic": "Top/Arts/Music/Composition/Composers/C/Ch\u00e1vez_y_Ram\u00edrez,_Carlos_Antonio_de_Padua", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3711"} +{"d:Title": "Chen Yi", "d:Description": "Article from the Living Composers Project notes education, honors, marriage to Zhou Long, and includes complete works list and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Chen_Yi", "url": "http://composers21.com/compdocs/chenyi.htm"} +{"d:Title": "Chen Yi", "d:Description": "Interview transcript from Minnesota Public Radio Music's Bridge of Souls concert paying homage to victims and survivors of war atrocities with interviewer Dan Olson. Includes RAM audio link.", "topic": "Top/Arts/Music/Composition/Composers/C/Chen_Yi", "url": "http://music.minnesota.publicradio.org/features/0109_hun_qiao/yi_transcript.shtml"} +{"d:Title": "Mario Luigi Carlo Zenobio Salvatore Cherubini", "d:Description": "Catholic Encyclopedia article with biographical details emphasizing religious music and his time away from its composition.", "topic": "Top/Arts/Music/Composition/Composers/C/Cherubini,_Mario_Luigi_Carlo_Zenobio_Salvatore", "url": "http://www.newadvent.org/cathen/03648a.htm"} +{"d:Title": "Classical Archives: Luigi Cherubini", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Cherubini,_Mario_Luigi_Carlo_Zenobio_Salvatore", "url": "http://www.classicalarchives.com/composer/2325.html"} +{"d:Title": "Luigi Cherubini", "d:Description": "Filmography with links to movies and television programs using his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/C/Cherubini,_Mario_Luigi_Carlo_Zenobio_Salvatore", "url": "http://www.imdb.com/name/nm0156140/"} +{"d:Title": "Cherubini, Luigi (1760-1842)", "d:Description": "Brief biography and caricature with summary of his operas and recommended orchestral and choral discography from Naxos.", "topic": "Top/Arts/Music/Composition/Composers/C/Cherubini,_Mario_Luigi_Carlo_Zenobio_Salvatore", "url": "http://www.naxos.com/person/Luigi_Cherubini/23325.htm"} +{"d:Title": "Yelton Rhodes Music: Paul Chihara", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/C/Chihara,_Paul_Seiko", "url": "http://www.yrmusic.com/bios/pchihara/index.html"} +{"d:Title": "Paul Chihara went Hollywood", "d:Description": "American Composers Orchestra interview and essay by Mic Holwin examines the perception of \"film\" compared to \"classical\" composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Chihara,_Paul_Seiko", "url": "http://www.americancomposers.org/hollywood_chihara_interview.htm"} +{"d:Title": "Paul Chihara", "d:Description": "Filmography and related links at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/C/Chihara,_Paul_Seiko", "url": "http://www.imdb.com/name/nm0002414/"} +{"d:Title": "Paul Seiko Chihara", "d:Description": "Picture and biography with links, purchase information, and review.", "topic": "Top/Arts/Music/Composition/Composers/C/Chihara,_Paul_Seiko", "url": "http://www.musicsalesclassical.com/composer/short-bio/Paul-Chihara"} +{"d:Title": "Chopin Society of Houston", "d:Description": "The non-profit organization established to promote his music in south Texas. Includes biography, artists, photos, links, and membership information.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://chopinsocietyofhouston.org/"} +{"d:Title": "Polamjournal: Frederic Chopin", "d:Description": "Polish American Journal includes a biography, selected links and MIDI files available for download.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.polamjournal.com/Library/Biographies/Chopin/chopin.html"} +{"d:Title": "Mfiles", "d:Description": "Biography and links from mfiles with a variety of sheet music examples to be played or downloaded.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.mfiles.co.uk/Composers/Frederic-Chopin.htm"} +{"d:Title": "Classical Music Archives", "d:Description": "Over 470 complete works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music. Timelines, search engine.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.classicalarchives.com/chopin.html"} +{"d:Title": "The Frederic Chopin Society", "d:Description": "Non-profit organization established in 1984, dedicated to presenting classical concert series in the twin cities of Minneapolis and St. Paul, Minnesota. Schedule, ticket information, and membership information.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.chopinsocietymn.org/"} +{"d:Title": "Classic Cat", "d:Description": "Directory of free classical MP3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.classiccat.net/chopin_f/"} +{"d:Title": "Chopin Early Editions", "d:Description": "Collection of over 400 first and early printed editions of musical compositions in the University of Chicago Library. Catalogued and scanned.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://chopin.lib.uchicago.edu/"} +{"d:Title": "Chopin Society", "d:Description": "Biography, list of various piano works, and analyses of various compositions", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.chopinsociety.org/"} +{"d:Title": "Motivic-Structural Unity in Chopin's Nocturne", "d:Description": "An analysis of the Nocturne in G minor, opus 37.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.humanities.mcmaster.ca/~mus701/Barbara/chopin.htm"} +{"d:Title": "NNDB: Tracking the World", "d:Description": "Biography of his personal and musical life.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.nndb.com/people/461/000022395/"} +{"d:Title": "Chopin - The Poet of The Piano", "d:Description": "Biography, images, music, analyses, quotes, work list, quizzes, forum, noted interpreters.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.ourchopin.com/"} +{"d:Title": "Fr\u00e9d\u00e9ric Chopin (1810 - 1849)", "d:Description": "Provides biography, photograph and listing of recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://pianosociety.com/cms/index.php?section=123"} +{"d:Title": "Naxos: Fryderyk Chopin", "d:Description": "Brief biography and caricature with summaries of orchestral, chamber, and piano music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.naxos.com/composerinfo/205.htm"} +{"d:Title": "Fryderyk Chopin Institute", "d:Description": "Information about the composers life and music, institutions, people, events, bibliography (over 5000 records), catalogues of manuscripts and films.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.nifc.pl/"} +{"d:Title": "The Chopin Project", "d:Description": "Live-concert-and-symposium series at the University of Michigan's School of Music with recordings of selected Chopin's works", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://chopinproject.com/"} +{"d:Title": "Chopin Music", "d:Description": "The life and works of Chopin: biographies, quotes, study guides, sheet music, recordings, discussion forum", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.chopinmusic.net/"} +{"d:Title": "Kunst der Fuge: Fryderyk Chopin", "d:Description": "Collection of MIDI (sequences and real performances), MP3 and Streaming Audio files from featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.kunstderfuge.com/chopin.htm"} +{"d:Title": "The REC Music Foundation", "d:Description": "Songs with words, with English translations available.", "topic": "Top/Arts/Music/Composition/Composers/C/Chopin,_Frederic", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3719"} +{"d:Title": "Chung Yiu-kwong", "d:Description": "Official site. Includes biographical information, picture, work list, RealAudio sound files, order and parts rental information, and upcoming events.", "topic": "Top/Arts/Music/Composition/Composers/C/Chung_Yiu-Kwong", "url": "http://www.cykusic.com/Ebiography.htm"} +{"d:Title": "Francesco Cilea", "d:Description": "Listing of operas from OperaGlass at Stanford University with date and locale of debut. Includes links to extended treatments of L'Arlesiana and Gloria.", "topic": "Top/Arts/Music/Composition/Composers/C/Cilea,_Francesco", "url": "http://opera.stanford.edu/opera/Cilea/"} +{"d:Title": "Francesco Cilea", "d:Description": "Biography, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/C/Cilea,_Francesco", "url": "http://www.classiccat.net/cilea_f/"} +{"d:Title": "Francesco Cilea", "d:Description": "Filmography noting cinematic and television compositional credits from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/C/Cilea,_Francesco", "url": "http://www.imdb.com/name/nm0162277/"} +{"d:Title": "Francesco Cilea (1866-1950)", "d:Description": "Brief biographical sketch, caricature, summary of his operas, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Cilea,_Francesco", "url": "http://www.naxos.com/person/Francesco_Cilea_21112/21112.htm"} +{"d:Title": "Domenico Cimarosa", "d:Description": "Directory of free MP3s available for download through Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/C/Cimarosa,_Domenico_Nicola", "url": "http://www.classiccat.net/cimarosa_d/"} +{"d:Title": "Classical Archives: Domenico Cimarosa", "d:Description": "Biography and music files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Cimarosa,_Domenico_Nicola", "url": "http://www.classicalarchives.com/composer/2336.html"} +{"d:Title": "Cimarosa, Domenico (1749-1801)", "d:Description": "Biographical sketch and caricature with summary of his operas and recommended recordings featuring his works.", "topic": "Top/Arts/Music/Composition/Composers/C/Cimarosa,_Domenico_Nicola", "url": "http://www.naxos.com/person/Domenico_Cimarosa/25952.htm"} +{"d:Title": "Domenico Cimarosa", "d:Description": "Musicalics entry with praise from contemporaries, including the Austrian Emperor.", "topic": "Top/Arts/Music/Composition/Composers/C/Cimarosa,_Domenico_Nicola", "url": "http://musicalics.com/en/node/79349"} +{"d:Title": "Domenico Cimarosa (1749-1801)", "d:Description": "Miscellaneous songs with German, English, and French texts from recmusic.org.", "topic": "Top/Arts/Music/Composition/Composers/C/Cimarosa,_Domenico_Nicola", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3726"} +{"d:Title": "Grave of Mikalojus Konstantinas Ciurlionis", "d:Description": "Find a Grave photograph of his tomb in Rasu Cemetery, Vilnius, Lithuania, with links to cemetery showing other notables buried nearby.", "topic": "Top/Arts/Music/Composition/Composers/C/Ciurlionis,_Mikalojus_Konstantinas", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3981"} +{"d:Title": "Ciurlionis, Mikolajus Konstantinas (1875-1911)", "d:Description": "Biographical sketch and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Ciurlionis,_Mikalojus_Konstantinas", "url": "http://www.naxos.com/person/Mikolajus_Konstantinas_Ciurlionis/25953.htm"} +{"d:Title": "Mikalojus Konstantinas \u010ciurlionis", "d:Description": "Study of his life and works including continuing performances of his works and showings of his art and his national and international posterity. Lithuanian and English.", "topic": "Top/Arts/Music/Composition/Composers/C/Ciurlionis,_Mikalojus_Konstantinas", "url": "http://ciurlionis.licejus.lt/"} +{"d:Title": "Mikolajus Ciurlionis Paintings, Prints and Reproductions", "d:Description": "Works available for downloading and printing. Also painted copies available for purchase.", "topic": "Top/Arts/Music/Composition/Composers/C/Ciurlionis,_Mikalojus_Konstantinas", "url": "http://artunframed.com/Gallery/Artist/lithuanian-art/mikalojus-ciurlionis/"} +{"d:Title": "Jeremiah Clarke", "d:Description": "Biography includes cause of death and misattribution of music. Also links to related information and for purchase of music, books, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/C/Clarke,_Jeremiah", "url": "http://www.grainger.de/music/composers/clarkej.html"} +{"d:Title": "Classical Music Archives: Jeremiah Clarke", "d:Description": "Music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/C/Clarke,_Jeremiah", "url": "http://www.classicalarchives.com/composer/2337.html"} +{"d:Title": "Clarke, Jeremiah (1674-1707)", "d:Description": "Brief biographical sketch and comments on instrumental music with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/C/Clarke,_Jeremiah", "url": "http://www.naxos.com/person/Jeremiah_Clarke/25954.htm"} +{"d:Title": "Clarke, Rebecca", "d:Description": "Biography, works for viola, information about the composer, and photographs. Composer and Violist (1886-1979).", "topic": "Top/Arts/Music/Composition/Composers/C/Clarke,_Rebecca", "url": "http://www.rebeccaclarke.org/"} +{"d:Title": "Classical Archives: Rebecca Clarke", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/C/Clarke,_Rebecca", "url": "http://www.classicalarchives.com/composer/8167.html"} +{"d:Title": "Rebecca Clarke (1886-1979)", "d:Description": "Song cycles and miscellaneous songs listed at recmusic.org, several with English texts.", "topic": "Top/Arts/Music/Composition/Composers/C/Clarke,_Rebecca", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3731"} +{"d:Title": "Muzio Clementi: Opera Omnia", "d:Description": "Urtext in 60 volumes published by Ut Orpheus Edizioni. Contents and publication schedule, studies, chronology, bibliography, and discography in English and Italian. Includes information and online orders.", "topic": "Top/Arts/Music/Composition/Composers/C/Clementi,_Muzio", "url": "http://www.muzioclementi.com/"} +{"d:Title": "Piano music of Clementi from Wolfmusic Publications.", "d:Description": "A new classical music publisher specializing in the piano music of Muzio Clementi that has been previously unpublished or is currently unavailable in modern notation. Includes a biography, scores, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/C/Clementi,_Muzio", "url": "http://www.wolfmusic-publications.com/"} +{"d:Title": "Grave of Muzio Clementi", "d:Description": "Find a Grave portrait and photographs of his tomb in Westminster Abbey, London, England. Linked to listing of other notables entombed in the church.", "topic": "Top/Arts/Music/Composition/Composers/C/Clementi,_Muzio", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=10243"} +{"d:Title": "Kunst der Fuge | Muzio Clementi", "d:Description": "List of canons and fugues, short biography, portrait.", "topic": "Top/Arts/Music/Composition/Composers/C/Clementi,_Muzio", "url": "http://www.kunstderfuge.com/clementi.htm"} +{"d:Title": "Classical Archives: Muzio Clementi", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Clementi,_Muzio", "url": "http://www.classicalarchives.com/composer/2338.html"} +{"d:Title": "Clementi, Muzio (1752-1832)", "d:Description": "Brief biography emphasizing his piano playing and composing with caricature, summary of piano music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Clementi,_Muzio", "url": "http://www.naxos.com/person/Muzio_Clementi/25957.htm"} +{"d:Title": "Grave of Eric Coates", "d:Description": "Find a Grave photograph of the marker for him, his wife Phyllis, and their son Austin with link to other notables in the Golders Green Crematorium, London, England.", "topic": "Top/Arts/Music/Composition/Composers/C/Coates,_Eric", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6695"} +{"d:Title": "Eric Coates (1886-1957)", "d:Description": "Biography showing his evolution into a light music and patriotic composer with recommended Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Coates,_Eric", "url": "http://www.naxos.com/composerinfo/216.htm"} +{"d:Title": "Eric Coates", "d:Description": "Photographs and links to essays and lists of orchestral works and songs and ballads from Musicweb UK.", "topic": "Top/Arts/Music/Composition/Composers/C/Coates,_Eric", "url": "http://www.musicweb-international.com/coates/"} +{"d:Title": "Eric Coates: Thoughts on and Recollections of his Music", "d:Description": "Philip Scowcroft, author of British Light Music Composers, reflects on the man and his compositions. Written familiarly and with respect and admiration.", "topic": "Top/Arts/Music/Composition/Composers/C/Coates,_Eric", "url": "http://www.musicweb-international.com/garlands/coates2.htm"} +{"d:Title": "Eric Coates", "d:Description": "Filmography at the Internet Movie Database includes links to listed films.", "topic": "Top/Arts/Music/Composition/Composers/C/Coates,_Eric", "url": "http://www.imdb.com/name/nm0167630/"} +{"d:Title": "Samuel Coleridge-Taylor", "d:Description": "Biography from the York Symphony Orchestra with commentary on musical accomplishments and social activism. Includes photograph.", "topic": "Top/Arts/Music/Composition/Composers/C/Coleridge-Taylor,_Samuel", "url": "http://www.yso.org.uk/biographies/coleridgetaylor.html"} +{"d:Title": "Samuel Coleridge-Taylor (1875-1912)", "d:Description": "Discography of instrumental works by the African European composer. Includes CD cover photos, a brief biographical essay, and a bibliography.", "topic": "Top/Arts/Music/Composition/Composers/C/Coleridge-Taylor,_Samuel", "url": "http://chevalierdesaintgeorges.homestead.com/Song.html"} +{"d:Title": "Samuel Coleridge-Taylor", "d:Description": "Illustrated biography includes his medical studies and practice, his musical abilities, and his pioneering work in civil rights, including a visit to President Theodore Roosevelt's White House during an American tour.", "topic": "Top/Arts/Music/Composition/Composers/C/Coleridge-Taylor,_Samuel", "url": "http://cambridgechorus.org/docs/comps/SC-Taylor.html"} +{"d:Title": "Classical Archives: Samuel Coleridge-Taylor", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/C/Coleridge-Taylor,_Samuel", "url": "http://www.classicalarchives.com/composer/2343.html"} +{"d:Title": "Coleridge-Taylor, Samuel (1875-1912)", "d:Description": "Brief biographical sketch with comments on orchestral and choral music and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/C/Coleridge-Taylor,_Samuel", "url": "http://www.naxos.com/person/Samuel_Coleridge_Taylor/27124.htm"} +{"d:Title": "Samuel Coleridge-Taylor (1875-1912)", "d:Description": "Miscellaneous songs from recmusic.org, one with English text.", "topic": "Top/Arts/Music/Composition/Composers/C/Coleridge-Taylor,_Samuel", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3748"} +{"d:Title": "Michael Colgrass", "d:Description": "Biography with list of awards and accomplishments. Includes some details on his Deep Listening program.", "topic": "Top/Arts/Music/Composition/Composers/C/Colgrass,_Michael", "url": "http://www153.pair.com/bensav/Compositeurs/Colgrass.M.html"} +{"d:Title": "Michael Colgrass", "d:Description": "Photographs, biography, compositions, recordings, comment and concert reviews, and conversation with the composer.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/C/Colgrass,_Michael", "url": "http://home.interlog.com/~colgrass/"} +{"d:Title": "David Conley", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/C/Conley,_David", "url": "http://www.imdb.com/name/nm1104323/"} +{"d:Title": "Mfiles: Bill Conti", "d:Description": "Career overview and filmography.", "topic": "Top/Arts/Music/Composition/Composers/C/Conti,_Bill", "url": "http://www.mfiles.co.uk/Composers/Bill-Conti.htm"} +{"d:Title": "IMdb: Bill Conti", "d:Description": "Biography, filmography, and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Conti,_Bill", "url": "http://www.imdb.com/name/nm0006015/"} +{"d:Title": "Frederick Shepherd Converse", "d:Description": "Information about him and his operas from usopera.com, including selected libretto in HTML and text, scores in various formats, and purchase links for books and recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Converse,_Frederick_Shepherd", "url": "http://usopera.com/composers/converse.html"} +{"d:Title": "Paul Cooper", "d:Description": "Biography, works, picture, selected discography, and articles from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/C/Cooper,_Paul", "url": "http://www.musicsalesclassical.com/composer/works/285"} +{"d:Title": "John Cooper", "d:Description": "Entry at the Lied and Art Songs Text Page linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/C/Cooper,_Paul", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3761"} +{"d:Title": "The Copland Heritage Association (CHA)", "d:Description": "Aaron Copland's long-time home near New York City now administered as a composers' retreat and creative center for American music. Includes biography, works, calendar, contact information.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.coplandhouse.org/"} +{"d:Title": "Featured Subject: Aaron Copland", "d:Description": "Kentucky Educational Television's distance learning program presents music and book reviews and articles by and about him from the archives of The New York Times.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.dl.ket.org/humanities/music/copland.htm"} +{"d:Title": "The Aaron Copland Collection", "d:Description": "Library of Congress entry allows browsing by musical sketches, writings, correspondence, photographs, and title index. Includes the special presentations About Copland's Works, Timeline, An Intimate Sketch, and A 75th Birthday Tribute.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://memory.loc.gov/ammem/achtml/achome.html"} +{"d:Title": "Aaron Copland", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.americancomposers.org/raksin_copland.htm"} +{"d:Title": "Aaron Copland", "d:Description": "Biography, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.classiccat.net/copland_a/"} +{"d:Title": "Aaron Copland: American Composer", "d:Description": "Lucidcaf\u00e9's profile noting life, works, and style with photograph and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.lucidcafe.com/library/95nov/copland.html"} +{"d:Title": "Aaron Copland", "d:Description": "Wikipedia article with life, photograph, works list, bibliography, internal references to related people, topics, and selected works, and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://en.wikipedia.org/wiki/Aaron_Copland"} +{"d:Title": "Aaron Copland", "d:Description": "Find A Grave entry with birth and death information, disposition of ashes, photograph, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3713&pt=Aaron+Copland"} +{"d:Title": "Aaron Copland", "d:Description": "Filmography at IMDb with brief biography, Oscar awards and nominations, and composer, actor, lyricist, and musical director credits from film and television.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.imdb.com/name/nm0178716/"} +{"d:Title": "Aaron Copland: The Gift To Be Simple", "d:Description": "Biography, key works, suggested reading, timeline, recommended recordings, quotes, additional resources, and historical and societal context. From Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=74"} +{"d:Title": "Aaron Copland", "d:Description": "Brief biography summarizing orchestral pieces and ballet music and showing the influence of Boulanger in moving him and his nation to a distinctive American music, away from European domination. Includes Naxos discography and caricature.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.naxos.com/composerinfo/224.htm"} +{"d:Title": "Aaron Copland", "d:Description": "Information about his operatic works, especially the both the two and the three act versions of The Tender Land.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.usopera.com/composers/copland.html"} +{"d:Title": "Classical Archives: Aaron Copland", "d:Description": "Works and biography from the Concise Oxford Dictionary of Music.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.classicalarchives.com/composer/5585.html"} +{"d:Title": "Classical Net", "d:Description": "Copland: basic repertoire list.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.classical.net/music/comp.lst/copland.php"} +{"d:Title": "Aaron Copland: The Life And Work Of An Uncommon Man", "d:Description": "Classical Net review of the 1999 book by Howard Pollack with commentary on the author, the subject, and the writing itself.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.classical.net/music/books/reviews/0805049096a.php"} +{"d:Title": "American Masters: Aaron Copland", "d:Description": "Summary of PBS show with links to connected artists and additional sites.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.pbs.org/wnet/americanmasters/episodes/aaron-copland/about-the-composer/475/"} +{"d:Title": "Aaron Copland", "d:Description": "Entry at the Lied and Art Songs Text Pages listing cycles and individual vocal works with links to public domain texts.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3763"} +{"d:Title": "Aaron Copland Credits on Broadway", "d:Description": "Official credits from the Internet Broadway Database. Includes performers, choreographers, and other principals of each production.", "topic": "Top/Arts/Music/Composition/Composers/C/Copland,_Aaron", "url": "https://www.ibdb.com/broadway-cast-staff/aaron-copland-11542"} +{"d:Title": "Copper, William", "d:Description": "Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/C/Copper,_William", "url": "http://www.hartenshield.com/william_copper.html"} +{"d:Title": "Corelli, Arcangelo", "d:Description": "Biographical outline with links to extended essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Corelli,_Arcangelo", "url": "http://stevenestrella.com/composers/composerfiles/corelli1713.html"} +{"d:Title": "Classic Cat: Corelli", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Corelli,_Arcangelo", "url": "http://www.classiccat.net/corelli_a"} +{"d:Title": "Corelli, Arcangelo 1653 - 1713)", "d:Description": "Brief biography short study of his instrumental music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/C/Corelli,_Arcangelo", "url": "http://www.naxos.com/composerinfo/bio27130.htm"} +{"d:Title": "Classical Archives: Arcangelo Corelli", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Over 25 complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/C/Corelli,_Arcangelo", "url": "http://www.classicalarchives.com/composer/2353.html"} +{"d:Title": "Michel Corrette", "d:Description": "Brief biography showing him as organist, teacher, and early musicologist as well as composer. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Corette,_Michel", "url": "http://www.hoasm.org/VIIE/Corrette.html"} +{"d:Title": "Michel Corrette", "d:Description": "Biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Corette,_Michel", "url": "http://musicalics.com/en/node/80945"} +{"d:Title": "Corghi, Azio (1937-)", "d:Description": "Biography with special emphasis on the opera Divara-Wasser und Blut. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Corghi,_Azio", "url": "http://www.naxos.com/composerinfo/bio27131.htm"} +{"d:Title": "Corigliano, John (1938 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biography.", "topic": "Top/Arts/Music/Composition/Composers/C/Corigliano,_John_Paul", "url": "http://stevenestrella.com/composers/composerfiles/coriglianoliving.html"} +{"d:Title": "John Corigliano - mfiles listing", "d:Description": "An overview of the film music scores of John Corigliano.", "topic": "Top/Arts/Music/Composition/Composers/C/Corigliano,_John_Paul", "url": "http://www.mfiles.co.uk/Composers/John-Corigliano.htm"} +{"d:Title": "John Corigliano", "d:Description": "Filmography and biography with related links at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/C/Corigliano,_John_Paul", "url": "http://www.imdb.com/name/nm0179858/"} +{"d:Title": "Music Sales Classical: John Corigliano", "d:Description": "Biography with a photograph and links to a work list, discography, articles, reviews, awards, and information about selected works.", "topic": "Top/Arts/Music/Composition/Composers/C/Corigliano,_John_Paul", "url": "http://www.musicsalesclassical.com/composer/short-bio/John-Corigliano"} +{"d:Title": "John Corigliano (1938-)", "d:Description": "List of song cycles at recmusic.org includes English texts of public domain lyrics.", "topic": "Top/Arts/Music/Composition/Composers/C/Corigliano,_John_Paul", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3766"} +{"d:Title": "Unsung Songs: Peter Cornelius", "d:Description": "Biographical data and careful examination of the poem and music for \"Komm, wir wandeln zusammen im Mondschein\" are used to promote one of the composers overshadowed by Wagner.", "topic": "Top/Arts/Music/Composition/Composers/C/Cornelius,_Carl_August_Peter", "url": "http://www.lawrence.edu/fac/koopmajo/cornelius.html"} +{"d:Title": "Peter Cornelius (1824-1874)", "d:Description": "Miscellaneous songs and song cycles from recmusic.org, most with German texts and many having English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Cornelius,_Carl_August_Peter", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=585"} +{"d:Title": "Jean Coulthard", "d:Description": "Biographical article with photograph and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Coulthard,_Jean", "url": "http://www.naxos.com/person/Jean_Coulthard/25729.htm"} +{"d:Title": "Jean Coulthard (1908-2000)", "d:Description": "Listing at the Lied and Art Songs Text Page with lyrics to selected works.", "topic": "Top/Arts/Music/Composition/Composers/C/Coulthard,_Jean", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6741"} +{"d:Title": "Couperin, Fran\u00e7ois (le grand)", "d:Description": "Biographical outline with recommended recordings and readings. Includes external links to essays. From Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://stevenestrella.com/composers/composerfiles/couperin1733.html"} +{"d:Title": "Fran\u00e7ois Couperin", "d:Description": "Brief biography from Timothy Smith's Sojourn pages. Notes his influence on J. S. Bach.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://jan.ucc.nau.edu/~tas3/couperin.html"} +{"d:Title": "Fran\u00e7ois Couperin (Le Grand)", "d:Description": "Portrait and detailed biography from Michael Sartorius' Arton Baroque Composers and Musicians.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://www.baroquemusic.org/bqxcoup.html"} +{"d:Title": "NewOlde.com - Fran\u00e7ois Couperin", "d:Description": "Biography from New Grove 2, recent and recommended recordings, books, sheet music and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://www.newolde.com/couperin_francois.htm"} +{"d:Title": "Fran\u00e7ois Couperin", "d:Description": "Biography, links, and directory of free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://www.classiccat.net/couperin_f_1668/"} +{"d:Title": "Fran\u00e7ois Couperin: Le Grand", "d:Description": "Biographical timeline and keyworks with recommended recordings and readings.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=28"} +{"d:Title": "Fran\u00e7ois Couperin", "d:Description": "Wikipedia article with life, summary of musical style and output, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://en.wikipedia.org/wiki/Fran%C3%A7ois_Couperin"} +{"d:Title": "Francois Couperin", "d:Description": "Brief biographical sketch, caricature, comments on church, harpsichord, and chamber music, and Naxos/HNH discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://www.naxos.com/composerinfo/235.htm"} +{"d:Title": "Classical Archives - Fran\u00e7ois Couperin", "d:Description": "Biography from the Concise Oxford Dictionary of music. Works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://www.classicalarchives.com/composer/2363.html"} +{"d:Title": "Fran\u00e7ois Couperin", "d:Description": "IMDb listing includes films using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Fran\u00e7ois", "url": "http://www.imdb.com/name/nm0183641/"} +{"d:Title": "Gervais-Fran\u00e7ois Couperin", "d:Description": "Pieces for Organ.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Gervais-Fran\u00e7ois", "url": "http://chanvrelin.free.fr/gfc_a.htm"} +{"d:Title": "Gervais-Fran\u00e7ois Couperin", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Gervais-Fran\u00e7ois", "url": "http://sgorgue.free.fr/english/gfcoup_e.php"} +{"d:Title": "NewOlde.com - Louis Couperin", "d:Description": "Biography from New Grove 2, recommended recordings and sheet music and links.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Louis", "url": "http://www.newolde.com/couperin_louis.htm"} +{"d:Title": "Classical Archives: Louis Couperin", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/C/Couperin,_Louis", "url": "http://www.classicalarchives.com/composer/2364.html"} +{"d:Title": "Henry Cowell", "d:Description": "Biography, works, selected discography, article, review.", "topic": "Top/Arts/Music/Composition/Composers/C/Cowell,_Henry_Dixon", "url": "http://www.musicsalesclassical.com/composer/short-bio/Henry-Cowell"} +{"d:Title": "Henry Dixon Cowell (1897-1965)", "d:Description": "Listing of miscellaneous songs and cycles at recmusic.org, some with texts.", "topic": "Top/Arts/Music/Composition/Composers/C/Cowell,_Henry_Dixon", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3778"} +{"d:Title": "Frederic Hymen Cowen: Butterfly's Ball, Indian Rhapsody and Symphony 3 'Scandinavian'", "d:Description": "CD review with detailed biography from the MusicWeb Classical Reviews, February 2002.", "topic": "Top/Arts/Music/Composition/Composers/C/Cowen,_Frederic_Hymen", "url": "http://www.musicweb-international.com/classrev/2002/Feb02/Cowen.htm"} +{"d:Title": "Cowen, Frederic Hymen (1852-1935)", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Cowen,_Frederic_Hymen", "url": "http://www.naxos.com/person/Frederic_Hymen_Cowen/27137.htm"} +{"d:Title": "Cramer, Johann Baptist (1771 - 1858), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay.", "topic": "Top/Arts/Music/Composition/Composers/C/Cramer,_Johann_Baptist", "url": "http://stevenestrella.com/composers/composerfiles/cramer1858.html"} +{"d:Title": "Classical Archives: Johann Baptist Cramer", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/C/Cramer,_Johann_Baptist", "url": "http://www.classicalarchives.com/composer/2367.html"} +{"d:Title": "History of Johann Baptist Cramer", "d:Description": "Focus on his time in England, especially as a music publicist and a piano maker.", "topic": "Top/Arts/Music/Composition/Composers/C/Cramer,_Johann_Baptist", "url": "http://www.piano-tuners.org/history/cramer/"} +{"d:Title": "Creston, Paul (1906 - 1985)", "d:Description": "Brief biography from HNH-Naxos with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/C/Creston,_Paul", "url": "http://www.naxos.com/person/Paul_Creston/22406.htm"} +{"d:Title": "Paul Creston", "d:Description": "Biography, key works, selected discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Creston,_Paul", "url": "http://www.musicsalesclassical.com/composer/short-bio/Paul-Creston"} +{"d:Title": "Paul Creston (1906-1985)", "d:Description": "Songs and song cycles listed at recmusic.org, some with English texts.", "topic": "Top/Arts/Music/Composition/Composers/C/Creston,_Paul", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3787"} +{"d:Title": "HOASM: William Croft", "d:Description": "Portraits, biography and partial discography from the Here on a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/C/Croft,_William", "url": "http://www.hoasm.org/VIIA/Croft.html"} +{"d:Title": "Complete Harpsichord WorksClassical Reviews- August 2001 MusicWeb(UK) [KM]:", "d:Description": "List of the works with reviews of the music by Kirk McElhearn, from Music Web, UK.", "topic": "Top/Arts/Music/Composition/Composers/C/Croft,_William", "url": "http://www.musicweb-international.com/classrev/2001/Sept01/Croft.htm"} +{"d:Title": "Classical Archives: William Croft", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/C/Croft,_William", "url": "http://www.classicalarchives.com/composer/2370.html"} +{"d:Title": "William Croft", "d:Description": "(1678-1727) Portrait, biography and music, from Cyber Hymnal.", "topic": "Top/Arts/Music/Composition/Composers/C/Croft,_William", "url": "http://www.hymntime.com/tch/bio/c/r/o/croft_w.htm"} +{"d:Title": "William Croft", "d:Description": "Biography with time line.", "topic": "Top/Arts/Music/Composition/Composers/C/Croft,_William", "url": "http://rslade.co.uk/18th-century-music/composers/william-croft/"} +{"d:Title": "Cr\u00fcger, Johannes (1598 - 1662), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/C/Cr\u00fcger,_Johann", "url": "http://stevenestrella.com/composers/composerfiles/cruger1662.html"} +{"d:Title": "A Fort Made of Books: Johann Cr\u00fcger", "d:Description": "Blog entry including an overview of Cr\u00fcger's tunes in current use.", "topic": "Top/Arts/Music/Composition/Composers/C/Cr\u00fcger,_Johann", "url": "http://afortmadeofbooks.blogspot.com/2008/07/johann-crger.html"} +{"d:Title": "Cyberhymnal: Johann Cr\u00fcger", "d:Description": "Biography, portrait, and links to hymn tunes. Includes MIDI audio and scores in NWC format.", "topic": "Top/Arts/Music/Composition/Composers/C/Cr\u00fcger,_Johann", "url": "http://www.hymntime.com/tch/bio/c/r/u/cruger_j.htm"} +{"d:Title": "Interview with George Perle, George Crumb and David Diamond", "d:Description": "Three living legends of contemporary music compare the evolution of musical styles during their lifetime.", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://www.americancomposers.org/millen1.htm"} +{"d:Title": "George Crumb: Makrokosmos Vol. II", "d:Description": "Short review of Robert Miller's recording on vinyl for Columbia.", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://www.wfmu.org/~kennyg/popular/reviews/crumb.html"} +{"d:Title": "George Crumb Mailing List", "d:Description": "A small online community dedicated to discussion of the composer's music.", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://groups.yahoo.com/group/georgecrumb/"} +{"d:Title": "George Crumb", "d:Description": "Official site. Information and discussion of his music. Includes biographical details, catalog of compositions, discography, bibliography, news, and related information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://www.georgecrumb.net/"} +{"d:Title": "George Crumb", "d:Description": "Brief biographical sketch, photograph, and summary of orchestral and instrumental music from Naxos.", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://www.naxos.com/composerinfo/6548.htm"} +{"d:Title": "George Crumb", "d:Description": "Links to movies for which he composed or which used his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://www.imdb.com/name/nm1278986/"} +{"d:Title": "George Crumb CD Reviews", "d:Description": "Reviews of a few CDs and recording information for three major compositions.", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://www.classical.net/music/comp.lst/crumb.php"} +{"d:Title": "George Crumb (1929-)", "d:Description": "Miscellaneous songs and cycles listed at recmusic.org.", "topic": "Top/Arts/Music/Composition/Composers/C/Crumb,_George_Henry", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3793"} +{"d:Title": "Bernhard Henrik Crusell", "d:Description": "Recommended clarinet works and portrait from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/C/Crusell,_Bernhard_Henrik", "url": "http://www.classical.net/music/comp.lst/crusell.php"} +{"d:Title": "Bernhard Henrik Crusell", "d:Description": "Comments on his clarinet music and his place of origin and Swedish background from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/C/Crusell,_Bernhard_Henrik", "url": "http://musicalics.com/en/node/80969"} +{"d:Title": "Bernhard Henrik Crusell (1775-1838)", "d:Description": "Miscellaneous songs listed at recmusic.org, including some with Swedish texts.", "topic": "Top/Arts/Music/Composition/Composers/C/Crusell,_Bernhard_Henrik", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3794"} +{"d:Title": "Grave of Cesar Cui", "d:Description": "Picture of tombstone with link to list of other notable interments in the Alexander Nevsky Monastery cemetery in St. Petersburg. From Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/C/Cui,_C\u00e9sar_Antonovich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSmpid=360&GRid=4353&"} +{"d:Title": "Cui, C\u00e9sar (1835 - 1918), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/C/Cui,_C\u00e9sar_Antonovich", "url": "http://stevenestrella.com/composers/composerfiles/cui1918.html"} +{"d:Title": "C\u00e9sar Cui", "d:Description": "Wikipedia article summarizing his life and linking him to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/C/Cui,_C\u00e9sar_Antonovich", "url": "http://en.wikipedia.org/wiki/C%C3%A9sar_Cui"} +{"d:Title": "C\u00e9sar Antonovich Cui", "d:Description": "Musicweb article by Bhagwan N. Thadani examines his unique position as a son of a Napoleanic officer growing up and composing in Russia.", "topic": "Top/Arts/Music/Composition/Composers/C/Cui,_C\u00e9sar_Antonovich", "url": "http://www.musicweb-international.com/cui/"} +{"d:Title": "Classical Archives: C\u00e9sar Cui", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/C/Cui,_C\u00e9sar_Antonovich", "url": "http://www.classicalarchives.com/composer/2372.html"} +{"d:Title": "Cui, Cesar (1835-1918)", "d:Description": "Biographical sketch, caricature, comments on operas, orchestral, chamber, vocal, and choral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Cui,_C\u00e9sar_Antonovich", "url": "http://www.naxos.com/person/Cesar_Cui/27141.htm"} +{"d:Title": "C\u00e9sar Antonovich Cui (1835-1918)", "d:Description": "Extensive list of songs and song cycles from recmusic.org, many with Russian or French texts, some having English translations.", "topic": "Top/Arts/Music/Composition/Composers/C/Cui,_C\u00e9sar_Antonovich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3795"} +{"d:Title": "Alvin Curran", "d:Description": "Official site. Includes biography, selected writings, discography, installations, solo performances, and current projects.", "topic": "Top/Arts/Music/Composition/Composers/C/Curran,_Alvin", "url": "http://www.alvincurran.com/"} +{"d:Title": "Frederic Curzon", "d:Description": "Biography with influences, impact, important works, and estimation of his place among light music composers by Philip Scowcroft on MusicWeb.", "topic": "Top/Arts/Music/Composition/Composers/C/Curzon,_Ernest_Frederic", "url": "http://www.musicweb-international.com/garlands/curzon.htm"} +{"d:Title": "Classical Musical Midi Page: Frederic Curzon", "d:Description": "Biography and commentary with MIDI audio of several works.", "topic": "Top/Arts/Music/Composition/Composers/C/Curzon,_Ernest_Frederic", "url": "http://www.classicalmidi.co.uk/cuzon.htm"} +{"d:Title": "Curzon, Frederic (1899-1973)", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/C/Curzon,_Ernest_Frederic", "url": "http://www.naxos.com/person/Frederic_Curzon/27142.htm"} +{"d:Title": "Frederic Curzon", "d:Description": "Biography with overview of works, details of critical and peer acceptance, and musical accomplishments. From the Legends of Light Music by the Robert Farnon Society.", "topic": "Top/Arts/Music/Composition/Composers/C/Curzon,_Ernest_Frederic", "url": "http://www.robertfarnonsociety.org.uk/index.php/legends/frederic-curzon"} +{"d:Title": "Philip Czaplowski: Australian Composer", "d:Description": "(1958- ), London. England. Biography, compositions, performances, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/C/Czaplowski,_Philip", "url": "http://www.czaplowski.com/"} +{"d:Title": "Czerny, Carl", "d:Description": "Biographical outline from Dr. Estrella's Incredibly Abridged Dictionary of Composers includes recommended recordings and books with links to essays.", "topic": "Top/Arts/Music/Composition/Composers/C/Czerny,_Carl", "url": "http://stevenestrella.com/composers/composerfiles/czerny1857.html"} +{"d:Title": "Grave of Carl Czerny", "d:Description": "Find a Grave photographs of the burial place of the composer in Vienna. Link to the cemetery shows other notables sharing his resting place, including Beethoven.", "topic": "Top/Arts/Music/Composition/Composers/C/Czerny,_Carl", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4474"} +{"d:Title": "Classical Archives: Carl Czerny", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/C/Czerny,_Carl", "url": "http://www.classicalarchives.com/composer/2374.html"} +{"d:Title": "Czerny, Carl (1791 - 1857)", "d:Description": "Brief biography and outlines of his piano, organ, orchestral, chamber, and church music with recommended Naxos recording.", "topic": "Top/Arts/Music/Composition/Composers/C/Czerny,_Carl", "url": "http://www.naxos.com/person/Carl_Czerny/27143.htm"} +{"d:Title": "Casadesus", "d:Description": "Official family site sponsored by third generation musician Gr\u00e9co Casadesus. Includes biographies, photographs, works lists, discographies, links, family trees, and related material. [French and English versions.]", "topic": "Top/Arts/Music/Composition/Composers/Casadesus_Family", "url": "http://www.casadesus.com/"} +{"d:Title": "Kunst der Fuge: fugues of the 18th Century", "d:Description": "Inclusive list of fugues written in the 1700's, comprising all the relevant repertory up to date and links to classical musician pages.", "topic": "Top/Arts/Music/Composition/Composers/Classical", "url": "http://www.kunstderfuge.com/700.htm"} +{"d:Title": "Music History 102: The Classical or Viennese Period", "d:Description": "Composers, major styles and developments, illustrations, and Real Audio RAM sound files from the Internet Public Library.", "topic": "Top/Arts/Music/Composition/Composers/Classical", "url": "http://www.ipl.org/div/mushist/clas/index.htm"} +{"d:Title": "Classical Era (1750 - 1820)", "d:Description": "Information on the period linked to biographical material for selected composers from The Conservatory at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/Classical", "url": "http://www.humanitiesweb.org/human.php?s=c&p=i&a=l&ID=5"} +{"d:Title": "Classical Music Era", "d:Description": "Wikipedia article outlining the style of the period, providing history of times within the period, and listing noted composers. Includes internal references to related people and topics plus external links.", "topic": "Top/Arts/Music/Composition/Composers/Classical", "url": "http://en.wikipedia.org/wiki/Classical_music_era"} +{"d:Title": "Eighteenth Century English Music", "d:Description": "Biographies and discographies of several composers.", "topic": "Top/Arts/Music/Composition/Composers/Classical", "url": "http://www.rslade.co.uk/"} +{"d:Title": "Classical Net - Definition - Classicism", "d:Description": "Definition of this movement.", "topic": "Top/Arts/Music/Composition/Composers/Classical", "url": "http://www.classical.net/music/rep/defs/class.php"} +{"d:Title": "Contemporary Music-making for Amateurs", "d:Description": "COMA promotes participation, for musicians of all abilities, in contemporary music. Includes activities, library, and calls for pieces.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://coma.org/"} +{"d:Title": "Experimental Music Catalogue", "d:Description": "Events, articles, history, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://www.users.waitrose.com/~chobbs/"} +{"d:Title": "Israeli Composers", "d:Description": "Short history of composition in Israel and several composers mostly born in the early 20th century, with biographies of each.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://www.kith.org/jimmosk/israel.html"} +{"d:Title": "Construal of Musical Process Architecture", "d:Description": "The author presents his method of musical composition.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://www.maltedmedia.com/books/papers/s7-headb.html"} +{"d:Title": "Musical Patterns", "d:Description": "Essay on ordering and structure in musical composition.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://graham.main.nc.us/~bhammel/MUSIC/compose.html"} +{"d:Title": "Kunst der Fuge - 20th c.", "d:Description": "Inclusive list of fugues and contrapuntal compositions written in the 1900's and links to classical musician pages.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://www.kunstderfuge.com/900.htm"} +{"d:Title": "List of 21st Century Classical Composers", "d:Description": "Wikipedia entry linked to articles on individual people with related internal references and external links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://en.wikipedia.org/wiki/List_of_21st_century_classical_composers"} +{"d:Title": "Composition Today", "d:Description": "Composition competitions, jobs, news, interviews, articles and other resources for composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://www.compositiontoday.com/"} +{"d:Title": "Resources for Composers and Contemporary Music", "d:Description": "Links to contemporary music sites.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://www.unt.edu/iawm/ResourceDatabase.htm"} +{"d:Title": "New Music USA Online Library", "d:Description": "Promotes creation and performance of American contemporary classical music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary", "url": "http://library.newmusicusa.org/"} +{"d:Title": "Alonso-Crespo, Eduardo", "d:Description": "(1956- ) (In English and Spanish) Argentine-born composer and conductor, with biography, list of works, recordings and links to the site about his opera Juana la loca.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.angelfire.com/music3/eduardoalonsocrespo/"} +{"d:Title": "Andrews, Nicola Ellis", "d:Description": "(1964- ). Picture, biography, selected works, recordings, and sound file.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.composer.co.uk/composers/andrews.html"} +{"d:Title": "Anderson, Beth", "d:Description": "Neo-Romantic and avante garde composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.beand.com/"} +{"d:Title": "Askland, Conrad", "d:Description": "(1966- ) Victorville, California. Clients, records, and composer information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.conradaskland.com/"} +{"d:Title": "Ablinger, Peter", "d:Description": "(1959- ), Schwanenstast, Austria. (In German and English) Composer and sound artist. Includes biography, detailed list of works, writings, CDs and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://ablinger.mur.at/"} +{"d:Title": "Adamia, Marina", "d:Description": "Born in Tbilisi in the Republic of Georgia. Picture, biography, professional history, works and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.armazi.demon.co.uk/adamia.html"} +{"d:Title": "Adams, John Luther", "d:Description": "Picture, biography, news, interview, recordings, catalog, writings, and calendar.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.johnlutheradams.com/"} +{"d:Title": "Agsteribbe, Frank", "d:Description": "(1968- ), Ghent, Belgium. Biography, contact information, complete list of works, and discography from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.composers21.com/compdocs/agsterib.htm"} +{"d:Title": "Am, Magnar", "d:Description": "Includes biography, list of works, discography with MP3-samples, writings, and survey of performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.magnaram.com/"} +{"d:Title": "Amromin, Richard", "d:Description": "(1946- ). Picture, biography, and works from the Leisure Planet Music site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.leisureplanetmusic.com/composer/amromin/bio.htm"} +{"d:Title": "Angell, Michael", "d:Description": "Picture and short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.music.uab.edu/Angell.html"} +{"d:Title": "Applebaum, Mark", "d:Description": "(1967- ), Chicago, Illinois. Music, biography, works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.markapplebaum.com/"} +{"d:Title": "Arter, Matthias", "d:Description": "(1964- ). Oboist composer. Pictures, biography, and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.marterart.ch/"} +{"d:Title": "Argent, Mark", "d:Description": "(1964- ), English composer and cellist. Biography, performances, works list.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.markargent.com/"} +{"d:Title": "Al-Zand, Karim", "d:Description": "(1970- ) Picture, biography, works,performances, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.alzand.com/"} +{"d:Title": "Albert, Adrienne", "d:Description": "Picture, biography, works, concerts, and commissions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.adriennealbert.com/"} +{"d:Title": "Alpher, David", "d:Description": "Picture, biography, list of works, reviews,and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.davidalpher.com/"} +{"d:Title": "Abele, Alexander", "d:Description": "(1969- ), Concord, Massachusetts. Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://kalvos.org/abeleal.html"} +{"d:Title": "Arnold, Martin", "d:Description": "Picture and sound files, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://kalvos.org/arnoldm.html"} +{"d:Title": "Ashton, J. Bruce", "d:Description": "Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://personal.southern.edu/~jashton/"} +{"d:Title": "Auerbach, Lera", "d:Description": "Biography, compositions, and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.leraauerbach.com/"} +{"d:Title": "Aston, Sue", "d:Description": "Pictures, sound files,interviews, reviews, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.sueaston.com/"} +{"d:Title": "Andel, Laura", "d:Description": "Biography, performances, projects, press clips, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.lauraandel.com/"} +{"d:Title": "\u00c5berg, Thomas", "d:Description": "(1952- ), Stockholm, Sweden. Picture, biography, sound files, and publishers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.abergmusic.com/"} +{"d:Title": "Amrod, Paul", "d:Description": "Biography, pictures, works, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.amrod.de/"} +{"d:Title": "Adams, Daniel", "d:Description": "(1956- ), Miami, Florida. Biography, compositions, CDs, and published articles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://web2.iadfw.net/dcadams/"} +{"d:Title": "Astinov, Lubo", "d:Description": "(1979- ), Sofia, Bulgaria. Music samples, contacts and short bio.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.astinov.com/"} +{"d:Title": "Asano, Koji", "d:Description": "(1974- ), Japan. Interviews, tour dates, biography, discography, MP3 clips, and photographs. [English and Japanese]", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://kojiasano.com/"} +{"d:Title": "Aikman, James", "d:Description": "Picture and short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.nonsequiturmusic.com/aikman.htm"} +{"d:Title": "Agust\u00edn, Charles Soler", "d:Description": "(1960- ), Barcelona, Spain. Picture, works, publishers, sound files, scores, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www153.pair.com/bensav/Compositeurs/Charles.A.html"} +{"d:Title": "Addison, Emlyn Ellis", "d:Description": "Original music compositions, lyrics, and sound recordings, including the \"Cube Matrix\" compositional model, and the opera \"Helia Rising\" which explores the people and events leading to the development of the nuclear chain reaction and the first atomic bomb.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.emlynellisaddison.com/"} +{"d:Title": "Andrikopoulos, Dimitris", "d:Description": "(1971- ), Larisa, Greece. Picture, biography, list of works, program notes, score examples, and audio samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.dimitrisandrikopoulos.com/"} +{"d:Title": "Aguirre, Pablo", "d:Description": "Classic Music Meets Tango: Sheet Music for: Marimba, Flute, Piano, Strings, Brass, etc.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.pabloaguirre.com/"} +{"d:Title": "Azarova, Svitlana", "d:Description": "(1976- ), Ukraine. Includes biography, lists of works, events calendar, sound files and image gallery.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.azarova.com/"} +{"d:Title": "Ayers, Lydia", "d:Description": "Pictures, biography, compositions, publications, and professional profile.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.cs.ust.hk/~layers/"} +{"d:Title": "Cecilia Arditto- composer", "d:Description": "Web Site of Argentine composer Cecilia Arditto. Contains biographical information, articles, PDF scores, audio samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.ceciliaarditto.com/"} +{"d:Title": "AikmanMusic", "d:Description": "Official Website of Composer James Aikman", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.aikmanmusic.com/"} +{"d:Title": "Addison, John (1920- )", "d:Description": "John Addisons:Ancient and Modern, an article by P L Scowcroft.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.musicweb-international.com/addison/index.htm"} +{"d:Title": "Andrews, Dave", "d:Description": "Freelance composer of original music for film, TV and commercial media based in Buckinghamshire, UK. Biography, samples, news, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.two13.com/"} +{"d:Title": "Axelrod, Lawrence", "d:Description": "Founder and former chairperson of the Chicago Composers' Consortium, which presents three concerts a year of new works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.lawrenceaxelrod.com/"} +{"d:Title": "Aguila, Miguel del", "d:Description": "Includes music, concerts, recordings, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.migueldelaguila.com/"} +{"d:Title": "Amirkhanian, Charles", "d:Description": "(1945- ), Fresno, California. Photograph, biography, CDs, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.electrocd.com/en/bio/amirkhanian_ch/"} +{"d:Title": "Abrahamsen, Hans", "d:Description": "(1952- ). Picture, biography, and work list from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.musicsalesclassical.com/composer/short-bio/1"} +{"d:Title": "Aa, Michel van der", "d:Description": "(1970- ), The Netherlands. Picture, biography, audio files, video gallery and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.vanderaa.net/"} +{"d:Title": "Adamo, Mark", "d:Description": "(G. Schirmer, Inc.) Includes biography and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://www.musicsalesclassical.com/composer/short-bio/9"} +{"d:Title": "Arnaoudov, Gheorghi", "d:Description": "(1957- ), Sofia, Bulgaria. Works, recordings, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "http://musicalics.com/fr/node/484791"} +{"d:Title": "Agnew, Elaine", "d:Description": "(1967- ), Kilwaughter, Co. Antrim, Ireland. Photograph, biography, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "https://www.cmc.ie/composer/elaine-agnew"} +{"d:Title": "Alcorn, Michael", "d:Description": "(1962- ). Photograph, biography, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A", "url": "https://www.cmc.ie/composers/michael-alcorn"} +{"d:Title": "Craig Armstrong Online", "d:Description": "Online resource for Armstrong's works, including news updates.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A/Armstrong,_Craig", "url": "http://www.craigarmstrongonline.com/"} +{"d:Title": "MFiles: Craig Armstrong", "d:Description": "Biography and style analysis, selected comppositions, album reviews, and recommendations for further listening.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A/Armstrong,_Craig", "url": "http://www.mfiles.co.uk/Composers/Craig-Armstrong.htm"} +{"d:Title": "Craig Armstrong", "d:Description": "Official website for the composer.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A/Armstrong,_Craig", "url": "http://www.craigarmstrong.com/"} +{"d:Title": "IMDb: Craig Armstrong", "d:Description": "Database of Armstrong's movie scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/A/Armstrong,_Craig", "url": "http://www.imdb.com/name/nm0035661/"} +{"d:Title": "Atonality, Information, and the Politics of Perception", "d:Description": "The psychological basis of atonality.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Atonality", "url": "http://www.thinkingapplied.com/tonality_folder/tonality.htm"} +{"d:Title": "Symmetrical Melodies and Scales Yahoo Group", "d:Description": "Includes MIDI files of symmetrical 13-note all-interval-class/all-contour melodies, which are a conceptual basis for a new conception of tonality that transcends the archaic tonal/atonal opposition.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Atonality", "url": "http://groups.yahoo.com/group/SymmetricalMelodiesAndScales/"} +{"d:Title": "Belcastro, Luca", "d:Description": "(1964- ), Como, Italy. Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.lucabelcastro.it/"} +{"d:Title": "Bontempi, Andrea", "d:Description": "(1964- ), Chiari, Italy. Picture, biography, compositions and arrangements, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://digilander.libero.it/andreabontempi/"} +{"d:Title": "Boucourechliev, Andr\u00e9", "d:Description": "Biography, catakog of works, discography, bibliography, and the association \"les Amis d'Andr\u00e9 Boucourechliev\".", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.boucourechliev.com/"} +{"d:Title": "Baker, Laurie Scott", "d:Description": "(1943- ), Australia. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.composer.co.uk/composers/baker.html"} +{"d:Title": "Balentine, James", "d:Description": "Photograph and short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://music.utsa.edu/Faculty/balentine/index.html"} +{"d:Title": "Bargielski, Zbigniew", "d:Description": "(1937- ), Lomza, Poland. Photograph, biography, and list of works from the Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.usc.edu/dept/polish_music/composer/bargielski.html#intro"} +{"d:Title": "Brown, Bill", "d:Description": "(1969- ), San Diego, California. Film composer. Music samples, biography, news, reviews, credits, press, studio, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://billbrownmusic.com/musicmain.htm"} +{"d:Title": "Blasco, Scott", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.scottblasco.com/"} +{"d:Title": "Beales, Brendan", "d:Description": "Picture, biography, sound files, and selected compositions from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.composer.co.uk/composers/beales.html"} +{"d:Title": "Beavers, Kevin", "d:Description": "Biography, available works, and recent reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kevinbeavers.com/"} +{"d:Title": "Behrman, David", "d:Description": "Picture, biography, discography, recent articles, and an interview with Teresa Stern from the Lovely Music site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.lovely.com/bios/behrman.html"} +{"d:Title": "Bekaert, Jacques", "d:Description": "(1940- ), Bruges, Belgium. Biography from the Lovely Music site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.lovely.com/bios/bekaert.html"} +{"d:Title": "Bell, Larry", "d:Description": "Picture, biography, works, CDs, concerts, reviews, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.larrybellmusic.com/"} +{"d:Title": "Biggs, Hayes", "d:Description": "American composer. Includes biography and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.hayesbiggs.com/"} +{"d:Title": "Bimstein, Phillip Kent", "d:Description": "Picture, biography, press, works, projects, politics, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.bimstein.com/index.html"} +{"d:Title": "Biales, Albert", "d:Description": "(1929- ), Cleveland, Ohio. Includes artistic statement, biography, and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.users.qwest.net/~abiales/"} +{"d:Title": "Biasutti, Michele", "d:Description": "(1963- ), Undine, Italy. Picture, music activity, research, selected publications, performances and works, and Russolo International Composer Competition rules.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.unipd.it/esterni/wwwedus1/biasit.html"} +{"d:Title": "Bisharat, John", "d:Description": "Orchestrator, arranger, and conductor. Short biography, films and video, sound tracks, and media.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.dinmusic.com/"} +{"d:Title": "Blockeel, Dirk", "d:Description": "(1955- ), Roeselare, West Flanders. Biography, complete list of works, and discography from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.composers21.com/compdocs/blockeel.htm"} +{"d:Title": "Boehmer, Konrad", "d:Description": "(1941- ), Berlin, Germany. Picture, biography, compositions, CDs, publications, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.kboehmer.nl/"} +{"d:Title": "Bohn, David", "d:Description": "(1965- ), Manitowac, Wisconsin. Short biography and works from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.wiscomposers.org/members/bohnd.html"} +{"d:Title": "Bollen, Jan Bas", "d:Description": "(1961- ), Amsterdam. Biography, selected works, discography, and picture, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.composers21.com/compdocs/bollenjb.htm"} +{"d:Title": "B\u00fcrck, Rainer", "d:Description": "(1953- ) Picture, biography, recent works, projects, CDs, reviews, comments and ideas, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.rainerburck.de/"} +{"d:Title": "Bourland, Roger", "d:Description": "(1952- ), Composer of chamber and choral music and professor at UCLA Department of Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://rogerbourland.com/"} +{"d:Title": "Brakel, Christopher D.", "d:Description": "Portrait, works, performances, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.christopherbrakel.com/"} +{"d:Title": "Branca, Glenn", "d:Description": "(1948- ), Harrisburg, Pennsylvania. Sound files, videos and photographs of performances, biography, reviews, and awards and commissions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.glennbranca.com/index.html"} +{"d:Title": "Braxton, Anthony", "d:Description": "(1945- ) Biography from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.lovely.com/bios/braxton.html"} +{"d:Title": "Brown, Stephen", "d:Description": "Composer, conductor, teacher, and performer. Includes scores, realaudio samples and biographical information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.stephenbrown.ca/"} +{"d:Title": "Burgon, Geoffrey", "d:Description": "(1941- ), Hampshire, England. Biography, pictures, works (by year), film scores with sound files, sound gallery, purchase, and search.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.geoffreyburgon.co.uk/"} +{"d:Title": "Bullard, Alan", "d:Description": "(1947- ), London, England. Picture, audio files, biography, recent commissions, and CDs, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.composer.co.uk/composers/bullard.html"} +{"d:Title": "Burn, Chris", "d:Description": "(1955- ), Epping, England. Picture, biography, selected works, and recordings, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.composer.co.uk/composers/burn.html"} +{"d:Title": "Burns, Jeffrey Phillips", "d:Description": "Picture, biography, and selected works from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.wiscomposers.org/members/burns.html"} +{"d:Title": "Barnett, Charlie", "d:Description": "Film composer. Biography including list of films, and sound clips.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.charliebarnett.com/"} +{"d:Title": "Bloom, Jane Ira", "d:Description": "Picture, biography, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.janeirabloom.com/"} +{"d:Title": "Bryant, Steven", "d:Description": "(1972- ), Little Rock, Arkansas. Picture, biography, and works with audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.stevenbryant.com/"} +{"d:Title": "Bailh\u00e9, Jacques", "d:Description": "(1952- ), Connecticut. Picture, biography, and compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/bailhej.html"} +{"d:Title": "Beckmann, Anita", "d:Description": "(1967- ), St. Louis Missouri. Picture, biography, sound files, and recordings, form Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/beckman.html"} +{"d:Title": "Benary, Barbara", "d:Description": "Biography from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/benaryb.html"} +{"d:Title": "Benzola, Joseph", "d:Description": "Picture, biography, sound files, and recordings, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/benzola.html"} +{"d:Title": "Berg, Henning", "d:Description": "(1954- ) Picture, biography, and sound files, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/berghen.html"} +{"d:Title": "Botter, Massimo", "d:Description": "Official site. Italian site with English options. Includes curriculum vitae (also in Spanish and French), MP3 music samples, catalogue, related articles and news releases, photographs, and contact information. [Flash introduction.]", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.mbotter.it/"} +{"d:Title": "Beckel, Jim", "d:Description": "Biographical and musical background with lists of pieces for orchestra, band, and brass. Includes performance, recording, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://jimbeckelmusic.com/"} +{"d:Title": "Brizzi, Aldo", "d:Description": "(1960- ), Alessandria, Italy. Works, curriculum vitae, recordings, discussion of some compositions, interviews and articles, conductor activities, new CD, multimedia concert, \"Music and new technologies\" festival, and next concerts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.aldobrizzi.net/"} +{"d:Title": "Borg, Kim", "d:Description": "(1919-2000), Helsinki, Finland. Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.bach-cantatas.com/Bio/Borg-Kim.htm"} +{"d:Title": "Brown, Nicholas", "d:Description": "(1974- ) England. Includes a biography, list of works, music files, news and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.nicholasbrown.co.uk/"} +{"d:Title": "Body, Jack", "d:Description": "(1944- ) Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.trustcds.com/pages/artists/Body.html"} +{"d:Title": "Bradshaw, Robert J.", "d:Description": "Picture, biography, and works published by Beauport Press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.robertjbradshaw.com/"} +{"d:Title": "Barroso, Sergio", "d:Description": "(1946- ), Havanna, Cuba. Biography, selected works, and discography, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.composers21.com/compdocs/barrosos.htm"} +{"d:Title": "Byrne, Andrew", "d:Description": "Biography, news, sound files and scores, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.andrewbyrne.com/"} +{"d:Title": "Bathory-Kitsz, Dennis", "d:Description": "Picture, biography, music, essays, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/bathory.html"} +{"d:Title": "Balada, Leonardo", "d:Description": "(1933- ), Barcelona, Spain. Includes biography, compositions (performances, reviews and publishers), discography, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.andrew.cmu.edu/user/balada/English.htm"} +{"d:Title": "Bianchi, Oscar", "d:Description": "News, compositions and curriculum vitae.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.oscarbianchi.com/"} +{"d:Title": "Butek, Stan", "d:Description": "Computer aided guitar music, biography, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://cagm.wz.cz/"} +{"d:Title": "Benson, Warren", "d:Description": "(1924- ) Biography, works, recordings and publications, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.warrenbenson.com/"} +{"d:Title": "Bartholomew, Greg", "d:Description": "(1957- ) Biography, works, sound files, upcoming performances, past performances, bio, photograph and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.gregbartholomew.com/"} +{"d:Title": "Bohn, James", "d:Description": "(1970- ), Manitowac, Wisconsin. Pictures, biography, sound files, and an essay by the compposer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/bohnjam.html"} +{"d:Title": "Biro, Daniel", "d:Description": "(1963- ), Johannesburg, South Africa. Biography, picture, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.danielbiro.com/"} +{"d:Title": "Benjamin, Tim", "d:Description": "Biography, picture, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.timbenjamin.com/"} +{"d:Title": "Brickman, Scott", "d:Description": "(1963- ), Oak Park, Illinois. Biography, resume, photographs, and scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://academic.umfk.maine.edu/scottb/"} +{"d:Title": "Borkowski, Marian", "d:Description": "(1934- ), Pabianice, Poland. Biography, compositions, discography, photographs, and review.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://composers21.com/compdocs/borkowskim.htm"} +{"d:Title": "Bernofsky, Lauren", "d:Description": "(1967- ), Rochester, Minnesota. Picture, biography, compositions, publications, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.laurenbernofsky.com/"} +{"d:Title": "Bynum, Taylor Ho", "d:Description": "(1975- ), Baltimore, Mariland. Biography, discography, and calendar.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://taylorhobynum.com/"} +{"d:Title": "Brown, Chris", "d:Description": "(1953- ), Mendota, Illinois. Biography, curriculum vitae, selected compositions, recordings, performances, photographs, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.mills.edu/academics/faculty/mus/cbmus/cbmus.php"} +{"d:Title": "Braid, David", "d:Description": "Picture, sounds clips, biography, list of works and contact info.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.davidbraid.net/"} +{"d:Title": "Bruce, David", "d:Description": "List of works, sound samples, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.davidbruce.net/"} +{"d:Title": "Bennett, Richard Rodney", "d:Description": "The mfiles biography of composer Richard Rodney Bennett concentrating on his contributions to film and television music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.mfiles.co.uk/composers/Richard-Rodney-Bennett.htm"} +{"d:Title": "Badalamenti, Angelo", "d:Description": "Filmography, and television work, from mfiles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.mfiles.co.uk/composers/Angelo-Badalamenti.htm"} +{"d:Title": "Blake, Howard", "d:Description": "Biography, and music for film and television, from mfiles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.mfiles.co.uk/composers/Howard-Blake.htm"} +{"d:Title": "Bandt , Ros", "d:Description": "Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.rosbandt.com/"} +{"d:Title": "Barlow, Klarenz", "d:Description": "Picture, essay, sound files, and selected compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/barlowk.html"} +{"d:Title": "Barwin, Gary", "d:Description": "Picture, audio files, writing, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/barwing.html"} +{"d:Title": "Batterham, Andrew", "d:Description": "(1968- ), Melbourne, Australia. Picture, biography, and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.andrewbatterham.com/"} +{"d:Title": "Beglarian, Eve", "d:Description": "Photographs, biography, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/beglari.html"} +{"d:Title": "Beilharz, Kirsty", "d:Description": "(1971- ), Sydney, Australia. Biography, CDs, compositions, performances, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.kirstybeilharz.com.au/"} +{"d:Title": "Bischoff, John", "d:Description": "(1949- ), San Francisco, California. Picture, biography, and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://johnbischoff.com/"} +{"d:Title": "Bullock, Dafydd", "d:Description": "(1953- ), Llanberis, Gwynedd, Cymru, Wales. Biography, catalog, discography, and publications.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.bullockmusic.info/"} +{"d:Title": "Benfall, Stephen", "d:Description": "(1957- ) Brief biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://members.tripod.com/~Hovea_Music_Press/BenfallBiog.html"} +{"d:Title": "Bostock, John", "d:Description": "News, biography, discography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.johnbostockmusic.com/"} +{"d:Title": "Bodin, Philippe", "d:Description": "Biography, works, sound files, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://philippebodin.com/"} +{"d:Title": "Boyer, Peter", "d:Description": "Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.propulsivemusic.com/"} +{"d:Title": "Bravi, Daniele", "d:Description": "Includes biography, scores, audio and photographs. In English, Italian and French.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.danielebravi.altervista.org/"} +{"d:Title": "Barnett, Christopher", "d:Description": "Includes biography, music, filmography, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.christopherbarnett.com/"} +{"d:Title": "Bibalo, Antonio", "d:Description": "(1922- ), Trieste, Italy. Biography from the Norwegian Music Information Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.mic.no/symfoni/kontakt.nsf/pub_e/per2000062810563082943465"} +{"d:Title": "Buene, Eivind", "d:Description": "(1973- ), Oslo. Norwegian composer, in residence with the ensemble Oslo Sinfonietta in 1999 and 2000. The site lists performances, works, discography and papers. [site is both in English and Norwegian]", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.mic.no/mic.nsf/doc/art2002101215173179802700"} +{"d:Title": "Benzecry, Esteban", "d:Description": "Argentinean composer born in Lisbon in 1970. Works played in Europe and the United States. Biography, MP3, recordings, media, press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.estebanbenzecry.com/"} +{"d:Title": "Bainton, Edgar", "d:Description": "Includes article, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicweb-international.com/bainton/"} +{"d:Title": "Brouwer, Leo", "d:Description": "(1939- ), Havana, Cuba. Picture, biography, and selected guitar works, from Musicweb.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicweb-international.com/brouwer/index.htm"} +{"d:Title": "Brown, James", "d:Description": "(1923- ), Ipswich, England. Essay on life and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicweb-international.com/brown/"} +{"d:Title": "Brydern, Benedikt", "d:Description": "Official site of composer, producer and performer, with biography, filmography and audio samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.consordino.com/"} +{"d:Title": "Beeman, John", "d:Description": "Information about compositions, performances and recordings Includes biographical information and artistic statement.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.johnbeemancomposer.com/"} +{"d:Title": "Betinis, Abbie", "d:Description": "Biography, resume, photographs, calendar, list of works, reviews, and writing samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.abbiebetinis.com/"} +{"d:Title": "Biscardi, Chester", "d:Description": "(1948- ), Biography, discography, publications, press, recordings, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.chesterbiscardi.com/"} +{"d:Title": "Borza, Adrian", "d:Description": "Brief biography, listing of original music and arranged works, research, publications and teaching.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.smorfe.com/"} +{"d:Title": "Bianchini, Riccardo", "d:Description": "(1946- ), Milan, Italy. Picture, biography, compositions, curriculum vitae, software development, available scores, CDs, activities and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://web.tiscali.it/rbianchini/"} +{"d:Title": "Bonneau, Gilles Yves", "d:Description": "(1941- ), St. Jean, Qu\u00e9bec. Picture, audio files, biography, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://kalvos.org/bonneau.html"} +{"d:Title": "Brick, Andy", "d:Description": "Home page of Andy Brick: Composer, Conductor, and Symphonist.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.andybrick.com/"} +{"d:Title": "Bertram, Michael", "d:Description": "(1935-), England. Picture, biography, and list of works and records, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bertram-michael"} +{"d:Title": "Bieniek, Matthew", "d:Description": "Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bieniek-matthew"} +{"d:Title": "Bladen, John", "d:Description": "Music for organ, piano, flute, with MP3 files and scores, by a self-taught composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.johnbladen.co.uk/"} +{"d:Title": "Bostock, John", "d:Description": "Picture, biography, list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bostock-john"} +{"d:Title": "Barkl, Michael", "d:Description": "(1958- ), Sydney, Australia. Picture, biography, and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/barkl-michael"} +{"d:Title": "Bartlett, Lawrence", "d:Description": "(1933- ), Sydney, Australia. Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bartlett-lawrence"} +{"d:Title": "Bolliger, Phillip", "d:Description": "(1963- ) Curriculum vitae and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bolliger-phillip"} +{"d:Title": "Bowman, Kim", "d:Description": "(1957- ), The Netherlands. Picture and biography from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bowman-kim"} +{"d:Title": "Boyd, Anne", "d:Description": "(1946- ), Sydney, Australia. Picture, biography, and selected works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/boyd-anne"} +{"d:Title": "Bracanin, Philip", "d:Description": "(1942- ), Australia. Picture, biography, and selected works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bracanin-philip"} +{"d:Title": "Bremner, Tony", "d:Description": "(1939- ), Sydney, Australia. Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bremner-tony"} +{"d:Title": "Brideoake, Peter", "d:Description": "Short biography, compositions, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/brideoake-peter"} +{"d:Title": "Bright, Colin", "d:Description": "Picture, biography and credo, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bright-colin"} +{"d:Title": "Broadstock, Brenton", "d:Description": "(1950- ), Melbourne, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/broadstock-brenton"} +{"d:Title": "Brophy, Gerard", "d:Description": "(1953- ), Sydney, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/brophy-gerard"} +{"d:Title": "Brumby, Colin", "d:Description": "(1933- ), Melbourne, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/brumby-colin"} +{"d:Title": "Bull, Stephen", "d:Description": "Brief biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/bull-stephen"} +{"d:Title": "Burt, Warren", "d:Description": "(1949- ), Baltimore , Maryland. Picture, biography, quotes, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/burt-warren"} +{"d:Title": "Butterley, Nigel", "d:Description": "(1935- ), Sydney, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.australianmusiccentre.com.au/artist/butterley-nigel"} +{"d:Title": "Bugby, Colin", "d:Description": "(1967- ), Northampton, UK. Picture, biography, and list of brass music available.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://colinbugby.tripod.com/"} +{"d:Title": "Bolcs\u00f3, B\u00e1lint", "d:Description": "(1979-) Hungary. Curriculum vitae, compositions, and links for the composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://bolcso.net/"} +{"d:Title": "Bruun, Peter", "d:Description": "Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicsalesclassical.com/composer/short-bio/191"} +{"d:Title": "Br\u00f8dsgaard, Anders", "d:Description": "(1955- ). Includes biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicsalesclassical.com/composer/short-bio/177"} +{"d:Title": "Bennett, Robert", "d:Description": "Biography, sound files, film credits, and gallery.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://robertlesliebennett.com/"} +{"d:Title": "Backhouse, Tony", "d:Description": "(1947- ), New Zealand. Picture, biography, list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.tonybackhouse.com/"} +{"d:Title": "Beppe, Flint Juventino", "d:Description": "(1973- ), Rognan, Norway. Picture, biography, recordings, and work list.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://fjbfingerprint.com/"} +{"d:Title": "Brings, Allen", "d:Description": "(1934- ), New York City. Photograph, biography, and availability of scores, from the New Music USA.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://library.newmusicusa.org/AllenBrings"} +{"d:Title": "Ballora, Mark", "d:Description": "Picture, biography, music, a sound game, sound clips, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.markballora.com/"} +{"d:Title": "Bawden, Rupert", "d:Description": "(1958- ), London, England. Biography from G. Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicsalesclassical.com/composer/short-bio/84"} +{"d:Title": "Bainbridge, Simon", "d:Description": "(1952- ), London, England. Picture, biography, articles, and reviews from G. Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicsalesclassical.com/composer/short-bio/60"} +{"d:Title": "Bennett, Richard Rodney", "d:Description": "(1936- ) Biography and additional information on selected works from G. Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicsalesclassical.com/composer/short-bio/100"} +{"d:Title": "Bauld, Alison", "d:Description": "(1944- ), Sydney, Australia. Includes biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://www.musicsalesclassical.com/composer/short-bio/83"} +{"d:Title": "Basegmezler, Nejat", "d:Description": "(1950- ), Eskisehir, Turkey. Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://musicalics.com/fr/node/484830"} +{"d:Title": "Boelter, Karl", "d:Description": "(1952- ), Milwaukee, Wisconsin. Music, biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://musicalics.com/fr/compositeur/Karl-Boelter"} +{"d:Title": "Borlenghi, Enzo", "d:Description": "(1908- ), Riva del Garde, Italy. Selected works and short biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://musicalics.com/fr/compositeur/Enzo-Borlenghi"} +{"d:Title": "Borroff, Edith", "d:Description": "(1925- ), United States of America. Biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://musicalics.com/fr/compositeur/Edith-Borroff"} +{"d:Title": "Bowater, Helen", "d:Description": "Picture, biography, and selected works, from Sounz, NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://sounz.org.nz/contributor/composer/1014"} +{"d:Title": "Bailey, Christopher", "d:Description": "Includes a list of compositions, available on score and recording and tutorials on computer music and basic music (compositional) topics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://christopherbaileymusic.com/"} +{"d:Title": "Ball, Michael", "d:Description": "(1946- ), Manchester, England. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "https://www.cmc.ie/composers/michael-ball"} +{"d:Title": "Byers, David", "d:Description": "(1947- ) Biography and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "https://www.cmc.ie/composers/david-byers"} +{"d:Title": "Brennan, John Wolf", "d:Description": "(1954- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "https://www.cmc.ie/composers/john-wolf-brennan"} +{"d:Title": "Berger, Jonathan", "d:Description": "Brief descriptions of recent compositions and links to research reports.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "http://jonathanberger.net/"} +{"d:Title": "Barry, Gerald", "d:Description": "(1952- ), County Clare, Ireland. Picture, biography, and selected works with available CDs, from the Contemporary Music Information Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "https://www.cmc.ie/composers/gerald-barry"} +{"d:Title": "Bodley, Se\u00f3irse", "d:Description": "(1933- ), Dublin, Ireland. Photograph, biography, and selected works, from the Contemporary Music Information Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "https://www.cmc.ie/composers/seoirse-bodley"} +{"d:Title": "Boydell, Brian", "d:Description": "(1917-2000), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Information Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "https://www.cmc.ie/composers/brian-boydell"} +{"d:Title": "Buckley, John", "d:Description": "(1951- ), Templeglantine, Co. Limerick, Ireland. Picture, biography, and selected works with available CDs, from the Contemporary Music Information Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/B", "url": "https://www.cmc.ie/composers/john-buckley"} +{"d:Title": "Colombo Taccani, Giorgio", "d:Description": "(1961- ), Milan, Italy. Picture, biography, discussion of his style, works, and examples from scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.colombotaccani.it/"} +{"d:Title": "Chur, Heinz", "d:Description": "(1948- ), Essen, Germany. Picture, short biography, works, sound files, CDs, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.h-chur.de/"} +{"d:Title": "Curran, Alvin", "d:Description": "(1938- ), Providence, Rhode Island. Picture, biography, works, discography, and publications and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.alvincurran.com/"} +{"d:Title": "Campagnoli, Mauro", "d:Description": "(1975- ) Ethnomusicologist and composer. Includes works and biography, and information about his fieldworks in equatorial Africa among Baka Pygmies.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.maurocampagnoli.com/"} +{"d:Title": "Carlson, James R.", "d:Description": "(1970- ), Everett, Washington. Biography, works, reviews, and sample scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.angelfire.com/nc/jamescarlson/"} +{"d:Title": "Carbon, John", "d:Description": "(1951- ), Chicago, Illinois. Picture, biography, CDs, sheet music, concerts, links, and e-mail.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.johncarbon.com/"} +{"d:Title": "Carl, Robert", "d:Description": "(1954- ) Includes discography, picture, contact information, works list, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://uhaweb.hartford.edu/CARL/"} +{"d:Title": "Carlos DeFeo, Antonio", "d:Description": "(1973- ) Film and theatre composer from New York. Picture, biography, reviews, contact information, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://antoniocarlosdefeo.com/"} +{"d:Title": "Charke, Derek", "d:Description": "(1974- ), New Brunswick, Canada. Contains score samples, picture, audio files, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.charke.com/"} +{"d:Title": "Carlton, Richard", "d:Description": "Biography, press, news, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.richardcharlton.com.au/"} +{"d:Title": "Chambers, Evan", "d:Description": "(1963- ), Alexandria, Louisiana. Picture, works, news, performances, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.evanchambers.net/index.cfm"} +{"d:Title": "Chambers, Wendy Mae", "d:Description": "Music includes a car horn organ, and toy piano. Audio files and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.wendymae.com/music.html"} +{"d:Title": "Chant, Michael", "d:Description": "(1945- ), Wakefield, Yorkshire, England. Picture, sound file, and biography from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.composer.co.uk/composers/chant.html"} +{"d:Title": "Chenevert, James", "d:Description": "Picture and biography from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.wiscomposers.org/members/chenevert.html"} +{"d:Title": "Chuang, Se-Lien", "d:Description": "(1965- ),Taiwan. Picture, curriculum vitae, activities, works, awards, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://avant.mur.at/chuang/schuang.engl.html"} +{"d:Title": "Churches, Richard", "d:Description": "(1966- ), Caerleon, Wales. Picture, biography, sound files, and selected works from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.composer.co.uk/composers/churches.html#Biographical Notes"} +{"d:Title": "Clark, Steven", "d:Description": "Includes resume and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.circusmusic.com/"} +{"d:Title": "Coexisting A&A", "d:Description": "Composer from the Netherlands. List of works with some sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.angelfire.com/pa3/piano/index.html"} +{"d:Title": "Coleman, Randolph", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.oberlin.edu/con/faculty/coleman_randolph.html"} +{"d:Title": "Coleridge, Robert", "d:Description": "(1955-), Devon, England. Audio file, picture, biography, and selected works from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.composer.co.uk/composers/coleridg.html"} +{"d:Title": "Cooman, Carson P.", "d:Description": "American composer who has composed a variety of works in many forms ranging from solo instrumental to opera. Biography, works, recordings, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.carsoncooman.com/"} +{"d:Title": "Comitas, Alexander", "d:Description": "(Pseudonym for Eduard de Boer, 1957- ) Score samples, biography, contact information, catalog, sound files, and opinions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.comitas.org/"} +{"d:Title": "Corbin, Keith", "d:Description": "Includes recordings of his music, scores and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.keithcorbinmusic.com/"} +{"d:Title": "Corner, Philip", "d:Description": "Available scores from the Frog Peak site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.frogpeak.org/fpartists/fpcorner.html"} +{"d:Title": "Crego, Cliff", "d:Description": "(1950- ) The Circle in the Square - A new music, poetry and dance performance project. Includes full scores, featured works, downloads, essays and links to recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.cs-music.com/"} +{"d:Title": "Cresswell, Duncan", "d:Description": "New British music. Electro-acoustic, songs, classical, contemporary. News, contact details, and audio samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://cress78.tripod.com/"} +{"d:Title": "Crockett, Donald", "d:Description": "(1951- ), Pasadena, California. Picture, biography, works, and recordings. Reviews are interspersed among the pages.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.donaldcrockett.com/"} +{"d:Title": "Cunningham, Michael G.", "d:Description": "Catalog of works from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.wiscomposers.org/members/cunningham.html"} +{"d:Title": "Cutler, Joe", "d:Description": "(1968- ), Neasden, North-West London, England. Picture, biography, and selected works from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.composer.co.uk/composers/cutler.html"} +{"d:Title": "Czink, Andrew", "d:Description": "Picture and biography from the Earsay site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://earsay.com/Pages/artists/Artists.html#czink02"} +{"d:Title": "Carrick, Richard", "d:Description": "(1971- ), Paris, France. Picture, biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.richardcarrick.com/"} +{"d:Title": "Ceely, Robert", "d:Description": "(1930- ), Torrington, Connecticut. Picture, biography, compositions, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.ceelymusic.com/"} +{"d:Title": "Cleary, David", "d:Description": "(1954- ) Picture, biography, compositions, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.abcsofstrings.com/dcleary/"} +{"d:Title": "Campbell, William", "d:Description": "(1961- ), Belfast, Ireland. Biography from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.williamcampbellmusic.com/"} +{"d:Title": "Cole, Gardner", "d:Description": "Official page. Biography with works and discography for over 125 songs, list of collaborators, and movies scored.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.gardnercole.com/"} +{"d:Title": "Celli, Joseph", "d:Description": "Picture, resume, compositions, recordings, and bibliography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://kalvos.org/cellijo.html"} +{"d:Title": "Chatham, Rhys", "d:Description": "(1952- ), New York City. Picture, biography, commissions, discography, and sound files, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://kalvos.org/chatham.html"} +{"d:Title": "Collins, Nicolas", "d:Description": "(1954- ), New York City. Biography, discography, compositions, and sound files, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://kalvos.org/collins.html"} +{"d:Title": "Centazzo, Andrea", "d:Description": "Official site of the Italian composer and musician. Includes biography, photo gallery, discography, works, bibliography, videos, soundtracks, projects, listening room, calendar, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.andreacentazzo.com/"} +{"d:Title": "Cooke, Richard", "d:Description": "Graphical and interactive music. Includes Control panel, juke box, and interactive pieces.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://digilander.libero.it/rcooke/"} +{"d:Title": "Carney, James", "d:Description": "Biography, sound files, and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.jamescarney.net/"} +{"d:Title": "Cooke, Richard", "d:Description": "An anthology of new music and scores by Richard Cooke, including MIDI sequences, interactive pieces and theoretical writings", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://rcooke.free.fr/"} +{"d:Title": "Carter , Andrew", "d:Description": "(1939- ), England. Picture, biography, discography, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.andrewcarter.org/"} +{"d:Title": "Cochrane, Anthony", "d:Description": "Samples of Anthony Cochranes work as a composer for theatre and film.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.anthonycochrane.com/Music.html"} +{"d:Title": "Cronin, Stephen", "d:Description": "(1960- ), Brisbane, Australia. Picture, biography,and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.stephen.cronin.name/"} +{"d:Title": "Creshevsky, Noah", "d:Description": "(1945- ) Picture, biography, and selected works with sound files, from Vox Novus.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.voxnovus.com/composer/Noah_Creshevsky.htm"} +{"d:Title": "Canat de Chizy, Edith", "d:Description": "(1950- ), Lyon. France. Picture, biography, catalog of works, discography, reviews, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.edithcanatdechizy.com/"} +{"d:Title": "Cognolato, Sebastian", "d:Description": "(1969- ), Milan, Italy. Biography, catalogue, projects, events, links, and some MP3.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.sebastianocognolato.it/"} +{"d:Title": "Ciampa, Leonardo", "d:Description": "Biography, sheet music, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.leonardociampa.com/"} +{"d:Title": "Colmeiro , Rosalia", "d:Description": "(1957- ). Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://angelfire.com/rings/rosalia_fcolmeiro"} +{"d:Title": "Cassidy, Patrick", "d:Description": "Biography, discography, photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://patrickcassidy.com/"} +{"d:Title": "Claxton, Andrew", "d:Description": "News, and other things if you can find them.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.andrewmusic.co.uk/"} +{"d:Title": "Chesne, Steve", "d:Description": "Musical biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.stevechesne.com/"} +{"d:Title": "Cal\u00ec, Francesco", "d:Description": "(1966- ), Catania, Sicily. Biography, discography, music, gallery, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.francescocali.com/"} +{"d:Title": "Chechik, Sandra", "d:Description": "(1977- ), Montreal, Canada. Biography, sound files, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.windsoftimemusic.com/"} +{"d:Title": "Carnahan, Craig", "d:Description": "Picture, biography, music, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.craigcarnahan.com/"} +{"d:Title": "Clark, Mitchell", "d:Description": "(1956- ), Cherry Point, North Carolina. Picture and biography from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.otherminds.org/shtml/Clark.shtml"} +{"d:Title": "Childs, Mary Ellen", "d:Description": "Biography from the Other Minds site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.otherminds.org/shtml/Childs.shtml"} +{"d:Title": "Chasalow, Eric", "d:Description": "Biography, music, performances, articles, photographs and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.ericchasalow.com/"} +{"d:Title": "Coleman, Paul", "d:Description": "(1974- ) Biography, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.paulcolemanmusic.com/"} +{"d:Title": "Chambers, Evan", "d:Description": "Picture, biography, works, news, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.music.umich.edu/faculty_staff/chambers.evan.lasso"} +{"d:Title": "Clyne, Anna", "d:Description": "(1980- ), London, England. Biography, works, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.annaclyne.com/"} +{"d:Title": "Cohen, Steve", "d:Description": "Biography, CV, lists of upcoming and past performances, lists of works, mp3 audio samples and PDF samples of score pages.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://stevecohenmusic.net/"} +{"d:Title": "Civilotti Carvalho, Alejandro", "d:Description": "(1959- ), La Plata, the Argentine. Biography, compositions, and awards.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.clivis-music.com/compositors/autors/Civilotti/civilotti.html#ANG"} +{"d:Title": "Capyrin, Dmitri", "d:Description": "(1960- ), Moscow, Russia. Curriculum vitae, list of compositions, scores (PDF), audio files (MP3), photos, program notes, contact information and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.capyrin.com/"} +{"d:Title": "Carwithen, Doreen", "d:Description": "(1922- ), Haddenham, Bucks, England. Includes biography, picture, discography, and composer's notes on recorded works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.musicweb-international.com/alwyn/carwith1.htm"} +{"d:Title": "Crawford , Robert", "d:Description": "(1925- ), Edinburgh, Scotland. Biography from the Music Web.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.musicweb-international.com/crawford/index.htm"} +{"d:Title": "Carter, Steve", "d:Description": "Includes excerpts of his music, CV, and contact details.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.stevecarter.com/"} +{"d:Title": "Cuyvers, Guy", "d:Description": "Belgian composer for film and television, site featuring biography, reviews, and orchestral and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.guycuyvers.com/"} +{"d:Title": "Calvi, Davide", "d:Description": "Music composer and arranger for film, television, and theater. Audio samples and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.davidecalvi.com/"} +{"d:Title": "Cotel, Morris Moshe", "d:Description": "Picture, biography, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://moshecotel.com/"} +{"d:Title": "Cleary, David", "d:Description": "(1954- ) Picture, audio comments by the composer, and biography from Kalvos and Damian's New Music Bazaar.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://kalvos.org/clearyd.html"} +{"d:Title": "Cohn, Stephen", "d:Description": "Biography, list of awards, reviews, a resume/credit list, latest news, and MP3 samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.stephencohn.com/"} +{"d:Title": "Carpenter, Gary", "d:Description": "(1951- ) Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://musicalics.com/fr/compositeur/Gary-Carpenter"} +{"d:Title": "Currie, Neil", "d:Description": "(1955- ) Picture, biography, compositions, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.indie-pool.com/splashpages/neilcurrie/"} +{"d:Title": "Ching-Wen Chao", "d:Description": "(1973- ), Taiwan. Biography and instrumental and electroacoustic works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://ccrma.stanford.edu/~ching/"} +{"d:Title": "New Music USA: Gloria Coates", "d:Description": "Biography, works, reviews, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://library.newmusicusa.org/GloriaCoates"} +{"d:Title": "Cope, David", "d:Description": "(1941- ), San Francisco, California. Composer and professor at UC Santa Cruz. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.poetspath.com/Dave_Cope/"} +{"d:Title": "Conners, Ryan", "d:Description": "Picture and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://rdconz.tripod.com/"} +{"d:Title": "Chadabe, Joel", "d:Description": "Picture, biography, statement on art, music, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.joelchadabe.com/"} +{"d:Title": "Cervetti, Sergio", "d:Description": "(1940- ), Dolores, Uruguay. Works and short biography from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://musicalics.com/fr/compositeur/Sergio-Cervetti"} +{"d:Title": "Cook, Douglas", "d:Description": "(1930-), West Ham, London. Picture, biography, scores with sound files which may be ordered.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.whitedownmusic.co.uk/"} +{"d:Title": "Cooke, Arnold", "d:Description": "(1906- ), near Leeds, England. Includes biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.musicsalesclassical.com/composer/short-bio/284"} +{"d:Title": "Cameron, John", "d:Description": "(1944- ) Includes biography from the G. Schirmer site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://www.musicsalesclassical.com/composer/short-bio/221"} +{"d:Title": "Cerha, Friedrich", "d:Description": "(1927- ), Vienna, Austria. Short biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://musicalics.com/fr/compositeur/Friedrich-Cerha"} +{"d:Title": "Camm, Cheryl", "d:Description": "Picture, biography, and selected works from Sounz, New Zealand.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://sounz.org.nz/contributor/composer/1146"} +{"d:Title": "Caskie, Helen", "d:Description": "Short biography and selected works from the Sounz NZ site.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://sounz.org.nz/contributor/composer/1169"} +{"d:Title": "Charles, John", "d:Description": "(1940- ), Wellington, New Zealand. Picture, biography, and selected works from Sounz NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "http://sounz.org.nz/contributor/composer/1019"} +{"d:Title": "Caffrey, Greg", "d:Description": "(1963- ), Belfast, Ireland. Picture, biography, and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/greg-caffrey"} +{"d:Title": "Clarke, Rhona", "d:Description": "(1958- ), Dublin, Ireland. Picture, biography, and selected works from the Contemporary Music Information Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/rhona-clarke"} +{"d:Title": "Cleary, Siobh\u00e1n", "d:Description": "(1970- ), Dublin, Ireland. Picture, biography, and selected works from the Contemporary Music Information Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/siobhan-cleary"} +{"d:Title": "Climent, Angel", "d:Description": "(1942- ), Spain. Picture, biography, and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/angel-climent"} +{"d:Title": "Coghill, Rhoda", "d:Description": "(1903-2000), Dublin, Ireland. Picture and biography from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/rhoda-coghill"} +{"d:Title": "Catherwood, David", "d:Description": "(1956- ), Belfast, Ireland. Short biography from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/david-catherwood"} +{"d:Title": "Cox, David Harold", "d:Description": "(1945- ), Portsmouth, England. Picture, biography, and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/david-harold-cox"} +{"d:Title": "Cullivan, Tom", "d:Description": "(1939- ), Cavan, Ireland. Biography and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/tom-cullivan"} +{"d:Title": "Corcoran, Frank", "d:Description": "(1944- ), Tipperary, Ireland. Picture, biography, and selected works from the Contemporary Music Information Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/frank-corcoran"} +{"d:Title": "Collins, Paul", "d:Description": "(1965- ), Dublin, Ireland. Picture, biography, and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/C", "url": "https://www.cmc.ie/composers/paul-collins"} +{"d:Title": "Del Corno, Filippo", "d:Description": "(1970), Milano, Italy. Biography, worklist, performances, discography, and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.filippodelcorno.it/"} +{"d:Title": "de Jong, Christiaan", "d:Description": "Composer, flutist and guitarist. Pictures, biography, concert proposals, compositions and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.christiaandejong.com/"} +{"d:Title": "Deussen, Nancy Bloomer", "d:Description": "(1957- ) Picture, short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.nancybloomerdeussen.com/"} +{"d:Title": "del Aguila, Miguel", "d:Description": "Composer, pianist and conductor. Photographs, biography, awards, recordings, works, upcoming concerts and performers of his works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.migueldelaguila.com/"} +{"d:Title": "De Boer, Eduard", "d:Description": "(1957- ), pseudonym: Alexander Comitas. Catalog, sound library, opinions, score samples, biography and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.comitas.org/"} +{"d:Title": "Damon, Sean", "d:Description": "Includes MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.dreamuse.com/"} +{"d:Title": "Dobrian, Christopher", "d:Description": "Photograph, compositions, articles and publications, and current research projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://music.arts.uci.edu/dobrian/"} +{"d:Title": "Darge, Moniek", "d:Description": "(1952- ), Bruges, Belgium. Short and extensive biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.logosfoundation.org/index-mon.html"} +{"d:Title": "Dashow, James", "d:Description": "Includes information about compositions, recordings, major awards, prizes, commissions and grants and download of his software developed for digital sound synthesis.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.jamesdashow.net/"} +{"d:Title": "Davidson, Randall", "d:Description": "Includes biography, links, and information about his pieces.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.boysartmusic.com/"} +{"d:Title": "de Alvear, Maria", "d:Description": "(1960- ), Madrid, Spain. Biography, works, about the composer, photoalbum and upcoming projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.mariadealvear.com/"} +{"d:Title": "DeMarinis, Paul", "d:Description": "Photograph, recent shows, installations, performances, writings and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.well.com/~demarini/"} +{"d:Title": "Di Marino, Roberto", "d:Description": "(1956- ), Trento, Italy. Picture, biography, compositions, arrangements, discography, essays (in Italian), and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.di-marino.it/"} +{"d:Title": "Dennis, Brian", "d:Description": "(1941-1998), Marple, Cheshire, England. Photographs, biography and sound clips.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.composer.co.uk/composers/dennis.html#Biographical Notes"} +{"d:Title": "Devenport, Peter", "d:Description": "Photograph, short biography and sound file.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.composer.co.uk/composers/devenpor.html"} +{"d:Title": "Dionne, Vincent", "d:Description": "(1942- ), Chicoutini, Qu\u00e9bec. Biography, discography, concerts, dance and theatre music, and film music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://vincentdionne.com/"} +{"d:Title": "Dorff, Daniel", "d:Description": "(1956- ), New York City. Works with reviews and audio clips, biography, music for children's concerts, calendar of performances, photograph gallery, arrangements and editions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.danieldorff.com/"} +{"d:Title": "Drexler, David", "d:Description": "(1967- ) Includes list of works, biography, free scores, and MP3 recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.drexlermusic.com/"} +{"d:Title": "Dresher, Paul", "d:Description": "(1951- ), Los Angeles, California. Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.lovely.com/bios/dresher.html"} +{"d:Title": "Duffy, John", "d:Description": "Includes discography and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.johnduffy.com/"} +{"d:Title": "Durand, Jo\u00ebl-Fran\u00e7ois", "d:Description": "(1954- ), Orl\u00e9ans, France. Photograph, biography, list of works, discography, publisher contact and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://faculty.washington.edu/jdurand/"} +{"d:Title": "Dupuy, Phillip", "d:Description": "Composer working in London theatre. Includes music clips from theatre productions he has scored for.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://homepages.which.net/~phillip.dupuy/"} +{"d:Title": "Dzubay, David", "d:Description": "(1964- ), Minneapolis, Minnesota. Catalog, contact, biography, program notes, news, reviews, discography, sound clips, photographs and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://php.indiana.edu/~ddzubay/pronovamusic/index.html"} +{"d:Title": "Dequech, Andre", "d:Description": "(1957- ), Belo Horizonte, Brazil. Biography, discography, texts, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.members.tripod.com/dequech/"} +{"d:Title": "Davids, Brent Michael", "d:Description": "Native American composer. Biography, writings and reviews, music, performances, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.brentmichaeldavids.com/"} +{"d:Title": "Duckworth, Robert", "d:Description": "(1974- ) Picture, biography, and information on \"little yellow doll\" broadcasts, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://kalvos.org/duckwor.html"} +{"d:Title": "Dillon, Lawrence", "d:Description": "(1959- ) List of works, recordings, Picture, biography, contact information, links, news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://lawrencedillon.com/"} +{"d:Title": "Didkovsky, Nick", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.doctornerve.org/nerve/pages/nick.shtml"} +{"d:Title": "Dormidoshin, Roman", "d:Description": "(1974- ), Podolsk, Russia. Biography, music, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.dormidoshin.ru/"} +{"d:Title": "Dubugnon, Richard", "d:Description": "(1968- ), Lausanne, Switzerland. Biography, catalog, photographs, press, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.richarddubugnon.com/"} +{"d:Title": "Decio, Colin", "d:Description": "(1959- ) Picture, biography, compositions, CDs, events, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.colindecio.com/"} +{"d:Title": "Davies, Frank", "d:Description": "(1953- ), Washington, USA. Music of the composer and others, arrangements for guitar, compositions, and guitar lessons.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://fdavies.8k.com/"} +{"d:Title": "De Bleser, Werner", "d:Description": "Biography and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://users.online.be/~gd35030"} +{"d:Title": "Dempster, Thomas J", "d:Description": "Biography, picture, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.thomasdempster.com/"} +{"d:Title": "Damase, Jean-Michel", "d:Description": "(1928- ) From Chamber Music database, list of compositions with links to more information about each.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://chezdamase.tripod.com/"} +{"d:Title": "de Forest, Brooks", "d:Description": "(1972- ) Composer of electronic, and orchestral music. Albums, biography, store, press releases, and audio extracts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.brooksdeforest.com/"} +{"d:Title": "De Rossi Re, Fabrizio", "d:Description": "Short biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://composers21.com/compdocs/derossir.htm"} +{"d:Title": "Dillon, James", "d:Description": "(1950- ), Glasgow, Scotland. Picture, biography, work list, chronological work list and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://composers21.com/compdocs/dillonj.htm"} +{"d:Title": "Dall'Osto, Diego", "d:Description": "Biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.dallosto.com/"} +{"d:Title": "Dzorelashvili, Saul", "d:Description": "(1976- ) Biography, works, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.freewebs.com/saulsmusic/"} +{"d:Title": "DeBorde, John", "d:Description": "(1965- ), Atlanta, Georgia. Photograph, biography, music, credits and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.johndeborde.com/"} +{"d:Title": "Dewey, Matthew", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.matthewdewey.com/"} +{"d:Title": "de Hollanda Cavalcanti, Nestor", "d:Description": "(1949- ), Rio de Janeiro. Picture, biography, works, arrangements and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.nestordehollandacavalcanti.mus.br/"} +{"d:Title": "Dudley Hughes, Edward", "d:Description": "(1968- ), England. Includes work list, recordings, biography, reviews, contact information, and MP3 sample files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.edhughes.org.uk/"} +{"d:Title": "Dale, Steve", "d:Description": "Biography, interview and sample tracks from his album.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.moviesoundtrack.co.uk/"} +{"d:Title": "Daniels, Andy", "d:Description": "Award-winning composer of film scores, jazz and contemporary classical music. Biography, audio clips, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.andydaniels.com/"} +{"d:Title": "DePolo, Andrew and Jared", "d:Description": "Twin composers and producers from Lorain, Ohio. Biographical information, sample clips, current projects, news, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.depolomusic.com/"} +{"d:Title": "Deceuninck, Patrice", "d:Description": "Music composer inspired by J.R.R. Tolkien's The Lord Of The Rings. Biography, music and video files, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://pdeceuninck.free.fr/"} +{"d:Title": "Dudley, Grahame", "d:Description": "(1942- ), Sydney, Australia. Picture, short biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dudley-grahame"} +{"d:Title": "Dervieux , Noel", "d:Description": "(1921- ), Lyon, France. Biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dervieux-noel"} +{"d:Title": "Davies, Tim", "d:Description": "(1972- ), Melbourne, Australia. Photograph, short biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/davies-tim"} +{"d:Title": "Dennis, C Elwyn", "d:Description": "(1941- ), Los Angeles, California. Biography with remarks on his artistic aesthetic.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dennis-elwyn"} +{"d:Title": "Dollarhide, Theodore", "d:Description": "(1948- ), Santa Cruz, California. Photograph, biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dollarhide-theodore"} +{"d:Title": "Davidson, Robert", "d:Description": "Scores and recordings of music by postminimal Australian composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/davidson-robert"} +{"d:Title": "Duncan, Eve", "d:Description": "(1956- ) Picture. biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/duncan-eve"} +{"d:Title": "de Jong, Sarah", "d:Description": "Photograph, biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/de-jong-sarah"} +{"d:Title": "Dean, Roger", "d:Description": "Photograph, biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dean-roger"} +{"d:Title": "Dean, Brett", "d:Description": "Biography of the violist and composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dean-brett"} +{"d:Title": "Dreyfus, George.", "d:Description": "(1922- ), Wuppertal, Germany. Photograph, biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dreyfus-george"} +{"d:Title": "Dench, Chris", "d:Description": "(1953- ), London, England. Biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.australianmusiccentre.com.au/artist/dench-chris"} +{"d:Title": "Diemer, Emma Lou", "d:Description": "(1927- ) Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://musicalics.com/fr/compositeur/Emma-Lou-Diemer"} +{"d:Title": "Dufour, Alain Johan", "d:Description": "(1969- ), Paris, France. Picture, and services offered by his music company in Sweden: Beaujoli.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.beaujoli.se/"} +{"d:Title": "Danielpour, Richard", "d:Description": "(1956- ), New York City. Includes biography, work list, reviews, and articles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.musicsalesclassical.com/composer/short-bio/Richard-Danielpour"} +{"d:Title": "Distler, Jed", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.composerscollab.org/artists_cci/music_source/distler-jed.html"} +{"d:Title": "Dickinson, Peter", "d:Description": "(1934- ), Lytham St. Anne's, Lancashire, England. Includes biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.musicsalesclassical.com/composer/short-bio/366"} +{"d:Title": "Dazzi, Gualtiero", "d:Description": "(1960- ) Includes biography, work list, and composer's notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.musicsalesclassical.com/composer/short-bio/333"} +{"d:Title": "Dunaway, Judy", "d:Description": "Pictures, biography, discography, compositions, balloon reviews, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.jeweltone16.org/judydunaway/"} +{"d:Title": "Dadson, Philip", "d:Description": "(1946- ), Napier, New Zealand. Biography and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://sounz.org.nz/contributor/composer/1026"} +{"d:Title": "Demers, Inouk", "d:Description": "Includes biography, curriculum vitae, work list, discography, audio excerpts, reviews, upcoming events, and links. In English and French.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://inoukdemers.com/"} +{"d:Title": "Dwyer, Benjamin", "d:Description": "Picture, biography and selected works. Page available in PDF.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "https://www.cmc.ie/composers/benjamin-dwyer"} +{"d:Title": "de Barra, S\u00e9amas", "d:Description": "(1955- ) Picture, biography and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "https://www.cmc.ie/composers/seamas-de-barra"} +{"d:Title": "Doyle, Roger", "d:Description": "(1949- ), Dublin, Ireland. Picture, biography and selected works. Page available in PDF.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "https://www.cmc.ie/composers/roger-doyle"} +{"d:Title": "de Bromhead, Jerome", "d:Description": "(1945- ), Ireland. Photograph, short biography and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "https://www.cmc.ie/composers/jerome-de-bromhead"} +{"d:Title": "Deane, Raymond", "d:Description": "Picture, biography and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "https://www.cmc.ie/composers/raymond-deane"} +{"d:Title": "Dennehy, Donnacha", "d:Description": "(1970- ), Dublin, Ireland. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "https://www.cmc.ie/composers/donnacha-dennehy"} +{"d:Title": "Dalby, Martin", "d:Description": "(1942- ), Aberdeen, Scotland. Photograph, biography, works and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/D", "url": "http://www.impulse-music.co.uk/martindalby/"} +{"d:Title": "Easterbrook, Giles", "d:Description": "(1949- ), Berlin, Germany. Biography from Chameleon Group of Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.chameleongroup.org.uk/members/easterbrook.html"} +{"d:Title": "Easton, Matt", "d:Description": "(1955- ) Biography and works from Leisure Planet Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.leisureplanetmusic.com/composer/easton/bio.htm"} +{"d:Title": "Eato, Jonathan", "d:Description": "Biography and sound file from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.composer.co.uk/composers/eato.html"} +{"d:Title": "Eichberg, S\u00f8ren Nils", "d:Description": "(1973- ), Stuttgart, Germany. Biography, picture, and press reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.eichberg.net/"} +{"d:Title": "Eigenfeldt, Arne", "d:Description": "(1962-- ), St. Boniface, Manitoba. Biography, Picture, sound files, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.sfu.ca/~eigenfel/"} +{"d:Title": "Einhorn, Richard", "d:Description": "(1952- ) Includes a brief biography, an interview, images from several productions, discography, sound files (in MP3 format), and information about major compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.richardeinhorn.com/"} +{"d:Title": "Eister, Garry", "d:Description": "(1952- ), Grand Rapids, Michigan. Biography, list of works, photographs, recordings, and upcoming performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.fix.net/~geister/"} +{"d:Title": "Essl, Karlheinz", "d:Description": "(1960- ), Vienna, Austria. Includes scores, electronic music, net music, sound installations, algorithmic compositions, music software, performances, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.essl.at/"} +{"d:Title": "Ewers, Tim", "d:Description": "(1958- ), Welwyn Garden City, United Kingdom. Includes catalogue of works, streaming audio examples, a biography, reviews and links to related sites", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.tim-ewers.co.uk/"} +{"d:Title": "Ernst, David", "d:Description": "New York-based composer and arranger in a variety of styles including jazz, rock and techno. Song samples and brief biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.angelfire.com/ny2/demusic/"} +{"d:Title": "Edgerton, Michael", "d:Description": "Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://kalvos.org/edgerto.html"} +{"d:Title": "Edwards, Ross", "d:Description": "Picture, bi9graphy, catalog, and resources.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.rossedwards.com/"} +{"d:Title": "Einaudi, Ludovico", "d:Description": "Italian pianist and composer for films and theatre: biography, discography, works, news and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.ludovicoeinaudi.com/"} +{"d:Title": "Colin Eatock", "d:Description": "Information on his compositions and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.colineatock.com/"} +{"d:Title": "Englishby , Paul", "d:Description": "(1970- ), England. Composer for film, theatre and TV. Biography, Credits, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.englishby.com/"} +{"d:Title": "Erber, James", "d:Description": "(1954- ), London, England. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.nmcrec.co.uk/?page=composers/composer.html&id=32"} +{"d:Title": "Erez, Itamar", "d:Description": "(1965- ), Israeli born composer, pianist and guitarist. Biography, works, audio and video files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.itamarerez.com/"} +{"d:Title": "Ernste, Kevin", "d:Description": "(1973- ), Fairfax, Virginia. Biography, works, and curriculum vitae.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://digital.music.cornell.edu/kevinernste/"} +{"d:Title": "Emfietzis, Gregory", "d:Description": "London based composer, working also as a performer, administrator, teacher and magazine editor. Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://emfietzis.com/"} +{"d:Title": "Elo, Arpad", "d:Description": "(1925- ), Chicago. Illinois. Picture, biography, selected works, and sound files, from Kalvos and Damian's New Music Bazaar.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://kalvos.org/eloarp.html"} +{"d:Title": "Ellis, George", "d:Description": "Short biography,and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.australianmusiccentre.com.au/artist/ellis-george"} +{"d:Title": "Easton, James M.", "d:Description": "(1944- ), Sydney, Australia. Picture and biography from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.australianmusiccentre.com.au/artist/easton-james"} +{"d:Title": "Easton, Michael", "d:Description": "(1954- ), Hertfordshire, England. Picture and biography from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.australianmusiccentre.com.au/artist/easton-michael"} +{"d:Title": "Eagles, Moneta", "d:Description": "(1924-), Concord, New South Wales. Works for organ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://www.organ-biography.info/index.php?id=Eagles_Moneta_1924"} +{"d:Title": "Evans, Francois", "d:Description": "(1965- ), London, England. Biography and works from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://musicalics.com/fr/node/485125"} +{"d:Title": "Exley, Judith", "d:Description": "(1939- ), Rawere, New Zealand. Picture, short biography, and selected works from Sounz, New Zealand.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://sounz.org.nz/contributor/composer/1038"} +{"d:Title": "Emura, Tetsuji", "d:Description": "(1960- ), Nishinomiya, Japan. Biography, picture, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/E", "url": "http://composer.server-shared.com/"} +{"d:Title": "Electronic Music Foundation", "d:Description": "Information center for electronic music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://www.emf.org/"} +{"d:Title": "The San Francisco Electronic Music Festival", "d:Description": "Annual electronic music festival.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://sfemf.org/"} +{"d:Title": "The LAB", "d:Description": "Experimental electronic music center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://www.thelab.org/"} +{"d:Title": "CNMAT", "d:Description": "Center for New Music and Audio Technologies at UC Berkeley.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://cnmat.berkeley.edu/"} +{"d:Title": "New Intefaces for Musical Expression", "d:Description": "Home page of the international conference on computer interfaces for musical expression. Information on NIME 2004 and earlier meetings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://www.nime.org/"} +{"d:Title": "Teomi, Eitan", "d:Description": "Composer of electro-acoustic music, born in Israel and trained at California Institute of the Arts, working with Brazilian vocalist Monica. Offers sound samples from film scores and games, with testimonials.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://www.handheldsound.com/"} +{"d:Title": "CCM", "d:Description": "Center for Contemporary Music at Mills College, in Oakland CA.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://www.mills.edu/academics/undergraduate/mus/center_contemporary_music.php"} +{"d:Title": "The University of Texas at Austin Electronic Music Studios", "d:Description": "Includes information about composers who have attended or taught at the school.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://ems.music.utexas.edu/"} +{"d:Title": "Liquid Synthetics", "d:Description": "Experimental and improv electronica and guitar music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "http://angelfire.com/indie/liquidsynthetics"} +{"d:Title": "Center for Computer Research in Music and Acoustics", "d:Description": "The Stanford University CCRMA events, courses offered, and industrial affiliates program.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Electronic_Music", "url": "https://ccrma.stanford.edu/"} +{"d:Title": "F\u00e1bregas, Elisenda", "d:Description": "(1955- ), Terrasa, Barcelona. Picture, biography, works, selected performances, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.efabregas.com/"} +{"d:Title": "Fendrich, Sam", "d:Description": "(1947- ), Novosibirsk, Siberia. Picture, biography, selected works, and sound file from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.composer.co.uk/composers/fendrich.html"} +{"d:Title": "Fitkin, Graham", "d:Description": "(1963- ), West Cornwall, United Kingdom. Picture, biography, works, sound files, and projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.fitkin.com/"} +{"d:Title": "Fitch, Keith", "d:Description": "(1966- ), Fort Wayne, Indiana. Picture and biography from Non Sequitur Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.nonsequiturmusic.com/fitch.htm"} +{"d:Title": "Folio, Cynthia", "d:Description": "(1954- ), Fort Belvoir, Virginia. Picture, biography, works, and articles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://astro.ocis.temple.edu/~cfolio/index.html"} +{"d:Title": "Fry, James", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.und.nodak.edu/dept/mcr/frybio.html"} +{"d:Title": "Fuentes, Tristan", "d:Description": "(1951- ), Mexico City. Picture, biography, works, and discography, from Leisure Planet Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.leisureplanetmusic.com/composer/fuentes/bio.htm"} +{"d:Title": "Feigin, Joel", "d:Description": "(1951- ), New York, New York. Biography, picture, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.joelfeigin.com/"} +{"d:Title": "Frankel, Ari", "d:Description": "Picture, biography, discussion of three operas, and other interests.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.arifrankel.com/"} +{"d:Title": "Frahm, Frederick", "d:Description": "(1964- ) Biography and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.frederickfrahm.com/"} +{"d:Title": "Fields, Scott", "d:Description": "(1952- ), Chicago, Illinois. Picture, biography, performances, reviews, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.scottfields.com/"} +{"d:Title": "Friedman, Gary", "d:Description": "(1934- ) Biography and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://garyfriedmanmusic.net/"} +{"d:Title": "Faris, Alexander", "d:Description": "(1921- ), Caledon, Northern Ireland, composer/conductor. Biography from the D'Oyly Carte Opera Company.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://math.boisestate.edu/gas/whowaswho/F/FarisAlexander.htm"} +{"d:Title": "Farkas, Ferenc", "d:Description": "(1905-2000), Nagykanizsa, Hungary. Biography and interview by Erzs\u00e9bet Ga\u00e1l.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.indiana.edu/~iuihsl/1thesis8.htm"} +{"d:Title": "Forbes, Sebastian", "d:Description": "(1941- ) Picture, biography, and composition details with sound clips and link to full catalogue.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.sebastianforbes.com/"} +{"d:Title": "Fein, Ron", "d:Description": "(1952- ) Picture, biography, recordings, and complete works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://ronfeinmusic.com/"} +{"d:Title": "Fredrics, Howard Jonathan", "d:Description": "(1962- ), new York City. Biography, list of works, and discography, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.composers21.com/compdocs/fredrich.htm"} +{"d:Title": "Feuer, Jed", "d:Description": "(1948- ), Los Angeles, California. Picture, biography, list of works, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.jedfeuer.com/"} +{"d:Title": "Farber, Richard", "d:Description": "Pictures, biography, list of works, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.richard-farber.com/"} +{"d:Title": "Kenneth Frazelle, Composer", "d:Description": "(1955-) American. Photos, biography, list of works, scores and recordings, performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.kennethfrazelle.com/"} +{"d:Title": "Funk, Eric", "d:Description": "(1949- ), Deer Lodge, Montana. Picture, short biography, works, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.ericfunk.com/"} +{"d:Title": "Ford, Andrew", "d:Description": "(1957- ) Liverpool, England. Offers picture, news, biography, compositions, CDs, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.andrewford.net.au/"} +{"d:Title": "Fischer, Frank M.", "d:Description": "(1960-), Hamburg, Germany. Composer of contemporary music and film scores. Biography, references, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.frank-m-fischer.com/"} +{"d:Title": "Farhat, Hormoz", "d:Description": "(1930- ), Iran. Biography and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.cmc.ie/composers/composer.cfm?composerID=41"} +{"d:Title": "Fox, Christopher", "d:Description": "(1955- ), England. Picture, biography, works, discography, and an interview.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.foxedition.co.uk/"} +{"d:Title": "Fennesz, Christian", "d:Description": "Contains news, discography, pictures and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.fennesz.com/"} +{"d:Title": "Franzson, Davi\u00f0 Brynjar", "d:Description": "(1978- ), Akureyri, Iceland. Biography, curriculum vitae, and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.franzson.com/"} +{"d:Title": "Fujieda, Mamoru", "d:Description": "(1955- ), Japan. Picture, biography, and sound files, from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.otherminds.org/shtml/Fujieda.shtml"} +{"d:Title": "Ferrari, Luc", "d:Description": "(1929- ) Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.otherminds.org/shtml/Ferrari.shtml"} +{"d:Title": "Frank, Robert J.", "d:Description": "Works, curriculum vitae, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.robertfrankmusic.com/"} +{"d:Title": "Fink, Bob", "d:Description": "New music composed in classical and other traditional tonal styles. Reviews, sheetmusic and MP3 downloads available.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.greenwych.ca/musicmid.htm"} +{"d:Title": "Friedman, David", "d:Description": "Biography, CDs,and projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.daviddfriedman.com/"} +{"d:Title": "Frasier, Jane", "d:Description": "Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.janefrasier.com/"} +{"d:Title": "Fage, Shane", "d:Description": "(1964- ), Winnepeg, Canada. Biography, and works in quicktime mp3 audio or mpeg video.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://shanefage.ca/"} +{"d:Title": "Fabricius, Martin", "d:Description": "Composer for film, TV and multimedia.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.music4film.eu/"} +{"d:Title": "Flurry, Henry S.", "d:Description": "Resume and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.henryflurry.com/"} +{"d:Title": "Fricker, Peter Racine", "d:Description": "(1920-1990), London, England. Biography with a detailed discussion of his compositions, from Music Web UK.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.musicweb-international.com/fricker/"} +{"d:Title": "Fulton, Bill", "d:Description": "Music production for film, TV, and record production. Includes credits, awards, and audio demos.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.billfulton.com/"} +{"d:Title": "Fried, Philip", "d:Description": "(1955- ) New York City. Biography, performances, reviews, and works. Also provides self directed music composition lessons on the net.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://philfried.com/"} +{"d:Title": "Frank, Andrew", "d:Description": "Biography, works, recordings and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.andrewdfrank.com/"} +{"d:Title": "Featherstone, Gary", "d:Description": "Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/featherstone-gary"} +{"d:Title": "Finsterer, Mark", "d:Description": "(1958- ), Bowral, Australia. Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/finsterer-mark"} +{"d:Title": "Farr, Ian", "d:Description": "(1941- ), South Australia. Picture, biography. and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/farr-ian"} +{"d:Title": "Fitzgerald, Jonathon", "d:Description": "(1954- ) Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/fitzgerald-jon"} +{"d:Title": "Franklin, James", "d:Description": "(1959- ), Sydney, Australia. Photograph, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/franklin-jim"} +{"d:Title": "Friedel, Martin", "d:Description": "(1945- ), Wartawiel, Germany. Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/friedel-martin"} +{"d:Title": "Formosa, Riccardo", "d:Description": "(1954- ), Rome Italy. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/formosa-riccardo"} +{"d:Title": "Farbach, Kent", "d:Description": "(1961- ) Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/farbach-kent"} +{"d:Title": "Finsterer, Mary", "d:Description": "(1962- ) Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/finsterer-mary"} +{"d:Title": "Ford, Andrew", "d:Description": "(1957- ) Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.australianmusiccentre.com.au/artist/ford-andrew"} +{"d:Title": "Friede, Willem", "d:Description": "Biography, works, and contact information of this Dutch composer / arranger.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.willemfriede.com/"} +{"d:Title": "Festinger, Richard", "d:Description": "Biography, list of works, recordings, publications, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.rafestinger.com/"} +{"d:Title": "Fuzzy, alias Jens Wilhelm Pedersen", "d:Description": "(1939- ), Denmark. Biography from Da Capo Records.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.dacapo-records.dk/en/artist-fuzzy.aspx"} +{"d:Title": "Flynn, Hugh", "d:Description": "Includes biography and MP3 samples of music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.hugh-flynn-composer.com/"} +{"d:Title": "First, Craig", "d:Description": "Includes biography, reviews, works list, and published works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.cpfirst.net/"} +{"d:Title": "Foley, Daniel", "d:Description": "(1952- ), Toronto, Canada. Picture, biography, works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://pages.pathcom.com/~fandoley/"} +{"d:Title": "Freedman, Harry", "d:Description": "(1922- ), Poland. Sound files, biography and picture.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://users.nobelmed.com/freedman/"} +{"d:Title": "Freeman, Jason", "d:Description": "Picture, biography, works, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://distributedmusic.gatech.edu/jason/"} +{"d:Title": "Farquhar, David", "d:Description": "(1928- ), Cambridge, New Zealand. Picture, biography, and selected works, from Sounz NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://sounz.org.nz/contributor/composer/1039"} +{"d:Title": "Fisher, Helen", "d:Description": "(1942- ), Nelson, New Zealand. Picture, biography, and selected works, from Sounz, NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://sounz.org.nz/contributor/composer/1041"} +{"d:Title": "Freed, Dorothy", "d:Description": "(1919-2000), Dunedin, New Zealand. Picture, biography, and selected works, from Sounz NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://sounz.org.nz/contributor/composer/1042"} +{"d:Title": "Farrell, Eibhlis", "d:Description": "(1953- ) Biography, picture, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "https://www.cmc.ie/composers/eibhlis-farrell"} +{"d:Title": "Flood, Philip", "d:Description": "(1964- ), Belfast, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "https://www.cmc.ie/composers/philip-flood"} +{"d:Title": "Fowler, Jennifer", "d:Description": "(1939- ), Bunbury, Western Australia. Includes biography, discography and critical notices,and credo.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/F", "url": "http://www.impulse-music.co.uk/jenniferfowler/"} +{"d:Title": "Giroux, Julie", "d:Description": "Pictures, biography, works, scores, sound files, program notes, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://juliegiroux.www2.50megs.com/"} +{"d:Title": "Geiling, Toni", "d:Description": "Download MP3s and weed files by violinist, composer, songwriter from East Germany Toni Geiling. Composes and performs folk, classical music, writes acoustic songs and takes an interest in the old lyrical German singing tradition.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.tonigeiling.de/"} +{"d:Title": "Gann, Kyle", "d:Description": "(1955- ), Dallas, Texas. Music critic at the Village Voice, New York City, and microtonal composer. Picture, biography, works, books and articles, sound files, performances, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.kylegann.com/"} +{"d:Title": "Gladd, Neil", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.neilgladd.com/"} +{"d:Title": "Green, Edward", "d:Description": "Composer, music educator, New York City. Picture, biography, compositions, and articles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.edgreenmusic.org/"} +{"d:Title": "Galbraith, Craig", "d:Description": "(1975- ), Saltspring, British Columbia. Biography, works, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.craiggalbraith.com/"} +{"d:Title": "Galbraith, Nancy", "d:Description": "Picture, biography, performances, recordings, works, program notes, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.andrew.cmu.edu/user/ngal/"} +{"d:Title": "Galindo, Guillermo", "d:Description": "(1960- ), Mexico City, Mexico. Picture, biography, sound files, recordings, and projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.galindog.com/"} +{"d:Title": "Gena, Peter", "d:Description": "Biography from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.lovely.com/artists/a-gena.html"} +{"d:Title": "George, Thom Ritter", "d:Description": "(1942- ), Detroit, Michigan. Biography, photographs, works, recordings, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.isu.edu/~georthom/"} +{"d:Title": "Gerber, Jerry", "d:Description": "(1951- ), Los Angeles, California. Includes MP3 files, links to music sites, articles, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.jerrygerber.com/"} +{"d:Title": "Gerber, Steven R.", "d:Description": "Picture, biography, news, reviews, works, recordings, and audio.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.stevengerber.com/"} +{"d:Title": "Gibbens, John Jeffrey", "d:Description": "Biography and works, from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.wiscomposers.org/members/gibbens.html"} +{"d:Title": "Gilbert, Peter", "d:Description": "(1975- ) Includes contact information, recordings, biography, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://petergilbert.net/"} +{"d:Title": "Gilliam, Bill", "d:Description": "Biography, CD and concert reviews, works, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www3.sympatico.ca/bgilliam/"} +{"d:Title": "Gilliam, Katherine", "d:Description": "Awards, MP3s, and works list.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.angelfire.com/home/kgilliam/"} +{"d:Title": "Giovannini, Marina", "d:Description": "(1959- ), Trento, Italy. Biography, Picture, works, recordings, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.lett.unitn.it/musica/html/English/Comp/Composit/Giovanni/Giovanni.htm"} +{"d:Title": "Goldkind, Elliott", "d:Description": "(1964- ), New York City. Biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.ornette.com/"} +{"d:Title": "Godin, Scott", "d:Description": "(1970- ), Brooks, Alberta. Biography and selected works from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.composers21.com/compdocs/godins.htm"} +{"d:Title": "Godman, Robert", "d:Description": "(1964- ) Picture, biography, selected works and performances, and an audio file, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.composer.co.uk/composers/godman.html"} +{"d:Title": "Goode, Daniel", "d:Description": "(1936- ), New York City. Biography from the Sound Art Foundation.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.soundart.org/dnlgoode.html"} +{"d:Title": "Goodall, Howard", "d:Description": "British composer of choral music, stage musicals and TV theme tunes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.howardgoodall.co.uk/"} +{"d:Title": "Grant, James", "d:Description": "(1954- ) Information on the orchestral, chamber, and choral music of the contemporary American composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.jamesgrantmusic.com/"} +{"d:Title": "Gordon, Peter", "d:Description": "Biography and discography from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.lovely.com/bios/gordon.html"} +{"d:Title": "Gurney, Ivor", "d:Description": "(1890-1937), Gloucester, England. Includes biography, chronology, lists of music, bibliography, books and articles, photos, essays, and lyrics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.geneva.edu/~dksmith/gurney/"} +{"d:Title": "Gray, Simon", "d:Description": "(1970- ) Composer of electroacoustic, electronic, and world music", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.star-one.org.uk/"} +{"d:Title": "Guilfoyle, Ronan", "d:Description": "Picture, biography, discography, selected works, and press comments.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://homepage.eircom.net/~251/intro.html"} +{"d:Title": "Gutheinz, Steven", "d:Description": "Includes links, CDs, and education.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.stevengutheinz.com/"} +{"d:Title": "Gardner, Alexandra", "d:Description": "Picture, biography, news, catalog, recordings, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.jigsawmusic.org/"} +{"d:Title": "Grier, Jon Jeffrey", "d:Description": "Picture, resume, works, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.newertunes.com/"} +{"d:Title": "Gibbons, Mark", "d:Description": "Picture, biography, and compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://kalvos.org/gibbons.html"} +{"d:Title": "Gibson, David", "d:Description": "Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://kalvos.org/gibsond.html"} +{"d:Title": "Gryc, Stephen", "d:Description": "(1949- ), St. Paul, Minnesota. Picture, biography, and compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://kalvos.org/grycste.html"} +{"d:Title": "Gunn, David", "d:Description": "Picture, sound files, compositions, and the composers views on composition, from Kalvis and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://kalvos.org/gunnd.html"} +{"d:Title": "Gay, Sandra", "d:Description": "Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.sandra-gay.com/"} +{"d:Title": "Gibson, Jon", "d:Description": "Biography and discography, from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.lovely.com/artists/a-gibsonj.html"} +{"d:Title": "Garber, Ryan", "d:Description": "Biography and compositions with sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.ryangarber.com/"} +{"d:Title": "Greco, Jos\u00e9 Luis", "d:Description": "Includes biographical and catalogue details for this American composer, living and working in Spain", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.grecomusica.com/"} +{"d:Title": "Gard, Stephen", "d:Description": "(1952- ), Oatley, New South Wales. Picture, biography, scores, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.stephengard.com.au/"} +{"d:Title": "Grund, Christoph", "d:Description": "Information about this composer/pianist. List of works, list of radio plays, and photograph.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.christophgrund.de/"} +{"d:Title": "Glasgow, Scott", "d:Description": "The official web site for the composer Scott Glasgow, who writes music for Film, TV, theater and the concert stage.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.scottglasgowmusic.com/"} +{"d:Title": "Gibson, John", "d:Description": "Irish composer. Biography, CDs, works, reviews, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.johncgibson.com/"} +{"d:Title": "Gompper, David Karl", "d:Description": "Biography, picture, compositions, and piano performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://davidgompper.com/"} +{"d:Title": "Gregson , Edward", "d:Description": "(1945- ). Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.edwardgregson.com/"} +{"d:Title": "Glorieux, Francois: Glorious Sound", "d:Description": "(1932-) Belgian composer, pianist, conductor and entertainer with biographical material, photographs, audio samples, and purchasing of CDs and sheet music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.francoisglorieux.com/"} +{"d:Title": "Garrett, Michael", "d:Description": "Composer and pianist. Picture, biography, works, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://michaelgarrett.info/"} +{"d:Title": "Golijov, Osvaldo", "d:Description": "(1960- ), La Plata, Argentina. Official website: Includes biography, performance calendar, photos, catalogue of works, articles, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.osvaldogolijov.com/"} +{"d:Title": "Giombini, Pierluigi", "d:Description": "Official site. Biography, songs, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.giombinimusic.com/"} +{"d:Title": "Giorgetti, Daniel", "d:Description": "Information about his work as well as some examples of his music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.danielgiorgetti.com/"} +{"d:Title": "Gennaula, Chris", "d:Description": "(1964- ) Minneapolis, MN. Composer of musical theater, sacred works, computer-generated music. Links to weblog and mp3 files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.gennaula.com/"} +{"d:Title": "Guessford, Jesse", "d:Description": "Composer working on his doctorate at the University of Illinois. Curriculum vitae, sound files, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.jesseguessford.com/"} +{"d:Title": "Gardiner, John", "d:Description": "(1917- ), Manchester, England. Biography from Musicweb.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musicweb-international.com/gardner/"} +{"d:Title": "Gipps, Ruth", "d:Description": "(1921-1999), Bexhill-on-Sea, East Sussex, England. Pictures and biography, from Classical Music on the Web.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musicweb-international.com/gipps/"} +{"d:Title": "Gundry, Inglis", "d:Description": "(1905-2000), Wimbledon Park, England. Picture, biography, links to articles and autobiography, and list of works, from Musicweb.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musicweb-international.com/gundry/"} +{"d:Title": "Goode, John W. F.", "d:Description": "Composer of music for film, television, advertising, and video games. Includes biography, discography, sample music, contact information, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.filmcomposer1.com/"} +{"d:Title": "Gustavson, Mark", "d:Description": "(1959- ), Brooklyn, New York. Picture, biography, audio files, works, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.markgustavson.com/"} +{"d:Title": "Gabel, Jackie", "d:Description": "(1949- ) Picture and biography, audio samples and upcoming performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.jackgabel.com/"} +{"d:Title": "Gleeson, Derek", "d:Description": "(1964- ), Dublin, Ireland. Irish-American composer, conductor and producer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.derekgleeson.com/"} +{"d:Title": "Grant, Patrick", "d:Description": "(1963- ), Detroit, Michigan. Picture, biography, audio files, and selected works, from Kalvos and Damian's New Music Bazaar.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://kalvos.org/grantpa.html"} +{"d:Title": "Garton, Brad", "d:Description": "(1957- ) Compositions, software and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://music.columbia.edu/~brad/"} +{"d:Title": "Guymer, Ingrid", "d:Description": "(1968- ) Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/guymer-ingrid"} +{"d:Title": "Glynn, Gerald", "d:Description": "(1943- ), Brisbane, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/glynn-gerald"} +{"d:Title": "Giacco, Carlo", "d:Description": "(1972- ), Sydney, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/giacco-carlo"} +{"d:Title": "Ghandar, Ann", "d:Description": "(1943- ), Adelaide, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/ghandar-ann"} +{"d:Title": "Gross, Eric", "d:Description": "(1926- ), Vienna, Austria. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/gross-eric"} +{"d:Title": "Gyger, Elliott", "d:Description": "(1968- ), Sydney, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/gyger-elliott"} +{"d:Title": "Gifford, Helen", "d:Description": "(1935- ), Hawthorn, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/gifford-helen"} +{"d:Title": "Gilbert, Les", "d:Description": "(1946- ), Melbourne, Australia. Biography from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/gilbert-les"} +{"d:Title": "Gott, James", "d:Description": "(1955- ) Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/gott-james"} +{"d:Title": "Gilfedder, John", "d:Description": "(1925- ), Melbourne, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/gilfedder-john"} +{"d:Title": "Gilmour, Russell", "d:Description": "(1956- ), Sydney, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/gilmour-russell"} +{"d:Title": "Grant, Quentin", "d:Description": "(1962- ) Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/grant-quentin"} +{"d:Title": "Grandison, Mark", "d:Description": "(1965- ), Adelaide, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.australianmusiccentre.com.au/artist/grandison-mark"} +{"d:Title": "Gr\u00edmsson, L\u00e1rus Halld\u00f3r", "d:Description": "(1954- ), Iceland. Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://home.online.nl/eli.ichie/grimsson.html"} +{"d:Title": "Grippe, Ragnar", "d:Description": "(1951- ), Stockholm, Sweden. Picture, biography, and worklist.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://home.online.nl/eli.ichie/grippe.html"} +{"d:Title": "Glyn, Gareth", "d:Description": "(1951- ), Machynlleth, Wales. Includes links to publishers and performers of his music, brief biography and pictures.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://garethglyn.info/"} +{"d:Title": "Gudmundsen-Holmgreen, Pelle", "d:Description": "(1932- ), Denmark. Picture, biography, works, performances, and discography, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musicsalesclassical.com/composer/short-bio/592"} +{"d:Title": "Graugaard, Lars", "d:Description": "(1957- ), Copenhagen, Denmark. Picture, biography, works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://l--l.dk/"} +{"d:Title": "Garcia, Orlando Jacinto", "d:Description": "(1954- ), Havana, Cuba. Picture, biography (English and Spanish), works, reviews, discography, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.orlandojacintogarcia.com/"} +{"d:Title": "Geers, Douglas", "d:Description": "Picture, biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.dgeers.com/"} +{"d:Title": "Golijov, Osvaldo", "d:Description": "Picture, biography, and discussion of \"La Pasi\u00f3n Seg\u00fan San Marcos.\"", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.bmi.com/news/entry/233242"} +{"d:Title": "Gordon, Michael", "d:Description": "Picture and biography from G. Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musicsalesclassical.com/composer/short-bio/Michael-Gordon"} +{"d:Title": "Genis, Evren", "d:Description": "(1978- ), Ankara, Turkey. Picture, works, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://musicalics.com/fr/node/485192"} +{"d:Title": "Glazier, Laurence", "d:Description": "Biography and list of compositions, some with scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "https://www.youtube.com/user/laurenceglaziermusic"} +{"d:Title": "Griffiths, David", "d:Description": "(1950- ), Auckkland, New Zealand. Picture, biography, and list of works, from sounz, NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://sounz.org.nz/contributor/composer/1046"} +{"d:Title": "Grenfell, Maria", "d:Description": "(1969- ), Kuala Lumpur, Malaysia. Picture, biography, and selected works, from Sounz, NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://sounz.org.nz/contributor/composer/1045"} +{"d:Title": "Garfinkle, Craig Stuart", "d:Description": "Contains MP3 and RealAudio examples from his film and television scores as well as information on how to purchase his CDs, from Midiot Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://craigstuartgarfinkle.com/"} +{"d:Title": "Giusti, Gerardo Perez", "d:Description": "Biography, list of works, sound fules, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://gpgiusti.wix.com/lawnote-sound-design"} +{"d:Title": "Grant, Stewart", "d:Description": "(1948- ), Fort William, Ontario. Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37410"} +{"d:Title": "Gellman, Steven", "d:Description": "(1947- ) Picture, biography, selected works, and CDs, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37189"} +{"d:Title": "Gibson, Stephen R.", "d:Description": "(1962- ), Vancouver, British Columbia. Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37479"} +{"d:Title": "Gilliland, Allan", "d:Description": "Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37617"} +{"d:Title": "Gagnon, Alain", "d:Description": "Picture and biography, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37185"} +{"d:Title": "Gagn\u00e9, Marc", "d:Description": "(1939- ), Saint-Joseph-de-Beauce, Qu\u00e9bec. Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37182"} +{"d:Title": "Galli, Herv\u00e9", "d:Description": "(1957- ), Corsica, France. Picture, biography, selected works, and CDs, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37538"} +{"d:Title": "Gardiner, Mary", "d:Description": "Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37415"} +{"d:Title": "Greer, John", "d:Description": "(1954- ) Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37569"} +{"d:Title": "Grimes, David", "d:Description": "(1948- ) Picture and biography, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37198"} +{"d:Title": "Geddes, Murray", "d:Description": "(1950- ) Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37188"} +{"d:Title": "Gibson, Richard", "d:Description": "(1953- ), Charlottetown, Prince Edward Island. Short biography, selected list of works, and CDs, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37478"} +{"d:Title": "Gingras, Guy", "d:Description": "(1961- ) Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37688"} +{"d:Title": "Giron, Arsenio", "d:Description": "(1932- ), Renteria, Spain. Picture, biography, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37192"} +{"d:Title": "Goldberg, Theo", "d:Description": "(1921- ), Chemnitz, Germany. Biography, picture, and selected works, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37194"} +{"d:Title": "Gonneville, Michel", "d:Description": "(1950- ), Montr\u00e9al, Qu\u00e9bec. Picture, biography, selected works, and CDs, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37195"} +{"d:Title": "Gotham, Nic", "d:Description": "(1959- ), Southampton, England. Picture, biography, selected works, and CDs, from the Canadian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "http://www.musiccentre.ca/node/37502"} +{"d:Title": "Gribbin, Deirdre", "d:Description": "(1967- ), Belfast, Ireland. Picture, biography, and selected list of works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "https://www.cmc.ie/composer/deirdre-gribbin"} +{"d:Title": "Gardner, Stephen", "d:Description": "(1958- ), Belfast, Ireland. Picture, biography, and list of works, from the Contemporary Music Center, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "https://www.cmc.ie/composers/stephen-gardner"} +{"d:Title": "Gibson, John", "d:Description": "(1951- ), Dublin, Ireland. Picture, biography, and works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "https://www.cmc.ie/composers/john-gibson"} +{"d:Title": "Geary, Bernard", "d:Description": "Picture and biography from the Contemporary Music centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/G", "url": "https://www.cmc.ie/composers/bernard-geary"} +{"d:Title": "Huyssen, Hans", "d:Description": "(1964- ), Pretoria, South Africa. Curriculum vitae, list of works, available scores and recordings, mp3 files, and performance calendar. (English/German)", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.huyssen.de/"} +{"d:Title": "Hatanaka, Masato", "d:Description": "(1975- ), Hamatonbetsu-chou , Japan. Picture, biography, news, and sound and movie files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.hatanakamasato.net/"} +{"d:Title": "Henze, Hans-Werner", "d:Description": "(1926 - ) Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.stevenestrella.com/composers/composerfiles/henzeliving.html"} +{"d:Title": "Hackbarth, Glenn", "d:Description": "Pictures, biography, and recent compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.public.asu.edu/~glennh/"} +{"d:Title": "Hakenberg, Stefan", "d:Description": "(1960- ), Wuppertal, Germany. Includes audio files, catalog, biography, photo, program notes, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.stefanhakenberg.com/"} +{"d:Title": "Hakim, Naji", "d:Description": "(1955- ), Beirut. French composer and organist. Picture, biography, works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.najihakim.com/"} +{"d:Title": "Helmuth, Eric", "d:Description": "Listing of works, picture, short biography, commissions, recordings, sound clips, and publisher information on accessible works for church and community choirs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.erichelmuth.com/"} +{"d:Title": "Halley, Paul", "d:Description": "Performance calendar; Pelagios Music Label and CD sales.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.pelagosmusic.com/index.html"} +{"d:Title": "Hamilton, Bruce", "d:Description": "(1966- ), Philadelphia, Pennsylvania. Picture and biography, from Non Sequitur Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.nonsequiturmusic.com/hamilton.htm"} +{"d:Title": "Hardish, Patrick", "d:Description": "Picture and biography, from Composers Concordance.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://users.rcn.com/jpehrson/CCdirectors.html#PATRICK HARDISH"} +{"d:Title": "Harmonic, Phil (Kenneth Werner)", "d:Description": "(1949- ), Newton, Massachusetts. Biography from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.lovely.com/bios/harmonic.html"} +{"d:Title": "Hasse, Jean", "d:Description": "(1958- ), Cleveland, Ohio. Includes biography and details of compositions, scores and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.visible-music.com/"} +{"d:Title": "Hawkes, John", "d:Description": "(1942- ), Nantwich, Cheshire, England. Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.johnhawkes.co.uk/"} +{"d:Title": "Helsingor, Fleur", "d:Description": "(1949- ), Oakland, California. Large digital art gallery/MIDI music hall, threaded with a futuristic story line.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.chez-fleur.com/"} +{"d:Title": "Henry, Michael", "d:Description": "(1963- ), London, England. Picture, biography, selected works, and sound file, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.composer.co.uk/composers/henry.html"} +{"d:Title": "Hill, Jackson", "d:Description": "(1941- ), Birmingham, Alabama. Picture, biography, works, sound files, recordings, reviews, commissions, and publishers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.facstaff.bucknell.edu/jhill/"} +{"d:Title": "Hillebrandt, John", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.csustan.edu/Music/faculty/Hillebrandt_John.html"} +{"d:Title": "Hobbs, Christopher", "d:Description": "Picture, biography, and selected works, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.composer.co.uk/composers/hobbs.html"} +{"d:Title": "Hobson, Bruce", "d:Description": "(1943- ), Hartford, Connecticut. Picture, biography, sound files, reviews, CDs, and selected works, from Equinox Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.equinoxmusic.com/"} +{"d:Title": "Horwood, Michael S.", "d:Description": "(1947- ), Buffalo, New York. American-Canadian composer. Includes biography, program notes, works list, performance history, reviews, critiques, available recordings, and MP3 sound clips.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.horwoodcomposer.com/"} +{"d:Title": "Howiler, Robert", "d:Description": "(1969- ), Charleston, South Carolina. Includes picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.audientmusic.com/"} +{"d:Title": "Hugill, Robert", "d:Description": "Picture, biography, works, performances, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.hugill.demon.co.uk/"} +{"d:Title": "Hutcheson, Jere", "d:Description": "List of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.msu.edu/user/sullivan/CompoComposersHutcheson.html"} +{"d:Title": "Heuser, David", "d:Description": "(1966- ) Picture, biography, compositions, sound files, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://music.utsa.edu/electron/heuser.htm"} +{"d:Title": "Higdon, Jennifer", "d:Description": "(1962- ), Brooklyn, New York. Picture, biography, works, performances, recordings, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://jenniferhigdon.com/"} +{"d:Title": "Hersch, Michael", "d:Description": "Picture and biography, from 21C Music Publishing.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.michaelhersch.com/"} +{"d:Title": "Hulse, Brian", "d:Description": "(1970- ), Salt Lke City, Utah. Picture, biography, artistic statement, and music samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://operascore.com/"} +{"d:Title": "Ho, Fred", "d:Description": "Picture, biography, commissions, recordings, and awards, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://kalvos.org/hofred.html"} +{"d:Title": "Huebner, Gregor", "d:Description": "(1967-), Stuttgart, Germany. Picture, Biography, discography, compositions, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.gregorhuebner.de/"} +{"d:Title": "Heins, John", "d:Description": "Biography, recordings, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.johnheins.com/"} +{"d:Title": "Hahn, David", "d:Description": "Picture, biography,compositions, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.davidhahnonline.com/"} +{"d:Title": "Hill, Edie", "d:Description": "(19629- ), New York City. Picture, biography,list of works, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.ediehill.com/"} +{"d:Title": "Hanke, Brian", "d:Description": "Picture, about, news, and audio file (1.45 mb).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.brianhanke.com/"} +{"d:Title": "Helvering, R. Douglas", "d:Description": "Biography, picture, portfolio listing, and online resume.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.rdouglashelvering.com/"} +{"d:Title": "Howard, Emily", "d:Description": "(1979- ), Liverpool, England. Picture, biography, compositions, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.emilyhoward.com/"} +{"d:Title": "Helnwein, Ali", "d:Description": "(1982- ), Vienna, Austria. Pictures, biography, and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.alihelnwein.com/"} +{"d:Title": "John Hawkins", "d:Description": "(1949- ) Biography, lists of works, recordings and reviews of music, including orchestral, chamber, vocal and educational pieces.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.johnhawkinsmusic.co.uk/"} +{"d:Title": "Hui, Jerry", "d:Description": "(1981- ), Hong Kong. Biography, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.musiquenouvelle.com/"} +{"d:Title": "Hegdal, Magne", "d:Description": "(1944- ), Gjerdrum, Norway. Biography from the Norwegian Music Information Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.mic.no/mic.nsf/home/directory?opendocument&url=http://www.mic.no/symfoni/kontakt.nsf/pub_e/per2000062811022320574502"} +{"d:Title": "Hickey, Sean", "d:Description": "(1970- ), Detroit, Michigan. Biography, compositions, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.seanhickey.com/"} +{"d:Title": "Hill, Richard", "d:Description": "(1942- ), Renfrew, Scotland. Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.richardhillmusic.co.uk/"} +{"d:Title": "Hause, Evan", "d:Description": "(1967- ) Biography, works, publications, recordings, sound files, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.hausemusic.com/"} +{"d:Title": "Helweg, Kim", "d:Description": "(1956- ) CV, list of works, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://ankerstjernemusic.dk/"} +{"d:Title": "Hotson, Chris", "d:Description": "Pianist, piano teacher and accompanist. Profile, performances and photograph gallery.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.chrishotson.com/"} +{"d:Title": "Horeczko, St\u00e9phane", "d:Description": "News, biography, credits, filmography, and music downloads.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://stephane.horeczko.free.fr/"} +{"d:Title": "Hardiman, Ronan", "d:Description": "Biography, discography, sound clips, guestbook, and latest news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.ronanhardiman.com/"} +{"d:Title": "Hill, Brian R.", "d:Description": "Compositions with scores, sound files and link to novel.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.brillmusica.com/Music.htm"} +{"d:Title": "Huydts, Sebastian", "d:Description": "(1966- ), The Netherlands. Picture, biography, works with sound files, events, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.huydts.com/"} +{"d:Title": "Hendricks, Jess", "d:Description": "(1972- ) Music, picture, and resume.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.fupduckonline.com/"} +{"d:Title": "Huffman, Jason", "d:Description": "Picture, biography, resume, photographs, and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://jsnfmn.net/"} +{"d:Title": "Hoover, Jeffrey", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.voxnovus.com/composer/Jeffrey_Hoover.htm"} +{"d:Title": "Hurwit, Albert", "d:Description": "(1931- ), Hartford, Connecticut. Biography, and information about Symphony No. 1.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.alberthurwit.com/"} +{"d:Title": "Hoenderdos, Margriet", "d:Description": "Scores and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.hoenderdos.nu/"} +{"d:Title": "Hurnik, Lukas", "d:Description": "1967- ), Prague, Czech Republic. Picture, biography, and selected works, from the Czech Music Information Centre (in Czech and English).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.hurnik.cz/syn.html"} +{"d:Title": "Hoffner, David", "d:Description": "Picture, and composer credits.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.davidhoffner.com/"} +{"d:Title": "Hall, Emily", "d:Description": "Biography, music, and concerts and broadcasts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.emilyhall.ca/"} +{"d:Title": "Hamilton, Iain", "d:Description": "(1922- 2000), Glasgow, Scotland. Biography with discussion of his music by Paul Conway, from Music Web UK.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.musicweb-international.com/Hamilton/"} +{"d:Title": "Hedges, Anthony", "d:Description": "(1931- ), Bicester, England. Biography and list of works with descriptions, from Musicweb (UK).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.musicweb-international.com/hedges/"} +{"d:Title": "Hutchinson, Matt", "d:Description": "Film, television, and commercial composer. Biography, projects, weblog, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.matthutchinson.com/"} +{"d:Title": "Hamilton, David S.", "d:Description": "Biography, audio and video samples, contact information, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.davidhamiltonmusic.com/"} +{"d:Title": "Hall, Ellsworth", "d:Description": "Composer for film, video and multimedia projects. Includes his biography and credits along with audio and video samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.ellsounds.com/"} +{"d:Title": "Halle, John", "d:Description": "Biography from Common Sense Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.commonsensecomposers.org/halle.htm"} +{"d:Title": "Harsh, Ed", "d:Description": "Biography from Common Sense Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.commonsensecomposers.org/harsh.htm"} +{"d:Title": "Hecker, Zeke", "d:Description": "(1947- ), Newark, New Jersey. Picture, biography, autobiography, sound files, and selected works, from Kalvos and Damian's New Music Bazaar.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://kalvos.org/heckerz.html"} +{"d:Title": "Holten, Bo", "d:Description": "Picture, biography, works, performances, and discography, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.musicsalesclassical.com/composer/short-bio/715"} +{"d:Title": "Hvidtfelt Nielsen, Svend", "d:Description": "Picture and works, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.musicsalesclassical.com/composer/works/753"} +{"d:Title": "Holland, John", "d:Description": "(1944- ) Biography, works, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://johnholland.ws/"} +{"d:Title": "Hippler, Dietmar", "d:Description": "(1956- ) Picture, biography, works, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.dietmar-hippler.de/"} +{"d:Title": "Hugill, Andrew", "d:Description": "(1957- ), England. News, biography, compositions, writings, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://andrewhugill.com/"} +{"d:Title": "Hui, Melissa", "d:Description": "(1966- ), Hong Kong. Picture, biography, works and performances, commissions, program notes, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "https://ccrma.stanford.edu/~mhui/"} +{"d:Title": "Hulme, Lance", "d:Description": "(1960- ), Dubuque, Iowa. Picture, biography, reviews, and works, from the New Music USA.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://library.newmusicusa.org/LanceRHulme"} +{"d:Title": "Hamel, Keith", "d:Description": "(1956- ), Morden, Canada. Picture, biography, works, curriculum vitae, score examples, and audio excerpts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://debussy.music.ubc.ca/hamel.html"} +{"d:Title": "Horne, David", "d:Description": "(1970- ), Scotland. Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.davidhorne.net/"} +{"d:Title": "Holliday, Kent", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.performingarts.vt.edu/faculty-staff/view/kent-holliday"} +{"d:Title": "Hunt, Jerry", "d:Description": "(1943-1993) Performance artist and composer. Words about the man, words by him, descriptions of his works, work list, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.jerryhunt.org/"} +{"d:Title": "Harrington, Jeff", "d:Description": "(1955- ), Forest, Mississippi. Includes biography, scores, MP3s, and MIDI files by New Orleans classical composer, from Parnasse.com.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://parnasse.com/jh/blog/"} +{"d:Title": "Hatzis, Christos", "d:Description": "(1953- ) Picture, biography, events, compositions, recordings, scores, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://homes.chass.utoronto.ca/~chatzis/"} +{"d:Title": "Holloway, Robin", "d:Description": "(1943- ), Leamington Spa, England. Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://musicalics.com/fr/compositeur/Robin-Holloway"} +{"d:Title": "Hindson, Matthew", "d:Description": "(1968- ) Australian composer. Picture, biography, selected works, writings, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://hindson.com.au/"} +{"d:Title": "Hall, Neville", "d:Description": "(1962- ), Wellington, New Zealand. Picture, biography, and selected works, from Sounz, NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://sounz.org.nz/contributor/composer/1047"} +{"d:Title": "Hamilton, David", "d:Description": "(1955- ), Napier, New Zealand. Picture, biography, and selected works, from Sounz, NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://sounz.org.nz/contributor/composer/1048"} +{"d:Title": "Harris, Ross", "d:Description": "(1945- ), Amberly, New Zealand. Picture, biography, and selected works, from Sounz, NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://sounz.org.nz/contributor/composer/1049"} +{"d:Title": "Holmes, Leonie", "d:Description": "(1962- ), Auckland. Picture, biography, and selected works, from Sounz NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://sounz.org.nz/contributor/composer/1052"} +{"d:Title": "Hulford, Denise", "d:Description": "Picture, biography, and selected works, from Sounz NZ.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://sounz.org.nz/contributor/composer/1053"} +{"d:Title": "Hayes, Paul", "d:Description": "(1951- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "https://www.cmc.ie/composers/paul-hayes"} +{"d:Title": "Hurley, Donal", "d:Description": "(1950- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "https://www.cmc.ie/composers/donal-hurley"} +{"d:Title": "Hammond, Philip", "d:Description": "(1951- ), Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "https://www.cmc.ie/composers/philip-hammond"} +{"d:Title": "Holohan, Michael", "d:Description": "(1956- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "https://www.cmc.ie/composer/michael-holohan"} +{"d:Title": "Hellawell, Piers", "d:Description": "(1969- ), Castlebar, Co. Mayo, Ireland. Biography and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "https://www.cmc.ie/composers/piers-hellawell"} +{"d:Title": "Hearne, John", "d:Description": "(1937- ), Reading, England. Picture, biography, recent commissions, and works, from Impusle Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/H", "url": "http://www.impulse-music.co.uk/johnhearne/"} +{"d:Title": "Inglis, Brian", "d:Description": "(1969- ), Germany. Picture and biography of this composer of Scottish descent with sound file and selected works, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://www.composer.co.uk/composers/inglis.html"} +{"d:Title": "Isikozlu, Cetin", "d:Description": "Curriculum vitae, works, discography, press (mostly not in English), and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://www.isikozlu.com/"} +{"d:Title": "Ingalls, Matt", "d:Description": "(1970- ) Biography, sounds, performances, reviews, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://sfsound.org/matt.html"} +{"d:Title": "Ingoldsby, Marian", "d:Description": "(1965- ), Carrick-on-Suir, Co. Tipperary, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://www.cmc.ie/composers/composer.cfm?composerID=67"} +{"d:Title": "Iolini, Robert", "d:Description": "(1960- ), Australia. Photograph, biography, artist's statement, composition catalog, essays, projects, contact information, and works with audio.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://www.iolini.com/"} +{"d:Title": "Isaacs, Mark", "d:Description": "(1958). Picture, biography, list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://www.australianmusiccentre.com.au/artist/isaacs-mark"} +{"d:Title": "Imbrie, Andrew", "d:Description": "(1921- ), New York City. Includes biography, work list, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://www.musicsalesclassical.com/composer/short-bio/759"} +{"d:Title": "Ifukube, Akira", "d:Description": "(1914- ), Hokkaido, Japan. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "http://www.naxos.com/person/Akira_Ifukube/19427.htm"} +{"d:Title": "Irvine, Brian", "d:Description": "(1965- ), Belfast, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/I", "url": "https://www.cmc.ie/composers/brian-irvine"} +{"d:Title": "IRCAM: Website", "d:Description": "Computer music/technology, Paris.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Integral_Serialism", "url": "http://www.ircam.fr/"} +{"d:Title": "Perspectives of New Music (journal)", "d:Description": "Academic journal dealing with rigorous compositional methods. Includes complete table of contents and three recent issues, interviews, analyses, technical reports, position papers by composers, sociological and philosophical articles, reviews, and, occasionally, short musical scores and text-music compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Integral_Serialism", "url": "http://www.perspectivesofnewmusic.org/"} +{"d:Title": "Integral Serialism", "d:Description": "Links to composer sites and other resources with short descriptions of their content.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Integral_Serialism", "url": "http://plato.acadiau.ca/courses/musi/callon/4133/integra2.htm"} +{"d:Title": "Total Organization by Angela Brenton", "d:Description": "A bibliography of serial composers and their works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Integral_Serialism", "url": "http://plato.acadiau.ca/courses/musi/callon/4133/integral.htm"} +{"d:Title": "Tone-row Music and Serialism", "d:Description": "Summaries of Boulez, serialism, structuralism and Stockhausen's article \"...how time passes...\".", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Integral_Serialism", "url": "http://ems.music.uiuc.edu/courses/tipei/M104/Notes/boulez.html"} +{"d:Title": "Serial Rhythm Yahoo Group", "d:Description": "A new 21st century conception for the serialization of duration and dynamics different from those of Pierre Boulez and Milton Babbitt.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Integral_Serialism", "url": "http://groups.yahoo.com/group/SerialRhythm/"} +{"d:Title": "Jackson, Hanley", "d:Description": "(1939- ) Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www-personal.ksu.edu/~hjackson/"} +{"d:Title": "Janow, Steven", "d:Description": "(1973- ), Rhinebeck, New York. Includes biography, list of works, and resume.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.home.earthlink.net/~janow/musicWebPage/index.html"} +{"d:Title": "Jarvinen, Arthur", "d:Description": "(1956- ) Biography, words about the music, list of works, and discography, from Leisure Planet Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.leisureplanetmusic.com/composer/jarvinen/bio.htm"} +{"d:Title": "Job, Lynn", "d:Description": "Composer, author, publisher, and scholar. Includes audio and visual samples and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://buckthornstudios.com/"} +{"d:Title": "J\u00e4rvlepp, Jan", "d:Description": "(1953- ), Ottawa, Canada. Biography, news, photographs, works, and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.janjarvlepp.com/"} +{"d:Title": "Jaffe, David A.", "d:Description": "(1955- ), New Jersey. Picture, biography, works, discography, sound samples, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.jaffe.com/"} +{"d:Title": "Johnson, Evan", "d:Description": "(1980- ) Pictures, biography, compositions, and sound files, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://kalvos.org/johnsoe.html"} +{"d:Title": "Jackson, Nicholas", "d:Description": "Biography, works, scores, sound files, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.jacksonmusic.co.uk/"} +{"d:Title": "Jex, David", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www153.pair.com/bensav/Compositeurs/Jex.D.html"} +{"d:Title": "Joseph, Dan", "d:Description": "Picture, biography, concerts, recordings, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.danjoseph.org/"} +{"d:Title": "Jang, Jon", "d:Description": "Biography and sound file, from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.otherminds.org/shtml/Jang.shtml"} +{"d:Title": "Joy, J\u00e9r\u00f4me", "d:Description": "(1961- ), Nantes, France. Picture, biography, and discussion of his compositional philosophy.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://homestudio.thing.net/#"} +{"d:Title": "Jakoulov, Jakov", "d:Description": "(1958- ), Moskow. Picture, biogra;hy, and list of works, from Artona.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.jakoulov.com/"} +{"d:Title": "Josephs, Wilfred", "d:Description": "(1927-1997), England. Picture and biography. from Musicweb (UK).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.musicweb-international.com/josephs/"} +{"d:Title": "Joubert, John", "d:Description": "(1927- ), Cape Town, South Africa. Picture, biography, and selected works, from Musicweb (UK).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.musicweb-international.com/joubert/"} +{"d:Title": "Juli\u00e0, Roger", "d:Description": "Los Angeles-based Catalan composer and pianist. Biography, credits, audio samples, photographs and information about his band.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.rogerjulia.com/"} +{"d:Title": "Joseph, Tommy", "d:Description": "(1960- ), Cyprus. Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.australianmusiccentre.com.au/artist/joseph-tommy"} +{"d:Title": "Jones, Trevor", "d:Description": "(1932- ), Sydney, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.australianmusiccentre.com.au/artist/jones-trevor"} +{"d:Title": "Jurth, Attila F.", "d:Description": "(1945- ), Budapest, Hungary. Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.australianmusiccentre.com.au/artist/jurth-attila"} +{"d:Title": "Joseph, David", "d:Description": "(1954- ), Melbourne, Australia. Picture, biography, and list of works and recoordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.australianmusiccentre.com.au/artist/joseph-david"} +{"d:Title": "Jones, Anthony Linden", "d:Description": "(1959- ), Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.australianmusiccentre.com.au/artist/jones-anthony-linden"} +{"d:Title": "Janson, Alfred", "d:Description": "(1937- ), Oslo, Norway. Picture, biography, list of works, and recordings, from Andre Chaudron.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://home.online.nl/eli.ichie/janson.html"} +{"d:Title": "Jalbert, Pierre", "d:Description": "Picture, biography, commissions and performances, composition awards, list of compositions, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://www.pierrejalbert.com/"} +{"d:Title": "Johnson, Scott", "d:Description": "(1952- ), Madison, Wisconsin. Biography from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://musicalics.com/fr/compositeur/Scott-Johnson"} +{"d:Title": "Jones, David", "d:Description": "Picture, biography, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "http://music.ucsc.edu/faculty/david-evan-jones"} +{"d:Title": "Johnston, Fergus", "d:Description": "(1959- ), Dublin Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/J", "url": "https://www.cmc.ie/composers/fergus-johnston"} +{"d:Title": "The Chrysalis Foundation", "d:Description": "Supports instrument builder and composer Cris Forster, who wrote \"Musical Mathematics.\" Includes information about the instruments, the composer, the foundation, and the book.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Just_Intonation", "url": "http://www.chrysalis-foundation.org/"} +{"d:Title": "Kay'ichiro Nakahara", "d:Description": "Includes visual and musical works of a Japanese composer/producer/director.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.kay-n.com/"} +{"d:Title": "Kelley, Robert", "d:Description": "Includes MIDI samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.robertkelleyphd.com/"} +{"d:Title": "Kaiser, Jeff", "d:Description": "(pfMENTUM) Dedicated to advocating and supporting new music through its newsletter and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.pfmentum.com/"} +{"d:Title": "Kaminsky, Laura", "d:Description": "(1956- ), New York City. Biography and list of works, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.composers21.com/compdocs/kaminskl.htm"} +{"d:Title": "Kosugi, Takehisa", "d:Description": "(1938- ), Tokyo, Japan. Biography and discography from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.lovely.com/bios/kosugi.html"} +{"d:Title": "Koto\u00f1ski, W\u00b3odzimierz", "d:Description": "(1925- ), Warsaw, Poland. Picture, Biography, and list of works, from the Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.usc.edu/dept/polish_music/composer/kotonski.html"} +{"d:Title": "Kramer, Keith", "d:Description": "Sound files, compositions, and curriculum vitae.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.keithkramer.org/"} +{"d:Title": "Krauze, Zygmunt", "d:Description": "(1938- ), Warsaw, Poland. Picture, biography, list of works, bibliography, and manuscripts, from the Polish Music Reference Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.usc.edu/dept/polish_music/composer/krauze.html"} +{"d:Title": "Kunz, Alfred", "d:Description": "(1929 ), Neudorf, Saskatchewan. Canadian composer, choirmaster, conductor, arranger, and music publisher. Biography and works list.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.kunzmusic.ca/"} +{"d:Title": "Kurasz, Joe", "d:Description": "Composer, arranger, and jazz concert and recording artist. Biography, picture, reviews, and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.joekurasz.com/"} +{"d:Title": "Kulenty, Hanna", "d:Description": "(1961- ), Bialystok, Poland. Picture, biography, and list of works, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.usc.edu/dept/polish_music/composer/kulenty.html"} +{"d:Title": "Kupferman, Meyer", "d:Description": "(1926- ), New York City. Biography from Jeffrey James Arts Consulting.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.jamesarts.com/MKBIO2000.htm"} +{"d:Title": "Krouse, Ian", "d:Description": "(1956- ), Olney, Maryland. Picture, biography, compositions, commissions, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.iankrouse.com/"} +{"d:Title": "Kim, Jin Hi", "d:Description": "Picture, biography, press clips, compositions, articles, and CDs, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://kalvos.org/jinhikim.html"} +{"d:Title": "Kobra, Louis", "d:Description": "(1967-) , American composer and pianist living in New York. Lists current activities and compositions with Crescendo embedded MIDI audio on most pages.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.angelfire.com/music3/louiskobra/"} +{"d:Title": "Kallman, Daniel", "d:Description": "Picture, biography, works, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.kallmancreates.com/"} +{"d:Title": "Knight, Tim", "d:Description": "Musical influences, news, and catalog.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.tim-knight.20m.com/"} +{"d:Title": "Kobilke, Johannes", "d:Description": "(1973- ), Gr\u00e4felfing, Germany. Picture, biography, filmography, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.johanneskobilke.de/"} +{"d:Title": "Klucevsek, Guy", "d:Description": "(1947- ) Includes biography, press quotes, discography and listing of upcoming concerts, with links to publications, recordings, features, reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.guyklucevsek.com/"} +{"d:Title": "Kilar, Wojciech", "d:Description": "(1932- ), Lw\u00f3w, Ukraine. Picture, biography, list of works, discography, and bibliography, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.usc.edu/dept/polish_music/composer/kilar.html"} +{"d:Title": "Kverndokk, Gisle", "d:Description": "(1967- ), Trondheim, Norway. Biography, news, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.kverndokk.com/"} +{"d:Title": "Koch, Hans W.", "d:Description": "(1962- ), Germany. Curriculum vitae, samples of works and a list of activities.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.hans-w-koch.net/"} +{"d:Title": "Kesselman, Lee R", "d:Description": "Biography and catalog.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.kesselmanpress.com/"} +{"d:Title": "Kolb, Barbara", "d:Description": "(1939- ), Connecticut. Biography, works, review, performances, and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2780"} +{"d:Title": "Korte, Karl", "d:Description": "(1928- ) Picture, biography, compositions, commentaries, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.kkorte.com/"} +{"d:Title": "Karlsberg, Jesse Pearlman", "d:Description": "(1981- ) Sound art, scores, biography, news, resources, and contact information", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://jpk.silversand.org/"} +{"d:Title": "Knollwit", "d:Description": "Biography, Music, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.knollwit.com/"} +{"d:Title": "Kane, Brian", "d:Description": "(1973- ), Los Angeles, California. Biography, compositions, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.browsebriankane.com/"} +{"d:Title": "Kings, Steven", "d:Description": "(1962) Bristol, England. Includes biography, photographs, and information about composition, piano playing, teaching, conducting, and contacts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.stevenkings.co.uk/"} +{"d:Title": "Paul Kwo", "d:Description": "Official site. Summary of activities as composer, actor, pianist, singer, writer, director, and conductor. Includes daily weblog along with sample works in MP3 audio.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.paulkwo.com/"} +{"d:Title": "Kiselev, Oleg", "d:Description": "(1964- ) Biography, classical guitar sheet music in PDF, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.delcamp.net/auteurs/en/6_contemporain/oleg_kiselev_en.html"} +{"d:Title": "Knecht, Kurt", "d:Description": "Picture, biography, compositions, recordings, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.kurtknecht.com/"} +{"d:Title": "Kaiser, Henry", "d:Description": "(1952- ), Oakland, California. Biography from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.otherminds.org/shtml/Kaiser.shtml"} +{"d:Title": "King, Alastair", "d:Description": "(1967- ), Watford, England. Picture, biography, audio excerpts, list of works, and contact details.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.composer.co.uk/composers/king.html"} +{"d:Title": "Kaefer, John", "d:Description": "(1976- ) Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.composerjohn.com/"} +{"d:Title": "K\u00e1lm\u00e1n, Dobos", "d:Description": "(1931- ), Hungary. Picture and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://info.bmc.hu/site/muvesz/found_page.php?l=en&table=SZERZO&id=24"} +{"d:Title": "Koukl, Giorgio", "d:Description": "(1953- ), Prague, Czech Republic. Biography, photograph, compositions, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.luxnova.com/~musicplay/koukl/"} +{"d:Title": "Kaloian, Alexander", "d:Description": "Armenian composer. List of works from First Edition.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.firstedition.com/kaloian/"} +{"d:Title": "Kilstofte, Mark", "d:Description": "Biography, reviews, articles, performances, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://facweb.furman.edu/~mkilstofte/"} +{"d:Title": "Kasemets, Udo", "d:Description": "(1919- ), Tallinn, Estonia. Includes photos, interviews, and music, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://kalvos.org/kasemet.html"} +{"d:Title": "Krause, Drew", "d:Description": "Picture, sound files, and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://kalvos.org/kraused.html"} +{"d:Title": "Karkoff, Ingvar", "d:Description": "(1959- ), Stockholm, Sweden. Picture, biography, selected works, discussion of several of his works, reviews, and several sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.ingvarkarkoff.com/"} +{"d:Title": "Kerry, Gordon", "d:Description": "(1961- ) Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.australianmusiccentre.com.au/artist/kerry-gordon"} +{"d:Title": "Kos, Bozidar", "d:Description": "(1934- ), Novo mesto, Slovenia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.australianmusiccentre.com.au/artist/kos-bozidar"} +{"d:Title": "Knehans, Douglas", "d:Description": "(1957- ), St. Louis, Missouri. Picture, biography, list of works and recordings held at the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.australianmusiccentre.com.au/artist/knehans-douglas"} +{"d:Title": "Kraffe, Patrice", "d:Description": "Includes biography and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://pkraffe.pagesperso-orange.fr/homepage.htm"} +{"d:Title": "Koppel, Anders", "d:Description": "(1947- ), Denmark. Picture, biography, works, performances, discography, and essay, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.musicsalesclassical.com/composer/short-bio/851"} +{"d:Title": "Kessner, Daniel", "d:Description": "(1946- ), Los angeles, California. Biography, events, and catalog of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://daniel.kessnerfamily.org/"} +{"d:Title": "Kanding, Ejnar", "d:Description": "(1965- ), Denmark. Picture and biography, from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.dacapo-records.dk/en/artist-ejnar-kanding.aspx"} +{"d:Title": "Koppel, Herman D.", "d:Description": "(1908-1998), Denmark. Picture and biography, from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.dacapo-records.dk/en/artist-herman-d--koppel.aspx"} +{"d:Title": "Kapilow, Robert", "d:Description": "(1952- ) Picture, biography, work list, and reviews, from G. Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://www.musicsalesclassical.com/composer/short-bio/810"} +{"d:Title": "Keats, Donald", "d:Description": "Picture and curriculum vitae.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/K", "url": "http://mysite.du.edu/~dkeats/academic_bio.html"} +{"d:Title": "Lazkano, Ramon", "d:Description": "(1968- ), San Sebastian, Spain. Biography, catalog, discography, and activities.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.lazkano.info/"} +{"d:Title": "Lanner, Luigi Negretti", "d:Description": "Biography, some scores and some sound files, catalog of works, and composing software for download.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.luiginegrettilanner.com/"} +{"d:Title": "Leach, Mary Jane", "d:Description": "Picture, biography, compostions, projects, recordings, and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.mjleach.com/"} +{"d:Title": "Leisner, David", "d:Description": "(1953- ) Includes biography, discography, reviews, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://davidleisner.com/"} +{"d:Title": "Lamberger, Lars", "d:Description": "(1954- ) Includes recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.702cent.com/"} +{"d:Title": "Lam, Bun-Ching", "d:Description": "(1954- ), Macao, China. Picture, biography, interviews, events, list of works, discography, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.bunchinglam.com/biography.htm"} +{"d:Title": "Lamburn, Maria", "d:Description": "(1960- ) Picture, biography, sound file, and selected works from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.composer.co.uk/composers/lamburn.html"} +{"d:Title": "Larsen, Libby", "d:Description": "(1950- ) Includes biography, discography, list of works, and educational resources.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.libbylarsen.com/"} +{"d:Title": "Layton, Steve", "d:Description": "Includes samples of his work.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.niwo.com/steve/index.htm"} +{"d:Title": "Len, Igor", "d:Description": "Includes biography, information on his studio and current projects, and audio examples of his works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.igorlen.com/"} +{"d:Title": "Leung, Tony K.T.", "d:Description": "(1963- ), Honk Kong. Biography, CDs, sound files, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.composer.net/"} +{"d:Title": "Lesser, David", "d:Description": "(1966- ), Birmingham, England. Biography and list of works, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.composers21.com/compdocs/lesserd.htm"} +{"d:Title": "Levine, Michael", "d:Description": "Includes biography, resumes, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.michaellevinemusic.com/"} +{"d:Title": "Liebermann, Lowell", "d:Description": "Includes news, works, recording and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.lowellliebermann.com/"} +{"d:Title": "Lowrey, Norman", "d:Description": "Works and words about the works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.users.drew.edu/~nlowrey/"} +{"d:Title": "Lucchi, Rolando", "d:Description": "(1963- ), Trento, Italy. Picture, biography, works, recordings, and writings, from the Archives of Contemporary Music, University of Trento.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.lett.unitn.it/musica/html/English/Comp/Composit/Lucchi/Lucchi.htm"} +{"d:Title": "Laitman, Lori", "d:Description": "Picture, biography, news, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.artsongs.com/"} +{"d:Title": "La Berge, Anne", "d:Description": "Picture, biography, sound files, compositions, and discography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://kalvos.org/laberge.html"} +{"d:Title": "Lyon, Eric", "d:Description": "Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://kalvos.org/lyoneri.html"} +{"d:Title": "Lieberman, David", "d:Description": "Catalog, scores, links to MP3s.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.davidlieberman.com/"} +{"d:Title": "Ludtke, William G.", "d:Description": "Biography, audio files, concerts, photographs, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.ludtke.free.fr/"} +{"d:Title": "Leach, Rohan", "d:Description": "(1970- ) Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.rohanleach.com/"} +{"d:Title": "Levin, Stefan", "d:Description": "(1964- ), V\u00e4rnamo, Sweden. Picture, curriculum vitae, compositions, scores, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.stefanlevin.com/"} +{"d:Title": "Lacey, Glenn Scott", "d:Description": "Glenn Scott Lacey conducts orchestras, composes scores for television and film, writes music for Jazz and Classical performers, and is a songwriter/producer for up and coming popular artists.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.glennscottlacey.com/"} +{"d:Title": "Lees-Blakey, Dorothy", "d:Description": "Description of Lees-Blakey's work as composer, lyricist, writer, whose recordings and publicationss range from songs for children to a full-length musical 'Pride and Prejudice. With pictures and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.dorothylees-blakey.org/"} +{"d:Title": "Lopez, Tom", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.oberlin.edu/con/faculty/lopez_tom.html"} +{"d:Title": "Leedy, Douglas", "d:Description": "(1938- ), Portland Oregon. Works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.materialpress.com/leedy.htm"} +{"d:Title": "Levering, Arthur", "d:Description": "Biography and picture.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.colby.edu/colby.mag/issues/84n4/levering76.html"} +{"d:Title": "Levering, Arthur", "d:Description": "Biography, picture, musical works with sound files, performances, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.arthurlevering.com/"} +{"d:Title": "Little, David T.", "d:Description": "(1978- ), New Jersey. Picture, biography,music, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.davidtlittle.com/"} +{"d:Title": "Lehrman, Leonard", "d:Description": "(1949- ), Kansas. Picture, biography, recordings, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://ljlehrman.artists-in-residence.com/"} +{"d:Title": "Lewis, Peter Scott", "d:Description": "Biography, recordings, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.lapisisland.com/"} +{"d:Title": "Lancino, Thierry", "d:Description": "(1954- ), Civray, France. Picture, biography, catalog, recordings, commissions, and projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.lancino.org/"} +{"d:Title": "Luque, Sergio", "d:Description": "(1976- ) Mexico City. Biography, mp3s, list of works and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.sergioluque.com/"} +{"d:Title": "Ludwig Chris", "d:Description": "Biography, works, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.chrisludwig.com/"} +{"d:Title": "Leavitt, John", "d:Description": "Includes background information and listings of publications and music as well as discography and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://johnleavittmusic.com/"} +{"d:Title": "Lockwood, Larry", "d:Description": "Works, MP3s and scores available.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.lockwoodmusic.com/"} +{"d:Title": "Loy, Christopher Morgan", "d:Description": "Audio samples, score samples, photo gallery, reviews, concert schedule, and news. CDs and scores available for sale.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.cmloy.com/"} +{"d:Title": "Locke, Annie", "d:Description": "Biography, picture, albums, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.innerharmoniesmusic.co.uk/"} +{"d:Title": "Lauwers, Kristof", "d:Description": "Picture, biography, compositions, scores and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://kristoflauwers.domainepublic.net/"} +{"d:Title": "Levaillan, Denis", "d:Description": "Biography, list of works, excerpts, scores, and photos.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.denislevaillant.net/"} +{"d:Title": "Lentini, James", "d:Description": "(1958-) Dean of the School of Art, Media, and Music. Biography, recent publications, and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.tcnj.edu/~arts/dean/"} +{"d:Title": "Liptak, David", "d:Description": "(1949- ), Pittsburgh, Pennsylvania. Picture, biography, and list of works and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.esm.rochester.edu/faculty/?id=100"} +{"d:Title": "Lann, Vanessa", "d:Description": "(1968- ), Brooklyn, New York. Includes biography, a list of works, review excerpts, and a list of CDs. Composes for orchestras, ensembles and multimedia projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.lann.dds.nl/"} +{"d:Title": "Lewin, Frank", "d:Description": "(1925- ) Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.franklewin.net/"} +{"d:Title": "Lanman, Anthony Joseph", "d:Description": "(1973- ) Biography, scores, sound files, works and blog.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.anthonyjosephlanman.com/"} +{"d:Title": "Lynch, Michael", "d:Description": "Composer for Film, TV, PBS productions and the Jan Kurtis Civil War Documentaries.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.musictrack.us/"} +{"d:Title": "Langer, Elena", "d:Description": "Biography, CDs, reviews, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.elenalanger.com/"} +{"d:Title": "Laskewicz, Zachar", "d:Description": "(1971- ), Western Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/laskewicz-zachar"} +{"d:Title": "Lehmann, Wilfred", "d:Description": "Picture, biography, and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/lehmann-wilfred"} +{"d:Title": "Lewis, Tony", "d:Description": "(1955- ), Singapore. Biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/lewis-tony"} +{"d:Title": "Lalor, Stephen", "d:Description": "Picture, biography, and list of works and recoedings, from the Australian Music Centre", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/lalor-stephen"} +{"d:Title": "Lonsdale, Michael", "d:Description": "(1961- ), Whitebridge, New South Wales. Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/lonsdale-michael"} +{"d:Title": "Llewellyn, Becky", "d:Description": "(1950- ), St. Paul, Minnesota. Picture and curriculum vitae, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/llewellyn-becky"} +{"d:Title": "Lloyd, Robert", "d:Description": "Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/lloyd-robert"} +{"d:Title": "Leek, Stephen", "d:Description": "(1959- ), Sydney, Australia. Picture, Biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/leek-stephen"} +{"d:Title": "Lim, Liza", "d:Description": "(1966- ), Perth, Australia. Picture, biography, and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.australianmusiccentre.com.au/artist/lim-liza"} +{"d:Title": "Lieberman, David", "d:Description": "The Anigraphical Etudes Nos. 1, 2, 4,&6, a collection of animated, interactive, aleatoric contemporary music scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://anigraphical.davidlieberman.com/"} +{"d:Title": "Lind, Lanette M.", "d:Description": "Brief biography, and works, from the American Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://library.newmusicusa.org/LanetteMLind"} +{"d:Title": "Lindroth, Scott", "d:Description": "Biography, compositions, curriculum vitae, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://people.duke.edu/~scott1/"} +{"d:Title": "Lysight, Michel", "d:Description": "(1958- ), Uccle, Belgium. Includes biography, discography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.michellysight.org/"} +{"d:Title": "Lewkovitch, Bernhard", "d:Description": "(1927- ), Denmark. Biography and CDs, from Da Capo.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.dacapo-records.dk/en/artist-bernhard-lewkovitch.aspx"} +{"d:Title": "Le Fleming, Anthony", "d:Description": "Picture, biography, reviews, recordings, selected works, and concerts, from Impulse.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.impulse-music.co.uk/antonylefleming/"} +{"d:Title": "Lyon, David", "d:Description": "(1938- ), Walsall, England. Picture, Biography, recordings and reviews, sound files, and selected works, from Impulse.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/L", "url": "http://www.impulse-music.co.uk/davidlyon/"} +{"d:Title": "Milstein, Silvina", "d:Description": "(1956- ), Buenos Aires. Picture, biography, and selected works, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.composer.co.uk/composers/milstein.html"} +{"d:Title": "Michaels, Elan", "d:Description": "Spiritual healing music in MPEG format free for personal use only. Native American influenced music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.angelfire.com/md/elanmichaels/"} +{"d:Title": "macCormac, Sylvi", "d:Description": "Picture, reviews/comments, music/words/film, events, theatre, and awards.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.sylvi.ca/"} +{"d:Title": "Mackey, John", "d:Description": "(1973- ), New Philadelphia, Ohio. Composer of music for ballet, modern dance, and concert music. MP3s, score samples, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://ostimusic.com/"} +{"d:Title": "Margeirsson, Veigar", "d:Description": "(1972- ), Iceland. Composed, arranged and performed for television, radio and various recordings in Iceland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.veigar.com/"} +{"d:Title": "Mauldin, Michael", "d:Description": "(1947- ), Port Arthur, Texas. Includes picture, biography, catalogue of works, discography, fan mail, sound clips and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.mmauldin.com/"} +{"d:Title": "McNabb, Michael", "d:Description": "Picture, biography, list of works, performances, recordings, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.mcnabb.com/music/"} +{"d:Title": "Melby, John", "d:Description": "(1941- ), Whitehall, Wisconsin. Includes picture, biography, list of works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.johnmelby.com/"} +{"d:Title": "Mercury, Mark", "d:Description": "Includes music samples and articles about albums, scores, credits, current and recent projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.markmercury.com/"} +{"d:Title": "Mikkelsen, Dwight Bernard", "d:Description": "Biography, music, articles, reviews, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.noteslinger.com/"} +{"d:Title": "Milo, Leon", "d:Description": "(1956- ) Biography and works, from Leisure Planet Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.leisureplanetmusic.com/composer/milo/bio.htm"} +{"d:Title": "Mitchell, John", "d:Description": "(1941- ), Hollywood, California. Composer of art songs, operas and choral music. Short biography, catalog of complete works, lyrics and MP3 files of selected songs, sample scores, and list of poets with links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.abm-enterprises.net/"} +{"d:Title": "Muenz, Harald", "d:Description": "(1965- ), W\u00fcrttemberg, Germany. Avant-garde composer. Picture, biography, recordings, and work list.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.haraldmuenz.de/"} +{"d:Title": "Mumma, Gordon", "d:Description": "(1935- ), Framingham, Massachusetts. Biography, interviews, performances, photographs, scores, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://brainwashed.com/mumma/"} +{"d:Title": "Mart\u00edn, Jorge", "d:Description": "Picture, biography, news, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.jorgemartin.com/"} +{"d:Title": "Mueller, Henriette", "d:Description": "(1961- ), Ulm, Germany. Jazz composer and saxophonist. Picture, biography, compositions, recordings, reviews, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.henriettemueller.de/"} +{"d:Title": "Miwa, Masahiro", "d:Description": "(1958- ), Tokio, Japan. Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://kalvos.org/masahiro.html"} +{"d:Title": "Moore, Keith", "d:Description": "(1970- ), Urbana, Illinois. Picture, biography, and compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://kalvos.org/moorek.html"} +{"d:Title": "Murphy, Dennis", "d:Description": "(1934- ), Plainfield, New Jersey. Biography and compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://kalvos.org/murphyd.html"} +{"d:Title": "McNeil, Pat", "d:Description": "(1971- ), Canada. Biography, list of works, sound files, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.patmcneil.org/"} +{"d:Title": "McGarity, Kristi", "d:Description": "Biography, compositions, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.mcgaritymusic.com/"} +{"d:Title": "M\u00e4enp\u00e4\u00e4, Ilmari", "d:Description": "(1971- ), Finland. Picture, curriculum vitae, works, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.ilmarimaenpaa.com/"} +{"d:Title": "MacDermot, Galt", "d:Description": "Official home page of the composer of Hair, Two Gentlemen of Verona, and Cotton Comes to Harlem. Interview (RealAudio).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.galtmacdermot.com/"} +{"d:Title": "Mascari, Ed", "d:Description": "(1949- ) Compositions and resume.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.edmascari.com/"} +{"d:Title": "McFaul, Thomas G.", "d:Description": "Picture, biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://tommcfaul.com/"} +{"d:Title": "Marquez, Arturo", "d:Description": "(1950- ), Alamos, Sonora-Mexico. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.monroestreet.com/60102/am.html"} +{"d:Title": "Meijering, Cord", "d:Description": "(1955- ), Esens, Germany. The Dutch composer, living in Darmstadt Germany, studied with Hans Werner Henze. He composed scores for opera, orchestra, ballet, film, chamber ensembles, choir, and solo instruments.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.meijering.de/"} +{"d:Title": "Monro, Gordon", "d:Description": "Picture, biography, compositions, performances, recordings and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.gordonmonro.com/"} +{"d:Title": "Murphy, Kelly-Marie", "d:Description": "(1964- ), Sardegna, Italy. Picture, biography, list of works, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.kellymariemurphy.com/"} +{"d:Title": "Marty, Eric", "d:Description": "(1969- ). Qu\u00e9bec, Canada. Biography, works list, scores, audio, curriculum vitae, photo, contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.ericmarty.com/"} +{"d:Title": "McTee, Cindy", "d:Description": "(1953- ), Tacoma, Washington. Picture, biography, compositions, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://cindymctee.com/"} +{"d:Title": "Mann, Ted", "d:Description": "Sound design, composition, biography, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.tedmann.net/"} +{"d:Title": "Marels", "d:Description": "Sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.marels.net/"} +{"d:Title": "Montsalvatge, Xavier", "d:Description": "(1912- ), Spain. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays, from Steven G. Estrella.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://stevenestrella.com/composers/index.html?composerfiles/montsalvatge2002.html"} +{"d:Title": "Machajdik, Peter", "d:Description": "Picture, sounds, biography, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.machajdik.de/"} +{"d:Title": "Manion, Michael", "d:Description": "(1952- ), Grand Rapids, Michigan. Includes picture, biography, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://composers21.com/compdocs/manionm.htm"} +{"d:Title": "McLeod, John", "d:Description": "Pictures, biography, performances, catalog, discography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.johnmcleod.uk.com/"} +{"d:Title": "Marshall, Pamela J.", "d:Description": "(1954-) News, catalog, and music reference.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.spindrift.com/"} +{"d:Title": "Mier, Shirley Hamilton", "d:Description": "Picture, biography, curriculum vitae, and news and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.shirleymier.com/"} +{"d:Title": "Miranda, Eduardo Reck", "d:Description": "Composer of electronic music. Compositions, research, and publications.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://neuromusic.soc.plymouth.ac.uk/"} +{"d:Title": "Morduhovich, Alexandr", "d:Description": "(1946- ), Zlatoust, Russia. Biography, compositions, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://morduhovich.com/"} +{"d:Title": "Motalla, Flavio", "d:Description": "The official site for the composer of orchestral, chamber and film work.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.noizz68.com/composer/about.html"} +{"d:Title": "Montalto, Richard", "d:Description": "Picture, biography, and resume including a list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www2.muw.edu/~rmont/"} +{"d:Title": "Meneely-Kyder, Sarah", "d:Description": "Composer specializing in 20th century American and cross cultural composition and performance. Biography and picture.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.meneely-kyder.net/index.html"} +{"d:Title": "Mellits,Marc", "d:Description": "Biography, news, works, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.marcmellits.com/"} +{"d:Title": "Maslanka, David", "d:Description": "(1943- ), New Bedford, MA, U.S. Includes biography, photographs, discography, and repertory.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.davidmaslanka.com/"} +{"d:Title": "Mantler, Michael", "d:Description": "(1943-) Classical and jazz fields. Includes news, detailed biography, recordings, scores, performances, press releases, contacts, collaborating artists, and photograph. [English, Fran\u00e7ais, Deutsch, Italiano, Dansk.]", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.mantlermusic.com/"} +{"d:Title": "Matey, Christopher", "d:Description": "(1972- ), Toronto, Canada. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://webhome.idirect.com/~matey/mpage.htm"} +{"d:Title": "Mobberley, James", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.oberlin.edu/con/bkstage/200102/mobberley/mobberley_james_bio.html"} +{"d:Title": "Mason, Benedict", "d:Description": "Biography, works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.benedictmason.com/index.htm"} +{"d:Title": "MacMorran, Jim", "d:Description": "Biography from IMDb.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.imdb.com/name/nm1573318/bio"} +{"d:Title": "Mayrand, Alain", "d:Description": "Information on the composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.alainmayrand.com/"} +{"d:Title": "Makan, Keeril", "d:Description": "Picture, biography, and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.keerilmakan.com/"} +{"d:Title": "McCullough, Donald", "d:Description": "(1951- ) Pictures, biography, choral compositions and arrangements, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.donaldmccullough.com/"} +{"d:Title": "Martus, Darien", "d:Description": "Biography, list of works, review quotes, education and awards as well as contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://darienmartus.com/"} +{"d:Title": "Morgan, Nigel", "d:Description": "Recent scores, current projects, and overview.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.nigel-morgan.co.uk/"} +{"d:Title": "Mac\u00e9, Pierre-Yves", "d:Description": "Works, discography, news, and downloads.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.pierreyvesmace.com/"} +{"d:Title": "Mayo, Kevin", "d:Description": "(1964- ), Stirling, Scotland. Includes picture, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.scottishmusiccentre.com/search/card_search.php?cardwork[track_and_title]=&cardwork[n1]=Mayo&cardwork[t1]=anything&cardwork[f_c]=1&sub_section_id=651§ion_id=253&cardworks[submit_type]=search&form_id=116&mainsubmit=Go"} +{"d:Title": "Mayzus, Ilya", "d:Description": "Contains downloadable mp3 files and short bio.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.ilyamayzus.com/"} +{"d:Title": "Morneau, David", "d:Description": "Current projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://5of4.com/"} +{"d:Title": "Maddeford, Lee", "d:Description": "Works and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.leemaddeford.ch/"} +{"d:Title": "Morris, Robert D.", "d:Description": "(1943- ), Cheltenham, England. Picture, biography, list of works, list of writings, and an interview.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.esm.rochester.edu/faculty/?id=114"} +{"d:Title": "McFerron, Mike", "d:Description": "Includes biography, list of works, links, and samples of his works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.bigcomposer.com/"} +{"d:Title": "Moe, Eric", "d:Description": "Biography, compositions, discography, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.ericmoe.net/"} +{"d:Title": "Mackey, Steve", "d:Description": "Picture, discography, compositions, performances, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.stevenmackey.com/"} +{"d:Title": "Moorefield, Virgil", "d:Description": "Biography, compusitions, sound files, and writing.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.virgilmoorefield.com/index.html"} +{"d:Title": "Matchett, Steve", "d:Description": "(1957- ) Facebook profile includes discography and music samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.facebook.com/stevematchett.composer"} +{"d:Title": "Maske, Dan", "d:Description": "(1971- ), West Allis, Wisconsin. Biography, list of works, recordings, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://danielmaske.com/"} +{"d:Title": "McGlynn, Michael", "d:Description": "(1964- ), Dublin, Ireland. Picture, biography and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.cmc.ie/composers/composer.cfm?composerID=79"} +{"d:Title": "Mellits, Marc", "d:Description": "Biography from Common Sense Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.commonsensecomposers.org/mellits.htm"} +{"d:Title": "Massella, Thomas", "d:Description": "(1952- ) Picture, biography, sound files, and works, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://kalvos.org/massell.html"} +{"d:Title": "Mills, Richard", "d:Description": "(1949- ), Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.australianmusiccentre.com.au/artist/mills-richard"} +{"d:Title": "Morris, David", "d:Description": "(1964- ), Victoria, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.australianmusiccentre.com.au/artist/morris-david"} +{"d:Title": "Mansfield, Ernie", "d:Description": "Includes recordings, compositions, performances, and information on services for music publication.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://mansfieldmusic.com/ernie-mansfield/bio/"} +{"d:Title": "Mazzoli, Mario", "d:Description": "(1978- ) Modena, Italy. News, list of works, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.galeriemazzoli.com/"} +{"d:Title": "M\u00f6ller, Johannes V.", "d:Description": "(1981- ), Stockholm, Sweden. Picture, biography, works, scores, CD, reviews, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://johannesmoller.com/"} +{"d:Title": "Marcellino, Raffaele", "d:Description": "Picture, biography, projects, catalog, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://rafmarcellino.com/"} +{"d:Title": "Moss, Lawrence", "d:Description": "Picture, brief biography and works from the New Music USA.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://library.newmusicusa.org/LawrenceMoss"} +{"d:Title": "McKinley, William Thomas", "d:Description": "(1938- ), New Kensington, Pennsylvania. Biography from G. Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.musicsalesclassical.com/composer/short-bio/3031"} +{"d:Title": "Matheson, James", "d:Description": "(1970- ) Picture, biography, and list of works, from Bofa Music Publishing.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://www.jamesmatheson.com/"} +{"d:Title": "Maute, Matthias", "d:Description": "(1963- ), Ebingen, Germany. Biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "http://musicalics.com/fr/compositeur/Matthias-Maute"} +{"d:Title": "Murphy, Gerry", "d:Description": "(1947- ), Limerick, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://www.cmc.ie/composers/gerry-murphy"} +{"d:Title": "Morris, David", "d:Description": "(1948- ), London, England. Picture biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://www.cmc.ie/composers/david-morris"} +{"d:Title": "Mills, Alan", "d:Description": "(1964- ), Belfast, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://www.cmc.ie/composers/alan-mills"} +{"d:Title": "Mawby, Colin", "d:Description": "(1936- ) Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://www.cmc.ie/composers/colin-mawby"} +{"d:Title": "Mulvey, Gr\u00e1inne", "d:Description": "(1966- ), D\u00fan Laoghaire, Co. Dublin. Picture, biography, and selected works, from at the Contemporary Music Center, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://www.cmc.ie/composers/grainne-mulvey"} +{"d:Title": "McLachlan, John", "d:Description": "(1964- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://www.cmc.ie/composers/john-mclachlan"} +{"d:Title": "McAuliffe, Mary", "d:Description": "(1947- ), Cork, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://www.cmc.ie/composers/mary-mcauliffe"} +{"d:Title": "Mathias, William", "d:Description": "(1934-1992), Whitland, Dyfed, England. Picture, biography, works, discography, and program notes, from Oxford University Press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/M", "url": "https://global.oup.com/academic/category/arts-and-humanities/sheet-music/composers/mathiasw/?lang=en&cc=us"} +{"d:Title": "Christian Science Monitor - Pioneers of Minimalist Music Expand Their Horizons", "d:Description": "Reviews of CDs by Glass and Adams released in 2001.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Minimalism", "url": "http://www.csmonitor.com/2001/0119/p19s1.html"} +{"d:Title": "Naumann, Joel", "d:Description": "Picture, biography, and list of works, from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.wiscomposers.org/members/naumann.html"} +{"d:Title": "Nemeth, Rudolph", "d:Description": "Includes free sheet music, MIDI files, and original arrangements of carols.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.rudolphsmusic.com/"} +{"d:Title": "Nichols, Charles", "d:Description": "Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://charlesnichols.com/"} +{"d:Title": "Nicolau, Dimitri", "d:Description": "(1946- ). Keratea, Greece. Includes biography, catalogue of compositions, and selected bibliography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.angelfire.com/nc/dimitrinicolau/"} +{"d:Title": "Na, Hyo-shin", "d:Description": "Picture, biography, performances, list of works, CDs, reviews, and partial score of 'Rain Study.'", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.hyo-shinna.com/"} +{"d:Title": "Nielsen, Erik", "d:Description": "Picture, biography, compositions, and sound files, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://kalvos.org/nielsen.html"} +{"d:Title": "Niblock, James", "d:Description": "(1917- ) Picture, biography, and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.msu.edu/~niblock/"} +{"d:Title": "Nunn, Patrick", "d:Description": "(1969- ) Scores, discography, biography, performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.patricknunn.com/"} +{"d:Title": "Naftel, Frederick", "d:Description": "(1956- ), Manchester, England. Picture, biography, composing, arranging, teaching, reviews, and audio.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.musicteachers.co.uk/composers/naftel"} +{"d:Title": "Noordegraaf, Arnoud", "d:Description": "(1974- ), The Netherlands. Biography, works, sound files, and video works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.arnoudnoordegraaf.com/"} +{"d:Title": "Ng, Vill", "d:Description": "Information about the composer and MP3s.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://villng.tripod.com/"} +{"d:Title": "Nelson, Paul", "d:Description": "(1963- ), Aiken, South Carolina. Information about his programming, writings, sample compositions, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://pnelsoncomposer.com/"} +{"d:Title": "Nathan, Eric", "d:Description": "1983- ) Picture, biography, compositions, sound files, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.ericnathanmusic.com/"} +{"d:Title": "Nelson, Gary Lee", "d:Description": "Pictures, biography, films, sound files, recordings, and papers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://timara.con.oberlin.edu/~gnelson/gnelson.htm#GLN"} +{"d:Title": "Nasveld, Robert", "d:Description": "(1955- ) Picture, biography (in Dutch and English), works, discography, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.robertnasveld.com/"} +{"d:Title": "Nagorcka, Ron", "d:Description": "(1948- ) Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.australianmusiccentre.com.au/artist/nagorcka-ron"} +{"d:Title": "N\u00f8rg\u00e5rd, Per", "d:Description": "(1932- ), Denmark. Picture, biography, works, performances, and discography, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.musicsalesclassical.com/composer/short-bio/1141"} +{"d:Title": "Norby, Erik", "d:Description": "(1936- ), Denmark. Biography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.dacapo-records.dk/en/artist-erik-norby.aspx"} +{"d:Title": "Nelson, Ron", "d:Description": "(1929- ), Joliet, Illinois. Biography and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://musicalics.com/fr/compositeur/Ron-Nelson"} +{"d:Title": "Naylor, Bernard", "d:Description": "(1907- ) Complete works from Chester Novello.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/N", "url": "http://www.musicsalesclassical.com/composer/works/1106"} +{"d:Title": "Oliver, Harold", "d:Description": "(1942- ) Includes biographical sketch, a catalog of his compositions, scores and sound files, and a brief essay.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://users.rowan.edu/~oliver/"} +{"d:Title": "Olsen, Tim", "d:Description": "(1961- ), St. Paul, Minnesota. Biography, works, and events, from Vischer Ferry Music Publishing Co.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://vfmusic.bizland.com/"} +{"d:Title": "O'Neill, Nicholas", "d:Description": "(1970- ), Cheltenham, England. Picture, biography, selected works, and sound file, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://www.composer.co.uk/composers/oneill.html"} +{"d:Title": "Ostertag, Bob", "d:Description": "(1957- ), Albuquerque, New Mexico. Biography, CDs, photographs, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://detritus.net/ostertag/"} +{"d:Title": "Ornstein, Leo", "d:Description": "(1892-2002) Picture and biography, from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://www.otherminds.org/shtml/Ornstein.shtml"} +{"d:Title": "Oppedisano, Marco", "d:Description": "(1971- ) Picture, biography, selected works with sound files and information, from Vox Novus.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://www.voxnovus.com/composer/Marco_Oppedisano.htm"} +{"d:Title": "Ocana, Anthony", "d:Description": "(1980- ), Santiago, Dominican Republic. Pictures, biography, news, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://www.anthonyocana.com/"} +{"d:Title": "Orr, Robin", "d:Description": "(1909- ), Brechin, England. Picture, biography, list of works, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://www.scottishmusiccentre.com/robin_orr/"} +{"d:Title": "Oehring, Helmut", "d:Description": "(1961- ), Berlin, Germany. Biography from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=17585"} +{"d:Title": "Orgee, Andrew", "d:Description": "The web site contains: a short biography, a list of works, music samples, a photo gallery, and a contact form.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "https://andreworgee.wordpress.com/"} +{"d:Title": "O'Connell, Kevin", "d:Description": "(1958- ), Derry, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "https://www.cmc.ie/composers/kevin-oconnell"} +{"d:Title": "O'Leary, Jane", "d:Description": "(1946- ), Hartford, Connecticut. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "https://www.cmc.ie/composers/jane-oleary"} +{"d:Title": "O'Farrell, Anne Marie", "d:Description": "(1966- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "https://www.cmc.ie/composers/anne-marie-ofarrell"} +{"d:Title": "O'Leary, Martin", "d:Description": "(1963- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/O", "url": "https://www.cmc.ie/composers/martin-oleary"} +{"d:Title": "American Composers Orchestra", "d:Description": "Orchestra devoted to contemporary American music. ACO performs at Carnegie Hall, commissions new music, and records for numerous labels.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Organizations", "url": "http://www.americancomposers.org/"} +{"d:Title": "Wet Ink Musics", "d:Description": "Organization devoted to the promotion and presentation of new music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Organizations", "url": "http://www.wetink.org/"} +{"d:Title": "Composers Collaborative", "d:Description": "Composers Collaborative presents contemporary music by recent and living composers in New York City. Mission statement, projects, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Organizations", "url": "http://www.composerscollab.org/"} +{"d:Title": "Kalvos and Damian's New Music Bazaar", "d:Description": "New music composers from around the world.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Organizations", "url": "http://kalvos.org/"} +{"d:Title": "Kalvos and Damian Picture Tour of the American Music Center", "d:Description": "Pictures of AMC site and staff members.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Organizations", "url": "http://kalvos.org/amctour1.html"} +{"d:Title": "Other Minds", "d:Description": "New music community where composers, students, and listeners can learn about innovative music by composers from all over the world. Includes Other Minds Festivals, webstore, composers, newsletter, and new music links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Organizations", "url": "http://www.otherminds.org/"} +{"d:Title": "British Composers Project", "d:Description": "An expanding list of contemporary classical composers living and working in Britain. Initially associated with Cornelius Cardew Composition Prize and the Scratch Orchestra.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Organizations", "url": "http://www.composer.co.uk/composers/"} +{"d:Title": "Pag\u00e8s, Xavier", "d:Description": "Biography, list of works and concerts are available.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.xavierpages.com/"} +{"d:Title": "Perger, Andreas Paolo", "d:Description": "Contains recent works, sound files, pictures, and texts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.andreas-paolo-perger.at/"} +{"d:Title": "Petitgirard, Laurent", "d:Description": "(1950- ), France. Biography, picture, list of works, recordings,press, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://petitgirard.com/"} +{"d:Title": "Pesenti, Honor\u00e9", "d:Description": "French composer and accordionist. Biography, awards, prizes, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.splaisirs.com/"} +{"d:Title": "Panufnik, Roxanna", "d:Description": "(1968- ) Includes biography, list works, recent reviews, discography and performance schedule.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.roxannapanufnik.com/"} +{"d:Title": "Palmer, John", "d:Description": "(1959- ), England. Biography and selected works, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.composer.co.uk/composers/palmer.html"} +{"d:Title": "Pape, Gerard", "d:Description": "Picture, biography, and list of works, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.kalvos.org/papeger.html"} +{"d:Title": "Parkinson, Tim", "d:Description": "(1973- ), England. Biography, works, articles and interviews, sound files, and images.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.untitledwebsite.com/"} +{"d:Title": "Pasquotti, Corrado", "d:Description": "(1954- ), Vittorio Veneto, Italy. Picture, biography, works, and recordings, from the Archives of Contemporary Music, University of Trento.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.lett.unitn.it/musica/html/English/Comp/Composit/Pasquott/Pasquott.htm"} +{"d:Title": "Peters, Troy", "d:Description": "(1969- ), Greenock, Scotland. Picture, sound files, biography, and selected compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.kalvos.org/peterst.html"} +{"d:Title": "Piacentini, Riccardo", "d:Description": "(1958- ), Turin, Italy. Picture, biography, works, photo-music, reviews (in Italian), and CDs, from Rive-Gauche Concerti.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.arpnet.it/rgauche/RICCARDOPIACENTINIENGLISH.HTML"} +{"d:Title": "Pleskow, Raoul", "d:Description": "(1931- ), Vienna, Austria. Picture, biography, list of compositions, performances, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://graham.main.nc.us/~bhammel/MUSIC/pleskow.html"} +{"d:Title": "Plocharski, Wojciech", "d:Description": "Includes MP3s of his piano pieces.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://plocharski.tripod.com/piano/"} +{"d:Title": "Porro, Mauro", "d:Description": "(1956- ), Rome, Italy. Biography, list of works, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://musicaxxi.freeservers.com/"} +{"d:Title": "Posman, Lucien", "d:Description": "(1952- ), Eeklo, Belgium. Biography and list of works, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.composers21.com/compdocs/posmanl.htm"} +{"d:Title": "Powers, Daniel", "d:Description": "Composer-in-residence with the Terre Haute Symphony Orchestra. Picture, biography, reviews, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.swanswingpress.com/powers.htm"} +{"d:Title": "Prabowo, Tony", "d:Description": "(1956- ), Malang, Indonisia. Picture, biography, works, projects, and concerts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.gamelan.org/prabowo/index.html"} +{"d:Title": "Press, Merek Royce", "d:Description": "Composers of music for film, theatre and multimedia.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.musicnyc.com/"} +{"d:Title": "Patterson, David", "d:Description": "Picture, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.notescape.net/"} +{"d:Title": "Patterson, Robert G.", "d:Description": "Picture, biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://robertgpatterson.com/"} +{"d:Title": "Phillips, Bea", "d:Description": "Picture, biography, compositions, and sound files, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://kalvos.org/phillip.html"} +{"d:Title": "Pinkham, Daniel", "d:Description": "(1923- ), Lynn, Massachusetts. Picture and biography, from Thorpe Music Publishing Company.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.thorpemusic.com/pinkha03.html"} +{"d:Title": "Proto, Frank", "d:Description": "(1941- ), Brooklyn, New York. Picture, biography, compositions, audio files, recordings, reviews, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.liben.com/protocont.html"} +{"d:Title": "Pomorin, Sibylle", "d:Description": "(1956- ) Picture, biography, awards, performances, news, compositions, discography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.sibylle-pomorin.de/englisch/music_composer.htm"} +{"d:Title": "Petraskevics, Janis", "d:Description": "(1978- ), Riga, Latvia. Biography and list of works, from Music in Latvia.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.music.lv/scripts/music/persons.asp?val=2&kat=&ktid=5&kid=20&ps=yes&pka=9&apid=80#list"} +{"d:Title": "Polansky, Robert D.", "d:Description": "(1951- ), Newton, Massachusetts. Biography and list of works with comments on some.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://kalvos.org/polanrd.html"} +{"d:Title": "Pignato, Joe", "d:Description": "Biography, picture, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.joepignato.com/"} +{"d:Title": "Pinkham, Daniel", "d:Description": "(1923- ), Lynn, Massachusetts. Picture, biography,catalog,discography, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.danielpinkham.net/"} +{"d:Title": "Price, Lane", "d:Description": "Biography, CD, and services.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.laneprice.com/"} +{"d:Title": "Parry, Jonathan", "d:Description": "Background, news, compositions, ideas, CDs, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.jonathan-parry.co.uk/"} +{"d:Title": "Puts, Kevin", "d:Description": "Site contains biography, discography, sound files, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.kevinputs.com/"} +{"d:Title": "Peaslee, Richard", "d:Description": "Biography and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.richardpeaslee.com/"} +{"d:Title": "Polito 2, John", "d:Description": "Composer of contemporary acoustic art music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.johnpolito2.com/"} +{"d:Title": "Psathas, John", "d:Description": "(1966- ) Picture, biography, published editions, and material for hire, from Promethean Editions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.promethean-editions.com/php/ComposerSummary.php?Composer=John+Psathas"} +{"d:Title": "Paull, James", "d:Description": "(1957- ) Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/paull-james"} +{"d:Title": "Phillips, Rohan", "d:Description": "(1971- ), Bendigo, Victoria, Australia. Biography and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/phillips-rohan"} +{"d:Title": "Pimakhova, Anna", "d:Description": "(1965- ), Ukraine. Picture, biography, and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/pimakhova-anna"} +{"d:Title": "Percival, Richard", "d:Description": "(1953- ), Nelson, New Zealand. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/percival-richard"} +{"d:Title": "Penicka, Miloslav", "d:Description": "(1935- ), Ostrava, Czechoslovakia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/penicka-miloslav"} +{"d:Title": "Pearce, Trevor", "d:Description": "(1954- ), Windsor, New South Wales. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/pearce-trevor"} +{"d:Title": "Penberthy, James", "d:Description": "(1917- ), Melbourne, Australia. Picture, biography, list of owris and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/penberthy-james"} +{"d:Title": "Peachey, Andrew", "d:Description": "Picture, biography, and list of works and recirdings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/peachey-andrew"} +{"d:Title": "Pompili, Claudio", "d:Description": "(1949- ), Gorizia, Italy. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/pompili-claudio"} +{"d:Title": "Polglase, John", "d:Description": "(1959- ) Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/polglase-john"} +{"d:Title": "Plush, Vincent", "d:Description": "(1950- ), Adelaide, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/plush-vincent"} +{"d:Title": "Peterson, John", "d:Description": "(1957- ), Wollongong. Picture, biography, and list of works and recordings, from the Australian Music Centre", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/peterson-john"} +{"d:Title": "Pollard, Mark", "d:Description": "(1957- ), Melbourne, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/pollard-mark"} +{"d:Title": "Paviour, Paul", "d:Description": "Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.australianmusiccentre.com.au/artist/paviour-paul"} +{"d:Title": "Phillips, Mark", "d:Description": "(1952- ) Biography, awards, publications, performances, list of compositions, interviews, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.ohio.edu/people/phillipm/"} +{"d:Title": "Paterson, Robert", "d:Description": "(1970- ), Buffalo. New York. Picture, biography, sound files, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://robertpaterson.com/"} +{"d:Title": "Patterson, Paul", "d:Description": "(1947- ), Chesterfield, England. Biography from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://musicalics.com/fr/compositeur/Paul-Patterson"} +{"d:Title": "Poole, Geoffrey", "d:Description": "(1949- ), England. Biography and discussion and highlights of his music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://musicalics.com/fr/compositeur/Geoffrey-Poole"} +{"d:Title": "Pade, Steen", "d:Description": "(1956- ) Picture, work list, biography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.dacapo-records.dk/en/artist-steen-pade.aspx"} +{"d:Title": "Paassen, Marius van", "d:Description": "(1952- ) Pianist/composer. Biography, picture, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.mariusvanpaassen.nl/"} +{"d:Title": "Plonsey, Dan", "d:Description": "(1958- ), Cleveland, Ohio. Picture, biography, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.bayimproviser.com/artist.aspx?a=1"} +{"d:Title": "Previn, Andre", "d:Description": "(1929- ), Berlin, Germany. Includes biography, reviews, work list, and composer essay.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "http://www.musicsalesclassical.com/composer/short-bio/Andr%C3%A9-Previn"} +{"d:Title": "Power, Gerard", "d:Description": "(1965- ), Waterford, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "https://www.cmc.ie/composers/gerard-power"} +{"d:Title": "Parker, C. S. L.", "d:Description": "(1961- ), England. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/P", "url": "https://www.cmc.ie/composers/c-s-l-parker"} +{"d:Title": "The September 11th Tragedy: A Musical Gallery", "d:Description": "Radio show interviews, essays, and music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Projects", "url": "http://www.kalvos.org/tragedy.html"} +{"d:Title": "The Pulitzer Prizes", "d:Description": "Resources, archive, history and forms.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Pulitzer_Prize_Winners", "url": "http://www.pulitzer.org/"} +{"d:Title": "Pulitzers in Music", "d:Description": "Irreverent commentary on each of the works awarded the Pulitzer Prize from 1943 to 2002 by Paul Reale.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Pulitzer_Prize_Winners", "url": "http://www.minotaurz.com/minotaur/articles/pulitzer.html"} +{"d:Title": "Qu Xiao-song", "d:Description": "(1952- ), China. Photograph, biography,works list, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Q", "url": "http://www.peermusicclassical.com/composer/composerdetail.cfm?detail=song"} +{"d:Title": "Quin, Douglas", "d:Description": "(1956- ). Biography, articles, recordings, and link to the Antarctica 2000 project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Q", "url": "http://earthear.com/quin.html"} +{"d:Title": "Raes, Godfried-Willem", "d:Description": "(1952- ), Ghent, Belgium. Picture, biography essays, list of works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.logosfoundation.org/index-god.html"} +{"d:Title": "Rodgers, Sarah", "d:Description": "Picture, biography, list of works recordings, and reviews, from Impulse.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.impulse-music.co.uk/sarahrodgers/"} +{"d:Title": "Radigue, Eliane", "d:Description": "Sound files, biography, and selected works, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.kalvos.org/radigue.html"} +{"d:Title": "Rahn, John", "d:Description": "Biography and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://faculty.washington.edu/jrahn/"} +{"d:Title": "Read, Martin", "d:Description": "Picture, biography, sound file, and selected works, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.composer.co.uk/composers/read.html"} +{"d:Title": "Read, Thomas L.", "d:Description": "(1938- ), Erie, Pennsylvania. Sound files, picture, biography, and selected compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.kalvos.org/readtl.html"} +{"d:Title": "Reale, Paul", "d:Description": "(1943- ), New Jersey. Sound files, events, scores, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.minotaurz.com/minotaur/"} +{"d:Title": "Rennert, Uli", "d:Description": "(1960- ), Frankfurt/Main, Germany. Includes news, photographs, CD information, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.ulirennert.com/"} +{"d:Title": "Reynolds, Peter", "d:Description": "(1958- ), England. Picture, biography, sound files, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.composer.co.uk/composers/reynolds.html"} +{"d:Title": "Rhoads, William", "d:Description": "(1966- ), Coney Island, New York. Biography and selected works, from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.wiscomposers.org/members/rhoads.html"} +{"d:Title": "Robertson, Don", "d:Description": "Founder of the Positive Music Movement. Includes FAQs, essays and articles, MP3s, and a description of DoveSong and the Positive Music Archives.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.dovesong.com/"} +{"d:Title": "Robinson, Michael", "d:Description": "Composer of music inspired by the ancient raga form. CDs, sound files, writings, interviews, articles and reviews, and photographs, from Azure Miles Records.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.azuremilesrecords.com/"} +{"d:Title": "Rogers, Wayland", "d:Description": "(1941- ), Kentucky. Composer and conductor. Picture, biography, and list of works with sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.waylandrogers.com/"} +{"d:Title": "Roessingh, Karel", "d:Description": "Film and television composer. Picture, biography, film and television scores, audio files, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://roessong.com/"} +{"d:Title": "Romig, James", "d:Description": "(1971- ) Biography, curriculum vitae, photographs, works, score samples, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.jamesromig.com/"} +{"d:Title": "Rosener, Arnold", "d:Description": "(1945- ) An Interview with Bruce Duffie.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://phidler.com/rosner/arbd.html"} +{"d:Title": "Ross, Walter", "d:Description": "Picture, biography, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.people.virginia.edu/~wbr/"} +{"d:Title": "R\u00fctti, Carl", "d:Description": "(1949- ), Zug, Switzerland. Picture, biography, CDs, worklist, articles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.ruettimusic.ch/"} +{"d:Title": "Rubin, Justin", "d:Description": "(1971- ) Picture, biography, and catalog of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.d.umn.edu/~jrubin1/"} +{"d:Title": "Rydman, Kari", "d:Description": "(1936- ), Helsinki, Finland. Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.saunalahti.fi/~s151036j/"} +{"d:Title": "Rathbun, Andrew", "d:Description": "Jazz composer and saxophonist. Pictures, biography, recordings, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.andrewrathbun.com/"} +{"d:Title": "Rockmaker, Jody", "d:Description": "(1961- ), New York City. Picture, biography, and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.public.asu.edu/~jodyrock/"} +{"d:Title": "Rosenbloom, David", "d:Description": "List of works, discography, sound files, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.artifactory.com/veil/"} +{"d:Title": "Ruehr, Elena", "d:Description": "Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://kalvos.org/ruehrel.html"} +{"d:Title": "Reynolds, Darcy", "d:Description": "Picture, biography, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.darcyreynolds.net/"} +{"d:Title": "Rudolph, Adam", "d:Description": "(1955- ), Chicago, Illinois. Picture, biography, reviews, performances, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.metarecords.com/adam.html"} +{"d:Title": "Ruders, Poul", "d:Description": "(1949- ), Ringsted, Denmark. Biography, News, works, CDs, reviews, and picture gallery.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.poulruders.net/"} +{"d:Title": "Rosenzweig, Morris", "d:Description": "Picture, biography, catalog, recordings, honors, reviews, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.morrisrosenzweig.com/"} +{"d:Title": "Rhodes, David", "d:Description": "Compositions with some sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.fivelines.net/"} +{"d:Title": "Rose, Louise", "d:Description": "(1948- ) Picture, biography, CDs, events, and musings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.lrose.com/"} +{"d:Title": "Reisinger, Billy", "d:Description": "(1978- ) Music downloads, portfolio, and vita.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.billyreisinger.com/"} +{"d:Title": "Reilly, Jack", "d:Description": "Jazz pianist and composer. Picture, biography, compositions, reviews, CDs and books.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.jackreillyjazz.com/"} +{"d:Title": "Roman, Dan", "d:Description": "(1974- ), San Juan, Puerto Rico. Biography, list of works, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.foreversound.com/"} +{"d:Title": "Rhodes, Phillip", "d:Description": "(1940- ) Biography, picture, works, sound files, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.prhodescomposer.com/"} +{"d:Title": "Rabushka, Aaron", "d:Description": "Picture, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.mvdaily.com/articles/2002/07/rbushka1.htm"} +{"d:Title": "Rose, Adrian", "d:Description": "Includes music downloads, biography, news and links", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.adrianrose.co.uk/"} +{"d:Title": "Rulon, Bryan", "d:Description": "(1954- ), Indiana. Biography, list of works, and discography, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://composers21.com/compdocs/rulonc.htm"} +{"d:Title": "Ryan, Jeffrey", "d:Description": "(1962- ), Toronto, Canada. Picture, biography, list of works, and projects and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.jeffreyryan.com/"} +{"d:Title": "Reiners, Paul", "d:Description": "Compositions and information on algorithmic music composition and Just Intonation.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.leipzig48.com/"} +{"d:Title": "Robinson, Bill", "d:Description": "(1955- ), Denton, Texas. Biography, physics, pictures, recumbent trikes, Hinduism (Neem Karoli Baba).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://billrobinsonmusic.com/"} +{"d:Title": "Ryan, Gene", "d:Description": "Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://generyan.com/pow"} +{"d:Title": "Rutkowski, Chris", "d:Description": "Biography, works,reviews, news, and resume.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.chrisrutkowski.com/"} +{"d:Title": "Rogers, John Fitz", "d:Description": "(1963- ) Works, biography, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.johnfitzrogers.com/"} +{"d:Title": "Ross, Clark", "d:Description": "(1957- ), Maracaibo, Venezuela. Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.clarkross.ca/"} +{"d:Title": "Rush, Stephen J.", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.music.umich.edu/faculty_staff/rush.stephen.lasso"} +{"d:Title": "Rubenstein, David", "d:Description": "Semi-classical, cinematic-style original MIDI music compositions. Includes a biography and MIDI FAQs--A Very Basic Primer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.wonderful-music.com/"} +{"d:Title": "Ring, Gordon", "d:Description": "Picture, biography, list of works, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.longwood.edu/staff/ringgl/RingHomePage.html"} +{"d:Title": "Raksin, David", "d:Description": "(1912- 2004), Philadelphia, Pennsylvania. Biography of this film composer.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://en.wikipedia.org/wiki/David_Raksin"} +{"d:Title": "Rosa, Clotilde", "d:Description": "(1930- ), Queluz, Portugal. Composer/harpist/teacher. Picture, musical biography, chronology, catalog of works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.gmcl.pt/clotilderosa/index.htm"} +{"d:Title": "Roper, William", "d:Description": "Los Angeles based tubaist and composer. Includes biography, list of works, and information about his \"Poem for Emmett Till.\"", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://roperarts.com/"} +{"d:Title": "Reynolds, Belinda", "d:Description": "Picture and biography, from Common Sense Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.commonsensecomposers.org/reynold.htm"} +{"d:Title": "Riddell, Alistair", "d:Description": "(1955- ), Melbourne, Australia. Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.australianmusiccentre.com.au/artist/riddell-alistair"} +{"d:Title": "Ricketson, Damien", "d:Description": "(1973- ),Wollongong, Australia. Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.australianmusiccentre.com.au/artist/ricketson-damien"} +{"d:Title": "Routley, Nicholas", "d:Description": "Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.australianmusiccentre.com.au/artist/routley-nicholas"} +{"d:Title": "Rankine, Peter", "d:Description": "Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.australianmusiccentre.com.au/artist/rankine-peter"} +{"d:Title": "Rehnqvist , Karin", "d:Description": "(1957- ), Stockholm, Sweden. Picture, biography, list of works, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://home.online.nl/eli.ichie/rehnqvist.html"} +{"d:Title": "Riisager, Knud\u00e5ge", "d:Description": "Picture, work list , discography, and performances, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.musicsalesclassical.com/composer/short-bio/1299"} +{"d:Title": "Ruggiero, Charles H.", "d:Description": "(1947- ), Bridgeport, Connecticut. Picture, biography, compositions, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://charlesruggiero.com/"} +{"d:Title": "Rapsys, Ryan", "d:Description": "(1980- ) Biography, works, performances, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.ryanrapsys.com/"} +{"d:Title": "Reise, Jay", "d:Description": "(1950- ), New York City. Picture biography, sound files, and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://jayreise.com/"} +{"d:Title": "Resanovic, Nikola", "d:Description": "(1955- ), Derby, England. Picture, biography, news, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.nikolaresanovic.com/"} +{"d:Title": "Rodr\u00edguez, Robert X.", "d:Description": "(1946- ), San Antonio, Texas. Includes biography, notes, articles, and reviews, from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.musicsalesclassical.com/composer/short-bio/Robert-Xavier-Rodr%C3%ADguez"} +{"d:Title": "Roumain, Daniel Bernard", "d:Description": "Biography, recordings, news and notes, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.danielroumain.com/"} +{"d:Title": "Rosenblum, Mathew", "d:Description": "(1954- ), New York City. Picture, reviews/biography, compositions, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.mathewrosenblum.com/"} +{"d:Title": "Rubtsov, Andrey", "d:Description": "(1982- ), Moscow, Russia. First oboe of the Russian National Orchestra under Mikhail Pletnev. Compositions, mp3 recordings to download, and sheet music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.andreyrubtsov.com/"} +{"d:Title": "Rees, Stephen", "d:Description": "(1968- ), United Kingdom. Film and television composer. Picture, biography, credits, and audiofiles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://stephenrees.musicaneo.com/"} +{"d:Title": "Rudzi\u00f1ski, Witold", "d:Description": "(1913- ), Siebiez, Russia. Picture, biography, and list of works, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://pmc.usc.edu/composer/rudzinski_w.html"} +{"d:Title": "Rivera, Carlos Rafael", "d:Description": "(1970- ), Washington, D.C. Biography, compositions, recordings, videos, and books.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://carlosrafaelrivera.com/"} +{"d:Title": "Roberts. Michael T.", "d:Description": "(1978- ) Biography, news, projects, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/R", "url": "http://www.hotmike.com/"} +{"d:Title": "Straebel, Volker", "d:Description": "Includes writings on contemporary music, sound art, sound installation, performance art, and electronic music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.straebel.de/"} +{"d:Title": "Serter, Jane", "d:Description": "Picture and biography from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.composer.co.uk/composers/serter.html"} +{"d:Title": "Stephens, Michael", "d:Description": "Sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.michaelstephens.us/"} +{"d:Title": "Swearingen, James", "d:Description": "Includes schedule of appearances, list of published works, news, tips, and biographical information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.jamesswearingen.com/"} +{"d:Title": "Sande, Jim", "d:Description": "FAQ, events, CDs, MIDI and MP3 files, and animations with sound.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.jimsande.org/"} +{"d:Title": "Sartor, David P.", "d:Description": "Composer of symphonic and chamber works. Biography, compositions, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.davidsartor.com/"} +{"d:Title": "Schafer, R. Murray", "d:Description": "(1933- ), Sarnia, Ontario. Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.philmultic.com/composers/schafer.html"} +{"d:Title": "Scurria, Amy", "d:Description": "(1973- ) Includes biography, list of works, and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.amyscurria.com/"} +{"d:Title": "Sharpe, Carlyle", "d:Description": "(1965- ), Brownsville, Texas. Picture, biography, compositions and sound files, publications and performances, broadcasts, reviews, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www2.drury.edu/csharpe/"} +{"d:Title": "Shapiro, Alex", "d:Description": "(1962- ), New York City. Composer of lyrical and rhythmic chamber music. Picture, biography, list of works, and concerts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.alexshapiro.org/"} +{"d:Title": "Shulman, Alan", "d:Description": "(1915-2002), Baltimore, Maryland. Includes picture, biography, works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.capital.net/com/ggjj/shulman"} +{"d:Title": "Soluri, Patrick", "d:Description": "Includes catalogue, performance schedule and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.soluri.com/"} +{"d:Title": "Stanhope, David", "d:Description": "(1952- ) Composer for wind band. Biography, CDs, and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.davidstanhope.com/"} +{"d:Title": "Stolyar, Roman", "d:Description": "(1967- ), Novosibirsk, Russia. Includes biography, complete list of works, collaborations, events and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://rstmusic.narod.ru/"} +{"d:Title": "Stone, Carl", "d:Description": "Picture, biography, performances, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.sukothai.com/"} +{"d:Title": "Svoboda, Tomas", "d:Description": "Czech-American contemporary composer and pianist (b.1939). Includes streaming audio, downloadable sheet music samples, excerpts from latest cd recordings, published works list, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.tomassvoboda.com/"} +{"d:Title": "Shrapnel, Hugh", "d:Description": "(1947- ), Birmingham, England. Biography and list of works, from the Experimental Music Catalogue.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.users.waitrose.com/~chobbs/shrapnelcomp.html"} +{"d:Title": "Singleton, Alvin", "d:Description": "(1940- ), Brooklyn, New York. Picture, biography, and sound files, from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.otherminds.org/shtml/Singleton.shtml"} +{"d:Title": "Skrowaczewski, Stanislaw", "d:Description": "(1923- ), Lw\u00f3w, Poland. Biography, and manuscripts at USC, from the Polish Music Center at the University of Southern California.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.usc.edu/dept/polish_music/composer/skrowaczewski.html"} +{"d:Title": "Smit, Leo", "d:Description": "(1921-1999) Picture, biography, and works list.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://ublib.buffalo.edu/libraries/units/music/exhibits/smit/"} +{"d:Title": "Smith, Dave", "d:Description": "(1949- ), England. Picture, biography, and recordings, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.composer.co.uk/composers/smith.html"} +{"d:Title": "Smith, Richard", "d:Description": "Picture and biography, from the Wisconsin Alliance for Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.wiscomposers.org/members/smith.html"} +{"d:Title": "S\u00f6ll, Burkhardt", "d:Description": "(1944- ), Marienberg Westerwald, Germany. Picture, biography, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.bloomline.net/sites/index.php?site=soell"} +{"d:Title": "Solomons, David W.", "d:Description": "(1953- ), Oxford, England. Picture, biography, and links, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.kalvos.org/solomon.html"} +{"d:Title": "Sommer , Lesley", "d:Description": "Picture and biography from Non Sequitur Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.nonsequiturmusic.com/sommer.htm"} +{"d:Title": "Sonami, Laetitia", "d:Description": "(1957- ), France. Pictures, biography, and sound file from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.otherminds.org/shtml/Sonami.shtml"} +{"d:Title": "Spiegel, Laurie", "d:Description": "Picture, composing, list of works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://retiary.org/ls/"} +{"d:Title": "Stachowski, Marek", "d:Description": "(1946- ), Piekary Sl\u0105skie, Poland. Biography and list of works, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.usc.edu/dept/polish_music/composer/stachowski.html"} +{"d:Title": "Stevens, David", "d:Description": "(1952- ), Leicester, England. Pictures, biography, and works, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.kalvos.org/stevens.html"} +{"d:Title": "Stevens, Gavin", "d:Description": "(1962- ), Chichester, West Sussex, England. Picture, biography, and recent works, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.composer.co.uk/composers/gstevens.html"} +{"d:Title": "Stoll, David", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.davidstoll.co.uk/"} +{"d:Title": "Stevens, Gillian", "d:Description": "(1948- ), Cambridge, England. Picture, biography, and selected works, from the British Composers World Wide Web Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.composer.co.uk/composers/stevens.html"} +{"d:Title": "Stewart, Don", "d:Description": "(1935- ), Illinois. Picture, sound files, biography, and selected works, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.kalvos.org/stewart.html"} +{"d:Title": "Stollery, Pete", "d:Description": "(1960- ), Halifax, United Kingdom. Biography, and selected works, from The Livivng Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.composers21.com/compdocs/stollerp.htm"} +{"d:Title": "Sublette, Ned", "d:Description": "(1951- ), Lubbicj, Texas. Biography and discography, from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.lovely.com/bios/sublette.html"} +{"d:Title": "Szyma\u00f1ski, Pawel", "d:Description": "(1954- ), Warsaw, Poland. Picture, biography, and list of works, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.usc.edu/dept/polish_music/composer/szymanski.html"} +{"d:Title": "Sherman, Norman", "d:Description": "Picture, biography, compositions, CDs, audio files, interview, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.floriusmusic.com/"} +{"d:Title": "Shoot, Vladislav", "d:Description": "(1941- ),Vosnesensk, Russia. Biography, selected list of works, and discography, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.composers21.com/compdocs/shootv.htm"} +{"d:Title": "Sargon, Simon", "d:Description": "(1938- ), Bombay, India. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.simonsargon.com/"} +{"d:Title": "Seward, Philip", "d:Description": "Picture, biography, performances, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.philipseward.com/"} +{"d:Title": "Sherr, Laurence", "d:Description": "Picture, biography, performances, and compositions including audio excerpts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://ksumail.kennesaw.edu/~lsherr/"} +{"d:Title": "Susman, William", "d:Description": "Pictures, biography, works, sound files, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.susmanmusic.com/"} +{"d:Title": "Schulze, Andrew", "d:Description": "Picture, interview with himself, and compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://kalvos.org/schulze.html"} +{"d:Title": "Smith, Stephen", "d:Description": "Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://kalvos.org/smithst.html"} +{"d:Title": "Sproul, Glenn", "d:Description": "Picture and compositions, from Klavos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://kalvos.org/sproulg.html"} +{"d:Title": "Smith, Kile", "d:Description": "Picture, biography, reviews, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://home.earthlink.net/~kilesmith/index.html"} +{"d:Title": "Smith, Russell", "d:Description": "(1927-1998) Picture, biography, awards and haonos, publications, and catalog.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.russellsmith.de/"} +{"d:Title": "Schwabe, Jonathan Clarke", "d:Description": "Picture, biography, list of works, and sample score pages.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.uni.edu/schwabe"} +{"d:Title": "Szalonek, Witold", "d:Description": "(1927-2001) Biography and list of works, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.usc.edu/dept/polish_music/composer/szalonek.html"} +{"d:Title": "Sommer, Ben", "d:Description": "Compositions (scores and MP3 files).", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.bensommer.com/"} +{"d:Title": "Sleeper, Thomas M.", "d:Description": "(1956- ), United States. Picture, biography, compositions, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.sleepermusic.com/"} +{"d:Title": "So, Mark", "d:Description": "(1978- ), Syracuse, New York. Picture, biography, essay, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.angelfire.com/rebellion/mark_so/"} +{"d:Title": "Schifrin, Lalo", "d:Description": "(1932- ), Argentina. Biography, appearances, CDs, works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.schifrin.com/"} +{"d:Title": "Shapero, Harold", "d:Description": "Biography and picture.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://members.tripod.com/~del_Arte/composers/shapero.html"} +{"d:Title": "Setrakian, Setrak", "d:Description": "(1938- ), Aleppo, Lebanon. Pictures, curriculum vitae, and sound file.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.setrakian.net/"} +{"d:Title": "Sachal, Christoph", "d:Description": "(1980- ), Germany. Picture, r\u00e9sum\u00e9, albums, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://olis.north.de/~sirprise/Biz/index.htm"} +{"d:Title": "Solomons, David W", "d:Description": "Includes music in MIDI, MP3 and real audio formats.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.dwsolo.com/"} +{"d:Title": "Schweinitz, Wolfgang von", "d:Description": "Picture, biography, and worklist, from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2735"} +{"d:Title": "Steinke, Gunter", "d:Description": "(1956- ) Picture, biography, and worklist, from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2762"} +{"d:Title": "Sansom, Chris", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.highway57.co.uk/datamusic/"} +{"d:Title": "Shiu, Kawai", "d:Description": "Biography, list of works, discography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.kawaishiu.com/"} +{"d:Title": "Sfetsas, Kyriakos", "d:Description": "(1945- ), Amfilochia, Greece. Biography, works, scores, discography, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://sfetsas.gr/"} +{"d:Title": "Solomons, David W", "d:Description": "Sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.dwsmp3.com/"} +{"d:Title": "Schl\u00fcnz, Annette", "d:Description": "(1964- ) Biography from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=18036"} +{"d:Title": "Silverman, Adam B.", "d:Description": "(1973- ). Director of the Minimum Security Composers Collective. Biography, list of works, news, and music samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.adambsilverman.com/"} +{"d:Title": "Stouffer, Paul M.", "d:Description": "(1916- ). Picture, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.kendormusic.com/composer/stouffer.htm"} +{"d:Title": "Schindloffsky", "d:Description": "(1960- ), Works, audio and video samples, biography, and news. Resides in Vienna.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.schindloffsky.com/"} +{"d:Title": "Schmidt,Nicklas", "d:Description": "(1976- ). Biography, MP3 clips, score excerpts, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.nicklas-schmidt.com/"} +{"d:Title": "Sierra, Arlene", "d:Description": "Biography, list of works, and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.arlenesierra.com/"} +{"d:Title": "Stahl, Julius", "d:Description": "(1978- ) Sound and media artist. Information on works, events, news and contact.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://juliusstahl.de/"} +{"d:Title": "Seitz, Paul", "d:Description": "(1951- ), Racine, Wisconsin. Picture, biography, performances, and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.paulseitz.net/"} +{"d:Title": "Shepherd, Sean", "d:Description": "Biography, sound clips, and concert information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.seanshepherd.com/"} +{"d:Title": "Sandred, \u00d6rjan", "d:Description": "(1964- ) Sound files, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.sandred.com/"} +{"d:Title": "Slon (Slonczewski), Craig", "d:Description": "Selected works and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://slon.s5.com/"} +{"d:Title": "Sandoval, Carlos", "d:Description": "(1956- ), Mexico City, Mexico. Resume, events, music, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.carlos-sandoval.de/"} +{"d:Title": "Shih Hoh, Chung", "d:Description": "Biography, projects, work in progress, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://chungshih.info/"} +{"d:Title": "Siegel, Aaron", "d:Description": "(1977- ) Biography, compositions, installations, performances, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.aaronsiegel.net/"} +{"d:Title": "Sawyer, Eric", "d:Description": "Biography, music, and concerts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.ericsawyer.net/"} +{"d:Title": "Smith, Robert Edward", "d:Description": "Biography, music, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.robertedwardsmith.com/"} +{"d:Title": "Shakhidi, Tolib", "d:Description": "Biography, discography, music samples, and comments.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.shakhidi.ru/"} +{"d:Title": "Santos, Erik", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.music.umich.edu/faculty_staff/santos.erik.lasso"} +{"d:Title": "Smith, J. B.", "d:Description": "Percussionist, teacher and composer. Includes biography, discography, compositions, repertoire and photography gallery.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.public.asu.edu/~idjbs/"} +{"d:Title": "Smith, Hale", "d:Description": "Biography of the African American composer, pianist and professor.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://chevalierdesaintgeorges.homestead.com/Smith.html"} +{"d:Title": "Schindler, Allan", "d:Description": "(1944- ), Stamford, Connecticut. Biography, list of compositions, and curriculum vitae.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.esm.rochester.edu/faculty/?id=127"} +{"d:Title": "Silva, David Nunes da", "d:Description": "(1950- ) Works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.asstr.org/~Davo/"} +{"d:Title": "Shinohara, Makoto", "d:Description": "(1931- ), Osaka, Japan. Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.grainger.de/music/composers/shinohara.html"} +{"d:Title": "Smolka, Martin", "d:Description": "(1959-), Prague, Czech Republic. Official Site including biography, list of works, discography, filmography, news, contact details. [Czech, English, German, Polish]", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.martinsmolka.com/en/index.html"} +{"d:Title": "Schroeder, Carl", "d:Description": "(1982- ), Minneapolis, Minnesota. Biography, list of works, CDs, sound files, and performance calendar.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.carlschroeder.com/"} +{"d:Title": "Sherlock, John Mark", "d:Description": "List, biography, and curriculum vitae.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.neithernor.com/sherlock11/"} +{"d:Title": "Sosnowski, David J.", "d:Description": "Music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.davidsosnowski.com/"} +{"d:Title": "Schell, Michael", "d:Description": "(1961- ), Waco, Texas. Composer and intermedia artist. Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.schellsburg.com/bio.htm"} +{"d:Title": "Stefanuk, Misha V.", "d:Description": "Contains links, audio files, resume, and composition list.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.stefanuk.com/"} +{"d:Title": "Steiger, Rand", "d:Description": "1957- ), New York City. Picture, biography, compositions, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://rand.info/"} +{"d:Title": "Smith Brindle, Reginald", "d:Description": "(1917- ), Cuerdon, near Preston, England. Biography by David C. F. Wright.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.musicweb-international.com/brindle/"} +{"d:Title": "Stevens, James", "d:Description": "(1923- ), England. Biography and selected works, from MusicWeb.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.musicweb-international.com/StevensJames/index.html"} +{"d:Title": "Story, Tim", "d:Description": "Grammy-nominated composer based in Maumee, Ohio. Biography, discography and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.timstory.com/"} +{"d:Title": "Stahnke, Manfred", "d:Description": "(1951- ), Kiel, Germany. Picture, biography, compositions, publications, and discography. (English, German)", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.manfred-stahnke.de/"} +{"d:Title": "Schwendinger, Laura Elise", "d:Description": "(1962- ), Mexico City, Mexico. Picture, biography, works, and resume.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.lauraschwendinger.com/"} +{"d:Title": "Simpson, Robert", "d:Description": "(1921-1997) Includes biography, catalog of works, discography, bibliography, and calendar of performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://robertsimpson.info/"} +{"d:Title": "Sumera, Lepo", "d:Description": "(1950-2000) Biography and selected works, from the Estonian Music Information Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.emic.ee/helilooja/leposumera?lang=eng"} +{"d:Title": "Sung, Stella", "d:Description": "Picture, news, music, reviews and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.stellasung.com/"} +{"d:Title": "Sviridov, Georgy", "d:Description": "(1915-1998) Biography and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://home.online.nl/ovar/sviridov.htm"} +{"d:Title": "Shchetynsky, Alexander", "d:Description": "(1960- ) Ukrainian composer. Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://home.online.nl/ovar/shchetyn.htm"} +{"d:Title": "Small, Haskell", "d:Description": "Picture, biography, selected reviews, and selected works, from Jeffrey James Arts Consulting.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.haskellsmall.com/"} +{"d:Title": "Svensson, Martin", "d:Description": "(1978- ) Trelleborg, Sweden. Biography, sound files, list of works, and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://bmsmusic.se/martin/"} +{"d:Title": "Smith, Linda Catlin", "d:Description": "(1957- ), New York City. Picture, biography, works, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.catlinsmith.com/"} +{"d:Title": "Swack, Irwin", "d:Description": "Picture, biography and works from the New Music USA.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://library.newmusicusa.org/IrwinSwack"} +{"d:Title": "Silverman, Faye-Ellen", "d:Description": "Composer of contemporary classical music with an emphasis on form and color. Biography and works from New Music USA.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://library.newmusicusa.org/FayeEllen1Silverman"} +{"d:Title": "Sutton, Lindsey D.", "d:Description": "Includes information on the composer/pianist.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://lindseydsutton.tripod.com/"} +{"d:Title": "Silveira, Guillermo", "d:Description": "(1959- ), Buenos Aires, Argentina. Picture, biography, video and sound files, works, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://guillermosilveira.tripod.com/"} +{"d:Title": "Sprague, Frank Lee", "d:Description": "Biography, music, interview.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://duckking1.tripod.com/"} +{"d:Title": "Satterwhite, Marc", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://louisville.edu/music/faculty-staff/Faculty/marc-satterwhite"} +{"d:Title": "Speck, Frederick", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://louisville.edu/music/faculty-staff/Faculty/fred-speck"} +{"d:Title": "Smith, Rob", "d:Description": "Picture, biography, and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://robsmithcomposer.com/"} +{"d:Title": "Siegel, Wayne", "d:Description": "(1953- ), Los Angeles, California. Picture, biography, events, list of works, recordings, and writings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://waynesiegel.dk/"} +{"d:Title": "Shaw, Geoffrey", "d:Description": "Works from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.musicsalesclassical.com/composer/works/1433"} +{"d:Title": "Surinach, Carlos", "d:Description": "(1915-1997), Barcelona, Spain. Includes biography, key works, reviews, and obituary.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.musicsalesclassical.com/composer/short-bio/1543"} +{"d:Title": "Sweeney, Eric", "d:Description": "(1948- ), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "https://www.cmc.ie/composers/eric-sweeney"} +{"d:Title": "Synnott, Andrew", "d:Description": "(1970- ), Co. Meath, Ireland. Biography from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "https://www.cmc.ie/composers/andrew-synnott"} +{"d:Title": "Stiles, Frank", "d:Description": "(1924- ), London, England. Picture, biography, and works, from Impulse.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.impulse-music.co.uk/frankstiles/"} +{"d:Title": "Stoker, Richard", "d:Description": "(1938- ), Csstleford, Yorkshire, England. Picture, biography, sound files, and discography, from impulse.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.impulse-music.co.uk/richardstoker/"} +{"d:Title": "Salzedo, Leonard", "d:Description": "(1921-2000), London, England. Pictures, biography, works, and recordings with some sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/S", "url": "http://www.impulse-music.co.uk/leonardsalzedo/"} +{"d:Title": "Tosic, Vladimir", "d:Description": "(1949- ), Belgrade, Yugoslavia. Picture, biography, works, scores, audio files, discography, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://solair.eunet.rs/~dual/"} +{"d:Title": "Theodorakis, Mikis", "d:Description": "(1925- ), Chios, Greece. Picture, biography, works, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.mikis-theodorakis.net/"} +{"d:Title": "Thoburn, Crawford R", "d:Description": "Composer of choral music. List of works, reviews, performances, and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.musicbythoburn.com/"} +{"d:Title": "Talman, Jeff", "d:Description": "(Vanishing Point 1.1) Soundspace installation recorded in St. Pauls Chapel at Columbia University.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.jefftalman.com/"} +{"d:Title": "Thomas, Augusta Read", "d:Description": "(1964- ), New York City. Picture, biography, recordings, awards and honors, premiers, performances of note, and interview.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.augustareadthomas.com/"} +{"d:Title": "Tilton, Chris", "d:Description": "(1979- ), New Orleans, Louisiana. Includes MIDI and MP3 samples of works, a message board, and news.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.christilton.com/"} +{"d:Title": "Top, Ruud", "d:Description": "Composer of music for piano and harpsichord.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://home.hccnet.nl/rj.top"} +{"d:Title": "Thome, Diane", "d:Description": "Picture, biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://faculty.washington.edu/dthome/"} +{"d:Title": "Terzian, Alicia", "d:Description": "Biography, pictures, works, lectures, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.aliciaterzian.com.ar/in_alicia_terzian_curriculum.htm"} +{"d:Title": "Truax, Barry", "d:Description": "Picture, biography, interview, list of works, discography, and compositional techniques.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.sfu.ca/~truax/"} +{"d:Title": "Tsoupaki, Calliope", "d:Description": "(1963- ), Piraeus, Greece. Picture and biography from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.otherminds.org/shtml/Tsoupaki.shtml"} +{"d:Title": "Tarantino, Todd", "d:Description": "Picture, biography, and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.toddtarantino.com/"} +{"d:Title": "Teitelbaum, Richard", "d:Description": "(1939- ), New York City. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://inside.bard.edu/~teitelba/"} +{"d:Title": "Trythall, Richard", "d:Description": "Picture, biography, recordings, list of works, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.richardtrythall.com/"} +{"d:Title": "Tavalin, Peter", "d:Description": "Picture and biography, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://kalvos.org/tavalin.html"} +{"d:Title": "Taylor, Hollis", "d:Description": "Picture, biography, catalog, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.hollistaylor.com/"} +{"d:Title": "Todorova, Doushka", "d:Description": "Composer of children's songs. Picture, sound files, and form for comments.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://solbg.tripod.com/d.htm"} +{"d:Title": "Shri Gynanda Teertha", "d:Description": "Official site of the composer of krithis, or songs, in praise of the Goddess Gayatri in Carnatic classical music style with bhakti raga laya.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://ovrs.20m.com/"} +{"d:Title": "Takahashi, Yuri", "d:Description": "(1938- ), Japan. Information about newspaper reviews and list of compositions from the Resource Center for Japanese Music - Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.musicfromjapan.org/resources/mfjc3.htm"} +{"d:Title": "Tishchenko, Boris Ivanovich", "d:Description": "(1939- ) Leningrad, Russia. Chronology.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.siue.edu/~aho/musov/discrev/tishchron.html"} +{"d:Title": "Thornton, Martin", "d:Description": "(1972- ) Composer of film scores, theatre soundtracks, and other music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.mosso.co.uk/"} +{"d:Title": "The Veljo Tormis Information Archive and Homepage", "d:Description": "The pages on the modern Estonian composer Veljo Tormis. This site is regularly updated with recent CD releases and information on music publishers, concerts and broadcasts", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www3.sympatico.ca/alan.teder/vtinfoarchive.htm"} +{"d:Title": "Turner, Kerry", "d:Description": "Picture, biography, catalogue of works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.kerryturner.com/"} +{"d:Title": "Tsanoff, Hristo", "d:Description": "(1947- ), Sofia, Bulgaria. Picture, biography, works, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.tsanoff-classic.com/"} +{"d:Title": "Tharaldson, Timothy", "d:Description": "Biography and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.timothytharaldson.com/"} +{"d:Title": "Tenreiro, Alfonso", "d:Description": "(1965- ), Caracas, Venezuela. Biography, discussion of his style, curriculum vitae, works, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.elimer.com.ve/atenreiro/"} +{"d:Title": "Tamusuza, Justinian", "d:Description": "(1951- ), Kibisi, Uganda. Picture, biography, and published instrumental compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.internationalopus.com/cgi-bin/io.pl?mode=composer&composer=13"} +{"d:Title": "Tyranny, \"Blue\" Gene", "d:Description": "Picture, biography, press quotes, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://bluegenetyranny.com/"} +{"d:Title": "Thomas, Robert E.", "d:Description": "(1971- ), Aberdeen, Washington. Includes biography, CV and list of works with score samples and audio files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://retmusic.com/"} +{"d:Title": "UC Berkeley: John Thow, Internationally Acclaimed Composer, Dies at Age 57", "d:Description": "Picture and press release on the death of the UC Berkeley faculty member.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://berkeley.edu/news/media/releases/2007/03/12_thow.shtml"} +{"d:Title": "Tucker, Adam", "d:Description": "Composer of orchestral music for video productions and schools. Include MP3 samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.adamtucker.co.uk/"} +{"d:Title": "Tole, Vasil S.", "d:Description": "Pictures, musical compositions, prizes, and publications.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.vasiltole.com/"} +{"d:Title": "Takacs, Jen\u00f6", "d:Description": "(1902-2005 ), Siegendorf, Burgenland, Austria. Biography, premiers, works, and photographs, from Universal Edition.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.universaledition.com/Jenoe-Takacs/composers-and-works/composer/714"} +{"d:Title": "Thomas, Michael Tilson", "d:Description": "(1944- ), Los Angeles, California. Picture and biography of conductor-composer Thomas from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.musicsalesclassical.com/composer/short-bio/1594"} +{"d:Title": "Thorne, Nicholas", "d:Description": "(1953- ), Copenhagen, Denmark. Includes article and key works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://www.musicsalesclassical.com/composer/short-bio/1592"} +{"d:Title": "Turrin, Joseph", "d:Description": "(1947- ) Biography and works, from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://musicalics.com/fr/compositeur/Joseph-Turrin"} +{"d:Title": "Todd, Will", "d:Description": "(1970- ) Picture, biography, list of works, reviews, sound files, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/T", "url": "http://willtodd.co.uk/"} +{"d:Title": "The New York School", "d:Description": "(Boston Phoenix) Review of Swiss record label hat Art's performances of music by Earle Brown, John Cage, Morton Feldman,and Christian Wolff.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/The_New_York_School", "url": "http://www.bostonphoenix.com/archive/music/97/11/13/OTR/EARLE_BROWN_JOHN_CAGE_MORT.html"} +{"d:Title": "University at Buffalo Libraries - Music - June in Buffalo 25th Anniversary Exhibit - First Festival, 1975", "d:Description": "Music by members of the New York School.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/The_New_York_School", "url": "http://library.buffalo.edu/music/exhibits/june/"} +{"d:Title": "Instructions for the_matrix", "d:Description": "An interactive matrix builder.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Twelve-Tone", "url": "http://www.dancavanagh.com/music/matrix.php"} +{"d:Title": "Utz, Christian", "d:Description": "(1969- ), Munich, Germany. Picture, biography, publications, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/U", "url": "http://www.christianutz.net/"} +{"d:Title": "Ubieta, Enrique", "d:Description": "Innovator of the school of harmony \"Bimodalism,\" which represents a contemporary alternative to atonal styles of composition.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/U", "url": "http://www.ubieta.com/"} +{"d:Title": "Udell, Budd", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/U", "url": "http://www.kendormusic.com/composer/udell.htm"} +{"d:Title": "Udow, Michael W.", "d:Description": "Percussionist/composer. Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/U", "url": "http://www.music.umich.edu/faculty_staff/udow.michael.lasso"} +{"d:Title": "Ustvolskaya, Galina", "d:Description": "(1919- ), Russia. Picture, biography, and discography from Musicweb.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/U", "url": "http://www.musicweb-international.com/classrev/dec99/ustvolskaya.htm"} +{"d:Title": "Urquhart-Jones, David", "d:Description": "Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/U", "url": "http://www.australianmusiccentre.com.au/artist/urquhart-jones-david"} +{"d:Title": "Vandervelde, Janika", "d:Description": "Picture, biography, list of works by date, and upcoming events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.janikavandervelde.com/"} +{"d:Title": "Vosk, Jay", "d:Description": "Includes list of works for winds, brass and orchestra, sample scores and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://jayvosk.com/"} +{"d:Title": "van der Walt; J. Simon", "d:Description": "Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.jsimonvanderwalt.com/"} +{"d:Title": "Vidjeskog, Patrik", "d:Description": "(1964- ), Jakobstad, Finland. Picture, biography, reviews, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.kolumbus.fi/vidje/welcome.htm"} +{"d:Title": "Vigani, Andrea", "d:Description": "(1970- ), Milan, Italy. Biography, list of works, and discography, from the Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.composers21.com/compdocs/vigania.htm"} +{"d:Title": "Vosbein, Terry", "d:Description": "Picture, biography, list of works, and downloadable music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.vosbein.com/"} +{"d:Title": "Van Ness, Patricia", "d:Description": "(1951- ) Picture, biography, catalog of music, reviews, performances, discography, and scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.patriciavanness.com/"} +{"d:Title": "Voisey, Robert", "d:Description": "Picture, biography, sound clips, and scores.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.voxnovus.com/composer/Robert_Voisey.htm"} +{"d:Title": "Vazquez, Octavio", "d:Description": "Short musical biography and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://octaviov.com/"} +{"d:Title": "Vega, Diego", "d:Description": "List of works and program notes with score fragments and pictures, sound clips, and a regularly updated concert schedule.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.diegovega.com/"} +{"d:Title": "Vaage, Knut", "d:Description": "(1961- ),Bergen, Norway. News, biography, works, recordings, reviews, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.knutvaage.com/"} +{"d:Title": "Violette, Andrew", "d:Description": "Compositions, biography and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.composers21.com/compdocs/violetta.htm"} +{"d:Title": "Mario Verandi", "d:Description": "(Argentina) Catalogue of works, collaborations, discography, other projects, biography, photographs, curriculum vitae, and contacts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.marioverandi.de/"} +{"d:Title": "Vogels, Fred: Back to Normandy", "d:Description": "Information relating to the Normandy Landings in World War II featuring articles, interviews, pictures, and works inspired by the invasion on D-Day.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.backtonormandy.org/"} +{"d:Title": "Vriezen, Samuel", "d:Description": "(1973- ), Groningen, The Netherlands. Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://composers21.com/compdocs/vriezens.htm"} +{"d:Title": "Veenstra, Richard", "d:Description": "(1981), The Netherlands. Works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.richardveenstra.nl/"} +{"d:Title": "Voss, Vic", "d:Description": "Includes full and part scores of new unplayed piece for string quartet with invitation to freely download and play.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.vicvoss.me.uk/"} +{"d:Title": "Vermeersch, Hans", "d:Description": "Composer for Western and Indian instruments.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://users.telenet.be/rajhans.orchestra/hansverm.html"} +{"d:Title": "Vollinger, William", "d:Description": "Picture, biography, and selected compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.williamvollinger.com/"} +{"d:Title": "Venrooy, Esther", "d:Description": "(1974- ), The Netherlands, composer of electronic music. Picture, biography, CD insert, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.esthervenrooy.net/"} +{"d:Title": "Vick, Lloyd", "d:Description": "Picture, biography, and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.australianmusiccentre.com.au/artist/vick-lloyd"} +{"d:Title": "Vella, Richard", "d:Description": "Picture, biography, list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.australianmusiccentre.com.au/artist/vella-richard"} +{"d:Title": "Veldhuis, Jacob ter", "d:Description": "(1951- ) Includes short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.jacobtv.net/"} +{"d:Title": "van der Aa, Michel", "d:Description": "(1970- ), The Netherlands. Picture, biography, works, audio files, and video gallery.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.vanderaa.net/"} +{"d:Title": "Verin, Nicolas", "d:Description": "(1958- ) Biography, works, records, press, and articles.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://nverin.free.fr/"} +{"d:Title": "Vazquez, Carlos", "d:Description": "Picture, biography, and works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://carlosvazquez.tripod.com/"} +{"d:Title": "Volans, Kevin", "d:Description": "(1949- ) Picture, biography, and worl list, from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://www.musicsalesclassical.com/composer/short-bio/1651"} +{"d:Title": "Van de Vate, Nancy", "d:Description": "(1930- ), Plainfield, New Jersey. Biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://musicalics.com/fr/compositeur/Nancy-Van-de-Vate"} +{"d:Title": "Vriezen, Samuel", "d:Description": "Concert recordings of selected works by Dutch composer Samuel Vriezen in mp3, for download.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/V", "url": "http://sqv.home.xs4all.nl/"} +{"d:Title": "Weiser, Mark L", "d:Description": "Picture, biography, compositions with sound files, and press.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.marklanzweiser.com/"} +{"d:Title": "Willcocks, Jonathan", "d:Description": "(1953- ), Worchester, England. Picture, short biography, list of works, contact and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.jonathanwillcocks.com/"} +{"d:Title": "Waitzman, Daniel", "d:Description": "Also flutist and music engraver. Picture and short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://home.sprynet.com/~danwaitz/newdan.htm"} +{"d:Title": "Waldo, Elisabeth", "d:Description": "Indigenous composer, violinist, songwriter, producer, and conductor.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.elisabethwaldomusic.com/"} +{"d:Title": "Walker, Gwyneth", "d:Description": "(1947- ) Picture, biography, works, recordings, upcoming events, audio files, essays, articles, reviews, and performers gallery.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.gwynethwalker.com/"} +{"d:Title": "Wallach, Joelle", "d:Description": "Photographs, biography, list of works, recordings with audio files, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.joellewallach.com/"} +{"d:Title": "Wallfisch, Benjamin", "d:Description": "Born and works in the UK. Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.composer.co.uk/composers/wallfisc.html"} +{"d:Title": "Walters, Andrew", "d:Description": "(1967- ), Topeka, KS. Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://ems.music.uiuc.edu/people/ems/a-walters.html"} +{"d:Title": "Williams, Adrian", "d:Description": "(1956- ), Watford, England. Picture, biography, news, reviews, catalogs of his music, listening and contact.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.adrianwilliamsmusic.com/"} +{"d:Title": "Waschka, Rodney", "d:Description": "Short biography, discography, compositions, publishers, scholarly articles and poetry.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www4.ncsu.edu/~waschka/index.html"} +{"d:Title": "Warren, Elinor Remick", "d:Description": "Broke the gender barrier by becoming a celebrated composer of serious music at a time when males dominated the field. Includes catalog, discography, centenary, reviews, recordings available in Real Audio or MP3 format, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.elinorremickwarren.com/"} +{"d:Title": "Waters, Becky", "d:Description": "(1958- ) Biography, publications and commissions, and projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.beckywaters.com/"} +{"d:Title": "Webb, John", "d:Description": "(1969- ), London, UK. Picture, short biography, selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.composer.co.uk/composers/webb.html"} +{"d:Title": "Westendorf, Lynette", "d:Description": "Includes biography, list of compositions, publications, recordings, reviews, and affiliations.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://lwmusic.com/"} +{"d:Title": "Whittaker, Anthony", "d:Description": "Composer, conductor, pianist and organist. Staff member at the School of Performing Arts at Liverpool Community College.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://anthonywhittaker.moonfruit.com/"} +{"d:Title": "White, John", "d:Description": "(1936- ), Berlin, Germany. Picture, short biography and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.composer.co.uk/composers/white.html"} +{"d:Title": "Whitty, Paul", "d:Description": "Born in Lisburn, Co.Antrim. Picture, biography, performances and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.composer.co.uk/composers/whitty.html"} +{"d:Title": "Wilding-White, Ray", "d:Description": "List of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.wiscomposers.org/members/wilding-white.html"} +{"d:Title": "Wilhoit, Frank", "d:Description": "Includes scores and audio renderings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.broadheath.com/"} +{"d:Title": "Wilson, Ian", "d:Description": "(1964- ), Belfast, Ireland. Picture, short biography, performances, discography, concert reviews and CD reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.ianwilson.org.uk/"} +{"d:Title": "Wilsmore, Robert", "d:Description": "(1967- ), Worcester, England. Picture, short biography, pages of music in PDF and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.composer.co.uk/composers/wilsmore.html"} +{"d:Title": "Wilson, Stanley", "d:Description": "Includes biography, and article.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://kith.org/jimmosk/barnett.html#Wilson"} +{"d:Title": "Wold, Erling", "d:Description": "Works include opera and other music for instruments, voices, dance and film. Complete works, recordings, upcoming performances, press and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.erlingwold.com/"} +{"d:Title": "Wolf, Daniel", "d:Description": "Includes biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://home.snafu.de/djwolf/"} +{"d:Title": "Wood, Christoher", "d:Description": "Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.chameleongroup.org.uk/members/wood.html"} +{"d:Title": "Wright, Maurice", "d:Description": "(1949- ). Biographical data, CDs, books and sheet music, bibliography, link to his home page and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://stevenestrella.com/composers/composerfiles/wrightliving.html"} +{"d:Title": "White, Barbara", "d:Description": "Biography, performances, and audio and video.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.princeton.edu/~bwhite/"} +{"d:Title": "Wiprud, Theodore", "d:Description": "(1958- ), Washington, D.C. Picture, biography, bibliography, catalog, sound files, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.theodorewiprud.com/"} +{"d:Title": "Wolfgang, Gernot", "d:Description": "Picture, biography, concert music, film and TV music, jazz, and events.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.gernotwolfgang.com/"} +{"d:Title": "Wolfram, Mark", "d:Description": "Picture, biography, sound files, CD reviews, and projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.markwolfram.com/"} +{"d:Title": "Weixler, Andreas", "d:Description": "(1963- ), Graz, Austria. Picture, studies, lectures and workshops, contemporary arts and composition (some of these links are in German), developments/software/tools, management, awards, artist in residence, promotions and links.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://avant.mur.at/weixler/awbio.en.html"} +{"d:Title": "Wellspring, Barbara", "d:Description": "Picture, biography, and compositions, from Kalvos and Damian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://kalvos.org/wellsb.html"} +{"d:Title": "Wiedemann, Joe", "d:Description": "Music for film, television and video. Biography, credits, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://orchestronics.com/composer1.htm"} +{"d:Title": "Wallace, Stewart", "d:Description": "(1960- ), Philadelphia, Pennsylvania. Picture, biography, upcoming events, and compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.stewartwallace.com/"} +{"d:Title": "Wilson, Sandy", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.centrohd.com/biogra/w2/sandy_wilson_b.htm"} +{"d:Title": "Wishart, Peter", "d:Description": "Biography and works, from Stainer and Bell.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.stainer.co.uk/wishart.html"} +{"d:Title": "Whalen, Michael", "d:Description": "Picture, biography, latest film scores, and audio and video files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.michaelwhalen.com/"} +{"d:Title": "Waters, Joseph", "d:Description": "(1952- ), Jackson, Michigan. Picture, biography, program notes, music sample, and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.josephwaters.com/"} +{"d:Title": "Weinstein, Robert", "d:Description": "Picture, biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.robertweinsteinmusic.com/"} +{"d:Title": "Weston, Craig", "d:Description": "Picture, short biography, recordings, program notes and recent pieces.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www-personal.ksu.edu/~cweston/"} +{"d:Title": "Wolman, Amnon", "d:Description": "(1955- ) Short biography and recordings. [Requires flash]", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://amnonwolman.org/"} +{"d:Title": "Walz, Aaron", "d:Description": "Compositions including video game music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.midishack.net/walzinfo.htm"} +{"d:Title": "Dan Welcher: Composer", "d:Description": "(1948- ) Rochester, New York. Picture, biography, works, recordings, samples, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.danwelcher.com/"} +{"d:Title": "West, William", "d:Description": "Biography, recordings, compositions, photograph, and performances. Download and streaming audio in MP3 and RM formats.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.williamwest.org/themusic.html"} +{"d:Title": "Wetters, Brent", "d:Description": "Compositions, projects and personal page.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.medusarecords.org/brent/"} +{"d:Title": "Weber, Mark", "d:Description": "Picture, biography, projects, catalog, press, awards, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.markweber.com/"} +{"d:Title": "Wagner, Adrian", "d:Description": "(1952- ), Kent, England. Picture, biography, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.mediaquest.co.uk/awagner.html"} +{"d:Title": "Witney, Paul", "d:Description": "Picture, biography, free scores and music samples.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://paulwitney.com/"} +{"d:Title": "Wierzbicki, James", "d:Description": "Composer, musicologist, and author. Faculty page at University of Michigan.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.music.umich.edu/departments/musicology/JamesWierzbicki.htm"} +{"d:Title": "Wishart, Trevor", "d:Description": "(1946- ). CDs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.forcedexposure.com/artists/wishart.trevor.html"} +{"d:Title": "Wolfe, Joe", "d:Description": "List of compositions, some scores, some sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.phys.unsw.edu.au/~jw/Joe.html"} +{"d:Title": "Westheimer, Cody", "d:Description": "Biography, music, and credits.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.codywestheimer.com/"} +{"d:Title": "Worby, David", "d:Description": "About, news, and projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://davidworbyproductions.com/"} +{"d:Title": "Waisvisz, Michel", "d:Description": "Performer and inventor/pioneer of live electronic music. Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.crackle.org/"} +{"d:Title": "Wilson, Olly", "d:Description": "(1937- ), St. Louis, Missouri. Short biography and picture.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.otherminds.org/shtml/Wilson.shtml"} +{"d:Title": "Wright, Maurice", "d:Description": "(1949- ), Virginia. Picture, biography, list of works, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.mauricewright.org/"} +{"d:Title": "Wain, Gary", "d:Description": "(1958- ), New Zealand. Biography and published editions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.promethean-editions.com/php/ComposerSummary.php?Composer=Gary+Wain"} +{"d:Title": "White, Frances", "d:Description": "(1960- ). Short biography, upcoming performances and artistic statement.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.rosewhitemusic.com/"} +{"d:Title": "Wilson, Malcolm C", "d:Description": "(1962- ), Dunblane, Scotland. Professional profile, personal profile, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.claganach.net/"} +{"d:Title": "Witzmann, Thomas", "d:Description": "(1958- ), Coburg, Germany. Picture, short biography, discography and catalog of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.thomas-witzmann.de/english_site/index.html"} +{"d:Title": "Wagstaff, Julian", "d:Description": "(1970- ), Edinburgh, Scotland. Personal website presenting biography, information on music with audio clips, forthcoming concerts, and contact details.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.julianwagstaff.com/"} +{"d:Title": "Wood, Philip", "d:Description": "(1972- ), Leeds, England. Picture and biography with discussions of his music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.musicweb-international.com/PWood/"} +{"d:Title": "Wright, David C. F.", "d:Description": "(1946- ), Isle of Wight. Short biography and list of biographies and articles he has written.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.musicweb-international.com/wright/"} +{"d:Title": "Wynne, David", "d:Description": "Biography and study of his works by Dr. David C. F. Wright for Classical Music on the Web,", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.musicweb-international.com/wynne/"} +{"d:Title": "Winston-Fletcher, Colin", "d:Description": "Composer for television and documentary film. Includes his CV and samples of his music.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.colinwinstonfletcher.com/"} +{"d:Title": "Woolf, Randall", "d:Description": "Born in Detroit, Michigan. Picture, short biography and description of \"My Insect Bride.\"", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.commonsensecomposers.org/woolf.htm"} +{"d:Title": "Weinbaum, Batya", "d:Description": "Short biography, article with music examples \"Making Music with Matriarchal Consciousness,\" Real Audio example of her music, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://kalvos.org/weinbau.html"} +{"d:Title": "Wilson, Marion", "d:Description": "(1915- ), Sydney, New South Wales. Short biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wilson-marion"} +{"d:Title": "Wade, Simon", "d:Description": "Includes biography, link to the Australian Music Centre, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wade-simon"} +{"d:Title": "Wilson, Andrew", "d:Description": "(1951- ). Short biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wilson-andrew"} +{"d:Title": "Wheeler, Tony", "d:Description": "(1958- ), New Zealand. Picture, short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wheeler-tony"} +{"d:Title": "Woof, Barbara", "d:Description": "(1958- ), Sydney, Australia. Picture, short biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/woof-barbara"} +{"d:Title": "Wood, Steven", "d:Description": "(1959- ), Sydney, Australia. Picture, short biography with discussion of his musical philosophy, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wood-steve"} +{"d:Title": "Walker, Allan", "d:Description": "(1955- ), Australia. Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/walker-allan"} +{"d:Title": "Wilkins, Caroline", "d:Description": "(1953- ), England. Picture, short biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wilkins-caroline"} +{"d:Title": "Webb, Peter", "d:Description": "(1948- ) Melbourne, Australia. Picture and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/webb-peter"} +{"d:Title": "Worrall, David", "d:Description": "(1954- ), Newcastle, Australia. Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/worrall-david"} +{"d:Title": "Wells, Jessica", "d:Description": "Short biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wells-jessica"} +{"d:Title": "Whitehead, Gillian Karawe", "d:Description": "(1941- ), Hamilton, New Zealand. Picture, short biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/whitehead-gillian"} +{"d:Title": "Werder, Felix", "d:Description": "(1922- ), Berlin Germany. Short biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/werder-felix"} +{"d:Title": "Williamson, Malcolm", "d:Description": "(1931- ), Sydney, New South Wales. Picture, short biography, and list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/williamson-malcolm"} +{"d:Title": "Willcock, Christopher", "d:Description": "(1947- ), Sydney, New South Wales. Picture, short biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/willcock-christopher"} +{"d:Title": "Whiticker, Michael", "d:Description": "(1954- ), Gundagai, New South Wales. Picture. biography, and list of works and recordinigs.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/whiticker-michael"} +{"d:Title": "Westlake, Nigel", "d:Description": "(1958- ), Australia. Picture, short biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/westlake-nigel"} +{"d:Title": "Wesley-Smith, Martin", "d:Description": "(1945- ), Adelaide, Australia. Picture, short biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/wesley-smith-martin"} +{"d:Title": "Whiffin, Lawrence", "d:Description": "(1930- ), Melbourne, Australia. Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.australianmusiccentre.com.au/artist/whiffin-lawrence"} +{"d:Title": "Wilson, Thomas", "d:Description": "(1927-2001), Scotland. Obituary from the Guardian.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.theguardian.com/news/2001/jun/19/guardianobituaries.arts1"} +{"d:Title": "Winteregg, Steven", "d:Description": "Brief biography and works from the New Music USA.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://library.newmusicusa.org/StevenWinteregg"} +{"d:Title": "Wellejus, Henning", "d:Description": "(1919- ), Denmark. Picture, short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.dacapo-records.dk/en/artist-henning-wellejus.aspx"} +{"d:Title": "Watson, Chris", "d:Description": "(1976- ), Tauranga, New Zealand. Picture, biography, and selected works with sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.chriswatsoncomposer.com/"} +{"d:Title": "Wilby, Philip", "d:Description": "(1949- ), Pontrefact, UK. Short biography.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.musicsalesclassical.com/composer/short-bio/1728"} +{"d:Title": "Wood, Hugh", "d:Description": "(1932- ), Parbold, Lancashire, England. Details of his education, compositions and musical style.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.musicsalesclassical.com/composer/short-bio/1765"} +{"d:Title": "Wells, John", "d:Description": "(1948- ), Stamford, Lincolnshire, UK. Picture, short biography and selected works, from sounz.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://sounz.org.nz/contributor/composer/1154"} +{"d:Title": "Walczyk, Kevin", "d:Description": "Picture, biography, and list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.wou.edu/music/profile/kevin-walczyk/"} +{"d:Title": "Wilson, James", "d:Description": "(1922- ), London, England. Picture, short biography and selected works.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "https://www.cmc.ie/composers/james-wilson"} +{"d:Title": "Wills, Arthur", "d:Description": "(1926-). Short biography, critical reception, recordings, musical tracks, catalog of works and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/W", "url": "http://www.impulse-music.co.uk/arthurwills/"} +{"d:Title": "Yeats, Marc", "d:Description": "(1962- ), London, England. Pictures, biography, works, education, reviews, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Y", "url": "http://marc-yeats.co.uk/"} +{"d:Title": "Yarnell, Carolyn", "d:Description": "Picture and biography from the Common Sense Composers.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Y", "url": "http://www.commonsensecomposers.org/yarnell.htm"} +{"d:Title": "Yates, Stephen", "d:Description": "(1957- ), Newcastle, Australia. Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Y", "url": "http://www.australianmusiccentre.com.au/artist/yates-stephen"} +{"d:Title": "Yee, Adam", "d:Description": "(1974- ) Picture, biography, and list of works and recordings from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Y", "url": "http://www.australianmusiccentre.com.au/artist/yee-adam"} +{"d:Title": "Young, David Laurence", "d:Description": "Biography and list of works and recordings, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Y", "url": "http://www.australianmusiccentre.com.au/artist/young-david"} +{"d:Title": "Z, Pamela", "d:Description": "Picture, biography, recordings, calendar, performances, and resume.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.pamelaz.com/"} +{"d:Title": "Zeitler, William Wilde", "d:Description": "Composer and performer of music for the Glass Armonica, an instrument invented by Ben Franklin. Includes calendar of performances, sales of CDs, and instrument information.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.glassarmonica.com/"} +{"d:Title": "Zapf, Helmut", "d:Description": "(1956- ), Rauschengesees, Thuringia. Biography and list of works, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.composers21.com/compdocs/zapfh.htm"} +{"d:Title": "Zivkovic, Nebojsa Jovan", "d:Description": "Includes tour dates, opus list, CDs information and actual projects.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.zivkovic.de/"} +{"d:Title": "Patrick Zimmerli, Composer", "d:Description": "Biography, picture, concerts, reviews, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.patrickzimmerli.com/"} +{"d:Title": "Zuckerman, Mark", "d:Description": "Picture, biography, compositions, scores, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.mazicmusic.com/"} +{"d:Title": "Zemlinski, Lev", "d:Description": "Russian composer, songwriter and recording artist. Includes biography, sound files, catalog, and scores. [English and Russian]", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.zemlinski.ru/"} +{"d:Title": "Zahra, Ruban", "d:Description": "Includes projects and concerts.", "topic": "Top/Arts/Music/Composition/Composers/Contemporary/Z", "url": "http://www.rubenzahra.com/"} +{"d:Title": "Armand-Louis Couperin", "d:Description": "Portrait and biography.", "topic": "Top/Arts/Music/Composition/Composers/Couperin_Family", "url": "http://sgorgue.free.fr/english/alcoup_e.php"} +{"d:Title": "The Couperin Family", "d:Description": "Family tree and brief profiles of family members, nearly all of whom served as organist at Ste-Gervais in Paris.", "topic": "Top/Arts/Music/Composition/Composers/Couperin_Family", "url": "http://sgorgue.free.fr/english/coupid_e.php"} +{"d:Title": "Andreas Daams", "d:Description": "Brief biographical note, list of some works in print from Copy-US.", "topic": "Top/Arts/Music/Composition/Composers/D/Daams,_Andreas", "url": "http://www.copy-us.com/?composer=daams&lang=en"} +{"d:Title": "Ben Daglish: OverClocked ReMix 3", "d:Description": "Original game music in SID format with remixes in MP3.", "topic": "Top/Arts/Music/Composition/Composers/D/Daglish,_Ben", "url": "http://ocremix.org/artist/31/ben-daglish"} +{"d:Title": "Ben Daglish", "d:Description": "Official site. Biography, comments on composing for video games, music samples in MIDI format, links, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/D/Daglish,_Ben", "url": "http://www.ben-daglish.net/"} +{"d:Title": "HOASM: Jean-Fran\u00e7ois Dandrieu", "d:Description": "Biography from Hoasm.", "topic": "Top/Arts/Music/Composition/Composers/D/Dandrieu,_Jean-Fran\u00e7ois", "url": "http://www.hoasm.org/VIIB/Dandrieu.html"} +{"d:Title": "Kunst der Fuge | Jean-Fran\u00e7ois Dandrieu", "d:Description": "Complete MIDI files collection (free downloadable) of the harpsichord Suites and the Magnificat settings.", "topic": "Top/Arts/Music/Composition/Composers/D/Dandrieu,_Jean-Fran\u00e7ois", "url": "http://www.kunstderfuge.com/dandrieu.htm"} +{"d:Title": "Classical Archives: Alexander Sergeyevich Dargom\u00efzhsky", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings by featured artist.", "topic": "Top/Arts/Music/Composition/Composers/D/Dargomyzhsky,_Alexander_Sergeyevich", "url": "http://www.classicalarchives.com/composer/14117.html"} +{"d:Title": "Miscellaneous Songs by Dargomyzhsky", "d:Description": "Alphabetical list, many with lyrics, some with English translations.", "topic": "Top/Arts/Music/Composition/Composers/D/Dargomyzhsky,_Alexander_Sergeyevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3821"} +{"d:Title": "Ivor Darreg and Xenharmonics: Perfect Sound Forever", "d:Description": "Biographical material, photograph, and details of his theories and contributions to electronic music and instruments.", "topic": "Top/Arts/Music/Composition/Composers/D/Darreg,_Ivor", "url": "http://www.furious.com/perfect/xenharmonics.html"} +{"d:Title": "Ivor Darreg", "d:Description": "Brief biography and summary of major musical contributions from Frog Peak Music.", "topic": "Top/Arts/Music/Composition/Composers/D/Darreg,_Ivor", "url": "http://www.frogpeak.org/fpartists/fpdarreg.html"} +{"d:Title": "G\u00e1bor Darvas (1911-1985)", "d:Description": "Work list, biography and picture with information in English, German, and Hungarian.", "topic": "Top/Arts/Music/Composition/Composers/D/Darvas,_G\u00e1bor", "url": "http://www.darvas.de/gd/"} +{"d:Title": "G\u00e1bor Darvas", "d:Description": "Wikipedia article with summary of life and works, photograph, internal references to related topics and people, and links.", "topic": "Top/Arts/Music/Composition/Composers/D/Darvas,_G\u00e1bor", "url": "http://en.wikipedia.org/wiki/G%C3%A1bor_Darvas"} +{"d:Title": "F\u00e9licien-C\u00e9sar David", "d:Description": "Commentary and biography emphasizing his influence on the oriental movement in western music.", "topic": "Top/Arts/Music/Composition/Composers/D/David,_F\u00e9licien-C\u00e9sar", "url": "http://musicalics.com/en/node/79416"} +{"d:Title": "F\u00e9licien David", "d:Description": "Listing at the Lied and Art Songs Text Page with French lyrics and some English translations.", "topic": "Top/Arts/Music/Composition/Composers/D/David,_F\u00e9licien-C\u00e9sar", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3825"} +{"d:Title": "F\u00e9licien David (1810-1876)", "d:Description": "Brief biography with commentary on his operatic, vocal, and choral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/David,_F\u00e9licien-C\u00e9sar", "url": "http://www.naxos.com/person/Felicien_David/27147.htm"} +{"d:Title": "American Composers Orchestra: Mario Davidovsky", "d:Description": "Presents a short biography.", "topic": "Top/Arts/Music/Composition/Composers/D/Davidovsky,_Mario", "url": "http://www.americancomposers.org/sa98bios.htm#Davidovsky"} +{"d:Title": "Gallery 41 Presents Anthony Davis", "d:Description": "Portions of a recorded interview with the composer in Real Audio RAM format.", "topic": "Top/Arts/Music/Composition/Composers/D/Davis,_Anthony", "url": "http://www.gallery41.com/JazzArtists/AnthonyDavis.htm"} +{"d:Title": "Anthony Davis", "d:Description": "Biography, works list, selected discography, reviews, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/D/Davis,_Anthony", "url": "http://www.musicsalesclassical.com/composer/short-bio/Anthony-Davis"} +{"d:Title": "Peter Davison", "d:Description": "Official site. Showcase with RAM and MP3 audio samples. Includes his Adagio Series of CDs as well as other New Age music and soundtracks for film and television.", "topic": "Top/Arts/Music/Composition/Composers/D/Davison,_Peter", "url": "http://peterdavison.com/"} +{"d:Title": "Peter Davison", "d:Description": "Filmography showing themes and other contributions to television and cinema from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/D/Davison,_Peter", "url": "http://www.imdb.com/name/nm1684691/"} +{"d:Title": "Astrocartography of Claude Debussy", "d:Description": "Biography of Claude Debussy, focus on how the planetary metaphor of Pluto was reflected in his life and work. By renowned astrocartographer Rob Couteau.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.dominantstar.com/b_deb.htm"} +{"d:Title": "Claude Debussy - an overview of the classical composer", "d:Description": "This is the mfiles page for Claude Debussy, with biography and links to related composers, plus some examples of Sheet Music, MIDI and MP3 files.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.mfiles.co.uk/Composers/Claude-Debussy.htm"} +{"d:Title": "Classical Music Archives: Debussy", "d:Description": "Over 210 complete works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music. Timelines, search engine.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.classicalarchives.com/debussy.html"} +{"d:Title": "Claude Debussy", "d:Description": "Biographical outline, portrait photographs, pictures of his tomb, cemetery links, and message board from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5478&pt=Claude+Debussy"} +{"d:Title": "Classic Cat: Debussy", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.classiccat.net/debussy_c/"} +{"d:Title": "Kunst der Fuge | Claude Debussy", "d:Description": "Complete collection of piano music in MIDI files (free downloadable with no download limits), and WMA/MP3 files from featured Artists.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.kunstderfuge.com/debussy.htm"} +{"d:Title": "Claude Debussy: The Painterly Composer", "d:Description": "Brief biography, suggested reading, timeline, key works, recommended recordings, additional resources, quotes, historical and societal context, and portrait. From Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=62"} +{"d:Title": "Claude Debussy", "d:Description": "Filmography with links to movies using his music, biographical material, and links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.imdb.com/name/nm0006033/"} +{"d:Title": "Claude Debussy (1862-1918)", "d:Description": "Songs and cycles with texts in French, many also having English, Italian an/or German translations from REC Music.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=658"} +{"d:Title": "Debussy, Claude (1862-1918)", "d:Description": "Brief biographical sketch, comments on operas, orchestral, chamber, vocal, and piano music, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.naxos.com/person/Claude_Debussy_27153/27153.htm"} +{"d:Title": "Classical Net - Basic Repertoire List - Debussy", "d:Description": "Select discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Debussy,_Claude-Achille", "url": "http://www.classical.net/music/comp.lst/debussy.php"} +{"d:Title": "DeCesare, Stephen", "d:Description": "Biography, catalog, discography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/D/DeCesare,_Stephen", "url": "http://www.sdecesare.com/"} +{"d:Title": "Edmond Dede (1827-1903)", "d:Description": "The life and music an African American composer, conductor and \"Creole Romantic\" who fled racism in New Orleans and lived in exile in France.", "topic": "Top/Arts/Music/Composition/Composers/D/D\u00e9d\u00e9,_Edmond", "url": "http://chevalierdesaintgeorges.homestead.com/dede.html"} +{"d:Title": "French Creoles | Edmonde Dede", "d:Description": "Illustrated biography from frenchcreoles.com growing out of his New Orleans roots.", "topic": "Top/Arts/Music/Composition/Composers/D/D\u00e9d\u00e9,_Edmond", "url": "http://www.frenchcreoles.com/MusicEvents/edmonddede/edmonddede.htm"} +{"d:Title": "Dede, Edmond (1827-1901)", "d:Description": "Biographical sketch focuses on his move to France. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/D\u00e9d\u00e9,_Edmond", "url": "http://www.naxos.com/person/Edmond_Dede/22542.htm"} +{"d:Title": "Grave of Leo Delibes", "d:Description": "Picture of tomb from Find A Grave with links to other notables buried in the Cimetiere de Montmartre. Includes option to leave a note and virtual flowers in his memory.", "topic": "Top/Arts/Music/Composition/Composers/D/Delibes,_Cl\u00e9ment_Philibert_L\u00e9o", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6798"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/D/Delibes,_Cl\u00e9ment_Philibert_L\u00e9o", "url": "http://www.classiccat.net/delibes_l/"} +{"d:Title": "Classical Archives: L\u00e9o Delibes", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Delibes,_Cl\u00e9ment_Philibert_L\u00e9o", "url": "http://www.classicalarchives.com/composer/6762.html"} +{"d:Title": "Delibes, Leo (1836-1891)", "d:Description": "Brief biographical sketch, caricature, with summaries of his ballets and operas and a Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Delibes,_Cl\u00e9ment_Philibert_L\u00e9o", "url": "http://www.naxos.com/person/Leo_Delibes/27154.htm"} +{"d:Title": "L\u00e9o Delibes", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/D/Delibes,_Cl\u00e9ment_Philibert_L\u00e9o", "url": "http://www.imdb.com/name/nm0217153/"} +{"d:Title": "L\u00e9o Delibes (1836-1891)", "d:Description": "Alphabetical list of art songs, some with French texts and a few with English translations.", "topic": "Top/Arts/Music/Composition/Composers/D/Delibes,_Cl\u00e9ment_Philibert_L\u00e9o", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3849"} +{"d:Title": "L\u00e9o Delibes (1836-1891)", "d:Description": "Classical Net's Basic Repertoire List entry with photograph and suggested discography of selected works.", "topic": "Top/Arts/Music/Composition/Composers/D/Delibes,_Cl\u00e9ment_Philibert_L\u00e9o", "url": "http://www.classical.net/music/comp.lst/delibes.php"} +{"d:Title": "Frederick Delius", "d:Description": "Biography, work list, bibliography, discography. Official site of the Delius Trust and the Delius Society.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.delius.org.uk/"} +{"d:Title": "Frederick Delius", "d:Description": "Work list, bibliography, life (in Japanese), MIDI files, discography, links.", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.zephyr.dti.ne.jp/~nishis/delius/"} +{"d:Title": "Fredrick Delius", "d:Description": "Biography, CD reviews, work list, links.", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.steenslid.com/music/delius/"} +{"d:Title": "Recollections of Emotion in Tranquillity: The Music of Delius", "d:Description": "Biography, suggested reading, timeline, key works, recommended recordings, additional resources, quotes, historical and societal context, and portrait from Heart's Ease.", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=59"} +{"d:Title": "Classical Archives: Frederick Delius", "d:Description": "Biography from the Concise Oxford Dictionary of Music and an illustrated biography from D.Barg. Complete files offered in MIDI formats.", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.classicalarchives.com/composer/2411.html"} +{"d:Title": "Delius, Frederick (1862 - 1934)", "d:Description": "Brief biographical sketch, notes on stage, orchestral, and choral works, caricature, and brief Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.naxos.com/person/Frederick_Delius/27155.htm"} +{"d:Title": "Frederick Delius", "d:Description": "Filmography with biographical sketch, movies using his music, and link to Ken Russell film based on the last five years of his life from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.imdb.com/name/nm0217235/"} +{"d:Title": "Frederick Delius (1862-1934)", "d:Description": "Miscellaneous art songs and cycles, most in English or with English translation from REC Music. Two cycles in Norwegian.", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=669"} +{"d:Title": "Classical Net - Basic Repertoire List - Delius", "d:Description": "Biography, select discography, links.", "topic": "Top/Arts/Music/Composition/Composers/D/Delius,_Frederick_Theodore_Albert", "url": "http://www.classical.net/music/comp.lst/delius.php"} +{"d:Title": "Dello Joio, Norman (1913 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/D/Dello_Joio,_Norman", "url": "http://stevenestrella.com/composers/composerfiles/delloliving.html"} +{"d:Title": "Norman Dello Joio", "d:Description": "Filmography noting the use of his works in cinema and television from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/D/Dello_Joio,_Norman", "url": "http://www.imdb.com/name/nm0426998/"} +{"d:Title": "Norman Dello Joio", "d:Description": "Information about him and his operatic works from US Opera. Includes synopsis, casting, and performance history for The Ruby.", "topic": "Top/Arts/Music/Composition/Composers/D/Dello_Joio,_Norman", "url": "http://usopera.com/composers/dellojoio.html"} +{"d:Title": "Norman Dello Joio", "d:Description": "Essential works, biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/D/Dello_Joio,_Norman", "url": "http://musicalics.com/en/node/79433"} +{"d:Title": "Norman Dello Joio", "d:Description": "Entry at the Lied and Art Songs Text Pages with list of vocal works.", "topic": "Top/Arts/Music/Composition/Composers/D/Dello_Joio,_Norman", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3851"} +{"d:Title": "The Classical Free-Reed, Inc. CD Review of An Alice Symphony", "d:Description": "Review by Gregory A. Vozar and comments by the accordion player on the recording.", "topic": "Top/Arts/Music/Composition/Composers/D/Del_Tredici,_David", "url": "http://www.ksanti.net/free-reed/reviews/alicesym.html"} +{"d:Title": "Cleveland Scene Online: Sex and the Single Vampire", "d:Description": "Includes a photograph and a discussion of the composer's \"Dracula\" by Michael Gill.", "topic": "Top/Arts/Music/Composition/Composers/D/Del_Tredici,_David", "url": "http://www.clevescene.com/cleveland/sex-and-the-single-vampire/Content?oid=1472836"} +{"d:Title": "Kalvos&Damian: David Del Tredici", "d:Description": "Includes photographs and a biography.", "topic": "Top/Arts/Music/Composition/Composers/D/Del_Tredici,_David", "url": "http://kalvos.org/deltred.html"} +{"d:Title": "Dempster, Stuart", "d:Description": "Faculty page from the University of Washington. Includes pictures, biography, discography and sound massage parlor including a discussion of \"healing\" music.", "topic": "Top/Arts/Music/Composition/Composers/D/Dempster,_Stuart", "url": "http://faculty.washington.edu/dempster/"} +{"d:Title": "Andr\u00e9-Cardinal Destouches", "d:Description": "Music and life, from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/D/Destouches,_Andr\u00e9_Cardinal", "url": "http://musicalics.com/en/node/79446"} +{"d:Title": "Dett", "d:Description": "Biography, some publications and CDs.", "topic": "Top/Arts/Music/Composition/Composers/D/Dett,_Robert_Nathaniel", "url": "http://chevalierdesaintgeorges.homestead.com/Dett.html"} +{"d:Title": "Nathaniel Dett", "d:Description": "Brief filmography and biographical sketch from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/D/Dett,_Robert_Nathaniel", "url": "http://www.imdb.com/name/nm0221938/"} +{"d:Title": "R. Nathaniel Dett", "d:Description": "Listing including text from the Lied and Art Song Text Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/D/Dett,_Robert_Nathaniel", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3865"} +{"d:Title": "Classical Archives: Fran\u00e7ois Devienne", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Devienne,_Fran\u00e7ois", "url": "http://www.classicalarchives.com/composer/2423.html"} +{"d:Title": "Francois Devienne", "d:Description": "Brief biography emphasizing his abilities as flutist and noting the large number of wind pieces he wrote.", "topic": "Top/Arts/Music/Composition/Composers/D/Devienne,_Fran\u00e7ois", "url": "http://www.flutepage.de/deutsch/flutists/person.php?id=42&englisch=true"} +{"d:Title": "Fran\u00e7ois Devienne", "d:Description": "Available sheet music. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/D/Devienne,_Fran\u00e7ois", "url": "http://musicalics.com/en/node/79447"} +{"d:Title": "Fr\u00e9d\u00e9ric Devreese", "d:Description": "Brief biography and contact information with selected works list including stage, orchestral, chamber, choral, and piano music as well as film scores. From The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/D/Devreese,_Fr\u00e9d\u00e9ric", "url": "http://composers21.com/compdocs/devreesf.htm"} +{"d:Title": "Fr\u00e9d\u00e9ric Devreese: Piano Concerti", "d:Description": "Classical Net review of Daniel Blumenthal's recording of the 2nd, 3rd, and 4th concertos with Devreese conducting.", "topic": "Top/Arts/Music/Composition/Composers/D/Devreese,_Fr\u00e9d\u00e9ric", "url": "http://www.classical.net/music/recs/reviews/m/mpl23505a.html"} +{"d:Title": "Fr\u00e9d\u00e9ric Devreese", "d:Description": "Cypr\u00e8s Records biography with comments on his eclecticism. Includes photograph.", "topic": "Top/Arts/Music/Composition/Composers/D/Devreese,_Fr\u00e9d\u00e9ric", "url": "http://www.cypres-records.com/index.php?option=com_phpshop&page=shop.artists_details&artist_id=20&Itemid=26&lang=en"} +{"d:Title": "Fr\u00e9d\u00e9ric Devreese", "d:Description": "Filmography at IMDb with list of composer and actor credits.", "topic": "Top/Arts/Music/Composition/Composers/D/Devreese,_Fr\u00e9d\u00e9ric", "url": "http://www.imdb.com/name/nm0222954/"} +{"d:Title": "Fr\u00e9d\u00e9ric Devreese (1929-)", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Devreese,_Fr\u00e9d\u00e9ric", "url": "http://www.naxos.com/person/Frederic_Devreese/22770.htm"} +{"d:Title": "Devreese, Godfried (1893-1972)", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Devreese,_Godfried", "url": "http://www.naxos.com/person/Godfried_Devreese/27157.htm"} +{"d:Title": "Diabelli, Anton (1781 - 1858), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/D/Diabelli,_Anton", "url": "http://stevenestrella.com/composers/composerfiles/diabelli1858.html"} +{"d:Title": "Anton Diabelli", "d:Description": "Wikipedia article with biography, including special emphasis on the Variations and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/D/Diabelli,_Anton", "url": "http://en.wikipedia.org/wiki/Anton_Diabelli"} +{"d:Title": "Antonio Diabelli", "d:Description": "Find A Grave biographical sketch with portrait and photographs of his tombstone plus interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/D/Diabelli,_Anton", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=19912&pt=Antonio+Diabelli"} +{"d:Title": "Classical Archives: Anton Diabelli", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Diabelli,_Anton", "url": "http://www.classicalarchives.com/composer/13366.html"} +{"d:Title": "Anton Diabelli", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/D/Diabelli,_Anton", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3869"} +{"d:Title": "American Composers Orchestra - SoundAdvice Nov. 1, 1998", "d:Description": "Remarks concerning 'Symphony No. 2' from the audience at the orchestra's concert on November 1, 1998.", "topic": "Top/Arts/Music/Composition/Composers/D/Diamond,_David_Leo", "url": "http://www.americancomposers.org/advice19981101c.htm#DIAMOND"} +{"d:Title": "Unsung Songs", "d:Description": "Review of the song 'David Mourns for Absalom.'", "topic": "Top/Arts/Music/Composition/Composers/D/Diamond,_David_Leo", "url": "http://www.lawrence.edu/fac/koopmajo/diamond.html"} +{"d:Title": "David Diamond", "d:Description": "Filmography with music and writing credits, awards, biography, and related material from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/D/Diamond,_David_Leo", "url": "http://www.imdb.com/name/nm0224600/"} +{"d:Title": "Musicalics: David Diamond", "d:Description": "Life and works.", "topic": "Top/Arts/Music/Composition/Composers/D/Diamond,_David_Leo", "url": "http://musicalics.com/en/node/79452"} +{"d:Title": "David Diamond (1915-)", "d:Description": "List of miscellaneous songs and song cycles from REC Music, some with texts.", "topic": "Top/Arts/Music/Composition/Composers/D/Diamond,_David_Leo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3870"} +{"d:Title": "John Dickson Music", "d:Description": "Official site. Biography, resume, professional affiliations, music samples in MOV format, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/D/Dickson,_John", "url": "http://www.johndicksonmusic.com/"} +{"d:Title": "John Dickson", "d:Description": "Filmography at IMDb with compositional and orchestrator credits.", "topic": "Top/Arts/Music/Composition/Composers/D/Dickson,_John", "url": "http://www.imdb.com/name/nm0225669/"} +{"d:Title": "Zade Dirani", "d:Description": "Biography, house concerts, workshops, tour schedule, news, photographs, Jordanian Musician Fund, links, contact information, and guest book.", "topic": "Top/Arts/Music/Composition/Composers/D/Dirani,_Zade", "url": "http://www.zade.com/"} +{"d:Title": "Hugo Distler", "d:Description": "Transcript and RM audio of John H. Lienhard's exploration of his life and music for the Houston radio program Engines of Our Ingenuity.", "topic": "Top/Arts/Music/Composition/Composers/D/Distler,_Hugo", "url": "http://www.uh.edu/engines/epi1584.htm"} +{"d:Title": "Incidental Music by Hugo Distler Discovered", "d:Description": "News release regarding the long-lost score commissioned for Ludwig Tieck's fairytale drama Bluebeard.", "topic": "Top/Arts/Music/Composition/Composers/D/Distler,_Hugo", "url": "http://www.musicassociatesofamerica.com/news/distler.html"} +{"d:Title": "Hugo Distler (1908-1942)", "d:Description": "Miscellaneous Lieder from recmusic.org including song cycle and individual songs, many with German texts and most also with English translations.", "topic": "Top/Arts/Music/Composition/Composers/D/Distler,_Hugo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3889"} +{"d:Title": "Carl [Karl] Ditters von Dittersdorf", "d:Description": "Portrait, biography, and partial discography from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/D/Dittersdorf,_Carl_Ditters_von", "url": "http://www.hoasm.org/XIIC/Dittersdorf.html"} +{"d:Title": "Dittersdorf, Carl Ditters von (1739-1799)", "d:Description": "Brief biography, caricature, summaries of stage, vocal and choral, orchestral, and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dittersdorf,_Carl_Ditters_von", "url": "http://www.naxos.com/person/Carl_Ditters_von_Dittersdorf/27161.htm"} +{"d:Title": "Dittersdorf", "d:Description": "Recommended recordings and portrait from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/D/Dittersdorf,_Carl_Ditters_von", "url": "http://www.classical.net/music/comp.lst/dittersdorf.php"} +{"d:Title": "Michael Andrew Doherty: Composer and Musicker", "d:Description": "Official site. Biography, recent works, theater sound design, news, reviews, MP3 audio samples, order information, and contact details.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/D/Doherty,_Michael_Andrew", "url": "http://www.michaeladoherty.com/"} +{"d:Title": "Dohn\u00e1nyi, Ern\u00f6 (1877 - 1960), Hungary", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/D/Dohn\u00e1nyi,_Ern\u00f6", "url": "http://www.stevenestrella.com/composers/index.html?composerfiles/dohnanyi1960.html"} +{"d:Title": "Dohnanyi, Erno (1877-1960)", "d:Description": "Caricature and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dohn\u00e1nyi,_Ern\u00f6", "url": "http://www.naxos.com/person/Erno_Dohnanyi_15904/15904.htm"} +{"d:Title": "Ern\u00f5 (Ernst von) Dohn\u00e1nyi", "d:Description": "Listing of songs and song cycles, some with German texts from the REC Music Foundation.", "topic": "Top/Arts/Music/Composition/Composers/D/Dohn\u00e1nyi,_Ern\u00f6", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3894"} +{"d:Title": "Gaetano Donizetti's opera Anna Bolena", "d:Description": "Plot summary.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.johnrpierce.com/abpsone.html"} +{"d:Title": "Gaetano Donizetti", "d:Description": "Biography, portrait, pictures of his tomb at the Basilica of Santa Maria Maggiore, Bergamo, Italy, and interactive homages.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=18839&pt=Gaetano+Donizetti"} +{"d:Title": "Donizetti Society", "d:Description": "The Donizetti Society aims to promote interest, learning and understanding in the works of Gaetano Donizetti and the operatic life of his times. Portrait, biography, compositions, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.donizettisociety.com/"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.classiccat.net/donizetti_g/"} +{"d:Title": "Gaetano Donizetti", "d:Description": "Wikipedia article with list of operas, including some with extended plot summaries and casting information.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://en.wikipedia.org/wiki/Gaetano_Donizetti"} +{"d:Title": "Classical Archives: Gaetano Donizetti", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.classicalarchives.com/composer/2440.html"} +{"d:Title": "Gaetano Donizetti", "d:Description": "Filmography listing almost 80 movies using or based upon his works from the Internet Movie Database (IMDB).", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.imdb.com/name/nm0006044/"} +{"d:Title": "Gaetano Donizetti (1797-1848)", "d:Description": "Entry at the Lied and Art Songs Text Pages with list of vocal works linked to Italian lyrics, many also having English translations.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3898"} +{"d:Title": "Donizetti, Gaetano (1797-1848)", "d:Description": "Brief biographical sketch, summary of operas, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.naxos.com/person/Gaetano_Donizetti/26004.htm"} +{"d:Title": "Gaetano Donizetti", "d:Description": "Classical Net's Basic Repertoire summary of recommended opera recordings.", "topic": "Top/Arts/Music/Composition/Composers/D/Donizetti,_Domenico_Gaetano_Maria", "url": "http://www.classical.net/music/comp.lst/donizetti.php"} +{"d:Title": "John Dowland", "d:Description": "Find A Grave listing of the \"Melancholy Madrigalist\" with biographical details, portrait, picture of burial area in London, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/D/Dowland,_John", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9931745&pt=John+Dowland"} +{"d:Title": "John Dowland", "d:Description": "Wikipedia article showing his religious-based employment struggles, affinity for the lute, usually doleful style, and appearances in the science fiction of Philip K. Dick. Includes internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/D/Dowland,_John", "url": "http://en.wikipedia.org/wiki/John_Dowland"} +{"d:Title": "Classical Archives: John Dowland", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Dowland,_John", "url": "http://www.classicalarchives.com/composer/2443.html"} +{"d:Title": "John Dowland", "d:Description": "Filmography from the Internet Movie Database (IMDB) noting movies using his music.", "topic": "Top/Arts/Music/Composition/Composers/D/Dowland,_John", "url": "http://www.imdb.com/name/nm0235792/"} +{"d:Title": "John Dowland", "d:Description": "Detailed biography from CD liner notes focused on his works for lute and his struggles for patronage and acceptance. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/D/Dowland,_John", "url": "http://musicalics.com/en/node/79476"} +{"d:Title": "John Dowland (1562-1626)", "d:Description": "Entry at the Lied and Art Songs Text Page with listing of vocal works and lyrics.", "topic": "Top/Arts/Music/Composition/Composers/D/Dowland,_John", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3905"} +{"d:Title": "John Dowland", "d:Description": "Brief biographical sketch, caricature, summaries of his vocal and lute works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dowland,_John", "url": "http://www.naxos.com/person/26007.htm"} +{"d:Title": "Andrew Downes", "d:Description": "Official site. Biography, photograph, critical appraisals, sound samples of selected works, and links to more about himself plus sites of general musical interest.", "topic": "Top/Arts/Music/Composition/Composers/D/Downes,_Andrew", "url": "http://www.users.globalnet.co.uk/~downlyn/"} +{"d:Title": "Andrew Downes", "d:Description": "Wikipedia article with detailed biography summarizing his varied works with internal references to related topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/D/Downes,_Andrew", "url": "http://en.wikipedia.org/wiki/Andrew_Downes"} +{"d:Title": "Andrew Downes", "d:Description": "Extended critical analysis of his works with personal and professional biographical details by David C. F. Wright. Includes a glowing review of the orchestral premiere of his Concerto for Four Horns and Orchestra.", "topic": "Top/Arts/Music/Composition/Composers/D/Downes,_Andrew", "url": "http://www.musicweb-international.com/Downes/"} +{"d:Title": "Andrew Downes", "d:Description": "Chronological list of works, biography, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/D/Downes,_Andrew", "url": "http://musicalics.com/en/node/99610"} +{"d:Title": "Andrew Downes", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/D/Downes,_Andrew", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3906"} +{"d:Title": "Felix Draeseke Home Page", "d:Description": "Official website of the Internationale Draeseke Gesellschaft and International Draeseke Society - North America. It promotes and explores his works, including illustrated biography, links, notes on music, discography, and information on Draeseke societies.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/D/Draeseke,_Felix_August_Bernhard", "url": "http://www.draeseke.org/"} +{"d:Title": "Felix (August Bernhard) Draeseke (1835-1913)", "d:Description": "Art song listing at REC Music with German text and English translation of Denk es, o Seele!", "topic": "Top/Arts/Music/Composition/Composers/D/Draeseke,_Felix_August_Bernhard", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3908"} +{"d:Title": "Domenico Dragonetti", "d:Description": "Biography and information on Dragonetti's bass.", "topic": "Top/Arts/Music/Composition/Composers/D/Dragonetti,_Domenico", "url": "http://bottesini.com/alife/gbdragon.html"} +{"d:Title": "Domenico Dragonetti", "d:Description": "Picture of Dragonetti playing, picture of the famous Gasparo da Salo bass, preserved in San Marco in Venice, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dragonetti,_Domenico", "url": "http://www.billbentgen.com/bass/players/dragonetti.htm"} +{"d:Title": "Jacob Druckman", "d:Description": "Background information from Music Associates of America with biography, photograph, and contacts.", "topic": "Top/Arts/Music/Composition/Composers/D/Druckman,_Jacob_Raphael", "url": "http://www.musicassociatesofamerica.com/roster/druckman/druckman.html"} +{"d:Title": "Jacob Druckman", "d:Description": "Biography, discography, representative pieces, complete works list, performances, and RAM audio sound samples from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/D/Druckman,_Jacob_Raphael", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2758"} +{"d:Title": "Guillaume Dufay", "d:Description": "Biography, extensive discography (Medieval.org)", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.medieval.org/emfaq/composers/dufay.html"} +{"d:Title": "Dufay, Guillaume (1397 - 1474), Belgium/France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://stevenestrella.com/composers/composerfiles/dufay1474.html"} +{"d:Title": "Guillaume Dufay", "d:Description": "Biographical sketch showing the spread of his music and the influence it exerted from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.hoasm.org/IIID/Dufay.html"} +{"d:Title": "Guillaume Dufay", "d:Description": "Outline of his life and works including developments and innovations that moved him from a Medieval to a Renaissance style. Also links to related Renaissance topics.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.yesnet.yk.ca/schools/projects/renaissance/dufay.html"} +{"d:Title": "Guillame DuFay", "d:Description": "Burial place and biographical sketch from Find A Grave. Includes interactive memorial comments.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6702911&pt=Guillame+DuFay"} +{"d:Title": "Dufay, Guillaume", "d:Description": "Biography, major works, and links to bibliographic materials, discography, and purchases from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.stevenestrella.com/composers/index.html?composerfiles/dufay1474.html"} +{"d:Title": "Guillaume Dufay", "d:Description": "Details of his life and music from Musicalics includes portrait set with Binchois and links.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://musicalics.com/en/node/79490"} +{"d:Title": "Guillaume Dufay (c1400-1474)", "d:Description": "Latin text for his setting of the Ave Regina Coelorum from the REC Music Foundation.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3919"} +{"d:Title": "Classical Net: Basic Repertoire List: Dufay", "d:Description": "Brief biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.classical.net/music/comp.lst/dufay.php"} +{"d:Title": "Guillaume Dufay (1400-1474)", "d:Description": "Brief biographical sketch, comments on religious and secular music, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dufay,_Guillaume", "url": "http://www.naxos.com/person/Guillaume_Dufay/26014.htm"} +{"d:Title": "Arthur Duff", "d:Description": "Fan site, including hypertext biography, photo gallery, audio samples, and links.", "topic": "Top/Arts/Music/Composition/Composers/D/Duff,_Arthur_Knox", "url": "http://homepage.eircom.net/~arthurduff/Homepage.htm"} +{"d:Title": "Arthur Duff", "d:Description": "Biography, list of orchestral works, photograph, and links from the Contemporary Music Centre of Ireland.", "topic": "Top/Arts/Music/Composition/Composers/D/Duff,_Arthur_Knox", "url": "https://www.cmc.ie/composers/arthur-duff"} +{"d:Title": "Paul Dukas: Villanelle", "d:Description": "Information for horn players on performing the piece with correct technique.", "topic": "Top/Arts/Music/Composition/Composers/D/Dukas,_Paul_Abraham", "url": "http://www.pizka.de/Villanelle.htm"} +{"d:Title": "Paul Dukas", "d:Description": "Find A Grave listing with cemetery information and photographs of the composer and his columbarium. Includes message forum.", "topic": "Top/Arts/Music/Composition/Composers/D/Dukas,_Paul_Abraham", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7653"} +{"d:Title": "Classical Archives: Paul Dukas", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Dukas,_Paul_Abraham", "url": "http://www.classicalarchives.com/composer/2452.html"} +{"d:Title": "Paul Dukas", "d:Description": "Filmography noting movies using his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/D/Dukas,_Paul_Abraham", "url": "http://www.imdb.com/name/nm0241152/"} +{"d:Title": "Paul Dukas", "d:Description": "Entry from Musicalics includes biographical information and links.", "topic": "Top/Arts/Music/Composition/Composers/D/Dukas,_Paul_Abraham", "url": "http://musicalics.com/en/node/79491"} +{"d:Title": "Dukas, Paul (1865-1935)", "d:Description": "Brief biographical sketch, notes on orchestral music, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dukas,_Paul_Abraham", "url": "http://www.naxos.com/person/Paul_Dukas/26016.htm"} +{"d:Title": "Duncan, Trevor (1924-)", "d:Description": "Biography with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Duncan,_Trevor", "url": "http://www.naxos.com/person/Trevor_Duncan/26017.htm"} +{"d:Title": "Trevor Duncan", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/D/Duncan,_Trevor", "url": "http://www.imdb.com/name/nm0242126/"} +{"d:Title": "Trevor Duncan", "d:Description": "Detailed biography and musicography with photograph from the Robert Farnon Society's More Legends pages.", "topic": "Top/Arts/Music/Composition/Composers/D/Duncan,_Trevor", "url": "http://www.robertfarnonsociety.org.uk/index.php/legends/trevor-duncan"} +{"d:Title": "Dunstable, John (c. 1390 - 1453), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/D/Dunstable,_John", "url": "http://stevenestrella.com/composers/composerfiles/dunstable1453.html"} +{"d:Title": "John Dunstaple", "d:Description": "Basic biography, comments on works, and extensive discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dunstable,_John", "url": "http://www.medieval.org/emfaq/composers/dunstaple.html"} +{"d:Title": "John Dunstable", "d:Description": "Life, works, influence on later composers, major features of compositions, and recommended recording. From Digital Daydreams.", "topic": "Top/Arts/Music/Composition/Composers/D/Dunstable,_John", "url": "http://www.digital-daydreams.com/enc/composers/show_composer.php?id=43"} +{"d:Title": "Classical Archives: John Dunstable", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Dunstable,_John", "url": "http://www.classicalarchives.com/composer/2454.html"} +{"d:Title": "Dunstable, John (139?-1453)", "d:Description": "Brief biographical sketch, comments on church and secular music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dunstable,_John", "url": "http://www.naxos.com/person/John_Dunstable/24562.htm"} +{"d:Title": "Henri Duparc", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/D/Duparc,_Marie_Eug\u00e8ne_Henri_Foques", "url": "http://www.classiccat.net/duparc_h/"} +{"d:Title": "Duparc, Marie Eugene Henri Fouques", "d:Description": "Brief biography and list of extant works from the Gilder-MusicWeb Dictionary of composers.", "topic": "Top/Arts/Music/Composition/Composers/D/Duparc,_Marie_Eug\u00e8ne_Henri_Foques", "url": "http://www.musicweb-international.com/Classpedia/Duparc.htm"} +{"d:Title": "Henri Duparc", "d:Description": "Brief filmography noting the use of his music in the movies from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/D/Duparc,_Marie_Eug\u00e8ne_Henri_Foques", "url": "http://www.imdb.com/name/nm1329864/"} +{"d:Title": "Henri Duparc (1848-1933)", "d:Description": "Miscellaneous songs with French texts, some having English or German translations, from REC Music Foundation.", "topic": "Top/Arts/Music/Composition/Composers/D/Duparc,_Marie_Eug\u00e8ne_Henri_Foques", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3926"} +{"d:Title": "Duparc, Henri (1848-1933)", "d:Description": "Brief biographical sketch, caricature, comments on his vocal music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Duparc,_Marie_Eug\u00e8ne_Henri_Foques", "url": "http://www.naxos.com/person/Henri_Duparc/24563.htm"} +{"d:Title": "Dupre, Marcel (1886 - 1971)", "d:Description": "Brief biographical sketch centered on his organ-playing and compositions with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dupr\u00e9,_Marcel", "url": "http://www.naxos.com/person/Marcel_Dupre/26018.htm"} +{"d:Title": "Jean Baptiste Edouard Dupuy, Composer (c. 1770-1822)", "d:Description": "Brief biography, illustration, and discography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/D/Dupuy,_Jean_Baptiste_Edouard", "url": "http://www.dacapo-records.dk/en/artist-edouard-dupuy.aspx"} +{"d:Title": "The Durante Project", "d:Description": "Biography, articles, repertoire list and editions related to the 18th century Neapolitan teacher and composer Francesco Durante. Designed to be scholarly yet to also showcase his music to the general public.", "topic": "Top/Arts/Music/Composition/Composers/D/Durante,_Pasquale_Francesco", "url": "http://www.duranteproject.com/"} +{"d:Title": "Francesco Durante", "d:Description": "Biography, early influences, related artists, period information, Neapolitan School details, and partial discography from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/D/Durante,_Pasquale_Francesco", "url": "http://www.hoasm.org/VIIIB/Durante.html"} +{"d:Title": "Francesco Durante", "d:Description": "Biography showing his compositional and teaching abilities, related composers, students and others influenced by him, summary of works, commentary on La Pazzia, and discography from Promozione e Produzione Music Publishers.", "topic": "Top/Arts/Music/Composition/Composers/D/Durante,_Pasquale_Francesco", "url": "http://www.ppmusic.com/music/comp03.htm"} +{"d:Title": "Classical Archives: Francesco Durante", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Durante,_Pasquale_Francesco", "url": "http://www.classicalarchives.com/composer/2459.html"} +{"d:Title": "Francesco Durante (1684-1755)", "d:Description": "List of art songs with Italian texts, some English translations, from REC Music Foundation.", "topic": "Top/Arts/Music/Composition/Composers/D/Durante,_Pasquale_Francesco", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3929"} +{"d:Title": "Durey, Louis (1888 - 1979), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/D/Durey,_Louis", "url": "http://www.stevenestrella.com/composers/composerfiles/durey1979.html"} +{"d:Title": "Maurice Durufl\u00e9", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/D/Durufl\u00e9,_Maurice", "url": "http://www.classiccat.net/durufle_m/"} +{"d:Title": "Maurice Durufl\u00e9", "d:Description": "Wikipedia article showing religious orientation of his few extant works, extolling his organist abilities, and linking to related topics.", "topic": "Top/Arts/Music/Composition/Composers/D/Durufl\u00e9,_Maurice", "url": "http://en.wikipedia.org/wiki/Maurice_Durufl%C3%A9"} +{"d:Title": "Maurice Durufl\u00e9", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to French lyrics.", "topic": "Top/Arts/Music/Composition/Composers/D/Durufl\u00e9,_Maurice", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=7249"} +{"d:Title": "Durufle, Maurice (1902-1986)", "d:Description": "Brief biographical sketch, summaries of organ and church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Durufl\u00e9,_Maurice", "url": "http://www.naxos.com/person/Maurice_Durufle/26020.htm"} +{"d:Title": "Durufl\u00e9", "d:Description": "Basic repertoire list from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/D/Durufl\u00e9,_Maurice", "url": "http://www.classical.net/music/comp.lst/durufle.php"} +{"d:Title": "Jan Ladislav Dussek (Johann Ludwig Dusik)", "d:Description": "Biography from Here Of A Sunday Morning the radio program with related composer links and background material from the Austria of his day.", "topic": "Top/Arts/Music/Composition/Composers/D/Dussek,_Jan_Ladislav", "url": "http://www.hoasm.org/XIIC/Dussek.html"} +{"d:Title": "Classical Archives: Jan Ladislav Dussek", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/D/Dussek,_Jan_Ladislav", "url": "http://www.classicalarchives.com/composer/13746.html"} +{"d:Title": "Jan Ladislav Dus\u00edk (Dussek)", "d:Description": "Music and life from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/D/Dussek,_Jan_Ladislav", "url": "http://musicalics.com/en/node/79506"} +{"d:Title": "The Dvo\u0159\u00e1k Society", "d:Description": "Devoted to Czech and Slovak composers and performers past and present with newsletter archives, organization and membership information, and links. Hosted by MusicWeb.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.dvorak-society.org/"} +{"d:Title": "Antonin Dvorak", "d:Description": "Birth and death dates, portrait photograph, and two pictures each of his tomb in Prague and memorial bust in New York City from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2220&pt=Antonin+Dvorak"} +{"d:Title": "Anton\u00edn Dvor\u00e1k", "d:Description": "Directory of MP3 files that have been made available by the performing artists on Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.classiccat.net/dvorak_a/"} +{"d:Title": "Antonin Dvo\u0159\u00e1k", "d:Description": "Many piano, chamber and orchestra compositions in MIDI files (free downloadable with no download limits). Includes photograph and links from Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.kunstderfuge.com/dvorak.htm"} +{"d:Title": "Antonin Dvor\u00e1k: From Bohemia to New York", "d:Description": "Biography, suggested reading, timeline, key works, recommended recordings, composer quotes, and suggested further resources.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=55"} +{"d:Title": "Antonin Dvorak", "d:Description": "Overview of the classical composer, with biography, major works and influences, and sheet music and sound files from his New World Symphony.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.mfiles.co.uk/composers/Antonin-Dvorak.htm"} +{"d:Title": "Classical Archives: Anton\u00edn Dvo\u0159\u00e1k", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.classicalarchives.com/composer/2466.html"} +{"d:Title": "Anton\u00edn Dvor\u00e1k", "d:Description": "Filmography at IMDb with list of movies and television programs using or based on his music. Includes brief biography and summary of his works.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.imdb.com/name/nm0006053/"} +{"d:Title": "Dvorak, Antonin (1841-1904)", "d:Description": "Biography, caricature, summaries of orchestral, piano, chamber, operatic, and vocal music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.naxos.com/person/Antonin_Dvorak/26024.htm"} +{"d:Title": "Anton\u00edn Dvo\u0159\u00e1k", "d:Description": "Brief biography, photograph, discography, recommended biographies and scores, CD reviews, and links from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/D/Dvo\u0159\u00e1k,_Anton\u00edn_Leopold", "url": "http://www.classical.net/music/comp.lst/dvorak.php"} +{"d:Title": "Vivian Ellis", "d:Description": "Filmography listing movies and television programs scored by him or using his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/E", "url": "http://www.imdb.com/name/nm0255140/"} +{"d:Title": "John Eaton", "d:Description": "(1935- ) Biography, work list, selected discography.", "topic": "Top/Arts/Music/Composition/Composers/E/Eaton,_John", "url": "http://www.musicsalesclassical.com/composer/short-bio/John-Eaton"} +{"d:Title": "Anton Eberl: Sonata, Op. 10, No. 2", "d:Description": "Comments on this possibly being the first extant clarinet sonata from the woodwind.org discussion group.", "topic": "Top/Arts/Music/Composition/Composers/E/Eberl,_Anton_Franz_Josef", "url": "http://www.woodwind.org/Databases/Logs/1999/12/000853.txt"} +{"d:Title": "Werner Egk", "d:Description": "Filmography with composing and writing credits from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/E/Egk,_Werner", "url": "http://www.imdb.com/name/nm0251045/"} +{"d:Title": "Werner Egk", "d:Description": "Biography by Peter Hopper from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/E/Egk,_Werner", "url": "http://musicalics.com/fr/compositeur/Werner-Egk"} +{"d:Title": "Einem, Gottfried von (1918 - 1996), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/E/Einem,_Gottfried_von", "url": "http://stevenestrella.com/composers/index.html?composerfiles/einem1996.html"} +{"d:Title": "Einem, Gottfried von (b. 1918)", "d:Description": "Biographical sketch with recommended Naxos recording.", "topic": "Top/Arts/Music/Composition/Composers/E/Einem,_Gottfried_von", "url": "http://www.naxos.com/person/Gottfried_von_Einem_24555/24555.htm"} +{"d:Title": "International Hanns Eisler Society", "d:Description": "(1898-1962) In German and English, the official website of the International Hanns Eisler Society, which sponsors the growing Hanns Eisler Collected Edition. Includes biography and collected works.", "topic": "Top/Arts/Music/Composition/Composers/E/Eisler,_Hanns", "url": "http://www.hanns-eisler.com/"} +{"d:Title": "Eisler, Hanns", "d:Description": "German-Austrian composer (1898-1962).", "topic": "Top/Arts/Music/Composition/Composers/E/Eisler,_Hanns", "url": "http://eislermusic.com/"} +{"d:Title": "Eisler, Hanns", "d:Description": "Dartmouth University biography focusing on politics and composition, with cinematic orchestration including collaboration with Bertolt Brecht.", "topic": "Top/Arts/Music/Composition/Composers/E/Eisler,_Hanns", "url": "http://www.dartmouth.edu/~germ43/resources/biographies/eisler-h.html"} +{"d:Title": "Eisler, Hanns (1898 - 1962), Germany", "d:Description": "Includes biographical data, recommended CDs, books and sheet music, bibliography, and link to North American Hanns Eisler Society.", "topic": "Top/Arts/Music/Composition/Composers/E/Eisler,_Hanns", "url": "http://stevenestrella.com/composers/composerfiles/eisler1962.html"} +{"d:Title": "Hanns Eisler", "d:Description": "Filmography at IMDb (Internet Movie Database).", "topic": "Top/Arts/Music/Composition/Composers/E/Eisler,_Hanns", "url": "http://www.imdb.com/name/nm0006058/"} +{"d:Title": "Classical Archives: Sir Edward Elgar", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.classicalarchives.com/composer/2480.html"} +{"d:Title": "Edward Elgar", "d:Description": "Official site of the Elgar Society, Elgar Birthplace and Elgar Foundation.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.elgar.org/"} +{"d:Title": "Elgar, Edward (1857 - 1934), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://stevenestrella.com/composers/composerfiles/elgar1934.html"} +{"d:Title": "Grave of Sir Edward Elgar", "d:Description": "Pictures from findagrave.com of the stone of the composer and his wife Caroline Alice Lady Elgar and that of their daughter Carice Irene Elgar Blake.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2337"} +{"d:Title": "Classic Cat: Elgar", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.classiccat.net/elgar_e/"} +{"d:Title": "Edward Elgar Birthplace Museum", "d:Description": "The home of the British composer responsible for such works as the Enigma Variations and Land of Hope and Glory.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.elgarfoundation.org/"} +{"d:Title": "Sir Edward Elgar: The Locomotive", "d:Description": "History of the railroad engine built by The English Electric Co. Ltd. and named after the 19th century English composer.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.trainweb.org/cfa/eddie/history.html"} +{"d:Title": "Edward Elgar", "d:Description": "Biographical information and trivia, filmography showing movies using his music (especially \"Pomp and Circumstance\" and a Ken Russell biography for the BBC, and links. From the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.imdb.com/name/nm0253365/"} +{"d:Title": "Sir Edward Elgar (1857-1934)", "d:Description": "Songs and cycles from the Lied and Art Songs Pages at REC Music with English lyrics for most works.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3963"} +{"d:Title": "Elgar, Edward (1857 - 1934)", "d:Description": "Brief biography and caricature with summary of choral, orchestral, chamber, and piano music with recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir", "url": "http://www.naxos.com/person/Edward_Elgar_26026/26026.htm"} +{"d:Title": "Music and Nationalism", "d:Description": "Essay from Fordham University's Modern History Sourcebook includes Elgar's Pomp and Circumstance March Number 1 in an examination of the relationship between various compositions and national pride.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir/Critiques", "url": "http://sourcebooks.fordham.edu/halsall/mod/NATMUSIC.asp"} +{"d:Title": "Classical Archives: Sir Edward Elgar", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir/MIDIs", "url": "http://www.classicalarchives.com/composer/2480.html"} +{"d:Title": "Elgar Weekend 1995: A Californian Makes His Pilgrimage", "d:Description": "Diary of a visit to the composer's home for the Elgar Society's annual tribute.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir/Personal_Pages", "url": "http://www-library.usc.edu/~anthonya/elgar.htm"} +{"d:Title": "Excerpt of Pomp and Circumstance for Solo Organ", "d:Description": "From vivacepress.com", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir/Specific_Works", "url": "http://www.vivacepress.com/332mus.html"} +{"d:Title": "Elgar's Enigma Variations", "d:Description": "A new book providing an in-depth look at the puzzles surrounding Elgar's much-loved work, and a convincing new solution to the enigma.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir/Specific_Works", "url": "http://elgar-enigma.tripod.com/"} +{"d:Title": "Elgar - His Music: Symphony No 3", "d:Description": "Details the work and the task of Anthony Payne of constructing it from the composer's sketches.", "topic": "Top/Arts/Music/Composition/Composers/E/Elgar,_Edward,_Sir/Specific_Works", "url": "http://www.elgar.org/3symph3.htm"} +{"d:Title": "Artists: Duke Ellington", "d:Description": "(1899-1974) Biography, discography, links, reviews, mailing list information.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://town.hall.org/radio/Kennedy/Taylor/bt_duke.html"} +{"d:Title": "Ellington, Duke (1899 - 1974), United States", "d:Description": "Biographical data and essay, recommended CDs, books and sheet music, bibliography, and link to biography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://stevenestrella.com/composers/composerfiles/ellington1974.html"} +{"d:Title": "TDES: The Duke Ellington Society", "d:Description": "Official site. Includes biographical information plus appreciation, his interpreters, sound clips, information about the society, links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://museum.media.org/duke/"} +{"d:Title": "A Duke Ellington Panorama", "d:Description": "Project of the Washington, D.C. Ellington Society. List of compositions, sessions, information on joining other societies and Love You Madly, an e-mail discussion group, glossary, time line, centennial remembrances, news, and links.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://www.depanorama.net/"} +{"d:Title": "Duke Ellington", "d:Description": "Biography, portrait photographs, pictures of his tombstone, cemetery details, and interactive homage.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=318&pt=Duke+Ellington"} +{"d:Title": "Wildness and Order in Duke Ellington", "d:Description": "A contrast of the wildness of many of his works with their underlying order using \"Happy Go Lucky Local\" as his example. By Alan Shapiro.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://www.alanshapiromusic.net/wildness_and_order_in_ellington.htm"} +{"d:Title": "Edward Kennedy \"Duke\" Ellington", "d:Description": "Wikipedia article with photographs, biographical and musicological details, OGG audio samples, and internal references to related topics and people, including other jazz \"royalty.\"", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://en.wikipedia.org/wiki/Duke_Ellington"} +{"d:Title": "Duke Ellington Legacy", "d:Description": "Tribute band headed by the jazz legend's grandson. Member biographies, educational programs, itinerary, audio samples and pictures.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://www.dukeellingtonlegacy.com/"} +{"d:Title": "Duke Ellington", "d:Description": "Biography, filmography noting movies and TV programs based on or using his works, and musician, actor, and guest appearance credits from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://www.imdb.com/name/nm0254153/"} +{"d:Title": "Edward K. \"Duke\" Ellington", "d:Description": "Biography, works, selected discography, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellington,_Duke", "url": "http://www.musicsalesclassical.com/composer/short-bio/2311"} +{"d:Title": "Vivian Ellis", "d:Description": "Biography noting incorrect birth date commonly given and showing his rise to prominence among popular composers with list of shows and reviews involving his works. By David Ades at the Robert Farnon Society.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellis,_Vivian", "url": "http://www.robertfarnonsociety.org.uk/index.php/legends/vivian-ellis"} +{"d:Title": "Vivian Ellis", "d:Description": "Official credits for composition and lyrics at the Internet Broadway Database.", "topic": "Top/Arts/Music/Composition/Composers/E/Ellis,_Vivian", "url": "https://www.ibdb.com/broadway-cast-staff/vivian-ellis-11635"} +{"d:Title": "Emmanuel, Maurice (1862 - 1938), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/E/Emmanuel,_Maurice", "url": "http://stevenestrella.com/composers/composerfiles/emmanuel1938.html"} +{"d:Title": "Emmanuel, Maurice (1862 - 1938)", "d:Description": "Brief biography with summaries of stage works and orchestral, chamber, and piano music with suggested Marco Polo recording.", "topic": "Top/Arts/Music/Composition/Composers/E/Emmanuel,_Maurice", "url": "http://www.naxos.com/person/Maurice_Emmanuel/26028.htm"} +{"d:Title": "Juan del Encina", "d:Description": "Biography showing his path through church and state careers including music and the priesthood from the Here of a Sunday Morning radio program. Includes partial discography and period material.", "topic": "Top/Arts/Music/Composition/Composers/E/Encina,_Juan_del", "url": "http://www.hoasm.org/IVL/Encina.html"} +{"d:Title": "Juan Del Encina", "d:Description": "Find A Grave listing with birth and death dates, burial place, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/E/Encina,_Juan_del", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8815377&pt=Juan+Del+Encina"} +{"d:Title": "Juan del Encina", "d:Description": "Directory of free MP3 audio files and links from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/E/Encina,_Juan_del", "url": "http://www.classiccat.net/encina_j_del/"} +{"d:Title": "Juan de la Encina", "d:Description": "Biographical article commenting on his musical and writing abilities and his priestly positions from the Catholic Encyclopedia.", "topic": "Top/Arts/Music/Composition/Composers/E/Encina,_Juan_del", "url": "http://www.newadvent.org/cathen/05411a.htm"} +{"d:Title": "Juan del Encina", "d:Description": "Summary of musical output and brief biographical sketch from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/E/Encina,_Juan_del", "url": "http://musicalics.com/fr/compositeur/Juan-del-Encina"} +{"d:Title": "George Enescu: A Fiftieth Anniversary Commemoration Through Recordings", "d:Description": "An overview of Enescu's music and its recordings. Comments, comparisons and recommendations of CDs and tapes.", "topic": "Top/Arts/Music/Composition/Composers/E/Enescu,_George", "url": "http://www.musicweb-international.com/classrev/2005/May05/Enescu_part1.htm"} +{"d:Title": "Wikipedia - George Enescu", "d:Description": "Biography and work list.", "topic": "Top/Arts/Music/Composition/Composers/E/Enescu,_George", "url": "http://en.wikipedia.org/wiki/George_Enescu"} +{"d:Title": "Georges Enesco and C\u00e9liny Chailley-Richez", "d:Description": "A page about the French pianist Chailley-Richez, who often performed with George Enescu. Contains some biographical information and a couple of images.", "topic": "Top/Arts/Music/Composition/Composers/E/Enescu,_George", "url": "http://www.baroquemusic.org/chailleyenesco.html"} +{"d:Title": "Category: Enescu, George - IMSLP", "d:Description": "Offers scores and parts from some Enescu works as PDF files for download.", "topic": "Top/Arts/Music/Composition/Composers/E/Enescu,_George", "url": "http://imslp.org/wiki/Category:Enescu,_George"} +{"d:Title": "Richard Englefield", "d:Description": "Brief life, influences, and partial works list with links. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/E/Englefield,_Richard", "url": "http://musicalics.com/en/node/101900"} +{"d:Title": "August Enna, Danish composer (1859-1939)", "d:Description": "Brief biography and photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/E/Enna,_August", "url": "http://www.dacapo-records.dk/en/artist-august-enna.aspx"} +{"d:Title": "Enna, Auguste (1859 - 1939)", "d:Description": "Brief biographical sketch and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/E/Enna,_August", "url": "http://www.naxos.com/person/Auguste_Enna/26032.htm"} +{"d:Title": "Robert Erickson", "d:Description": "Picture, biography, and lists of archived compositions and audio files from the Smith Archives at the University of Akron, Bierce Library.", "topic": "Top/Arts/Music/Composition/Composers/E/Erickson,_Robert", "url": "http://www3.uakron.edu/ssma/composers/Erickson.shtml"} +{"d:Title": "Robert Erickson", "d:Description": "Profile with photograph from Sequenza21 contemporary classical weekly.", "topic": "Top/Arts/Music/Composition/Composers/E/Erickson,_Robert", "url": "http://www.sequenza21.com/102703.html"} +{"d:Title": "Robert Erickson", "d:Description": "Wikipedia article with life, annotated works list, source material, internal references to related people and topics, and external links.", "topic": "Top/Arts/Music/Composition/Composers/E/Erickson,_Robert", "url": "http://en.wikipedia.org/wiki/Robert_Erickson"} +{"d:Title": "Robert Erickson: Pacific Sirens", "d:Description": "CD review by Classics Today.com includes comments on his \"ever-shifting aesthetic\" with largely positive feedback for the works included on the recording.", "topic": "Top/Arts/Music/Composition/Composers/E/Erickson,_Robert", "url": "http://www.classicstoday.com/review.asp?ReviewNum=7454"} +{"d:Title": "Composer Ferenc Erkel", "d:Description": "Brief biography and detailed JPG of an oil painting from the Fine Arts in Hungary searchable image collection and database.", "topic": "Top/Arts/Music/Composition/Composers/E/Erkel,_Ferenc", "url": "http://www.kfki.hu/keptar/english/g/gyorgyi/muvek/erkel.html"} +{"d:Title": "Erkel, Ferenc (1810 - 1893), Hungary", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/E/Erkel,_Ferenc", "url": "http://stevenestrella.com/composers/composerfiles/erkel1893.html"} +{"d:Title": "Grave of Ferenc Erkel", "d:Description": "Find a Grave photographs of the burial place of the composer in Budapest. Link to the cemetery shows other notables sharing his resting place.", "topic": "Top/Arts/Music/Composition/Composers/E/Erkel,_Ferenc", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9531"} +{"d:Title": "Ferenc Erkel", "d:Description": "Filmography noting the use of his works in various movies, including a production of his opera B\u00e1nk B\u00e1n, the story of his life, and a Hungarian Communist propaganda film. From the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/E/Erkel,_Ferenc", "url": "http://www.imdb.com/name/nm0259475/"} +{"d:Title": "Erkel, Ferenc (1810 - 1893)", "d:Description": "Brief biography with recommended recordings of opera transcriptions and piano chamber music from Naxos.", "topic": "Top/Arts/Music/Composition/Composers/E/Erkel,_Ferenc", "url": "http://www.naxos.com/person/Ferenc_Erkel/26033.htm"} +{"d:Title": "Pedro de Escobar", "d:Description": "Wikipedia article showing what little is known about his life plus summary of works and details of his influence and the spread of his music. Includes bibliography and internal references.", "topic": "Top/Arts/Music/Composition/Composers/E/Escobar,_Pedro_de", "url": "http://en.wikipedia.org/wiki/Pedro_de_Escobar"} +{"d:Title": "Pedro de Escobar", "d:Description": "Very brief biography placing him during the reign of Ferdinand and Isabella with link to period material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/E/Escobar,_Pedro_de", "url": "http://www.hoasm.org/IVL/Escobar.html"} +{"d:Title": "Escobar: Requiem", "d:Description": "CD review, background information on the man and his music, and related references from the Medieval Music and Arts Foundation.", "topic": "Top/Arts/Music/Composition/Composers/E/Escobar,_Pedro_de", "url": "http://www.medieval.org/emfaq/cds/vir45328.htm"} +{"d:Title": "Ga\u00e9tan Essiambre", "d:Description": "Filmography at IMDb includes music and acting credits.", "topic": "Top/Arts/Music/Composition/Composers/E/Essiambre,_Ga\u00e9tan", "url": "http://www.imdb.com/name/nm0261409/"} +{"d:Title": "Mariano Etkin", "d:Description": "Filmography at the Internet Movie Database [IMDb].", "topic": "Top/Arts/Music/Composition/Composers/E/Etkin,_Mariano", "url": "http://www.imdb.com/name/nm1037334/"} +{"d:Title": "S. Marq: Jacob van Eyck-Airs for Voice, Recorder and Lute", "d:Description": "Available works.", "topic": "Top/Arts/Music/Composition/Composers/E/Eyck,_Jacob_van", "url": "http://www.grainger.de/dbe/cds/reccds/marq01.html"} +{"d:Title": "Jacob van Eyck", "d:Description": "Three pieces not included in \"Der Fluyten Lust-hof.\"", "topic": "Top/Arts/Music/Composition/Composers/E/Eyck,_Jacob_van", "url": "http://diapason.xentonic.org/who/VanEyck.html"} +{"d:Title": "Variations by Jacob van Eyck", "d:Description": "Biography and sound files.", "topic": "Top/Arts/Music/Composition/Composers/E/Eyck,_Jacob_van", "url": "http://robertinventor.com/VanEyck/index.htm"} +{"d:Title": "Jacob van Eyck", "d:Description": "Home page of Jacob van Eyck, the 17th-century carillonneur, recorder player and composer from Utrecht. Biography, Der Fluyten Lust-hof, editions, articles, and the Jacob van Eyck Quarterly.", "topic": "Top/Arts/Music/Composition/Composers/E/Eyck,_Jacob_van", "url": "http://www.jacobvaneyck.info/"} +{"d:Title": "Classical Archives: Jacob van Eyck", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/E/Eyck,_Jacob_van", "url": "http://www.classicalarchives.com/composer/2499.html"} +{"d:Title": "List of 20th Century Classical Composers", "d:Description": "Wikipedia entry linked to individual biographical articles plus internal references and external links.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century", "url": "http://en.wikipedia.org/wiki/List_of_20th_century_classical_composers"} +{"d:Title": "The Modern Era (1900 - 1945)", "d:Description": "The Conservatory at Humanities Web outlines the rise of post-romantic music, rhythmic, harmonic, and tonal experimentation, and lists major composers with biographies, suggested reading, and additional resources.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century", "url": "http://humanitiesweb.org/human.php?s=c&p=i&a=l&ID=8"} +{"d:Title": "Blitzstein, Marc", "d:Description": "(1905-1964), Philadelphia, Pennsylvania. Includes biography, work list, discography, bibliography, and citations.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/B", "url": "http://www.marcblitzstein.com/"} +{"d:Title": "Bush, Alan", "d:Description": "(1900-1995), Dulwich, London, England. Picture, news, profile, articles, timeline, and gallery.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/B", "url": "http://www.alanbushtrust.org.uk/default.asp?room=Home"} +{"d:Title": "Bacon, Ernst", "d:Description": "(1898-1990), Chicago, Illinois. Picture, biography, works, recordings, and reviews, from the Ernest Bacon Society.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/B", "url": "http://www.ernstbacon.org/"} +{"d:Title": "Barlow, David", "d:Description": "(1927-1975) Article by David C. F. Wright.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/B", "url": "http://www.musicweb-international.com/barlow/"} +{"d:Title": "Branscombe, Gena", "d:Description": "(1881-1977) The art songs of Canadian/American composer. Composer and recording artist are featured.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/B", "url": "http://kathleenshimeta.com/branscombe.html"} +{"d:Title": "Bazelon, Irwin", "d:Description": "(1922-1995) Picture, biography, and works from New Music USA.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/B", "url": "http://library.newmusicusa.org/IrwinBazelon"} +{"d:Title": "Beckett, Walter", "d:Description": "(1914-1996), Dublin, Ireland. Photograph, biography, and selected works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/B", "url": "https://www.cmc.ie/composers/walter-beckett"} +{"d:Title": "Flagello, Nicolas", "d:Description": "(1928-1994) Biography, picture, works, CDs, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/F", "url": "http://www.flagello.com/"} +{"d:Title": "Farjeon, Harry", "d:Description": "(1878-1948), Hohokus, New Jersey. Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/F", "url": "http://webspace.webring.com/people/bc/claire_salmon/harryfarjeon.html"} +{"d:Title": "Fleischmann, Aloys", "d:Description": "(1910-1992), Munich, Germany. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/F", "url": "https://www.cmc.ie/composers/aloys-fleischmann"} +{"d:Title": "Green, John", "d:Description": "(1908-1885) New York City. Information about his score for the 1957 movie \"Greentree County.\"", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/G", "url": "http://www.raintreecounty.com/score.html"} +{"d:Title": "Journeau, Maurice", "d:Description": "(1898-1999), France. Picture, biography, works, discography, and last news.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/J", "url": "http://www.journeau.com/"} +{"d:Title": "Johann Nepomuk David", "d:Description": "List of fugues and MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/J", "url": "http://www.kunstderfuge.com/david.htm"} +{"d:Title": "Jolivet, Andr\u00e9", "d:Description": "(1905-1974) Program note for \"Cinq Incantations pour Fl\u00fbte Seul\" from a concert by flutist Andrew Darlinson.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/J", "url": "http://www.andrew-darlison.co.uk/notes/joli.htm"} +{"d:Title": "Lokshin, Alexander Lazarevich", "d:Description": "Russian composer (1920-1987). Biography, photographs, works, redordings, discography, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/L", "url": "http://lokshin.org/"} +{"d:Title": "Lambert, Constant", "d:Description": "(1905-1951), London, England. Includes biography, work list, and links.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/L", "url": "http://musicalics.com/en/node/80115"} +{"d:Title": "XXth Century: \"Les Six\", Satie, and Cocteau", "d:Description": "Article by St\u00e9phane Villemin.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Les_Six", "url": "http://www.scena.org/lsm/sm6-1/coq-en.html"} +{"d:Title": "Springtime in Paris: Les Six", "d:Description": "Information about the group and all of its members.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Les_Six", "url": "http://music.minnesota.publicradio.org/features/0003_satie/lessix.shtml"} +{"d:Title": "Music by Les Six", "d:Description": "Classical Net review.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Les_Six", "url": "http://www.classical.net/music/recs/reviews/m/mpl23788a.php"} +{"d:Title": "Maciejewski, Roman", "d:Description": "(1910-1988), Berlin, Germany. Picture, biography, list of works, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://www.usc.edu/dept/polish_music/composer/maciejewski.html"} +{"d:Title": "Maes, Jef", "d:Description": "(1905-1996), Belgium. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays, from Steven G. Estrella.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://stevenestrella.com/composers/composerfiles/maes1996.html"} +{"d:Title": "Malipiero, Gian Francesco", "d:Description": "(1882-1973), Italy. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays, from Steven G. Estrella.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://stevenestrella.com/composers/composerfiles/malipiero1973.html"} +{"d:Title": "Mayerl, Billy", "d:Description": "(1902-1959), United States. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays, from Steven G. Estrella.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://stevenestrella.com/composers/composerfiles/mayerl1959.html"} +{"d:Title": "Mennin, Peter", "d:Description": "(1923-1983), United States. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays, from Steven G. Estrella.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://stevenestrella.com/composers/composerfiles/mennin1983.html"} +{"d:Title": "Moyzes, Alexander", "d:Description": "(1906-1984), Hungary. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Steven G. Estrella.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://stevenestrella.com/composers/index.html?composerfiles/moyzes1984.html"} +{"d:Title": "Mackenzie, Ronald", "d:Description": "(1918-1992) Biography from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://www.australianmusiccentre.com.au/artist/mac-kenzie-ron"} +{"d:Title": "McPhee, Colin", "d:Description": "(1901-1964) Biography and works, from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "http://musicalics.com/en/node/80267"} +{"d:Title": "May, Frederick", "d:Description": "(1911-1985), Dublin, Ireland. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/M", "url": "https://www.cmc.ie/composers/frederick-may"} +{"d:Title": "Price, Florence", "d:Description": "(1887-1953),Little Rock, Arkansas. Biography, recordings, and discussions of several of her works.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/P", "url": "http://chevalierdesaintgeorges.homestead.com/Price.html"} +{"d:Title": "Porrino, Ennio", "d:Description": "(1910-1959), Italy. Biography and works, from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/P", "url": "http://musicalics.com/en/node/94008"} +{"d:Title": "Roger-ducasse, Jean (1873 - 1954), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/R", "url": "http://stevenestrella.com/composers/composerfiles/roger-ducasse1954.html"} +{"d:Title": "Riegger, Wallingford", "d:Description": "(1885-1961), Albany Georgia. Includes biography and key works.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/R", "url": "http://www.musicsalesclassical.com/composer/short-bio/1295"} +{"d:Title": "Music History 102: The Twentieth Century", "d:Description": "Composers, major styles and developments, illustrations, and Real Audio RAM sound files.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Resources", "url": "http://www.ipl.org/div/mushist/twen/index.htm"} +{"d:Title": "Searle, Humphrey", "d:Description": "(1915-1982), Oxford, England. Picture, biography, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/S", "url": "http://www.classical.net/music/comp.lst/acc/searle.html"} +{"d:Title": "Schnabel, Artur", "d:Description": "(1993-1951), Lipnik, Moravia. Biographies of the pianist/composer and his family with pictures, from the Schnabel Foundation. Includes a statement of their mission and founders.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/S", "url": "http://schnabelmusicfoundation.com/"} +{"d:Title": "Schlein, Irving", "d:Description": "(1905-1986) Picture, biography, works, sound files, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/S", "url": "http://www.irvingschlein.com/"} +{"d:Title": "Seiber, Matyas", "d:Description": "Matyas Seiber Hungarian Composer. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/S", "url": "http://www.musicweb-international.com/seiber/"} +{"d:Title": "Siegmeister, Elie", "d:Description": "(1909-1991), New York City. List of works from Music Web.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/S", "url": "http://www.musicweb-international.com/Classpedia/SIEGMEISTER.htm"} +{"d:Title": "The Order of Numbers in the Second Viennese School of Music", "d:Description": "Mathematical background for help in understanding the some of the works of Schoenberg, Berg, Webern, and Milton Babbitt by Carlota Sim\u00f5es, Department of Mathematics, University of Coimbra, Portugal.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Second_Viennese_School", "url": "http://arpam.free.fr/simoes.pdf"} +{"d:Title": "Second Viennese School", "d:Description": "Wikipedia article noting principles of atonalism and serialism which characterized the movement and listing its main members and suggesting other possible people who might be included.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Second_Viennese_School", "url": "http://en.wikipedia.org/wiki/Second_Viennese_School"} +{"d:Title": "Arnold Schoenberg and the Second Viennese School", "d:Description": "Biographies, photographs, and musical overviews with accompanying material on Schoenberg, Berg, and Webern from the Internet Public Library's Music History 102.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Second_Viennese_School", "url": "http://www.ipl.org/div/mushist/twen/schoenberg.htm"} +{"d:Title": "Remembering the Second Viennese School", "d:Description": "Weblog by Charles T. Downey shows the beginnings and evolution of the group, including a reminiscence by Schoenberg.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Second_Viennese_School", "url": "http://ionarts.blogspot.com/2004/10/remembering-second-viennese-school.html"} +{"d:Title": "A Comparison of the Approaches of Schoenberg, Berg and Webern to Serialism in Their Music", "d:Description": "Essay by Rebecca Taylor for Music Teachers UK examines similarities and differences in their works.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Second_Viennese_School", "url": "http://www.musicteachers.co.uk/resources/twelvetonemusic.pdf"} +{"d:Title": "Row Marks in the Serial Works of Schoenber, Berg, and Webern", "d:Description": "Basic analysis by Professor Justin London. Includes links, references, and credits.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/Second_Viennese_School", "url": "http://www.people.carleton.edu/~jlondon/2ndviennese.htm"} +{"d:Title": "Terterian, Avet", "d:Description": "(1929-1994), Baku, Azerbaijan. Picture, biography, texts on or by the composer, performances, publications, discography, and press.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/T", "url": "http://www.terterian.org/"} +{"d:Title": "Tate, Phyllis", "d:Description": "(1911-1985), Gerrards Cross, Buckinghamshire, England. Biography, pictures, and list of works, from Music Web.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/T", "url": "http://www.musicweb-international.com/Tate/"} +{"d:Title": "Tarp, Svend Erik", "d:Description": "(1908-1994), Denmark. Picture and biography, from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/T", "url": "http://www.dacapo-records.dk/en/artist-svend-erik-tarp.aspx"} +{"d:Title": "Vorlova, Slava", "d:Description": "(1894-1973), Czech composer, nee Miloslava Johnova, pseudonym Mira Kord. Biography, list of works.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/V", "url": "http://www.kapralova.org/VORLOVA.htm"} +{"d:Title": "Wood, Haydn (1882 - 1959), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/W", "url": "http://stevenestrella.com/composers/composerfiles/wood1959.html"} +{"d:Title": "Work, Julian Cassander", "d:Description": "(1910-1995). Biographical data, CDs, books and sheet music, essay, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/Early_20th_Century/W", "url": "http://stevenestrella.com/composers/composerfiles/work1995.html"} +{"d:Title": "Manuel de Falla", "d:Description": "Photograph and discography from Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://www.classical.net/music/comp.lst/falla.html"} +{"d:Title": "Famous Hispanics: Manuel de Falla", "d:Description": "Brief biography from coloquio.com.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://coloquio.com/famosos/falla.html"} +{"d:Title": "Manuel de Falla", "d:Description": "Brief biography and noted works, photographic portrait, and cemetery information from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7417449&pt=Manuel+de+Falla"} +{"d:Title": "Classic Cat: Manuel de Falla", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://www.classiccat.net/falla_m_de/"} +{"d:Title": "Falla, Manuel de (1876 - 1946)", "d:Description": "Brief biographical sketch, caricature, details of stage works, orchestral, vocal, chamber, and piano music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://www.naxos.com/composerinfo/bio26040.htm"} +{"d:Title": "Classical Archives: Manuel de Falla", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://www.classicalarchives.com/composer/2500.html"} +{"d:Title": "Manuel de Falla", "d:Description": "Filmography at Internet Movie Database (IMDb) listing movies and TV programs using or based on his music and giving writing credits. Includes brief biography and links.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://www.imdb.com/name/nm0266316/"} +{"d:Title": "Manuel de Falla (1876-1946)", "d:Description": "Songs and cycles, public domain texts in Spanish, and some English translation from the Lied and Art Song Pages at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/F/Falla_y_Matheu,_Manuel_de", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3998"} +{"d:Title": "Giles Farnaby (c.1565-1640)", "d:Description": "Biography and related links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/F/Farnaby,_Giles", "url": "http://www.hoasm.org/IVM/Farnaby.html"} +{"d:Title": "Giles Farnaby", "d:Description": "Entry from Geoff Grainger's Folk and Recorder Music. Includes background information and sheet music recommendations.", "topic": "Top/Arts/Music/Composition/Composers/F/Farnaby,_Giles", "url": "http://www.grainger.de/music/composers/farnaby.html"} +{"d:Title": "Music: Farnaby", "d:Description": "Psalm manuscript and brief biography from the University of Pennsylvania Library's collection.", "topic": "Top/Arts/Music/Composition/Composers/F/Farnaby,_Giles", "url": "http://www.library.upenn.edu/exhibits/rbm/music/8-2.html"} +{"d:Title": "Classical Archives: Giles Farnaby", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Farnaby,_Giles", "url": "http://www.classicalarchives.com/composer/2503.html"} +{"d:Title": "Farnaby, Giles (1563-1640)", "d:Description": "Brief biographical sketch, comments on keyboard music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Farnaby,_Giles", "url": "http://www.naxos.com/person/Giles_Farnaby_26043/26043.htm"} +{"d:Title": "Naxos: Robert Farnon", "d:Description": "Detailed biography from Naxos Historical showing his place in keeping light music composition alive following 1950. Includes selected discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Farnon,_Robert_Joseph", "url": "http://www.naxos.com/composerinfo/Robert_Farnon_26044/26044.htm"} +{"d:Title": "Robert Farnon", "d:Description": "Filmography at IMDb with composer, actor, and musical director credits.", "topic": "Top/Arts/Music/Composition/Composers/F/Farnon,_Robert_Joseph", "url": "http://www.imdb.com/name/nm0006067/"} +{"d:Title": "Robert Farnon Society", "d:Description": "Official site. Details of Farnon's life and music, discography, society information, record service, newsletter, recommended CDs, links, member e-mail addresses, guest book, discussion forum, and biographies of related light music composers.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/F/Farnon,_Robert_Joseph", "url": "http://www.robertfarnonsociety.org.uk/"} +{"d:Title": "Women of Note: Farrenc", "d:Description": "Brief biography and analysis of major works from Ambache.", "topic": "Top/Arts/Music/Composition/Composers/F/Farrenc,_Jeanne-Louise", "url": "http://oboeclassics.com/~oboe3583/ambache/wFarrenc.htm"} +{"d:Title": "Jeanne-Louise Farrenc-Dumont", "d:Description": "Music overview, life, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/F/Farrenc,_Jeanne-Louise", "url": "http://musicalics.com/en/node/79565"} +{"d:Title": "Johann Friedrich Fasch", "d:Description": "Biography, discography, and period information from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/F/Fasch,_Johann_Friedrich", "url": "http://www.hoasm.org/XID/Fasch.html"} +{"d:Title": "Classic Cat: Fasch", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Fasch,_Johann_Friedrich", "url": "http://www.classiccat.net/fasch_jf/"} +{"d:Title": "Classical Archives: Johann Friedrich Fasch", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/F/Fasch,_Johann_Friedrich", "url": "http://www.classicalarchives.com/composer/2506.html"} +{"d:Title": "Classic Cat: Faur\u00e9", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Faur\u00e9,_Gabriel", "url": "http://www.classiccat.net/faure_g/"} +{"d:Title": "Kunst der Fuge | Gabriel Faur\u00e9", "d:Description": "MIDI files, picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/F/Faur\u00e9,_Gabriel", "url": "http://www.kunstderfuge.com/faure.htm"} +{"d:Title": "Faure, Gabriel (1845-1924)", "d:Description": "Brief biographical sketch, caricature, comments on stage works and orchestral, vocal, church, chamber, and piano music. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Faur\u00e9,_Gabriel", "url": "http://www.naxos.com/composerinfo/bio26049.htm"} +{"d:Title": "Classical Archives: Gabriel Faur\u00e9", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Faur\u00e9,_Gabriel", "url": "http://www.classicalarchives.com/composer/2507.html"} +{"d:Title": "Thomas Moore, pianist - Interviews", "d:Description": "Interview with Morton Feldman.", "topic": "Top/Arts/Music/Composition/Composers/F/Feldman,_Morton", "url": "http://research.umbc.edu/~tmoore/feldman1.html"} +{"d:Title": "Morton Feldman Lectures", "d:Description": "Lectures, post-concert discussions, and introductory remarks, given 1972-1985 at the University of Buffalo.", "topic": "Top/Arts/Music/Composition/Composers/F/Feldman,_Morton", "url": "http://ublib.buffalo.edu/libraries/units/music/spcoll/feldman/mflectures.html"} +{"d:Title": "Morton Feldman", "d:Description": "(1926-1987), New York City. Biography, list of works, discography, recordings online, performances, interviews, pictures, and bibliography.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/F/Feldman,_Morton", "url": "http://www.cnvill.net/mfhome.htm"} +{"d:Title": "Eric Fenby", "d:Description": "Filmography focused on his collaboration with Ken Russell on a movie of Frederick Delius' life from the Internet Movie Database (IMDb). Includes brief biography.", "topic": "Top/Arts/Music/Composition/Composers/F/Fenby,_Eric", "url": "http://www.imdb.com/name/nm0271711/"} +{"d:Title": "Alfonso Ferrabosco II", "d:Description": "Biography with internal links to family members, other artists of the period, and related historical material from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/F/Ferrabosco,_Alfonso_II", "url": "http://www.hoasm.org/IVM/FerraboscoII.html"} +{"d:Title": "Alfonso Ferrabosco: Ayres", "d:Description": "Texts in HTML, JPG facsimiles of pages, and MIDI audio files of all works contained in this 1609 book. Audio available in individual downloads and one ZIP file.", "topic": "Top/Arts/Music/Composition/Composers/F/Ferrabosco,_Alfonso_II", "url": "http://kulturserver-bayern.de/home/harald-lillmeyer/Texte/Downloads/Downloads.html"} +{"d:Title": "Fibich, Zdenek", "d:Description": "(1850-1900) Seborice, Czechoslovakia. Major works, CDs, books, sheet music, and bibliography, from stevenstradella.com.", "topic": "Top/Arts/Music/Composition/Composers/F/Fibich,_Zden\u00eak", "url": "http://www.stevenestrella.com/composers/composerfiles/fibich1900.html"} +{"d:Title": "Zdenek Fibich", "d:Description": "Brief biographical and musical notes with portrait, photograph of tomb, cemetery information, and virtual memorial.", "topic": "Top/Arts/Music/Composition/Composers/F/Fibich,_Zden\u00eak", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11132&pt=Zdenek+Fibich"} +{"d:Title": "Classical CD Reviews- Feb 1999 ZDENEK\u00a0FIBICH Hippodamia Trilogy Music on the Web (UK)", "d:Description": "Review by Rob Barnett.", "topic": "Top/Arts/Music/Composition/Composers/F/Fibich,_Zden\u00eak", "url": "http://www.musicweb-international.com/classrev/feb99/fibich.htm"} +{"d:Title": "Zdenek Fibich", "d:Description": "Life and music from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/F/Fibich,_Zden\u00eak", "url": "http://musicalics.com/fr/compositeur/Zdenek-Fibich"} +{"d:Title": "Zden\u00eak Fibich (1850-1900)", "d:Description": "Songs and cycles, most with German texts, many having English, Italian, or French translations from the Lied and Art Song Texts Pages at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/F/Fibich,_Zden\u00eak", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4025"} +{"d:Title": "Classical Archives: John Field", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/F/Field,_John", "url": "http://www.classicalarchives.com/composer/10885.html"} +{"d:Title": "Field, John (1782-1837)", "d:Description": "Brief biographical sketch, caricature, comments on his piano works, and a Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Field,_John", "url": "http://www.naxos.com/person/John_Field/27167.htm"} +{"d:Title": "Adriana Figueroa", "d:Description": "Flautist and composer from Argentina. Involved in Jazz, contemporary classical, and children's music.", "topic": "Top/Arts/Music/Composition/Composers/F/Figueroa,_Adriana", "url": "http://www.familyworship.org.uk/showcase/adrianafigueroa"} +{"d:Title": "HOASM: Anton Fils [Filtz, Filz]", "d:Description": "Biography, partial discography, and information on the Mannheim School from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/F/Fils,_Anton", "url": "http://www.hoasm.org/XIIA/Fils.html"} +{"d:Title": "The Music of Vivian Fine by Heidi von Gunden", "d:Description": "Book review by David Wright for Classical CD Reviews in the May 2000 Music on the Web.", "topic": "Top/Arts/Music/Composition/Composers/F/Fine,_Vivian", "url": "http://www.musicweb-international.com/classrev/2000/may00/fine.htm"} +{"d:Title": "Vivian Fine", "d:Description": "Brief biography and noted works with links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/F/Fine,_Vivian", "url": "http://musicalics.com/fr/compositeur/Vivian-Fine"} +{"d:Title": "Myron Fink: American Composer", "d:Description": "Official site. Biography with complete listings of his compositions. Sound samples in .wav format.", "topic": "Top/Arts/Music/Composition/Composers/F/Fink,_Myron", "url": "http://www.myronfink.com/"} +{"d:Title": "Ross Lee Finney", "d:Description": "Obituary.", "topic": "Top/Arts/Music/Composition/Composers/F/Finney,_Ross_Lee", "url": "http://www.ur.umich.edu/9697/Feb18_97/artcl08.htm"} +{"d:Title": "Miscellaneous Songs by Finney", "d:Description": "Song cycles by Finney.", "topic": "Top/Arts/Music/Composition/Composers/F/Finney,_Ross_Lee", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4037"} +{"d:Title": "Gerald Finzi", "d:Description": "Official site of the Finzi Trust with introductory material, biography, timeline and interactive map. Includes Hyperion jukebox and information on local performances.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/F/Finzi,_Gerald_Raphael", "url": "http://www.geraldfinzi.org/"} +{"d:Title": "Music of Gerald Finzi", "d:Description": "Biography, appreciation, main works, selected discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Finzi,_Gerald_Raphael", "url": "http://www.musicweb-international.com/finzi/"} +{"d:Title": "Gerald Finzi (1901-1956)", "d:Description": "Songs and cycles, many based on English Romantic poetry, listed with the Lied and Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/F/Finzi,_Gerald_Raphael", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4038"} +{"d:Title": "Flotow, Friedrich von (1812-1883)", "d:Description": "Brief biographical sketch, caricature, comments on his operas, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Flotow,_Friedrich_Adolf_Ferdinand,_Freiherr_von", "url": "http://www.naxos.com/composerinfo/bio27174.htm"} +{"d:Title": "Flotow, Friedrich von", "d:Description": "Gilder-MusicWeb Dictionary of composers entry with summary of life history and compositional output.", "topic": "Top/Arts/Music/Composition/Composers/F/Flotow,_Friedrich_Adolf_Ferdinand,_Freiherr_von", "url": "http://www.musicweb-international.com/Classpedia/Flowtow.htm"} +{"d:Title": "Josef Bohuslav Foerster - 15-05-2002 - Radio Prague", "d:Description": "Picture and biography with sound files of discussions about the composer.", "topic": "Top/Arts/Music/Composition/Composers/F/Foerster,_Josef_Bohuslav", "url": "http://www.radio.cz/en/article/27971"} +{"d:Title": "Josef Bohuslav Foerster (1859-1951)", "d:Description": "Songs and cycles, some with English translations, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/F/Foerster,_Josef_Bohuslav", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4059"} +{"d:Title": "Dr. Cynthia Folio", "d:Description": "Faculty page at Temple University's Boyer College. Includes photographs, biography, works, articles, memberships and awards, upcoming performances, and family information.", "topic": "Top/Arts/Music/Composition/Composers/F/Folio,_Cynthia", "url": "http://astro.temple.edu/~cfolio/"} +{"d:Title": "Lukas Foss: The Realisation of Early Promise", "d:Description": "Key works, timeline, recommended recordings, quotes, historical and societal context, photograph, and resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/F/Foss,_Lukas", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=106"} +{"d:Title": "Lucas Foss", "d:Description": "His operas from U.S.Opera.", "topic": "Top/Arts/Music/Composition/Composers/F/Foss,_Lukas", "url": "http://www.usopera.com/composers/foss.html"} +{"d:Title": "Art Songs by L. Foss", "d:Description": "Song cycles and individual song based on lyrics from Wallace Stevens, W. H. Auden, A. E. Housman, Franz Kafka, Friedrich Nietzsche, and William Shakespeare. Includes lyrics not under copyright.", "topic": "Top/Arts/Music/Composition/Composers/F/Foss,_Lukas", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4077"} +{"d:Title": "Stephen Collins Foster", "d:Description": "Biography from the Center for American Music, Foster Hall Collection, Stephen Foster Memorial, University of Pittsburgh.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.pitt.edu/~amerimus/foster.htm"} +{"d:Title": "Stephen Collins Foster Site, Table of Contents Page 1", "d:Description": "Biography and photos, the Foster family, first published songs, musical instruments, and Athens Academy.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.bobjanuary.com/foster/table1.htm"} +{"d:Title": "The Music of Stephen Collins Foster (1826-1864)", "d:Description": "The Foster family and a chronological list of his songs including the names of the lyricists.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.pdmusic.org/foster.html"} +{"d:Title": "Stephen Collins Foster, A Pictorial Biography", "d:Description": "A page of pictures with biographical notes.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.bobjanuary.com/foster/sf_16.htm"} +{"d:Title": "Stephen Foster Songs - American Dreams", "d:Description": "Biography in five parts with pictures interspersed.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.stephen-foster-songs.de/index.htm"} +{"d:Title": "PBS Online: Stephen Foster", "d:Description": "Companion to the documentary: includes audio files, sheet music, and program transcript.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.pbs.org/wgbh/amex/foster/index.html"} +{"d:Title": "Infography about Foster, Stephen (1826-1864)", "d:Description": "Bibliography.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.infography.com/content/859995806990.html"} +{"d:Title": "Stephen Foster", "d:Description": "Brief biography and filmography showing the movies and television shows using his works from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.imdb.com/name/nm0288062/"} +{"d:Title": "Stephen Collins Foster (1826-1864)", "d:Description": "Listing including texts of \"Beautiful Dreamer\" and \"Jeanie with the Light Brown Hair\" from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/F/Foster,_Stephen_Collins", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=889"} +{"d:Title": "John Foulds: Mantra", "d:Description": "Resources including brief biography, sound files, proponents, bibliography, manuscript sources, discography, and links.", "topic": "Top/Arts/Music/Composition/Composers/F/Foulds,_John_Herbert", "url": "http://www.bluntinstrument.org.uk/foulds/"} +{"d:Title": "John Foulds", "d:Description": "Transcripts of preconcert talks by Malcolm Macdonald highlighting his life, previous and current renown, and wide-ranging compositional style plus sample scores, links, and brief quote by his son Patrick from March 2004 MusicWeb(UK).", "topic": "Top/Arts/Music/Composition/Composers/F/Foulds,_John_Herbert", "url": "http://www.musicweb-international.com/classrev/2004/Mar04/Foulds_Macdonald.htm"} +{"d:Title": "John Herbert Foulds", "d:Description": "Listing at the Lied and Art Songs Text Page with selected lyrics of vocal music.", "topic": "Top/Arts/Music/Composition/Composers/F/Foulds,_John_Herbert", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4079"} +{"d:Title": "Cesar Franck", "d:Description": "Brief biographical sketch with photographic portraits, pictures of his tomb, cemetery information, and virtual memorials from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/F/Franck,_C\u00e9sar-Auguste_Jean-Guillaume-Hubert", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=362&pt=Cesar+Franck"} +{"d:Title": "Classic Cat: Franck", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Franck,_C\u00e9sar-Auguste_Jean-Guillaume-Hubert", "url": "http://www.classiccat.net/franck_c/"} +{"d:Title": "Franck, C\u00e9sar (1822 - 1890)", "d:Description": "Brief biographical sketch, caricature, outline of major areas of composition, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Franck,_C\u00e9sar-Auguste_Jean-Guillaume-Hubert", "url": "http://www.naxos.com/composerinfo/bio27179.htm"} +{"d:Title": "Classical Archives: C\u00e9sar Franck", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Franck,_C\u00e9sar-Auguste_Jean-Guillaume-Hubert", "url": "http://www.classicalarchives.com/composer/2536.html"} +{"d:Title": "C\u00e9sar Franck", "d:Description": "Filmography at IMDb includes his only symphony's appearance in Hitchcock's \"Double Indemnity.\"", "topic": "Top/Arts/Music/Composition/Composers/F/Franck,_C\u00e9sar-Auguste_Jean-Guillaume-Hubert", "url": "http://www.imdb.com/name/nm0290424/"} +{"d:Title": "C\u00e9sar Auguste Franck", "d:Description": "Entry from Musicalics includes major works, life, and circumstances of his death plus illustration and links.", "topic": "Top/Arts/Music/Composition/Composers/F/Franck,_C\u00e9sar-Auguste_Jean-Guillaume-Hubert", "url": "http://musicalics.com/en/node/79629"} +{"d:Title": "C\u00e9sar Franck (1822-1890)", "d:Description": "List of works, many with French texts, some with English translations, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/F/Franck,_C\u00e9sar-Auguste_Jean-Guillaume-Hubert", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4081"} +{"d:Title": "Franco of Cologne, (c. 1240 - c. 1280), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/F/Franco_of_Cologne", "url": "http://stevenestrella.com/composers/composerfiles/franco1280.html"} +{"d:Title": "Frescobaldi, Girolamo", "d:Description": "Entry from Timothy A. Smith's Sojourn pages notes influences, pupils, and tangental relationship to Bach.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://jan.ucc.nau.edu/~tas3/frescobaldi.html"} +{"d:Title": "Girolamo Frescobaldi", "d:Description": "Detailed biography from Classical Net's Basic Repertoire List. Includes links to related composers and artists and an analysis of his style, developments, and contributions to Baroque music.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.classical.net/music/comp.lst/frescobaldi.html"} +{"d:Title": "Girolamo Frescobaldi", "d:Description": "Biography, related composers, discography, and additional links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.hoasm.org/VC/Frescobaldi.html"} +{"d:Title": "The Girolamo Frescobaldi's organ Missas", "d:Description": "The complete 'Fiori Musicali' played live on MIDI. It is necessary to request a free password.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.kunstderfuge.com/frescobaldi.htm"} +{"d:Title": "Girolamo Frescobaldi", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.classiccat.net/frescobaldi_g/"} +{"d:Title": "Girolamo Frescobaldi", "d:Description": "Filmography listing cinematic use of his works with links to individual films from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.imdb.com/name/nm1523177/"} +{"d:Title": "Girolamo Frescobaldi", "d:Description": "Wikipedia article focusing on his keyboard works, position as a transitional character between the Renaissance and Baroque periods, and influence on others, including Bach. With internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://en.wikipedia.org/wiki/Girolamo_Frescobaldi"} +{"d:Title": "Girolamo Frescobaldi: The World's First Superstar Virtuoso", "d:Description": "Key works, suggested reading, timeline, recommended recordings, and portraitfrom Humanities Net.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=21"} +{"d:Title": "Girolamo Frescobaldi (1583-1643)", "d:Description": "Brief biographical sketch, caricature, comments on keyboard works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.naxos.com/composerinfo/356.htm"} +{"d:Title": "Classical Archives: Girolamo Frescobaldi", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.classicalarchives.com/composer/2541.html"} +{"d:Title": "Girolamo Frescobaldi (1583-1643)", "d:Description": "Listing with the Lied and Art Song pages includes Italian lyrics. From REC Music.", "topic": "Top/Arts/Music/Composition/Composers/F/Frescobaldi,_Girolamo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4097"} +{"d:Title": "Hugo Friedhofer", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/F/Friedhofer,_Hugo_William", "url": "http://www.americancomposers.org/raksin_friedhofer.htm"} +{"d:Title": "Friedhofer: Film Music", "d:Description": "Classical Net review examines the CD and his general compositional style. Compares him favorably to bygone and modern movie composers.", "topic": "Top/Arts/Music/Composition/Composers/F/Friedhofer,_Hugo_William", "url": "http://www.classical.net/music/recs/reviews/m/mpl23857a.html"} +{"d:Title": "FredFrith.com", "d:Description": "This official site features information from Fred himself, tour dates, compositions and a selected discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Frith,_Fred", "url": "http://fredfrith.com/"} +{"d:Title": "All-Music Guide: Fred Frith", "d:Description": "Includes biography, discography with reviews, related artists and influences.", "topic": "Top/Arts/Music/Composition/Composers/F/Frith,_Fred", "url": "http://www.allmusic.com/artist/p76196"} +{"d:Title": "Froberger, Jakob Johann", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/F/Froberger,_Johann_Jacob", "url": "http://jan.ucc.nau.edu/~tas3/froberger.html"} +{"d:Title": "Johann Jakob Froberger (1616-1667)", "d:Description": "Keyboard Music Discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Froberger,_Johann_Jacob", "url": "http://www-personal.umich.edu/~bpl/froberger.htm"} +{"d:Title": "The Instrumental Music of Robert Fuchs: An Article in Progress", "d:Description": "Eric Schissel examines him as composer rather than teacher, looking for works demanding special commendation.", "topic": "Top/Arts/Music/Composition/Composers/F/Fuchs,_Robert", "url": "http://www.kith.org/jimmosk/schissel.fuchs.html"} +{"d:Title": "Fuchs, Robert (1847-1927)", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/F/Fuchs,_Robert", "url": "http://www.naxos.com/composerinfo/bio27186.htm"} +{"d:Title": "Ellen Fullman", "d:Description": "Short biography and sound files, from Kalvos.", "topic": "Top/Arts/Music/Composition/Composers/F/Fullman,_Ellen", "url": "http://kalvos.org/fullman.html"} +{"d:Title": "Furman, Warren", "d:Description": "Various electronic music tracks.", "topic": "Top/Arts/Music/Composition/Composers/F/Furman,_Warren", "url": "http://hitecharts.com/music.html"} +{"d:Title": "Fux, Johann Joseph: Biography", "d:Description": "Introduction to his life and works from the Sojourn pages of Timothy A. Smith includes related composer links.", "topic": "Top/Arts/Music/Composition/Composers/F/Fux,_Johann_Joseph", "url": "http://jan.ucc.nau.edu/~tas3/fux.html"} +{"d:Title": "Johann Joseph Fux", "d:Description": "Biography, accomplishments, related topics, and major works from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/F/Fux,_Johann_Joseph", "url": "http://www.hoasm.org/XID/Fux.html"} +{"d:Title": "Gabrieli, Andrea (c. 1510 - 1586), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gabrieli,_Andrea", "url": "http://stevenestrella.com/composers/index.html?composerfiles/gabrieli1585.html"} +{"d:Title": "Classical Music Archives: Gabrieli", "d:Description": "Music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gabrieli,_Andrea", "url": "http://www.classicalarchives.com/composer/2553.html"} +{"d:Title": "Gabrieli, Giovanni (c. 1554 - 1612), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gabrieli,_Giovanni", "url": "http://stevenestrella.com/composers/composerfiles/gabrieli1612.html"} +{"d:Title": "Classical Music Archives: Gabrieli", "d:Description": "Music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gabrieli,_Giovanni", "url": "http://www.classicalarchives.com/composer/2554.html"} +{"d:Title": "Gabrieli, Giovanni (c. 1558 - 1613)", "d:Description": "Short biography with summaries of his church and instrumental music.", "topic": "Top/Arts/Music/Composition/Composers/G/Gabrieli,_Giovanni", "url": "http://www.naxos.com/person/Giovanni_Gabrieli/27189.htm"} +{"d:Title": "Gade-branch", "d:Description": "The composer's family tree.", "topic": "Top/Arts/Music/Composition/Composers/G/Gade,_Jacob_Thune_Hansen", "url": "http://www.obwillumsen.dk/ukgade.htm"} +{"d:Title": "Jacob Gade (1879-1963)", "d:Description": "Brief biography with photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/G/Gade,_Jacob_Thune_Hansen", "url": "http://www.dacapo-records.dk/en/artist-jacob-gade.aspx"} +{"d:Title": "Classical Archives: Niels Wilhelm Gade", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/G/Gade,_Niels_Wilhelm", "url": "http://www.classicalarchives.com/composer/2557.html"} +{"d:Title": "Niels Wilhelm Gade, Danish Composer (1817-1890)", "d:Description": "Brief biography with photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/G/Gade,_Niels_Wilhelm", "url": "http://www.dacapo-records.dk/en/artist-niels-wilhelm-gade.aspx"} +{"d:Title": "Miscellaneous songs by Niels Wilhelm Gade", "d:Description": "Links to texts in the original Danish.", "topic": "Top/Arts/Music/Composition/Composers/G/Gade,_Niels_Wilhelm", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4121"} +{"d:Title": "HOASM: Marco da Gagliano", "d:Description": "Biography from Here on a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/G/Gagliano,_Marco_da", "url": "http://www.hoasm.org/VA/Gagliano.html"} +{"d:Title": "Marco da Gagliano: La Dafne", "d:Description": "Two recordings of the opera, and a recording of Missa in Assumptione Beat\u00e6 Mari\u00e6 Virginis.", "topic": "Top/Arts/Music/Composition/Composers/G/Gagliano,_Marco_da", "url": "http://www.medieval.org/emfaq/cds/k617058.htm"} +{"d:Title": "Bernhard Gal (a.k.a. gal)", "d:Description": "(1971- ), Vienna, Austria. Composer, sound artist and musicologist. Biography, works, sound files, pictures, calendar, and links.", "topic": "Top/Arts/Music/Composition/Composers/G/Gal,_Bernhard", "url": "http://www.bernhardgal.com/"} +{"d:Title": "Evolver Review - Gal 'relisten'", "d:Description": "Article about Bernhard Gal's CD 'relisten', released by Intransitive Recordings (USA) in 2001.", "topic": "Top/Arts/Music/Composition/Composers/G/Gal,_Bernhard", "url": "http://www.evolver.at/site/review.php?id=10997"} +{"d:Title": "Bernhard Gal at Kunstradio", "d:Description": "Brief biography with links to selected 'Kunstradio' broadcasts.", "topic": "Top/Arts/Music/Composition/Composers/G/Gal,_Bernhard", "url": "http://www.kunstradio.at/BIOS/galbio.html"} +{"d:Title": "Gromoga Records", "d:Description": "Austrian avantgarde record label run by Bernhard Gal.", "topic": "Top/Arts/Music/Composition/Composers/G/Gal,_Bernhard", "url": "http://www.gromoga.com/"} +{"d:Title": "Hans G\u00e1l", "d:Description": "Family-maintained site with 26 chapter biography, photographs, catalogue with display options, discography, audio samples in RM and MP3, books, news, publishers, bibliography, contacts, and site search.", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00e1l,_Hans", "url": "http://www.hansgal.com/"} +{"d:Title": "Gal, Hans", "d:Description": "Biographical information includes teachers and influences, prizes and honors, political and religious oppression, emigration, contributions to musical history and musicology, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00e1l,_Hans", "url": "http://www.schoenberglaw.com/exiles/gal.html"} +{"d:Title": "Hans G\u00e1l", "d:Description": "Biography noting major works, sheet music, and books by the composer.", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00e1l,_Hans", "url": "http://www.grainger.de/music/composers/galh.html"} +{"d:Title": "Hans Gal mandolin [JS]: Classical CD Reviews- July2002 MusicWeb(UK)", "d:Description": "Biography and review of the CD by J\u00fcrgen Schaarw\u00e4chter.", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00e1l,_Hans", "url": "http://www.musicweb-international.com/classrev/2002/July02/gal.htm"} +{"d:Title": "Classical Archives: Baldassare Galuppi", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/G/Galuppi,_Baldassare", "url": "http://www.classicalarchives.com/composer/2562.html"} +{"d:Title": "Baldassare Galuppi", "d:Description": "Biography, major works, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Galuppi,_Baldassare", "url": "http://musicalics.com/en/node/79671"} +{"d:Title": "Gara Garayev: A Legend in His Own Time", "d:Description": "(1918-1982), Azerbaijan, Russia. Detailed, illustrated biography by Azad Sharifov with chronology and timeline from Azerbaijan International 6.3.", "topic": "Top/Arts/Music/Composition/Composers/G/Garayev,_Gara_Abulfaz", "url": "http://www.azer.com/aiweb/categories/magazine/63_folder/63_articles/63_garayev.html"} +{"d:Title": "Kara Karayev", "d:Description": "Filmography linked to films he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/G/Garayev,_Gara_Abulfaz", "url": "http://www.imdb.com/name/nm0439034/"} +{"d:Title": "Kara Karayev", "d:Description": "Picture, biography, and list of works from Onno van Rijen's Soviet Composers Page.", "topic": "Top/Arts/Music/Composition/Composers/G/Garayev,_Gara_Abulfaz", "url": "http://home.online.nl/ovar/karaev.htm"} +{"d:Title": "Geminiani, Francesco: Biography", "d:Description": "Brief biographical note at Northern Arizona University.", "topic": "Top/Arts/Music/Composition/Composers/G/Geminiani,_Francesco_Xaverio", "url": "http://www2.nau.edu/~tas3/geminiani.html"} +{"d:Title": "Geminiani, Francesco (1687 - 1762), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Geminiani,_Francesco_Xaverio", "url": "http://stevenestrella.com/composers/composerfiles/geminiani1762.html"} +{"d:Title": "Francesco Geminiani", "d:Description": "Biographical article with analysis of style and personality, portrait, and music samples in MP3 audio format.", "topic": "Top/Arts/Music/Composition/Composers/G/Geminiani,_Francesco_Xaverio", "url": "http://www.baroquemusic.org/bqxgem.html"} +{"d:Title": "Francesco Geminiani", "d:Description": "Filmography from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Geminiani,_Francesco_Xaverio", "url": "http://www.imdb.com/name/nm0312569/"} +{"d:Title": "Geminiani, Francesco (1687 - 1762)", "d:Description": "Brief biographical note, portrait, and summary of his chamber and concerto works with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Geminiani,_Francesco_Xaverio", "url": "http://www.naxos.com/person/Francesco_Geminiani/27200.htm"} +{"d:Title": "German, Edward (1862 - 1936), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/German,_Edward,_Sir", "url": "http://stevenestrella.com/composers/composerfiles/german1936.html"} +{"d:Title": "Edward German", "d:Description": "Biography notes his completion of a Sullivan operetta and includes a large photograph.", "topic": "Top/Arts/Music/Composition/Composers/G/German,_Edward,_Sir", "url": "http://www.yso.org.uk/biographies/german.html"} +{"d:Title": "Edward German", "d:Description": "Biography and photograph. Emphasis on his work with the D'Oyly Carte Opera Company, home of Gilbert and Sullivan productions for over 100 years.", "topic": "Top/Arts/Music/Composition/Composers/G/German,_Edward,_Sir", "url": "http://math.boisestate.edu/gas/whowaswho/G/GermanEdward.htm"} +{"d:Title": "Edward German", "d:Description": "Filmography linked to movies using or based on his works from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/German,_Edward,_Sir", "url": "http://www.imdb.com/name/nm0314521/"} +{"d:Title": "Edward German (1862-1936)", "d:Description": "Miscellaneous songs, some with lyrics, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/German,_Edward,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4163"} +{"d:Title": "German, Edward (1862 - 1936)", "d:Description": "Brief biography with summaries of his operettas and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/German,_Edward,_Sir", "url": "http://www.naxos.com/person/Edward_German/27203.htm"} +{"d:Title": "George and Ira Gershwin", "d:Description": "Official site. Celebrating and archiving the lives of the brothers with history, timeline, references, anthology of selected films and shows, sound clips by noted artists, jukebox, and events. [Requires Flash.]", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.gershwin.com/"} +{"d:Title": "Song Trellis", "d:Description": "Chord sequences of many famous tunes in MIDI and printable GIF format available for study, practice and improvisation.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.songtrellis.com/composers/Gershwin,George/changeslist"} +{"d:Title": "Find a Grave", "d:Description": "Biographical sketch, photographs, pictures of mausoleum, cemetery information, and virtual memorial.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=389&pt=George+Gershwin"} +{"d:Title": "Classic Cat: George Gershwin", "d:Description": "Biographical material, links, and directory of MP3 audio files.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.classiccat.net/gershwin_g/"} +{"d:Title": "Humanities Web", "d:Description": "Brief biography, selected works, photograph, quotations, discography, suggested reading, additional resources, chronology, and related articles.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=2"} +{"d:Title": "Wikipedia: George Gershwin", "d:Description": "Article with biography, music style, classical credits, musical theater credits, musical films, internal references, external links, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://en.wikipedia.org/wiki/George_Gershwin"} +{"d:Title": "Al Levy's Music: George Gershwin", "d:Description": "MIDI files of his preludes, rhapsodies, and opera Porgy and Bess.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.alevy.com/gershwin.htm"} +{"d:Title": "Music Scores", "d:Description": "Some sheet music downloads and audio playback.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.music-scores.com/gershwin/composer.php"} +{"d:Title": "Encyclopedia: George Gershwin", "d:Description": "Includes an article that includes a sketch about his brother Ira and a bibliography.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.encyclopedia.com/topic/George_Gershwin.aspx"} +{"d:Title": "Classical Archives: George Gershwin", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.classicalarchives.com/composer/2576.html"} +{"d:Title": "The Internet Movie Database (IMDb)", "d:Description": "Filmography noting movies using or based on his works, awards, biography and trivia.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.imdb.com/name/nm0006097/"} +{"d:Title": "Musicalics", "d:Description": "Biography of the composer with summary list of concert works, photograph, and links.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://musicalics.com/en/node/79696"} +{"d:Title": "Classical Net", "d:Description": "Biography, articles on American in Paris, I Got Rhythm, Porgy and Bess, Rhapsody in Blue and a select discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.classical.net/music/comp.lst/gershwin.php"} +{"d:Title": "Naxos: George Gershwin", "d:Description": "Brief biographical sketch, caricature, summaries of stage, orchestral, and piano works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Gershwin,_George", "url": "http://www.naxos.com/person/George_Gershwin_27204/27204.htm"} +{"d:Title": "Claude Gervaise", "d:Description": "Brief biography relating him to chansons and dances with internal references to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/G/Gervaise,_Claude", "url": "http://www.hoasm.org/IVG/Gervaise.html"} +{"d:Title": "Claude Gervaise", "d:Description": "Entry at the Lied and Art Songs Text Page with French lyrics.", "topic": "Top/Arts/Music/Composition/Composers/G/Gervaise,_Claude", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=7051"} +{"d:Title": "Gesualdo, Carlo (c. 1560 - 1613), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://stevenestrella.com/composers/composerfiles/gesualdo1613.html"} +{"d:Title": "Carlo Gesualdo", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://www.classiccat.net/gesualdo_c/"} +{"d:Title": "Carlo Gesualdo", "d:Description": "Wikipedia article with short biography noting selected works, internal references, and external links.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://en.wikipedia.org/wiki/Carlo_Gesualdo"} +{"d:Title": "Carlo Gesualdo", "d:Description": "Find A Grave entry with summary of life and works, birth and death information, portrait, picture of church where buried and of the Castle Gesualdo, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9927397&pt=Carlo+Gesualdo"} +{"d:Title": "Carlo Gesualdo", "d:Description": "Filmography noting movies using his works plus biography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://www.imdb.com/name/nm0315192/"} +{"d:Title": "Don Carlo Gesualdo, Prince of Venosa, Count of Conza (1561 - 1613)", "d:Description": "Biography extolling the power of his music and the shame and sorrow of his personal life with bibliography and notes. By Rocco Brancati, reprinted by MusicWeb.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://www.musicweb-international.com/gesualdo.htm"} +{"d:Title": "Classical Archives: Carlo Gesualdo", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://www.classicalarchives.com/composer/7603.html"} +{"d:Title": "Carlo Gesualdo", "d:Description": "Biography dealing with his wife's adultery, his killing of her and her lover, a plunge into insanity, and eventual, graphic death from Musicalics. Includes portrait.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://musicalics.com/en/node/79699"} +{"d:Title": "Carlo Gesualdo", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, including some English translations.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4167"} +{"d:Title": "Carlo Gesualdo", "d:Description": "Brief biographical sketch, summaries of sacred and secular vocal works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Gesualdo,_Carlo", "url": "http://www.naxos.com/person/Carlo_Gesualdo/27205.htm"} +{"d:Title": "HOASM: Felice (de) Giardini [Degiardino]", "d:Description": "Biography and partial discography from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/G/Giardini,_Felice_de", "url": "http://www.hoasm.org/VIIE/Giardini.html"} +{"d:Title": "Felice de Giardini", "d:Description": "Biography and portrait.", "topic": "Top/Arts/Music/Composition/Composers/G/Giardini,_Felice_de", "url": "http://www.hymntime.com/tch/bio/g/i/a/giardini_fd.htm"} +{"d:Title": "Gibbons, Orlando (1583 - 1625), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gibbons,_Orlando", "url": "http://stevenestrella.com/composers/composerfiles/gibbons1625.html"} +{"d:Title": "Orlando Gibbons", "d:Description": "Biography, portrait, pictures of tomb within Canturbury Cathedral, link to others entomed in the church, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Gibbons,_Orlando", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9938&pt=Orlando+Gibbons"} +{"d:Title": "Classical Music Archives: Gibbons", "d:Description": "Music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gibbons,_Orlando", "url": "http://www.classicalarchives.com/composer/2584.html#music"} +{"d:Title": "Orlando Gibbons (1583-1625)", "d:Description": "Listing with English and German texts from the Lied and Art Song Texts Pages at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Gibbons,_Orlando", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4175"} +{"d:Title": "Gibbons, Orlando (1583 - 1625)", "d:Description": "Brief biography and summaries of his church, secular vocal, instrumental, and keyboard music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Gibbons,_Orlando", "url": "http://www.naxos.com/person/Orlando_Gibbons/27208.htm"} +{"d:Title": "Gibbs, Cecil Armstrong (1889 - 1960), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gibbs,_Cecil_Armstrong", "url": "http://stevenestrella.com/composers/composerfiles/gibbs1960.html"} +{"d:Title": "Gibbs, Cecil Armstrong (1889 - 1960)", "d:Description": "Biographical sentence and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Gibbs,_Cecil_Armstrong", "url": "http://www.naxos.com/person/Cecil_Armstrong_Gibbs/27209.htm"} +{"d:Title": "Miriam Gideon", "d:Description": "Detailed biography by George Sturm for Music Associates of America's MadAminA detailing family life, education, influences, and development of personal style.", "topic": "Top/Arts/Music/Composition/Composers/G/Gideon,_Miriam", "url": "http://www.musicassociatesofamerica.com/madamina/1985/gideon.html"} +{"d:Title": "Miscellaneous songs by Gideon", "d:Description": "List of songs and cycles with some lyrics.", "topic": "Top/Arts/Music/Composition/Composers/G/Gideon,_Miriam", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4178"} +{"d:Title": "Gilson, Paul (1865 - 1942), Belgium", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gilson,_Paul", "url": "http://stevenestrella.com/composers/composerfiles/gilson1942.html"} +{"d:Title": "Gilson, Paul (1865 - 1942)", "d:Description": "Brief musical biography with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Gilson,_Paul", "url": "http://www.naxos.com/person/Paul_Gilson/26053.htm"} +{"d:Title": "Alberto Ginastera", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/G/Ginastera,_Alberto_Evaristo", "url": "http://www.classiccat.net/ginastera_a/"} +{"d:Title": "Alberto Ginastera", "d:Description": "Wikipedia article noting his importance in Latin American music, studies, and relationship with Emerson, Lake, and Palmer. Includes internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/G/Ginastera,_Alberto_Evaristo", "url": "http://en.wikipedia.org/wiki/Alberto_Ginastera"} +{"d:Title": "Alberto Ginastera", "d:Description": "Filmography linked to films he scored and related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Ginastera,_Alberto_Evaristo", "url": "http://www.imdb.com/name/nm0319914/"} +{"d:Title": "Alberto Ginastera", "d:Description": "Biography summarizing the content and style of his compositional output, describing distinct periods relating to his nationalism. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Ginastera,_Alberto_Evaristo", "url": "http://www.naxos.com/person/Alberto_Ginastera/26054.htm"} +{"d:Title": "Alberto Ginastera (1916-1983)", "d:Description": "Miscellaneous songs and cycles, most in Spanish with English translations provided, from the Lied and Art Songs Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Ginastera,_Alberto_Evaristo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4185"} +{"d:Title": "Giordano, Umberto (1867 - 1948), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Giordano,_Umberto", "url": "http://stevenestrella.com/composers/composerfiles/giordano1948.html"} +{"d:Title": "Umberto Giordano", "d:Description": "Filmography linked to movies using or based on his compositions from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Giordano,_Umberto", "url": "http://www.imdb.com/name/nm0320364/"} +{"d:Title": "Umberto Giordano (1867-1948)", "d:Description": "Song listing with the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Giordano,_Umberto", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4187"} +{"d:Title": "Giordano, Umberto (1867 - 1948)", "d:Description": "Brief life and summary of his operas with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Giordano,_Umberto", "url": "http://www.naxos.com/person/Umberto_Giordano_26055/26055.htm"} +{"d:Title": "Glass, Louis (1864 - 1936), Denmark", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Louis", "url": "http://stevenestrella.com/composers/composerfiles/glass1936.html"} +{"d:Title": "Glass, Louis (1864 - 1936)", "d:Description": "Comparison of the composer with Carl Nielsen and mention of his students. Includes recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Louis", "url": "http://www.naxos.com/person/Louis_Glass/26058.htm"} +{"d:Title": "Louis Glass, Danish Composer (1864-1936)", "d:Description": "Dacapo Records biography focuses on his relative popularity to Nielsen and features his symphonic writing. Includes photograph.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Louis", "url": "http://www.dacapo-records.dk/en/artist-louis-glass.aspx"} +{"d:Title": "Koyaanisqatsi", "d:Description": "Koyaanisqatsi, an independent film by Godrey Reggio; film score by Philip Glass.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.koyaanisqatsi.com/"} +{"d:Title": "Philip Glass", "d:Description": "Official site. Includes biography, list of works, and calendar.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.philipglass.com/"} +{"d:Title": "Glass, Philip (1937 - ), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://stevenestrella.com/composers/composerfiles/glassliving.html"} +{"d:Title": "Orange Mountain Music", "d:Description": "Philip Glass's Music Label.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.orangemountainmusic.com/"} +{"d:Title": "mfiles - Philip Glass", "d:Description": "Biography, including his film music career, with soundtrack recommendations.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.mfiles.co.uk/composers/philip-glass.htm"} +{"d:Title": "Philip Glass in Conversation with Thomas Moore", "d:Description": "Interview by the pianist explores some of his multimedia compositions.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://userpages.umbc.edu/~tmoore/interview_frame.html"} +{"d:Title": "All Music Guide: Philip Glass", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.allmusic.com/artist/philip-glass-q2378"} +{"d:Title": "Philip Glass", "d:Description": "Filmography linked to movies and television programs he scored or which used his music plus related credits and links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.imdb.com/name/nm0001275/"} +{"d:Title": "Philip Glass", "d:Description": "Biography, key works, reviews, articles.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.musicsalesclassical.com/composer/short-bio/540"} +{"d:Title": "Philip Glass (1937-)", "d:Description": "Listing with the Lied and Art Song Texts Page at REC Music includes Whitman text.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4191"} +{"d:Title": "Glass, Philip (1937 - )", "d:Description": "Brief biography with a summary of his stage works.", "topic": "Top/Arts/Music/Composition/Composers/G/Glass,_Philip", "url": "http://www.naxos.com/person/Philip_Glass_26059/26059.htm"} +{"d:Title": "Glazunov, Alexander Konstantinovich (1865 - 1936), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Glazunov,_Aleksandr_Konstantinovich", "url": "http://stevenestrella.com/composers/composerfiles/glazunov1936.html"} +{"d:Title": "Classical Archives: Aleksandr Konstantinovich Glazunov", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/G/Glazunov,_Aleksandr_Konstantinovich", "url": "http://www.classicalarchives.com/composer/6257.html"} +{"d:Title": "Glazunov, Alexander Konstantinovich (1865 - 1936)", "d:Description": "Biographical sketch with summary of orchestral works and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Glazunov,_Aleksandr_Konstantinovich", "url": "http://www.naxos.com/person/Alexander_Konstantinovich_Glazunov/26060.htm"} +{"d:Title": "Aleksandr Glazunov", "d:Description": "Filmography noting movies based upon or using his music from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Glazunov,_Aleksandr_Konstantinovich", "url": "http://www.imdb.com/name/nm0322273/"} +{"d:Title": "Aleksandr Glazunov (1865-1936)", "d:Description": "Various vocal works with Cyrillic and transliterated texts from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Glazunov,_Aleksandr_Konstantinovich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4194"} +{"d:Title": "Gliere, Reinhold (1875 - 1956), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gli\u00e8re,_Reinhold_Moritzovich", "url": "http://stevenestrella.com/composers/composerfiles/gliere1956.html"} +{"d:Title": "Classical Archives: Reinhold Gli\u00e8re", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/G/Gli\u00e8re,_Reinhold_Moritzovich", "url": "http://www.classicalarchives.com/composer/2597.html"} +{"d:Title": "Gliere, Reinhold (1875 - 1956)", "d:Description": "Brief biographical sketch and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Gli\u00e8re,_Reinhold_Moritzovich", "url": "http://www.naxos.com/person/Reinhold_Gliere/26061.htm"} +{"d:Title": "Grave of Mikhail Glinka", "d:Description": "Picture of tombstone with link to list of other notable interments in the Alexander Nevsky Monastery cemetery in St. Petersburg. From Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSmpid=360&GRid=4352&"} +{"d:Title": "Classic Cat: Glinka", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://www.classiccat.net/glinka_m/"} +{"d:Title": "Mikhail Ivanovich Glinka", "d:Description": "Sheet music downloads for the founder of Russian nationalist music and biography with portrait from Every Note. Score samples in PDF format; full scores require payment.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://www.everynote.com/Glinka/49.html"} +{"d:Title": "The Father of Russian Music: Mikhail Glinka", "d:Description": "Brief biography from Humanities Web with selected works, portrait, quotations, discography, suggested reading, additional resources, chronology, and related articles.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=117"} +{"d:Title": "Classical Archives: Mikhail Ivanovich Glinka", "d:Description": "Biography and music files offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://www.classicalarchives.com/composer/7393.html"} +{"d:Title": "Glinka, Mikhail Ivanovich (1804 - 1857)", "d:Description": "Brief biography and summaries of his operas and orchestral music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://www.naxos.com/person/Mikhail_Ivanovich_Glinka/26063.htm"} +{"d:Title": "Mikhail Glinka", "d:Description": "Filmography noting movies and television programs based on or using his works from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://www.imdb.com/name/nm0322883/"} +{"d:Title": "Michael (Mikhael) Glinka", "d:Description": "Summary list of noted works, life history, and portrait at Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://musicalics.com/en/node/79732"} +{"d:Title": "Mikhail Ivanovich Glinka (1804-1857)", "d:Description": "Songs and cycles with transliterated and Cyrillic texts, some with English translations. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Glinka,_Mikhail_Ivanovich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4197"} +{"d:Title": "Christoph Willibald Gluck", "d:Description": "Biography noting his escape from his father's disapproval, portraits, partial discography, notable works, and related material from theHere Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.hoasm.org/XIID/XIIDGluck.html"} +{"d:Title": "Ritter Gluck: A Recollection from the Year 1809", "d:Description": "John Louis Miller translation of the E. T. A. Hoffmann story of a series of encounters with the composer. If the date is correct then the story is a fabrication; however, it gives insight into Gluck's later life.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.eaglesweb.com/John_Louis_Miller/R_Gluck.PDF"} +{"d:Title": "Christoph Willibald Gluck", "d:Description": "Find A Grave entry of the composer's tomb with biographical background and links to others buried in the cemetery.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4481"} +{"d:Title": "NewOlde.com - Christoph Willibald Ritter von Gluck", "d:Description": "Links, recommended recordings and books, new and forthcoming recordings, music editions and pictures of Gluck.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.newolde.com/gluck.htm"} +{"d:Title": "Christoph Willibald Gluck", "d:Description": "Biography, key works, timeline, recommended recordings, quotes, and suggested further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=34"} +{"d:Title": "Classical Archives - Christoph Willibald von Gluck", "d:Description": "Biography, works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.classicalarchives.com/composer/2599.html"} +{"d:Title": "Christoph Willibald Gluck", "d:Description": "Filmography listing movies and television programs based upon or otherwise using his works from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.imdb.com/name/nm0323220/"} +{"d:Title": "Christoph Willibald von Gluck (1714-1787)", "d:Description": "Vocal works, some with German, French, or Italian texts, a few also with English translations. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4201"} +{"d:Title": "Gluck, Christoph Willibald (1714 - 1787)", "d:Description": "Brief biography, caricature, and summaries of his operatic and balletic music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Gluck,_Christoph_Willibald,_Ritter_von", "url": "http://www.naxos.com/person/Christoph_Willibald_Gluck/26064.htm"} +{"d:Title": "Philip Goddard", "d:Description": "Official site for the composer, writer and nature photographer . Includes pictures, biography, works, sound files, technical details, spiritual and religious resources, and related material.", "topic": "Top/Arts/Music/Composition/Composers/G/Goddard,_Philip", "url": "http://www.philipgoddard-music.co.uk/"} +{"d:Title": "Music Web: Philip Goddard", "d:Description": "From Music Web Classical Reviews, February 2002. Commentary by Jonathan Woolf and the composer.", "topic": "Top/Arts/Music/Composition/Composers/G/Goddard,_Philip", "url": "http://www.musicweb-international.com/classrev/2002/Feb02/Goddard.htm"} +{"d:Title": "Classicalmusicnow.com: Philip Goddard", "d:Description": "Biographical Sketch of Philip Goddard, summary of style and output, and catalogue of works available for purchase or rental from his music publisher, Musik Fabrik.", "topic": "Top/Arts/Music/Composition/Composers/G/Goddard,_Philip", "url": "http://www.classicalmusicnow.com/goddard.htm"} +{"d:Title": "Classical Composers Database: Philip Goddard", "d:Description": "Summary of music with mention of others composing in a similar style, biography, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Goddard,_Philip", "url": "http://musicalics.com/en/composer/Philip-Goddard"} +{"d:Title": "Leopold Godowski", "d:Description": "Heinrich Neuhaus essay about his most esteemed teacher and friend.", "topic": "Top/Arts/Music/Composition/Composers/G/Godowsky,_Leopold", "url": "http://www.neuhaus.it/english/godowski.html"} +{"d:Title": "Godowsky, Leopold (1870 - 1938), Poland", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Godowsky,_Leopold", "url": "http://stevenestrella.com/composers/composerfiles/godowsky1938.html"} +{"d:Title": "Classical Archives: Leopold Godowsky", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Godowsky,_Leopold", "url": "http://www.classicalarchives.com/composer/2604.html"} +{"d:Title": "Godowsky, Leopold (1870 - 1938)", "d:Description": "Brief comments about his piano virtuosity and difficult compositions with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Godowsky,_Leopold", "url": "http://www.naxos.com/person/Leopold_Godowsky_26067/26067.htm"} +{"d:Title": "Johann Gottlieb Goldberg", "d:Description": "Recommended recording from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldberg,_Johann_Gottlieb", "url": "http://www.classical.net/music/comp.lst/goldberg.php"} +{"d:Title": "Goldmark, K\u00e1roly (1830 - 1915), Hungary", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldmark,_K\u00e1roly", "url": "http://stevenestrella.com/composers/composerfiles/goldmark1915.html"} +{"d:Title": "Classical Archives: Karl Goldmark", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldmark,_K\u00e1roly", "url": "http://www.classicalarchives.com/composer/7181.html"} +{"d:Title": "Goldmark, Karl (1830 - 1915)", "d:Description": "Brief biography with summaries of his operas and chamber music.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldmark,_K\u00e1roly", "url": "http://www.naxos.com/person/Karl_Goldmark/26068.htm"} +{"d:Title": "Carl Goldmark (1830-1915)", "d:Description": "Various vocal works, some with German texts, a few having English translations, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldmark,_K\u00e1roly", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4214"} +{"d:Title": "Filmtracks: Jerry Goldsmith Tribute", "d:Description": "Biography, photographs, and listings of past and future scoring projects. Includes reviews and information on CD availability.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldsmith,_Jerry", "url": "http://www.filmtracks.com/composers/goldsmith.shtml"} +{"d:Title": "Jerry Goldsmith: Film and TV Composer", "d:Description": "Biographical information, influences and proteges, commentary on the effect of his music, list of movie and television scores and soundtracks, links, and CD recommendations.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldsmith,_Jerry", "url": "http://www.mfiles.co.uk/Composers/Jerry-Goldsmith.htm"} +{"d:Title": "Jerry Goldsmith On-Line", "d:Description": "News, soundtracks, biography, filmography, DVD, concert and event information, poll, illustrations, and links.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldsmith,_Jerry", "url": "http://www.jerrygoldsmithonline.com/"} +{"d:Title": "Jerry Goldsmith", "d:Description": "Find A Grave record with birth and death dates, musical biography focused on television and motion picture contributions, portrait photo, picture of cemetery, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldsmith,_Jerry", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9167247&pt=Jerry+Goldsmith"} +{"d:Title": "Jerry Goldsmith", "d:Description": "Filmography at Internet Movie Database includes complete chronological listing for movies and television with links to details, biography, on-screen appearances, and awards.", "topic": "Top/Arts/Music/Composition/Composers/G/Goldsmith,_Jerry", "url": "http://www.imdb.com/name/nm0000025/"} +{"d:Title": "Gom\u00f3\u0142ka, Miko\u0142aj", "d:Description": "Biography noting his varied career in state, ecclesial, and musical endeavors from Polskie Wydawnictwo Muzyczne (Polish Music Publishing House).", "topic": "Top/Arts/Music/Composition/Composers/G/Gom\u00f3\u0142ka,_Miko\u0142aj", "url": "http://www.pwm.com.pl/szczegoly.php?aukcja=0&grupa_p=6&przedm=283417&pwd[6]=/118110/224404&grp=&sortuj=sattr_83&grupuj=&acttree=1#"} +{"d:Title": "Miko\u0142aj Gom\u00f3\u0142ka", "d:Description": "Sequenced MIDI audio of his complete Polish Psalter from On Classical/Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/G/Gom\u00f3\u0142ka,_Miko\u0142aj", "url": "http://www.kunstderfuge.com/polish.htm#Psalms"} +{"d:Title": "Miko\u0142aj Gom\u00f3\u0142ka (ca.1535 - post 1591)", "d:Description": "Biography with complete list of extant works, his setting of the Polish Psalter, sequenced by Bogus\u0142aw Krawczyk. From Completorium: Early Polish Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Gom\u00f3\u0142ka,_Miko\u0142aj", "url": "http://www.completorium.republika.pl/c_gomolk.htm"} +{"d:Title": "Goodwin, Ron", "d:Description": "Information on concerts, recordings, music hire, biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Goodwin,_Ron", "url": "http://www.rongoodwin.co.uk/"} +{"d:Title": "Ron Goodwin: Film Composer", "d:Description": "Listing with mfiles provides biographical, musical, and film highlights including a partial filmography.", "topic": "Top/Arts/Music/Composition/Composers/G/Goodwin,_Ron", "url": "http://www.mfiles.co.uk/Composers/Ron-Goodwin.htm"} +{"d:Title": "Battle of Britain: Ron Goodwin and William Walton", "d:Description": "MusicWeb CD Review from January, 2000 compares the scores submitted by the two and suggests why Goodwin's music won out over that of Walton.", "topic": "Top/Arts/Music/Composition/Composers/G/Goodwin,_Ron", "url": "http://www.musicweb-international.com/classrev/2000/jan00/battle.htm"} +{"d:Title": "Ron Goodwin", "d:Description": "Filmography at IMDb with composer, conductor, and musical director credits.", "topic": "Top/Arts/Music/Composition/Composers/G/Goodwin,_Ron", "url": "http://www.imdb.com/name/nm0006109/"} +{"d:Title": "Ron Goodwin", "d:Description": "Illustrated biography from the Robert Farnon Society's collection of light music composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Goodwin,_Ron", "url": "http://www.robertfarnonsociety.org.uk/index.php/legends/ron-goodwin"} +{"d:Title": "Bang on a Can: Michael Gordon", "d:Description": "Biographies of Bang on a Can's Artistic Directors and principal composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gordon,_Michael", "url": "http://bangonacan.org/about_us/michael_gordon"} +{"d:Title": "Michael Gordon", "d:Description": "Biography, works, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/G/Gordon,_Michael", "url": "http://www.musicsalesclassical.com/composer/short-bio/Michael-Gordon"} +{"d:Title": "G\u00e9recki, Henryk (1933 - Living), Poland", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00f3recki,_Henryk_Mikolaj", "url": "http://stevenestrella.com/composers/composerfiles/goreckiliving.html"} +{"d:Title": "Henryk Mikolaj G\u00f3recki", "d:Description": "Polish Music Center of USC introductory biography, quotes from the composer, honors and awards, works and opus numbers, publishers, and discography.", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00f3recki,_Henryk_Mikolaj", "url": "http://www.usc.edu/dept/polish_music/composer/gorecki.html"} +{"d:Title": "Henryk Mikolaj Gorecki", "d:Description": "List of films and television programs using his music from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00f3recki,_Henryk_Mikolaj", "url": "http://www.imdb.com/name/nm0330782/"} +{"d:Title": "Henryk Mikolaj G\u00f3recki (1933-)", "d:Description": "Miscellaneous vocal works listed at the Lied and Art Song Text Pages at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/G\u00f3recki,_Henryk_Mikolaj", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4229"} +{"d:Title": "Fran\u00e7ois Joseph Gossec", "d:Description": "Biography, sheet music, and book.", "topic": "Top/Arts/Music/Composition/Composers/G/Gossec,_Fran\u00e7ois-Joseph", "url": "http://www.grainger.de/music/composers/gossec.html"} +{"d:Title": "Fran\u00e7ois Gossec", "d:Description": "Listing with portrait, photographs of his tomb, cemetery links, and virtual memorials from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Gossec,_Fran\u00e7ois-Joseph", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7759&pt=Fran%E7ois+Gossec"} +{"d:Title": "Classical Archives: Fran\u00e7ois-Joseph Gossec", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gossec,_Fran\u00e7ois-Joseph", "url": "http://www.classicalarchives.com/composer/2622.html"} +{"d:Title": "Fran\u00e7ois-Joseph Gossec", "d:Description": "Brief listing with filmography noting the cinematic use of his works from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Gossec,_Fran\u00e7ois-Joseph", "url": "http://www.imdb.com/name/nm0994104/"} +{"d:Title": "All About Louis Moreau Gottschalk (1829-1869)", "d:Description": "Dedicated to the life and music of the great American pianist and composer. Includes essay on his turbulent life, extensive discography, links to books, music, and other resources.", "topic": "Top/Arts/Music/Composition/Composers/G/Gottschalk,_Louis_Moreau", "url": "http://www.louismoreaugottschalk.com/"} +{"d:Title": "Que La Fete Commence - Gottschalk and French Life in New Orleans", "d:Description": "Portrait of Gottschalk and quotes from F. Starr's biography, this page is part of an internet exhibition on the French influence in 19th-c. New Orleans", "topic": "Top/Arts/Music/Composition/Composers/G/Gottschalk,_Louis_Moreau", "url": "http://nutrias.org/~nopl/exhibits/french/moreau.htm"} +{"d:Title": "Louis Moreau Gottschalk's Grave", "d:Description": "Photograph of tombstone at Greenwood Cemetery, Brooklyn, New York from Find A Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Gottschalk,_Louis_Moreau", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=407"} +{"d:Title": "Louis Moreau Gottschalk: The First Gershwin", "d:Description": "Compact Discoveries page with essay on personal and cultural background of Gottschalk's music; includes author's recommendations of recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Gottschalk,_Louis_Moreau", "url": "http://compactdiscoveries.com/CompactDiscoveriesScripts/05Gottschalk.html"} +{"d:Title": "Classical Archives: Louis Moreau Gottschalk", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gottschalk,_Louis_Moreau", "url": "http://www.classicalarchives.com/composer/2626.html"} +{"d:Title": "Gottschalk, Louis Moreau (1829 - 1869)", "d:Description": "A brief biography of his challenging and hectic life, his exile to Brazil, and an evaluation of his skills with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/G/Gottschalk,_Louis_Moreau", "url": "http://www.naxos.com/person/Louis_Moreau_Gottschalk/21106.htm"} +{"d:Title": "Gould, Morton (1913 - 1996), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, and bibliography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Gould,_Morton", "url": "http://stevenestrella.com/composers/composerfiles/gould1996.html"} +{"d:Title": "Morton Gould", "d:Description": "Brief biography, photograph, cemetery information, and virtual memorial at Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Gould,_Morton", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6522030&pt=Morton+Gould"} +{"d:Title": "Classical Archives: Morton Gould", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Gould,_Morton", "url": "http://www.classicalarchives.com/composer/2629.html"} +{"d:Title": "Morton Gould", "d:Description": "Filmography with listed dramas and documentaries he scored and other credits. Includes notes on awards, links, and related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Gould,_Morton", "url": "http://www.imdb.com/name/nm0332457/"} +{"d:Title": "Morton Gould", "d:Description": "Biography, articles, reviews, works list.", "topic": "Top/Arts/Music/Composition/Composers/G/Gould,_Morton", "url": "http://www.musicsalesclassical.com/composer/short-bio/Morton-Gould"} +{"d:Title": "Morton Gould", "d:Description": "Official Broadway credits for Morton Gould, biographical information, and related material from the Internet Broadway Database.", "topic": "Top/Arts/Music/Composition/Composers/G/Gould,_Morton", "url": "https://www.ibdb.com/broadway-cast-staff/morton-gould-11772"} +{"d:Title": "Gould, Morton (1913-1996)", "d:Description": "Biographic sketch dealing with his composing and conducting. Includes recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/G/Gould,_Morton", "url": "http://www.naxos.com/person/Morton_Gould_21091/21091.htm"} +{"d:Title": "Charles Gounod", "d:Description": "Detailed biography, pictures and portraits, list of works, discography, conferences, bibliography, letters, audio samples, editors, forum, search, and links. [French and English.]", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.charles-gounod.com/"} +{"d:Title": "Charles-Fran\u00e7ois Gounod", "d:Description": "An article from the Catholic Encyclopedia focusing especially on his religious compositions and the effect of his beliefs on his compositions.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.newadvent.org/cathen/06683b.htm"} +{"d:Title": "Charles Gounod", "d:Description": "Brief biography noting famous works, photograph of tomb, cemetery information, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7717&pt=Charles+Gounod"} +{"d:Title": "Crawford and Gounod: Ambiguity and Irony in Malcolm's Katie", "d:Description": "Essay by Robert Alan Burns on the Canadian poet Isabella Crawford and her relation to Gounod. Includes reference endnotes.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.uwo.ca/english/canadianpoetry/cpjrn/vol15/burns.htm"} +{"d:Title": "The Versatile Career of Charles Gounad", "d:Description": "Brief biography, selected works, quotations, discography, photograph, suggested reading, additional resources, chronology, and related articles. From Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=118"} +{"d:Title": "Charles Gounod", "d:Description": "Wikipedia article with photograph, caricature from Punch, summary of life and works, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://en.wikipedia.org/wiki/Charles_Gounod"} +{"d:Title": "Gounod's Faust", "d:Description": "Description of the opera.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://cr.middlebury.edu/public/russian/Bulgakov/public_html/Gounod.html"} +{"d:Title": "Charles Gounod", "d:Description": "Biographical sketch, caricature, summaries of operatic, religious, orchestral, and vocal works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.naxos.com/composerinfo/423.htm"} +{"d:Title": "Charles Fran\u00e7ois Gounod", "d:Description": "Birth and death information, photograph, and information on his hymn tunes with MIDI audio, NWC scores, and lyrics from the Cyber Hymnal.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.hymntime.com/tch/bio/g/o/u/gounod_cf.htm"} +{"d:Title": "Classical Music Archives: Gounod", "d:Description": "Biography from the Concise Oxford Dictionary of Music. Over 50 complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.classicalarchives.com/composer/2630.html#music"} +{"d:Title": "Charles Gounod", "d:Description": "Filmography noting films and TV programs using or based on his works, especially the opera Faust, from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.imdb.com/name/nm0006111/"} +{"d:Title": "Charles Gounod (1818-1893)", "d:Description": "Songs and cycles, many with lyrics, plus selected English translations, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1028"} +{"d:Title": "Classical Net - Gounod", "d:Description": "Portrait, works and recordings", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.classical.net/music/comp.lst/gounod.php"} +{"d:Title": "Gounod, Charles-Francois (1818 - 1893)", "d:Description": "Biography, list of works and recordings.", "topic": "Top/Arts/Music/Composition/Composers/G/Gounod,_Charles_Fran\u00e7ois", "url": "http://www.naxos.com/person/Charles_Francois_Gounod/26074.htm"} +{"d:Title": "Paul Graener", "d:Description": "Biography and list of compositions of the German conductor and composer.", "topic": "Top/Arts/Music/Composition/Composers/G/Graener,_Paul", "url": "http://www.paul-graener.de/"} +{"d:Title": "Percy Grainger Society", "d:Description": "Biographical article; information on the Society; links.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.bardic-music.com/Pag.htm"} +{"d:Title": "A History of the Wooster High School Bands", "d:Description": "Wooster High School Music Department History - Bands. Grainger visited this school during the 1940s.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.whsmusic.nvi.net/bandhist1.htm"} +{"d:Title": "Grainger, Percy (1882 - 1961), Australia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://stevenestrella.com/composers/composerfiles/grainger1961.html"} +{"d:Title": "Percy Grainger", "d:Description": "Biography, photographic portrait, pictures of the tomb shared with his mother's ashes, links, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=10394&pt=Percy+Grainger"} +{"d:Title": "A Report on the Grainger Event", "d:Description": "Synopisis of a celebration at St. John's Smith Square, London Saturday 7th November - Sunday 8th November 1998.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.musicweb-international.com/grainger/graineve.html"} +{"d:Title": "Classical Archives: Percy Grainger", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.classicalarchives.com/composer/2632.html"} +{"d:Title": "Percy Grainger", "d:Description": "Filmography as composer and arranger, biography, links, and related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.imdb.com/name/nm0334448/"} +{"d:Title": "Grainger Museum - University of Melbourne", "d:Description": "Instruments, manuscripts, artworks, textiles and costumes related to his life and contemporaries. Includes biography, works, photographs, visual artistry, and family details.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.grainger.unimelb.edu.au/"} +{"d:Title": "Percy Aldridge Grainger", "d:Description": "Brief biography, photograph from his young days, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://musicalics.com/en/node/79770"} +{"d:Title": "Percy Aldridge Grainger (1882-1961)", "d:Description": "Extensive list of art songs and the Jungle Book cycle from the Lied and Art Song Texts Page at REC Music. Included many English texts.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4242"} +{"d:Title": "Grainger, Percy (1882 - 1961)", "d:Description": "Brief biography with summaries of his instrumental, vocal, and keyboard music.", "topic": "Top/Arts/Music/Composition/Composers/G/Grainger,_George_Percy_Aldridge", "url": "http://www.naxos.com/person/Percy_Grainger_26076/26076.htm"} +{"d:Title": "Granados, Enrique (1867 - 1916), Spain", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Granados_y_Campi\u00f1a,_Enrique_Costanzo", "url": "http://stevenestrella.com/composers/composerfiles/granados1916.html"} +{"d:Title": "Classic Cat: Granados", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/G/Granados_y_Campi\u00f1a,_Enrique_Costanzo", "url": "http://www.classiccat.net/granados_e/"} +{"d:Title": "Classical Archives: Enrique Granados", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Granados_y_Campi\u00f1a,_Enrique_Costanzo", "url": "http://www.classicalarchives.com/composer/2633.html"} +{"d:Title": "Enrique Granados", "d:Description": "Filmography showing movies using his works, trivia, and links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Granados_y_Campi\u00f1a,_Enrique_Costanzo", "url": "http://www.imdb.com/name/nm0334637/"} +{"d:Title": "Enrique Granados (1867-1916)", "d:Description": "Miscellaneous songs and the cycles Canciones amatorias, Canciones espa\u00f1olas, and Colecci\u00f3n de tonadillas from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Granados_y_Campi\u00f1a,_Enrique_Costanzo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4243"} +{"d:Title": "Granados, Enrique (1867 - 1916)", "d:Description": "Brief biography and summaries of his opera and his piano music and orchestral arrangements with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/G/Granados_y_Campi\u00f1a,_Enrique_Costanzo", "url": "http://www.naxos.com/person/Enrique_Granados/26077.htm"} +{"d:Title": "Grechaninov, Alexander (1864 - 1956), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Grechaninov,_Alexander_Tikhonovich", "url": "http://stevenestrella.com/composers/composerfiles/grechaninov1956.html"} +{"d:Title": "Alexander Tikhonovitch Gretchaninov", "d:Description": "Brief biography, summary of his music, links, and photograph from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Grechaninov,_Alexander_Tikhonovich", "url": "http://musicalics.com/en/node/79782"} +{"d:Title": "Aleksandr Tikhonovich Gretchaninov (1864-1956)", "d:Description": "Songs and cycles, many with transliterated Russian texts plus links to Cyrillic pages with some English and French translations. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Grechaninov,_Alexander_Tikhonovich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4254"} +{"d:Title": "Grechaninov, Alexandr Tikhonovich (1864-1956)", "d:Description": "Biographic sketch, mention of his symphonies, portrait, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Grechaninov,_Alexander_Tikhonovich", "url": "http://www.naxos.com/person/Alexandr_Tikhonovich_Grechaninov/26079.htm"} +{"d:Title": "Theo Green", "d:Description": "Filmography at IMDb with composer and sound designer credits.", "topic": "Top/Arts/Music/Composition/Composers/G/Green,_Theo", "url": "http://www.imdb.com/name/nm1640468/"} +{"d:Title": "Maurice Greene", "d:Description": "Wikipedia article with training and education, life, musical career, noted works, bibliography, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/G/Greene,_Maurice", "url": "http://en.wikipedia.org/wiki/Maurice_Greene_(composer)"} +{"d:Title": "Cybrerhymnal: Maurice Greene", "d:Description": "Cyberhymnal lyrics from the Scottish Psalter of 1650. Includes two hymn tunes, with MIDI audio file and score in NWC plug-in format that illuminates notes as they are played.", "topic": "Top/Arts/Music/Composition/Composers/G/Greene,_Maurice", "url": "http://www.hymntime.com/tch/bio/g/r/e/greene_m.htm"} +{"d:Title": "Greene, Maurice", "d:Description": "Extended illustrated chronological biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Greene,_Maurice", "url": "http://rslade.co.uk/18th-century-music/composers/maurice-greene/"} +{"d:Title": "Maurice Greene", "d:Description": "Listing of vocal works with lyrics in English, Latin, and Italian. From the Lied and Art Songs Text Page.", "topic": "Top/Arts/Music/Composition/Composers/G/Greene,_Maurice", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4250"} +{"d:Title": "Andr\u00e9 Ernest Modeste Gretry", "d:Description": "Brief biography, photographs of tomb in Paris and separate entombment of his heart in front of the Opera House, Liege, Belgium. Includes cemetery information and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Gr\u00e9try,_Andr\u00e9-Ernest-Modeste", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7753&pt=Andr%E9+Ernest+Modeste+Gretry"} +{"d:Title": "Classical CD Reviews- March 2001: GRETRY Suites and Overtures", "d:Description": "Orchestre de Bretagne conducted by Stefan Sanderling, ASV CD DCA 1095 [70:20].", "topic": "Top/Arts/Music/Composition/Composers/G/Gr\u00e9try,_Andr\u00e9-Ernest-Modeste", "url": "http://www.musicweb-international.com/classrev/2001/Mar01/Gretry.htm"} +{"d:Title": "Andr\u00e9-Ernest-Modeste Gr\u00e9try", "d:Description": "Birth and death information and filmography noting pictures using his music. From the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Gr\u00e9try,_Andr\u00e9-Ernest-Modeste", "url": "http://www.imdb.com/name/nm0344931/"} +{"d:Title": "Andr\u00e9 Ernest Modeste Gr\u00e9try (1741-1813)", "d:Description": "List of French language works with texts from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Gr\u00e9try,_Andr\u00e9-Ernest-Modeste", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4255"} +{"d:Title": "Edvard Grieg", "d:Description": "Site has various articles, biography and midi files.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.mnc.net/norway/EHG.htm"} +{"d:Title": "Odin: Edvard Grieg", "d:Description": "Detailed biography.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://odin.dep.no/odin/engelsk/norway/history/032005-990394/"} +{"d:Title": "Edvard Grieg", "d:Description": "Brief biography, photographic portrait, picture of marker noting the resting place of his ashes, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4238&pt=Edvard+Grieg"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.classiccat.net/grieg_eh/"} +{"d:Title": "Edvard Grieg: Miniaturist in a Big Way", "d:Description": "Contains brief biography, list of key works, suggested reading, timeline, recommended recordings, historical context, and links from Heart's Ease Conservatory.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=56"} +{"d:Title": "Classical Archives: Edvard Grieg", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.classicalarchives.com/composer/2643.html"} +{"d:Title": "Edvard Grieg", "d:Description": "Filmography, noting films and television programs using his music, quotes, trivia, and links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.imdb.com/name/nm0006113/"} +{"d:Title": "Edvard Grieg (1843-1907)", "d:Description": "Individual vocal works and cycles, most with Norse texts, some having English or French translations. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4256"} +{"d:Title": "Grieg, Edvard (1843 - 1907)", "d:Description": "Brief biography, caricature, and summary of stage works with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/G/Grieg,_Edvard_Hagerup", "url": "http://www.naxos.com/person/Edvard_Grieg_26082/26082.htm"} +{"d:Title": "Charles Tomlinson Griffes", "d:Description": "Detailed biography discussing his life, music, and death from influenza with portrait, pictures of his tomb, discussion of his homosexuality, and virtual memorial.", "topic": "Top/Arts/Music/Composition/Composers/G/Griffes,_Charles_Tomlinson", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20131&pt=Charles+Tomlinson+Griffes"} +{"d:Title": "About The Collection: Charles Griffes", "d:Description": "Picture and brief biography from the New York Public Library.", "topic": "Top/Arts/Music/Composition/Composers/G/Griffes,_Charles_Tomlinson", "url": "http://digital.nypl.org/lpa/nypl/about/about_music_grffes.cfm"} +{"d:Title": "Griffes (Charles Tomlinson) Collection, [ca. 1900-1920]", "d:Description": "Summary, user information, including citation preferences, and list of manuscripts from the New York Public Library.", "topic": "Top/Arts/Music/Composition/Composers/G/Griffes,_Charles_Tomlinson", "url": "http://digilib.nypl.org/dynaweb/ead/music/musgriffes/"} +{"d:Title": "Classical Archives: Charles Tomlinson Griffes", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/G/Griffes,_Charles_Tomlinson", "url": "http://www.classicalarchives.com/composer/2644.html"} +{"d:Title": "Charles Tomlinson Griffes", "d:Description": "Music and life from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Griffes,_Charles_Tomlinson", "url": "http://musicalics.com/en/node/79286"} +{"d:Title": "Miscellaneous Songs by Griffes", "d:Description": "List of songs, some with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/G/Griffes,_Charles_Tomlinson", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4259"} +{"d:Title": "Ferde Grofe", "d:Description": "Birth and death information, portrait photograph, pictures of crypt, cemetery links, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/G/Grof\u00e9,_Ferdinand_Rudolph_von", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=423&pt=Ferde+Grofe"} +{"d:Title": "Grof\u00e9, Ferde (1892 - 1972), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Grof\u00e9,_Ferdinand_Rudolph_von", "url": "http://www.stevenestrella.com/composers/index.html?composerfiles/grofe1972.html"} +{"d:Title": "Ferde Grof\u00e9", "d:Description": "Detailed biography, major works and genres, trivia, and links from Silver Burdett Making Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Grof\u00e9,_Ferdinand_Rudolph_von", "url": "http://www.sbgmusic.com/html/teacher/reference/composers/grofe.html"} +{"d:Title": "Grofe, Ferde (1892-1972)", "d:Description": "Biographical sketch, portrait, summary of works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Grof\u00e9,_Ferdinand_Rudolph_von", "url": "http://www.naxos.com/person/Ferde_Grofe/26084.htm"} +{"d:Title": "Ferde Grof\u00e9 Sr.", "d:Description": "Filmography noting movies he scored or which used his songs and orchestral works, trivia and familial associations, and related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Grof\u00e9,_Ferdinand_Rudolph_von", "url": "http://www.imdb.com/name/nm0342928/"} +{"d:Title": "Ferde Grof\u00e9", "d:Description": "Birth and death dates, portrait photograph, and major works from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Grof\u00e9,_Ferdinand_Rudolph_von", "url": "http://musicalics.com/en/node/79791"} +{"d:Title": "Franz Gr\u00fcber", "d:Description": "Filmography listing pictures using Silent Night at the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Gruber,_Franz_Xaver", "url": "http://www.imdb.com/name/nm0345045/"} +{"d:Title": "Franz Xaver Gruber", "d:Description": "Biography, portrait, and picture of his grave near the entrance to his museum in Hallein, Austria. Includes interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/G/Gruber,_Franz_Xaver", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8225678&pt=Franz+Gruber"} +{"d:Title": "Franz Xaver Gruber", "d:Description": "Listing at the Lied and Art Songs Text Page with lyrics to \"Glade jul, dejlige jul.\"", "topic": "Top/Arts/Music/Composition/Composers/G/Gruber,_Franz_Xaver", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4275"} +{"d:Title": "Franz Xaver Gruber - Hymn Time", "d:Description": "Brief biography and portrait linked to Joseph Mohr information, text, MIDI tune, and MWC score of Silent Night plus translations of his carol Holy Night. From the CyberHymnal.", "topic": "Top/Arts/Music/Composition/Composers/G/Gruber,_Franz_Xaver", "url": "http://www.hymntime.com/tch/bio/g/r/u/gruber_fx.htm"} +{"d:Title": "HK (Heinz Karl) Gruber", "d:Description": "Biography by Rudolph Illavsky at Musicalics notes Gruber's talents in other musical areas, his sense of humor, and membership in die reihe and the Radio Symphony Orchestra of Vienna.", "topic": "Top/Arts/Music/Composition/Composers/G/Gruber,_HK", "url": "http://musicalics.com/en/node/90753"} +{"d:Title": "Guarneri, Carmago (1907 - 1993), Brazil", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Guarnieri,_Mozart_Carmago", "url": "http://stevenestrella.com/composers/composerfiles/guarneri1993.html"} +{"d:Title": "Camargo Guarnieri", "d:Description": "Filmography as composer and background material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/G/Guarnieri,_Mozart_Carmago", "url": "http://www.imdb.com/name/nm1283158/"} +{"d:Title": "Camargo Guarnieri (1907-1993)", "d:Description": "Listing of art songs and cycles with public domain texts in Portuguese from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/G/Guarnieri,_Mozart_Carmago", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4280"} +{"d:Title": "Guarnieri, Camargo (1907-1993)", "d:Description": "Biographical sketch, place in Brazilian music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/G/Guarnieri,_Mozart_Carmago", "url": "http://www.naxos.com/person/Camargo_Guarnieri/26087.htm"} +{"d:Title": "Guastavino, Carlos (1912 - 2000), Argentina", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Guastavino,_Carlos", "url": "http://stevenestrella.com/composers/composerfiles/guastavino2000.html"} +{"d:Title": "Carlos Guastavino", "d:Description": "Fundaci\u00f3n Ostinato biographical essay and list of works, CDs, bibliography, publishers, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/G/Guastavino,_Carlos", "url": "http://ostinato.tripod.com/guas.html"} +{"d:Title": "Guastavino, Carlos (1912 - 2000)", "d:Description": "Brief sketch with recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/G/Guastavino,_Carlos", "url": "http://www.naxos.com/person/Carlos_Guastavino/26088.htm"} +{"d:Title": "A Russian Composer's Path to Freedom", "d:Description": "Sofia Gubaidulina talks about synthesizing intuition and spirituality.", "topic": "Top/Arts/Music/Composition/Composers/G/Gubaidulina,_Sofia", "url": "http://www.csmonitor.com/1997/0827/082797.feat.music.1.html"} +{"d:Title": "Sofia Gubaidulina", "d:Description": "Biography, work list, article, reviews, links.", "topic": "Top/Arts/Music/Composition/Composers/G/Gubaidulina,_Sofia", "url": "http://www.musicsalesclassical.com/composer/short-bio/Sofia-Gubaidulina"} +{"d:Title": "Guido of Arezzo", "d:Description": "Catholic Encyclopedia article with life and summary of contributions to musical theory and composition.", "topic": "Top/Arts/Music/Composition/Composers/G/Guido_of_Arezzo", "url": "http://www.newadvent.org/cathen/07065a.htm"} +{"d:Title": "Guido d'Arezzo, (c. 0995 - c. 1050), France/Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/G/Guido_of_Arezzo", "url": "http://www.stevenestrella.com/composers/index.html?composerfiles/guido1050.html"} +{"d:Title": "Felix Alexandre Guilmant (1837-1911)", "d:Description": "Detailed homage including biography, works list, gallery, discography, literature, links, and contact. From Piet Bron.", "topic": "Top/Arts/Music/Composition/Composers/G/Guilmant,_F\u00e9lix_Alexandre", "url": "http://www.guilmant.nl/"} +{"d:Title": "F\u00e9lix-Alexandre Guilmant", "d:Description": "Works list including original pieces, arrangements, transcriptions, and publications of others' works, dedications to him by others, photographs, and links. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/G/Guilmant,_F\u00e9lix_Alexandre", "url": "http://musicalics.com/en/node/80986"} +{"d:Title": "Jeffrey R. Gund", "d:Description": "Official site. Biography, resume, music, concert works, contact information, and links from the award winning composer, songwriter, producer, and sound designer for film, television, and multimedia.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/G/Gund,_Jeffrey_R.", "url": "http://www.jeffreyrgund.com/"} +{"d:Title": "Jeffrey R. Gund", "d:Description": "Filmography at IMDb includes movie and video game credits for composition, sound design, and sound effects.", "topic": "Top/Arts/Music/Composition/Composers/G/Gund,_Jeffrey_R.", "url": "http://www.imdb.com/name/nm0002819/"} +{"d:Title": "HOASM: Adalbert Gyrowetz", "d:Description": "Lithograph and biography, from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/G/Gyrowetz,_Adalbert", "url": "http://www.hoasm.org/XIIC/Gyrowetz.html"} +{"d:Title": "Adalbert Gyrowetz", "d:Description": "Biography of the man born Vojtech Jirovec showing his travels, musical development, friendships with Mozart, Haydn, and Beethoven, and quotes.", "topic": "Top/Arts/Music/Composition/Composers/G/Gyrowetz,_Adalbert", "url": "http://musicalics.com/en/node/80993"} +{"d:Title": "Kalvos and Damien: Daron Hagen", "d:Description": "Pictures and recordings.", "topic": "Top/Arts/Music/Composition/Composers/H/Hagen,_Daron_Aric", "url": "http://newmusicbazaar.com/hagenda.html"} +{"d:Title": "Daron Hagen: Composer", "d:Description": "Official site. Includes events and news, audio samples, biography, discography, works list, articles, reviews, operas, gallery, links, message board, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/H/Hagen,_Daron_Aric", "url": "http://daronhagen.com/"} +{"d:Title": "Earle Hagen: The Best of All Worlds", "d:Description": "Big bands, movies, television, records, and hit songs.", "topic": "Top/Arts/Music/Composition/Composers/H/Hagen,_Earle", "url": "http://www.earlehagen.net/"} +{"d:Title": "BMI: Earle Hagen Autobiography Shows Why He Knows the Score", "d:Description": "Article about the autobiography written by Jon Burlingame.", "topic": "Top/Arts/Music/Composition/Composers/H/Hagen,_Earle", "url": "http://www.bmi.com/news/entry/233407"} +{"d:Title": "New York Public Library", "d:Description": "Alexei Haieff papers, [1895-1992].", "topic": "Top/Arts/Music/Composition/Composers/H/Haieff,_Alexei_Vasilievich", "url": "http://digilib.nypl.org/dynaweb/ead/nypl/mushaieff/@Generic__BookView"} +{"d:Title": "Hajibeyov, Uzeyir (1885-1948)", "d:Description": "Sound samples, librettos, plots of major works: Koroghlu, Arshin Mal Alan, Mashadi Ibad, Leyli Majnun.", "topic": "Top/Arts/Music/Composition/Composers/H/Hajibeyov,_Uzeyir", "url": "http://www.hajibeyov.com/"} +{"d:Title": "Uzeyir Hajibeyov: 110th Jubilee", "d:Description": "Tribute by Anar and Ramazan Khalilov in Azerbaijan International.", "topic": "Top/Arts/Music/Composition/Composers/H/Hajibeyov,_Uzeyir", "url": "http://www.azer.com/aiweb/categories/magazine/33_folder/33_articles/33_hajibeyov.html"} +{"d:Title": "NPR World Of Opera: Hal\u00e9vy La Juive", "d:Description": "Review.", "topic": "Top/Arts/Music/Composition/Composers/H/Hal\u00e9vy,_Jacques_Fromental", "url": "http://www.npr.org/programs/worldofopera/archives/990925.woo.html"} +{"d:Title": "Judaic Treasures of the Library of Congress: Jacques Halevy", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Hal\u00e9vy,_Jacques_Fromental", "url": "http://www.jewishvirtuallibrary.org/jsource/loc/Halevy.html"} +{"d:Title": "Gregory Hall: Nonpop New Music Composer", "d:Description": "Background material including his musical evolution and deeper entry into minimalism, especially under the influence of Ned Rorem with RAM audio comments and picture. From Kalvos and Damian's New Music Bazaar.", "topic": "Top/Arts/Music/Composition/Composers/H/Hall,_Gregory", "url": "http://kalvos.org/hallgre.html"} +{"d:Title": "Gregory Hall: Inventing Musical Language", "d:Description": "Official site. Provides biography, an exploration of both his contemporary classical and his electro-acoustic works including samples in MP3 audio format, discography, writings, links, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/H/Hall,_Gregory", "url": "http://www.gregoryhall.org/"} +{"d:Title": "Blogdog", "d:Description": "Weblog by Karen Norteman frequently intertwined with the life of Maine composer Gregory Hall.", "topic": "Top/Arts/Music/Composition/Composers/H/Hall,_Gregory", "url": "http://www.saltysheepdog.blogspot.com/"} +{"d:Title": "Halle, Adam de la (c. 1237 - c. 1287)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Halle,_Adam_de_la", "url": "http://stevenestrella.com/composers/composerfiles/halle1287.html"} +{"d:Title": "Adam de la Halle: Le Bossu d'Arras", "d:Description": "Information on the man called \"The Hunchback of Arras\" including selected works, discography, suggested reading, and chronology from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/H/Halle,_Adam_de_la", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=10"} +{"d:Title": "Adam de la Halle", "d:Description": "Wikipedia article noting contributions to French secular theater and comic opera with internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/H/Halle,_Adam_de_la", "url": "http://en.wikipedia.org/wiki/Adam_de_la_Halle"} +{"d:Title": "Classical Archives: Adam de la Halle", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Halle,_Adam_de_la", "url": "http://www.classicalarchives.com/composer/2666.html"} +{"d:Title": "Adam de la Halle", "d:Description": "Entry at the Lied and Arts Songs Text Page linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/H/Halle,_Adam_de_la", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1108"} +{"d:Title": "Haflidi Hallgr\u00edmsson", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Hallgr\u00edmsson,_Haflidi", "url": "http://www.musicsalesclassical.com/composer/short-bio/616"} +{"d:Title": "Hamerik, Asger (1843-1923)", "d:Description": "Danish composer. Brief biography with illustration from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/H/Hamerik,_Asger", "url": "http://www.dacapo-records.dk/en/artist-asger-hamerik.aspx"} +{"d:Title": "The Czech Handel Society", "d:Description": "Information and aims of the Society. Basics about G.F. Handel. Publications and recordings offered and concerts and lectures organized by the Society.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.haendel.cz/"} +{"d:Title": "George Frideric Handel (1685-1759)", "d:Description": "Biographical information, catalog of compositions, recent recordings and reviews, bibliographies, organizations, and links. (by Brad Leissa)", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://gfhandel.org/"} +{"d:Title": "Handel, George Frideric (1685 - 1759)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://stevenestrella.com/composers/composerfiles/handel1759.html"} +{"d:Title": "The American Handel Society", "d:Description": "Events, membership, and subscription information.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.americanhandelsociety.org/"} +{"d:Title": "Classical Music Archives - Handel", "d:Description": "Over 600 works by Handel (including the complete Messiah) and two biographies (Concise Oxford Dictionary of music.) Works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.classicalarchives.com/handel.html"} +{"d:Title": "George Frederick Handel (1685-1759)", "d:Description": "Detailed biography, large portrait, scores, orchestral and organ works, related composers, and links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.hoasm.org/PeriodX.html"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.classiccat.net/handel_gf/"} +{"d:Title": "The Rise and Fall of Henry Brydges, Duke of Chandos", "d:Description": "The dramatic story of the Duke of Chandos, for whom Handel composed the Chandos Anthems - his rise to riches and fall to financial ruin within ten years. Illustrated.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.baroquemusic.org/chandos.html"} +{"d:Title": "NewOlde.com - George Frideric Handel", "d:Description": "Recommended Handel links, books and music editions, with brief descriptions.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.newolde.com/handel.htm"} +{"d:Title": "George Frideric Handel: A Man of His Time", "d:Description": "Brief biographical note, selected works, quotes, recommended reading and recordings, other resources, and timeline from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=33"} +{"d:Title": "KUNST DER FUGE. H\u00e4ndel page.", "d:Description": "Free and complete MIDI files of the fuguistic compositions, 'Messiah' included.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.kunstderfuge.com/handel.htm"} +{"d:Title": "Handel at Adlington Hall", "d:Description": "Information about Handel's friendship with the Legh family and visits to their home, Adlington Hall, Cheshire. He also played Adlington Hall organ, built by Bernard \"Father\" Smith in 1670.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.baroquecds.com/Adlington.html"} +{"d:Title": "Georg Friedrich H\u00e4ndel", "d:Description": "Filmography listing movies and television programs using or based on his works, brief biography, and links to related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.imdb.com/name/nm0005594/"} +{"d:Title": "Georg Friedrich H\u00e4ndel (1685-1759)", "d:Description": "Listing of vocal works and lyrics, many in Italian with English translations, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4326"} +{"d:Title": "Classical Net: Handel", "d:Description": "Discography, links.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic", "url": "http://www.classical.net/music/comp.lst/handel.php"} +{"d:Title": "Karpeles Manuscript Library - Handel's Messiah in Beethoven's hand", "d:Description": "[scans of two pages of a historically interesting manuscript]", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic/Messiah,_The", "url": "http://www.rain.org/~karpeles/handeltext.html"} +{"d:Title": "Antipas Christadelphians: Handel's Messiah Midi Page", "d:Description": "Complete libretto, commentary, nearly complete MIDI.", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic/Messiah,_The", "url": "http://www.antipas.org/handel/midipage.html"} +{"d:Title": "Messiah by George Frideric Handel", "d:Description": "Digital facsimile of complete score (1912 Schirmer ed.)", "topic": "Top/Arts/Music/Composition/Composers/H/Handel,_George_Frederic/Messiah,_The", "url": "http://ccel.org/h/handel/messiah/"} +{"d:Title": "Hanon, Charles-Louis (1819 - 1900)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanon,_Charles-Louis", "url": "http://stevenestrella.com/composers/composerfiles/hanon1900.html"} +{"d:Title": "Jan Erik Hansen: Music from the Heart", "d:Description": "New Danish music, tribute to Martin Luther King, Stabat Mater, jazz-classic-gospel, and other MP3 audio files.", "topic": "Top/Arts/Music/Composition/Composers/H/Hansen,_Jan_Erik", "url": "http://hansen.janerik.tripod.com/"} +{"d:Title": "Hanson, Howard (1896 - 1981)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://stevenestrella.com/composers/composerfiles/hanson1981.html"} +{"d:Title": "Howard Hanson", "d:Description": "Brief profile with two portrait photographs from the Northwester University Alumni Exhibit.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.library.northwestern.edu/archives/exhibits/alumni/hanson.html"} +{"d:Title": "Howard Hanson", "d:Description": "Biographical sketch noting major works and awards with virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6842737&pt=Howard+Hanson"} +{"d:Title": "Howard Hanson", "d:Description": "Biography, discography and information about the premier at the Metropolitan Opera of Merry Mount including the cast of characters, synopsis, and performance history.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.usopera.com/composers/hanson.html"} +{"d:Title": "Institute for American Music History: Howard Hanson", "d:Description": "Offers information about the Institute, founded by the composer. Also includes a short biography by Ruth Watanabe.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.rochester.edu/Eastman/iam/"} +{"d:Title": "Howard Hanson", "d:Description": "Brief filmography from the Internet Movie Database (IMDb) with links to related material.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.imdb.com/name/nm0361279/"} +{"d:Title": "Howard Hanson", "d:Description": "Catalog of works, profile of life, and photograph from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://musicalics.com/en/node/79815"} +{"d:Title": "Howard Hanson (1896-1981)", "d:Description": "Listing with the Lied and Art Song Texts Page at REC Music linked to text of Whitman poem he arranged for chorus.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4331"} +{"d:Title": "Classical Net - Basic Repertoire List - Hanson", "d:Description": "Picture, and information about three symphonies.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.classical.net/music/comp.lst/hanson.php"} +{"d:Title": "Naxos: Howard Hanson", "d:Description": "Biographical sketch noting his affinity for working in a Romantic, European style and influence upon American music with portrait sketch and discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Hanson,_Howard", "url": "http://www.naxos.com/person/Howard_Hanson_24404/24404.htm"} +{"d:Title": "Harbison, John", "d:Description": "(1938 - ) Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Harbison,_John", "url": "http://stevenestrella.com/composers/composerfiles/harbisonliving.html"} +{"d:Title": "USOpera: John Harbison", "d:Description": "List of three operas with a description of \" Full Moon in March.\"", "topic": "Top/Arts/Music/Composition/Composers/H/Harbison,_John", "url": "http://www.usopera.com/composers/harbison.html"} +{"d:Title": "MIT Music Faculty", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Harbison,_John", "url": "http://web.mit.edu/music/facstaff/harbison.html"} +{"d:Title": "Harbison, John", "d:Description": "Includes biography, reviews, articles, work list, upcoming performances, and links.", "topic": "Top/Arts/Music/Composition/Composers/H/Harbison,_John", "url": "http://www.musicsalesclassical.com/composer/short-bio/John-Harbison"} +{"d:Title": "David Harris", "d:Description": "Program notes from the 2000 World Saxophone Congress in Montr\u00e9al, Qu\u00e9bec, Canada.", "topic": "Top/Arts/Music/Composition/Composers/H/Harris,_David", "url": "http://www153.pair.com/bensav/Compositeurs/Harris.David.html"} +{"d:Title": "Roy and Johana Harris", "d:Description": "Portraits of him with his fourth wife and brief biographies of both.", "topic": "Top/Arts/Music/Composition/Composers/H/Harris,_LeRoy_Ellsworth", "url": "http://www.royaltyfreemusic.com/parents.html"} +{"d:Title": "Roy Harris: A Bio-Bibliography By Dan Stehman [RB]", "d:Description": "Book Review, from the MusicWeb(UK).", "topic": "Top/Arts/Music/Composition/Composers/H/Harris,_LeRoy_Ellsworth", "url": "http://www.musicweb-international.com/classrev/2001/June01/Harrisbook.htm"} +{"d:Title": "Harris, Roy", "d:Description": "Includes biography, notes, and key works.", "topic": "Top/Arts/Music/Composition/Composers/H/Harris,_LeRoy_Ellsworth", "url": "http://www.musicsalesclassical.com/composer/short-bio/632"} +{"d:Title": "Roy Harris (1898-1979)", "d:Description": "List of vocal works, some with English lyrics, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Harris,_LeRoy_Ellsworth", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4339"} +{"d:Title": "Lou Harrison", "d:Description": "Includes a short biography and work list, from Frog Peak Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Harrison,_Lou", "url": "http://www.frogpeak.org/fpartists/fpharrison.html"} +{"d:Title": "Lou Harrison", "d:Description": "Birth and death information, biography, and musical contributions with photographs and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/H/Harrison,_Lou", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7207976&pt=Lou+Harrison"} +{"d:Title": "Music of Lou Harrison, published by Peermusic Classical", "d:Description": "Picture, biography, worklist, discography, and essay.", "topic": "Top/Arts/Music/Composition/Composers/H/Harrison,_Lou", "url": "http://www.peermusicclassical.com/composer/composerdetail.cfm?detail=harrison"} +{"d:Title": "Lou Harrison", "d:Description": "Filmography noting original compositions and other use of his works in motion pictures and television from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/H/Harrison,_Lou", "url": "http://www.imdb.com/name/nm0365722/"} +{"d:Title": "Hartmann, Johan Peter Emilius (1805-1900)", "d:Description": "Danish composer. Brief biography emphasizing his works in different styles and musical epochs with illustration from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/H/Hartmann,_Johan_Peter_Emilius", "url": "http://www.dacapo-records.dk/en/artist-johan-peter-emilius-hartmann.aspx"} +{"d:Title": "Johan Peter Emilius Hartmann (1805-1900)", "d:Description": "Vocal works with Danish lyrics from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Hartmann,_Johan_Peter_Emilius", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4344"} +{"d:Title": "The Hasse Project", "d:Description": "Featuring music by and information about the German Baroque composer Johann Adolph Hasse.", "topic": "Top/Arts/Music/Composition/Composers/H/Hasse,_Johann_Adolph", "url": "http://www.hasseproject.com/"} +{"d:Title": "Hasse, Johann Adolph (1699 - 1783)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hasse,_Johann_Adolph", "url": "http://stevenestrella.com/composers/composerfiles/hasse1783.html"} +{"d:Title": "Hasse, Johann Adolph (1699-1783)", "d:Description": "Very brief profile.", "topic": "Top/Arts/Music/Composition/Composers/H/Hasse,_Johann_Adolph", "url": "http://jan.ucc.nau.edu/~tas3/hasse.html"} +{"d:Title": "Classical Archives: Johann Adolph Hasse", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Hasse,_Johann_Adolph", "url": "http://www.classicalarchives.com/composer/2674.html"} +{"d:Title": "Hassler, Hans Leo (1564 - 1612)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hassler,_Hans_Leo", "url": "http://stevenestrella.com/composers/composerfiles/hassler1612.html"} +{"d:Title": "Classical Archives: Hans Leo Hassler", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Hassler,_Hans_Leo", "url": "http://www.classicalarchives.com/composer/2676.html"} +{"d:Title": "Hastings, Thomas (1784-1841)", "d:Description": "Brief profile with genealogical information connecting him to an 11th century English family, links to other Hastings resources.", "topic": "Top/Arts/Music/Composition/Composers/H/Hastings,_Thomas", "url": "http://freepages.history.rootsweb.ancestry.com/~dav4is/people/HAST675.htm"} +{"d:Title": "The Haydn Forum", "d:Description": "Discussion group focusing on the life, music, recordings, and scholarship of Franz-Josef Haydn.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://groups.yahoo.com/group/Haydn/"} +{"d:Title": "Recent Literature on Joseph Haydn", "d:Description": "[bibliography]", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.angelfire.com/wi/boccherini/haydn.html"} +{"d:Title": "Catholic Encyclopedia: Franz Joseph Haydn", "d:Description": "Biographical article.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.newadvent.org/cathen/07158b.htm"} +{"d:Title": "Haydn, Franz Joseph (1732 - 1809)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://stevenestrella.com/composers/composerfiles/haydn1809.html"} +{"d:Title": "Classical Music Archives: Haydn", "d:Description": "Over 370 complete works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music. Timelines, search engine.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.classicalarchives.com/haydn.html"} +{"d:Title": "Kunst der Fuge: Joseph Haydn", "d:Description": "Includes MIDI files of 17 symphonies and several chamber works.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.kunstderfuge.com/haydn.htm"} +{"d:Title": "Classic Cat: Haydn", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.classiccat.net/haydn_fj/"} +{"d:Title": "Opera Glass: Franz Joseph Haydn", "d:Description": "(chronology of operas)", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://opera.stanford.edu/Haydn/main.html"} +{"d:Title": "Franz Joseph Haydn (1732-1809)", "d:Description": "Reviews, links, recent and recommended recordings, and books from NewOlde.com.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.newolde.com/haydn.htm"} +{"d:Title": "Haydn", "d:Description": "Yahoo discussion group dedicated to discussion of the man and anything relating to his music. Partially moderated with open membership.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://launch.groups.yahoo.com/group/Haydn/"} +{"d:Title": "Franz Joseph Haydn", "d:Description": "Biography from the Internet Public Library's Music History 102 addresses his contributions to the symphony and the string quartet. Includes portrait.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.ipl.org/div/mushist/clas/index.htm#haydn"} +{"d:Title": "Joseph Haydn", "d:Description": "Wikipedia article with detailed life, character study, musical analysis and evolution of his style, books and articles, works list, illustrations, links, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://en.wikipedia.org/wiki/Joseph_Haydn"} +{"d:Title": "F. J. Haydn Scores", "d:Description": "Listing of individual works with preview images and various visual and audio downloads in MIDI, PS, PDF, LY, and PNG formats from Mutopia.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.mutopiaproject.org/cgibin/make-table.cgi?Composer=HaydnFJ&preview=1"} +{"d:Title": "Joseph Haydn", "d:Description": "Find A Grave listing with musical biography, portrait, pictures of tomb in the Bergkirche in Eisenstadt, Austria, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4408&pt=Joseph+Haydn"} +{"d:Title": "Joseph Haydn", "d:Description": "Filmography showing the use of his works in cinema and television with biographical information and trivia from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.imdb.com/name/nm0370817/"} +{"d:Title": "Papa Haydn: Father of the Symphony", "d:Description": "Brief biography, key works, bibliography, recommended reading and recordings, quotes, timeline, and further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=37"} +{"d:Title": "Joseph Haydn (1732 - 1809)", "d:Description": "Overview of the composer, with biography, influences, and major works.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.mfiles.co.uk/composers/Joseph-Haydn.htm"} +{"d:Title": "Franz Joseph Haydn (1732 - 1809)", "d:Description": "Biographical sketch, caricature, summaries of church, oratorio, stage, vocal, orchestral, concerto, and keyboard compositions, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.naxos.com/composerinfo/462.htm"} +{"d:Title": "Franz Josef Haydn", "d:Description": "Birth and death dates, portrait, and hymn tunes with MIDI audio and NWC format scores from the Cyber Hymnal.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.hymntime.com/tch/bio/h/a/y/haydn_fj.htm"} +{"d:Title": "Classical Net: F. J. Haydn", "d:Description": "Discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Franz_Joseph", "url": "http://www.classical.net/music/comp.lst/haydnfj.php"} +{"d:Title": "Recent Literature on Johann Michael Haydn", "d:Description": "[bibliography]", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Johann_Michael", "url": "http://www.angelfire.com/wi/boccherini/mhaydn.html"} +{"d:Title": "Catholic Encyclopedia: Johann Michael Haydn", "d:Description": "Biographical article.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Johann_Michael", "url": "http://www.newadvent.org/cathen/07158a.htm"} +{"d:Title": "Haydn, Michael (1737 - 1806)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Johann_Michael", "url": "http://stevenestrella.com/composers/composerfiles/haydn1806.html"} +{"d:Title": "Michael Haydn Project", "d:Description": "Biography, historical background, list of works including MH number, date, title, type, and key, CD discography, and noted contemporaries.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Johann_Michael", "url": "http://www.haydn.dk/"} +{"d:Title": "Cyber Hymnal: Johann Michael Haydn (1737-1806)", "d:Description": "[2 hymns: MIDI] Brief biographical note; portrait.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Johann_Michael", "url": "http://www.hymntime.com/tch/bio/h/a/y/haydn_jm.htm"} +{"d:Title": "Classical Archives: Johann Michael Haydn", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Haydn,_Johann_Michael", "url": "http://www.classicalarchives.com/composer/2680.html"} +{"d:Title": "And Then One Night, The Making of Dead Man Walking: Creative Process: The Players: Jake Heggie", "d:Description": "Public television filmmakers go behind the scenes at the San Francisco Opera to capture the process that culminates in the world premiere of an opera about capital punishment, \"Dead Man Walking.\"", "topic": "Top/Arts/Music/Composition/Composers/H/Heggie,_Jake", "url": "http://www.pbs.org/kqed/onenight/creativeprocess/players/heggie.html"} +{"d:Title": "Heinichen, Johann David", "d:Description": "Biography from Timothy Smith's Sojourn pages noting major accomplishments and related composers, also defining terms.", "topic": "Top/Arts/Music/Composition/Composers/H/Heinichen,_Johann_David", "url": "http://jan.ucc.nau.edu/~tas3/heinichen.html"} +{"d:Title": "Johann David Heinichen", "d:Description": "Biography with compositional and theoretical highlights, related composers, and additional background information from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/H/Heinichen,_Johann_David", "url": "http://www.hoasm.org/XID/Heinichen.html"} +{"d:Title": "Classical Net: Heinichen", "d:Description": "Biography, history, writings, list of representative works.", "topic": "Top/Arts/Music/Composition/Composers/H/Heinichen,_Johann_David", "url": "http://www.classical.net/music/comp.lst/acc/heinichen.php"} +{"d:Title": "Anthony Philip Heinrich", "d:Description": "Musicalics entry with positive evaluations of his work.", "topic": "Top/Arts/Music/Composition/Composers/H/Heinrich,_Anthony_Philip", "url": "http://musicalics.com/en/node/90946"} +{"d:Title": "Peter Heise", "d:Description": "Works on Danacord Records.", "topic": "Top/Arts/Music/Composition/Composers/H/Heise,_Peter", "url": "http://www.danacord.dk/frmsets/comp-dk/heise-r.html"} +{"d:Title": "Heise, Peter (1830-1879)", "d:Description": "Danish composer. Brief biography showing his nationalist and folk tendencies and his international orientation with illustration from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/H/Heise,_Peter", "url": "http://www.dacapo-records.dk/en/artist-peter-arnold-heise.aspx"} +{"d:Title": "Miscellaneous songs by Heise", "d:Description": "Selected songs from Recmusic.", "topic": "Top/Arts/Music/Composition/Composers/H/Heise,_Peter", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4376"} +{"d:Title": "Heller, Stephen (1813 - 1888)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Heller,_Stephen", "url": "http://stevenestrella.com/composers/composerfiles/heller1888.html"} +{"d:Title": "Classical Archives: Stephen Heller", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Heller,_Stephen", "url": "http://www.classicalarchives.com/composer/2683.html"} +{"d:Title": "Naxos: Heller, Stephen", "d:Description": "Brief profile and description of works.", "topic": "Top/Arts/Music/Composition/Composers/H/Heller,_Stephen", "url": "http://www.naxos.com/person/Stephen_Heller/24414.htm"} +{"d:Title": "Victor Hely-Hutchinson", "d:Description": "Brief filmography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/H/Hely-Hutchinson,_Christian_Victor", "url": "http://www.imdb.com/name/nm1672159/"} +{"d:Title": "Hely-Hutchinson, Victor", "d:Description": "Detailed biography, bibliography, works list, and publisher information from MusicWeb UK.", "topic": "Top/Arts/Music/Composition/Composers/H/Hely-Hutchinson,_Christian_Victor", "url": "http://www.musicweb-international.com/hely/"} +{"d:Title": "Christian Victor Hely-Hutchinson", "d:Description": "Entry at the Lied and Art Songs Text Page with lyrics for selected works.", "topic": "Top/Arts/Music/Composition/Composers/H/Hely-Hutchinson,_Christian_Victor", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4383"} +{"d:Title": "Adolf Henselt", "d:Description": "Mp3s and information, and sheet music on request.", "topic": "Top/Arts/Music/Composition/Composers/H/Henselt,_Adolf_von", "url": "http://www.henselt.org/"} +{"d:Title": "Adolf von Henselt", "d:Description": "Picture, music, and biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Henselt,_Adolf_von", "url": "http://musicalics.com/en/node/85138"} +{"d:Title": "Sequenza21: Hans Werner Henze at 75", "d:Description": "Discussion of the composer and his works by Duane Harper Grant.", "topic": "Top/Arts/Music/Composition/Composers/H/Henze,_Hans-Werner", "url": "http://www.sequenza21.com/London.html"} +{"d:Title": "Henze, Hans-Werner", "d:Description": "CDs, and books about the composer.", "topic": "Top/Arts/Music/Composition/Composers/H/Henze,_Hans-Werner", "url": "http://www.stevenestrella.com/composers/composerfiles/henzeliving.html"} +{"d:Title": "H\u00e9rold, Ferdinand (1791 - 1833)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00e9rold,_Louis_Joseph_Ferdinand", "url": "http://stevenestrella.com/composers/composerfiles/herold1833.html"} +{"d:Title": "Louis Joseph Ferdinand Herold", "d:Description": "Find A Grave listing with portrait, brief biography, pictures of tomb and cemetery, interactive memorial, and internal links to related material.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00e9rold,_Louis_Joseph_Ferdinand", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7760&pt=Louis+Joseph+Ferdinand+Herold"} +{"d:Title": "Louis Joseph Ferdinand H\u00e9rold", "d:Description": "Biography, list of operas, and sound file.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00e9rold,_Louis_Joseph_Ferdinand", "url": "http://www.hymntime.com/tch/bio/h/e/r/herold_ljf.htm"} +{"d:Title": "Classical Archives: Ferdinand H\u00e9rold", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00e9rold,_Louis_Joseph_Ferdinand", "url": "http://www.classicalarchives.com/composer/7366.html"} +{"d:Title": "Classical Musical MIDI Page: Ferdinand Herold", "d:Description": "Short biography and audio files.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00e9rold,_Louis_Joseph_Ferdinand", "url": "http://www.classicalmidi.co.uk/herold.htm"} +{"d:Title": "Ferdinand H\u00e9rold", "d:Description": "Listing at the Lied and Arts Songs Text Page with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00e9rold,_Louis_Joseph_Ferdinand", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4401"} +{"d:Title": "Herrmann, Bernard", "d:Description": "(1911-1975), United States. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Herrmann,_Bernard", "url": "http://stevenestrella.com/composers/composerfiles/herrmann1975.html"} +{"d:Title": "Bernard Herrmann", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/H/Herrmann,_Bernard", "url": "http://www.americancomposers.org/raksin_herrmann.htm"} +{"d:Title": "Bernard Herrmann: Film Score Composer", "d:Description": "Life, major works, and analysis of his compositional style and substance from mfiles. Includes related composers and reviews of selected movies.", "topic": "Top/Arts/Music/Composition/Composers/H/Herrmann,_Bernard", "url": "http://www.mfiles.co.uk/Composers/Bernard-Herrmann.htm"} +{"d:Title": "Bernard Herrmann", "d:Description": "Birth, death, notable movie scores, photo portrait, pictures of grave, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/H/Herrmann,_Bernard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=12355&pt=Bernard+Herrmann"} +{"d:Title": "Bernard Herrmann Society", "d:Description": "Official site. Devoted to the American composer who wrote music for films including Citizen Kane, Vertigo, Psycho, and Taxi Driver. Includes biography, history, database, FAQ, discussion forum, concerts and events, and membership and contact information.", "topic": "Top/Arts/Music/Composition/Composers/H/Herrmann,_Bernard", "url": "http://www.bernardherrmann.org/"} +{"d:Title": "Bernard Herrmann", "d:Description": "Filmography at IMDb with composer, music director, lyricist, conductor, orchestrator, and actor credits.", "topic": "Top/Arts/Music/Composition/Composers/H/Herrmann,_Bernard", "url": "http://www.imdb.com/name/nm0002136/"} +{"d:Title": "Johann Wilhelm Hertel", "d:Description": "Biography from the Here Of A Sunday Morning radio program with links to related material.", "topic": "Top/Arts/Music/Composition/Composers/H/Hertel,_Johann_Wilhelm", "url": "http://www.hoasm.org/XID/Hertel.html"} +{"d:Title": "Johann Wilhelm Hertel", "d:Description": "Biography and works, from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hertel,_Johann_Wilhelm", "url": "http://musicalics.com/en/node/78968"} +{"d:Title": "Hill, Alfred (1870 - 1960)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hill,_Alfred", "url": "http://stevenestrella.com/composers/composerfiles/hill1960.html"} +{"d:Title": "Anders Hillborg: Composer", "d:Description": "Official site. Includes biography, works list, press clippings, discography, performances, contact information, and guest book.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/H/Hillborg,_Anders", "url": "http://www.hillborg.com/"} +{"d:Title": "Anders Hillborg", "d:Description": "Filmography at IMDb as composer, arranger, producer, and musician.", "topic": "Top/Arts/Music/Composition/Composers/H/Hillborg,_Anders", "url": "http://www.imdb.com/name/nm1104326/"} +{"d:Title": "Classical Musical Midi Page: Ferdinand Hiller", "d:Description": "Picture, biography, and MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/H/Hiller,_Ferdinand", "url": "http://www.classicalmidi.co.uk/hiller.htm"} +{"d:Title": "Miscellaneous Lieder by F. Hiller", "d:Description": "List of songs some with their lyrics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hiller,_Ferdinand", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4422"} +{"d:Title": "HOASM: Johann Adam Hiller", "d:Description": "Biography from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/H/Hiller,_Johann_Adam", "url": "http://www.hoasm.org/XID/Hiller.html"} +{"d:Title": "John Hilton (1560-1608)", "d:Description": "Biography from The New Penguin Dictionary of Music with link to sheet music purchases from Geoff Grainger.", "topic": "Top/Arts/Music/Composition/Composers/H/Hilton,_John,_the_Elder", "url": "http://www.grainger.de/music/composers/hiltonj1.html"} +{"d:Title": "John Hilton (1)", "d:Description": "Biography linked to related people and topics with partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/H/Hilton,_John,_the_Elder", "url": "http://www.hoasm.org/IVM/Hilton1.html"} +{"d:Title": "John Hilton (2)", "d:Description": "Biography, portrait, and internal references to related people and topics from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/H/Hilton,_John,_the_Younger", "url": "http://www.hoasm.org/IVM/Hilton2.html"} +{"d:Title": "John Hilton", "d:Description": "Biography from The New Penguin Dictionary of Music with link to CD purchases from Geoff Grainger.", "topic": "Top/Arts/Music/Composition/Composers/H/Hilton,_John,_the_Younger", "url": "http://www.grainger.de/music/composers/hiltonj2.html"} +{"d:Title": "Miscellaneous Lieder by Himmel", "d:Description": "List of songs with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/H/Himmel,_Friedrich_Heinrich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4424"} +{"d:Title": "Hindemith, Paul (1895 - 1963)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://stevenestrella.com/composers/composerfiles/hindemith1963.html"} +{"d:Title": "The Paul Hindemith page on KunstderFuge.com", "d:Description": "List of contrapuntal works and some MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.kunstderfuge.com/hindemith.htm"} +{"d:Title": "Paul Hindemith", "d:Description": "Biographical sketch, photograph, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6000755&pt=Paul+Hindemith"} +{"d:Title": "Paul Hindemith", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.classiccat.net/hindemith_p/"} +{"d:Title": "Gebrauchsmusik: The Useful Music of Paul Hindemith", "d:Description": "Biography and summary of compositional and theoretical work, selected works, quotes, photograph, discography, suggested reading, chronology, and related articles from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=73"} +{"d:Title": "Paul Hindemith", "d:Description": "Wikipedia article with summaries of life and music, selected works list, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_Hindemith"} +{"d:Title": "Paul Hindemith", "d:Description": "Biographical sketch, caricature, summaries of operatic and stage, orchestral, chamber, and piano works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.naxos.com/composerinfo/484.htm"} +{"d:Title": "Classical Archives: Paukl Hindemith", "d:Description": "Biography, complete files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.classicalarchives.com/composer/2693.html"} +{"d:Title": "Paul Hindemith", "d:Description": "Filmography linking films and television programs using his music with short biography from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.imdb.com/name/nm0385519/"} +{"d:Title": "Paul Hindemith (1895-1963)", "d:Description": "Vocal works including song cycles, many with lyrics in German, French, and English from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4425"} +{"d:Title": "Classical Net Basic Repertoire List: Paul Hindemith", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Hindemith,_Paul", "url": "http://www.classical.net/music/comp.lst/hindemith.php"} +{"d:Title": "JoeHisaishi.com", "d:Description": "Official site, with profile, information, diary, and works. [Japanese and English]", "topic": "Top/Arts/Music/Composition/Composers/H/Hisaishi,_Joe", "url": "http://www.joehisaishi.com/"} +{"d:Title": "Joe Hisaishi", "d:Description": "Profile, discography, and soundtrack information at Nausicaa.net.", "topic": "Top/Arts/Music/Composition/Composers/H/Hisaishi,_Joe", "url": "http://www.nausicaa.net/miyazaki/hisaishi/"} +{"d:Title": "Will the Real Joe Hisaishi Please Stand Up?", "d:Description": "Article about his anime music, focusing on Hayao Miyazaki films, from Animation World Magazine.", "topic": "Top/Arts/Music/Composition/Composers/H/Hisaishi,_Joe", "url": "http://www.awn.com/mag/issue5.01/5.01pages/osmondhisaishi.php3"} +{"d:Title": "Franz Anton Hoffmeister (1754-1812)", "d:Description": "Biography emphasizing his compositional abilities as it commends his publishing skills with portrait and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffmeister,_Franz_Anton", "url": "http://www.naxos.com/composerinfo/2273.htm"} +{"d:Title": "Classical Archives: Franz Anton Hoffmeister", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffmeister,_Franz_Anton", "url": "http://www.classicalarchives.com/composer/2695.html"} +{"d:Title": "Franz Anton Hoffmeister", "d:Description": "Summaries of life and works with comments about his career as music publisher for Mozart, Beethoven, and himself from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffmeister,_Franz_Anton", "url": "http://musicalics.com/en/node/79885"} +{"d:Title": "Franz Anton Hoffmeister (1754-1812)", "d:Description": "List of vocal works from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffmeister,_Franz_Anton", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4434"} +{"d:Title": "Gerard Hoffnung", "d:Description": "Wikipedia article with summary of his brief life and varied talents, internal references, and links.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffnung,_Gerard", "url": "http://en.wikipedia.org/wiki/Gerard_Hoffnung"} +{"d:Title": "Gerard Hoffnung", "d:Description": "Find A Grave entry with brief biography, portrait photo, and pictures of grounds where his ashes remain.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffnung,_Gerard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8876873&pt=Gerard+Hoffnung"} +{"d:Title": "Gerard Hoffnung", "d:Description": "Filmography noting posthumous contributions as writer and illustrator from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffnung,_Gerard", "url": "http://www.imdb.com/name/nm1398125/"} +{"d:Title": "The Bricklayer's Story by Gerard Hoffnung", "d:Description": "Text of a humorous monologue delivered as part of a speech to the Oxford Union.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffnung,_Gerard", "url": "http://monologues.co.uk/004/Bricklayers_Story.htm"} +{"d:Title": "Gerard Hoffnung", "d:Description": "Official site. Includes biography, bibliography, discography, art works, concerts, and online purchasing.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffnung,_Gerard", "url": "http://www.musicweb-international.com/hoffnung/"} +{"d:Title": "Gerard Hoffnung: Cartoonist, Humorist and Musician", "d:Description": "Biographical essay focusing on his humor from h2g2.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoffnung,_Gerard", "url": "http://www.h2g2.com/approved_entry/A223921"} +{"d:Title": "Hoiby, Lee", "d:Description": "(1926-), Wisconsin. Includes biography, article, reviews, and how to contact the composer.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoiby,_Lee", "url": "http://www.musicsalesclassical.com/composer/short-bio/699"} +{"d:Title": "Miscellaneous songs by Hoiby", "d:Description": "Song cycles and various songs, some with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoiby,_Lee", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4438"} +{"d:Title": "Classical Net - Composers - Hoiby", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Hoiby,_Lee", "url": "http://www.classical.net/music/comp.lst/acc/hoiby.php"} +{"d:Title": "Holbrooke, Joseph (1878 - 1958)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Holbrooke,_Joseph_Charles", "url": "http://stevenestrella.com/composers/composerfiles/holbrooke1958.html"} +{"d:Title": "Joseph Charles Holbrooke (1878-1958)", "d:Description": "List of vocal works including lyrics to Beauty's Daughters from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Holbrooke,_Joseph_Charles", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4442"} +{"d:Title": "Karl H\u00f6ller", "d:Description": "Brief filmography linked to credited film from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00f6ller,_Karl", "url": "http://www.imdb.com/name/nm1745963/"} +{"d:Title": "Vagn Holmboe", "d:Description": "Filmography with films scored and related links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/H/Holmboe,_Vagn", "url": "http://www.imdb.com/name/nm1058540/"} +{"d:Title": "Holmboe, Vagn", "d:Description": "(1909-1996), Denmark. Picture, biography, works, performances, and discography, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/H/Holmboe,_Vagn", "url": "http://www.musicsalesclassical.com/composer/short-bio/709"} +{"d:Title": "Vagn Holmboe, Danish Composer (1909-1996)", "d:Description": "Biography and photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/H/Holmboe,_Vagn", "url": "http://www.dacapo-records.dk/en/artist-vagn-holmboe.aspx"} +{"d:Title": "Holm\u00e8s, Augusta (1847 - 1903)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Holm\u00e8s,_Augusta_Mary_Anne", "url": "http://stevenestrella.com/composers/composerfiles/holmes1903.html"} +{"d:Title": "Augusta Mary Anne Holmes (1847-1903)", "d:Description": "List of vocal works she wrote cross-linked to tunes she composed for them with texts in French. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Holm\u00e8s,_Augusta_Mary_Anne", "url": "http://www.lieder.net/lieder/get_author_texts.html?AuthorId=1233"} +{"d:Title": "Augusta Holm\u00e8s (1847-1903)", "d:Description": "Biographical sketch, caricature, discussion of her orchestral and choral works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Holm\u00e8s,_Augusta_Mary_Anne", "url": "http://www.naxos.com/person/Augusta_Holmes/24290.htm"} +{"d:Title": "Gustav Holst's Planets Suite", "d:Description": "Single page listing and describing the movements of this famous suite, together with downloadable MIDIs of the tunes.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.aquarianage.org/lore/holst.html"} +{"d:Title": "Holst Birthplace Museum", "d:Description": "Features photos of his house, contact details, and a brief biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.holstmuseum.org.uk/"} +{"d:Title": "Holst, Gustav (1874 - 1934)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://stevenestrella.com/composers/composerfiles/holst1934.html"} +{"d:Title": "Gustav Holst", "d:Description": "Birth, death, and crematory information with portrait photograph, picture of resting place, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6380559&pt=Gustav+Holst"} +{"d:Title": "The Gustav Holst Website", "d:Description": "Biography, discography, listing of works, and other Holst information.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.gustavholst.info/"} +{"d:Title": "Classical Archives: Gustav Holst", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.classicalarchives.com/composer/2702.html"} +{"d:Title": "Gustav Holst", "d:Description": "Filmography listing movies and television programs using his music, especially movements of The Planets. From the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.imdb.com/name/nm0392304/"} +{"d:Title": "Musicalics: Gustav Holst", "d:Description": "Listing of his works and a short profile.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://musicalics.com/en/node/79897"} +{"d:Title": "Gustav Holst (1874-1934)", "d:Description": "List of songs and cycles, some with lyrics, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1237"} +{"d:Title": "Classical Net: Gustav Holst", "d:Description": "Recommended recordings and a brief biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Holst,_Gustavus_Theodore_von", "url": "http://www.classical.net/music/comp.lst/holst.php"} +{"d:Title": "Arthur Honegger", "d:Description": "The official site of the composer. Includes biography, bibliography, musical extracts, list of works, and list of recordings.", "topic": "Top/Arts/Music/Composition/Composers/H/Honegger,_Arthur", "url": "http://www.arthur-honegger.com/"} +{"d:Title": "Honegger, Arthur (1892 - 1955)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Honegger,_Arthur", "url": "http://stevenestrella.com/composers/composerfiles/honegger1955.html"} +{"d:Title": "Arthur Honegger", "d:Description": "Article from Silver Burdett's Making Music provides biography with background into personal and sports interests as well as music.", "topic": "Top/Arts/Music/Composition/Composers/H/Honegger,_Arthur", "url": "http://www.sbgmusic.com/html/teacher/reference/composers/honegger.html"} +{"d:Title": "The Eclectic Arthur Honegger (1892-1955)", "d:Description": "Key works, timeline, recommended recordings, and quotes, and links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/H/Honegger,_Arthur", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=89"} +{"d:Title": "Arthur Honegger", "d:Description": "Filmography at Internet Movie Database with listing of many films and television shows for which he wrote the music or which used his works plus acting credits.", "topic": "Top/Arts/Music/Composition/Composers/H/Honegger,_Arthur", "url": "http://www.imdb.com/name/nm0006131/"} +{"d:Title": "Arthur Honegger (1892-1955)", "d:Description": "List of vocal works with French lyrics and some English translations from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Honegger,_Arthur", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4458"} +{"d:Title": "Classical Net - Basic Repertoire List - Honegger", "d:Description": "Born in Le Harvre of Swiss parents. Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/H/Honegger,_Arthur", "url": "http://www.classical.net/music/comp.lst/honegger.php"} +{"d:Title": "Hook, James (1746 - 1827)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hook,_James", "url": "http://stevenestrella.com/composers/composerfiles/hook1827.html"} +{"d:Title": "James Hook", "d:Description": "Miscellaneous songs from recmusic.org with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hook,_James", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4460"} +{"d:Title": "Filmtracks' James Horner Tribute", "d:Description": "Discussion, biography, complete listings of past and future scoring projects. Includes reviews and information on CD availability.", "topic": "Top/Arts/Music/Composition/Composers/H/Horner,_James", "url": "http://www.filmtracks.com/composers/horner.shtml"} +{"d:Title": "James Horner", "d:Description": "Filmography at IMDb includes biography, compositional, conductor, orchestrator, and musician credits for over 100 films and television shows.", "topic": "Top/Arts/Music/Composition/Composers/H/Horner,_James", "url": "http://www.imdb.com/name/nm0000035/"} +{"d:Title": "James Horner", "d:Description": "List of works, especially film scores, biographical material, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/H/Horner,_James", "url": "http://musicalics.com/en/node/99026"} +{"d:Title": "Alan Hovhaness", "d:Description": "Detailed biography with photos, discography, list of publishers, discussion of works, composer quotes, stylisic overview, the sixty-seven symphonies, recommended CDs, links, contact information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/H/Hovhaness,_Alan", "url": "http://www.hovhaness.com/"} +{"d:Title": "Hovhaness, Alan (1911 - 2000)", "d:Description": "Includes biographical data, recommended CDs, links to books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hovhaness,_Alan", "url": "http://stevenestrella.com/composers/index.html?composerfiles/hovhaness2000.html"} +{"d:Title": "Alan Hovhaness on Crystal", "d:Description": "Reviews of recordings by Rob Barnett from Classical CD Reviews-Feb 2000: Music on the Web(UK).", "topic": "Top/Arts/Music/Composition/Composers/H/Hovhaness,_Alan", "url": "http://www.musicweb-international.com/classrev/2000/feb00/hovaness.htm"} +{"d:Title": "Alan Hovhaness", "d:Description": "Brief filmography with the Internet Movie Database includes biographical sketch.", "topic": "Top/Arts/Music/Composition/Composers/H/Hovhaness,_Alan", "url": "http://www.imdb.com/name/nm0397029/"} +{"d:Title": "Alan Hovhaness (1911-2000)", "d:Description": "Vocal works, some with English texts, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Hovhaness,_Alan", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4476"} +{"d:Title": "New Classical Net: Alan Hovhaness", "d:Description": "Includes biography and discography with recommended listening.", "topic": "Top/Arts/Music/Composition/Composers/H/Hovhaness,_Alan", "url": "http://www.classical.net/music/comp.lst/hovhaness.php"} +{"d:Title": "James Newton Howard", "d:Description": "Filmography listing over one hundred films and television programs scored or using his music plus additional credits, including orchestration, as well as biographical material, awards, and related topics. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/H/Howard,_James_Newton", "url": "http://www.imdb.com/name/nm0006133/"} +{"d:Title": "James Newton Howard", "d:Description": "Overview of his contributions to cinematic music paying special attention to his ability to develop a special sound for each score and not carry a recognizable style from frilm to film. From Filmtracks.", "topic": "Top/Arts/Music/Composition/Composers/H/Howard,_James_Newton", "url": "http://www.filmtracks.com/composers/howard.shtml"} +{"d:Title": "James Newton Howard", "d:Description": "Collection of varied information focusing on his film work. Includes sound samples in RAM audio format, use of his works in commercials and other films, links, articles, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/H/Howard,_James_Newton", "url": "http://www.ifiji.com/yumbo/howinfo.htm"} +{"d:Title": "James Newton Howard", "d:Description": "Overview of his film work, biographical material, and note of his election to replace the deceased Elmer Bernstein (one of his major influences) on the board of directors of ASCAP.", "topic": "Top/Arts/Music/Composition/Composers/H/Howard,_James_Newton", "url": "http://www.mfiles.co.uk/Composers/James-Newton-Howard.htm"} +{"d:Title": "Herbert Howells (1892-1983)", "d:Description": "Vocal works with English texts from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Howells,_Herbert_Norman", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4480"} +{"d:Title": "Hummel, Johann Nepomuk (1778 - 1837)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Hummel,_Johann_Nepomuk", "url": "http://stevenestrella.com/composers/composerfiles/hummel1837.html"} +{"d:Title": "Classical Archives: Johann Nepomuk Hummel", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Hummel,_Johann_Nepomuk", "url": "http://www.classicalarchives.com/composer/2716.html"} +{"d:Title": "Johann Nepomuk Hummel", "d:Description": "Detailed biography, portrait, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/H/Hummel,_Johann_Nepomuk", "url": "http://musicalics.com/en/node/79921"} +{"d:Title": "Johann Nepomuk Hummel (1778-1837)", "d:Description": "Vocal works with German texts and some English translation from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Hummel,_Johann_Nepomuk", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4489"} +{"d:Title": "Humperdinck, Engelbert (1854 - 1921)", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Humperdinck,_Engelbert", "url": "http://stevenestrella.com/composers/composerfiles/humperdinck1921.html"} +{"d:Title": "Classical Archives: Engelbert Humperdinck", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/H/Humperdinck,_Engelbert", "url": "http://www.classicalarchives.com/composer/2717.html"} +{"d:Title": "Engelbert Humperdinck", "d:Description": "Filmography centered on various television and film adaptations of his opera H\u00e4nsel und Gretel from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/H/Humperdinck,_Engelbert", "url": "http://www.imdb.com/name/nm0401886/"} +{"d:Title": "Engelbert Humperdinck (1854-1921)", "d:Description": "Listing of vocal works from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/H/Humperdinck,_Engelbert", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4490"} +{"d:Title": "Engelbert Humperdinck (1854-1921)", "d:Description": "Brief biographical sketch, caricature, summary of operatic works, especially H\u00e4nsel und Gretel and Die K\u00f6nigskinder, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/H/Humperdinck,_Engelbert", "url": "http://www.naxos.com/person/Engelbert_Humperdinck_24518/24518.htm"} +{"d:Title": "Husa, Karel (1921 - )", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/H/Husa,_Karel", "url": "http://stevenestrella.com/composers/composerfiles/husaliving.html"} +{"d:Title": "Karel Husa", "d:Description": "Biography, essay, articles, work list, reviews, links.", "topic": "Top/Arts/Music/Composition/Composers/H/Husa,_Karel", "url": "http://www.musicsalesclassical.com/composer/short-bio/Karel-Husa"} +{"d:Title": "Faculty Profile: Brenda Hutchinson", "d:Description": "Visiting Associate Professor of Composition at Oberlin Conservatory. Contact information, resume, pictures, information about works.", "topic": "Top/Arts/Music/Composition/Composers/H/Hutchinson,_Brenda", "url": "http://www.oberlin.edu/con/faculty/hutchison_brenda.html"} +{"d:Title": "Soundprint: Brenda Hutchinson", "d:Description": "Sound file and ordering information for \"How Do You Get to Carnegie Hall?\"", "topic": "Top/Arts/Music/Composition/Composers/H/Hutchinson,_Brenda", "url": "http://soundprint.org/radio/display_show/ID/249/name/How+Do+You+Get+to+Carnegie+Hall%3F"} +{"d:Title": "Edwards, Stewart Hylton", "d:Description": "Biography by Jeremy Taylor, summary and review of his First Symphony and Quartets by Paul Conway, and list of works. From Musicweb.", "topic": "Top/Arts/Music/Composition/Composers/H/Hylton_Edwards,_Stewart", "url": "http://www.musicweb-international.com/edwards/index.htm"} +{"d:Title": "H\u00f8jsgaard, Erik", "d:Description": "(1954- ), \u00c5rhus, Denmark. Includes biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/H/H\u00f8jsgaard,_Erik", "url": "http://www.hojs.dk/"} +{"d:Title": "Classical Net", "d:Description": "Brief note and CD recommendations.", "topic": "Top/Arts/Music/Composition/Composers/I/Ibert,_Jacques_Fran\u00e7ois_Antoine", "url": "http://www.classical.net/music/comp.lst/ibert.html"} +{"d:Title": "Ibert, Jacques (1890 - 1962), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/I/Ibert,_Jacques_Fran\u00e7ois_Antoine", "url": "http://stevenestrella.com/composers/composerfiles/ibert1962.html"} +{"d:Title": "Jacques Ibert", "d:Description": "Filmography linked to movies scored by him, or based on his or using his works from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/I/Ibert,_Jacques_Fran\u00e7ois_Antoine", "url": "http://www.imdb.com/name/nm0006135/"} +{"d:Title": "Jacques Ibert (1890-1962)", "d:Description": "List of vocal works with French lyrics for non-copyright pieces. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/I/Ibert,_Jacques_Fran\u00e7ois_Antoine", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4502"} +{"d:Title": "Indy, Vincent d' (1851 - 1931), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/I/Indy,_Vincent_d'", "url": "http://stevenestrella.com/composers/composerfiles/indy1931.html"} +{"d:Title": "Vincent d'Indy", "d:Description": "Wikipedia article showing him as teacher and interpreter of previous composers as well as having his own quality works. Includes internal references to related people and topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/I/Indy,_Vincent_d'", "url": "http://en.wikipedia.org/wiki/Vincent_d'Indy"} +{"d:Title": "Vincent d'Indy", "d:Description": "Find A Grave listing with birth and death information, photo, picture of tomb, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/I/Indy,_Vincent_d'", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7334&pt=Vincent+d'Indy"} +{"d:Title": "Vincent d'Indy", "d:Description": "Brief biographical sketch, caricature, summary of orchestral works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/I/Indy,_Vincent_d'", "url": "http://www.naxos.com/composerinfo/1179.htm"} +{"d:Title": "Classical Archives: Vincent d'Indy", "d:Description": "Biography of from the Concise Oxford Dictionary of Music.", "topic": "Top/Arts/Music/Composition/Composers/I/Indy,_Vincent_d'", "url": "http://www.classicalarchives.com/composer/2426.html"} +{"d:Title": "Vincent d'Indy", "d:Description": "English and French biographies with photograph at Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/I/Indy,_Vincent_d'", "url": "http://musicalics.com/en/node/79931"} +{"d:Title": "Vincent d'Indy", "d:Description": "Listing at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, some having English translations.", "topic": "Top/Arts/Music/Composition/Composers/I/Indy,_Vincent_d'", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4506"} +{"d:Title": "Ippolitov-Ivanov, Mikhail (1859 - 1935), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/I/Ippolitov-Ivanov,_Mikhail_Mikhailovich", "url": "http://stevenestrella.com/composers/composerfiles/ippolitov-ivanov1935.html"} +{"d:Title": "Naxos: Ippolitov-Ivanov, Mikhail Mikhaylovich", "d:Description": "Brief biography includes summary of orchestral music and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/I/Ippolitov-Ivanov,_Mikhail_Mikhailovich", "url": "http://www.naxos.com/composerinfo/Mikhail_Mikhaylovich_Ippolitov_Ivanov/24522.htm"} +{"d:Title": "Mikhail Ippolitov-Ivanov", "d:Description": "Filmography noting films he scored or which used his works from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/I/Ippolitov-Ivanov,_Mikhail_Mikhailovich", "url": "http://www.imdb.com/name/nm0409736/"} +{"d:Title": "Mikhail Mikhailovich Ippolitov-Ivanov", "d:Description": "Life, photo portrait, works list, and links to related composers from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/I/Ippolitov-Ivanov,_Mikhail_Mikhailovich", "url": "http://musicalics.com/en/node/79936"} +{"d:Title": "Mikhail Mikhailovich Ippolitov-Ivanov (1859-1935)", "d:Description": "List of songs and cycles with Russian transliteration, Cyrillic, and some French and English translations from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/I/Ippolitov-Ivanov,_Mikhail_Mikhailovich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4508"} +{"d:Title": "Ireland, John (1879 - 1962), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/I/Ireland,_John_Nicholson", "url": "http://stevenestrella.com/composers/composerfiles/ireland1962.html"} +{"d:Title": "Ireland, John", "d:Description": "Includes biography, article, work list, and discography. Official site of the John Ireland Trust.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/I/Ireland,_John_Nicholson", "url": "http://www.musicweb-international.com/ireland/ireland.htm"} +{"d:Title": "John Ireland", "d:Description": "Brief filmography linked to related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/I/Ireland,_John_Nicholson", "url": "http://www.imdb.com/name/nm0409871/"} +{"d:Title": "John Ireland (1879-1962)", "d:Description": "Extensive list of individual vocal works and cycles with English lyrics from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/I/Ireland,_John_Nicholson", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4509"} +{"d:Title": "Isaac, Heinrich (c. 1450 - 1517), Netherlands", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/I/Isaac,_Heinrich", "url": "http://stevenestrella.com/composers/composerfiles/isaac1517.html"} +{"d:Title": "Heinrich Isaac", "d:Description": "Biography, related composers, period background, and discography from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/I/Isaac,_Heinrich", "url": "http://www.hoasm.org/IVA/Isaac.html"} +{"d:Title": "Heinrich Isaac", "d:Description": "Brief life and recommended recordings from Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/I/Isaac,_Heinrich", "url": "http://www.classical.net/music/comp.lst/isaac.html"} +{"d:Title": "Heinrich Isaac", "d:Description": "Biography and hymn tunes with portrait, MIDI audio, and scores in NWC format from the Cyber Hymnal.", "topic": "Top/Arts/Music/Composition/Composers/I/Isaac,_Heinrich", "url": "http://www.hymntime.com/tch/bio/i/s/isaac_h.htm"} +{"d:Title": "Classical Archives: Heinrich Isaac", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/I/Isaac,_Heinrich", "url": "http://www.classicalarchives.com/composer/2728.html"} +{"d:Title": "Heinrich Isaac (1450-c1517)", "d:Description": "Listing of vocal works including some lyrics from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/I/Isaac,_Heinrich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4510"} +{"d:Title": "The Charles Ives Society", "d:Description": "Official site. Biography, background information, bibliography, works, links, and descriptive catalogue.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://www.charlesives.org/"} +{"d:Title": "Ives, Charles (1874 - 1954), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://stevenestrella.com/composers/composerfiles/ives1954.html"} +{"d:Title": "Charles Ives: A Life With Music", "d:Description": "First chapter of a biography.", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/books/chap1/ives.htm"} +{"d:Title": "Charles E. Ives", "d:Description": "Birth, death, and burial information, portrait photographs and pictures of his and his wife's tombstone, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=533&pt=Charles+E.+Ives"} +{"d:Title": "Ives, Charles Edward [1874-1954] - American composer", "d:Description": "Provides a brief article and an extensive list of annotated links to related sites. His family genealogy is provided on a separate page.", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://freepages.history.rootsweb.ancestry.com/~dav4is/people/IVES111.htm"} +{"d:Title": "Classical Archives: Charles Edward Ives", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://www.classicalarchives.com/composer/2732.html"} +{"d:Title": "Charles Ives", "d:Description": "Filmography with movies and television programs using his works, trivia, and links to related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://www.imdb.com/name/nm0412323/"} +{"d:Title": "Charles Ives", "d:Description": "Biography, notes, key works, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://www.musicsalesclassical.com/composer/short-bio/Charles-Ives"} +{"d:Title": "Charles Edward Ives (1874-1954)", "d:Description": "Individual vocal works and cycles with English lyrics for non-copyright material from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/I/Ives,_Charles_Edward", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1307"} +{"d:Title": "J\u00f6rg Iwer", "d:Description": "Official site. Biography, listing of works and arrangements, and contact information. In German and English.", "topic": "Top/Arts/Music/Composition/Composers/I/Iwer,_J\u00f6rg", "url": "http://www.joergiwer.com/"} +{"d:Title": "Jacob, Gordon", "d:Description": "(1895-1984), England. Includes photographs, biography, and work list.", "topic": "Top/Arts/Music/Composition/Composers/J/Jacob,_Gordon_Percival_Septimus", "url": "http://www.stainer.co.uk/jacob.html"} +{"d:Title": "Xtreme Musician: Gordon Jacob", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/J/Jacob,_Gordon_Percival_Septimus", "url": "http://www.xtrememusician.com/info/artists/profiles/4410.html"} +{"d:Title": "Music Program Notes - I to J", "d:Description": "Biography, and notes for two pieces for band.", "topic": "Top/Arts/Music/Composition/Composers/J/Jacob,_Gordon_Percival_Septimus", "url": "http://www.windband.org/foothill/pgm_note/notes_ij.htm#Jacob"} +{"d:Title": "Gordon Jacob", "d:Description": "Official website maintained by family members: includes brief biography, discography, family pictures, contact information; offers a published biography.", "topic": "Top/Arts/Music/Composition/Composers/J/Jacob,_Gordon_Percival_Septimus", "url": "http://www.gordonjacob.org/"} +{"d:Title": "Gordon Jacob", "d:Description": "Filmography noting cinematic compositions and television appearances with links to related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/J/Jacob,_Gordon_Percival_Septimus", "url": "http://www.imdb.com/name/nm0414202/"} +{"d:Title": "Janacek, Leos (1854 - 1928), Moravia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://stevenestrella.com/composers/composerfiles/janacek1928.html"} +{"d:Title": "Classical Net - Jan\u00e1cek", "d:Description": "Basic repertoire list.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://www.classical.net/music/comp.lst/janacek.html"} +{"d:Title": "Classic Cat: Janacek", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://www.classiccat.net/janacek_l/"} +{"d:Title": "Janacek: Music That Speaks to Us", "d:Description": "November 1998 article from Palo Alto Weekly about the relevance of his music.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://www.paloaltoonline.com/weekly/morgue/listings/1998_Nov_6.2NDART6.html"} +{"d:Title": "Leos Jan\u00e1cek", "d:Description": "Opera Glass listing of works with explanatory notes.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://opera.stanford.edu/Janacek/alt10646/"} +{"d:Title": "Leos Jan\u00e1cek and the Marriage Between Music and Words", "d:Description": "Brief biography, key works, chronology, historical context, suggested readings and recordings, and definitions of terms.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=119"} +{"d:Title": "Classical Archives: Leo\u0161 Jan\u00e1\u010dek", "d:Description": "Biography, complete files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://www.classicalarchives.com/composer/2738.html"} +{"d:Title": "IMDb: Leos Jan\u00e1cek", "d:Description": "Filmography noting movies and television programs using or based on his works with links to related material.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://www.imdb.com/name/nm0418443/"} +{"d:Title": "Leos Jan\u00e1cek (1854-1928)", "d:Description": "Vocal works in transliteration with Czech character conversion and French translations from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4529"} +{"d:Title": "Janacek Academy of Music and Dramatic Arts", "d:Description": "Description of offerings, faculties, and students.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos/Organizations", "url": "http://www.jamu.cz/"} +{"d:Title": "Janacek Philharmonic Orchestra", "d:Description": "Ostrava, Czech Republic: includes history, calendar, and ticket prices.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos/Organizations", "url": "http://www.jfo.cz/"} +{"d:Title": "The Dvorak Society", "d:Description": "The Dvorak Society is devoted to all Czech and Slovak composers and performers, past and present.", "topic": "Top/Arts/Music/Composition/Composers/J/Jan\u00e1cek,_Leos/Organizations", "url": "http://www.dvorak-society.org/"} +{"d:Title": "Janequin, Cl\u00e9ment (c. 1485 - 1558), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/J/Janequin,_Cl\u00e9ment", "url": "http://stevenestrella.com/composers/composerfiles/janequin1558.html"} +{"d:Title": "Classical Archives: Cl\u00e9ment Janequin", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/J/Janequin,_Cl\u00e9ment", "url": "http://www.classicalarchives.com/composer/2739.html"} +{"d:Title": "Jenkins Consort Music", "d:Description": "CD review, short commentary about his compositional skills, recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/J/Jenkins,_John", "url": "http://www.medieval.org/emfaq/cds/nxs50687.htm"} +{"d:Title": "Jenkins, John (1592 - 1678), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/J/Jenkins,_John", "url": "http://stevenestrella.com/composers/composerfiles/jenkins1678.html"} +{"d:Title": "Jaroslav Jezek", "d:Description": "Analytical biography, photograph, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/J/Jezek,_Jaroslav", "url": "http://www.kapralova.org/JEZEK.htm"} +{"d:Title": "Joachim, Joseph (1831 - 1907), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/J/Joachim,_Joseph", "url": "http://stevenestrella.com/composers/index.html?composerfiles/joachim1907.html"} +{"d:Title": "Joseph Joachim (1831-1907)", "d:Description": "Link to lyrics of Heinrich Heine in German with English, French, and Dutch translations from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/J/Joachim,_Joseph", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4546"} +{"d:Title": "Johnston, Ben", "d:Description": "(1926- ), Macon, Georgia. Picture, biography, and interview by Derek Bermel from Paris Transatlantic.", "topic": "Top/Arts/Music/Composition/Composers/J/Johnston,_Ben", "url": "http://www.paristransatlantic.com/magazine/interviews/johnston.html"} +{"d:Title": "Ben Johnston", "d:Description": "The University of Akron Bierce Library, Smith Archives, Composer Profile. Includes compositions.", "topic": "Top/Arts/Music/Composition/Composers/J/Johnston,_Ben", "url": "http://www3.uakron.edu/ssma/composers/Johnston.shtml"} +{"d:Title": "Ben Johnston", "d:Description": "25 note just enharmonic scale.", "topic": "Top/Arts/Music/Composition/Composers/J/Johnston,_Ben", "url": "http://www.microtonal-synthesis.com/scale_johnston_25.html"} +{"d:Title": "Phillip Johnston's Home Page", "d:Description": "Career history, recordings, discography, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/J/Johnston,_Phillip", "url": "http://www.phillipjohnston.com/"} +{"d:Title": "Phillip Johnston", "d:Description": "Interview by William York, September, 1999.", "topic": "Top/Arts/Music/Composition/Composers/J/Johnston,_Phillip", "url": "http://www.furious.com/perfect/phillipjohnston.html"} +{"d:Title": "Andr\u00e9 Jolivet", "d:Description": "Biography, pictures, complete catalogue of works, bibliography, and a \"News\" section. Collected and maintained by the Association: Les Amis d'Andr\u00e9 Jolivet.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/J/Jolivet,_Andr\u00e9", "url": "http://www.jolivet.asso.fr/"} +{"d:Title": "Andr\u00e9 Jolivet", "d:Description": "Filmography linking him to movies for which he wrote some or all of their music from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/J/Jolivet,_Andr\u00e9", "url": "http://www.imdb.com/name/nm0427127/"} +{"d:Title": "Andr\u00e9 Jolivet (1905-1974)", "d:Description": "List of vocal works he scored from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/J/Jolivet,_Andr\u00e9", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4553"} +{"d:Title": "Engines of Our Ingenuity: Josquin Desprez", "d:Description": "Radio transcript and RAM audio of University of Houston program investigating his life and works. Details his use of musical notation to pay homage to patrons. By engineering professor Dr. John H. Lienhard.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://www.uh.edu/engines/epi1166.htm"} +{"d:Title": "Josquin des Prez, (c. 1440 - 1521), Belgium", "d:Description": "Biographical data, extended essay, quick facts, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://stevenestrella.com/composers/composerfiles/josquin1521.html"} +{"d:Title": "Josquin Depr\u00e9s", "d:Description": "Catholic Encyclopedia article about his life and work, especially the religious dynamic in his compositions.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://www.newadvent.org/cathen/04738a.htm"} +{"d:Title": "Josquin Desprez: A Discography", "d:Description": "Biographical essay includes his training and work in contrapuntal music. Includes extensive list of recordings with links to details of contents and purchase information.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://www.medieval.org/emfaq/composers/josquin.html"} +{"d:Title": "Josquin Des Prez", "d:Description": "Biography, discography, and facsimile of score from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://www.classical.net/music/comp.lst/josquin.html"} +{"d:Title": "Josquin Des Pres", "d:Description": "Biography contrasting his significance with the lack of knowledge about his life. Includes portrait, discography, and period related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://www.hoasm.org/IVA/DesPrez.html"} +{"d:Title": "Josquin Des Prez", "d:Description": "Wikipedia article speculating about his geographic origin and providing a chronological account of his life, works, and influence upon others.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://en.wikipedia.org/wiki/Josquin_Des_Prez"} +{"d:Title": "Josquin des Pres", "d:Description": "Biography, links to related sites and lyrics, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://www.classiccat.net/pres_j_de/"} +{"d:Title": "Josquin Despr\u00e8z (c. 1440 - 1521)", "d:Description": "Brief biography summarizing his church and secular music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/J/Josquin_Desprez", "url": "http://www.naxos.com/composerinfo/264.htm"} +{"d:Title": "Joyce, Archibald (1873 - 1963), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/J/Joyce,_Archibald", "url": "http://stevenestrella.com/composers/composerfiles/joyce1963.html"} +{"d:Title": "Controversial Comrade Kabalevsky", "d:Description": "Examination by Fred Flaxman of his musical sensibilities in light of Soviet decrees concerning the arts. Includes evaluations of various works.", "topic": "Top/Arts/Music/Composition/Composers/K/Kabalevsky,_Dmitri_Borisovi\u010d", "url": "http://www.compactdiscoveries.com/CompactDiscoveriesArticles/Khachaturian.html"} +{"d:Title": "Dmitry Kabalevsky", "d:Description": "Summary information, full biography, photograph, works list, new recordings, discography, and RAM audio samples.", "topic": "Top/Arts/Music/Composition/Composers/K/Kabalevsky,_Dmitri_Borisovi\u010d", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2777"} +{"d:Title": "Classical Archives: Dmitry Kabalevsky", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/K/Kabalevsky,_Dmitri_Borisovi\u010d", "url": "http://www.classicalarchives.com/composer/7028.html"} +{"d:Title": "Compositions by Dmitri Kabalevsky", "d:Description": "Birth and death dates, photograph, and list of works by opus number.", "topic": "Top/Arts/Music/Composition/Composers/K/Kabalevsky,_Dmitri_Borisovi\u010d", "url": "http://home.online.nl/ovar/kabaopus.htm"} +{"d:Title": "Dmitri Kabalevsky", "d:Description": "Filmography linked to motion pictures which he scored from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Kabalevsky,_Dmitri_Borisovi\u010d", "url": "http://www.imdb.com/name/nm0434015/"} +{"d:Title": "Dmitry Borisovich Kabalevsky (1904-1987)", "d:Description": "Entry at the Lied and Art Songs Text Page with listing of vocal works and links to available public domain lyrics with Russian in Cyrillic and transliteration.", "topic": "Top/Arts/Music/Composition/Composers/K/Kabalevsky,_Dmitri_Borisovi\u010d", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4570"} +{"d:Title": "Kalinnikov, Vasily Sergeyevich (1866 - 1901), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kalinnikov,_Vasily_Sergeyevich", "url": "http://stevenestrella.com/composers/composerfiles/kalinnikov1901.html"} +{"d:Title": "Vasily Sergeyevich Kalinnikov", "d:Description": "Musicalics entry includes works, life, and links.", "topic": "Top/Arts/Music/Composition/Composers/K/Kalinnikov,_Vasily_Sergeyevich", "url": "http://musicalics.com/en/node/79994"} +{"d:Title": "Manolis Kalomiris", "d:Description": "Summary of his works with list of operas, brief biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/K/Kalomiris,_Manolis", "url": "http://musicalics.com/en/node/87478"} +{"d:Title": "Mfiles: Michael Kamen", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Music/Composition/Composers/K/Kamen,_Michael", "url": "http://www.mfiles.co.uk/Composers/Michael-Kamen.htm"} +{"d:Title": "Michael Kamen", "d:Description": "Find A Grave entry with biography by Ron Moody, photograph, and virtual memorial.", "topic": "Top/Arts/Music/Composition/Composers/K/Kamen,_Michael", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8103314&pt=Michael+Kamen"} +{"d:Title": "Yahoo! Movies: Michael Kamen", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Music/Composition/Composers/K/Kamen,_Michael", "url": "http://movies.yahoo.com/person/michael-kamen/"} +{"d:Title": "Michael Kamen", "d:Description": "Filmography at IMDb with biography, awards, and composer, conductor, orchestrator, and music producer, and actor credits.", "topic": "Top/Arts/Music/Composition/Composers/K/Kamen,_Michael", "url": "http://www.imdb.com/name/nm0004383/"} +{"d:Title": "Music under Soviet Rule: Kancheli", "d:Description": "Lengthy essay on his composition during communist control, critical selection of works, compact discography, and comments by fellow composer Schnittke.", "topic": "Top/Arts/Music/Composition/Composers/K/Kancheli,_Giya", "url": "http://www.siue.edu/~aho/musov/kancheli/kancheli1.html"} +{"d:Title": "Giya Kancheli", "d:Description": "(1935- ) Biography, major works, article, notes, reviews.", "topic": "Top/Arts/Music/Composition/Composers/K/Kancheli,_Giya", "url": "http://www.musicsalesclassical.com/composer/short-bio/Giya-Kancheli"} +{"d:Title": "The Yoko Kanno Project", "d:Description": "Profile, disc information, streaming audio, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/K/Kanno_Y\u00f4ko", "url": "http://jameswong.com/ykproject/"} +{"d:Title": "Y\u00f4ko Kanno", "d:Description": "Filmography from the Internet Movie Database noting movies, television programs, and videos she scored.", "topic": "Top/Arts/Music/Composition/Composers/K/Kanno_Y\u00f4ko", "url": "http://www.imdb.com/name/nm0437819/"} +{"d:Title": "Yoko Kanno", "d:Description": "Wikipedia article with links to related topics and speculation on Gabriela Robin being her alter ego.", "topic": "Top/Arts/Music/Composition/Composers/K/Kanno_Y\u00f4ko", "url": "http://en.wikipedia.org/wiki/Yoko_Kanno"} +{"d:Title": "Vitezslava Kapralova", "d:Description": "Official site of the Kapralova Society. Includes biography and chronology, bibliography, discography, concert schedule, reviews, photographs, current projects, and membership and contact information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/K/Kapralova,_Vitezslava", "url": "http://www.kapralova.org/"} +{"d:Title": "Kapralova, Vitezslava (1915 - 1940), Czechoslovakia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to the Kapralova Society from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kapralova,_Vitezslava", "url": "http://stevenestrella.com/composers/composerfiles/kapralova1940.html"} +{"d:Title": "Kay, Ulysses", "d:Description": "Biographical data by Dr. Paxton M. Girtmon, major works, recommended CDs, books and sheet music, bibliography, and links. From Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kay,_Ulysses_Simpson", "url": "http://www.stevenestrella.com/composers/index.html?composerfiles/kay1995.html"} +{"d:Title": "Ulysses Kay", "d:Description": "Filmography with related links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Kay,_Ulysses_Simpson", "url": "http://www.imdb.com/name/nm0443198/"} +{"d:Title": "Miscellaneous songs by Ulysses Simpson Kay", "d:Description": "Lyrics for pieces written for Dickinson and Longfellow poems from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/K/Kay,_Ulysses_Simpson", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4599"} +{"d:Title": "Reinhard-Keiser-Gedenkst\u00e4tte", "d:Description": "Official site for the non-profit society. Includes brief and extended biographies, details of memorial in Teuchern, Germany, concerts, news, discography, books, links, contacts, and guestbook. [German, Italian, and English pages.]", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/K/Keiser,_Reinhard", "url": "http://www.reinhard-keiser-verein.de/"} +{"d:Title": "HOASM: Reinhard Keiser", "d:Description": "Biography from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/K/Keiser,_Reinhard", "url": "http://www.hoasm.org/VIIF/Keiser.html"} +{"d:Title": "Keiser, Reinhard", "d:Description": "Biography and links from Timothy A. Smith's Sojourn pages.", "topic": "Top/Arts/Music/Composition/Composers/K/Keiser,_Reinhard", "url": "http://jan.ucc.nau.edu/~tas3/keiser.html"} +{"d:Title": "Reinhard Keiser", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/K/Keiser,_Reinhard", "url": "http://www.grainger.de/music/composers/keiser.html"} +{"d:Title": "Reinhard Keiser (1674-1739)", "d:Description": "Links, recommended recordings, books, music editions and reviews from NewOlde.com.", "topic": "Top/Arts/Music/Composition/Composers/K/Keiser,_Reinhard", "url": "http://www.newolde.com/keiser.htm"} +{"d:Title": "Reinhard Keiser", "d:Description": "His music and his life, from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/K/Keiser,_Reinhard", "url": "http://musicalics.com/en/node/80011"} +{"d:Title": "Kern, Jerome (1885 - 1945), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kern,_Jerome_David", "url": "http://stevenestrella.com/composers/composerfiles/kern1945.html"} +{"d:Title": "Fresh Air, American Popular Song Series: Jerome Kern", "d:Description": "Profile and audio broadcast focusing on his music before 1927, including performances of his works.", "topic": "Top/Arts/Music/Composition/Composers/K/Kern,_Jerome_David", "url": "http://freshair.npr.org/day_fa.jhtml?display=day&todayDate=12/25/2001"} +{"d:Title": "Jerome Kern's Chord Progressions listed on SongTrellis", "d:Description": "Chord progressions of Jerome Kern compositions in MIDI and printable GIF format available for study, practice and improvisation.", "topic": "Top/Arts/Music/Composition/Composers/K/Kern,_Jerome_David", "url": "http://www.songtrellis.com/composers/Kern,Jerome/changeslist"} +{"d:Title": "Jerome Kern", "d:Description": "Birth, death, committal, and cemetery information with portrait, picture of tomb, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/K/Kern,_Jerome_David", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=576&pt=Jerome+Kern"} +{"d:Title": "Jerome Kern", "d:Description": "Filmography, biography, trivia, awards and nominations, and related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Kern,_Jerome_David", "url": "http://www.imdb.com/name/nm0006153/"} +{"d:Title": "Kernis, Aaron Jay (1960 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kernis,_Aaron_Jay", "url": "http://stevenestrella.com/composers/composerfiles/kernisliving.html"} +{"d:Title": "Aaron Jay Kernis", "d:Description": "Article about the Saint Paul Chamber Orchestra's Composer in Residence from Minnesota Public Radio.", "topic": "Top/Arts/Music/Composition/Composers/K/Kernis,_Aaron_Jay", "url": "http://music.minnesota.publicradio.org/programs/spco/docs/aaron_kernis.htm"} +{"d:Title": "Aaron Jay Kernis", "d:Description": "Biography, work list, notes, reviews, upcoming performances, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/K/Kernis,_Aaron_Jay", "url": "http://www.musicsalesclassical.com/composer/short-bio/Aaron-Jay-Kernis"} +{"d:Title": "Ketelbey, Albert (1875 - 1959), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, and bibliography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Ket\u00e8lbey,_Albert_William", "url": "http://stevenestrella.com/composers/composerfiles/ketelbey1959.html"} +{"d:Title": "Tangled Tunes: Albert W. Ketelby", "d:Description": "Commentary on his piano roll music arrangements. Includes MIDI file.", "topic": "Top/Arts/Music/Composition/Composers/K/Ket\u00e8lbey,_Albert_William", "url": "http://www.mmdigest.com/Sounds/tangledTunes.html"} +{"d:Title": "Monastery Garden and Persian Market: The Travels of Albert W Ket\u00e8lbey", "d:Description": "Sympathetic synopsis of his light orchestral and piano works.", "topic": "Top/Arts/Music/Composition/Composers/K/Ket\u00e8lbey,_Albert_William", "url": "http://www.musicweb-international.com/garlands/ketelbey.htm"} +{"d:Title": "Classical Archives: Albert W. Ket\u00e8lbey", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/K/Ket\u00e8lbey,_Albert_William", "url": "http://www.classicalarchives.com/composer/2785.html"} +{"d:Title": "Khachaturian, Aram Il'yich (1903 - 1978), Armenia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Khachaturian,_Aram_Il'yich", "url": "http://stevenestrella.com/composers/composerfiles/khachaturian1978.html"} +{"d:Title": "Classical Archives: Aram Khachaturian", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/K/Khachaturian,_Aram_Il'yich", "url": "http://www.classicalarchives.com/composer/2787.html"} +{"d:Title": "Naxos: Aram Il'yich Khachaturian", "d:Description": "Brief biography, caricature, summary of stage works and orchestral music, and discography.", "topic": "Top/Arts/Music/Composition/Composers/K/Khachaturian,_Aram_Il'yich", "url": "http://www.naxos.com/composerinfo/Aram_Ilyich_Khachaturian_20120/20120.htm"} +{"d:Title": "Khachaturian, Aram", "d:Description": "Complete list of compositions.", "topic": "Top/Arts/Music/Composition/Composers/K/Khachaturian,_Aram_Il'yich", "url": "http://home.online.nl/ovar/kachcata.htm"} +{"d:Title": "Aram Khachaturyan", "d:Description": "Listing with Internet Movie Database (IMDb) notes credited songs and scores and other cinematic uses of his music.", "topic": "Top/Arts/Music/Composition/Composers/K/Khachaturian,_Aram_Il'yich", "url": "http://www.imdb.com/name/nm0006154/"} +{"d:Title": "Aram Ilyich Khachaturian (1903-1978)", "d:Description": "Listing of vocal works with transliteration and Cyrillic for public domain lyrics from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/K/Khachaturian,_Aram_Il'yich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4625"} +{"d:Title": "AACM: Ali Akbar Khan", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/K/Khan,_Ali_Akbar", "url": "http://www.aacm.org/school_faculty_aak.html"} +{"d:Title": "Friedrich Kiel", "d:Description": "Music and life from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/K/Kiel,_Friedrich", "url": "http://musicalics.com/en/node/80026"} +{"d:Title": "Richard Kimball", "d:Description": "Listing with ARTISTdirect includes the albums of others with whom he's worked as pianist, arranger, conductor, producer, or other capacity.", "topic": "Top/Arts/Music/Composition/Composers/K/Kimball,_Richard", "url": "http://www.artistdirect.com/music/artist/card/0,,853575,00.html"} +{"d:Title": "Jacksonian Press, Inc.", "d:Description": "Biography, information on purchasing the music of Betty Jackson King.", "topic": "Top/Arts/Music/Composition/Composers/K/King,_Betty_Jackson", "url": "http://www.bettyjacksonking.com/"} +{"d:Title": "HOASM: George Kirbye", "d:Description": "Biography and partial discography from Here on a Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/K/Kirbye,_George", "url": "http://www.hoasm.org/IVM/Kirbye.html"} +{"d:Title": "George Kirbye", "d:Description": "Biography, sheet music, and books.", "topic": "Top/Arts/Music/Composition/Composers/K/Kirbye,_George", "url": "http://www.grainger.de/music/composers/kirbye.html"} +{"d:Title": "Kirchner, Leon (1919 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kirchner,_Leon", "url": "http://stevenestrella.com/composers/composerfiles/kirchnerliving.html"} +{"d:Title": "Kirnberger, Johann Philipp", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/K/Kirnberger,_Johann_Philipp", "url": "http://jan.ucc.nau.edu/~tas3/kirnberger.html"} +{"d:Title": "Johann Philipp Kirnberger", "d:Description": "Biography from Here Of A Sunday Morning the radio program with links to background material and related composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kirnberger,_Johann_Philipp", "url": "http://www.hoasm.org/XID/Kirnberger.html"} +{"d:Title": "Johann Philipp Kirnberger", "d:Description": "Biography, sheet music, websites, and books.", "topic": "Top/Arts/Music/Composition/Composers/K/Kirnberger,_Johann_Philipp", "url": "http://www.grainger.de/music/composers/kirnberger.html"} +{"d:Title": "Kisielewski, Stefan", "d:Description": "Biography, list of works, and discography from the Polish Music Center of USC.", "topic": "Top/Arts/Music/Composition/Composers/K/Kisielewski,_Stefan", "url": "http://www.usc.edu/dept/polish_music/composer/kisielewski.html"} +{"d:Title": "International Kod\u00e1ly Society", "d:Description": "Official site. Segments on life, work, and theories with information on society membership, activities, publications, newsletter subscription and archives, and links to other organizations.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/K/Kod\u00e1ly,_Zolt\u00e1n", "url": "http://www.iks.hu/"} +{"d:Title": "Kod\u00e1ly, Zolt\u00e1n (1882 - 1967), Hungary", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kod\u00e1ly,_Zolt\u00e1n", "url": "http://stevenestrella.com/composers/composerfiles/kodaly1967.html"} +{"d:Title": "Zoltan Kodaly", "d:Description": "Birth, death, and burial information, biographical sketch, and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/K/Kod\u00e1ly,_Zolt\u00e1n", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7026&pt=Zoltan+Kodaly"} +{"d:Title": "Classic Cat: Kodaly", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/K/Kod\u00e1ly,_Zolt\u00e1n", "url": "http://www.classiccat.net/kodaly_z/"} +{"d:Title": "Classical Archives: Zolt\u00e1n Kod\u00e1ly", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/K/Kod\u00e1ly,_Zolt\u00e1n", "url": "http://www.classicalarchives.com/composer/2806.html"} +{"d:Title": "Zolt\u00e1n Kod\u00e1ly", "d:Description": "Filmography and related links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Kod\u00e1ly,_Zolt\u00e1n", "url": "http://www.imdb.com/name/nm0462697/"} +{"d:Title": "Zolt\u00e1n Kod\u00e1ly (1882-1967)", "d:Description": "Hungarian folk song lyrics and other vocal works, some with English translations, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/K/Kod\u00e1ly,_Zolt\u00e1n", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4676"} +{"d:Title": "Koechlin, Charles (1867 - 1950), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Koechlin,_Charles_Louis_Eug\u00e8ne", "url": "http://stevenestrella.com/composers/composerfiles/koechlin1950.html"} +{"d:Title": "Charles Koechlin (1867-1950)", "d:Description": "Extensive listing of song cycles and individual pieces, most with French lyrics and many with English translations. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/K/Koechlin,_Charles_Louis_Eug\u00e8ne", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1458"} +{"d:Title": "Erich Wolfgang Korngold (1897-1957) from Vienna to Hollywood", "d:Description": "An overview of Korngold's career, from his classical roots to his film scores. List of compositions and films.", "topic": "Top/Arts/Music/Composition/Composers/K/Korngold,_Erich_Wolfgang", "url": "http://www.mfiles.co.uk/Composers/Erich-Korngold.htm"} +{"d:Title": "Erich Wolfgang Korngold", "d:Description": "Includes biography, discography, interviews, and list of works.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/K/Korngold,_Erich_Wolfgang", "url": "http://www.korngold-society.org/"} +{"d:Title": "Erich Wolfgang Korngold", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/K/Korngold,_Erich_Wolfgang", "url": "http://www.americancomposers.org/raksin_korngold.htm"} +{"d:Title": "Erich Korngold", "d:Description": "Birth, death, and burial information with portraits, tombstone, and cemetery photographs and virtual memorial from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/K/Korngold,_Erich_Wolfgang", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7920&pt=Erich+Korngold"} +{"d:Title": "Naxos: Erich Wolfgang Korngold", "d:Description": "Brief biographical sketch, caricature, commentary on musical style, and discography.", "topic": "Top/Arts/Music/Composition/Composers/K/Korngold,_Erich_Wolfgang", "url": "http://www.naxos.com/composerinfo/Erich_Wolfgang_Korngold_21825/21825.htm"} +{"d:Title": "Erich Wolfgang Korngold", "d:Description": "Filmography, biography, awards and nominations, and related material.", "topic": "Top/Arts/Music/Composition/Composers/K/Korngold,_Erich_Wolfgang", "url": "http://www.imdb.com/name/nm0006157/"} +{"d:Title": "Erich Korngold (1897-1957)", "d:Description": "English and German lyrics to song cycles and individual works from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/K/Korngold,_Erich_Wolfgang", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4699"} +{"d:Title": "WAC Newsletter, February 1997: In Memoriam", "d:Description": "Wisconsin Alliance for Composers On-line Newsletter, February 1997.", "topic": "Top/Arts/Music/Composition/Composers/K/Kraehenbuehl,_David", "url": "http://www.wiscomposers.org/news/1997_02/memoriam.html"} +{"d:Title": "Classical Archives: Joseph Martin Kraus", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/K/Kraus,_Joseph_Martin", "url": "http://www.classicalarchives.com/composer/2838.html"} +{"d:Title": "Joseph Martin Kraus", "d:Description": "Brief entry from the Internet Movie Database (IMDb) includes filmography, search, and message board.", "topic": "Top/Arts/Music/Composition/Composers/K/Kraus,_Joseph_Martin", "url": "http://www.imdb.com/name/nm0470135/"} +{"d:Title": "Grave of Fritz Kreisler", "d:Description": "Find a Grave photographs of the burial place of the composer in Brooklyn, New York. Link to the cemetery shows other notables sharing his resting place.", "topic": "Top/Arts/Music/Composition/Composers/K/Kreisler,_Fritz", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=589"} +{"d:Title": "Fritz Kreisler", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/K/Kreisler,_Fritz", "url": "http://www.classiccat.net/kreisler_f/"} +{"d:Title": "Fritz Kreisler", "d:Description": "Wikipedia article dealing with his violin virtuosity and his creation of works attributed to lesser-known composers of previous years. Includes internal references to related people and topics and links.", "topic": "Top/Arts/Music/Composition/Composers/K/Kreisler,_Fritz", "url": "http://en.wikipedia.org/wiki/Fritz_Kreisler"} +{"d:Title": "Fritz Kreisler", "d:Description": "Brief biography, caricature, comments on his anonymous violin compositions, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/K/Kreisler,_Fritz", "url": "http://www.naxos.com/composerinfo/570.htm"} +{"d:Title": "Classical Archives: Fritz Kreisler", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/K/Kreisler,_Fritz", "url": "http://www.classicalarchives.com/composer/2841.html"} +{"d:Title": "Fritz Kreisler", "d:Description": "Filmography, biography, and related links at the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Kreisler,_Fritz", "url": "http://www.imdb.com/name/nm0470687/"} +{"d:Title": "Ernst Krenek Institut Vienna", "d:Description": "English and German with information about the Institute, news, the Ernst Krenek Prize, biography, works, discography, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/K/Krenek,_Ernst", "url": "http://www.krenek.com/"} +{"d:Title": "Krenek, Ernst (1900 - 1991), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Krenek,_Ernst", "url": "http://stevenestrella.com/composers/composerfiles/krenek1991.html"} +{"d:Title": "Ernst Krenek", "d:Description": "Filmography as composer and actor with background information and links from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Krenek,_Ernst", "url": "http://www.imdb.com/name/nm0470835/"} +{"d:Title": "Ernst Krenek Society, Palm Springs, California", "d:Description": "Official site. Centennial brocure in PDF, biography and chronology, compositions by date, title, genera, and publisher, link to Krenek Newsletter.", "topic": "Top/Arts/Music/Composition/Composers/K/Krenek,_Ernst", "url": "http://www.ernstkrenek.com/"} +{"d:Title": "Ernst Krenek (1900-1991)", "d:Description": "Cycles and miscellaneous vocal works; some public domain lyrics in German, a few with English translations. From the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/K/Krenek,_Ernst", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1492"} +{"d:Title": "Konradin Kreutzer", "d:Description": "Filmography noting cinematic renditions of his opera Der Verschwender at the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Kreutzer,_Conradin", "url": "http://www.imdb.com/name/nm0471060/"} +{"d:Title": "Miscellaneous Lieder by Kreutzer", "d:Description": "List of songs, most with lyrics, some with English translations.", "topic": "Top/Arts/Music/Composition/Composers/K/Kreutzer,_Conradin", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4727"} +{"d:Title": "Franz Krommer's Wind Ensemble Music", "d:Description": "Doctoral thesis submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy at the department languages and fine arts of the Johann Wolfgang Goethe University in Frankfurt/Main, Germany. Includes contents and author details.", "topic": "Top/Arts/Music/Composition/Composers/K/Krommer,_Franz_Vinzenz", "url": "http://www.krommer.com/home_en.htm"} +{"d:Title": "HOASM: Franz Krommer", "d:Description": "Biography from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/K/Krommer,_Franz_Vinzenz", "url": "http://www.hoasm.org/XIIC/Krommer.html"} +{"d:Title": "Krommer, Franz Vinzenz", "d:Description": "Information on several of his compositions.", "topic": "Top/Arts/Music/Composition/Composers/K/Krommer,_Franz_Vinzenz", "url": "http://www.woodwind.org/Databases/Composers/Names/005619.html"} +{"d:Title": "Classical Archives: Franz Krommer", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/K/Krommer,_Franz_Vinzenz", "url": "http://www.classicalarchives.com/composer/2845.html"} +{"d:Title": "Krzisnik, Borut", "d:Description": "Background and biographical information noting his work based on the science fiction of Douglas Adams. Includes MP3 samples, reviews, discography and projects, interviews, and contacts.", "topic": "Top/Arts/Music/Composition/Composers/K/Krzisnik,_Borut", "url": "http://www2.arnes.si/~ksbkrzi/"} +{"d:Title": "Borut Krzisnik", "d:Description": "Filmography noting movies scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/K/Krzisnik,_Borut", "url": "http://www.imdb.com/name/nm1380612/"} +{"d:Title": "Filmo/Discography: Gail Kubik", "d:Description": "Offers a list of films for which the composer wrote the scores, with links to the films.", "topic": "Top/Arts/Music/Composition/Composers/K/Kubik,_Gail_Thompson", "url": "http://www.soundtrackcollector.com/catalog/composerdiscography.php?composerid=1921"} +{"d:Title": "Kubik, Gail", "d:Description": "(1914 - 1984), United States. Includes biographical data, recommended CDs, books and sheet music, and bibliography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kubik,_Gail_Thompson", "url": "http://stevenestrella.com/composers/composerfiles/kubik1984.html"} +{"d:Title": "Gail Kubik", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/K/Kubik,_Gail_Thompson", "url": "http://www.imdb.com/name/nm0473479/"} +{"d:Title": "Kuhlau, Friedrich (1786 - 1832), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/K/Kuhlau,_Daniel_Friedrich_Rudolph", "url": "http://stevenestrella.com/composers/composerfiles/kuhlau1832.html"} +{"d:Title": "Friedrich Kuhlau", "d:Description": "Filmography linked to motion pictures using his compositions from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Composition/Composers/K/Kuhlau,_Daniel_Friedrich_Rudolph", "url": "http://www.imdb.com/name/nm0474035/"} +{"d:Title": "Classical Archives: Friedrich Kuhlau", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/K/Kuhlau,_Daniel_Friedrich_Rudolph", "url": "http://www.classicalarchives.com/composer/2848.html"} +{"d:Title": "Daniel Friedrich Rudolph Kuhlau (1786-1832)", "d:Description": "Miscellaneous vocal works, some with original language lyrics, from the Lied and Art Song Texts Page at REC Music.", "topic": "Top/Arts/Music/Composition/Composers/K/Kuhlau,_Daniel_Friedrich_Rudolph", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4737"} +{"d:Title": "HOASM: Johann Kuhnau", "d:Description": "Portrait, biography, and partial discography, from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/K/Kuhnau,_Johann", "url": "http://www.hoasm.org/VIF/Kuhnau.html"} +{"d:Title": "Kuhnau, Johann", "d:Description": "Biography and portrait.", "topic": "Top/Arts/Music/Composition/Composers/K/Kuhnau,_Johann", "url": "http://jan.ucc.nau.edu/~tas3/kuhnau.html"} +{"d:Title": "Classical Archives: Johann Kuhnau", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/K/Kuhnau,_Johann", "url": "http://www.classicalarchives.com/composer/2849.html"} +{"d:Title": "Jean L'H\u00e9ritier", "d:Description": "Biographical sketch with links to contemporaries and period music from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/L'H\u00e9ritier,_Jean", "url": "http://www.hoasm.org/IVA/LHeritier.html"} +{"d:Title": "Labate, Bruno (1883 - 1968), Italy/United States of America", "d:Description": "Birth and death dates, general information, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/L/Labate,_Bruno", "url": "http://stevenestrella.com/composers/composerfiles/labate1968.html"} +{"d:Title": "Mario Labroca", "d:Description": "Biography, partial works list, and bibliography by Guido M. Gatti and John C. G. Waterhouse for the Grove Dictionary.", "topic": "Top/Arts/Music/Composition/Composers/L/Labroca,_Mario", "url": "http://www.rodoni.ch/malipiero/labrocagrove.html"} +{"d:Title": "Mario Labroca", "d:Description": "Brief entry with filmography and related links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Labroca,_Mario", "url": "http://www.imdb.com/name/nm0479723/"} +{"d:Title": "Bernard-Germain-Etienne Lac\u00e9p\u00e8de", "d:Description": "Biography from Lefalophodon dealing with contributions to natural history, especially his Histoire naturelle des poissons.", "topic": "Top/Arts/Music/Composition/Composers/L/Lac\u00e9p\u00e8de,_Bernard_Germain_Etienne_de_la_Ville-sur-Illon,_Comte_de", "url": "http://www.nceas.ucsb.edu/~alroy/lefa/Lacepede.html"} +{"d:Title": "Lac\u00e9p\u00e8de, Bernard \u00c9tienne de la Ville, Comte de", "d:Description": "Biography from Columbia Encyclopedia at Information Please focuses on scientific and political accomplishments.", "topic": "Top/Arts/Music/Composition/Composers/L/Lac\u00e9p\u00e8de,_Bernard_Germain_Etienne_de_la_Ville-sur-Illon,_Comte_de", "url": "http://www.infoplease.com/encyclopedia/people/lacepede-bernard-germain-etienne-de-la-ville-comte-de.html"} +{"d:Title": "Osvaldo Lacerda", "d:Description": "Biography including teachers and influences, major genres, and teaching with photograph. From the Brazilian Music Collection at the University of Akron.", "topic": "Top/Arts/Music/Composition/Composers/L/Lacerda,_Osvaldo_Costa_de", "url": "http://www3.uakron.edu/bmca/composers/Lacerda/"} +{"d:Title": "Helmut Lachenmann", "d:Description": "Biography, notes on influences and style, and selected works from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/L/Lachenmann,_Helmut_Friedrich", "url": "http://www.musicsalesclassical.com/composer/works/4028"} +{"d:Title": "Ezra Laderman", "d:Description": "Filmography and related information from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Laderman,_Ezra", "url": "http://www.imdb.com/name/nm0480519/"} +{"d:Title": "Ezra Laderman", "d:Description": "Biography, work list, notes, review, and related material from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/L/Laderman,_Ezra", "url": "http://www.musicsalesclassical.com/composer/short-bio/Ezra-Laderman"} +{"d:Title": "Yehoshua Lakner", "d:Description": "Biography including awards and honors with list of later works from the Swiss Music Information Centre.", "topic": "Top/Arts/Music/Composition/Composers/L/Lakner,_Yehoshua", "url": "http://www.musicedition.ch/sme/index_e.htm"} +{"d:Title": "Yehoshua Lakner: Piano Works from Six Decades", "d:Description": "Review of a compilation by pianist Tomas B\u00e4chli with Petra Ronner, encompassing most of his career, including biographical information and details about his style. From the October 2001 MusicWeb(UK).", "topic": "Top/Arts/Music/Composition/Composers/L/Lakner,_Yehoshua", "url": "http://www.musicweb-international.com/classrev/2001/Oct01/Lakner.htm"} +{"d:Title": "Michel Richard Delalande", "d:Description": "Biography, sheet music, and books.", "topic": "Top/Arts/Music/Composition/Composers/L/Lalande,_Michel-Richard_de", "url": "http://www.grainger.de/music/composers/delalande.html"} +{"d:Title": "HOASM: Michel-Richard de Lalande", "d:Description": "Biography and partial discography, from Here on a Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lalande,_Michel-Richard_de", "url": "http://www.hoasm.org/VIIC/Lalande.html"} +{"d:Title": "Edouard Lalo", "d:Description": "Listing with Find a Grave includes photograph of him and his tombstone, interactive message, and links to others buried in the same cemetery.", "topic": "Top/Arts/Music/Composition/Composers/L/Lalo,_Edouard_Victoire_Antoine", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7866"} +{"d:Title": "Classic Cat: Lalo", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/L/Lalo,_Edouard_Victoire_Antoine", "url": "http://www.classiccat.net/lalo_e/"} +{"d:Title": "Classical Archives: Edouard Lalo", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lalo,_Edouard_Victoire_Antoine", "url": "http://www.classicalarchives.com/composer/9875.html"} +{"d:Title": "Classical Music MIDI Page: Edouard Lalo", "d:Description": "Portrait, biography, noted works, and audio samples archived in ZIP format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lalo,_Edouard_Victoire_Antoine", "url": "http://www.classicalmidi.co.uk/lalo.htm"} +{"d:Title": "\u00c9douard Lalo", "d:Description": "Classical Net Basic Repertoire recommendations for his Concerto for Cello, Symphony in G Minor, and Symphonie Espagnole. Includes photo.", "topic": "Top/Arts/Music/Composition/Composers/L/Lalo,_Edouard_Victoire_Antoine", "url": "http://www.classical.net/music/comp.lst/lalo.php"} +{"d:Title": "Walter Lambe", "d:Description": "Biography with links to related composers, summary of his time period, and history of the Eton Choirbook. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lambe,_Walter", "url": "http://www.hoasm.org/IVM/Lambe.html"} +{"d:Title": "Constant Lambert", "d:Description": "Biography, photograph, music clip in MP3 format, partial works list and discography, and program notes from a performance of Concerto for Piano and Nine Instruments from Oxford University Press.", "topic": "Top/Arts/Music/Composition/Composers/L/Lambert,_Leonard_Constant", "url": "http://www.oup.co.uk/music/repprom/lambert/"} +{"d:Title": "Constant Lambert (1905-1951), Composer, Conductor and Critic", "d:Description": "Brief biographical sketch and list of art works and photographs of him from the National Portrait Gallery.", "topic": "Top/Arts/Music/Composition/Composers/L/Lambert,_Leonard_Constant", "url": "http://www.npg.org.uk/live/search/person.asp?linkID=mp02604"} +{"d:Title": "Constant Lambert", "d:Description": "Find A Grave listing with portrait, photograph, biography including founding of what became the Royal Ballet and details of his death, and link to other famous burials in Brompton Cemetery, London.", "topic": "Top/Arts/Music/Composition/Composers/L/Lambert,_Leonard_Constant", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8934637&pt=Constant+Lambert"} +{"d:Title": "Constant Lambert", "d:Description": "Filmography from the Internet Movie Database with links to related material, including son Kit.", "topic": "Top/Arts/Music/Composition/Composers/L/Lambert,_Leonard_Constant", "url": "http://www.imdb.com/name/nm0483101/"} +{"d:Title": "Constant Lambert", "d:Description": "Partial works list by decade, photograph, brief biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lambert,_Leonard_Constant", "url": "http://musicalics.com/en/node/80115"} +{"d:Title": "Michel Lambert", "d:Description": "Biography with links to related composers and time period, plus partial discography. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lambert,_Michel", "url": "http://www.hoasm.org/VIIB/Lambert.html"} +{"d:Title": "Pyramus and Thisbe (or Fatal Love): John Frederick Lampe", "d:Description": "Examination of the work and its creator from Culture Review, a virtual community for English speaking expatriots and Russians in Moscow.", "topic": "Top/Arts/Music/Composition/Composers/L/Lampe,_John_Frederick", "url": "http://www.expat.ru/culturereviews.php?cid=9"} +{"d:Title": "The Dragon of Wantley", "d:Description": "Details of the piece, its creators, and its success from Opera Restor'd.", "topic": "Top/Arts/Music/Composition/Composers/L/Lampe,_John_Frederick", "url": "http://www.operarestord.co.uk/dragoninfo.htm"} +{"d:Title": "John Frederick Lampe (1703-1751)", "d:Description": "Biography, portrait, and MIDI music of the tune \"Dying Stephen,\" composed for Charles Wesley's \"Head of Thy Church Triumphant.\" Includes score in NWC format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lampe,_John_Frederick", "url": "http://www.hymntime.com/tch/bio/l/a/m/lampe_jf.htm"} +{"d:Title": "Stefano Landi", "d:Description": "Brief biography with links to further information on monody and the vocal concerto and the cantata from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Landi,_Stefano", "url": "http://www.hoasm.org/VD/Landi.html"} +{"d:Title": "Stefano Landi (1586?7-1639)", "d:Description": "Listing from The Lied and Art Song Texts Page with Italian text to the song Piansi un tempo.", "topic": "Top/Arts/Music/Composition/Composers/L/Landi,_Stefano", "url": "http://www.lieder.net/lieder/get_text.html?TextId=1321"} +{"d:Title": "Francesco Landini", "d:Description": "Biography, related material, partial discography, and analysis of his musicianship and compositions from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Landini,_Francesco", "url": "http://www.hoasm.org/IIIA/Landini.html"} +{"d:Title": "Francesco Landini: A Discography", "d:Description": "Listing of over one hundred recordings featuring his compositions with biography and musicological details.", "topic": "Top/Arts/Music/Composition/Composers/L/Landini,_Francesco", "url": "http://www.medieval.org/emfaq/composers/landini.html"} +{"d:Title": "Landini, Francesco (c. 1325 - 1397), Italy", "d:Description": "Biographical data, recommended CDs, books, and sheet music, bibliography, background material, and link to biographical essay.", "topic": "Top/Arts/Music/Composition/Composers/L/Landini,_Francesco", "url": "http://stevenestrella.com/composers/composerfiles/landini1397.html"} +{"d:Title": "Francesco Landini: Il Cieco", "d:Description": "Biographical timeline, portrait, key works, and recommended recordings, from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/L/Landini,_Francesco", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=12"} +{"d:Title": "Marcel Landowski", "d:Description": "Filmography links including the 1948 version of Gigi from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Landowski,_Marcel", "url": "http://www.imdb.com/name/nm0484972/"} +{"d:Title": "Bang on a Can", "d:Description": "Home page. Festival, tours and recordings.", "topic": "Top/Arts/Music/Composition/Composers/L/Lang,_David", "url": "http://www.bangonacan.org/"} +{"d:Title": "David Lang", "d:Description": "Biography, notes, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/L/Lang,_David", "url": "http://www.musicsalesclassical.com/composer/short-bio/David-Lang"} +{"d:Title": "Istv\u00e1n L\u00e1ng", "d:Description": "Filmography linked to movies which he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00e1ng,_Istv\u00e1n", "url": "http://www.imdb.com/name/nm0529436/"} +{"d:Title": "P.E. Lange-M\u00fcller", "d:Description": "Filmography linked to the Danish film Der var engang from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lange-M\u00fcller,_Peter_Erasmus", "url": "http://www.imdb.com/name/nm0486217/"} +{"d:Title": "Rued Langgaard - The Complete Symphonies", "d:Description": "Complete discography from Danacord.", "topic": "Top/Arts/Music/Composition/Composers/L/Langgaard,_Rued_Immanuel", "url": "http://www.danacord.dk/collections/langgaard.html"} +{"d:Title": "Rued Langgaard: Works on Danacord", "d:Description": "Rued Langgaard work list from Danacord with biography.", "topic": "Top/Arts/Music/Composition/Composers/L/Langgaard,_Rued_Immanuel", "url": "http://www.danacord.dk/comp-dk/langgaard.html"} +{"d:Title": "Rued Langgaard, Danish composer (1893-1952)", "d:Description": "Biography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/L/Langgaard,_Rued_Immanuel", "url": "http://www.dacapo-records.dk/en/artist-rued-langgaard.aspx"} +{"d:Title": "Jean Langlais", "d:Description": "Wikipedia article covering his life and works with internal references to related people and topics and links.", "topic": "Top/Arts/Music/Composition/Composers/L/Langlais,_Jean", "url": "http://en.wikipedia.org/wiki/Jean_Langlais"} +{"d:Title": "Jean Langlais", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/L/Langlais,_Jean", "url": "http://www.classiccat.net/langlais_j/"} +{"d:Title": "Jean Langlais (1907-1991)", "d:Description": "Brief biographical sketch noting studies under Dukas and Marcel Dupr\u00e9 with summaries of organ, vocal, and choral music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Langlais,_Jean", "url": "http://www.naxos.com/composerinfo/595.htm"} +{"d:Title": "Jean Langlais", "d:Description": "Biography, photograph,work list, discography, album cover art, and bibliography. [French and English.]", "topic": "Top/Arts/Music/Composition/Composers/L/Langlais,_Jean", "url": "http://www.jeanlanglais.com/"} +{"d:Title": "Jean Langlais", "d:Description": "Summary of musical style and genres, related composers, biography, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Langlais,_Jean", "url": "http://musicalics.com/en/node/80126"} +{"d:Title": "Lanier, Nicholas (1588 - 1666), United Kingdom", "d:Description": "Biographical data, recommended CDs, books, and sheet music, and bibliography. Linked to further resources.", "topic": "Top/Arts/Music/Composition/Composers/L/Lanier,_Nicholas", "url": "http://stevenestrella.com/composers/composerfiles/lanier1666.html"} +{"d:Title": "Nicholas Lanier (Lani\u00e8re)", "d:Description": "Biography, related composer and musical links, discography, and portrait from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lanier,_Nicholas", "url": "http://www.hoasm.org/IVM/Lanier.html"} +{"d:Title": "Nicholas Lanier", "d:Description": "Entry at Musicalics includes extensive list of works and a bibliography by Gordon J. Callon. Includes links to related material.", "topic": "Top/Arts/Music/Composition/Composers/L/Lanier,_Nicholas", "url": "http://musicalics.com/en/node/92387"} +{"d:Title": "Joseph Lanner", "d:Description": "Filmography listing movies using his works and related links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lanner,_Joseph_Franz_Karl", "url": "http://www.imdb.com/name/nm0486937/"} +{"d:Title": "Joseph Lanner", "d:Description": "Listing with Find A Grave includes biography, portrait photograph, picture of tomb besides those of Johann Strauss I and II, and link to other committals at Vienna's Zentral Friedhof.", "topic": "Top/Arts/Music/Composition/Composers/L/Lanner,_Joseph_Franz_Karl", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8066804&pt=Joseph+Lanner"} +{"d:Title": "Joseph Lanner", "d:Description": "Biography showing friendship and rivalry with Johann Strauss, profile of son August, photograph, and links. From the Johann Strauss Society of Great Britain.", "topic": "Top/Arts/Music/Composition/Composers/L/Lanner,_Joseph_Franz_Karl", "url": "http://www.johann-strauss.org.uk/composers-a-m.php?id=133"} +{"d:Title": "Erasmus Lapicida", "d:Description": "Biography speculating on his origins and examining the trail of published works. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lapicida,_Erasmus", "url": "http://www.hoasm.org/IVH/Lapicida.html"} +{"d:Title": "Erasmus Lapicida", "d:Description": "Brief biographical sketch noting religious office and a long life reflected by evolving style with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lapicida,_Erasmus", "url": "http://www.naxos.com/composerinfo/5641.htm"} +{"d:Title": "Isidore de Lara", "d:Description": "Biographical sketch with links to related material, partial list of operas, and details of his affair with Princess Alice of Monaco from Wikipedia.", "topic": "Top/Arts/Music/Composition/Composers/L/Lara,_Isidore_de", "url": "http://en.wikipedia.org/wiki/Isidore_de_Lara"} +{"d:Title": "Lara, Isidore de", "d:Description": "Listing at JewishEncyclopedia.com from the Jewish Yearbook of 1901 notes some of the works he'd composed by that time.", "topic": "Top/Arts/Music/Composition/Composers/L/Lara,_Isidore_de", "url": "http://www.jewishencyclopedia.com/articles/9639-lara-isidore-de"} +{"d:Title": "Isidore de Lara", "d:Description": "Listing at The Lied and Art Song Texts Page with linked to selected vocal texts.", "topic": "Top/Arts/Music/Composition/Composers/L/Lara,_Isidore_de", "url": "http://www.lieder.net/lieder/get_text.html?TextId=12893"} +{"d:Title": "AMG: Lars-Erik Larsson", "d:Description": "Biography, works and credits.", "topic": "Top/Arts/Music/Composition/Composers/L/Larsson,_Lars-Erik_Vilner", "url": "http://www.allmusic.com/artist/lars-erik-larsson-mn0001744541"} +{"d:Title": "Lassen, Eduard", "d:Description": "Listing with JewishEncyclopedia.com includes longer biography and bibliographic references.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassen,_Eduard", "url": "http://www.jewishencyclopedia.com/articles/9655-lassen-eduard"} +{"d:Title": "Orlando de Lassus", "d:Description": "Catholic Encyclopedia biography emphasizing his religious compositions.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.newadvent.org/cathen/09011c.htm"} +{"d:Title": "Lassus, Orlandus (1532 - 1594), Belgium", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://stevenestrella.com/composers/composerfiles/lassus1594.html"} +{"d:Title": "Orlandus Lassus", "d:Description": "Detailed biography with various names by which he is known, links to related composers, portraits, influence, and a partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.hoasm.org/IVK/Lassus.html"} +{"d:Title": "Orlandus Lassus and Catholic Polyphony in late 16th Century Germany", "d:Description": "Development of the art and contributions from Lassus and others are traced. Includes supplemental information on other composers, the mixed influence of Italy and the Netherlands on the Germans, and releted material.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.hoasm.org/IVK/IVKLassusPolyphony.html"} +{"d:Title": "Orlando di Lasso", "d:Description": "Biography, place among Renaissance composers, noted works, and honors.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://members.iinet.net.au/~martins/program_notes/orlando_di_lasso.html"} +{"d:Title": "Orlando di Lasso", "d:Description": "Filmography showing cinematic and television use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.imdb.com/name/nm0223946/"} +{"d:Title": "Orlande de Lassus", "d:Description": "Wikipedia article with life, details on religious and secular works, bibliography, illustrations, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://en.wikipedia.org/wiki/Orlande_de_Lassus"} +{"d:Title": "Orlando di Lasso", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.classiccat.net/lasso_o_di/"} +{"d:Title": "Orlande de Lassus", "d:Description": "Biographical timeline, selected works, and recommended recordings from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=16"} +{"d:Title": "Orlande de Lassus", "d:Description": "Biographical sketch, caricature, summaries of secular vocal and church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.naxos.com/composerinfo/600.htm"} +{"d:Title": "Classical Archives: Orlande de Lassus", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.classicalarchives.com/composer/2871.html"} +{"d:Title": "Roland de Lassus", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, a few having English translations.", "topic": "Top/Arts/Music/Composition/Composers/L/Lassus,_Orlando_de", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4785"} +{"d:Title": "Gaetano Latilla", "d:Description": "Biography with links to historical period and partial discography from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Latilla,_Gaetano", "url": "http://www.hoasm.org/VIIIB/Latilla.html"} +{"d:Title": "Not a Synod but a Salon", "d:Description": "Introduction to John Newton's Eclectic Society noting how Latrobe's membership helped spur the group's mission-mindedness.", "topic": "Top/Arts/Music/Composition/Composers/L/Latrobe,_Christian_Ignatius", "url": "http://www.christianitytoday.com/ch/2004/issue81/14.40.html"} +{"d:Title": "Morten Lauridsen", "d:Description": "Wikipedia article with biography, works list, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lauridsen,_Morten", "url": "http://en.wikipedia.org/wiki/Morten_Lauridsen"} +{"d:Title": "Morten Lauridsen", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/L/Lauridsen,_Morten", "url": "http://www.classiccat.net/lauridsen_m/"} +{"d:Title": "Morten Lauridsen", "d:Description": "List of choral works, biography, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lauridsen,_Morten", "url": "http://musicalics.com/en/node/99822"} +{"d:Title": "Lauridsen: Choral Music", "d:Description": "Classical Net review by Steve Schwartz heaps praise on the recorded choir while giving mixed marks to the composer.", "topic": "Top/Arts/Music/Composition/Composers/L/Lauridsen,_Morten", "url": "http://www.classical.net/music/recs/reviews/r/rcm19705a.php"} +{"d:Title": "O Canada: Calixa Lavallee", "d:Description": "Detailed biography with a strong sense of national pride includes links to lyrics, MIDI sound file, detailed history of the song, and biographies of French and English lyricists Adolphe-Basile Routhier and Robert Stanley Weir.", "topic": "Top/Arts/Music/Composition/Composers/L/Lavall\u00e9e,_Calixa", "url": "http://www3.sympatico.ca/goweezer/canada/canlavallee.htm"} +{"d:Title": "Calixa Lavallee", "d:Description": "Find a Grave listing with portrait photograph, picture of his tombstone with English translation of French inscription, and cemetery information.", "topic": "Top/Arts/Music/Composition/Composers/L/Lavall\u00e9e,_Calixa", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3669&pt=Calixa+Lavallee"} +{"d:Title": "Lavall\u00e9e, Calixa 1842-1891", "d:Description": "Music Division Archival Guide entry with biographical sketch and details of holdings from the Music Archives at the National Library of Canada.", "topic": "Top/Arts/Music/Composition/Composers/L/Lavall\u00e9e,_Calixa", "url": "http://www.collectionscanada.gc.ca/4/7/m15-397-e.html"} +{"d:Title": "Lavall\u00e9e, Calixa", "d:Description": "Biographical entry from the Canadian Encyclopedia with portrait from the first printing of O Canada.", "topic": "Top/Arts/Music/Composition/Composers/L/Lavall\u00e9e,_Calixa", "url": "http://www.thecanadianencyclopedia.com/en/article/calixa-lavallee/"} +{"d:Title": "Lavry, Marc", "d:Description": "Listing with the Robert and Molly Freedman Jewish Music Archive Catalogue at the University of Pennsylvania showing recordings of numerous works.", "topic": "Top/Arts/Music/Composition/Composers/L/Lavry,_Marc", "url": "http://digital.library.upenn.edu/webbin/freedman/lookupartist?hr=&what=5287"} +{"d:Title": "Henry Lawes", "d:Description": "Biography, portrait, related composers, and links to topical essays from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lawes,_Henry", "url": "http://www.hoasm.org/IVM/LawesH.html"} +{"d:Title": "HOASM: William Lawes Discography", "d:Description": "From WBAI'S \"Here Of A Sunday Morning.\"", "topic": "Top/Arts/Music/Composition/Composers/L/Lawes,_William", "url": "http://www.hoasm.org/IVM/LawesDiscography.html"} +{"d:Title": "Classical Archives: William Lawes", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lawes,_William", "url": "http://www.classicalarchives.com/composer/2874.html"} +{"d:Title": "HOASM: Fran\u00e7ois de Layolle", "d:Description": "Biography from Here of a Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Layolle,_Fran\u00e7ois_de", "url": "http://www.hoasm.org/IVD/Layolle.html"} +{"d:Title": "CMM 032", "d:Description": "Music of the Florentine Renaissance: CMM 32 3-6 are works by Layolle. From The American Institute of Musicology.", "topic": "Top/Arts/Music/Composition/Composers/L/Layolle,_Fran\u00e7ois_de", "url": "http://www.corpusmusicae.com/cmm/cmm_cc032.htm"} +{"d:Title": "Lovely Music: Joan La Barbara", "d:Description": "Biography, discography, and performer in other compposers' works.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Barbara,_Joan", "url": "http://www.lovely.com/bios/labarbara.html"} +{"d:Title": "Mode Records: Joan La Barbara", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Barbara,_Joan", "url": "http://www.moderecords.com/profiles/joanlabarbara.html"} +{"d:Title": "Michel de La Guerre", "d:Description": "Brief biography from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Guerre,_Michel_de", "url": "http://www.hoasm.org/VIIB/LaGuerre.html"} +{"d:Title": "Michel de la Guerre", "d:Description": "Biographical sketch at OperaGlass at Stanford University.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Guerre,_Michel_de", "url": "http://opera.stanford.edu/LaGuerre/main.html"} +{"d:Title": "La Montaine, John", "d:Description": "Listing of clarinet compositions with internal links to related works.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Montaine,_John", "url": "http://www.woodwind.org/Databases/Composers/Names/005702.html"} +{"d:Title": "Rediscovering John La Montaine", "d:Description": "Interview covering full-time composing, Toscanini, his teachers, including Hanson and Boulanger, self publishing, his Pulitzer Piano Concerto, \"official\" American music, opera, jazz, music boxes, notoriety, and composers today. Quicktime and PDF.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Montaine,_John", "url": "http://www.newmusicbox.org/page.nmbx?id=53fp00"} +{"d:Title": "John La Montaine", "d:Description": "Biographical entry noting his flirting with stock-brokering until winning the Pulitzer Prize. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Montaine,_John", "url": "http://musicalics.com/en/node/92338"} +{"d:Title": "Pierre de La Rue - A discography", "d:Description": "Biography and discography, by Todd M. McComb.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Rue,_Pierre_de", "url": "http://www.medieval.org/emfaq/composers/larue.html"} +{"d:Title": "Classical Net - Basic Repertoire List - La Rue", "d:Description": "Biography by Todd McComb.", "topic": "Top/Arts/Music/Composition/Composers/L/La_Rue,_Pierre_de", "url": "http://www.classical.net/music/comp.lst/delarue.php"} +{"d:Title": "Lojze Lebic", "d:Description": "Filmography at the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lebi\u010d,_Lojze", "url": "http://www.imdb.com/name/nm0495644/"} +{"d:Title": "Lojze Lebi\u010d", "d:Description": "Portrait photograph with biography, works list, and discography in English and Slovene.", "topic": "Top/Arts/Music/Composition/Composers/L/Lebi\u010d,_Lojze", "url": "http://www2.arnes.si/~hlebic/"} +{"d:Title": "Ludwig August Lebrun", "d:Description": "Biography emphasizing his oboe virtuosity from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lebrun,_Ludwig_August", "url": "http://www.hoasm.org/XIIA/Lebrun.html"} +{"d:Title": "Leonhard Lechner", "d:Description": "Biography from the Here Of A Sunday Morning radio program includes partial discography and information about the German lied.", "topic": "Top/Arts/Music/Composition/Composers/L/Lechner,_Leonhard", "url": "http://www.hoasm.org/IVH/Lechner.html"} +{"d:Title": "Jean Marie Leclair", "d:Description": "Biography including accounts of gaining and losing patrons supplemented by links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Leclair,_Jean-Marie", "url": "http://www.hoasm.org/VIIC/Leclair.html"} +{"d:Title": "Classical Archives: Jean-Marie Leclair", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Leclair,_Jean-Marie", "url": "http://www.classicalarchives.com/composer/22558.html"} +{"d:Title": "Jean Marie L\u00e9clair", "d:Description": "Portrait and recommended recordings of sonatas and concertos from Classical Net's Basic Repertoire.", "topic": "Top/Arts/Music/Composition/Composers/L/Leclair,_Jean-Marie", "url": "http://www.classical.net/music/comp.lst/leclair.php"} +{"d:Title": "Charles Lecocq", "d:Description": "Find a Grave listing with birth and death information, portrait photo and picture of Cimeti\u00e8re du P\u00e8re Lachaise, Paris, where he was buried.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecocq,_Alexandre_Charles", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7668&pt=Charles+Lecocq"} +{"d:Title": "IMDb: Charles Lecocq", "d:Description": "Brief filmography showing cinematic use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecocq,_Alexandre_Charles", "url": "http://www.imdb.com/name/nm3085767/"} +{"d:Title": "Ernesto Lecuona", "d:Description": "Life, major achievements, international acclaim, film work, famous compositions, and links.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://www153.pair.com/bensav/Compositeurs/Lecuona.E.html"} +{"d:Title": "Ernesto Lecuona", "d:Description": "Biography and family background, main influences and effects upon subsequent composers, tours, and noted popular works.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://www.centrohd.com/biogra/l1/ernesto_lecuona_b.htm"} +{"d:Title": "Ernesto Lecuona", "d:Description": "Biography, summary of major film works, details of his use of percussion, and political background.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://www.spaceagepop.com/lecuona.htm"} +{"d:Title": "Lecuona, Ernesto (1896 - 1963), Cuba", "d:Description": "Biographical data, major works, influence on others, recommended CDs, books, and sheet music, bibliography, and link to biographical essay.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://stevenestrella.com/composers/composerfiles/lecuona1963.html"} +{"d:Title": "Ernesto Lecuona", "d:Description": "Find A Grave entry with birth and death information, photograph, cemetery picture, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6917829&pt=Ernesto+Lecuona"} +{"d:Title": "Ernesto Lecuona", "d:Description": "Filmography listing movies scored or using his works plus acting, singing, and conducting credits from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://www.imdb.com/name/nm0496368/"} +{"d:Title": "Ernesto Lecuona", "d:Description": "Brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://www.naxos.com/composerinfo/1427.htm"} +{"d:Title": "Classical Archives: Ernesto Lecuona", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://www.classicalarchives.com/composer/2880.html"} +{"d:Title": "Ernesto Lecuona", "d:Description": "Entry at the Musicalics with life, bibliographic reference, and links.", "topic": "Top/Arts/Music/Composition/Composers/L/Lecuona,_Ernesto", "url": "http://musicalics.com/en/node/80159"} +{"d:Title": "Dai-Keong Lee", "d:Description": "Official credits, biographical information and related material from the Internet Broadway Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lee,_Dai-Keong", "url": "https://www.ibdb.com/broadway-cast-staff/dai-keong-lee-89106"} +{"d:Title": "Benjamin Lees", "d:Description": "Brief introduction, photograph, biography, introduction to his music, works list, news, performances, new recordings, and RAM audio samples from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/L/Lees,_Benjamin", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2803"} +{"d:Title": "Benjamin Lees: To Be a Composer", "d:Description": "Excerpts of interview and profile by Ron Bierman for Music and Voice Daily looks to view and better understand the musical muse. Includes photographs, album art, and links.", "topic": "Top/Arts/Music/Composition/Composers/L/Lees,_Benjamin", "url": "http://www.mvdaily.com/articles/2003/06/antheil1.htm"} +{"d:Title": "Benjamin Lees", "d:Description": "Partial works list, chronology of his life, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lees,_Benjamin", "url": "http://musicalics.com/en/node/92876"} +{"d:Title": "Lefevre, Jean Xavier", "d:Description": "Details on his clarinet works from woodwind.org, The Clarinet Pages.", "topic": "Top/Arts/Music/Composition/Composers/L/Lef\u00e8vre,_Jean_Xavier", "url": "http://www.woodwind.org/Databases/Composers/Names/005890.html"} +{"d:Title": "Centre Belge de Documentation Musicale: Legley, Vic", "d:Description": "Biography, photograph, analysis of compositional style, summary list of works, discography, publications, and discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Legley,_Victor", "url": "http://www.cebedem.be/en/composers/l/94-legley-vic"} +{"d:Title": "Luigi Rinaldo Legnani", "d:Description": "Biography and classical guitar sheet music in PDF format for his Caprice no. 5 opus 20.", "topic": "Top/Arts/Music/Composition/Composers/L/Legnani,_Luigi_Rinaldo", "url": "http://www.delcamp.net/auteurs/en/3_classique/legnani_en.html"} +{"d:Title": "Michel Legrand", "d:Description": "Space Age Pop offers a breezy yet informative biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Legrand,_Michel", "url": "http://www.spaceagepop.com/legrand.htm"} +{"d:Title": "Michel Legrand", "d:Description": "Filmography, biography, and related links at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/L/Legrand,_Michel", "url": "http://www.imdb.com/name/nm0006166/"} +{"d:Title": "Guillaume Legrant", "d:Description": "Brief biographical sketch and link to related topics from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Legrant,_Guillaume", "url": "http://www.hoasm.org/IIID/LegrantG.html"} +{"d:Title": "HOASM: Giovanni Legrenzi", "d:Description": "Biography from Here on a Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Legrenzi,_Giovanni", "url": "http://www.hoasm.org/VG/Legrenzi.html"} +{"d:Title": "Giovanni Legrenzi", "d:Description": "Sheet music, websites, and books.", "topic": "Top/Arts/Music/Composition/Composers/L/Legrenzi,_Giovanni", "url": "http://www.grainger.de/music/composers/legrenzi.html"} +{"d:Title": "Franz Lehar", "d:Description": "Brief, illustrated biography.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www.bobjanuary.com/lehar.htm"} +{"d:Title": "Franz Lehar and The Merry Widow", "d:Description": "Biography emphasizing the influence of this operetta during its time and on subsequent musical theater. Includes links to Real Audio RAM music illustrating the essay.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www.lessontutor.com/bf_lehar.html"} +{"d:Title": "Franz Leh\u00e1r Considered from the Objectivist Point of View", "d:Description": "Study of how his compositions, especially The Merry Widow, intertwine with the philosophy and personal life of Ayn Rand.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www3.sympatico.ca/rr.rawlings/leh.AR.html"} +{"d:Title": "Franz Leh\u00e1r and the Music of Happy Dreams", "d:Description": "Biographical timeline, key works, recommended recordings, and portrait from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=121"} +{"d:Title": "Franz Leh\u00e1r", "d:Description": "Filmography showing cinematic and television use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www.imdb.com/name/nm0006167/"} +{"d:Title": "Franz Leh\u00e1r", "d:Description": "Wikipedia article focusing on his operettas with photograph and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://en.wikipedia.org/wiki/Franz_Leh%C3%A1r"} +{"d:Title": "Franz Leh\u00e1r (1870-1948)", "d:Description": "Brief biographical sketch, caricature, comments on operettas and orchestral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www.naxos.com/composerinfo/611.htm"} +{"d:Title": "Classical Archives: Franz Leh\u00e1r", "d:Description": "Biography, with complete files offered in MIDI audio.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www.classicalarchives.com/composer/7144.html"} +{"d:Title": "Franz Leh\u00e1r", "d:Description": "Biography at the Johann Strauss Society of Great Britain. Includes photo, major compositions, and links to related composers.", "topic": "Top/Arts/Music/Composition/Composers/L/Leh\u00e1r,_Franz", "url": "http://www.johann-strauss.org.uk/composers-a-m.php?id=135"} +{"d:Title": "Liza Lehmannn", "d:Description": "Biography with emphasis on her ventures into British musical comedies with related material from the Gilbert and Sullivan Archive.", "topic": "Top/Arts/Music/Composition/Composers/L/Lehmann,_Elisabetha_Nina_Mary_Frederica", "url": "http://math.boisestate.edu/gas/british/composers/lehmann.html"} +{"d:Title": "Liza Lehmann: Sergeant Brue", "d:Description": "Complete score of the light opera from Colin Johnson in computer generated MIDI format, divided into scenes. Includes background on the work.", "topic": "Top/Arts/Music/Composition/Composers/L/Lehmann,_Elisabetha_Nina_Mary_Frederica", "url": "http://www.halhkmusic.com/sgtbrue.html"} +{"d:Title": "Lehmann: In a Persian Garden", "d:Description": "CD Review for March 2001 MusicWeb(UK) with biographical information, her place in establishing the song cycle in England, and background on the work itself.", "topic": "Top/Arts/Music/Composition/Composers/L/Lehmann,_Elisabetha_Nina_Mary_Frederica", "url": "http://www.musicweb-international.com/classrev/2001/Mar01/lehmann.htm"} +{"d:Title": "Hans Ulrich Lehmann", "d:Description": "Biographical information, studies and influences, contacts, links, works list, and discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lehmann,_Hans_Ulrich", "url": "http://composers21.com/compdocs/lehmannh.htm"} +{"d:Title": "Lehmann, Hans Ulrich", "d:Description": "Index of his clarinet works at woodwind.org cross-indexed to pieces by others.", "topic": "Top/Arts/Music/Composition/Composers/L/Lehmann,_Hans_Ulrich", "url": "http://www.woodwind.org/Databases/Composers/Names/005920.html"} +{"d:Title": "Hans Ulrich Lehmann", "d:Description": "Biographical sketch and chronological list of works with instrumentation and vocal parts, publisher, duration, and some performance notes from the Swiss Music Information Centre.", "topic": "Top/Arts/Music/Composition/Composers/L/Lehmann,_Hans_Ulrich", "url": "http://www.musicedition.ch/sme/composers/30e.htm"} +{"d:Title": "Rene Leibowitz", "d:Description": "Includes biography, discography, and quotes emphasizing his conducting career, and several photographs.", "topic": "Top/Arts/Music/Composition/Composers/L/Leibowitz,_Ren\u00e9", "url": "http://www.angelfire.com/music2/reneleibowitz/rl.html"} +{"d:Title": "Jon Leifs: Baldur", "d:Description": "Review of the 1991 CD with biographical information.", "topic": "Top/Arts/Music/Composition/Composers/L/Leifs,_J\u00f3n", "url": "http://www.musicalobservations.com/cp2_106_7.html"} +{"d:Title": "The Immortals: J\u00f3n Leifs", "d:Description": "Dedicated to him and other Icelandic composers.", "topic": "Top/Arts/Music/Composition/Composers/L/Leifs,_J\u00f3n", "url": "http://web2.uwindsor.ca/courses/cmllc/whitney/classm.html"} +{"d:Title": "The Guide to Operetta: Walter Leigh", "d:Description": "Listing of his stage works with librettists and performance premiere dates.", "topic": "Top/Arts/Music/Composition/Composers/L/Leigh,_Walter", "url": "http://www.musicaltheatreguide.com/composers/leigh_walter.htm"} +{"d:Title": "Walter Leigh", "d:Description": "Filmography from the Internet Movie Database with biographical sketch and links to related material.", "topic": "Top/Arts/Music/Composition/Composers/L/Leigh,_Walter", "url": "http://www.imdb.com/name/nm0500309/"} +{"d:Title": "Walter Leigh", "d:Description": "Noted works and brief life history from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Leigh,_Walter", "url": "http://musicalics.com/en/node/92909"} +{"d:Title": "Kenneth Leighton at Music Sales Classical", "d:Description": "(1929-1988) Complete works.", "topic": "Top/Arts/Music/Composition/Composers/L/Leighton,_Kenneth", "url": "http://www.musicsalesclassical.com/composer/short-bio/909"} +{"d:Title": "Sir William Leighton", "d:Description": "Brief biography linked to essay on period music and names of others whose works he included in The Teares and Lamentations of a Sorrowful Soule.", "topic": "Top/Arts/Music/Composition/Composers/L/Leighton,_William,_Sir", "url": "http://www.hoasm.org/IVM/Leighton.html"} +{"d:Title": "Sir William Leighton: The Tears and Lamentations of a Sorrowful Soul", "d:Description": "Details on individual works and composers in the compilation from Patrick T. Connolly.", "topic": "Top/Arts/Music/Composition/Composers/L/Leighton,_William,_Sir/Tears_and_Lamentations_of_a_Sorrowful_Soul", "url": "http://home.att.ne.jp/blue/patchan/NewJones/OrianaLeighton/SirWilliamLeighton.html"} +{"d:Title": "Kamill\u00f3 Lendvay", "d:Description": "Filmography linked to films he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lendvay,_Kamill\u00f3", "url": "http://www.imdb.com/name/nm0501793/"} +{"d:Title": "Lendvay, Kamill\u00f3", "d:Description": "Works list detailing individual pieces and link to further information, including biography, photograph, contacts, discography, and awards. From the Budapest Music Center.", "topic": "Top/Arts/Music/Composition/Composers/L/Lendvay,_Kamill\u00f3", "url": "http://database.bmc.hu/site/muvesz/found_page.php?table=SZERZO&id=12"} +{"d:Title": "Lentz, Georges", "d:Description": "Official site. Curriculum vitae, works, discography, reviews, links, discussion of major works and spiritual beliefs.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/L/Lentz,_Georges", "url": "http://www.georgeslentz.com/"} +{"d:Title": "Australian Music Centre", "d:Description": "Biography, photograph, and links from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/L/Lentz,_Georges", "url": "http://www.australianmusiccentre.com.au/artist/lentz-georges"} +{"d:Title": "Leonardo Leo", "d:Description": "Biography, education, related artists, major genres and styles, and positions held from the Here Of a Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Leo,_Leonardo_Ortensio_Salvatore_de", "url": "http://www.hoasm.org/VIIIB/Leo.html"} +{"d:Title": "Leonardo Leo - Neapolitan Composer (1694 - 1744)", "d:Description": "This site is dedicated to Leonardo Leo's music and teaching and to the preparation and publication of new editions of his music. Offers biography, discussion board, music, and related links.", "topic": "Top/Arts/Music/Composition/Composers/L/Leo,_Leonardo_Ortensio_Salvatore_de", "url": "http://www.leonardoleo.com/"} +{"d:Title": "Peermusic Classical: Tania Le\u00f3n", "d:Description": "Picture, biography, works list, discography, and press information.", "topic": "Top/Arts/Music/Composition/Composers/L/Leon,_Tania", "url": "http://www.peermusicclassical.com/composer/composerdetail.cfm?detail=leon"} +{"d:Title": "Ruggero Leoncavallo", "d:Description": "Find A Grave listing with birth and death dates, biography, cemetery information, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoncavallo,_Ruggero", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9903324&pt=Ruggero+Leoncavallo"} +{"d:Title": "Ruggero Leoncavallo (1857-1919)", "d:Description": "Brief biographical sketch, caricature, summary of operatic works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoncavallo,_Ruggero", "url": "http://www.naxos.com/composerinfo/613.htm"} +{"d:Title": "Ruggero Leoncavallo - Wikipedia", "d:Description": "Wikipedia article with biography, lists of operas and operettas, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoncavallo,_Ruggero", "url": "http://en.wikipedia.org/wiki/Ruggero_Leoncavallo"} +{"d:Title": "Ruggero Leoncavallo", "d:Description": "Filmography at IMDb lists writing and music credits for various movies and television shows using his compositions. Most are various performances of or borrowings from I Pagliacci.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoncavallo,_Ruggero", "url": "http://www.imdb.com/name/nm0502851/"} +{"d:Title": "Ruggiero Leoncavallo", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works and selected lyrics.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoncavallo,_Ruggero", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1615"} +{"d:Title": "Franco Leoni", "d:Description": "Biographical and compositional background from Opera Glass with list of operas and selected other works.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoni,_Franco", "url": "http://opera.stanford.edu/Leoni/main.html"} +{"d:Title": "Leoni, Franco: A Spring Song", "d:Description": "Facsimile of his setting of William Akerman's lyrics with complete score from the Digital Collections of the National Library of Australia.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoni,_Franco", "url": "http://nla.gov.au/nla.mus-an7878029"} +{"d:Title": "Leone Leoni", "d:Description": "Biographical sketch with links to music of historical period from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Leoni,_Leone", "url": "http://www.hoasm.org/IVO/Leoni.html"} +{"d:Title": "Leonin, (c. 1135 - c. 1201), France", "d:Description": "Biographical data, bibliography, major works, influences on Western music, and links.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00e9onin", "url": "http://stevenestrella.com/composers/composerfiles/leonin1201.html"} +{"d:Title": "L\u00e9onin [Leonius, Leo, Leoninus]", "d:Description": "Biography, major works and influence, and links to information on medieval polyphony.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00e9onin", "url": "http://www.hoasm.org/IIC/Leonin.html"} +{"d:Title": "Leonin", "d:Description": "Wikipedia article including information about the Magnus Liber and polyphonic organum with internal references to related people and topics and bibliographic material.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00e9onin", "url": "http://en.wikipedia.org/wiki/Leonin"} +{"d:Title": "Magister Leoninus and his Magnus Liber Organi", "d:Description": "Biographical timeline, suggested reading, key works, and recommended recordings from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00e9onin", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=6"} +{"d:Title": "Carol of the Bells", "d:Description": "Discussion of the evolution of the Christmas piece from Leontovych's larger work, Shchedryk.", "topic": "Top/Arts/Music/Composition/Composers/L/Leontovych,_Mykola_Dmytrovich", "url": "http://www.hymnsandcarolsofchristmas.com/Hymns_and_Carols/carol_of_the_bells_notes.htm"} +{"d:Title": "Fred Lerdahl", "d:Description": "Biography from the Living Composers Project noting academic career, writings, and musical works. Includes contact information.", "topic": "Top/Arts/Music/Composition/Composers/L/Lerdahl,_Fred_Whitford", "url": "http://composers21.com/compdocs/lerdahlf.htm"} +{"d:Title": "The Leschetizky Society of Japan", "d:Description": "Official site of the society dedicated to perpetuating his memory and encouraging young classical musicians. Includes methodology, background information, and links.", "topic": "Top/Arts/Music/Composition/Composers/L/Leschetizky,_Theodor", "url": "http://www2.gol.com/users/cegledy/Leschetizky.html"} +{"d:Title": "Richard Leveridge", "d:Description": "Article from Wikipedia noting his singing and compositional careers with internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/L/Leveridge,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Leveridge"} +{"d:Title": "Richard Leveridge", "d:Description": "Biography showing his singing associations with Purcell, Handel, and others and noting his own works from the Here Of A Sunday Morning radio program. Includes partial discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Leveridge,_Richard", "url": "http://www.hoasm.org/VIIA/Leveridge.html"} +{"d:Title": "Bernhard Lewkovitch", "d:Description": "Biography focused on his religious music, particularly the Requium (1981) with photograph and discography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/L/Lewkovitch,_Bernhard", "url": "http://www.dacapo-records.dk/en/artist-bernhard-lewkovitch.aspx"} +{"d:Title": "Le Flem: Orchestral Works", "d:Description": "Classical Net review includes biographical information, relationship with other composers, and notes on his style.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Flem,_Paul", "url": "http://www.classical.net/music/recs/reviews/m/mpl23655a.php"} +{"d:Title": "Dorian Le Gallienne", "d:Description": "Biography by the Australian Music Centre emphasizes his role in promoting and enhancing his nation's musical heritage and esteem for its artists.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Gallienne,_Dorian_Leon_Marlois", "url": "http://www.australianmusiccentre.com.au/artist/le-gallienne-dorian"} +{"d:Title": "Guillaume Le Heurteur", "d:Description": "Brief biography and link to material on the French chanson from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Heurteur,_Guillaume", "url": "http://www.hoasm.org/IVI/LeHeurteur.html"} +{"d:Title": "HOASM: Claude Le Jeune", "d:Description": "Biography and partial discography from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Jeune,_Claude", "url": "http://www.hoasm.org/IVI/LeJeune.html"} +{"d:Title": "Clauden", "d:Description": "Biography and list of sheet music and books.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Jeune,_Claude", "url": "http://www.grainger.de/music/composers/claude.html"} +{"d:Title": "Classical Net - Composers - Le Jeune", "d:Description": "Biography by Todd McComb.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Jeune,_Claude", "url": "http://www.classical.net/music/comp.lst/acc/lejeune.php"} +{"d:Title": "Mattheus Le Maistre", "d:Description": "Brief biography linking him to Hermann Finck and suggesting that he was the Munich composer known as Mathess Nidlende. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Maistre,_Matthaeus", "url": "http://www.hoasm.org/IVA/LeMaistre.html"} +{"d:Title": "Maurice Leroux", "d:Description": "Filmography noting movies scored and director credits from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Roux,_Maurice", "url": "http://www.imdb.com/name/nm0503709/"} +{"d:Title": "Jean-Fran\u00e7ois Lesueur", "d:Description": "Entry in the Catholic Encyclopedia providing detailed biography emphasizing religious works with links to related material.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Sueur,_Jean-Fran\u00e7ois", "url": "http://www.newadvent.org/cathen/16053a.htm"} +{"d:Title": "Jean-Francois Le Sueur", "d:Description": "Find A Grave listing with brief summary of life and works, portrait, and photographs of tombstone and cemetery.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Sueur,_Jean-Fran\u00e7ois", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20254&pt=Jean-Francois+Le+Sueur"} +{"d:Title": "Jean Fran\u00e7ois Lesueur", "d:Description": "Wikipedia article with biography and numerous links to related people and themes.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Sueur,_Jean-Fran\u00e7ois", "url": "http://en.wikipedia.org/wiki/Jean_Fran%C3%A7ois_Lesueur"} +{"d:Title": "Jean-Fran\u00e7ois Le Sueur", "d:Description": "Summary works list, portrait, biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Sueur,_Jean-Fran\u00e7ois", "url": "http://musicalics.com/en/node/80151"} +{"d:Title": "Lesueur or Le Sueur, Jean Fran\u00e7ois", "d:Description": "Brief biographical entry from the Columbia Electronic Encyclopedia at infoplease.com.", "topic": "Top/Arts/Music/Composition/Composers/L/Le_Sueur,_Jean-Fran\u00e7ois", "url": "http://www.infoplease.com/encyclopedia/people/lesueur-jean-francois.html"} +{"d:Title": "Fran Lhotka", "d:Description": "Filmography listing works he scored from the Internet Movie Database. Includes links to related material.", "topic": "Top/Arts/Music/Composition/Composers/L/Lhotka,_Fran", "url": "http://www.imdb.com/name/nm0508311/"} +{"d:Title": "Ingvar Lidholm", "d:Description": "Filmography from the Internet Movie Database noting his television opera Holl\u00e4ndarn.", "topic": "Top/Arts/Music/Composition/Composers/L/Lidholm,_Ingvar_Natanael", "url": "http://www.imdb.com/name/nm1550343/"} +{"d:Title": "Ingvar Lidholm", "d:Description": "Biography and analysic of his compositional style from the Swedish Music Information Center.", "topic": "Top/Arts/Music/Composition/Composers/L/Lidholm,_Ingvar_Natanael", "url": "http://www.mic.stim.se/avd/mic/prod/micnews.nsf/WebPubNewsEng/BFB03B05603D6E7DC1256A6900341D14?Opendocument&Count=10"} +{"d:Title": "Rolf Liebermann", "d:Description": "Filmography as motion picture and television producer from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Liebermann,_Rolf", "url": "http://www.imdb.com/name/nm0509420/"} +{"d:Title": "Rolf Liebermann", "d:Description": "Biography and background material from Hans Sikorski International Music Publishers.", "topic": "Top/Arts/Music/Composition/Composers/L/Liebermann,_Rolf", "url": "http://www.sikorski.de/en/frameloader.html?frame=http://www.sikorski.de/composers/composer52.html"} +{"d:Title": "Peter Lieberson", "d:Description": "Filmography and links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lieberson,_Peter", "url": "http://www.imdb.com/name/nm0509422/"} +{"d:Title": "Peter Lieberson", "d:Description": "Entry and operatic discography from USOpera.", "topic": "Top/Arts/Music/Composition/Composers/L/Lieberson,_Peter", "url": "http://www.usopera.com/composers/lieberson.shtml"} +{"d:Title": "Lieberson: Raising the Gaze", "d:Description": "Extended review of the CD examining the influence of Buddhism on his works and focusing especially on Drala.", "topic": "Top/Arts/Music/Composition/Composers/L/Lieberson,_Peter", "url": "http://classicalcdreview.com/pldrala.htm"} +{"d:Title": "Peter Lieberson", "d:Description": "Includes biography, notes, reviews, work list, article, links. From Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/L/Lieberson,_Peter", "url": "http://www.musicsalesclassical.com/composer/short-bio/922"} +{"d:Title": "Peter Lieberson: Raising the Gaze", "d:Description": "Review of a retrospective CD studying the evolution of his style and incorporation of a Buddhist sensibility in his works. By Raymond Tuttle for Classical Net Review.", "topic": "Top/Arts/Music/Composition/Composers/L/Lieberson,_Peter", "url": "http://www.classical.net/music/recs/reviews/d/dgg57606a.php"} +{"d:Title": "Braunarts: Ligeti", "d:Description": "Various characteristics of Ligeti's music discussed and demonstrated, some offering creative activities using the techniques.", "topic": "Top/Arts/Music/Composition/Composers/L/Ligeti,_Gy\u00f6rgy_S\u00e1ndor", "url": "http://www.braunarts.com/ligeti/"} +{"d:Title": "Gyorgy Ligeti", "d:Description": "Biography, chronology, and musical development are interwoven in this entry from the Saxophone Music Information Website. Includes links to related sites.", "topic": "Top/Arts/Music/Composition/Composers/L/Ligeti,_Gy\u00f6rgy_S\u00e1ndor", "url": "http://www153.pair.com/bensav/Compositeurs/Ligeti.G.html"} +{"d:Title": "IMDb: Gy\u00f6rgy Ligeti (I)", "d:Description": "Filmography includes biography with actor and composer credits, including The Shining and 2001: A Space Odyssey.", "topic": "Top/Arts/Music/Composition/Composers/L/Ligeti,_Gy\u00f6rgy_S\u00e1ndor", "url": "http://www.imdb.com/name/nm0509893/"} +{"d:Title": "Gy\u00f6rgy Ligeti", "d:Description": "Entry from Musicalics with life, comments on his music, and links.", "topic": "Top/Arts/Music/Composition/Composers/L/Ligeti,_Gy\u00f6rgy_S\u00e1ndor", "url": "http://musicalics.com/en/node/80197"} +{"d:Title": "Gy\u00f6rgy Ligeti (1923-)", "d:Description": "Miscellaneous vocal pieces from the Lied and Song Texts pages at REC Music including some in English translation.", "topic": "Top/Arts/Music/Composition/Composers/L/Ligeti,_Gy\u00f6rgy_S\u00e1ndor", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4846"} +{"d:Title": "Lukas Ligeti", "d:Description": "Biography, outline of works, and photograph.", "topic": "Top/Arts/Music/Composition/Composers/L/Ligeti,_Lukas", "url": "http://www.otherminds.org/shtml/Ligeti.shtml"} +{"d:Title": "Douglas Gordon Lilburn", "d:Description": "Chronological works list, discography, photograph, reference material, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lilburn,_Douglas_Gordon", "url": "http://musicalics.com/en/node/92490"} +{"d:Title": "Douglas Lilburn", "d:Description": "Biography and list of works.", "topic": "Top/Arts/Music/Composition/Composers/L/Lilburn,_Douglas_Gordon", "url": "http://sounz.org.nz/contributor/composer/1063"} +{"d:Title": "Douglas Gordon Lilburn", "d:Description": "Biography, photograph, and list of print resources from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/L/Lilburn,_Douglas_Gordon", "url": "http://www.classical.net/music/comp.lst/acc/lilburn.php"} +{"d:Title": "Magnus Lindberg", "d:Description": "Biography, articles, link to a French language page.", "topic": "Top/Arts/Music/Composition/Composers/L/Lindberg,_Magnus", "url": "http://www.musicsalesclassical.com/composer/short-bio/925"} +{"d:Title": "A.F. Lindblad", "d:Description": "Filmography showing films using his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lindblad,_Adolf_Fredrik", "url": "http://www.imdb.com/name/nm0511430/"} +{"d:Title": "Lindblad, Adolf Fredrik", "d:Description": "Extended biography showing the mixed reception of his various works and his fame as a premiere crafter of Swedish songs.", "topic": "Top/Arts/Music/Composition/Composers/L/Lindblad,_Adolf_Fredrik", "url": "http://www.toccata.nu/komp/lindblad.html"} +{"d:Title": "Thomas Linley, Jr.", "d:Description": "Biography with links to related composers and musical themes plus partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Linley,_Thomas,_Jr.", "url": "http://www.hoasm.org/VIIJ/Linley2.html"} +{"d:Title": "Thomas Linley the Younger (1756-1778), Violinist and Composer", "d:Description": "Illustrated listing from England's National Portrait Gallery.", "topic": "Top/Arts/Music/Composition/Composers/L/Linley,_Thomas,_Jr.", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp63802"} +{"d:Title": "Thomas Linley the Younger", "d:Description": "Illustrated biographical summary with discography from Roger Slade's 18th Century English Music.", "topic": "Top/Arts/Music/Composition/Composers/L/Linley,_Thomas,_Jr.", "url": "http://rslade.co.uk/18th-century-music/composers/thomas-linley-the-younger/"} +{"d:Title": "Thomas Linley, Sr.", "d:Description": "Biography linking related composers and his musical period from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Linley,_Thomas,_Sr.", "url": "http://www.hoasm.org/VIIJ/Linley1.html"} +{"d:Title": "Malcolm Lipkin", "d:Description": "Official site. Includes biographical details, photograph, complete works list, and press reviews of selected pieces.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/L/Lipkin,_Malcolm_Leyland", "url": "http://www.malcolmlipkin.co.uk/"} +{"d:Title": "Liszt Institute, Bologna, Italy", "d:Description": "Organization information, online library catalog, activities, contact information, and links.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.liszt.it/"} +{"d:Title": "Liszt Ferenc Memorial Museum", "d:Description": "Well-illustrated reconstruction of his last Budapest flat, where he lived from 1881-1886, with biographical information, virtual tour, and information from the Liszt Research Centre.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.lisztmuseum.hu/"} +{"d:Title": "Liszt Ferenc Memorial Museum and Research Centre", "d:Description": "Short entry containing address, hours, and a description of this museum.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.fsz.bme.hu/hungary/budapest/bpmuz/bpmuz11.htm"} +{"d:Title": "Classical Music Archives: Liszt", "d:Description": "Collection of free WAX and MIDI audio files of compositions organized alphabetically by genre. Also includes two condensed biographies. Added resources for subscribers.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.classicalarchives.com/liszt.html"} +{"d:Title": "The Liszt Page at Midiworld", "d:Description": "Selection of works in general MIDI audio file format. Most transcribed by Robert Finley.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.midiworld.com/liszt.htm"} +{"d:Title": "Catholic Encyclopedia: Franz Liszt", "d:Description": "Article from the Catholic Encyclopedia follows his life and career, showing how his religious expression waned during his middle years, then increased toward the end of his life.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.newadvent.org/cathen/09285b.htm"} +{"d:Title": "Lesson Tutor: Franz Liszt", "d:Description": "A small biography focusing on his piano virtuosity, and interest in the Gypsies and their music.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.lessontutor.com/bf7.html"} +{"d:Title": "Liszt, Franz (1811 - 1886), Hungary", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://stevenestrella.com/composers/composerfiles/liszt1886.html"} +{"d:Title": "Kunst der Fuge: Franz Liszt", "d:Description": "A collection of MIDI files, some based on historical piano rolls.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.kunstderfuge.com/liszt.htm"} +{"d:Title": "Classic Cat: The Franz Liszt MP3 Page", "d:Description": "Directory of links to MP3 files on the internet that have been made available by performing artists.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.classiccat.net/liszt_f/"} +{"d:Title": "Liszt Society Switzerland-Japan", "d:Description": "Information about the Society, concerts, master classes, lectures, publications, and new recordings.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.osk.3web.ne.jp/~lisztjap/liszt_society/franz_liszt_society.html"} +{"d:Title": "Wikipedia: Franz Liszt", "d:Description": "This page provides an overview of the composer in a variety of categories including biographical and compositional information, as well as descriptions of Liszt's pianistic virtuosity, and writings. Also includes references to Liszt in film, a bibliography, and external links.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://en.wikipedia.org/wiki/Franz_Liszt"} +{"d:Title": "Find a Grave: Franz Joseph Liszt", "d:Description": "Find A Grave entry with biography, photograph, pictures of tomb, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2328&pt=Franz+Liszt"} +{"d:Title": "Franz Liszt: Showman and Virtuoso", "d:Description": "Overview of the composer provided by a brief biography, key works, links, suggested reading, timeline, quotes, and illustrations", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=48"} +{"d:Title": "Project Gutenberg Writings - Franz Liszt", "d:Description": "Contains links to Liszt primary resources of value to researchers including letters between Wagner and Liszt, other Liszt letters, and Liszt's essay on Chopin in English and French.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.gutenberg.org/browse/authors/l"} +{"d:Title": "Liszt Archive Goeppingen/Budapest", "d:Description": "The online home of the Liszt-Ferenc Archive G\u00f6ppingen/Budapest run by Dr. Lajos Gracza. Contact information for the archive can be found along with a listing of some of the archival contents and an informative chart concerning Liszt's pedagogical influences.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.liszt-archiv.de/en/"} +{"d:Title": "IMDB: Franz Liszt", "d:Description": "Filmography of various films containing his compositions.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.imdb.com/name/nm0006172/"} +{"d:Title": "Naxos.com: Franz Liszt Biography", "d:Description": "Biographical sketch, caricature, commentaries on his orchestral and piano music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.naxos.com/person/Franz_Liszt/22599.htm"} +{"d:Title": "The Lied and Art Songs Text Page: Franz Liszt", "d:Description": "A list of vocal works linked to lyrics, many including English translations.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4870"} +{"d:Title": "Classical Net: Franz Liszt", "d:Description": "Contains a basic repertoire list and also recommends biographies, scores, CDs, and links to other resources.", "topic": "Top/Arts/Music/Composition/Composers/L/Liszt,_Franz_Joseph", "url": "http://www.classical.net/music/comp.lst/liszt.php"} +{"d:Title": "Litaize, Gaston", "d:Description": "Focus on his clarient works with links to related material.", "topic": "Top/Arts/Music/Composition/Composers/L/Litaize,_Gaston_Gilbert", "url": "http://www.woodwind.org/Databases/Composers/Names/006197.html"} +{"d:Title": "Antonio Literes Carri\u00f3n", "d:Description": "Biography with links, partial discography, and related composers from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00edteres_Carri\u00f3n,_Antonio", "url": "http://www.hoasm.org/VIIH/Literes.html"} +{"d:Title": "Litloff, Henry Charles", "d:Description": "Entry from the Gilder-MusicWeb Dictionary of Composers at MusicWeb(UK) with biographical paragraph and chronology of vocal scores for the stage.", "topic": "Top/Arts/Music/Composition/Composers/L/Litolff,_Henry_Charles", "url": "http://www.musicweb-international.com/Classpedia/Litolff.htm"} +{"d:Title": "Henry Charles Litolff", "d:Description": "Summary of life and music with portraits and caricature from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Litolff,_Henry_Charles", "url": "http://musicalics.com/en/node/80209"} +{"d:Title": "George Lloyd", "d:Description": "Albany Records UK site includes biography, works, discography, and sheet music.", "topic": "Top/Arts/Music/Composition/Composers/L/Lloyd,_George", "url": "http://www.georgelloyd.com/"} +{"d:Title": "George Lloyd", "d:Description": "Musicalics listing with brief life and commentary on his works.", "topic": "Top/Arts/Music/Composition/Composers/L/Lloyd,_George", "url": "http://musicalics.com/en/node/80212"} +{"d:Title": "Alonso Lobo", "d:Description": "Biography with internal links to related topics, including partial discography and a look at the Spanish masters from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lobo,_Alonso", "url": "http://www.hoasm.org/IVL/LoboA.html"} +{"d:Title": "Duarte L\u00f4bo", "d:Description": "Brief biography and internal links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00f4bo,_Duarte", "url": "http://hoasm.org/IVL/LoboD.html"} +{"d:Title": "HOASM: Pietro Antonio Locatelli", "d:Description": "Biography from Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Locatelli,_Pietro_Antonio", "url": "http://www.hoasm.org/VIIIA/Locatelli.html"} +{"d:Title": "Locatelli, Pietro Antonio", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/L/Locatelli,_Pietro_Antonio", "url": "http://jan.ucc.nau.edu/~tas3/locatelli.html"} +{"d:Title": "Pietro Antonio Locatelli", "d:Description": "Biography, sheet music, websites, and books.", "topic": "Top/Arts/Music/Composition/Composers/L/Locatelli,_Pietro_Antonio", "url": "http://www.grainger.de/music/composers/locatelli.html"} +{"d:Title": "Naxos: Locatelli, Pietro Antonio", "d:Description": "Biography and discussion of his orchestral music and his instrumental music.", "topic": "Top/Arts/Music/Composition/Composers/L/Locatelli,_Pietro_Antonio", "url": "http://www.naxos.com/composerinfo/Pietro_Antonio_Locatelli/22603.htm"} +{"d:Title": "Pietro Antonio Locatelli", "d:Description": "Works and life.", "topic": "Top/Arts/Music/Composition/Composers/L/Locatelli,_Pietro_Antonio", "url": "http://musicalics.com/en/node/80216"} +{"d:Title": "Classical Net - Basic Repertoire List - Locatelli", "d:Description": "Recorded works.", "topic": "Top/Arts/Music/Composition/Composers/L/Locatelli,_Pietro_Antonio", "url": "http://www.classical.net/music/comp.lst/locatelli.php"} +{"d:Title": "Matthew Locke", "d:Description": "Biography, related composers, portrait, and comments on the difficulties caused by his personality from the Here on a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Locke,_Matthew", "url": "http://www.hoasm.org/VIIA/Locke.html"} +{"d:Title": "Matthew Locke", "d:Description": "Article from the Catholic Encyclopedia includes his musical development, conversion, conflicts, and noted works.", "topic": "Top/Arts/Music/Composition/Composers/L/Locke,_Matthew", "url": "http://www.newadvent.org/cathen/09321a.htm"} +{"d:Title": "Naxos: Locke, Matthew", "d:Description": "Biographical sketch noting how religion played a role in his career and comments on his instrumental music.", "topic": "Top/Arts/Music/Composition/Composers/L/Locke,_Matthew", "url": "http://www.naxos.com/composerinfo/Matthew_Locke/25907.htm"} +{"d:Title": "Annea Lockwood", "d:Description": "(1939- ) Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lockwood,_Annea", "url": "http://www.lovely.com/bios/lockwood.html"} +{"d:Title": "Charles Martin Loeffler", "d:Description": "Portrait, painting background, and biographyical essay on his relationship with artist John Singer Sargent with internal and external links. Part of Natasha's Internet Art Tour pages.", "topic": "Top/Arts/Music/Composition/Composers/L/Loeffler,_Charles_Martin_Tornov", "url": "http://www.jssgallery.org/Paintings/Mugs/Charles_Martin_Loeffler.htm"} +{"d:Title": "Charles Martin Loeffler", "d:Description": "Chronology of selected works with biography highlighting his anti-German sentiments plus links. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Loeffler,_Charles_Martin_Tornov", "url": "http://musicalics.com/en/node/80219"} +{"d:Title": "Frank Loesser", "d:Description": "Biography with audio samples and links to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/L/Loesser,_Frank_Henry", "url": "http://users.bestweb.net/~foosie/loesser.htm"} +{"d:Title": "Frank Loesser", "d:Description": "Filmography noting scores, uncredited stock usage, songs, and related composition work plus lyrics, writing, and acting credits. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Loesser,_Frank_Henry", "url": "http://www.imdb.com/name/nm0004230/"} +{"d:Title": "Frank Loesser", "d:Description": "Biographical entry from Wikipedia with selected musicals and songs plus internal and external links to related material.", "topic": "Top/Arts/Music/Composition/Composers/L/Loesser,_Frank_Henry", "url": "http://en.wikipedia.org/wiki/Frank_Loesser"} +{"d:Title": "Frank Loesser", "d:Description": "Official Website. Includes news, biography, his theater and film works, World War II contributions, artwork, profiles of family members, merchandise, licensing contacts, and links. [Flash introduction.]", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/L/Loesser,_Frank_Henry", "url": "http://www.frankloesser.com/"} +{"d:Title": "Jingle, Jangle, Jingle: The Life and Times of Frank Loesser", "d:Description": "Brief biography, key works, recommended reading and recordings, quotes, photograph, and definition of terms from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/L/Loesser,_Frank_Henry", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=92"} +{"d:Title": "Frank Loesser", "d:Description": "Official credits, biographical information, family connections, and related facts from the Internet Broadway Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Loesser,_Frank_Henry", "url": "https://www.ibdb.com/broadway-cast-staff/frank-loesser-5613"} +{"d:Title": "Frederick Loewe", "d:Description": "Official site of the Frederick Loewe Society. Includes biography, photographs, details of shows, message board, links, and Foundation information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Frederick", "url": "http://www.frederickloewe.org/"} +{"d:Title": "Frederick Loewe", "d:Description": "Filmography noting original scores, Broadway adaptations, use of songs, noted on-camera appearances, awards, and links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Frederick", "url": "http://www.imdb.com/name/nm0517350/"} +{"d:Title": "Frederick Loewe", "d:Description": "Find a Grave listing with portrait photograph, picture of marker, and brief biography.", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Frederick", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1417&pt=Frederick+Loewe"} +{"d:Title": "Frederic Loewe", "d:Description": "Entry at Wikipedia with information on noted stage works and Lerner collaboration.", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Frederick", "url": "http://en.wikipedia.org/wiki/Frederic_Loewe"} +{"d:Title": "Frederick Loewe", "d:Description": "Biographical information, internal links, and numerous audio sample in WMV and RM formats.", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Frederick", "url": "http://www.artistdirect.com/music/artist/card/0,,526650,00.html"} +{"d:Title": "Fredrick Loewe", "d:Description": "Intertwined biographical information for him and Alan Jay Lerner from the Kennedy Center with photograph of the pair.", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Frederick", "url": "http://www.kennedy-center.org/artist/A3754"} +{"d:Title": "Frederick Loewe", "d:Description": "Official credits, biographical information, awards and nominations, and play details from the Internet Broadway Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Frederick", "url": "https://www.ibdb.com/broadway-cast-staff/frederick-loewe-5688"} +{"d:Title": "Johann Carl Gottfried L\u00f6we", "d:Description": "Entry at Wikipedia with internal links to related topics and people.", "topic": "Top/Arts/Music/Composition/Composers/L/Loewe,_Johann_Carl_Gottfried", "url": "http://en.wikipedia.org/wiki/Johann_Carl_Gottfried_L%C3%B6we"} +{"d:Title": "Nicola Logroscino", "d:Description": "Brief biography noting operatic and religious contributions with internal link to the Neapolitan Group from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Logroscino,_Nicola_Bonifacio", "url": "http://www.hoasm.org/VIIIB/Logroscino.html"} +{"d:Title": "Praise the Lord, Rise up Rejoicing", "d:Description": "English lyrics of the H. C. A. Gaunt hymn with MIDI audio of L\u00f6hner's melody \"Alles ist an Gottes Segen.\"", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00f6hner,_Johann", "url": "http://ingeb.org/spiritua/praisetr.html"} +{"d:Title": "Richard Loqueville", "d:Description": "Entry at La Trobe University Library's Medieval Music Database noting extant works, literature, manuscripts, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/L/Loqueville,_Richard_de", "url": "http://www.lib.latrobe.edu.au/MMDB/composer/COM090.htm"} +{"d:Title": "Richard de Loqueville", "d:Description": "Biography noting stylistic points and indicating his probable influence of Dufay from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Loqueville,_Richard_de", "url": "http://www.hoasm.org/IIID/Loqueville.html"} +{"d:Title": "Jon Lord", "d:Description": "Filmography as composer, conductor, musician, and as himself in motion pictures and television from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas", "url": "http://www.imdb.com/name/nm0520449/"} +{"d:Title": "Jon Lord", "d:Description": "Reflections about his life and works, particularly the time spent as a member of Deep Purple from The Highway Star. Includes solicitations for comments from the public.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas", "url": "http://www.thehighwaystar.com/specials/lord/"} +{"d:Title": "Jon Lord. Beyond The Notes", "d:Description": "Biography and summary of his work, particularly his solo career from a site named for one of his classically-oriented albums. From the Deep Purple Appreciation Society.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas", "url": "http://www.deep-purple.net/othernews/jon-lord-biog.htm"} +{"d:Title": "Jon Lord: Pictured Within", "d:Description": "Fan site named for one of his classical albums with news, tour information, discography, feature articles, interviews, audio, mailing list, contact, and guest book.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas", "url": "http://www.picturedwithin.com/"} +{"d:Title": "Jon Lord", "d:Description": "MP3.com news, albums, songs, audio downloads and fan community. Includes invitation to write reviews.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas", "url": "http://mp3.com/artist/Jon%2BLord"} +{"d:Title": "Jon Lord", "d:Description": "MTV provides information including news, biography, message boards, discography, song clips, and related artists.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas", "url": "http://www.mtv.com/artists/jon-lord/"} +{"d:Title": "Deep Purple: Concerto for Group and Orchestra", "d:Description": "Chaz Reviews analyzes and comments on the original 1969 performance, noting the influence of Malcolm Arnold in staging the production. By Charles G. Hill.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas/Works", "url": "http://www.dustbury.com/music/dppurple.html"} +{"d:Title": "Concerto for Group and Orchestra", "d:Description": "Summary of the original album with recording and release details, performers, information on 30th anniversary concert and recordings, and links from The Highway Star.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas/Works", "url": "http://www.thehighwaystar.com/rosas/jouni/discos/video01.html"} +{"d:Title": "Deep Purple - Concerto for Group and Orchestra", "d:Description": "DVD Review of the filmed version of the 1969 concert with Malcolm Arnold and the Royal Philharmonic from RevelationZ Magazine.", "topic": "Top/Arts/Music/Composition/Composers/L/Lord,_Jon_Douglas/Works", "url": "http://www.revelationz.net/index.asp?ID=722"} +{"d:Title": "Bent Lorentzen", "d:Description": "Biographical introduction including studies and major genres with photograph and works list.", "topic": "Top/Arts/Music/Composition/Composers/L/Lorentzen,_Bent", "url": "http://www.musicsalesclassical.com/composer/short-bio/952"} +{"d:Title": "Lorenzo da Firenze", "d:Description": "Biography, comments on style, partial discography, and information on the Florentine Group from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lorenzo_da_Firenze", "url": "http://www.hoasm.org/IIIA/Lorenzo.html"} +{"d:Title": "Albert Lortzing", "d:Description": "Mail list from Yahoo! Groups includes archived posts with open membership and unmoderated discussion.", "topic": "Top/Arts/Music/Composition/Composers/L/Lortzing,_Gustav_Albert", "url": "http://groups.yahoo.com/group/albertlortzing/"} +{"d:Title": "Albert Lortzing", "d:Description": "Summary details, biography, works, source material, performance schedule, links, and illustrations.", "topic": "Top/Arts/Music/Composition/Composers/L/Lortzing,_Gustav_Albert", "url": "http://www.albertlortzing.org/"} +{"d:Title": "Wildschutz, Der: Albert Lortzing", "d:Description": "Set designs and plot summary from the staging of the 1842 opera by the Koninklijk Conservatorium at Kees van Baarenzaal, Den Haag, Netherlands on 12 December 1995.", "topic": "Top/Arts/Music/Composition/Composers/L/Lortzing,_Gustav_Albert", "url": "http://www.fersmidt.com/HTML/wild.html"} +{"d:Title": "Mark Lothar", "d:Description": "Filmography tracing his scores from the 1930s through the 1960s from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lothar,_Mark", "url": "http://www.imdb.com/name/nm0521440/"} +{"d:Title": "Mark Lothar", "d:Description": "News article from Internationale Musikverlage Hans Sikorski tracing his career and noting his death in 1985.", "topic": "Top/Arts/Music/Composition/Composers/L/Lothar,_Mark", "url": "http://www.sikorski.de/en/frameloader.html?frame=http://www.sikorski.de/articles/article98.html"} +{"d:Title": "Mark Lothar", "d:Description": "Partial filmography from the New York Times linked to various movies he scored with critical comments and suggestions for viewers.", "topic": "Top/Arts/Music/Composition/Composers/L/Lothar,_Mark", "url": "http://www.nytimes.com/movies/person/100189/Mark-Lothar"} +{"d:Title": "Lotti, Antonio", "d:Description": "Biography from the Sojurn pages of Timothy A. Smith with internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://jan.ucc.nau.edu/~tas3/lotti.html"} +{"d:Title": "Antonio Lotti", "d:Description": "Biography from the Catholic Encyclopedia noting his accomplishments as organist, teacher, and creator of operas and religious works.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://www.newadvent.org/cathen/09366c.htm"} +{"d:Title": "Antonio Lotti", "d:Description": "Biography with links to teachers, pupils, and related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://www.hoasm.org/VIIF/Lotti.html"} +{"d:Title": "Antonio Lotti", "d:Description": "Entry at Wikipedia with numerous internal links to related people and musical themes.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://en.wikipedia.org/wiki/Antonio_Lotti"} +{"d:Title": "Baroque Composers: Antonio Lotti", "d:Description": "Biography and summary of works by James Sanderson.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://www.baroquecomposers.co.uk/composer.htm?composer_ID=30"} +{"d:Title": "Antonio Lotti", "d:Description": "Biographical sketch emphasizing his output, particularly his music's influence upon the success of the female choir Ospedale degli Incurabili.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://members.iinet.net.au/~martins/program_notes/antonio_lotti.html"} +{"d:Title": "Antonio Lotti", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://www.classiccat.net/lotti_a/"} +{"d:Title": "Classical Archives: Antonio Lotti", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://www.classicalarchives.com/composer/2914.html"} +{"d:Title": "Antonio Lotti", "d:Description": "Detailed biography and summary of his musical career and output from the All Music Guide.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://www.allmusic.com/artist/antonio-lotti-mn0001356500"} +{"d:Title": "Antonio Lotti", "d:Description": "Brief comments on his life and works from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://musicalics.com/en/node/80234"} +{"d:Title": "Classical Net - Composers - Lotti", "d:Description": "Biography, recordings, and modern editions.", "topic": "Top/Arts/Music/Composition/Composers/L/Lotti,_Antonio", "url": "http://www.classical.net/music/comp.lst/acc/lotti.php"} +{"d:Title": "Arthur Vincent Louri\u00e9 (1892-1966)", "d:Description": "Brief biography, photograph, main works, and CD reviews.", "topic": "Top/Arts/Music/Composition/Composers/L/Louri\u00e9,_Arthur_Vincent", "url": "http://www.geocities.co.jp/NatureLand/5390/impressionist/lourie/english.html"} +{"d:Title": "Vincent L\u00fcbeck", "d:Description": "Biography comparing him favorably to Buxtehude and including a partial discography and related links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/L\u00fcbeck,_Vincent", "url": "http://www.hoasm.org/VIB/Lubeck.html"} +{"d:Title": "Lucas, Clarence: 1866-1947", "d:Description": "Biographical information and collection details from the Music Division Archival Guide of the Library and Archives of Canada.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucas,_Clarence_Reynolds", "url": "http://www.collectionscanada.gc.ca/4/7/m15-407-e.html"} +{"d:Title": "Leighton Lucas", "d:Description": "Filmography linked to pictures he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucas,_Leighton", "url": "http://www.imdb.com/name/nm0524220/"} +{"d:Title": "Alvin Lucier", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr.", "url": "http://www.lovely.com/bios/lucier.html"} +{"d:Title": "Alvin Lucier", "d:Description": "Official website.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr.", "url": "http://alucier.web.wesleyan.edu/"} +{"d:Title": "Alvin Lucier", "d:Description": "Biography from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr.", "url": "http://www.lovely.com/artists/a-lucier.html"} +{"d:Title": "Music On A Long Thin Wire", "d:Description": "Interview with composer Alvin Lucier.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr.", "url": "http://www.furious.com/perfect/ohm/lucier.html"} +{"d:Title": "Alvin Lucier in Conversation with Thomas Moore", "d:Description": "Interview by the pianist examines the characteristics of some of his compositions and inquires about differences between live and recorded performances.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr.", "url": "http://research.umbc.edu/~tmoore/lucier.html"} +{"d:Title": "Alvin Lucier", "d:Description": "Filmography with credits as composer and actor from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr.", "url": "http://www.imdb.com/name/nm0524640/"} +{"d:Title": "Alvin Lucier", "d:Description": "Wikipedia article with biographical and musicological information and links to related material and people.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr.", "url": "http://en.wikipedia.org/wiki/Alvin_Lucier"} +{"d:Title": "Essential Loop (and Loop Related) Recordings", "d:Description": "Technical explanation of Lucier's piece.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr./I_Am_Sitting_In_A_Room", "url": "http://www.loopers-delight.com/loopography/Records.html#70-1"} +{"d:Title": "I Am Sitting in a Room", "d:Description": "Background information on the recorded work with photograph and CD cover art from Lovely Music.", "topic": "Top/Arts/Music/Composition/Composers/L/Lucier,_Alvin_Augustus,_Jr./I_Am_Sitting_In_A_Room", "url": "http://www.lovely.com/titles/cd1013.html"} +{"d:Title": "Nicholas Ludford", "d:Description": "Detailed biography with links to related composers, period information, and partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Ludford,_Nicholas", "url": "http://www.hoasm.org/IVM/Ludford.html"} +{"d:Title": "The Infography about Otto Luening (1900-1996)", "d:Description": "Online and print sources recommended by a librarian who has him as a research specialty.", "topic": "Top/Arts/Music/Composition/Composers/L/Luening,_Otto_Clarence", "url": "http://www.infography.com/content/213714665367.html"} +{"d:Title": "Luening, Otto", "d:Description": "Listing of his clarinet pieces with features and related works.", "topic": "Top/Arts/Music/Composition/Composers/L/Luening,_Otto_Clarence", "url": "http://www.woodwind.org/Databases/Composers/Names/006298.html"} +{"d:Title": "Otto Luening", "d:Description": "Background material from US Opera with link to information on \"Evangeline.\"", "topic": "Top/Arts/Music/Composition/Composers/L/Luening,_Otto_Clarence", "url": "http://www.usopera.com/composers/luening.html"} +{"d:Title": "Otto Luening", "d:Description": "Retrospective with photograph, emphasizing his ties to Columbia University. Includes opportunity to make archived comment on this entry.", "topic": "Top/Arts/Music/Composition/Composers/L/Luening,_Otto_Clarence", "url": "http://c250.columbia.edu/c250_celebrates/remarkable_columbians/otto_luening.html"} +{"d:Title": "Otto Luening Obituary", "d:Description": "Copy of text posted to rec.music.contemporary.classical by CRI recordings.", "topic": "Top/Arts/Music/Composition/Composers/L/Luening,_Otto_Clarence", "url": "http://graham.main.nc.us/~bhammel/MUSIC/RP/oluen.html"} +{"d:Title": "John Lugg", "d:Description": "Brief biography with internal links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lugge,_John", "url": "http://www.hoasm.org/IVM/LuggJ.html"} +{"d:Title": "University of North Texas Music Library's Lully Collection", "d:Description": "Multimedia (graphic and audio) catalog of and reference source for the University of North Texas Music Library's collection of pre-19th-century editions of works by the French composer.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.unt.edu/lully/"} +{"d:Title": "Lully, Jean-Baptiste", "d:Description": "Brief biography and internal links to related material from Timothy A. Smith's Sojourn pages.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www2.nau.edu/~tas3/lully.html"} +{"d:Title": "Lully, Jean-Baptiste (1632 - 1687), Italy/France", "d:Description": "Biographical data, innovations and career highlights, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://stevenestrella.com/composers/composerfiles/lully1687.html"} +{"d:Title": "Jean-Baptiste Lully", "d:Description": "Article from the Catholic Encyclopedia profiles his secular and religious contributions.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.newadvent.org/cathen/09429a.htm"} +{"d:Title": "Jean-Baptiste Lully", "d:Description": "Biography from the Here Of A Sunday Morning radio program includes details of his relationship with King Louis XIV, provides related composers and period information, and has a partial discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.hoasm.org/VIIB/Lully.html"} +{"d:Title": "NewOlde.com - Jean-Baptiste Lully", "d:Description": "Links, recommended recordings and books, new and forthcoming recordings, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.newolde.com/lully.htm"} +{"d:Title": "Jean-Baptiste Lully", "d:Description": "Find A Grave entry with life history, involvement with the Sun King, his homosexuality and sham marriage, and the story of his death from gangrene. Includes portrait, photos of tomb and church where buried, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9912582&pt=Jean-Baptiste+Lully"} +{"d:Title": "Jean-Baptiste Lully: Royal Favourite", "d:Description": "Timeline noting essential accomplishments and memorable compositions with suggested recordings from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=23"} +{"d:Title": "Jean-Baptiste Lully (1632-1687)", "d:Description": "Brief biographical sketch noting his collaborations, caricature, comments on stage and church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.naxos.com/composerinfo/640.htm"} +{"d:Title": "Classical Archives: Jean-Baptiste Lully", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.classicalarchives.com/composer/7521.html"} +{"d:Title": "Jean-Baptiste Lully", "d:Description": "Filmography at IMDb notes movies and television shows featuring his compositions.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.imdb.com/name/nm0525799/"} +{"d:Title": "Jean-Baptiste Lully", "d:Description": "Listing at the Lied and Arts Songs Text Page with lyrics, some in English translation.", "topic": "Top/Arts/Music/Composition/Composers/L/Lully,_Jean-Baptiste", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4904"} +{"d:Title": "H.C. Lumbye", "d:Description": "Filmography noting motion pictures and television programs using his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lumbye,_Hans_Christian", "url": "http://www.imdb.com/name/nm0525875/"} +{"d:Title": "Thomas Lupo (\"The Elder\")", "d:Description": "Biography with internal links to related composers and topics with partial discography.", "topic": "Top/Arts/Music/Composition/Composers/L/Lupo,_Thomas,_the_Elder", "url": "http://www.hoasm.org/IVM/LupoT.html"} +{"d:Title": "Vicente Lusitano", "d:Description": "Biography noting influence as theorist and debate with Vicentino with internal links from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lusitano,_Vicente", "url": "http://www.hoasm.org/IVF/Lusitano.html"} +{"d:Title": "Vicente Lusitano", "d:Description": "Entry at Wikipedia linked to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lusitano,_Vicente", "url": "http://en.wikipedia.org/wiki/Vicente_Lusitano"} +{"d:Title": "Charles de Lusse", "d:Description": "Biography including comments on sketchy and unverified details of his life from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Lusse,_Charles_de", "url": "http://www.hoasm.org/VIIE/Lusse.html"} +{"d:Title": "Witold Lutoslawski", "d:Description": "Filmography noting use of music and on-screen appearances from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutos\u0142awski,_Witold", "url": "http://www.imdb.com/name/nm1334783/"} +{"d:Title": "Witold Lutos\u0142awski", "d:Description": "Entry at Wikipedia with internal links to related material and external source recommendations.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutos\u0142awski,_Witold", "url": "http://en.wikipedia.org/wiki/Witold_Lutoslawski"} +{"d:Title": "What Vastness of Suffering? Lutoslawski's First Symphony", "d:Description": "Essay comparing different critical approaches with bibliography. By Nicholas Reyland for Central Europe Review.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutos\u0142awski,_Witold", "url": "http://www.ce-review.org/00/9/reyland9.html"} +{"d:Title": "Witold Lutoslawski: A Classic Among Moderns", "d:Description": "Biographical timeline, key works, recommended recordings, and quotes, from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutos\u0142awski,_Witold", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=105"} +{"d:Title": "Witold Lutoslawski", "d:Description": "Biography, photograph, works list, and credits from All Music Guide.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutos\u0142awski,_Witold", "url": "http://www.allmusic.com/artist/witold-lutos%C5awski-mn0001551285"} +{"d:Title": "Witold Lutos\u0142awski", "d:Description": "Photograph and suggested works from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutos\u0142awski,_Witold", "url": "http://www.classical.net/music/comp.lst/lutoslawski.php"} +{"d:Title": "(Agnes) Elisabeth Lutyens (Clark) (1906-1983)", "d:Description": "List of holdings in the English National Portrait Gallery for which she sat, including two online copies of photographs.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutyens,_Agnes_Elisabeth", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp14085"} +{"d:Title": "Elisabeth Lutyens", "d:Description": "Filmography showing a number of horror films from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lutyens,_Agnes_Elisabeth", "url": "http://www.imdb.com/name/nm0527534/"} +{"d:Title": "Elisabeth Lutyens", "d:Description": "Detailed biography showing much of her unhappy personal life and later problems with alcohol as well as detailing her abilities and triumphs by David C. F. Wright for MusicWeb UK.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/L/Lutyens,_Agnes_Elisabeth", "url": "http://www.musicweb-international.com/lutyens/"} +{"d:Title": "Carl (Charles) Luython", "d:Description": "Brief biography with internal links to related people and background material from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Luython,_Carl", "url": "http://www.hoasm.org/IVK/Luyton.html"} +{"d:Title": "Luzzasco Luzzaschi", "d:Description": "Biography with internal links to related people and topics from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/L/Luzzaschi,_Luzzasco", "url": "http://www.hoasm.org/IVD/Luzzaschi.html"} +{"d:Title": "Luzzasco Luzzaschi", "d:Description": "Summary of life, detailed biography with bibliography including primary and secondary sources, and collection of scores in Sibelius format.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/L/Luzzaschi,_Luzzasco", "url": "http://www.soton.ac.uk/~lastras/secreta/biogs/composers/llbiog.htm"} +{"d:Title": "Luzzasco Luzzaschi", "d:Description": "Entry from Wikipedia with internal links to related people, topics, and terms.", "topic": "Top/Arts/Music/Composition/Composers/L/Luzzaschi,_Luzzasco", "url": "http://en.wikipedia.org/wiki/Luzzasco_Luzzaschi"} +{"d:Title": "Luzzaschi: Quinto Libro de' Madrigali", "d:Description": "CD review with biographical and musical background with information on other vocal recordings and purchase details.", "topic": "Top/Arts/Music/Composition/Composers/L/Luzzaschi,_Luzzasco", "url": "http://www.medieval.org/emfaq/cds/gls20905.htm"} +{"d:Title": "Luzzasco Luzzaschi", "d:Description": "Classical Net biography noting emphasis on soprano vocal music and interest in the arcicembalo.", "topic": "Top/Arts/Music/Composition/Composers/L/Luzzaschi,_Luzzasco", "url": "http://www.classical.net/music/comp.lst/acc/luzzaschi.php"} +{"d:Title": "Alexei Feodorovich Lvov", "d:Description": "Find A Grave entry with birth and death information, photograph of tomb in Kaunas, Lithuania, and interactive message board.", "topic": "Top/Arts/Music/Composition/Composers/L/Lvov,_Alexei_Feodorovich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3983&pt=Alexei+Feodorovich+Lvov"} +{"d:Title": "Alexei Fyodorovich Lvov", "d:Description": "Wikipedia biographical entry with internal links to related material.", "topic": "Top/Arts/Music/Composition/Composers/L/Lvov,_Alexei_Feodorovich", "url": "http://en.wikipedia.org/wiki/Alexei_Fyodorovich_Lvov"} +{"d:Title": "Classical Archives: Anatol Lyadov", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/L/Lyadov,_Anatoly_Konstantinovich", "url": "http://www.classicalarchives.com/composer/7007.html"} +{"d:Title": "Sergei Lyapunov", "d:Description": "Article by David Wright for MusicWeb (UK) with detailed biography and comments on various symphonies, concerti, and other piano and orchestral works.", "topic": "Top/Arts/Music/Composition/Composers/L/Lyapunov,_Sergei_Mikhailovich", "url": "http://www.musicweb-international.com/classrev/2002/Aug02/Lyapunov.htm"} +{"d:Title": "Boris Lyatoshinsky", "d:Description": "Filmography with links to pictures he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/Lyatoshynsky,_Boris_Mykolayovich", "url": "http://www.imdb.com/name/nm0527912/"} +{"d:Title": "Boris Nikolayevich Lyatoshynsky", "d:Description": "Summary of life and music, noting indebtedness to Schumann, Borodin, Tchaikovsky and Rachmaninoff, Scriabin, and the French impressionists from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/L/Lyatoshynsky,_Boris_Mykolayovich", "url": "http://musicalics.com/en/node/80832"} +{"d:Title": "Juliusz Luciuk", "d:Description": "Listing with brief filmography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/L/\u0141uciuk,_Juliusz", "url": "http://www.imdb.com/name/nm1585993/"} +{"d:Title": "Labunski (Felix) Collection, 1959-1972", "d:Description": "Catalogue of works held by the New York Public Library for the Performing Arts.", "topic": "Top/Arts/Music/Composition/Composers/Labunski,_Felix_Roderick", "url": "http://digilib.nypl.org/dynaweb/ead/rha/rhalabunski/"} +{"d:Title": "Traditional Values in a Century of Flux: The Music of Feliks \u0141abu\u0144ski (1892-1979)", "d:Description": "Detailed study of his life and music with photographs, score samples, reference notes, abstract, writings by and about him, works and performances, and biography of writer James Wierzbicki from the Polish Music Journal.", "topic": "Top/Arts/Music/Composition/Composers/Labunski,_Felix_Roderick", "url": "http://www.usc.edu/dept/polish_music/PMJ/issue/4.1.01/wierzbicki4_1.html"} +{"d:Title": "Music History 102: The Twentieth Century", "d:Description": "Composers, major styles and developments, illustrations, and Real Audio RAM sound files from the Internet Public Library", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century", "url": "http://www.ipl.org/div/mushist/twen/index.htm"} +{"d:Title": "List of 20th Century Classical Composers", "d:Description": "Wikipedia entry linked to individual biographical articles plus internal references and external links.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century", "url": "http://en.wikipedia.org/wiki/List_of_20th_century_classical_composers"} +{"d:Title": "Contemporary Music (1945 - 2000)", "d:Description": "Overview of the rise of technology and minimalism in late 20th century composition from The Conservatory at Humanities Web. Includes links to profiles of those involved in the period.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century", "url": "http://humanitiesweb.org/human.php?s=c&p=i&a=l&ID=10"} +{"d:Title": "Albright, William", "d:Description": "(1944-1998), Gary Indiana. Obituary.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/A", "url": "http://www.umich.edu/~urecord/9899/Sep23_98/obit.htm"} +{"d:Title": "Allanbrook, Douglas", "d:Description": "(1921-2003), Annapolis, MD. Biography, recordings and MP3s.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/A", "url": "http://www.mapleshaderecords.com/artists/douglas_allanbrook.php"} +{"d:Title": "Brun, Herbert", "d:Description": "(1918-2000), Berlin, Germany. Picture, biography, writings, compositions, recordings, graphics, and links.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/B", "url": "http://www.msu.edu/user/sullivan/brun/index.html"} +{"d:Title": "Fampas, Dimitri", "d:Description": "(1922-1996), Greece. Picture, biography, and list of guitar compositions.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/F", "url": "http://www.evafampas.gr/fampasen.html"} +{"d:Title": "Fox, Malcolm", "d:Description": "(1946-1997) Biography, audio samples, full catalogue of works, obituaries, photographs, and information on how to obtain scores from Fox and Fox Music International.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/F", "url": "http://www.vixenrecords.com/foxfox/"} +{"d:Title": "Fox, Malcolm", "d:Description": "(1946-1997), Windsor, England. Picture, biography, and obituary.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/F", "url": "http://www.malcolmfox.com/"} +{"d:Title": "Gaburo, Kenneth", "d:Description": "(1926-1993), Somerville, New Jersey. Biography, compositions, writings, awards, recordings, and performances.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/G", "url": "http://www.angelfire.com/mn/gaburo/bio.html"} +{"d:Title": "Gutche, Gene", "d:Description": "(1907-2000), Berlin, Germany. Picture, biography, and recordings.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/G", "url": "http://www.genegutche.org/"} +{"d:Title": "Groocock, Joseph", "d:Description": "(1913-1997), Croydon, England. Picture, biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/G", "url": "https://www.cmc.ie/composers/joseph-groocock"} +{"d:Title": "Haubenstock-Ramati, Roman", "d:Description": "(1919-1994) Krakau, Poland. Picture and discussion of 'Miroirs.'", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/H", "url": "http://www.aeiou.at/aeiou.music.14.2/140206.htm"} +{"d:Title": "Higgins, Dick", "d:Description": "(1938-1998), Jesus Pieces, England. Biography from Umbrella.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/H", "url": "http://colophon.com/umbrella/higgins_21.3_4.html"} +{"d:Title": "Humble, Keith", "d:Description": "(1927-1995), Geelong, Victoria, Australia. Biography and list of works, from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/H", "url": "http://www.australianmusiccentre.com.au/artist/humble-keith"} +{"d:Title": "Kunst, Jos", "d:Description": "(1936-1996) Composer and musicologist. Includes biography, list of compositions, concerts, news, and MP3s.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/K", "url": "http://www.joskunst.net/"} +{"d:Title": "Oliveira - Cartola, Angenor de", "d:Description": "(1908-1980), Rio de Janeiro, Brazil. Brazilian composer. Pictures and biography, from Mangotree Music Productions.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/O", "url": "http://brazilianmusic.com/cartola/"} +{"d:Title": "\u00d3 Riada, Sean", "d:Description": "(1939-1971), Cork, Ireland. Includes biography, and selected works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/O", "url": "https://www.cmc.ie/composers/sean-o-riada"} +{"d:Title": "Panufnik, Andrzej", "d:Description": "(1914-1991) Picture, biography, list of works, and discography, from the Polish Music Center at USC.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/P", "url": "http://www.usc.edu/dept/polish_music/composer/panufnik.html"} +{"d:Title": "Paciorkiewicz, Tadeusz", "d:Description": "(1916-1998), Sierpc, Poland. Pictures, biography, and several articles about him.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/P", "url": "http://www.paciorkiewicz.pl/"} +{"d:Title": "Peixinho, Jorge", "d:Description": "(1940-1995), Montijo, Portugal. Picture, biography, chronology, catalog of works, and discography.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/P", "url": "http://www.gmcl.pt/jorgepeixinho/index.htm"} +{"d:Title": "Romero, Celedonio", "d:Description": "(1913-1996) Biography from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/R", "url": "http://musicalics.com/fr/compositeur/Celedonio-Romero"} +{"d:Title": "Dr. Irwin Spector", "d:Description": "(1916-1995) Biography, music, and publications.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/S", "url": "http://www.home.earthlink.net/~irwin_spector/irwin.htm"} +{"d:Title": "Sikorski, Tomasz", "d:Description": "(1939-1988), Warsaw, Poland. Picture, biography, and work list.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/S", "url": "http://home.online.nl/eli.ichie/sikorski.html"} +{"d:Title": "Still, Robert", "d:Description": "A short biography, compositions, discography, comment, links and references.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/S", "url": "http://home.btconnect.com/grahammusto/RStillhp.htm"} +{"d:Title": "Tchaikovsky, Boris", "d:Description": "(1925-1996) Biography, music and works. Internet edition compiled by Onno van Rijen.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/T", "url": "http://home.online.nl/ovar/tchaikb.htm"} +{"d:Title": "Trimble, Joan", "d:Description": "(1915-2000), Enniskillen, Co. Fermanagh, Ireland. Picture, biography, and list of works from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/T", "url": "https://www.cmc.ie/composers/joan-trimble"} +{"d:Title": "Willemetz, Albert", "d:Description": "(1887-1964) French songwriter of cinema, and musical comedies. Biography and repertoire. [In English, French, and Spanish]", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/W", "url": "http://www.albertwillemetz.com/"} +{"d:Title": "Weisgall, Hugo", "d:Description": "(1912-1997) Moravia. List of operas with discography, from US Opera.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/W", "url": "http://www.usopera.com/composers/weisgall.html"} +{"d:Title": "Yun, Isang", "d:Description": "(1917-1995), Tongy\u00f4ng, Japan. Biography.", "topic": "Top/Arts/Music/Composition/Composers/Late_20th_Century/Y", "url": "http://www.yun-gesellschaft.de/e/bio.htm"} +{"d:Title": "Edward MacDowell", "d:Description": "Extended biography from the Classical Music Hall of Fame. Details the influence of his mother and wife, his mental illness, and his long-term effect on American arts and letters.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://www.classicalhall.org/bio1.asp?lname=macdowell"} +{"d:Title": "MacDowell Colony, Peterborough, New Hampshire", "d:Description": "Memorial to the composer is designed as a place for emerging and well-established artists of all disciplines to create and work without interruption. Lists studio descriptions, residency program, fellowship opportunities, history, and financial information.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://www.macdowellcolony.org/"} +{"d:Title": "Edward MacDowell", "d:Description": "Find A Grave listing with burial information, photograph, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=657&pt=Edward+MacDowell"} +{"d:Title": "Macdowell, Edward", "d:Description": "Free and for-purchase sheet music, books, recordings, links, and biography from 8Notes.com.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://www.8notes.com/macdowell.asp"} +{"d:Title": "Edward Alexander Macdowell", "d:Description": "Biographical sketch, links, and MP3 audio from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://www.classiccat.net/macdowell_ea/"} +{"d:Title": "MacDowell, Edward Alexander (1860-1908)", "d:Description": "Brief biographical article and a categorized set of links to other web pages.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://freepages.history.rootsweb.ancestry.com/~dav4is/people/PERK928.htm"} +{"d:Title": "Classical Archives: Edward MacDowell", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://www.classicalarchives.com/composer/2932.html"} +{"d:Title": "Edward Macdowell (1860-1908)", "d:Description": "Biographical and musical information with photograph and research links including Library of Congress citations from Malaspina Great Books.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://russellmcneil.blogspot.com/2007/09/edward-macdowell-1860-1908.html"} +{"d:Title": "Edward Macdowell", "d:Description": "Recommended recordings, biographies, and scores from Classical Net's Basic Repertoire List. Includes MIDI audio sample.", "topic": "Top/Arts/Music/Composition/Composers/M/MacDowell,_Edward_Alexander", "url": "http://www.classical.net/music/comp.lst/macdowell.php"} +{"d:Title": "Thomas Mace", "d:Description": "Biography noting contributions in composition and writing with internal links to related material from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Mace,_Thomas", "url": "http://www.hoasm.org/IVM/MaceT.html"} +{"d:Title": "Jos\u00e9 Maceda", "d:Description": "Biography detailing studies, honors, and contributions to various musical fields.", "topic": "Top/Arts/Music/Composition/Composers/M/Maceda,_Jos\u00e9", "url": "http://composers21.com/compdocs/macedaj.htm"} +{"d:Title": "Jos\u00e9 Maceda's Lifelong Quest for Traditional Filipino Music", "d:Description": "Article showing how he integrated European and native forms and promoted various minority tribal styles. By Ruben Defeo.", "topic": "Top/Arts/Music/Composition/Composers/M/Maceda,_Jos\u00e9", "url": "http://www.newsflash.org/2004/02/tl/tl012355.htm"} +{"d:Title": "In Memoriam Jos\u00e9 Maceda", "d:Description": "Translation of the recollections of Japanese composer and author Takahashi Yuji.", "topic": "Top/Arts/Music/Composition/Composers/M/Maceda,_Jos\u00e9", "url": "http://www.suigyu.com/yuji/en-text/maceda-memory.html"} +{"d:Title": "Two Songs: Sir G.A.Macfarren", "d:Description": "Brief biographical sketch with information on some of his clarinet works.", "topic": "Top/Arts/Music/Composition/Composers/M/Macfarren,_George_Alexander,_Sir", "url": "http://www.clarinet.demon.co.uk/songs.htm"} +{"d:Title": "Guillaume de Machaut", "d:Description": "Biography, Lyrics (French or Latin), Discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.medieval.org/emfaq/composers/machaut.html"} +{"d:Title": "The Tradition of Courtly Love Expressed in the Poetry and Music of Guillaume de Machaut", "d:Description": "Article by Elizabeth G. Melillo studying the genre and his place among its greats. Includes embedded MIDI audio and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.gloriana.nu/courtly.html"} +{"d:Title": "Machaut and the French Ars Nova", "d:Description": "Article by Hope Greenberg.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.uvm.edu/~hag/personal/portfolio/224paper3.html"} +{"d:Title": "Machaut, Guillaume de (c. 1300 - 1377), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://stevenestrella.com/composers/composerfiles/machaut1377.html"} +{"d:Title": "Guillaume de Machaut", "d:Description": "Biography, related composers, external links, discography, and internal links to facsimiles and additional period material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.hoasm.org/IID/Machaut.html"} +{"d:Title": "Guillaume de Machaut: The Flower Among Flowers", "d:Description": "Biography, timeline, quotes and key works, and recommended readings and recordings from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=1"} +{"d:Title": "International Machaut Society", "d:Description": "Research into and performance of works by Machaut: group and contact information; links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.loyno.edu/~avclark/Machaut/imshome.htm"} +{"d:Title": "Classical Archives: Guillaume de Machaut", "d:Description": "Biography with audio files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.classicalarchives.com/composer/6541.html"} +{"d:Title": "Guillaume de Machaut", "d:Description": "Summary list of works, biography, and group portrait from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://musicalics.com/en/node/80260"} +{"d:Title": "Guillaume de Machaut", "d:Description": "Detailed biography, links, and recommended recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/M/Machaut,_Guillaume_de", "url": "http://www.classical.net/music/comp.lst/machaut.php"} +{"d:Title": "Sir Alexander Campbell Mackenzie", "d:Description": "List of holdings in England's National Portrait Gallery with drawings by Harry Furniss and Flora Lion.", "topic": "Top/Arts/Music/Composition/Composers/M/Mackenzie,_Alexander_Campbell,_Sir", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp02889"} +{"d:Title": "Alexander Mackenzie", "d:Description": "Biography and portrait from the D'Oyly Carte Opera Company.", "topic": "Top/Arts/Music/Composition/Composers/M/Mackenzie,_Alexander_Campbell,_Sir", "url": "http://math.boisestate.edu/gas/whowaswho/M/MackenzieAlexander.htm"} +{"d:Title": "Alexander Mackenzie: Scottish Composer", "d:Description": "Biographical sketch and detailed review of the recording of his Violin Concerto and Pibroch Suite. Includes links to other recordings.", "topic": "Top/Arts/Music/Composition/Composers/M/Mackenzie,_Alexander_Campbell,_Sir", "url": "http://www.musicweb-international.com/mackenzie/"} +{"d:Title": "Alexander Campbell Mackenzie", "d:Description": "List of selected works by genre and brief biography from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mackenzie,_Alexander_Campbell,_Sir", "url": "http://musicalics.com/en/node/80264"} +{"d:Title": "Sir Ernest Campbell MacMillan", "d:Description": "Find A Grave listing with biographical sketch, noted works, photograph, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/MacMillan,_Ernest_Alexander_Campbell,_Sir", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7256597&pt=Ernest+MacMillan"} +{"d:Title": "Ernest MacMillan", "d:Description": "Short biography emphasizing his work as conductor, noting also abilities as organist and composer.", "topic": "Top/Arts/Music/Composition/Composers/M/MacMillan,_Ernest_Alexander_Campbell,_Sir", "url": "http://www.bach-cantatas.com/Bio/MacMillan-Ernest.htm"} +{"d:Title": "James MacMillan", "d:Description": "Introduction, biography, timeline, study of his music, works list, news, performances, new recordings, discography, and numerous audio samples in RAM format from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/M/MacMillan,_James", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2799"} +{"d:Title": "Naxos: James MacMillan", "d:Description": "Biographical sketch summarizing the wide breadth of his output in a short number of years with discography.", "topic": "Top/Arts/Music/Composition/Composers/M/MacMillan,_James", "url": "http://www.naxos.com/composerinfo/James_MacMillan_22619/22619.htm"} +{"d:Title": "MacMillan, James", "d:Description": "Picture, biography, list of works, recordings, and links from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/M/MacMillan,_James", "url": "http://www.classical.net/music/comp.lst/acc/macmillan.php"} +{"d:Title": "Our Finest Lost Composer: Elizabeth Maconchy", "d:Description": "Article from The Independent by Martin Anderson lauding The Ambache for bringing her works to attention and encouraging a greater appreciation for her from the general public.", "topic": "Top/Arts/Music/Composition/Composers/M/Maconchy,_Elizabeth,_Dame", "url": "http://www.ambache.co.uk/wIndependent.htm"} +{"d:Title": "Women of Note: Manconchy", "d:Description": "Biographical sketch and comments on several of her works performed by Ambache. Includes WMA audio sample and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Maconchy,_Elizabeth,_Dame", "url": "http://www.ambache.co.uk/wMaconchy.htm"} +{"d:Title": "Elizabeth Maconchy: British Composer 1907-1987", "d:Description": "Detailed and laudatory biography spanning her entire life and career, written from a warm and personal perspective by David C.F. Wright, PhD.", "topic": "Top/Arts/Music/Composition/Composers/M/Maconchy,_Elizabeth,_Dame", "url": "http://www.musicweb-international.com/maconchy/"} +{"d:Title": "Elizabeth Maconchy", "d:Description": "List of noted works, brief biography, and internal links to related and contrasting composers.", "topic": "Top/Arts/Music/Composition/Composers/M/Maconchy,_Elizabeth,_Dame", "url": "http://musicalics.com/en/node/80266"} +{"d:Title": "Classical Net - Composers - Macque", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Macque,_Giovanni_de", "url": "http://www.allclassical.net/music/comp.lst/acc/demacque.html"} +{"d:Title": "Jean [Giovanni] de Macque", "d:Description": "Biography with internal links to related people and musical period plus partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Macque,_Giovanni_de", "url": "http://www.hoasm.org/IVD/Macque.html"} +{"d:Title": "Giovanni da MACQUE and Giovanni SALVATORE [KM]: Classical Reviews- August 2001 MusicWeb(UK)", "d:Description": "Reviews of works on this recording.", "topic": "Top/Arts/Music/Composition/Composers/M/Macque,_Giovanni_de", "url": "http://www.musicweb-international.com/classrev/2001/Aug01/daMacque.htm"} +{"d:Title": "Bruno Maderna", "d:Description": "Short biography with several photographs of him in action as a conductor.", "topic": "Top/Arts/Music/Composition/Composers/M/Maderna,_Bruno", "url": "http://www.bach-cantatas.com/Bio/Maderna-Bruno.htm"} +{"d:Title": "Bruno Maderna", "d:Description": "Biography noting musical development, impact on subsequent artists, and electronic collaboration with Berio.", "topic": "Top/Arts/Music/Composition/Composers/M/Maderna,_Bruno", "url": "http://www153.pair.com/bensav/Compositeurs/Maderna.B.html"} +{"d:Title": "Bruno Maderna", "d:Description": "Filmography from the Internet Movie Database with films in which he received composer or conductor credits.", "topic": "Top/Arts/Music/Composition/Composers/M/Maderna,_Bruno", "url": "http://www.imdb.com/name/nm0534826/"} +{"d:Title": "Osmar Maderna", "d:Description": "Find A Grave listing with biographical sketch, photograph, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Maderna,_Osmar_H\u00e9ctor", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7341618&pt=Osmar+Maderna"} +{"d:Title": "Osmar Maderna", "d:Description": "Filmography from the Internet Movie Database linked to films in which he received acting credit.", "topic": "Top/Arts/Music/Composition/Composers/M/Maderna,_Osmar_H\u00e9ctor", "url": "http://www.imdb.com/name/nm1098382/"} +{"d:Title": "Leevi Madetoja", "d:Description": "Short filmography from the Internet Movie Database linked to his film credits.", "topic": "Top/Arts/Music/Composition/Composers/M/Madetoja,_Leevi_Antti", "url": "http://www.imdb.com/name/nm0534841/"} +{"d:Title": "Leevi Antti Madetoja", "d:Description": "Summary of life and works with internal links to related people from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Madetoja,_Leevi_Antti", "url": "http://musicalics.com/en/node/80270"} +{"d:Title": "Jan Maegaard (b. 1926)", "d:Description": "Biography and photograph with discography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/M/Maegaard,_Jan_Carl_Christian", "url": "http://www.dacapo-records.dk/en/artist-jan-maegaard.aspx"} +{"d:Title": "Jan Maegaard", "d:Description": "Major works, life, photograph, and internal links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Maegaard,_Jan_Carl_Christian", "url": "http://musicalics.com/en/node/83542"} +{"d:Title": "Pieter Maessens", "d:Description": "Brief biography with internal links to his historical period from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Maessens,_Pieter", "url": "http://www.hoasm.org/IVK/Maessens.html"} +{"d:Title": "Danacord : Frederik Magle", "d:Description": "Danish composer (b. 1977). Work list.", "topic": "Top/Arts/Music/Composition/Composers/M/Magle,_Frederik", "url": "http://www.danacord.dk/comp-dk/magle.html"} +{"d:Title": "Magle, Frederik", "d:Description": "(1977- ) Danish composer. Includes biography, discography and music downloads.", "topic": "Top/Arts/Music/Composition/Composers/M/Magle,_Frederik", "url": "http://www.magle.dk/composer-bio.html"} +{"d:Title": "Alb\u00e9ric Magnard", "d:Description": "Includes biography emphasizing his violent death and subsequent destruction of his manuscripts at the hand of German soldiers plus Classical Net Review of the recording of Symphonies 2 and 4.", "topic": "Top/Arts/Music/Composition/Composers/M/Magnard,_Lucien_Denis_Gabriel_Alb\u00e9ric", "url": "http://www.classical.net/music/recs/reviews/b/bis00928a.html"} +{"d:Title": "Lucien Denis Gabriel Alb\u00e9ric Magnard", "d:Description": "Entry with Wikipedia including numerous internal links to related material.", "topic": "Top/Arts/Music/Composition/Composers/M/Magnard,_Lucien_Denis_Gabriel_Alb\u00e9ric", "url": "http://en.wikipedia.org/wiki/Alb%C3%A9ric_Magnard"} +{"d:Title": "Alb\u00e9ric Magnard", "d:Description": "Summary of life, request for newsgroup and shared research, and photograph at Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Magnard,_Lucien_Denis_Gabriel_Alb\u00e9ric", "url": "http://musicalics.com/en/node/80272"} +{"d:Title": "Alma Mahler Werfel", "d:Description": "Her life is the focus of the play Alma a Venezia, set in multiple rooms, and allowing the audience to participate. Includes a biography, links, and illustrations.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Alma_Schindler", "url": "http://www.invenicetoday.com/alma/"} +{"d:Title": "Alma", "d:Description": "Examination of the life of Gustav Mahler's widow, especially in a motion picture. Includes biography, lives of husbands, friends, and lovers, movie clips and stills, and related material.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Alma_Schindler", "url": "http://www.alma-mahler.at/"} +{"d:Title": "Alma Mahler Gropius Werfel", "d:Description": "Find A Grave entry with photograph, grave marker, and cemetery information.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Alma_Schindler", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4473"} +{"d:Title": "Alma Mahler", "d:Description": "Wikipedia article discussing public and private life, including musical abilities, affairs and marriages, photograph, internal references to related people and topics, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Alma_Schindler", "url": "http://en.wikipedia.org/wiki/Alma_Mahler"} +{"d:Title": "Internet Movie Data Base: Alma Mahler", "d:Description": "Filmography with connection to the biographical film Bride of the Wind, biographical material, and links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Alma_Schindler", "url": "http://www.imdb.com/character/ch0034826/"} +{"d:Title": "Alma Mahler", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works and lyrics, some having English translations.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Alma_Schindler", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4936"} +{"d:Title": "Gustav Mahler", "d:Description": "Biography and personality profile of the composer in light of his Third Symphony. Includes Real Audio RAM clips of his music.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.lessontutor.com/bf_mahler.html"} +{"d:Title": "Internationale Gustav Mahler Gesellschaft, Vienna", "d:Description": "Official site of Mahler Society. Composer's life and works. Also membership and contact information, library, news journal, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.gustav-mahler.org/english/"} +{"d:Title": "The Mahler Archives", "d:Description": "Archives holding essays, musicological analyses, historical facts, and interviews pertaining to Gustav Mahler.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.mahlerarchives.net/"} +{"d:Title": "Gustav Mahler: An Overview", "d:Description": "Biography, commentary and links to related composers, from mfiles. Featuring sheet music and MIDI and MP3 audio examples from his symphonies.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.mfiles.co.uk/Composers/Gustav-Mahler.htm"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.classiccat.net/mahler_g/"} +{"d:Title": "Gustav Mahler", "d:Description": "Biographical listing from the Internet Public Library's Music History 102 with selections from works in RA audio format.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.ipl.org/div/mushist/twen/mahler.htm"} +{"d:Title": "Gustav Mahler", "d:Description": "Wikipedia article with biography, summary of music, his legacy, list of symphonic and vocal works, illustrations, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://en.wikipedia.org/wiki/Gustav_Mahler"} +{"d:Title": "Gustav Mahler", "d:Description": "Find A Grave entry with biography and details of death, photographs, pictures of grave, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1298&pt=Gustav+Mahler"} +{"d:Title": "Gustav Mahler", "d:Description": "Filmography detailing the use of his works in cinema and television with detailed biography and links from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.imdb.com/name/nm0006178/"} +{"d:Title": "The Chicago Mahlerites", "d:Description": "Meeting and concert schedules, reviews, articles, contact information, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.chicago-mahlerites.org/"} +{"d:Title": "Gustav Mahler: The Summertime Composer", "d:Description": "Brief biography, key works, timeline, recommending reading and recordings, quotes, and additional resources and links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=58"} +{"d:Title": "The Mahler Symphonies", "d:Description": "A synoptic survey by Tony Duggan.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.musicweb-international.com/Mahler/mahler9.htm"} +{"d:Title": "Gustav Mahler (1860-1911)", "d:Description": "Biographical sketch noting the problems of his conflicted national background and Jewishness. Includes caricature, summaries of vocal and orchestral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.naxos.com/composerinfo/653.htm"} +{"d:Title": "Das Lied von der Erde", "d:Description": "A synoptic survey by Tony Duggan.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.musicweb-international.com/Mahler/Daslied.htm"} +{"d:Title": "Classical Archives: Mahler", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.classicalarchives.com/composer/2937.html"} +{"d:Title": "Gustav Mahler", "d:Description": "Library of Congress Citations.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://russellmcneil.blogspot.com/2007/09/gustav-mahler-1860-1911.html"} +{"d:Title": "Gustav Mahler", "d:Description": "Biography in English and Dutch with photographs and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://musicalics.com/en/node/80274"} +{"d:Title": "Gustav Mahler", "d:Description": "Entry at the Lied and Art Songs Text Page with listing of vocal works linked to lyrics, many including English translations.", "topic": "Top/Arts/Music/Composition/Composers/M/Mahler,_Gustav", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1742"} +{"d:Title": "Michael Maier - A Subtle Allegory", "d:Description": "The Secrets of Alchemy.", "topic": "Top/Arts/Music/Composition/Composers/M/Maier,_Michael", "url": "http://www.levity.com/alchemy/maier.html"} +{"d:Title": "Ron Heisler", "d:Description": "Michael Maier and England.", "topic": "Top/Arts/Music/Composition/Composers/M/Maier,_Michael", "url": "http://www.levity.com/alchemy/h_maier.html"} +{"d:Title": "Kunst der Fuge | Michael Maier", "d:Description": "The 'Atalanta Fugiens' and Two Canons 'Rosacruz' in MIDI files; two images.", "topic": "Top/Arts/Music/Composition/Composers/M/Maier,_Michael", "url": "http://www.kunstderfuge.com/maier.htm"} +{"d:Title": "Classical Musical MIDI Page: Michael Maier", "d:Description": "Short biography and sound files.", "topic": "Top/Arts/Music/Composition/Composers/M/Maier,_Michael", "url": "http://www.classicalmidi.co.uk/maier.htm"} +{"d:Title": "Jan Maklakiewicz", "d:Description": "Biography from the Polish Music Center at USC with works list.", "topic": "Top/Arts/Music/Composition/Composers/M/Maklakiewicz,_Jan_Adam", "url": "http://www.usc.edu/dept/polish_music/composer/maklakiewicz.html"} +{"d:Title": "Jan Maklakiewicz", "d:Description": "Filmography linked to motion pictures he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Maklakiewicz,_Jan_Adam", "url": "http://www.imdb.com/name/nm0538666/"} +{"d:Title": "Artur Malawski", "d:Description": "Biography from the Polish Music Center of USC showing his compositional, instrumental, and conducting talents. Includes photograph.", "topic": "Top/Arts/Music/Composition/Composers/M/Malawski,_Artur", "url": "http://www.usc.edu/dept/polish_music/composer/malawski.html"} +{"d:Title": "Artur Malawski", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Malawski,_Artur", "url": "http://www.imdb.com/name/nm0539024/"} +{"d:Title": "Ivo Malec", "d:Description": "Filmography linked to films where he received compositional credit from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Malec,_Ivo", "url": "http://www.imdb.com/name/nm1090522/"} +{"d:Title": "Gian Francesco Malipiero", "d:Description": "Filmography with credited films from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Malipiero,_Gian_Francesco", "url": "http://www.imdb.com/name/nm0539730/"} +{"d:Title": "Gian Francesco Malipiero", "d:Description": "Wikipedia entry with life, major accomplishments, and internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Malipiero,_Gian_Francesco", "url": "http://en.wikipedia.org/wiki/Gian_Francesco_Malipiero"} +{"d:Title": "Gian Francesco Malipiero", "d:Description": "Detailed biography tracing his multi-part career in the academic, compositional, and performance realms.", "topic": "Top/Arts/Music/Composition/Composers/M/Malipiero,_Gian_Francesco", "url": "http://www.rodoni.ch/malipiero/bioingl.html"} +{"d:Title": "Gian Francesco Malipiero", "d:Description": "Program notes for the Sierra Chamber Society with commentary by David Ewen, biography, and synopsis of his \"Rispetti e Strombotti for String Quartet.\"", "topic": "Top/Arts/Music/Composition/Composers/M/Malipiero,_Gian_Francesco", "url": "http://www.fuguemasters.com/malipier.html"} +{"d:Title": "Gian Francesco Malipiero", "d:Description": "Remarks about music in general and his own output compiled by Marcello Sorce Keller. Includes footnotes.", "topic": "Top/Arts/Music/Composition/Composers/M/Malipiero,_Gian_Francesco", "url": "http://www.rodoni.ch/marcellosorcekeller/malipieromskeller.html"} +{"d:Title": "Malipiero, Gian Francesco: Gilder-MusicWeb Dictionary of Composers", "d:Description": "Short biography noting his relatively late beginning a musical career with chronological list of works.", "topic": "Top/Arts/Music/Composition/Composers/M/Malipiero,_Gian_Francesco", "url": "http://www.musicweb-international.com/Classpedia/Malipiero.htm"} +{"d:Title": "Otto Malling (1848-1915)", "d:Description": "Overview of life and works with photograph and discography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/M/Malling,_Otto_Valdemar", "url": "http://www.dacapo-records.dk/en/artist-otto-malling.aspx"} +{"d:Title": "Otto Malling", "d:Description": "Analysis of his works, especially for organ, noting how his Romanticism brought international acclaim yet caused him to be forgotten soon after his death. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Malling,_Otto_Valdemar", "url": "http://musicalics.com/en/node/90369"} +{"d:Title": "Cristofano Malvezzi", "d:Description": "Wikipedia entry with internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Malvezzi,_Cristofano", "url": "http://en.wikipedia.org/wiki/Cristofano_Malvezzi"} +{"d:Title": "Nicos Mamangakis", "d:Description": "Filmography with compositional and other credits from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mamangakis,_Nicos", "url": "http://www.imdb.com/name/nm0541004/"} +{"d:Title": "Pierre de Manchicourt", "d:Description": "Biography summarizing positions held and main body of works from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Manchicourt,_Pierre_de", "url": "http://www.hoasm.org/IVA/Manchicourt.html"} +{"d:Title": "Pierre de Manchicourt", "d:Description": "Wikipedia entry with life, works, and internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Manchicourt,_Pierre_de", "url": "http://en.wikipedia.org/wiki/Pierre_de_Manchicourt"} +{"d:Title": "Luigi Mancinelli", "d:Description": "Biography, comparison with Toscanini, and list of operas and other stage works with link to details on \"Ero e Leandro.\" From OperaGlass.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancinelli,_Luigi", "url": "http://opera.stanford.edu/Mancinelli/main.html"} +{"d:Title": "Francesco Mancini", "d:Description": "Biography including relationship to Alessandro Scarlatti, partial discography, and internal links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Francesco", "url": "http://www.hoasm.org/VIIIA/Mancini.html"} +{"d:Title": "Francesco Mancini", "d:Description": "Sheet music, biography, and recorder music CDs.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Francesco", "url": "http://www.grainger.de/music/composers/mancinif.html"} +{"d:Title": "Mfiles - Henry Mancini", "d:Description": "Summary biography and listing of the composer's work in film and television.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Henry", "url": "http://www.mfiles.co.uk/composers/henry-mancini.htm"} +{"d:Title": "Henry Mancini", "d:Description": "Wikipedia article with brief synopsis of life and works with lists of selected songs and movies. Includes internal references to related people and topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Henry", "url": "http://en.wikipedia.org/wiki/Henry_Mancini"} +{"d:Title": "Henry Mancini", "d:Description": "Biography with links, photograph, and discography from Space Age Pop.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Henry", "url": "http://www.spaceagepop.com/mancini.htm"} +{"d:Title": "Henry Mancini", "d:Description": "Find A Grave listing with musical biography, photographs, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Henry", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6848602&pt=Henry+Mancini"} +{"d:Title": "Henry Mancini", "d:Description": "Biographical sketch with accompanying Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Henry", "url": "http://www.naxos.com/composerinfo/2052.htm"} +{"d:Title": "A Henry Mancini Discography", "d:Description": "Pictorial discography with interviews and non-album items.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Henry", "url": "http://bjbear71.com/Hank/collection.html"} +{"d:Title": "Henry Mancini", "d:Description": "Filmography listing hundreds of films and television programs scored or using his works plus orchestrator, actor, and other credits from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mancini,_Henry", "url": "http://www.imdb.com/name/nm0000049/"} +{"d:Title": "Francesco Manfredini", "d:Description": "Biography, sheet music, and websites.", "topic": "Top/Arts/Music/Composition/Composers/M/Manfredini,_Francesco_Onofrio", "url": "http://www.grainger.de/music/composers/manfredini.html"} +{"d:Title": "Giacomo Manzoni", "d:Description": "Filmography linked to credited works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Manzoni,_Giacomo", "url": "http://www.imdb.com/name/nm0544523/"} +{"d:Title": "Marin Marais", "d:Description": "Filmography listing motion pictures using his music, including Tous le matins du monde, based in part on his life.", "topic": "Top/Arts/Music/Composition/Composers/M/Marais,_Marin", "url": "http://www.imdb.com/name/nm0544791/"} +{"d:Title": "Marin Marais", "d:Description": "Biography, portrait from his youth, internal links to period material, and partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Marais,_Marin", "url": "http://www.hoasm.org/VIIB/Marais.html"} +{"d:Title": "Marin Marais", "d:Description": "Wikipedia article with internal links to related people and references.", "topic": "Top/Arts/Music/Composition/Composers/M/Marais,_Marin", "url": "http://en.wikipedia.org/wiki/Marin_Marais"} +{"d:Title": "Classical Archives: Marin Marais", "d:Description": "Provides biography of a bass viol player in 17th century France. Includes audio clips.", "topic": "Top/Arts/Music/Composition/Composers/M/Marais,_Marin", "url": "http://www.classicalarchives.com/composer/2952.html"} +{"d:Title": "Marin Marais", "d:Description": "Noted works, summary of life, links, and portrait from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Marais,_Marin", "url": "http://musicalics.com/en/node/80289"} +{"d:Title": "Marin Marais", "d:Description": "Recommendations from the Classical Net Basic Repertoire List with portrait.", "topic": "Top/Arts/Music/Composition/Composers/M/Marais,_Marin", "url": "http://www.classical.net/music/comp.lst/marais.php"} +{"d:Title": "Alessandro Marcello", "d:Description": "Filmography linked to motion pictures using his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Alessandro", "url": "http://www.imdb.com/name/nm0545226/"} +{"d:Title": "Classical Archives: Alessandro Marcello", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Alessandro", "url": "http://www.classicalarchives.com/composer/2953.html"} +{"d:Title": "Alessandro Marcello", "d:Description": "Portrait and recommended recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Alessandro", "url": "http://www.classical.net/music/comp.lst/marcelloa.php"} +{"d:Title": "Marcello, Benedetto", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Benedetto", "url": "http://jan.ucc.nau.edu/~tas3/marcello.html"} +{"d:Title": "Benedetto Marcello", "d:Description": "Biography from the Catholic Encyclopedia focusing on religious works, particularly his Paraphrase of the Psalms.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Benedetto", "url": "http://www.newadvent.org/cathen/09640a.htm"} +{"d:Title": "NewOlde.com: Benedetto Marcello", "d:Description": "Information resource on the Venetian aristocrat best known for \"Il Teatro Alla Moda\" (1720), a critique of opera and Vivaldi with recommended recordings and books.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Benedetto", "url": "http://www.newolde.com/marcello.htm"} +{"d:Title": "Benedetto Marcello", "d:Description": "Biography and summary of works, portrait, internal links to related people and topics, and partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Benedetto", "url": "http://www.hoasm.org/VIIIE/Marcello.html"} +{"d:Title": "Benedetto Marcello", "d:Description": "Filmography of motion pictures using his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Benedetto", "url": "http://www.imdb.com/name/nm0545227/"} +{"d:Title": "Benedetto Marcello", "d:Description": "Summary of works, brief life history, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Marcello,_Benedetto", "url": "http://musicalics.com/en/node/80293"} +{"d:Title": "Marchetto da Padova", "d:Description": "Biography with overview of his theoretical works and link to the Florentine Group from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Marchetto_da_Padova", "url": "http://www.hoasm.org/IIIA/Marchettus.html"} +{"d:Title": "Marenzio, Luca", "d:Description": "Entry from the Catholic Encyclopedia emphasizing his sacred output.", "topic": "Top/Arts/Music/Composition/Composers/M/Marenzio,_Luca", "url": "http://www.newadvent.org/cathen/09652a.htm"} +{"d:Title": "Luca Marenzio", "d:Description": "Biography placing him among the great madrigalists with portrait from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Marenzio,_Luca", "url": "http://www.hoasm.org/IVD/Marenzio.html"} +{"d:Title": "Luca Marenzio", "d:Description": "Detailed biographical entry with internal links to related people and topics from Wikipedia.", "topic": "Top/Arts/Music/Composition/Composers/M/Marenzio,_Luca", "url": "http://en.wikipedia.org/wiki/Luca_Marenzio"} +{"d:Title": "Angelo Mariani", "d:Description": "Find A Grave entry with birth and death information, portrait photograph, pictures of tomb, links to related material, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Mariani,_Angelo_Maurizio_Gaspare", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6907&pt=Angelo+Mariani"} +{"d:Title": "Biagio Marini", "d:Description": "Biography with positions held, main instrumental and vocal genres, links to related material, and partial discography from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Marini,_Biagio", "url": "http://www.hoasm.org/VA/Marini.html"} +{"d:Title": "Marini, Biagio", "d:Description": "MIDI audio, sheet music purchase, conversion software, and links from the Classical Music Archives.", "topic": "Top/Arts/Music/Composition/Composers/M/Marini,_Biagio", "url": "http://www.classicalarchives.com/midi/m.html#MARINI"} +{"d:Title": "Gino Marinuzzi Jr.", "d:Description": "Filmography linked to pictures for which he received composistional, arrangement, or other musical credit.", "topic": "Top/Arts/Music/Composition/Composers/M/Marinuzzi,_Gino,_Jr.", "url": "http://www.imdb.com/name/nm0547911/"} +{"d:Title": "Igor Markevitch", "d:Description": "Summary and detailed biographies, photograph, works list, RAM audio samples, and purchase and rental information from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/M/Markevitch,_Igor", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2789"} +{"d:Title": "Igor Markevitch", "d:Description": "List of major works by genre, life, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Markevitch,_Igor", "url": "http://musicalics.com/en/node/80298"} +{"d:Title": "Mikl\u00f3s Maros", "d:Description": "Listing of his guitar works by Sheer Pluck with summaries of individual pieces.", "topic": "Top/Arts/Music/Composition/Composers/M/Maros,_Mikl\u00f3s", "url": "http://www.sheerpluck.de/?http://www.sheerpluck.de/composer/1677/1/M/50/a+main"} +{"d:Title": "Rudolf Maros", "d:Description": "Filmography noting credited motion pictures from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Maros,_Rudolf", "url": "http://www.imdb.com/name/nm0549544/"} +{"d:Title": "Marpurg, Friedrich Wilhelm", "d:Description": "Biography from Timothy A. Smith's Sojourn pages emphasizing his relationship to Bach's fugue music. Includes internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Marpurg,_Friedrich_Wilhelm", "url": "http://jan.ucc.nau.edu/~tas3/marpurg.html"} +{"d:Title": "Friedrich Willhelm Marpurg", "d:Description": "Biographical information with comments and facsimile of his Die Kunst das Clavier zu Spielen at Cornell University.", "topic": "Top/Arts/Music/Composition/Composers/M/Marpurg,_Friedrich_Wilhelm", "url": "http://rmc.library.cornell.edu/mozart/piano_lesson/Marpurg.htm"} +{"d:Title": "Wynton Marsalis", "d:Description": "Review of \"The Marciac Suite\" and his book, \"Sweet Swing Blues On The Road\" with photographs by Frank Stewart. From Cosmopolis Magazine.", "topic": "Top/Arts/Music/Composition/Composers/M/Marsalis,_Wynton", "url": "http://www.cosmopolis.ch/english/cosmo10/wynton.htm"} +{"d:Title": "Wynton", "d:Description": "Official home page for Wynton Marsalis. Offers sound, and wav files, latest releases, news, biography, discography, tour information, and message board.", "topic": "Top/Arts/Music/Composition/Composers/M/Marsalis,_Wynton", "url": "http://www.wyntonmarsalis.org/"} +{"d:Title": "Marsalis, Wynton", "d:Description": "Short biography from Encyclopedia.com.", "topic": "Top/Arts/Music/Composition/Composers/M/Marsalis,_Wynton", "url": "http://www.encyclopedia.com/topic/Wynton_Marsalis.aspx#1E1-Marsalis"} +{"d:Title": "Heinrich Marschner", "d:Description": "Detailed biography focusing on his operas and singspiels with portrait and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Marschner,_Heinrich_August", "url": "http://www.jmucci.com/opera/marshbio.htm"} +{"d:Title": "Marschnerites", "d:Description": "Yahoo Groups mail list dedicated to preserving and promoting his name and works, particularly his operas.", "topic": "Top/Arts/Music/Composition/Composers/M/Marschner,_Heinrich_August", "url": "http://launch.groups.yahoo.com/group/Marschnerites/"} +{"d:Title": "Heinrich Marschner", "d:Description": "Listing with OperaGlass with life, list of operas and singspiels, and details and libretti for Der Vampyr, Hans Heiling, and Der Templer und die J\u00fcdin.", "topic": "Top/Arts/Music/Composition/Composers/M/Marschner,_Heinrich_August", "url": "http://opera.stanford.edu/Marschner/"} +{"d:Title": "John Marsh 1752-1828: Early British Composer", "d:Description": "Biography and details of a 250th anniversary celebration of his birth in Chichester, England from MusicWeb(UK).", "topic": "Top/Arts/Music/Composition/Composers/M/Marsh,_John", "url": "http://www.musicweb-international.com/classrev/2002/Jun02/marsh.htm"} +{"d:Title": "Ingram Marshall", "d:Description": "Other Minds brief biography and photograph with comments on Asian music in AIFF audio and MOV audio of selected compositions.", "topic": "Top/Arts/Music/Composition/Composers/M/Marshall,_Ingram", "url": "http://www.otherminds.org/shtml/Marshall.shtml"} +{"d:Title": "Ingram Marshall: Kingdom Come", "d:Description": "CD reviews from MusicWeb focusing on his independence in musical style and his professional relationship with Paul Hillier and the Theatre of Voices.", "topic": "Top/Arts/Music/Composition/Composers/M/Marshall,_Ingram", "url": "http://www.musicweb-international.com/classrev/2002/Mar02/Marshall.htm"} +{"d:Title": "Marshall-Hall, George William Louis", "d:Description": "Biography, portrait photograph, picture of his monument, and related material from the Unofficial History of Brighton Cemetery (Melbourne, Australia).", "topic": "Top/Arts/Music/Composition/Composers/M/Marshall-Hall,_George_William_Louis", "url": "http://www.brightoncemetery.com/HistoricInterments/150Names/marshall-hallg.htm"} +{"d:Title": "Frank Martin", "d:Description": "Wikipedia article with selected works, internal links to related topics and places, and external links.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin,_Frank", "url": "http://en.wikipedia.org/wiki/Frank_Martin"} +{"d:Title": "Frank Martin", "d:Description": "Comments on the artistry of his works, especially relating to Songs of Ariel.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin,_Frank", "url": "http://members.iinet.net.au/~martins/program_notes/frank_martin.html"} +{"d:Title": "Frank Martin (1890-1974)", "d:Description": "Listing at The Lied and Art Song Texts Page with French and German lyrics to selected vocal works and some English translations.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin,_Frank", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4984"} +{"d:Title": "Frank Martin", "d:Description": "Biography tracing his slow path toward international recognition with noted works, comments on his style, and recommended discography from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin,_Frank", "url": "http://www.classical.net/music/comp.lst/martin.php"} +{"d:Title": "Giovanni Battista Martini", "d:Description": "Detailed biography with portrait and internal links to related people and his musical period from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Martini,_Giovanni_Battista,_Padre", "url": "http://www.hoasm.org/VIIIF/MartiniGB.html"} +{"d:Title": "Giovanni Battista Martini", "d:Description": "Wikipedia article originally from the 1911 Encyclopedia Britannica with portrait and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Martini,_Giovanni_Battista,_Padre", "url": "http://en.wikipedia.org/wiki/Giovanni_Battista_Martini"} +{"d:Title": "Johannes Martini", "d:Description": "Biography with internal links to related people and his historical period from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Martini,_Johannes", "url": "http://www.hoasm.org/IIID/Martini.html"} +{"d:Title": "Johann Paul Martini (1741-1816)", "d:Description": "Listing at The Lied and Art Song Texts Page with selected lyrics.", "topic": "Top/Arts/Music/Composition/Composers/M/Martini,_Johann_Paul_Aegidius", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4987"} +{"d:Title": "Donald Martino: Dantalian Inc.", "d:Description": "His own publishing company with catalogue, biography, humorous illustrated life story in a rogue's gallery, contact and order information.", "topic": "Top/Arts/Music/Composition/Composers/M/Martino,_Donald_James", "url": "http://www.dantalian.com/"} +{"d:Title": "Martino, Donald", "d:Description": "Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Martino,_Donald_James", "url": "http://www.stevenestrella.com/composers/composerfiles/martinoliving.html"} +{"d:Title": "Donald Martino", "d:Description": "World Saxophone Congress biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Martino,_Donald_James", "url": "http://www153.pair.com/bensav/Compositeurs/Martino.D.html"} +{"d:Title": "Martino, Donald", "d:Description": "Biography, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/M/Martino,_Donald_James", "url": "http://www.stevenestrella.com/composers/index.html?composerfiles/martinoliving.html"} +{"d:Title": "Donald James Martino (1931-)", "d:Description": "Listing at The Lied and Art Song Texts Page with selected lyrics.", "topic": "Top/Arts/Music/Composition/Composers/M/Martino,_Donald_James", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4988"} +{"d:Title": "Jean Martinon", "d:Description": "Filmography from the Internet Movie Database with link to the motion picture Forces Occultes.", "topic": "Top/Arts/Music/Composition/Composers/M/Martinon,_Jean", "url": "http://www.imdb.com/name/nm0553955/"} +{"d:Title": "Martinu, Bohuslav (1890 - 1959), Czechoslovakia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://stevenestrella.com/composers/composerfiles/martinu1959.html"} +{"d:Title": "Bohuslav Martinu", "d:Description": "Summary and extended biographies, photograph, performances, works list, discography and new releases, introduction to his music, and samples in RAM format from Boosey and Hawkes.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2692"} +{"d:Title": "Bohuslav Martinu", "d:Description": "Brief entry with the Internet Movie Database lists films using his works posthumously.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.imdb.com/name/nm0554194/"} +{"d:Title": "Bohuslav Martinu", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.classiccat.net/martinu_b/"} +{"d:Title": "Bohuslav Martin\u016f", "d:Description": "Wikipedia article noting musician and compositional skills, forced exile due to Nazi occupation, and major works with internal references to related people and topics and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://en.wikipedia.org/wiki/Bohuslav_Martinu"} +{"d:Title": "Bohuslav Martin\u016f Foundation", "d:Description": "Official site. Biographical and related composer details plus Foundation, Institute, and societies' information. Festivals, concerts, events and newsletter. English and Czech.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.martinu.cz/"} +{"d:Title": "Bohuslav Martinu", "d:Description": "Brief biographical sketch, caricature, summaries of stage, orchestral, choral, vocal, and chamber music, plus operas and ballets. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.naxos.com/composerinfo/670.htm"} +{"d:Title": "Classical Archives: Bohuslav Martin\u016f", "d:Description": "Biography, complete files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.classicalarchives.com/composer/2964.html"} +{"d:Title": "Bohuslav Martin\u016f (1890-1959)", "d:Description": "Cycles and individual works listed with Czech, English, and French texts from the Lied and Art Song Texts Page.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4989"} +{"d:Title": "Bohuslav Martin\u016f", "d:Description": "Biography, photograph, and discography from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/M/Martin\u016f,_Bohuslav_Jan", "url": "http://www.classical.net/music/comp.lst/martinu.php"} +{"d:Title": "Vicent Mart\u00edn y Soler", "d:Description": "Brief filmography showing the on-screen use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mart\u00edn_y_Soler,_Atanasio_Mart\u00edn_Ignacio_Vicente_Tadeo_Francisco_Pellegr\u00edn", "url": "http://www.imdb.com/name/nm1363975/"} +{"d:Title": "Salvatore Martirano: The SMC", "d:Description": "Letter to Woody Vasulka about the Sal Mar Construction and real time composing with details on various works and partial performance history.", "topic": "Top/Arts/Music/Composition/Composers/M/Martirano,_Salvatore_Giovanni", "url": "http://www.vasulka.org/archive/Artists3/Martirano,Salvatore/bio.pdf"} +{"d:Title": "Giuseppe Martucci", "d:Description": "Brief filmography showing the cinematic use of his music from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Martucci,_Giuseppe", "url": "http://www.imdb.com/name/nm1402848/"} +{"d:Title": "Giuseppe Martucci (1856-1909)", "d:Description": "Listing at The Lied and Art Song Texts Page with Italian lyrics to selected vocal works.", "topic": "Top/Arts/Music/Composition/Composers/M/Martucci,_Giuseppe", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4990"} +{"d:Title": "Marx, Adolf Bernhard", "d:Description": "Entry at JewishEncyclopedia.com lauding his literary contributions to the musical arts.", "topic": "Top/Arts/Music/Composition/Composers/M/Marx,_Adolf_Bernhard", "url": "http://www.jewishencyclopedia.com/view.jsp?artid=229&letter=M"} +{"d:Title": "Joseph Marx (1882-1964)", "d:Description": "Extensive, multi-chapter biography (also available in one HTML or PDF file), list of works, audio samples, discography, bibliography, links.", "topic": "Top/Arts/Music/Composition/Composers/M/Marx,_Joseph", "url": "http://www.joseph-marx.org/en/"} +{"d:Title": "Joseph Marx Lieder: 20 Songs", "d:Description": "Music Web CD review [July 1999] gives example of his compositional style.", "topic": "Top/Arts/Music/Composition/Composers/M/Marx,_Joseph", "url": "http://www.musicweb-international.com/classrev/july99/marx.htm"} +{"d:Title": "Joseph Marx (1882-1964)", "d:Description": "Listing at The Lied and Art Song Texts Page with texts of selected vocal works.", "topic": "Top/Arts/Music/Composition/Composers/M/Marx,_Joseph", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4991"} +{"d:Title": "Karl Marx", "d:Description": "Synopsis of life and works with internal links to related material from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Marx,_Karl", "url": "http://musicalics.com/en/node/80319"} +{"d:Title": "Karl Marx (1897-1985)", "d:Description": "Listing at The Lied and Art Song Texts Page with selected list of vocal works, some with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/M/Marx,_Karl", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4992"} +{"d:Title": "Miya Masaoka", "d:Description": "Biography from the Other Minds Festival touching on her wide range of musical accomplishments. Includes photograph of her playing the koto.", "topic": "Top/Arts/Music/Composition/Composers/M/Masaoka,_Miya", "url": "http://www.otherminds.org/shtml/Masaoka.shtml"} +{"d:Title": "Miya Masaoka", "d:Description": "Personal home page. Includes information about some of her compositions.", "topic": "Top/Arts/Music/Composition/Composers/M/Masaoka,_Miya", "url": "http://www.miyamasaoka.com/"} +{"d:Title": "Miya Masaoka", "d:Description": "Wikipedia article involving her works, performances, and teaching. Includes internal references to related people and topics and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Masaoka,_Miya", "url": "http://en.wikipedia.org/wiki/Miya_Masaoka"} +{"d:Title": "Mascagni, Pietro (1863 - 1945), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Mascagni,_Pietro", "url": "http://stevenestrella.com/composers/composerfiles/mascagni1945.html"} +{"d:Title": "Pietro Mascagni", "d:Description": "Filmography from the Internet Movie Database showing the use of his works in motion pictures, particularly the Intermezzo from opera \"Cavalleria rusticana.\"", "topic": "Top/Arts/Music/Composition/Composers/M/Mascagni,_Pietro", "url": "http://www.imdb.com/name/nm0556099/"} +{"d:Title": "Classical Archives: Pietro Mascagni", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/M/Mascagni,_Pietro", "url": "http://www.classicalarchives.com/composer/2968.html"} +{"d:Title": "Naxos: Pietro Mascagni", "d:Description": "Biographical sketch, caricature, analysis of his operas, and discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Mascagni,_Pietro", "url": "http://www.naxos.com/composerinfo/Pietro_Mascagni_24610/24610.htm"} +{"d:Title": "Pietro Mascagni (1863-1945)", "d:Description": "Entry from the Lied and Art Song Texts Page with link to Italian text and English translation.", "topic": "Top/Arts/Music/Composition/Composers/M/Mascagni,_Pietro", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4995"} +{"d:Title": "Florentio Maschera", "d:Description": "Biography noting works and positions held with links to related people and topics from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Maschera,_Florentio", "url": "http://www.hoasm.org/IVD/Maschera.html"} +{"d:Title": "Daniel Gregory Mason Papers 1894-1953", "d:Description": "Summary of manuscript holdings at Columbia University.", "topic": "Top/Arts/Music/Composition/Composers/M/Mason,_Daniel_Gregory", "url": "http://www.columbia.edu/cu/libraries/inside/projects/findingaids/scans/pdfs/31_MAM-MER_13.pdf"} +{"d:Title": "Find a Grave: Lowell Mason", "d:Description": "Includes location and picture.", "topic": "Top/Arts/Music/Composition/Composers/M/Mason,_Lowell", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3999"} +{"d:Title": "Lowell Mason", "d:Description": "Filmography showing the often uncredited use of his hymns in film soundtracks from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mason,_Lowell", "url": "http://www.imdb.com/name/nm1131663/"} +{"d:Title": "Lowell Mason", "d:Description": "Wikipedia article with biography, portrait, assessment of his influence and commentary on his bias toward European style, internal references, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mason,_Lowell", "url": "http://en.wikipedia.org/wiki/Lowell_Mason"} +{"d:Title": "CyberHymnal: Lowell Mason", "d:Description": "Includes a brief biography, several dozen MIDI hymns tunes.", "topic": "Top/Arts/Music/Composition/Composers/M/Mason,_Lowell", "url": "http://www.hymntime.com/tch/bio/m/a/s/mason_l.htm"} +{"d:Title": "Victor Mass\u00e9: Les Noces de Jeannette", "d:Description": "Review of CD of operatic excerpts from Disques Path\u00e9 original recordings plus biographical material from MusicWeb(UK) in February 2004.", "topic": "Top/Arts/Music/Composition/Composers/M/Mass\u00e9,_Victor", "url": "http://www.musicweb-international.com/classrev/2004/Feb04/Masse.htm"} +{"d:Title": "Victor Mass\u00e9 (1822-1884)", "d:Description": "Listing at The Lied and Art Song Texts Page with selected lyrics in French, some having English translations.", "topic": "Top/Arts/Music/Composition/Composers/M/Mass\u00e9,_Victor", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=4999"} +{"d:Title": "Jules \u00c9mile Fr\u00e9d\u00e9ric Massenet", "d:Description": "(1842-1912) Operas, oratorios and short biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://opera.stanford.edu/Massenet/"} +{"d:Title": "Classic Cat: Massenet", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.classiccat.net/massenet_j/"} +{"d:Title": "Jules Massenet", "d:Description": "Find A Grave listing with birth and death information, photographs, and picture of his tomb. Includes links and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7134&pt=Jules+Massenet"} +{"d:Title": "Jules Massenet", "d:Description": "Filmography showing the use of his works in motion pictures with brief biographical entry. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.imdb.com/name/nm0557238/"} +{"d:Title": "The Worthy Jules Massenet", "d:Description": "Includes photograph, brief biography, selected works, suggested recordings, life chronology, and related links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=137"} +{"d:Title": "Jules Massenet - Wikipedia", "d:Description": "Encyclopedia article with summary of life, works, and students and internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://en.wikipedia.org/wiki/Jules_Massenet"} +{"d:Title": "Jules Massenet (1842-1912)", "d:Description": "Biographical sketch, caricature, summary of operatic works, and extensive Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.naxos.com/composerinfo/673.htm"} +{"d:Title": "Classical Archives: Massenet", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.classicalarchives.com/composer/2972.html"} +{"d:Title": "Jules Massenet (1842-1912)", "d:Description": "Listing at The Lied and Art Song Texts Page with lyrics of selected vocal works, including song cycles in French with some English translations.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5000"} +{"d:Title": "Jules Massenet", "d:Description": "Listing of recommended works from the Classical Net Basic Repertoire List. Includes photograph.", "topic": "Top/Arts/Music/Composition/Composers/M/Massenet,_Jules_Emile_Fr\u00e9d\u00e9ric", "url": "http://www.classical.net/music/comp.lst/massenet.php"} +{"d:Title": "Eduardo Mata", "d:Description": "Filmography with films he scored and brief biographical material from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mata,_Eduardo", "url": "http://www.imdb.com/name/nm0557996/"} +{"d:Title": "Eduardo Mata", "d:Description": "Wikipedia entry with teachers and schooling, life, noted works, positions held, and internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mata,_Eduardo", "url": "http://en.wikipedia.org/wiki/Eduardo_Mata"} +{"d:Title": "Bruce Mather", "d:Description": "Biographical background, contact information, and works listed by genre from the Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/M/Mather,_James_Bruce", "url": "http://composers21.com/compdocs/matherb.htm"} +{"d:Title": "Mayshuet (de Joan), (Matheus de Sancto Johanne)", "d:Description": "Brief biography with links to related period material and partial discography from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Matheus_de_Sancto_Johanne", "url": "http://www.hoasm.org/IIIF/Mayshuet.html"} +{"d:Title": "Max Mathews", "d:Description": "Personal comments about his use of computers in compositional endeavors. Includes photographs.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathews,_Max_Vernon", "url": "http://retiary.org/ls/btl/max_statement.html"} +{"d:Title": "Max Mathews", "d:Description": "Wikipedia entry with internal links to related topics. Emphasis on his work with computers.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathews,_Max_Vernon", "url": "http://en.wikipedia.org/wiki/Max_Mathews"} +{"d:Title": "Max V. Mathews: Bicycle Built for Two/The 2nd Law", "d:Description": "Article from the San Francisco Tape Music Center provides historical background and commentary on the piece.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathews,_Max_Vernon", "url": "http://sfsound.org/tape/Mathews.html"} +{"d:Title": "Mathias,William", "d:Description": "Listing of his clarinet works noting instruments used and related works by others.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathias,_William_James", "url": "http://www.woodwind.org/Databases/Composers/Names/006551.html"} +{"d:Title": "Mathias, William", "d:Description": "Entry from the Gilder-MusicWeb Dictionary of Composers with brief biography and chronological list of works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathias,_William_James", "url": "http://www.musicweb-international.com/Classpedia/Mathias.htm"} +{"d:Title": "William Mathias (1934-1992)", "d:Description": "Biographical article by David Wright for MusicWeb with photography, recommended recordings, and information on the recording prize named for him.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathias,_William_James", "url": "http://www.musicweb-international.com/mathias/"} +{"d:Title": "William Mathias (1934-1992)", "d:Description": "Listing at The Lied and Art Song Texts Page with lyrics to selected vocal works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathias,_William_James", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6669"} +{"d:Title": "OUP: Mathias", "d:Description": "Article from Oxford University Press with photograph, catalogue of works, discography, and MP3 audio sample.", "topic": "Top/Arts/Music/Composition/Composers/M/Mathias,_William_James", "url": "https://global.oup.com/academic/category/arts-and-humanities/sheet-music/composers/mathiasw/?lang=en&cc=us"} +{"d:Title": "Mr. Yoritsune Matsudaira", "d:Description": "Profile from the Resource Center for Japanese Music with summary of life and works and analysis of selected pieces.", "topic": "Top/Arts/Music/Composition/Composers/M/Matsudaira,_Yoritsune", "url": "http://www.musicfromjapan.org/resources/mfjc25.htm"} +{"d:Title": "Nicola Matteis", "d:Description": "Detailed biography with anecdotal quotes, partial discography, and link to period information from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Matteis,_Nicola_the_Elder", "url": "http://www.hoasm.org/VIIA/Matteis1.html"} +{"d:Title": "Nicola Matteis", "d:Description": "Biographical sketch from the Oxford Companion to music noting also theoretical contributions.", "topic": "Top/Arts/Music/Composition/Composers/M/Matteis,_Nicola_the_Elder", "url": "http://www.grainger.de/music/composers/matteis.html"} +{"d:Title": "Matteo da Perugia (Matheus de Perusio)", "d:Description": "Brief biography noting major stylistic points with partial discography and period-related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Matteo_da_Perugia", "url": "http://www.hoasm.org/IIIF/Matteo.html"} +{"d:Title": "Mattheson, Johann", "d:Description": "Biography from Timothy Smith's Sojourn pages with internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mattheson,_Johann", "url": "http://jan.ucc.nau.edu/~tas3/mattheson.html"} +{"d:Title": "Johann Mattheson", "d:Description": "Biography tracing his musical and political careers with information on related people and his historical period from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Mattheson,_Johann", "url": "http://www.hoasm.org/XID/Mattheson.html"} +{"d:Title": "Johann Mattheson", "d:Description": "Wikipedia article with summary of life and works and internal links to related material.", "topic": "Top/Arts/Music/Composition/Composers/M/Mattheson,_Johann", "url": "http://en.wikipedia.org/wiki/Johann_Mattheson"} +{"d:Title": "Johann Mattheson (1681-1764)", "d:Description": "Biographical sketch, reviews, links, recordings, books, and music editions from NewOlde.com.", "topic": "Top/Arts/Music/Composition/Composers/M/Mattheson,_Johann", "url": "http://www.newolde.com/mattheson.htm"} +{"d:Title": "Johann Mattheson", "d:Description": "Brief biography, quote, chronology of life and major works, and portrait from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/M/Mattheson,_Johann", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=91"} +{"d:Title": "Matthews, Colin", "d:Description": "Biography, links, complete works list by genre, and discography, from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/M/Matthews,_Colin", "url": "http://www.composers21.com/compdocs/matthwsc.htm"} +{"d:Title": "Colin Matthews", "d:Description": "Filmography with the Internet Movie Database including the use of his works in film and his own on-camera appearances. Includes biographical notes.", "topic": "Top/Arts/Music/Composition/Composers/M/Matthews,_Colin", "url": "http://www.imdb.com/name/nm1240439/"} +{"d:Title": "David Matthews", "d:Description": "Biographical material, links, complete works list, and discography from the Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/M/Matthews,_David", "url": "http://composers21.com/compdocs/matthwsd.htm"} +{"d:Title": "David Matthews", "d:Description": "Brief filmography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Matthews,_David", "url": "http://www.imdb.com/name/nm1174752/"} +{"d:Title": "David Matthews: In The Dark Time and Chaconne", "d:Description": "Favorable CD review from the April 2001 MusicWeb(UK) by Gary Higginson also shows a bit of the composer's background.", "topic": "Top/Arts/Music/Composition/Composers/M/Matthews,_David", "url": "http://www.musicweb-international.com/classrev/2001/Apr01/matthews.htm"} +{"d:Title": "David Matthews", "d:Description": "Entry with Musicalics includes major works and a short summary of his life.", "topic": "Top/Arts/Music/Composition/Composers/M/Matthews,_David", "url": "http://musicalics.com/en/node/84680"} +{"d:Title": "Jacques Mauduit", "d:Description": "Brief biography noting relationship with Ba\u00eff and works in the musique mesur\u00e9e style with portrait and links from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Mauduit,_Jacques", "url": "http://www.hoasm.org/IVI/Mauduit.html"} +{"d:Title": "Rudolf Mauersberger", "d:Description": "Short biography emphasizing his work as conductor and interpreter of Bach with photographs and summary of his own works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mauersberger,_Rudolf", "url": "http://www.bach-cantatas.com/Bio/Mauersberger-Rudolf.htm"} +{"d:Title": "Rudolf Mauersberger", "d:Description": "Listing at The Lied and Art Song Texts Page with lyrics of selected works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mauersberger,_Rudolf", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=7043"} +{"d:Title": "Nicholas Maw", "d:Description": "Wikipedia article noting major works with internal links to related people.", "topic": "Top/Arts/Music/Composition/Composers/M/Maw,_John_Nicholas", "url": "http://en.wikipedia.org/wiki/Nicholas_Maw"} +{"d:Title": "Maw, Nicholas", "d:Description": "Entry with the Gilder-MusicWeb Dictionary of Composers includes brief biography and chronological list of works.", "topic": "Top/Arts/Music/Composition/Composers/M/Maw,_John_Nicholas", "url": "http://www.musicweb-international.com/Classpedia/Maw.htm"} +{"d:Title": "Nicholas Maw (b. 1935)", "d:Description": "Score reviews from the July 2001 MusicWeb(UK) of his Violin Concerto, Sonata for Solo Violin, and Dance Scenes commending the beauty of all and the virtuosity needed by violinists to play his works.", "topic": "Top/Arts/Music/Composition/Composers/M/Maw,_John_Nicholas", "url": "http://www.musicweb-international.com/classrev/2001/July01/Mawscore.htm"} +{"d:Title": "Nicholas Maw (1935-)", "d:Description": "Listing at The Lied and Art Song Texts Page with lyrics to selected vocal works.", "topic": "Top/Arts/Music/Composition/Composers/M/Maw,_John_Nicholas", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5008"} +{"d:Title": "Peter Maxwell Davies", "d:Description": "Filmography and biography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/M/Maxwell_Davies,_Peter", "url": "http://www.imdb.com/name/nm0203903/"} +{"d:Title": "Frederick May", "d:Description": "Biography from the Contemporary Music Centre of Ireland with complete works list, recordings and scores for sale, related reading, and profile in PDF format.", "topic": "Top/Arts/Music/Composition/Composers/M/May,_Frederick", "url": "https://www.cmc.ie/composers/frederick-may"} +{"d:Title": "John Maynard", "d:Description": "Biography speculating on his middle career, mentioning major works, and providing partial discography, and links to further period information from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Maynard,_John", "url": "http://www.hoasm.org/IVM/Maynard.html"} +{"d:Title": "John Maynard: The XII Wonders of the World", "d:Description": "Collection of MIDI audio files of his most noted work listed individually and available as one ZIP file.", "topic": "Top/Arts/Music/Composition/Composers/M/Maynard,_John", "url": "http://kulturserver-bayern.de/home/harald-lillmeyer/Texte/Downloads/MIDI/Maynard/MidiMaynard.html"} +{"d:Title": "Ascanio Mayone", "d:Description": "Biography, influences, partial discography, and links to period-related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayone,_Ascanio", "url": "http://www.hoasm.org/VF/Mayone.html"} +{"d:Title": "Ascanio Mayone: Diversi Capricci da Sonare", "d:Description": "Reviews of a CD by Christopher Stembridge using organ and harpsichord to play various ricercars, canzonas, madrigali passaggiati, toccatas, and variations.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayone,_Ascanio", "url": "http://www.christopherstembridge.org/mayone.htm"} +{"d:Title": "Mayr's Cantata for Beethoven", "d:Description": "English lyrics of the tribute he wrote upon hearing of Beethoven's death.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayr,_Johann_Simon", "url": "http://www.lvbeethoven.com/Bio/BiographyCantataMayr.html"} +{"d:Title": "Simon Mayr", "d:Description": "Wikipedia article with biography and internal links to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayr,_Johann_Simon", "url": "http://en.wikipedia.org/wiki/Simon_Mayr"} +{"d:Title": "Johann Simon Mayr", "d:Description": "Biography, portrait, and brief analysis of his \"Grande messa da requiem in sol minore\" from the Alphabetical Survey of Requiem Composers.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayr,_Johann_Simon", "url": "http://www.requiemsurvey.org/index.php?page=showcomposer.php&id=523"} +{"d:Title": "Toshir\u00f4 Mayuzumi", "d:Description": "Filmography involving over 90 motion pictures which he scored or which used his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayuzumi,_Toshir\u00f4", "url": "http://www.imdb.com/name/nm0006191/"} +{"d:Title": "Mr. Toshiro Mayuzumi", "d:Description": "Listing with the Resource Center for Japanese Music includes links to his works on file with the organization.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayuzumi,_Toshir\u00f4", "url": "http://www.musicfromjapan.org/resources/mfjc27.htm"} +{"d:Title": "Toshiro Mayuzumi", "d:Description": "Recommended works and photograph from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mayuzumi,_Toshir\u00f4", "url": "http://musicalics.com/en/node/484730"} +{"d:Title": "Ye Shepherds Tell Me by Joseph Mazzinghi", "d:Description": "Richly illustrated description of the interaction of the song with artist John Singer Sargent's painting \"Carnation, Lily, Lily, Rose.\" Includes lyrics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mazzinghi,_Joseph", "url": "http://www.jssgallery.org/Letters/Notes/Carnation_Lilly/Ye_Shepherds.html"} +{"d:Title": "Domenico Mazzocchi", "d:Description": "Biography noting possible education, main genres, and early use of dynamic indications in printed works from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Mazzocchi,_Domenico", "url": "http://www.hoasm.org/VA/Mazzocchi.html"} +{"d:Title": "John McCabe", "d:Description": "Official site. Publications, events, biography, news, feedback, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/M/McCabe,_John", "url": "http://www.johnmccabe.com/"} +{"d:Title": "John McCabe", "d:Description": "Hyperion Records biography and photograph.", "topic": "Top/Arts/Music/Composition/Composers/M/McCabe,_John", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=mccabe"} +{"d:Title": "John McCabe", "d:Description": "Filmography noting cinematic and television use of his works with some biographical information from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/McCabe,_John", "url": "http://www.imdb.com/name/nm0564463/"} +{"d:Title": "John McCabe", "d:Description": "Biography, note, review.", "topic": "Top/Arts/Music/Composition/Composers/M/McCabe,_John", "url": "http://www.musicsalesclassical.com/composer/short-bio/1023"} +{"d:Title": "John Blackwood McEwan", "d:Description": "Short analysis of his musical style, including Scottish folk themes, with brief life from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/McEwan,_John_Blackwood,_Sir", "url": "http://musicalics.com/en/node/80258"} +{"d:Title": "McPhee, Colin: Tabuh-Tabuhan", "d:Description": "Review of 1995 recording notes his problems with drinking but lauds the work as ahead of its time.", "topic": "Top/Arts/Music/Composition/Composers/M/McPhee,_Colin_Carhart", "url": "http://wfmu.org/~kennyg/popular/reviews/mcphee.html"} +{"d:Title": "Colin McPhee", "d:Description": "Wikipedia article noting homosexuality, studies, output, marriage, associates, and alcoholism.", "topic": "Top/Arts/Music/Composition/Composers/M/McPhee,_Colin_Carhart", "url": "http://en.wikipedia.org/wiki/Colin_McPhee"} +{"d:Title": "Portrait of Composer Colin McPhee", "d:Description": "MP3 audio narrative by Charles Amirkhanian and Warren Van Orden with commentary by Henry Cowell and Lou Harrison. Includes McPhee and Benjamin Britten performing \u201cTabuh Teluh\" plus orchestral works.", "topic": "Top/Arts/Music/Composition/Composers/M/McPhee,_Colin_Carhart", "url": "http://www.archive.org/details/ColinMcPhee"} +{"d:Title": "Colin McPhee", "d:Description": "List of major works and summary of his life including teachers, artists he influenced, and academic pursuits from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/McPhee,_Colin_Carhart", "url": "http://musicalics.com/en/node/80267"} +{"d:Title": "Meale, Richard Graham", "d:Description": "Short biography from the Gilder-MusicWeb Dictionary of Composers with chronological list of works.", "topic": "Top/Arts/Music/Composition/Composers/M/Meale,_Richard_Graham", "url": "http://www.musicweb-international.com/Classpedia/Meale.htm"} +{"d:Title": "Richard Meale", "d:Description": "Picture, biography, list of works and recordings, and contact information from the Australian Music Centre.", "topic": "Top/Arts/Music/Composition/Composers/M/Meale,_Richard_Graham", "url": "http://www.australianmusiccentre.com.au/artist/meale-richard"} +{"d:Title": "Richard Meale", "d:Description": "Summary list of works and life history with internal links to related people from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Meale,_Richard_Graham", "url": "http://musicalics.com/en/node/93094"} +{"d:Title": "Get Tartuffified", "d:Description": "Self-confessed non-opera buff analyzes and reviews a performance for the Palo Alto (CA) Weekly.", "topic": "Top/Arts/Music/Composition/Composers/M/Mechem,_Kirke", "url": "http://www.paloaltoonline.com/weekly/morgue/listings/2001_Feb_23.OPERA.html"} +{"d:Title": "Kirke Mechem", "d:Description": "Biography, work list and related information.", "topic": "Top/Arts/Music/Composition/Composers/M/Mechem,_Kirke", "url": "http://www.musicsalesclassical.com/composer/short-bio/1033"} +{"d:Title": "Medtner, Nikolai Karlovich", "d:Description": "(1880-1951), Russia. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays, from Steven G. Estrella.", "topic": "Top/Arts/Music/Composition/Composers/M/Medtner,_Nikolai_Karlovich", "url": "http://stevenestrella.com/composers/composerfiles/medtner1951.html"} +{"d:Title": "Nikolai Medtner", "d:Description": "Summary biography, photograph, partial works list, and RAM audio sample from Boosey and Hawkes. Includes quotes by and about him.", "topic": "Top/Arts/Music/Composition/Composers/M/Medtner,_Nikolai_Karlovich", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=3335"} +{"d:Title": "Medtner, Nikolai", "d:Description": "List of solo and orchestral piano works with information about each including duration, publisher, date, and key plus timeline. From Pianopedia.", "topic": "Top/Arts/Music/Composition/Composers/M/Medtner,_Nikolai_Karlovich", "url": "http://www.pianopedia.com/cp_55_w_medtner.aspx"} +{"d:Title": "Nikolai Medtner", "d:Description": "Free MP3 and MP4 audio plus links to related material from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/M/Medtner,_Nikolai_Karlovich", "url": "http://www.classiccat.net/medtner_n/"} +{"d:Title": "Nikolay Karlovich Medtner (1880-1951)", "d:Description": "Biographical sketch emphasizing his affinity for the piano with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Medtner,_Nikolai_Karlovich", "url": "http://www.naxos.com/composerinfo/679.htm"} +{"d:Title": "Nikolai Karlovich Medtner (1880-1951)", "d:Description": "Listing at The Lied and Art Song Texts Page with large number of vocal lyrics, especially in Russian and German, including two Goethe song cycles.", "topic": "Top/Arts/Music/Composition/Composers/M/Medtner,_Nikolai_Karlovich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5021"} +{"d:Title": "Louis de Meester", "d:Description": "Filmography noting the televised and cinematic use of his works plus his own efforts as sound editor. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Meester,_Louis_August_Edmond_Hendrik_de", "url": "http://www.imdb.com/name/nm1059791/"} +{"d:Title": "Centre Belge de Documentation Musicale: Meester, Louis De", "d:Description": "Biographical information and commentary on his education, style, and works from an affiliate of the Belgian Documentation Centre of Contemporary Music. Includes photograph and selected works list.", "topic": "Top/Arts/Music/Composition/Composers/M/Meester,_Louis_August_Edmond_Hendrik_de", "url": "http://www.cebedem.be/en/composers/m/103-meester-louis-de"} +{"d:Title": "Etienne Mehul", "d:Description": "Listing with Find a Grave includes birth and death information, portrait, and picture of cemetery.", "topic": "Top/Arts/Music/Composition/Composers/M/M\u00e9hul,_\u00c9tienne-Nicolas", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7762&pt=Etienne+Mehul"} +{"d:Title": "\u00c9tienne Nicolas M\u00e9hul", "d:Description": "Filmography linked to televised production of his opera La L\u00e9gende de Joseph en \u00c9gypte. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/M\u00e9hul,_\u00c9tienne-Nicolas", "url": "http://www.imdb.com/name/nm0617579/"} +{"d:Title": "Etienne M\u00e9hul", "d:Description": "Wikipedia entry based on the 1911 Encyclopaedia Britannica notes his special affinity for dramatic and religious works.", "topic": "Top/Arts/Music/Composition/Composers/M/M\u00e9hul,_\u00c9tienne-Nicolas", "url": "http://en.wikipedia.org/wiki/Etienne_M%C3%A9hul"} +{"d:Title": "\u00c9tienne-Nicolas M\u00e9hul", "d:Description": "Listing at the Lied and Art Songs Text Page with French lyrics.", "topic": "Top/Arts/Music/Composition/Composers/M/M\u00e9hul,_\u00c9tienne-Nicolas", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5024"} +{"d:Title": "Wilfrid Mellers", "d:Description": "Brief biography, photograph, and articles by or about him published at Music and Vision Daily.", "topic": "Top/Arts/Music/Composition/Composers/M/Mellers,_Wilfrid_Howard", "url": "http://www.mvdaily.com/mellers/"} +{"d:Title": "Wilfred Mellers", "d:Description": "Filmography listing his acting credit in The Compleat Beatles including brief biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Mellers,_Wilfrid_Howard", "url": "http://www.imdb.com/name/nm0577930/"} +{"d:Title": "Wilfred Mellers", "d:Description": "Wikipedia entry with biographical information, to related material and people, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mellers,_Wilfrid_Howard", "url": "http://en.wikipedia.org/wiki/Wilfrid_Mellers"} +{"d:Title": "Mellers, Wilfrid Howard", "d:Description": "Entry in the Gilder-MusicWeb Dictionary of Composers with biographical sketch and list of works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mellers,_Wilfrid_Howard", "url": "http://www.musicweb-international.com/Classpedia/Mellers.htm"} +{"d:Title": "Interview with Wilfrid Mellers", "d:Description": "Chris Villars talks with him about his relationship with Morton Feldman, especially at the University of York.", "topic": "Top/Arts/Music/Composition/Composers/M/Mellers,_Wilfrid_Howard", "url": "http://www.cnvill.net/mfmellr2.htm"} +{"d:Title": "Wilfrid Howard Mellers", "d:Description": "Listing at the Lied and Art Songs Text Page with lyrics to selected vocal works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mellers,_Wilfrid_Howard", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5031"} +{"d:Title": "Domenico Maria Melli", "d:Description": "Listing at the Lied and Art Songs Text Page with lyrics to selected works.", "topic": "Top/Arts/Music/Composition/Composers/M/Melli,_Domenico_Maria", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=1833"} +{"d:Title": "Arnold Mendelssohn", "d:Description": "Listing at the Lied and Art Songs Text Page with selected lyrics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn,_Arnold_Ludwig", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5033"} +{"d:Title": "Mfiles", "d:Description": "Career highlights and selected music examples that can be seen as sheet music in Sibelius format.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.mfiles.co.uk/Composers/Felix-Mendelssohn.htm"} +{"d:Title": "Find A Grave: Felix Mendelssohn", "d:Description": "A biography and musical commentary of the composers life. Pictures of his gravesite with an interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=10315&pt=Felix+Mendelssohn"} +{"d:Title": "Mutopia", "d:Description": "Collection of public domain scores and audio. Includes PDF, PNG, MIDI, and PS formats.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.mutopiaproject.org/cgibin/make-table.cgi?Composer=Mendelssohn-BartholdyF&preview=1"} +{"d:Title": "Internet Public Library", "d:Description": "Biography listed with others of the Romantic Era. Includes portrait.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.ipl.org/div/mushist/rom/index.htm#mendel"} +{"d:Title": "Humanities Web", "d:Description": "Brief biography, key works, suggested reading and recordings, timeline, quotes, links, and additional resources.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=45"} +{"d:Title": "Kunst der Fuge", "d:Description": "Biography and MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.kunstderfuge.com/mendelssohn.htm"} +{"d:Title": "Felix Mendelssohn-Bartholdy - Wikipedia", "d:Description": "Article with biography, musical influence, bibliography, portrait, internal references to related people and topics, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://en.wikipedia.org/wiki/Felix_Mendelssohn-Bartholdy"} +{"d:Title": "Classical Archives: Felix Mendelssohn-Bartholdy", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.classicalarchives.com/composer/2996.html"} +{"d:Title": "Classic Cat", "d:Description": "Biographical information, links, and directory of available MP3 audio files.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.classiccat.net/mendelssohn-bartholdy_f"} +{"d:Title": "IMDb: Mendelssohn Filmography", "d:Description": "Lists movies in which his music was featured.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.imdb.com/name/nm0006196/"} +{"d:Title": "The Rec Music Foundation", "d:Description": "List of vocal works with German lyrics, with English translations available.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5034"} +{"d:Title": "Classical Net: Felix Mendelssohn", "d:Description": "Recommended biographies, recommended scores, CD reviews, a works list, and audio samples in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn-Bartholdy,_Jakob_Ludwig_Felix", "url": "http://www.classical.net/music/comp.lst/mendelssohn.php"} +{"d:Title": "Fanny Caecilie Hensel", "d:Description": "Find a Grave listing with biographical sketch, portrait, pictures of tombstone, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn_Hensel,_Fanny_C\u00e4cilie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6825679&pt=Fanny+Hensel"} +{"d:Title": "Fanny Mendelssohn", "d:Description": "Wikipedia article covering major aspects of musical education, life, and works with internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn_Hensel,_Fanny_C\u00e4cilie", "url": "http://en.wikipedia.org/wiki/Fanny_Mendelssohn"} +{"d:Title": "Fanny C\u00e9cile Mendelssohn", "d:Description": "Brief account of her life, related people, portrait, and information concerning a screenplay about her.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn_Hensel,_Fanny_C\u00e4cilie", "url": "http://musicalics.com/en/node/80344"} +{"d:Title": "Fanny Mendelssohn-Hensel", "d:Description": "Entry at the Lied and Art Songs Text page with list of vocal works linked to German lyrics, most with English translations.", "topic": "Top/Arts/Music/Composition/Composers/M/Mendelssohn_Hensel,_Fanny_C\u00e4cilie", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5037"} +{"d:Title": "Willem Mengelberg", "d:Description": "Short biography focused on his conducting career with several photographs.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Josef_Willem", "url": "http://www.bach-cantatas.com/Bio/Mengelberg-Willem.htm"} +{"d:Title": "Willem Mengelberg", "d:Description": "Wikipedia article with summary of life, related topics and people, and special relationship with Mahler's works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Josef_Willem", "url": "http://en.wikipedia.org/wiki/Willem_Mengelberg"} +{"d:Title": "Willem Mengelberg", "d:Description": "Filmography noting use of his works in cinema and his own on-screen appearances from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Josef_Willem", "url": "http://www.imdb.com/name/nm0579572/"} +{"d:Title": "Kurt Rudolf Mengelberg", "d:Description": "Listing at the Lied and Arts Songs Text Page with lyrics to selected vocal works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Kurt_Rudolf", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5036"} +{"d:Title": "Misha Mengelberg", "d:Description": "Filmography with films using his works and on-screen appearances from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Misha", "url": "http://www.imdb.com/name/nm0579570/"} +{"d:Title": "Misha Mengelberg Quartet: Four In One", "d:Description": "Review of the SACD recording praising the quality of the recording's content and engineering and giving some insight into the artist's life.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Misha", "url": "http://www.musictap.net/Reviews/MengelbergMishaQuartetFourInOneSACD.html"} +{"d:Title": "Mischa Mengelberg", "d:Description": "Biography and personality profile noting his preference for playing his own works rather than conducting those of others, as did his father and his uncle.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Misha", "url": "http://www.culturekiosque.com/jazz/portrait/mischamengelberg.html"} +{"d:Title": "Misha Mengelberg", "d:Description": "Wikipedia article with biographical and familial information, internal links to related people and material, and external links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Misha", "url": "http://en.wikipedia.org/wiki/Misha_Mengelberg"} +{"d:Title": "Mengelberg Interview", "d:Description": "Conversation with Dan Warburton in 1996 for Paris Transatlantic Magazine includes photographs and review.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Misha", "url": "http://www.paristransatlantic.com/magazine/interviews/mengelberg.html"} +{"d:Title": "Misha Mengelberg", "d:Description": "Overview, detailed biography by Joslyn Layne with copious internal linking, photographs, and discography. Songs and credits may be accessed with free registration from All Music Guide.", "topic": "Top/Arts/Music/Composition/Composers/M/Mengelberg,_Misha", "url": "http://www.allmusic.com/artist/misha-mengelberg-mn0000497368"} +{"d:Title": "Masterworks Concert: Menotti's Amahl and the Night Visitors", "d:Description": "Information about the opera and words about the music by the composer.", "topic": "Top/Arts/Music/Composition/Composers/M/Menotti,_Gian_Carlo", "url": "http://www.andrews.edu/~mack/pnotes/dec691.html"} +{"d:Title": "Dr. Estrella's Incredibly Abridged Dictionary of Composers: Gian Carlo Menotti", "d:Description": "(1911-Living), Italy. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Menotti,_Gian_Carlo", "url": "http://stevenestrella.com/composers/composerfiles/menottiliving.html"} +{"d:Title": "Gian Carlo Menotti", "d:Description": "Filmography at IMDb lists motion pictures and television productions featuring his music.", "topic": "Top/Arts/Music/Composition/Composers/M/Menotti,_Gian_Carlo", "url": "http://www.imdb.com/name/nm0579781/"} +{"d:Title": "Messiaen, Olivier (1908 - 1992), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Messiaen,_Olivier", "url": "http://stevenestrella.com/composers/composerfiles/messiaen1992.html"} +{"d:Title": "Classic Cat: Messiaen", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Messiaen,_Olivier", "url": "http://www.classiccat.net/messiaen_o/"} +{"d:Title": "Classical Archives: Olivier Messiaen", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/M/Messiaen,_Olivier", "url": "http://www.classicalarchives.com/composer/3002.html"} +{"d:Title": "Olivier Messiaen", "d:Description": "Biography, bibliography, discography, list of works, gallery and links. Some previously unpublished material and photos.", "topic": "Top/Arts/Music/Composition/Composers/M/Messiaen,_Olivier", "url": "http://www.oliviermessiaen.org/"} +{"d:Title": "Olivier Messiaen", "d:Description": "Filmography at IMDb includes television production of his opera Saint Fran\u00e7ois d'Assise.", "topic": "Top/Arts/Music/Composition/Composers/M/Messiaen,_Olivier", "url": "http://www.imdb.com/name/nm0582093/"} +{"d:Title": "Meyerbeer Fan Club", "d:Description": "Biography, bibliography, discographies, articles, discussion page and membership information.", "topic": "Top/Arts/Music/Composition/Composers/M/Meyerbeer,_Giacomo", "url": "http://www.meyerbeer.com/"} +{"d:Title": "Meyerbeer, Giacomo (1791 - 1864), Germany/France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Meyerbeer,_Giacomo", "url": "http://stevenestrella.com/composers/composerfiles/meyerbeer1864.html"} +{"d:Title": "Classical Archives: Giacomo Meyerbeer", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/M/Meyerbeer,_Giacomo", "url": "http://www.classicalarchives.com/composer/3003.html"} +{"d:Title": "Mikolaj Radomski", "d:Description": "Detailed biography, speculation on his familiarity with the sophisticated works of Dufay and others, and MIDI audio samples. Compiled by Bogus\u0142aw Krawczyk.", "topic": "Top/Arts/Music/Composition/Composers/M/Miko\u0142aj_z_Radomia", "url": "http://www.completorium.republika.pl/c_mikola.htm"} +{"d:Title": "Milhaud, Darius (1892 - 1974), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Milhaud,_Darius", "url": "http://stevenestrella.com/composers/composerfiles/milhaud1974.html"} +{"d:Title": "Mills College: Darius Milhaud Collection", "d:Description": "Includes many scores, books, recordings, and other related materials.", "topic": "Top/Arts/Music/Composition/Composers/M/Milhaud,_Darius", "url": "http://www.mills.edu/academics/library/special_collections/sc_milhaud.php"} +{"d:Title": "Classical Archives: Darius Milhaud", "d:Description": "Biography, complete files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Milhaud,_Darius", "url": "http://www.classicalarchives.com/composer/3012.html"} +{"d:Title": "Darius Milhaud (1892-1974)", "d:Description": "List of vocal works and links to non-copyrighted texts, some with English translations. From the Lied and Art Song Texts Page.", "topic": "Top/Arts/Music/Composition/Composers/M/Milhaud,_Darius", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5061"} +{"d:Title": "Ludwig Minkus - ABT", "d:Description": "(1826-1917) Biography from the American Ballet Theatre.", "topic": "Top/Arts/Music/Composition/Composers/M/Minkus,_Ludwig", "url": "http://www.abt.org/education/archive/composers/minkus_l.html"} +{"d:Title": "Moeran, Ernest John (1894 - 1950), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Moeran,_Ernest_John", "url": "http://stevenestrella.com/composers/composerfiles/moeran1950.html"} +{"d:Title": "Moeran EJ", "d:Description": "Biography, work list.", "topic": "Top/Arts/Music/Composition/Composers/M/Moeran,_Ernest_John", "url": "http://www.musicweb-international.com/moeran/"} +{"d:Title": "Classical Net - Composers - Moeran", "d:Description": "Biography, note on the Symphony in G Minor.", "topic": "Top/Arts/Music/Composition/Composers/M/Moeran,_Ernest_John", "url": "http://www.classical.net/music/comp.lst/acc/moeran.php"} +{"d:Title": "Molter, Johann Melchior", "d:Description": "Database of his clarinet music with comments.", "topic": "Top/Arts/Music/Composition/Composers/M/Molter,_Johann_Melchior", "url": "http://www.woodwind.org/Databases/Composers/Names/006980.html"} +{"d:Title": "Monteverdi, Claudio", "d:Description": "Brief biographical note.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://jan.ucc.nau.edu/~tas3/monteverdi.html"} +{"d:Title": "Milestones of the Millennium: Claudio Monteverdi", "d:Description": "Brief article from NPR.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://npr.org/programs/specials/milestones/990519.motm.monteverdi.html"} +{"d:Title": "Monteverdi, Claudio (1567 - 1643), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://stevenestrella.com/composers/composerfiles/monteverdi1643.html"} +{"d:Title": "Classic Cat: Monteverdi", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://www.classiccat.net/monteverdi_c/"} +{"d:Title": "Claudio Monteverdi: The First Truly Great Composer", "d:Description": "Brief biography, key works, timeline, quotes, recommended recordings, and additional resources and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=20"} +{"d:Title": "Claudio Monteverdi", "d:Description": "Biographical listing with others from the Baroque Period with portrait and RA audio files. From the Internet Public Library's Music History 102.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://www.ipl.org/div/mushist/bar/index.htm#monteverdi"} +{"d:Title": "Claudio Monteverdi", "d:Description": "Wikipedia article positing him as a major transitional character between the Renaissance and Baroque periods. Includes portrait, summary of works, discography, internal references, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://en.wikipedia.org/wiki/Claudio_Monteverdi"} +{"d:Title": "Claudio Monteverdi", "d:Description": "Find A Grave listing with biographical material, portrait, pictures of his grave in Basilica di Santa Maria Gloriosa dei Frari (also the burial site of Antonio Canova and Titian), and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7571&pt=Claudio+Monteverdi"} +{"d:Title": "Claudio Monteverdi", "d:Description": "Filmography showing cinematic and televised use of his works and productions of his operas from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://www.imdb.com/name/nm0599669/"} +{"d:Title": "Classical Archives - Claudio Monteverdi", "d:Description": "Biography and works offered in MIDI.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://www.classicalarchives.com/composer/3032.html"} +{"d:Title": "Claudio Monteverdi (1567-1643)", "d:Description": "Listing at the Lied and Art Song Texts Page with links to Italian texts, most with English translations.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5090"} +{"d:Title": "Classical Net - Basic Repertoire List: Monteverdi", "d:Description": "Representative works with discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Monteverdi,_Claudio_Giovanni_Antonio", "url": "http://www.classical.net/music/comp.lst/monteverdi.php"} +{"d:Title": "Moondog (Louis Hardin)", "d:Description": "A list of links from \"Psyche van het Folk\" on Radio Centraal in Antwerp.", "topic": "Top/Arts/Music/Composition/Composers/M/Moondog", "url": "http://psychevanhetfolk.homestead.com/Moondog.html"} +{"d:Title": "Perfect Sound Forever: Moondog", "d:Description": "An interview by Jason Gross about his music, inspirations, and roots.", "topic": "Top/Arts/Music/Composition/Composers/M/Moondog", "url": "http://www.furious.com/perfect/moondog.html"} +{"d:Title": "Moondog's Corner", "d:Description": "Official Website. Includes a biography, discography, list of compositions, articles, poetry, pictures, and related links. [English and German]", "topic": "Top/Arts/Music/Composition/Composers/M/Moondog", "url": "http://www.moondogscorner.de/"} +{"d:Title": "All Music Guide: Moondog", "d:Description": "Includes a biography, discography and related links.", "topic": "Top/Arts/Music/Composition/Composers/M/Moondog", "url": "http://www.allmusic.com/artist/moondog-mn0000496525"} +{"d:Title": "Babydoe: Douglas Moore", "d:Description": "Short biography with photograph.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Douglas_Stuart", "url": "http://www.babydoe.org/moore.htm"} +{"d:Title": "Dr. Estrella's Incredibly Abridged Dictionary of Composers: Douglas Moore", "d:Description": "(1893-1969), United States. Includes biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Douglas_Stuart", "url": "http://stevenestrella.com/composers/composerfiles/moore1969.html"} +{"d:Title": "Douglas Moore", "d:Description": "Filmography noting his scoring of the documentary Power and the Land from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Douglas_Stuart", "url": "http://www.imdb.com/name/nm0601144/"} +{"d:Title": "US Opera: The Ballad of Baby Doe", "d:Description": "Information about the opera by Douglas Moore including cast of characters, synopsis, performance history and short biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Douglas_Stuart", "url": "http://www.usopera.com/operas/ballad.html"} +{"d:Title": "US Opera: Gallantry", "d:Description": "Opera by Douglas Moore. Offers information about the opera including cast of characters, synopsis, performance history and short biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Douglas_Stuart", "url": "http://www.usopera.com/operas/gallantry.html"} +{"d:Title": "Douglas Moore (1893-1969)", "d:Description": "Titles and lyrics of selected vocal works from The Lied and Art Song Texts Page.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Douglas_Stuart", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5095"} +{"d:Title": "Undine Smith Moore", "d:Description": "Biographical information emphasizing her ability to inspire other's musical abilities and honoring her as one of the Notable Virginia Women.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Undine_Smith", "url": "http://www.lva.virginia.gov/exhibits/destiny/notable/smith.htm"} +{"d:Title": "Undine Smith Moore", "d:Description": "Listing at the Lied and Art Songs Text Page with public domain lyrics to selected works.", "topic": "Top/Arts/Music/Composition/Composers/M/Moore,_Undine_Smith", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5097"} +{"d:Title": "Moravec, Paul", "d:Description": "(1957- )Winner of the 2004 Pulitzer Prize in music. Biography, picture, CDs, works, and concerts.", "topic": "Top/Arts/Music/Composition/Composers/M/Moravec,_Paul", "url": "http://paulmoravec.com/"} +{"d:Title": "A.U. Faculty: Moravec", "d:Description": "List of articles and compositions (1999-2000).", "topic": "Top/Arts/Music/Composition/Composers/M/Moravec,_Paul", "url": "http://www.adelphi.edu/faculty/profiles/profile.php?PID=0059"} +{"d:Title": "Thomas Morley - A Plain and Easy Introduction", "d:Description": "Some quotes from the book.", "topic": "Top/Arts/Music/Composition/Composers/M/Morley,_Thomas", "url": "http://www.cappella.demon.co.uk/music/morley.html"} +{"d:Title": "HOASM: Thomas Morley", "d:Description": "Biography from Here on a Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/M/Morley,_Thomas", "url": "http://www.hoasm.org/IVM/Morley.html"} +{"d:Title": "Classical Archives: Thomas Morley", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/M/Morley,_Thomas", "url": "http://www.classicalarchives.com/composer/3040.html"} +{"d:Title": "Ennio Morricone Redux by Alan Bishop", "d:Description": "Overview and analysis of the entire body of works composed for westerns with personal preferences and ratings included.", "topic": "Top/Arts/Music/Composition/Composers/M/Morricone,_Ennio", "url": "http://www.furious.com/perfect/morricone.html"} +{"d:Title": "Ennio Morricone: Film Composer", "d:Description": "Entry from mfiles with biographical sketch, partial filmography, recommended recordings, and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Morricone,_Ennio", "url": "http://www.mfiles.co.uk/Composers/Ennio-Morricone.htm"} +{"d:Title": "Armagan's Morricone Page", "d:Description": "Offers more than 200 short sound clips from Morricone's works. These clips categorized in an idiosyncratic and humorous way with reference to Borges.", "topic": "Top/Arts/Music/Composition/Composers/M/Morricone,_Ennio", "url": "http://mimoza.marmara.edu.tr/~acakir/My%20Morricone%20Page.htm"} +{"d:Title": "Ennio Morricone", "d:Description": "Wikipedia article focused on his musical career and prolific output with internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/M/Morricone,_Ennio", "url": "http://en.wikipedia.org/wiki/Ennio_Morricone"} +{"d:Title": "Ennio Morricone", "d:Description": "Filmography, movie ratings, news, forum, posters, photographs, and links from Rotten Tomatoes.", "topic": "Top/Arts/Music/Composition/Composers/M/Morricone,_Ennio", "url": "http://www.rottentomatoes.com/celebrity/ennio_morricone/"} +{"d:Title": "Ennio Morricone", "d:Description": "Filmography at IMDb with biography, awards and honors, and composer, conductor, orchestrator, actor, and related credits for over 400 films and television shows.", "topic": "Top/Arts/Music/Composition/Composers/M/Morricone,_Ennio", "url": "http://www.imdb.com/name/nm0001553/"} +{"d:Title": "Ennio Morricone", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works.", "topic": "Top/Arts/Music/Composition/Composers/M/Morricone,_Ennio", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5108"} +{"d:Title": "Association Mozart Italia", "d:Description": "Italian organization dedicated to developing the study of the life and works of Mozart. Includes objectives, structure, history, gallery and news.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.mozartitalia.org/"} +{"d:Title": "A Visit to Maria Anna Thekla Mozart - Wolfgang's B\u00e4sle", "d:Description": "An account of the cousin of the composer, written in the first person in German and English versions.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.sumas.de/"} +{"d:Title": "Catholic Encyclopedia: Johann Chrysostomus Wolfgang Amadeus Mozart", "d:Description": "Biography of the composer.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.newadvent.org/cathen/10623a.htm"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Includes Biography Pictures, Music and a Fan Club", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.mozart.8m.com/"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Includes pictures and biography.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://wamozart.8m.com/"} +{"d:Title": "The Mozart Project", "d:Description": "Life, times and music: complete K\u00f6chel listing, bibliography, biography, links, and commentary on individual compositions.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.mozartproject.org/"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Brief biography emphasizing his connections with Freemasonry.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://freemasonry.bcy.ca/biography/mozart_a/mozart_a.html"} +{"d:Title": "Find a Grave: Wolfgang Amadeus Mozart", "d:Description": "A brief biography on the composer, as well as information about his death and grave.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=745"} +{"d:Title": "Wolfgang Amadeus Mozart: An Overview", "d:Description": "Entry at mfiles with career overview and links to related composers, plus music examples presented as Sheet Music, MIDI audio, and MP3 files.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.mfiles.co.uk/Composers/Wolfgang-Amadeus-Mozart.htm"} +{"d:Title": "Schiller Institute: Bach, Mozart, and the Musical Midwife", "d:Description": "Speculation preceding examination of known facts about Amadeus transcribing music by Sebastian. Includes looks at other related composers and certain theoretical studies.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://schillerinstitute.org/music/m_rasmus_801.html"} +{"d:Title": "WAMozartFan.com", "d:Description": "Includes a biography, images, list of the composers works, MIDI audio files, links, and message boards.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.wamozartfan.com/"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Biography with musical examples, articles, audio (MP3) files, downloadable documents, catalogue by genre and by Koechel number, critique of the movie \"Amadeus\".", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.studio-mozart.com/mozart/index.htm"} +{"d:Title": "Mozart Immortal", "d:Description": "Fan-site. Section of art, letters from Mozart, quotes, and information.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.angelfire.com/music5/mozartlives/"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Directory of free mp3s that have been made available by the performing artists sorted by name, genre, and year. From Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.classiccat.net/mozart_wa/"} +{"d:Title": "Artist Direct: Wolfgang Amadeus Mozart", "d:Description": "Biography, links to other sites, and audio downloads.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,471012,00.html"} +{"d:Title": "Mozart.com - Mozart's 250th Birthday", "d:Description": "A worldwide center for Mozart's 250th Birthday. Making Mr. W. Amadeus Mozart relevant to contemporary society, a cultural dedication.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.mozart.com/"} +{"d:Title": "NMA - Neue Mozart-Ausgabe", "d:Description": "A digitized facsimile edition of most of Mozart's instrumental and vocal music.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://dme.mozarteum.at/"} +{"d:Title": "Mozart's Magical Musical Life", "d:Description": "The story of the composer's life told in a fairytale form. Includes MIDIs of various compositions.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.stringsmusicfestival.com/m2m/1once.htm"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Filmography at IMDb from 1922 to the present lists some 300 movies and television programs which have used or featured his music. Includes biography and related links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.imdb.com/name/nm0003665/"} +{"d:Title": "Classical Net: Wolfgang Amad\u00e9 Mozart", "d:Description": "Biography, discography, and complete updated Koechel catalog of works.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://www.classical.net/music/comp.lst/mozartwa.php"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Brief biography, portrait, evaluation of his talent, and recommended CDs and videos.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus", "url": "http://donlevi.tripod.com/mozart.html"} +{"d:Title": "Mozart Studies Online", "d:Description": "Essays dealing with different aspects of the music, as well as midi files and links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/Critiques", "url": "http://www.asahi-net.or.jp/~rb5h-ngc/index.htm"} +{"d:Title": "Festival Mozart Open", "d:Description": "Includes the National Marionette Theatre, The Opera Mozart and others. From the Czech Republic.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/Events", "url": "http://www.mozart.cz/"} +{"d:Title": "Midsummer Mozart Festival", "d:Description": "Includes information about the event, schedule, and where to purchase tickets.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/Events", "url": "http://www.midsummermozart.org/"} +{"d:Title": "Wolfgang Amadeus Mozart", "d:Description": "Live recordings in ASX format, MIDI files, timeline, illustrated biography, historical context, ZIP archives for paid subscribers, performer information.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/MIDIs", "url": "http://www.classicalarchives.com/mozart.html"} +{"d:Title": "The Mozart Page", "d:Description": "Sequences prepared by various artists, illustrated biography, links to other composers from Midiworld.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/MIDIs", "url": "http://midiworld.com/mozart.htm"} +{"d:Title": "Kunst der Fuge | Wolfgang Amadeus Mozart", "d:Description": "List of all the fugues and Bach transcriptions. Portrait, MIDI files, and WMA/MP3 files from featured artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/MIDIs", "url": "http://www.kunstderfuge.com/mozart.htm"} +{"d:Title": "Mozart Effect Resource Center", "d:Description": "Sales of books, CDs, and seminars.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/Mozart_Effect", "url": "http://www.mozarteffect.com/"} +{"d:Title": "Haydn/Mozart String Quartet Quiz", "d:Description": "Tests ability to distinguish between quartets written by Haydn and Mozart.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/Specific_Works", "url": "http://qq.themefinder.org/"} +{"d:Title": "Mozart Requiem", "d:Description": "Text and composition history of the requiem; other Mozart sacred music; Mozart links.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/Specific_Works/Choral/Requiem", "url": "http://www.cco.caltech.edu/~tan/Mozartreq/mozartpage.html"} +{"d:Title": "Opera Glass: Wolfgang Amadeus Mozart", "d:Description": "Chronological list of operas, some with libretto", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Chrysostom_Wolfgang_Amadeus/Specific_Works/Opera", "url": "http://opera.stanford.edu/Mozart/main.html"} +{"d:Title": "4th International Violin Competition Leopold Mozart", "d:Description": "The International Violin Competition Leopold Mozart, which takes place every four years in Augsburg/Germany, belongs to the established violin competitions of the world.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Georg_Leopold", "url": "http://www.leopold-mozart-competition.de/"} +{"d:Title": "Nancy November: A French Edition of Leopold Mozart's Violinschule(1756)", "d:Description": "A French Edition of Leopold Mozart's Violinschule (1756)", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Georg_Leopold", "url": "http://www.otago.ac.nz/DeepSouth/vol2no3/nancy1.html"} +{"d:Title": "Mozart, Leopold: Biography", "d:Description": "Short spiel.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Georg_Leopold", "url": "http://www2.nau.edu/~tas3/lmozart.html"} +{"d:Title": "Mozart, Leopold (1719 - 1787), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Georg_Leopold", "url": "http://stevenestrella.com/composers/composerfiles/mozart1787.html"} +{"d:Title": "Leopold Mozart", "d:Description": "Biography from the Here Of A Sunday Morning radio program with background information, accomplishments, and relationship with his children Amadeus and Nannerl.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Georg_Leopold", "url": "http://www.hoasm.org/XIIC/MozartL.html"} +{"d:Title": "Classical Archives: Leopold Mozart", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/M/Mozart,_Johann_Georg_Leopold", "url": "http://www.classicalarchives.com/composer/3051.html"} +{"d:Title": "Gordon Mumma", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Mumma,_Gordon", "url": "http://www.lovely.com/bios/mumma.html"} +{"d:Title": "Ian Munro", "d:Description": "Site includes tour dates and downloads.", "topic": "Top/Arts/Music/Composition/Composers/M/Munro,_Ian", "url": "http://www.ianmunromusic.com/"} +{"d:Title": "Thea Musgrave", "d:Description": "Information on her life and work with internal and external links to related material from about.com.", "topic": "Top/Arts/Music/Composition/Composers/M/Musgrave,_Thea", "url": "http://womenshistory.about.com/library/bio/blbio_musgrave_thea.htm"} +{"d:Title": "Sequenza21: Musgrave", "d:Description": "Biographical and musicological article written in anticipation of Musgrave's 75th birthday and other articles from the contemporary classical weekly.", "topic": "Top/Arts/Music/Composition/Composers/M/Musgrave,_Thea", "url": "http://www.sequenza21.com/052603.html"} +{"d:Title": "Thea Musgrave (1928 - ) by Francis Routh", "d:Description": "Reprint of material from Contemporary British Music details compositional growth in idiom, style, and structure. Includes links.", "topic": "Top/Arts/Music/Composition/Composers/M/Musgrave,_Thea", "url": "http://www.musicweb-international.com/musgrave/"} +{"d:Title": "Grave of Modest Mussorgsky", "d:Description": "Portrait and photograph of tomb from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/M/Mussorgsky,_Modest_Petrovich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSmpid=360&GRid=1513&"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Mussorgsky,_Modest_Petrovich", "url": "http://www.classiccat.net/mussorgsky_m/"} +{"d:Title": "Modest Mussorgsky", "d:Description": "Wikipedia article with summary of life and works and links to related subjects and people plus portrait.", "topic": "Top/Arts/Music/Composition/Composers/M/Mussorgsky,_Modest_Petrovich", "url": "http://en.wikipedia.org/wiki/Modest_Mussorgsky"} +{"d:Title": "Modest Mussorgsky: Voicing the Spirit of Russia", "d:Description": "Timeline, key works, recommended recordings, and composer quote, from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/M/Mussorgsky,_Modest_Petrovich", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=93"} +{"d:Title": "Classical Archives: Modest Petrovich Mussorgsky", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/M/Mussorgsky,_Modest_Petrovich", "url": "http://www.classicalarchives.com/composer/3058.html"} +{"d:Title": "Pictures at an Exhibition by Modest Mussorgsky", "d:Description": "Explanation of the interaction between the music and the Hartman paintings.", "topic": "Top/Arts/Music/Composition/Composers/M/Mussorgsky,_Modest_Petrovich", "url": "http://h2g2.com/dna/h2g2/alabaster/A536410"} +{"d:Title": "Classical Net", "d:Description": "Recording highlights.", "topic": "Top/Arts/Music/Composition/Composers/M/Mussorgsky,_Modest_Petrovich", "url": "http://www.classical.net/music/comp.lst/mussorgsky.php"} +{"d:Title": "Nikolai Miaskovski", "d:Description": "Essay on the music.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://kith.org/jimmosk/schissel.html"} +{"d:Title": "Miaskovsky, Nikolai Yakovlevich (1881 - 1950), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://stevenestrella.com/composers/composerfiles/miaskovsky1950.html"} +{"d:Title": "Nikolay Myaskovsky", "d:Description": "Details all aspects of his life and works in English and Russian with illustrations, links, and guest book.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://myaskovsky.ru/"} +{"d:Title": "Nikolai Myaskovsky", "d:Description": "Wikipedia article with detailed summary of his life including noted students and his influence on others. Includes internal references, links, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://en.wikipedia.org/wiki/Nikolai_Myaskovsky"} +{"d:Title": "Nikolai Myaskovsky", "d:Description": "Find A Grave listing with birth and death dates, biography, portrait photo and pictures of tomb and cemetery, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9466254&pt=Nikolai+Myaskovsky"} +{"d:Title": "Nikolay Myaskovsky", "d:Description": "Brief biographical sketch, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://www.naxos.com/composerinfo/694.htm"} +{"d:Title": "Opus by Miaskovsky", "d:Description": "Annotated work list noting currently lost items with discography.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://home.online.nl/ovar/miasopus.htm"} +{"d:Title": "Nikolai Yakovlevich Miaskovsky", "d:Description": "Summary of his musical output and life with photograph and links. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://musicalics.com/en/node/80364"} +{"d:Title": "Nikolai Yakovlevich Myaskovsky", "d:Description": "Entry at the Lied and Art Songs Text Page with list of works, many linked to Russian texts in Cyrillic and transliteration and some with English translation.", "topic": "Top/Arts/Music/Composition/Composers/M/Myaskovsky,_Nikolai_Yakovlevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5134"} +{"d:Title": "M\u00f8ller, Peter: Work List", "d:Description": "Peter M\u00f8ller, Danish composer (1947 - 1999). Work list from Danacord.", "topic": "Top/Arts/Music/Composition/Composers/M/M\u00f8ller,_Peter", "url": "http://www.danacord.dk/comp-dk/moller-p.html"} +{"d:Title": "The Central Middle Ages (1100-1350)", "d:Description": "Period overview and biographies of individual composers of early music complementing Here Of A Sunday Morning the radio program.", "topic": "Top/Arts/Music/Composition/Composers/Medieval", "url": "http://www.hoasm.org/PeriodII.html"} +{"d:Title": "The Medieval Sound - David Munrow - CD", "d:Description": "Introduction and demonstrations of individual medieval instruments, followed by suites of Renaissance and Elizabethan dances.", "topic": "Top/Arts/Music/Composition/Composers/Medieval", "url": "http://www.baroquecds.com/02Web.html"} +{"d:Title": "Music History 102: The Middle Ages", "d:Description": "Composers, major styles and developments, illustrations, and Real Audio RAM sound files from the Internet Public Library.", "topic": "Top/Arts/Music/Composition/Composers/Medieval", "url": "http://www.ipl.org/div/mushist/middle/index.htm"} +{"d:Title": "Medieval, Renaissance, and Traditional Music", "d:Description": "Collection of MIDI audio files freely downloadable from Kunst der Fuge. Sorted by nationality and author.", "topic": "Top/Arts/Music/Composition/Composers/Medieval", "url": "http://www.kunstderfuge.com/renaissance.htm"} +{"d:Title": "Medieval Period (1200 - 1450)", "d:Description": "Introduces those responsible for developing harmony and added instrumentation to Western music. From The Conservatory at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/Medieval", "url": "http://humanitiesweb.org/human.php?s=c&p=i&a=l&ID=1"} +{"d:Title": "Medieval Music", "d:Description": "Wikipedia article providing an overview of the period with summaries of musical developments plus internal references to related people and topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/Medieval", "url": "http://en.wikipedia.org/wiki/Medieval_music"} +{"d:Title": "Conlon Nancarrow (1912-1997)", "d:Description": "Personality and Poliphony, a biography by Tom Rodwell with picture.", "topic": "Top/Arts/Music/Composition/Composers/N/Nancarrow,_Conlon", "url": "http://www.furious.com/perfect/conlonnancarrow.html"} +{"d:Title": "Conlon Nancarrow", "d:Description": "Biography from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/N/Nancarrow,_Conlon", "url": "http://www.otherminds.org/shtml/Nancarrow.shtml"} +{"d:Title": "Conlon Nancarrow: Otherworldly Compositions for Player Piano", "d:Description": "News feature includes a number of RealAudio samples and some limited biographical information.", "topic": "Top/Arts/Music/Composition/Composers/N/Nancarrow,_Conlon", "url": "http://news.minnesota.publicradio.org/features/199710/29_bakera_nancarrow/"} +{"d:Title": "A Conlon Nancarrow Web Page", "d:Description": "Links to Kyle Gann's articles on Nancarrow (for the Village Voice), and promo for the same's book on Nancarrow's life.", "topic": "Top/Arts/Music/Composition/Composers/N/Nancarrow,_Conlon", "url": "http://www.kylegann.com/index2.html"} +{"d:Title": "Mario Nascimbene", "d:Description": "Spun.com biography focusing on his move from Italy to Hollywood and his time spent composing for American movies. Includes links for buying and selling used CDs.", "topic": "Top/Arts/Music/Composition/Composers/N/Nascimbene,_Mario_Ernesto_Rosolino", "url": "http://www.spun.com/music/favorites.jsp?id=1005163&cid=1083205"} +{"d:Title": "Mario Nascimbene", "d:Description": "Filmography at IMDb with birth and death dates and composer, music director, orchestrator, conductor, and actor credits.", "topic": "Top/Arts/Music/Composition/Composers/N/Nascimbene,_Mario_Ernesto_Rosolino", "url": "http://www.imdb.com/name/nm0006209/"} +{"d:Title": "Navok, Lior", "d:Description": "Official site. Picture, biography, press, list of works, CDs, and sound files. [Netscape Users Note: Possible difficulty loading or accessing parts of the site.]", "topic": "Top/Arts/Music/Composition/Composers/N/Navok,_Lior", "url": "http://www.liornavok.com/"} +{"d:Title": "Navok: Meditations Over Shore", "d:Description": "CD review from Classical Net with favorable commentary on the composer and his abilities.", "topic": "Top/Arts/Music/Composition/Composers/N/Navok,_Lior", "url": "http://www.classical.net/music/recs/reviews/n/nlp76972a.html"} +{"d:Title": "Vaclav Nelhybel", "d:Description": "List of works by genre and biography from the Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/N/Nelhybel,_Vaclav", "url": "http://musicalics.com/en/node/84115"} +{"d:Title": "Nelson, Havelock", "d:Description": "Picture, biography, works lists, and links.", "topic": "Top/Arts/Music/Composition/Composers/N/Nelson,_John_Havelock", "url": "http://www.havemusic.co.uk/"} +{"d:Title": "Havelock Nelson OBE", "d:Description": "Biography noting musical accomplishments and pacifism from Editions Marc Reift.", "topic": "Top/Arts/Music/Composition/Composers/N/Nelson,_John_Havelock", "url": "http://www.reift.ch/fichiers/pdfcomposers/27.pdf"} +{"d:Title": "Havelock Nelson", "d:Description": "Entry at the Lied and Art Songs Text Page linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/N/Nelson,_John_Havelock", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6736"} +{"d:Title": "Havelock Nelson", "d:Description": "Listing with the Contemporary Music Centre of Ireland includes biography, photograph, works listed by genre, CD and score purchase information, and related reading.", "topic": "Top/Arts/Music/Composition/Composers/N/Nelson,_John_Havelock", "url": "https://www.cmc.ie/composers/havelock-nelson"} +{"d:Title": "Alberto Nepomuceno", "d:Description": "Profiles from Baker's Biographical Dictionary of Musicians and Brazilian Music Funarte Music Score Editions at the University of Akron's Brazilian Music Archive.", "topic": "Top/Arts/Music/Composition/Composers/N/Nepomuceno,_Alberto", "url": "http://www3.uakron.edu/bmca/composers/Nepomuceno/"} +{"d:Title": "Alfred Newman", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Alfred", "url": "http://www.americancomposers.org/raksin_newman.htm"} +{"d:Title": "Alfred Newman", "d:Description": "Listing with mfiles includes biographical and familial information plus selected filmography and related links.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Alfred", "url": "http://www.mfiles.co.uk/Composers/Alfred-Newman.htm"} +{"d:Title": "Alfred Newman", "d:Description": "Filmography at IMDb with movies and TV shows scored and conductor, music director, arranger, and actor credits", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Alfred", "url": "http://www.imdb.com/name/nm0000055/"} +{"d:Title": "David Newman", "d:Description": "Filmography at IMDb with composer, conductor, arranger, and related credits, awards and nominations, family relationships, and agent information.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_David", "url": "http://www.imdb.com/name/nm0628056/"} +{"d:Title": "Emil Newman", "d:Description": "Filmography at IMDb with musical director, conductor, and composer credits, awards and nominations, and related links, including to family members.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Emil", "url": "http://www.imdb.com/name/nm0006212/"} +{"d:Title": "Joey Newman: Composer", "d:Description": "Official site. Flash navigation, biography, credits, agent and agency, contact information, bulletin board, links, and mail list.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Joey", "url": "http://www.joeynewman.com/"} +{"d:Title": "Joey Newman", "d:Description": "Filmography at IMDb with composer and related credits, biography, agent, and other works.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Joey", "url": "http://www.imdb.com/name/nm0628132/"} +{"d:Title": "Lionel Newman", "d:Description": "Listing with mfiles has brief biography, selected filmography, and related links.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Lionel", "url": "http://www.mfiles.co.uk/Composers/Lionel-Newman.htm"} +{"d:Title": "Lionel Newman", "d:Description": "Filmography at IMDb with composer, conductor, music director, and related credits. Includes brief biography, trivia, awards and nominations, and links to family members in the film business.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Lionel", "url": "http://www.imdb.com/name/nm0006213/"} +{"d:Title": "Maria Newman", "d:Description": "Feature on ARTISTdirect includes album information and related artists.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Maria", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,473124,00.html"} +{"d:Title": "Maria Newman", "d:Description": "Filmography as composer and musician from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Maria", "url": "http://www.imdb.com/name/nm0628190/"} +{"d:Title": "Thomas Newman: Film Composer", "d:Description": "Biography, filmography, and recommendations from mfiles.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Thomas_Montgomery", "url": "http://www.mfiles.co.uk/Composers/Thomas-Newman.htm"} +{"d:Title": "Thomas Newman", "d:Description": "Wikipedia article with internal reference to related topics, including family members. Also selected credits and links.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Thomas_Montgomery", "url": "http://en.wikipedia.org/wiki/Thomas_Newman"} +{"d:Title": "IMDb: Thomas Newman (I)", "d:Description": "Filmography with biographical information, family relationships, composer, musician, and orchestrator credits, awards and honors, and non-film works.", "topic": "Top/Arts/Music/Composition/Composers/N/Newman,_Thomas_Montgomery", "url": "http://www.imdb.com/name/nm0002353/"} +{"d:Title": "Classical Archives: Carl Otto Nicolai", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/N/Nicolai,_Carl_Otto_Ehrenfried", "url": "http://www.classicalarchives.com/composer/3085.html"} +{"d:Title": "Otto Nicolai", "d:Description": "Filmography at IMDb with movies crediting his compositions.", "topic": "Top/Arts/Music/Composition/Composers/N/Nicolai,_Carl_Otto_Ehrenfried", "url": "http://www.imdb.com/name/nm0630211/"} +{"d:Title": "Carl Nielsen", "d:Description": "Official site from the Danish Music Information Center and the Danish Carl Nielsen Society. Links to biography and photographs, the Society, and order information for CD-ROM.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://www.carlnielsen.dk/"} +{"d:Title": "Carl Nielsen", "d:Description": "Filmography from the Internet Movie Database listing the use of his works in motion pictures.", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://www.imdb.com/name/nm0630753/"} +{"d:Title": "Carl Nielsen (1865-1931)", "d:Description": "Brief biographical sketch, comments on orchestral and chamber music, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://www.naxos.com/composerinfo/742.htm"} +{"d:Title": "Carl Nielsen (1865-1931)", "d:Description": "Malaspina Great Books listing of Library of Congress citations of his works, including librettos, addresses, essays, and lectures.", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://records.viu.ca/~MCNEIL/cit/citlcnielsen.htm"} +{"d:Title": "Classical Archives: Carl Nielsen", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://www.classicalarchives.com/composer/3087.html"} +{"d:Title": "Carl Nielsen (1865-1931)", "d:Description": "Biography tracing evolution of his style, noted works and genres, photograph, and discography from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://www.dacapo-records.dk/en/artist-carl-nielsen.aspx"} +{"d:Title": "Carl Nielsen", "d:Description": "Listing at the Lied and Art Songs Text Page with lyrics to vocal works including song cycles.", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5177"} +{"d:Title": "Nielsen", "d:Description": "Recommendations from Classical Net's Basic Repertoire list.", "topic": "Top/Arts/Music/Composition/Composers/N/Nielsen,_Carl", "url": "http://www.classical.net/music/comp.lst/nielsen.php"} +{"d:Title": "Nin-Culmell, Joaqu\u00edn Mar\u00eda (1908 - Living), Cuba", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/N/Nin-Culmell,_Joaqu\u00edn_Mar\u00eda", "url": "http://stevenestrella.com/composers/composerfiles/nin-culmellliving.html"} +{"d:Title": "Joaqu\u00edn Nin y Castellanos", "d:Description": "Listing with Musicalics includes biographical information and links.", "topic": "Top/Arts/Music/Composition/Composers/N/Nin_y_Castellanos,_Joaqu\u00edn", "url": "http://musicalics.com/en/node/80489"} +{"d:Title": "Symposium/Luigi NONO and Prometeo 1/6", "d:Description": "Participants: Helmut Lachenmann, Arata Isozaki, Akira Asada, and Seiji Choki.", "topic": "Top/Arts/Music/Composition/Composers/N/Nono,_Luigi", "url": "http://www.ntticc.or.jp/pub/ic_mag/ic027/html/128e.html"} +{"d:Title": "Luigi Nono", "d:Description": "Retrospective article by David C F Wright for MusicWeb. Observations of a close associate coupled with analysis of his music.", "topic": "Top/Arts/Music/Composition/Composers/N/Nono,_Luigi", "url": "http://www.musicweb-international.com/Nono/"} +{"d:Title": "Luigi Nono", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/N/Nono,_Luigi", "url": "http://www.imdb.com/name/nm0634655/"} +{"d:Title": "Anders Nordentoft, Danish composer (b. 1957)", "d:Description": "Brief biography and photograph from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/N/Nordentoft,_Anders", "url": "http://www.dacapo-records.dk/en/artist-anders-nordentoft.aspx"} +{"d:Title": "Katharine Norman", "d:Description": "Official site. Biography, works, cds, mp3s, writings and publications, contact and order information, and curriculum vitae.", "topic": "Top/Arts/Music/Composition/Composers/N/Norman,_Katharine", "url": "http://www.novamara.com/"} +{"d:Title": "Alex North Music", "d:Description": "Official site devoted to film composer Alex North, including biography, filmography and discography as well as television and other work by this versatile composer.", "topic": "Top/Arts/Music/Composition/Composers/N/North,_Alex", "url": "http://alexnorthmusic.com/"} +{"d:Title": "Mfiles: Alex North", "d:Description": "Biography, looking at the life and work of Alex North, with influences, filmography, recommendations and related links.", "topic": "Top/Arts/Music/Composition/Composers/N/North,_Alex", "url": "http://www.mfiles.co.uk/composers/alex-north.htm"} +{"d:Title": "The Alex North Fan Club", "d:Description": "Discussion forum devoted to film composer Alex North.", "topic": "Top/Arts/Music/Composition/Composers/N/North,_Alex", "url": "http://groups.yahoo.com/group/thealexnorthfanclub/"} +{"d:Title": "IMDb: Alex North", "d:Description": "Filmography including movies scored, using his works, or otherwise crediting him, awards and honors, biographical information, and related material.", "topic": "Top/Arts/Music/Composition/Composers/N/North,_Alex", "url": "http://www.imdb.com/name/nm0006218/"} +{"d:Title": "Vitezslav Novak", "d:Description": "Biography, a list of works, bibliography, selected discography from the Kapralova Society.", "topic": "Top/Arts/Music/Composition/Composers/N/Nov\u00e1k,_Vitezslav", "url": "http://www.kapralova.org/NOVAK.htm"} +{"d:Title": "Vitezslav Novak: South Bohemian Suite and Eight Nocturnes", "d:Description": "Classical Music on the Web (UK) CD Reviews from January 1999 includes an appreciative essay of his merits and encouragement for recording more of his works.", "topic": "Top/Arts/Music/Composition/Composers/N/Nov\u00e1k,_Vitezslav", "url": "http://www.musicweb-international.com/classrev/jan99/novak.htm"} +{"d:Title": "Richard Nye", "d:Description": "Official site. Includes a works list, contact information, and audio samples in MP3 format.", "topic": "Top/Arts/Music/Composition/Composers/N/Nye,_Richard", "url": "http://www.richardnye.info/"} +{"d:Title": "Michael Nyman - film composer", "d:Description": "This is the mfiles page for composer Michael Nyman, with career overview, links to related composers, soundtrack reviews and sheet music.", "topic": "Top/Arts/Music/Composition/Composers/N/Nyman,_Michael", "url": "http://www.mfiles.co.uk/Composers/Michael-Nyman.htm"} +{"d:Title": "Michael Nyman", "d:Description": "Filmography, biography, other works, and publicity information at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/N/Nyman,_Michael", "url": "http://www.imdb.com/name/nm0006219/"} +{"d:Title": "Per N\u00f8rg\u00e5rd", "d:Description": "Biography, reviews, articles.", "topic": "Top/Arts/Music/Composition/Composers/N/N\u00f8rg\u00e5rd,_Per", "url": "http://www.musicsalesclassical.com/composer/short-bio/1141"} +{"d:Title": "Newman Family Academy Award Nominations for Best Song", "d:Description": "Lists times that Randy, Lionel, or Alfred were nominated for Oscars in this category, the year, the competition, and the winner.", "topic": "Top/Arts/Music/Composition/Composers/Newman_Family", "url": "http://www.romanization.com/personal/randy/bestsong.html"} +{"d:Title": "Newman Family Academy Award Nominations for Best Score", "d:Description": "Lists times that Randy, Lionel, Alfred, David, or Thomas were nominated for Oscars in this category, the year, the competition, and the winner.", "topic": "Top/Arts/Music/Composition/Composers/Newman_Family", "url": "http://www.romanization.com/personal/randy/bestscore.html"} +{"d:Title": "Obrecht, Jacob (1450 - c. 1505), Netherlands", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/O/Obrecht,_Jacob", "url": "http://stevenestrella.com/composers/composerfiles/obrecht1505.html"} +{"d:Title": "Jacob Obrecht", "d:Description": "Biography, works, partial discography, and related links from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/O/Obrecht,_Jacob", "url": "http://www.hoasm.org/IVA/Obrecht.html"} +{"d:Title": "Jacob Obrecht", "d:Description": "Annotated discography with links to purchases and detailed biography from the Early Music FAQ of the Medieval Music and Arts Foundation.", "topic": "Top/Arts/Music/Composition/Composers/O/Obrecht,_Jacob", "url": "http://www.medieval.org/emfaq/composers/obrecht.html"} +{"d:Title": "Portrait of Jacob Obrecht", "d:Description": "Painting by Hans Memling in the Web Gallery of Art, a searchable image collection and database of European paintings. Includes details on the art, the artist, and the subject.", "topic": "Top/Arts/Music/Composition/Composers/O/Obrecht,_Jacob", "url": "http://www.wga.hu/html/m/memling/5late/40obrech.html"} +{"d:Title": "Classical Archives: Jacob Obrecht", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/O/Obrecht,_Jacob", "url": "http://www.classicalarchives.com/composer/3097.html"} +{"d:Title": "Johannes Ockeghem", "d:Description": "Brief biography, list of works with discography by Pierre-F. Roberge for the Early Music FAQ of the Medieval Music and Arts Foundation.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://www.medieval.org/emfaq/composers/ockeghem.html"} +{"d:Title": "Ockeghem", "d:Description": "Brief biography, related composer links, discography, and CD reviews from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://www.classical.net/music/comp.lst/ockeghem.html"} +{"d:Title": "Library of Congress Vatican Exhibit: Music Room Two", "d:Description": "Contains photo of illustrated manuscript with music by Ockeghem.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://www.ibiblio.org/expo/vatican.exhibit/exhibit/e-music/Music_room2.html"} +{"d:Title": "Ockeghem, Johannes (c. 1410 - 1497), Netherlands", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://stevenestrella.com/composers/composerfiles/ockeghem1497.html"} +{"d:Title": "Johannes Ockeghem", "d:Description": "Biography with illustration, discography, and related links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://www.hoasm.org/IIID/Ockeghem.html"} +{"d:Title": "Famous Belgians: Johannes Ockeghem", "d:Description": "Short biography focusing on his royal appointments, surviving works, and Desprez' reaction to his death.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://www.famousbelgians.net/ockeghem.htm"} +{"d:Title": "Requiem: Ockeghem", "d:Description": "Study of the mass and details of the reaction to his death by the intellectual and artistic elite of his time.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://members.optusnet.com.au/~charles57/Requiem/ockeghem.htm"} +{"d:Title": "Werner Icking Music Archive: Johannes Ockeghem", "d:Description": "Missa Pro Defuctis, a Requiem for choir. Includes MIDI audio, and scores in PDF, PNG, and score and parts in MusiXTeX format in ZIP files.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://www.icking-music-archive.org/ByComposer/Ockeghem.php"} +{"d:Title": "Classical Archives: Johannes Ockeghem", "d:Description": "Biography and music files offered in MIDI and MP3 audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/O/Ockeghem,_Johannes", "url": "http://www.classicalarchives.com/composer/3099.html"} +{"d:Title": "Jacques Offenbach", "d:Description": "Describes the events in his life and lists some of his works.", "topic": "Top/Arts/Music/Composition/Composers/O/Offenbach,_Jacques", "url": "http://www.quadrant.net/LaVie/noframes/lvp/offenbach.html"} +{"d:Title": "Jacques Offenbach", "d:Description": "Biography tracing his part in the founding of the operetta and light opera, and, by extension, musical theater. Includes link to Real Audio RAM file of Orpheus in the Underworld.", "topic": "Top/Arts/Music/Composition/Composers/O/Offenbach,_Jacques", "url": "http://www.lessontutor.com/bf_offenbach.html"} +{"d:Title": "Les Contes d'Hoffmann", "d:Description": "Description of a play written about author and composer E.T.A. Hoffmann.", "topic": "Top/Arts/Music/Composition/Composers/O/Offenbach,_Jacques", "url": "http://cbs.infoplease.com/ipea/A0153781.html"} +{"d:Title": "The Jacques Offenbach Society", "d:Description": "Includes news, links, information about the society, and Offenbach's stage works.", "topic": "Top/Arts/Music/Composition/Composers/O/Offenbach,_Jacques", "url": "http://www.offenbachsociety.org.uk/"} +{"d:Title": "Classical Archives: Jacques Offenbach", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/O/Offenbach,_Jacques", "url": "http://www.classicalarchives.com/composer/3100.html"} +{"d:Title": "Jacques Offenbach", "d:Description": "Filmography at IMDb lists movies and television shows from the 1930s onward that used his music.", "topic": "Top/Arts/Music/Composition/Composers/O/Offenbach,_Jacques", "url": "http://www.imdb.com/name/nm0006220/"} +{"d:Title": "Maruice Ohana", "d:Description": "Article introducing him to those unfamiliar with him and his works from Sequenza21 Contemporary Classical Music Weekly.", "topic": "Top/Arts/Music/Composition/Composers/O/Ohana,_Maurice", "url": "http://www.sequenza21.com/032204.html"} +{"d:Title": "Maruice Ohana", "d:Description": "Biography from Le Centre de Documentation de la Musique Contemporaine notes major stylistic points and fidelity to Andalusian roots. [French and English.]", "topic": "Top/Arts/Music/Composition/Composers/O/Ohana,_Maurice", "url": "http://www.cdmc.asso.fr/biographies/m_q/ohana.htm"} +{"d:Title": "Maurice Ohana", "d:Description": "Filmography listing motion pictures he scored from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/O/Ohana,_Maurice", "url": "http://www.imdb.com/name/nm0644921/"} +{"d:Title": "Maurice Ohana: Three Recordings", "d:Description": "Review of selected CDs from the July 2002 MusicWeb(UK) include biographical information and comments on his overall style and notes on his studies and influences.", "topic": "Top/Arts/Music/Composition/Composers/O/Ohana,_Maurice", "url": "http://www.musicweb-international.com/classrev/2002/July02/Ohan_various.htm"} +{"d:Title": "Deep Listening", "d:Description": "A philosophy/band put together by composer Pauline Oliveros.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/O/Oliveros,_Pauline", "url": "http://www.deeplistening.org/"} +{"d:Title": "Pauline Oliveros", "d:Description": "(Lovely Music Catalog) Includes brief biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/O/Oliveros,_Pauline", "url": "http://www.lovely.com/bios/oliveros.html"} +{"d:Title": "Classical Net Review: Onslow String Quartets", "d:Description": "Review with biographical information.", "topic": "Top/Arts/Music/Composition/Composers/O/Onslow,_Georges", "url": "http://www.classical.net/music/recs/reviews/a/asv00808a.html"} +{"d:Title": "Orff, Carl (1895 - 1982), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, links to biographical essays, and commentary on his musical instruction methods from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/O/Orff,_Carl", "url": "http://stevenestrella.com/composers/composerfiles/orff1982.html"} +{"d:Title": "Catulli Carmina: Praelusio -- Commentary and Translation", "d:Description": "Commentary on and English translation of part of one of Orff's lesser known works.", "topic": "Top/Arts/Music/Composition/Composers/O/Orff,_Carl", "url": "http://www.duzan.org/gary/catulli_carmina.html"} +{"d:Title": "Classic Cat: Orff", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/O/Orff,_Carl", "url": "http://www.classiccat.net/orff_c/"} +{"d:Title": "Carl Orff (1895-1982)", "d:Description": "Russell McNeil's Malaspina Great Books list of Library of Congress citations for the composer.", "topic": "Top/Arts/Music/Composition/Composers/O/Orff,_Carl", "url": "http://www.malaspina.org/orffc.htm"} +{"d:Title": "Classical Archives: Carl Orff", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/O/Orff,_Carl", "url": "http://www.classicalarchives.com/composer/3107.html"} +{"d:Title": "Carl Orff", "d:Description": "Filmography, biography, other works, and links at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/O/Orff,_Carl", "url": "http://www.imdb.com/name/nm0649758/"} +{"d:Title": "Carmina Burana", "d:Description": "Classical Net list of frequently asked questions with answers, most from the rec.music.classical news group. Includes link to lyrics in Latin and Middle High German with English translation.", "topic": "Top/Arts/Music/Composition/Composers/O/Orff,_Carl/Carmina_Burana", "url": "http://www.classical.net/music/comp.lst/works/orff-cb/carmina.html"} +{"d:Title": "Harold Owen", "d:Description": "Biography, information on compositions and publications, and Finale software tutorial.", "topic": "Top/Arts/Music/Composition/Composers/O/Owen,_Harold", "url": "http://www.uoregon.edu/~hjowen/"} +{"d:Title": "Theodore Pachelbel", "d:Description": "The other Pachelbel brings music to Colonial America in a production from the University of Houston's College of Engineering and radio KUHF. Print transcript with RM audio of Episode 1189.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Carl_Theodorus", "url": "http://www.uh.edu/engines/epi1189.htm"} +{"d:Title": "Pachelbel, Johann: Biography", "d:Description": "Outline of life with links to other composers and to cities where he worked.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://jan.ucc.nau.edu/~tas3/jpachelbel.html"} +{"d:Title": "Johann Pachelbel", "d:Description": "Biography with teachers and educational background, relationship to the Bach family, and notable compositions from the Here Of A Sunday Morning radio program. Includes links to related topics and partial discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://www.hoasm.org/VIB/Pachelbel.html"} +{"d:Title": "Pachelbel, Johann", "d:Description": "MIDI audio of fugues, ricercares, and other works including the Canon in D with link to the 95 \"Kompositionen\" or Magnificat Fugues. From On Classical/Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://www.kunstderfuge.com/pachelbel.htm"} +{"d:Title": "Classic Cat: Pachelbel", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://www.classiccat.net/pachelbel_j/"} +{"d:Title": "Johann Pachelbel: World's Most Popular Wedding Guest", "d:Description": "Brief biography, selected works, portrait, and chronology from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=146"} +{"d:Title": "Johann Pachelbel", "d:Description": "Wikipedia article summarizing life, works, and relationship with the Bach family with internal references to related people and topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://en.wikipedia.org/wiki/Johann_Pachelbel"} +{"d:Title": "Johann Pachelbel", "d:Description": "Find A Grave entry with biography, portrait, and picture of St. Sebald's Cathedral, where he is entombed. Includes interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9671257&pt=Johann+Pachelbel"} +{"d:Title": "Johann Pachelbel", "d:Description": "Filmography at IMDb provides movies and television shows using his music.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://www.imdb.com/name/nm0655341/"} +{"d:Title": "Johann Pachelbel (1653-1706)", "d:Description": "Brief biographical sketch with analyses of chamber, organ, and church music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://www.naxos.com/composerinfo/772.htm"} +{"d:Title": "Classical Archives - Johann Pachelbel", "d:Description": "Biography and complete files offered in MIDI.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Johann", "url": "http://www.classicalarchives.com/composer/3111.html"} +{"d:Title": "Wilhelm Hieronymus Pachelbel", "d:Description": "Brief biography from the Here Of A Sunday Morning radio program with links to father and related topics.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Wilhelm_Hieronymus", "url": "http://www.hoasm.org/VIB/PachelbelWH.html"} +{"d:Title": "Wilhelm Hieronimus Pachelbel 1685-1764", "d:Description": "Listing at Timothy A. Smith's Sojourn pages includes related composers and definitions of terms.", "topic": "Top/Arts/Music/Composition/Composers/P/Pachelbel,_Wilhelm_Hieronymus", "url": "http://jan.ucc.nau.edu/~tas3/wpachelbel.html"} +{"d:Title": "Martijn Padding", "d:Description": "Official site. Includes works, biography, discography, news, press quotes, an agenda of activities, photographs, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/P/Padding,_Martijn", "url": "http://www.martijnpadding.nl/"} +{"d:Title": "Nicol\u00f2 Paganini", "d:Description": "Official site of the Friends of Paganini. Information on establishing and building a memorial house for music and cultural studies and the Institute of Paganini Studies. Includes contact information and MP3 audio files.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.niccolopaganini.it/"} +{"d:Title": "Nicolo Paganini", "d:Description": "Biography and comments at Paganini family site tell both the good and bad about the composer.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.paganini.com/nicolo/nicindex.htm"} +{"d:Title": "Niccolo Paganini", "d:Description": "The composer's grave in Parma from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3565"} +{"d:Title": "Nicol\u00f2 Paganini", "d:Description": "Special emphases on his name (Nicol\u00f2 or Niccol\u00f2?), age and birthdate, miserliness versus generosity, and the growth of virtuosity. Includes chronology of his life and RM audio sample of Cantabile in Re maggiore.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.ppmusic.com/music/comp07.htm"} +{"d:Title": "Classic Cat: Paganini", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.classiccat.net/paganini_n/"} +{"d:Title": "Nicol\u00f2 Paganini: The First Musical Superstar", "d:Description": "Biography, key works, timeline, and suggested further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=41"} +{"d:Title": "Nicolo Paganini (1782-1840)", "d:Description": "Brief biographical sketch, caricature, summaries of music for violin and orchestra, chamber music, and solo violin. Includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.naxos.com/composerinfo/778.htm"} +{"d:Title": "Classical Archives: Niccol\u00f2 Paganini", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.classicalarchives.com/composer/3114.html"} +{"d:Title": "Niccol\u00f2 Paganini", "d:Description": "Filmography at IMDb lists movies in which his music was played.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.imdb.com/name/nm0656028/"} +{"d:Title": "Magic Bow, The (1946)", "d:Description": "IMDb entry for the motion picture starring Stewart Granger based on Paganini's life.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2", "url": "http://www.imdb.com/title/tt0039594/"} +{"d:Title": "Paginini's 24 Caprices", "d:Description": "Extended discussion from the archives of violinist.com examines various artists who have recorded them and rates and evaluates many of the performances.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2/Twenty-four_Caprices,_The", "url": "http://www.violinist.com/discussion/response.cfm?ID=201"} +{"d:Title": "24th Caprice", "d:Description": "Discussion at violinist.com about various composers who have written variations on this theme or on all the Paganini Caprices.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2/Twenty-four_Caprices,_The", "url": "http://www.violinist.com/discussion/response.cfm?ID=681"} +{"d:Title": "Paganini Variations: The Tune That Drove Composers Wild (Part 1)", "d:Description": "Fred Flaxman notes the original Caprices and discusses the assorted interpretations granted some or all of them by such composers as Paganini, Brahms, Liszt, Rachmaninoff, Szymanowski, Lutoslawski, and Andrew Lloyd Webber.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2/Twenty-four_Caprices,_The", "url": "http://compactdiscoveries.com/CompactDiscoveriesScripts/03Paganini1.html"} +{"d:Title": "Paganini Variations: The Tune That Drove Composers Wild (Part 2)", "d:Description": "Fred Flaxman notes the original Caprices and discusses the assorted interpretations granted some or all of them by such composers as Paganini, Brahms, Liszt, Rachmaninoff, Szymanowski, Lutoslawski, and Andrew Lloyd Webber.", "topic": "Top/Arts/Music/Composition/Composers/P/Paganini,_Niccol\u00f2/Twenty-four_Caprices,_The", "url": "http://compactdiscoveries.com/CompactDiscoveriesScripts/04Paganini2.html"} +{"d:Title": "Palestrina, Giovanni Pierluigi da", "d:Description": "Catholic Encyclopedia biography calls him the all-time greatest liturgical music composer. Emphasis on his work to support the reforms of the Council of Trent and the Counter Reformation.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://www.newadvent.org/cathen/11421b.htm"} +{"d:Title": "Giovanni Pierluigi Da Palestrina", "d:Description": "Biography from the Here Of A Sunday Morning radio program with portraits. Shows his devotion to the traditional music of the church while opening it up to new forms and influences. Includes related material and discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://www.hoasm.org/IVF/Palestrina.html"} +{"d:Title": "Palestrina, Giovanni Pierluigi da", "d:Description": "Essay providing overview of his life and music compares him with Lassus and Byrd and reckons him their equal.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://www.rmjs.co.uk/composer/cpalestr.htm"} +{"d:Title": "Palestrina, Giovanni Pierluigi da (c. 1525 - 1594), Italy", "d:Description": "Biographical data, major works, background information, links to purchase recommended CDs, books, and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://stevenestrella.com/composers/composerfiles/palestrina1594.html"} +{"d:Title": "Classic Cat: Palestrina", "d:Description": "Directory of free Palestrina mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://www.classiccat.net/palestrina_gp_da/"} +{"d:Title": "Palestrina: Prince of Music", "d:Description": "Brief biography, portriat, key works, recommended recordings, and historical and societal context from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=15"} +{"d:Title": "Kunst der Fuge: G.P. da Palestrina", "d:Description": "The Missas in MIDI files (20~) and links.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://www.kunstderfuge.com/classical/p.htm#Palestrina"} +{"d:Title": "Classical Archives: Giovanni Pierluigi da Palestrina", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/P/Palestrina,_Giovanni_Pierluigi_da", "url": "http://www.classicalarchives.com/composer/3119.html"} +{"d:Title": "Andy Pape", "d:Description": "Biography, work list.", "topic": "Top/Arts/Music/Composition/Composers/P/Pape,_Andy", "url": "http://www.musicsalesclassical.com/composer/short-bio/1186"} +{"d:Title": "Sir Charles Hubert Hastings Parry", "d:Description": "Classical Net biography noting his often unrecognized importance in English music, links to related composers, including students, and portrait.", "topic": "Top/Arts/Music/Composition/Composers/P/Parry,_Charles_Hubert_Hastings,_Sir", "url": "http://www.classical.net/music/comp.lst/acc/parry.html"} +{"d:Title": "Sir Charles Hubert Hastings Parry Ushers in a New Era in English Composition", "d:Description": "Brief biography, timeline, key works, quotes, and historical and societal context from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Parry,_Charles_Hubert_Hastings,_Sir", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=97"} +{"d:Title": "Classical Archives: Sir Hubert Parry", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/P/Parry,_Charles_Hubert_Hastings,_Sir", "url": "http://www.classicalarchives.com/composer/8734.html"} +{"d:Title": "Sir Charles Hubert Hastings Parry", "d:Description": "Filmography at IMDb lists movies using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/P/Parry,_Charles_Hubert_Hastings,_Sir", "url": "http://www.imdb.com/name/nm1132987/"} +{"d:Title": "Sir Charles Hubert Hastings Parry", "d:Description": "Musicalics entry compares his style with that of others, including Wagner, Brahms, and Dvorak while noting the influence he had on Elgar, Vaughan Williams, and Holst.", "topic": "Top/Arts/Music/Composition/Composers/P/Parry,_Charles_Hubert_Hastings,_Sir", "url": "http://musicalics.com/en/node/80552"} +{"d:Title": "Art Songs by Sir Hubert Parry", "d:Description": "Listing of various lieder with the authors and texts for which he wrote the various tunes.", "topic": "Top/Arts/Music/Composition/Composers/P/Parry,_Charles_Hubert_Hastings,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5289"} +{"d:Title": "Arvo P\u00e4rt Information Archive", "d:Description": "Biography, analysis, works list, discography, filmography, bibliography, current news, and concert information from David Pinkerton II.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://www.arvopart.org/"} +{"d:Title": "Arvo P\u00e4rt", "d:Description": "Biography, works, photos, music clips, Tonu Kaljuste works, analysis of his style, special focus on specific religious works, and information about recordings from musicolog.com.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://www.musicolog.com/part.asp"} +{"d:Title": "Arvo P\u00e4rt", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://www.classiccat.net/part_a/"} +{"d:Title": "Arvo P\u00e4rt", "d:Description": "Filmography at IMDb lists movies and television programs using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://www.imdb.com/name/nm0701736/"} +{"d:Title": "Arvo P\u00e4rt", "d:Description": "Wikipedia article with biography, summary of musical style, photograph, noted works, internal references to related people and topics, and external links.", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://en.wikipedia.org/wiki/Arvo_P%C3%A4rt"} +{"d:Title": "Arvo P\u00e4rt (1935-)", "d:Description": "Biographical sketch and study of his musical development, summaries of his orchestral, vocal and choral, instrumental, and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://www.naxos.com/composerinfo/785.htm"} +{"d:Title": "Arvo P\u00e4rt", "d:Description": "List of works dating from 1956 through the 1990s.", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://home.online.nl/ovar/part.htm"} +{"d:Title": "Arvo P\u00e4rt", "d:Description": "Listing at the Lied and Art Songs Text Page linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/P/P\u00e4rt,_Arvo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5290"} +{"d:Title": "Harry Partch: An American Original", "d:Description": "Official Site. Life and works of the iconoclast, theorist, instrument builder, raconteur, big-time crank, and one-time hobo. Entries by friends, coworkers, and associates under the auspices of the Harry Partch Foundation.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/Partch,_Harry", "url": "http://www.corporeal.com/"} +{"d:Title": "Notes on Microtonal Composition", "d:Description": "R.L. Reid's notes, including information on Partch.", "topic": "Top/Arts/Music/Composition/Composers/P/Partch,_Harry", "url": "http://www.rreid.net/tuning/partch_scale"} +{"d:Title": "Harry Partch", "d:Description": "Musicalics entry features life, commentary on the infrequency of his works being performed, and his individualistic creativity.", "topic": "Top/Arts/Music/Composition/Composers/P/Partch,_Harry", "url": "http://musicalics.com/en/node/83646"} +{"d:Title": "Yale University Press: Harry Partch", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/P/Partch,_Harry", "url": "http://yalebooks.com/book/9780300065213/harry-partch"} +{"d:Title": "Maggi Payne", "d:Description": "Short biography and discography from Lovely Records.", "topic": "Top/Arts/Music/Composition/Composers/P/Payne,_Maggi", "url": "http://www.lovely.com/bios/payne.html"} +{"d:Title": "Hum 2: Maggi Payne", "d:Description": "Her own program notes on a piece for trombone and tape written for trombonist Abbie Conant.", "topic": "Top/Arts/Music/Composition/Composers/P/Payne,_Maggi", "url": "http://www.osborne-conant.org/Hum2.htm"} +{"d:Title": "Maggi Payne", "d:Description": "Biographical and musical information from Vox Novus.", "topic": "Top/Arts/Music/Composition/Composers/P/Payne,_Maggi", "url": "http://www.voxnovus.com/composer/Maggi_Payne.htm"} +{"d:Title": "Maggi Payne", "d:Description": "Faculty page from music department of Mills College with picture, CV, compositions, discography, program notes, interviews, performances, and reviews.", "topic": "Top/Arts/Music/Composition/Composers/P/Payne,_Maggi", "url": "http://www.mills.edu/academics/faculty/mus/maggi/maggi.php"} +{"d:Title": "Peeters, Flor (1903 - 1986), Belgium", "d:Description": "Biographical data, purchase information for recommended CDs, books, and sheet music, and general bibliography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/P/Peeters,_Flor", "url": "http://stevenestrella.com/composers/composerfiles/peeters1986.html"} +{"d:Title": "Flor Peeters", "d:Description": "Biography, works, and discography with purchase information from All Music Guide.", "topic": "Top/Arts/Music/Composition/Composers/P/Peeters,_Flor", "url": "http://www.allmusic.com/artist/flor-peeters-mn0001646482"} +{"d:Title": "Krzysztof Penderecki", "d:Description": "Biography from the Chopin Foundation of the United States focuses on the emotional impact of his compositions and their place in bringing change to the listener.", "topic": "Top/Arts/Music/Composition/Composers/P/Penderecki,_Krzysztof", "url": "http://www.usc.edu/dept/polish_music/composer/penderecki.html"} +{"d:Title": "Central Europe Review - Music: Krzyzstof Penderecki", "d:Description": "His life and works in light of the post-Stalin Renaissance in Polish music and the change from socialist realism to avant-garde modernism. Includes links.", "topic": "Top/Arts/Music/Composition/Composers/P/Penderecki,_Krzysztof", "url": "http://www.ce-review.org/99/21/best21_reyland.html"} +{"d:Title": "Krzysztof Penderecki", "d:Description": "Classical Net's Basic Repertoire List recommendations for recordings of notable works.", "topic": "Top/Arts/Music/Composition/Composers/P/Penderecki,_Krzysztof", "url": "http://www.classical.net/music/comp.lst/penderecki.html"} +{"d:Title": "Krystof Penderecki: Polish Requiem", "d:Description": "Article in preparation for the composer to conduct his work in Montreal in 1998. Philip Anson studies the work and invites comments from Penderecki.", "topic": "Top/Arts/Music/Composition/Composers/P/Penderecki,_Krzysztof", "url": "http://www.scena.org/lsm/sm3-6/sm36pene.htm"} +{"d:Title": "Naxos: Krzysztof Penderecki", "d:Description": "Brief biographical sketch, caricature, summary of vocal and choral music, and discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Penderecki,_Krzysztof", "url": "http://www.naxos.com/composerinfo/Krzysztof_Penderecki_21188/21188.htm"} +{"d:Title": "Krzysztof Penderecki", "d:Description": "Filmography at IMDb includes movies and television shows using his compositions and his acting credits.", "topic": "Top/Arts/Music/Composition/Composers/P/Penderecki,_Krzysztof", "url": "http://www.imdb.com/name/nm0671678/"} +{"d:Title": "Giovanni Battista Pergolesi", "d:Description": "Biography from the Here Of A Sunday Morning radio program with education, appointments and commissions, major works, misattributions, partial discography, and related topics.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://www.hoasm.org/VIIIB/Pergolesi.html"} +{"d:Title": "Giovanni Battista Pergolesi", "d:Description": "Catholic Encyclopedia entry notes his torn allegiances between sacred and secular music, mentions the delicate nature of his works coming from his own nature, and comments on his poor health.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://www.newadvent.org/cathen/11667b.htm"} +{"d:Title": "Pergolesi, Giovanni Battista", "d:Description": "Biography from Timothy A. Smith's Sojourn pages with portrait, related composers, and explanations of terms.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://jan.ucc.nau.edu/~tas3/pergolesi.html"} +{"d:Title": "Giovanni Battista Pergolesi (1710-36)", "d:Description": "Lists him among the premier musicians and composers of the early 1700s, especially for his contributions to opera buffa. Also notes his religious works, especially the Stabat Mater.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://faculty.ed.umuc.edu/~jmatthew/naples/blog22.htm#jul27"} +{"d:Title": "Giovanni Pergolesi", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://www.classiccat.net/pergolesi_gb/"} +{"d:Title": "Giovanni Battista Pergolesi", "d:Description": "Wikipedia article with summary of his brief life, main genres, noted works, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://en.wikipedia.org/wiki/Giovanni_Battista_Pergolesi"} +{"d:Title": "Giovanni Pergolesi", "d:Description": "Find A Grave entry with summary of brief life and wide-ranging works, portrait, picture of cenotaph at Church of St. Anthony of Padua, Pazzuoli, Italy, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9786055&pt=Giovanni+Pergolesi"} +{"d:Title": "Giovanni Battista Pergolesi (1710-1736)", "d:Description": "Brief biographical sketch, caricature, summaries of operatic, orchestral, chamber, and church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://www.naxos.com/composerinfo/797.htm"} +{"d:Title": "Giovanni Battista Pergolesi", "d:Description": "Filmography at IMDb with list of movies using or based on his compositions.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://www.imdb.com/name/nm0673694/"} +{"d:Title": "Giovanni Battista Pergolesi", "d:Description": "Brief entry with Musicalics notes conflicts for his birth date.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://musicalics.com/en/node/81018"} +{"d:Title": "Giovanni B. Pergolesi", "d:Description": "Entry at the Lied and Arts Songs Text Page with list of vocal works and lyrics.", "topic": "Top/Arts/Music/Composition/Composers/P/Pergolesi,_Giovanni_Battista", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5312"} +{"d:Title": "Jacopo Peri: Zazzerino", "d:Description": "Biography from the Here Of A Sunday Morning radio program with analysis of style, major compositions, associates, partial discography, and related musical information.", "topic": "Top/Arts/Music/Composition/Composers/P/Peri,_Jacopo", "url": "http://www.hoasm.org/VA/Peri.html"} +{"d:Title": "What Was the First Opera?", "d:Description": "Examines the origins of the genre, including immediate precursors, settling on Peri and Corsi's Daphne as the most likely answer.", "topic": "Top/Arts/Music/Composition/Composers/P/Peri,_Jacopo", "url": "http://www.medieval.org/emfaq/misc/opera.html"} +{"d:Title": "Perotin: A Discography", "d:Description": "Notes scant biography as provided by Anonymous IV, tracing his relationship to Leonin and his own contributions to polyphony. Recordings containing his works are listed.", "topic": "Top/Arts/Music/Composition/Composers/P/Perotin", "url": "http://www.medieval.org/emfaq/composers/perotin.html"} +{"d:Title": "P\u00e9rotin, (c. 1160 - c. 1205), France", "d:Description": "Biographical data, major works, musicological development, recommended CDs, books, and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/P/Perotin", "url": "http://stevenestrella.com/composers/composerfiles/perotin1205.html"} +{"d:Title": "P\u00e9rotin Magister", "d:Description": "Classical Net's Basic Repertoire with biography, historical significance, and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/P/Perotin", "url": "http://www.classical.net/music/comp.lst/perotin.html"} +{"d:Title": "P\u00e9rotin [Perotinus Magnus]", "d:Description": "Biography, musical contributions, related composers, and related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/P/Perotin", "url": "http://www.hoasm.org/IIC/Perotin.html"} +{"d:Title": "P\u00e9rotin the Great", "d:Description": "Brief biography of Perotinus Magister with key works, suggested reading, timeline, and recommended recordings from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Perotin", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=9"} +{"d:Title": "P\u00e9rotin", "d:Description": "Wikipedia article summarizing his style and noting his influence on late 20th Century minimalism such as that of Steve Reich. Includes internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/P/Perotin", "url": "http://en.wikipedia.org/wiki/P%C3%A9rotin"} +{"d:Title": "Classical Archives: P\u00e9rotin", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/P/Perotin", "url": "http://www.classicalarchives.com/composer/7631.html"} +{"d:Title": "Julia Perry", "d:Description": "Find a Grave listing with biographical summary, burial information, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/P/Perry,_Julia_Amanda", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7718546&pt=Julia+Perry"} +{"d:Title": "Emily Dickinson Songs, Opus 77: Vincent Persichetti", "d:Description": "John Koopman's Unsung Songs features an analysis of the pieces, comparing them somewhat with Copland's treatment of the poet's work and also evaluating them on their own.", "topic": "Top/Arts/Music/Composition/Composers/P/Persichetti,_Vincent_Ludwig", "url": "http://www.lawrence.edu/fac/koopmajo/persichetti.html"} +{"d:Title": "Persichetti (Vincent) Collection, 1945-1987", "d:Description": "New York Public Library listing of recordings of his own and others' works collected by the composer. Includes summary, administrative information, biography, scope and contents, organization and arrangement, and collection listing.", "topic": "Top/Arts/Music/Composition/Composers/P/Persichetti,_Vincent_Ludwig", "url": "http://digilib.nypl.org/dynaweb/ead/R&H/persiche/"} +{"d:Title": "Persichetti, Vincent (1915 - 1987), United States", "d:Description": "Biographical data, noted works and writings, recommended CDs, books, and sheet music, general bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/P/Persichetti,_Vincent_Ludwig", "url": "http://stevenestrella.com/composers/composerfiles/persichetti1987.html"} +{"d:Title": "Vincent Persichetti", "d:Description": "Listing at Musicalics summarizes life and major works. Also notes certain famous students.", "topic": "Top/Arts/Music/Composition/Composers/P/Persichetti,_Vincent_Ludwig", "url": "http://musicalics.com/en/node/81021"} +{"d:Title": "Petrus de Cruce, (c. 1270 - c. 1300), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, and general bibliography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/P/Petrus_de_Cruce", "url": "http://stevenestrella.com/composers/composerfiles/petrus1300.html"} +{"d:Title": "Internationale Allan Pettersson Gesellschaft", "d:Description": "(1911-1980) Discography, biography, picture, CDs, and news.", "topic": "Top/Arts/Music/Composition/Composers/P/Pettersson,_Allan", "url": "http://www.iapg.de/"} +{"d:Title": "Classical Net - Composers - Pettersson", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/P/Pettersson,_Allan", "url": "http://www.classical.net/music/comp.lst/acc/pettersson.html"} +{"d:Title": "Allan Pettersson's Seventh in words", "d:Description": "Words to be read with the Seventh Symphony.", "topic": "Top/Arts/Music/Composition/Composers/P/Pettersson,_Allan", "url": "http://musicinwords.free.fr/apwords.htm"} +{"d:Title": "Hans Erich Pfitzner", "d:Description": "Find a Grave entry with cemetery information and nearby burials, photograph of him and his tomb, and interactive memorials.", "topic": "Top/Arts/Music/Composition/Composers/P/Pfitzner,_Hans_Erich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=10308"} +{"d:Title": "Hans Pfitzner", "d:Description": "Naxos Historical essay follows the development of his artistry and the growth of his career as conductor and composer, noting his conservatism, erstwhile support of National Socialism, and criticism of his peers. With photograph and discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Pfitzner,_Hans_Erich", "url": "http://www.naxos.com/historical/pfitzner.htm"} +{"d:Title": "Naxos: Hans Pfitzner", "d:Description": "Brief biographical sketch, caricature, summaries of operatic, choral, and vocal music, and discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Pfitzner,_Hans_Erich", "url": "http://www.naxos.com/composerinfo/Hans_Pfitzner_21176/21176.htm"} +{"d:Title": "Hans Pfitzner", "d:Description": "Listing with Musicalics summarizes his life using early and mature works and positions. Includes scholars arguing whether and to what extent he may have been a Nazi supporter.", "topic": "Top/Arts/Music/Composition/Composers/P/Pfitzner,_Hans_Erich", "url": "http://musicalics.com/en/node/81034"} +{"d:Title": "Anne Phillips", "d:Description": "Official site. With life, CDs, song book, reviews, pictures, guestbook, Kindred Spirits Foundation information, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/Phillips,_Anne", "url": "http://annephillips.com/"} +{"d:Title": "Picker, Tobias", "d:Description": "Official site. Picture, biography, works, recordings, news, and performances.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/Picker,_Tobias", "url": "http://www.tobiaspicker.com/"} +{"d:Title": "Interview with Tobias Picker", "d:Description": "Comments about his life and art for Sequenza21 Contemporary Classical Music Weekly.", "topic": "Top/Arts/Music/Composition/Composers/P/Picker,_Tobias", "url": "http://www.sequenza21.com/picker.html"} +{"d:Title": "Tobias Picker", "d:Description": "Listing of operatic works with US Opera plus brief biography and recommended CDs.", "topic": "Top/Arts/Music/Composition/Composers/P/Picker,_Tobias", "url": "http://usopera.com/composers/picker.html"} +{"d:Title": "Tobias Picker", "d:Description": "Listing at the Lied and Art Songs Text Page with links to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/P/Picker,_Tobias", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5340"} +{"d:Title": "Picker, Tobias", "d:Description": "Works, life, photograph, comments on performances, and related material from Scholl Music.", "topic": "Top/Arts/Music/Composition/Composers/P/Picker,_Tobias", "url": "http://www.schott-music.com/shop/persons/az/tobias-picker/"} +{"d:Title": "Classical Net - Basic Repertoire List - Piston", "d:Description": "Biography, select works.", "topic": "Top/Arts/Music/Composition/Composers/P/Piston,_Walter_Hamor,_Jr.", "url": "http://www.classical.net/music/comp.lst/piston.html"} +{"d:Title": "Walter Piston", "d:Description": "PBS article includes biography, quotes from Leroy Anderson and Leonard Bernstein, compliments on his teaching ability, and mention of his textbooks.", "topic": "Top/Arts/Music/Composition/Composers/P/Piston,_Walter_Hamor,_Jr.", "url": "http://www.pbs.org/sleighride/Biography/Walter_Piston.htm"} +{"d:Title": "Walter Piston", "d:Description": "Biography, key works, selected discography, links.", "topic": "Top/Arts/Music/Composition/Composers/P/Piston,_Walter_Hamor,_Jr.", "url": "http://www.musicsalesclassical.com/composer/short-bio/Walter-Piston"} +{"d:Title": "Walter Piston", "d:Description": "Music overview, life, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/P/Piston,_Walter_Hamor,_Jr.", "url": "http://musicalics.com/en/node/81063"} +{"d:Title": "John Pitts", "d:Description": "Summary of life plus links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/P/Pitts,_John_Michael", "url": "http://musicalics.com/en/node/80810"} +{"d:Title": "Ildebrando Pizzetti", "d:Description": "Listing from his home town of Parma focuses on his musical development, various positions held, and notable dramatic and orchestral works.", "topic": "Top/Arts/Music/Composition/Composers/P/Pizzetti,_Ildebrando", "url": "http://www.parmaitaly.com/pizzettik.html"} +{"d:Title": "Ildebrando Pizzetti", "d:Description": "Filmography at IMDb lists movies for which he either composed the entire score or provided original music.", "topic": "Top/Arts/Music/Composition/Composers/P/Pizzetti,_Ildebrando", "url": "http://www.imdb.com/name/nm0686205/"} +{"d:Title": "Filmtracks: Basil Poledouris", "d:Description": "A tribute with listings of past and future scoring projects. Includes reviews of selected films, information on CD availability, and biographical, musical, and general background.", "topic": "Top/Arts/Music/Composition/Composers/P/Poledouris,_Basil", "url": "http://www.filmtracks.com/composers/poledouris.shtml"} +{"d:Title": "Basil Poledouris", "d:Description": "Filmography at IMDb with composer, conductor, musician, and actor credits for movies and television.", "topic": "Top/Arts/Music/Composition/Composers/P/Poledouris,_Basil", "url": "http://www.imdb.com/name/nm0006231/"} +{"d:Title": "Amilcare Ponchielli", "d:Description": "List of his operatic works and birth and death dates from Stanford University.", "topic": "Top/Arts/Music/Composition/Composers/P/Ponchielli,_Amilcare", "url": "http://opera.stanford.edu/Ponchielli/"} +{"d:Title": "Amilcare Ponchielli", "d:Description": "Filmography at IMDb lists appearances of his music in various movies.", "topic": "Top/Arts/Music/Composition/Composers/P/Ponchielli,_Amilcare", "url": "http://www.imdb.com/name/nm0690321/"} +{"d:Title": "Amilcare Ponchielli", "d:Description": "Biographical information and list of operas from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/P/Ponchielli,_Amilcare", "url": "http://musicalics.com/en/node/81079"} +{"d:Title": "Porpora Project: Nicola Porpora", "d:Description": "Investigation into his life and works by performer and musicologist James Sanderson. Includes new editions, discussion, articles, and visitors input.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/Porpora,_Nicola_Antonio_Giacinto", "url": "http://www.porporaproject.com/"} +{"d:Title": "Nicola Antonio Porpora", "d:Description": "Biography, portraits, partial discography, related composers, and period information from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/P/Porpora,_Nicola_Antonio_Giacinto", "url": "http://www.hoasm.org/VIIIB/Porpora.html"} +{"d:Title": "Nicola Porpora", "d:Description": "Wikipedia article summarizing his life and works with internal references to related people and topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/P/Porpora,_Nicola_Antonio_Giacinto", "url": "http://en.wikipedia.org/wiki/Nicola_Porpora"} +{"d:Title": "Porpora, Nicola", "d:Description": "Biography linked to related composers and explanatory notes from Timothy A. Smith's Sojourn pages.", "topic": "Top/Arts/Music/Composition/Composers/P/Porpora,_Nicola_Antonio_Giacinto", "url": "http://jan.ucc.nau.edu/~tas3/porpora.html"} +{"d:Title": "Porpora, Nicola Antonio", "d:Description": "Extended biography with reference and explanatory notes, portrait, and links. By James Sanderson for Baroque Composers.", "topic": "Top/Arts/Music/Composition/Composers/P/Porpora,_Nicola_Antonio_Giacinto", "url": "http://www.baroquecomposers.com/composer.htm?composer_ID=1"} +{"d:Title": "Niccolo Porpora", "d:Description": "Entry with the Lied and Art Songs Text Page with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/P/Porpora,_Nicola_Antonio_Giacinto", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5378"} +{"d:Title": "Quincy Porter", "d:Description": "Biography by David C. F. Wright, 1998.", "topic": "Top/Arts/Music/Composition/Composers/P/Porter,_Quincy", "url": "http://www.musicweb-international.com/porter/"} +{"d:Title": "Francis Poulenc", "d:Description": "Biography including links to related people, photograph, and selected discography from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/P/Poulenc,_Francis_Jean_Marcel", "url": "http://www.classical.net/music/comp.lst/poulenc.html"} +{"d:Title": "Francis Poulenc", "d:Description": "Catalogue of freely downloadable MP3 audio files and links to related resources from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/P/Poulenc,_Francis_Jean_Marcel", "url": "http://www.classiccat.net/poulenc_f/"} +{"d:Title": "Francis Poulenc", "d:Description": "Wikipedia entry with summary information, detailed biography, internal links to related topics and people, and list of works.", "topic": "Top/Arts/Music/Composition/Composers/P/Poulenc,_Francis_Jean_Marcel", "url": "http://en.wikipedia.org/wiki/Francis_Poulenc"} +{"d:Title": "Francis Poulenc", "d:Description": "Find A Grave entry with birth and death dates, photographs at different ages, pictures of tomb and cemetery, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/P/Poulenc,_Francis_Jean_Marcel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7729&pt=Francis+Poulenc"} +{"d:Title": "Francis Poulenc (1899-1963)", "d:Description": "Brief summary of life and influences with comments on stage works, orchestral, choral and vocal, chamber, and piano music. Includes HNH/Naxos discography and caricature.", "topic": "Top/Arts/Music/Composition/Composers/P/Poulenc,_Francis_Jean_Marcel", "url": "http://www.naxos.com/composerinfo/821.htm"} +{"d:Title": "Classical Archives: Francis Poulenc", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Poulenc,_Francis_Jean_Marcel", "url": "http://www.classicalarchives.com/composer/3188.html"} +{"d:Title": "Francis Poulenc", "d:Description": "Filmography at IMDb lists movies and television shows based on or otherwise using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/P/Poulenc,_Francis_Jean_Marcel", "url": "http://www.imdb.com/name/nm0693590/"} +{"d:Title": "Mel Powell", "d:Description": "Filmography at IMDb also includes his marriage to actress Martha Scott.", "topic": "Top/Arts/Music/Composition/Composers/P/Powell,_Melvin_D.", "url": "http://www.imdb.com/name/nm0694232/"} +{"d:Title": "Mel Powell", "d:Description": "Photograph, biography, works list, selected discography and news.", "topic": "Top/Arts/Music/Composition/Composers/P/Powell,_Melvin_D.", "url": "http://www.musicsalesclassical.com/composer/short-bio/Mel-Powell"} +{"d:Title": "Mel Powell", "d:Description": "Musicalics entry with summaries of life and music includihg major prizes and honors and work with Benny Goodman and Glenn Miller.", "topic": "Top/Arts/Music/Composition/Composers/P/Powell,_Melvin_D.", "url": "http://musicalics.com/en/node/81093"} +{"d:Title": "The meeting@livingroom.org mailing list: Mel Powell", "d:Description": "This is a tribute to the composer by harpist Susie Allen.", "topic": "Top/Arts/Music/Composition/Composers/P/Powell,_Melvin_D.", "url": "http://www.livingroommusic.org/archives/0317.html"} +{"d:Title": "The meeting@livingroom.org mailing list: Mel Powell", "d:Description": "Article by Zona Hostetler, mother of one of Powell's students who died young, describing some incidents in the 75 year old composer's last days.", "topic": "Top/Arts/Music/Composition/Composers/P/Powell,_Melvin_D.", "url": "http://www.livingroommusic.org/archives/0313.html"} +{"d:Title": "Amit Poznanski", "d:Description": "Filmography with the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/P/Poznansky,_Amit", "url": "http://www.imdb.com/name/nm0694782/"} +{"d:Title": "Amit Poznansky", "d:Description": "Biography with partial works list focusing on theater, film, and other media, audio samples in MP3 format, gallery, links, and contacts.", "topic": "Top/Arts/Music/Composition/Composers/P/Poznansky,_Amit", "url": "http://www.freewebs.com/poznansky/"} +{"d:Title": "Hieronymus Praetorius", "d:Description": "Biography and notable works from the Here Of A Sunday Morning radio program. Includes related material on music for organ and lute.", "topic": "Top/Arts/Music/Composition/Composers/P/Praetorius,_Hieronymus", "url": "http://www.hoasm.org/IVE/PraetoriusH.html"} +{"d:Title": "Michael Praetorius", "d:Description": "Biography from the Here Of A Sunday Morning radio program with outline of his musical heritage and life. Includes major compositions, theoretical books, partial discography, and link to Renaissance dance music.", "topic": "Top/Arts/Music/Composition/Composers/P/Praetorius,_Michael", "url": "http://www.hoasm.org/IVG/PraetoriusM.html"} +{"d:Title": "Cyber Hymnal: Michael Praetorius", "d:Description": "Biographical note, 2 MIDI hymns.", "topic": "Top/Arts/Music/Composition/Composers/P/Praetorius,_Michael", "url": "http://www.hymntime.com/tch/bio/p/r/a/praetorius_m.htm"} +{"d:Title": "Zbigniew Preisner", "d:Description": "Official site. Contains information regarding his music and his life, including biography, discography, film scores, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/P/Preisner,_Zbigniew", "url": "http://www.preisner.com/"} +{"d:Title": "Zbigniew Preisner", "d:Description": "Filmography at IMDb with American and international titles.", "topic": "Top/Arts/Music/Composition/Composers/P/Preisner,_Zbigniew", "url": "http://www.imdb.com/name/nm0006237/"} +{"d:Title": "Dominique Probst", "d:Description": "Biographical details with familial information, representative works, photograph, and musical background from Casadesus family web pages.", "topic": "Top/Arts/Music/Composition/Composers/P/Probst,_Dominique", "url": "http://www.casadesus.com/UK/famille/dominique-probst.html"} +{"d:Title": "Dominique Probst", "d:Description": "Filmography noting films for which he composed or in which he acted listed with the Internet Movie Database [IMDB].", "topic": "Top/Arts/Music/Composition/Composers/P/Probst,_Dominique", "url": "http://www.imdb.com/name/nm0698240/"} +{"d:Title": "Prokofiev's The Fiery Angel: An Allegorical Lampooning of Stravinsky?", "d:Description": "An essay exploring the title question, by Robert Cummings.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.classical.net/music/comp.lst/works/stravinsky/fieryangel.html"} +{"d:Title": "Serge Prokofiev Association", "d:Description": "International organization run with the participation of the Prokofiev family that provides the latest news on Prokofiev events, recordings and performances around the world. Also home to the Serge Prokofiev Archive home page and the online version of Three Oranges, the quarterly print journal from the Association.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.sprkfv.net/"} +{"d:Title": "Sergey Prokofiev: Mystery and Contradiction", "d:Description": "Essay discussing Prokofiev's motives for returning to the Soviet Union and his desire for \"greater simplicity.\" Written by Chad Twedt.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.twedt.com/prokofiev.html"} +{"d:Title": "Prokofiev: Prisoner of the State", "d:Description": "Part of Ian McDonald's \"Music Under Soviet Rule\" site. In depth essay on the Prokofiev's relationship with the Soviet regime.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.siue.edu/~aho/musov/sergei.html"} +{"d:Title": "Prokofiev Basic Repertoire", "d:Description": "Basic repertoire list along with recommended recordings of each. Maintained by ClassicalNet editors.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.classical.net/music/comp.lst/prokofieff.html"} +{"d:Title": "Sergei Prokofiev", "d:Description": "Biographical material, links, and directory of MP3 audio files at Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.classiccat.net/prokofiev_s/"} +{"d:Title": "Serge Prokofiev Archive and Foundation", "d:Description": "Website for both the Serge Prokofiev Archive, maintained at Goldsmiths College, University of London, as well as the Serge Prokofiev Foundation, created by Lina Prokofiev to further the knowledge of Prokofiev's life and works and to encourage research.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.sprkfv.net/indexin.html"} +{"d:Title": "Sergei Prokofiev: Composer in Exile", "d:Description": "Brief biography, photograph, selected works, quotes, discography, chronology, and related articles from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=71"} +{"d:Title": "Sergei Prokofiev", "d:Description": "Wikipedia article with biography of early years, life abroad, and return to Russia with works, internal references to related people and topics, and external links.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://en.wikipedia.org/wiki/Sergei_Prokofiev"} +{"d:Title": "Serge Prokofiev Archive", "d:Description": "List of holdings with context, content, access and use details, archival information, allied materials, description notes, and links to the related ARCHON directory of the British National Archives.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.aim25.ac.uk/cgi-bin/frames/browse2?inst_id=59&coll_id=5644&expand=5644A"} +{"d:Title": "Sergei Prokofiev", "d:Description": "Find A Grave listing with biography, photographs, pictures of tomb and Novo-Devichy Cemetery, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2499&pt=Sergei+Prokofiev"} +{"d:Title": "Sincerity in Music: Sergei Prokofiev's Classical Symphony", "d:Description": "Analysis of Prokofiev's 'Classical' Symphony by composer and music educator Edward Green.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://edgreenmusic.org/Prokofie-a.htm"} +{"d:Title": "Sergey Prokofiev (1891-1953)", "d:Description": "Biographical sketch, caricature, commentary on stage works and orchestral, choral and vocal, chamber, piano, and children's music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.naxos.com/composerinfo/827.htm"} +{"d:Title": "Sergei Prokofiev", "d:Description": "Biography from the Piano Society with photograph and selected MP3 audio recordings of his works.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://pianosociety.com/cms/index.php?section=185"} +{"d:Title": "Serge Prokofiev - mfiles bio", "d:Description": "Biography, photograph, principle works - concert and film music, recommendations and reviews.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.mfiles.co.uk/composers/Sergei-Prokofiev.htm"} +{"d:Title": "Classical Archives: Sergei Prokofiev", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.classicalarchives.com/composer/3192.html"} +{"d:Title": "Compositions by Sergei Prokofiev", "d:Description": "Chronological listing of Prokofiev's compositions, compiled and maintained by Onno van Rijen.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://home.online.nl/ovar/prokwork.htm"} +{"d:Title": "Sergei Prokofiev", "d:Description": "Filmography at the Internet Movie Database with list of movies based on or using his compositions plus writing and acting credits.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.imdb.com/name/nm0006241/"} +{"d:Title": "Sergey Sergeyevich Prokofiev", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics with Russian in Cyrillic and transliteration.", "topic": "Top/Arts/Music/Composition/Composers/P/Prokofiev,_Sergei_Sergeyevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2244"} +{"d:Title": "Giacomo Puccini - Centro Studi", "d:Description": "Collect and disseminate information about Giacomo Puccini and fin-de-si\u00e8cle opera in general, and encourage the study of the composer and his works, as well as their performance, throughout the world.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.puccini.it/"} +{"d:Title": "Choral School Giacomo Puccini - Sassuolo (MO) Italy", "d:Description": "A mixed choir, composed of over 50 members, directed by Francesco Saguatti. Repertoire includes some of the composer's works and provides recordings in MP3 format .", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.coralepuccini.it/index_en.htm"} +{"d:Title": "Giacomo Puccini", "d:Description": "Biography highlighting major compositions with links to samples in Real Audio RAM format.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.lessontutor.com/bf_puccini.html"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.classiccat.net/puccini_g/"} +{"d:Title": "The Theatrical Magic of Giacomo Puccini", "d:Description": "Includes biography, key works, quotes, discography, timeline, suggested reading, and further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=57"} +{"d:Title": "Giacomo Puccini", "d:Description": "Wikipedia article with biography, list of operas, external links, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://en.wikipedia.org/wiki/Giacomo_Puccini"} +{"d:Title": "Giacomo Puccini", "d:Description": "Find A Grave listing with birth, death, brief biography, details of his entombment, photographs, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2177&pt=Giacomo+Puccini"} +{"d:Title": "Giacomo Antonio Domenico Michele Secondo Maria Puccini", "d:Description": "Birth and death dates, portrait, list of operas with premiere dates and places and selected libretti, creators of roles, and links. From Opera Glass.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://opera.stanford.edu/Puccini/main.html"} +{"d:Title": "Giacomo Puccini (1858-1924)", "d:Description": "Brief biographical sketch, caricature, summaries of his operas and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.naxos.com/composerinfo/828.htm"} +{"d:Title": "Puccini, Giacomo (1858 - 1924)", "d:Description": "Short biography and synopses of the twelve operas. Links to recommended recordings which contain sample tracks.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.naxos.com/composerinfo/Giacomo_Puccini/20991.htm"} +{"d:Title": "Classical Archives: Giacomo Puccini", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.classicalarchives.com/composer/3195.html"} +{"d:Title": "Giacomo Puccini", "d:Description": "Filmography at IMDb lists motion pictures based on or using his music.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.imdb.com/name/nm0006242/"} +{"d:Title": "Giacomo Puccini", "d:Description": "Brief biography, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://musicalics.com/en/node/81109"} +{"d:Title": "Giacomo Puccini", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/P/Puccini,_Giacomo_Antonio_Domenico_Michele_Secondo_Maria", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2252"} +{"d:Title": "Henry Purcell Lyrics", "d:Description": "Texts, lyrics, and librettos from Dido and Aeneas, Indian Queen, and his Odes and Welcome Songs.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.steenslid.com/music/purcell/Lyrics/index.htm"} +{"d:Title": "Henry Purcell", "d:Description": "Biography noting unsolved proof of parentage and early life, portraits, related composers, partial discography from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.hoasm.org/VIIA/Purcell.html"} +{"d:Title": "Henry Purcell: a concise biography", "d:Description": "Portrait, life and work, at Court, the Chapel Royal, his Theater Music, Odes, and chamber music for London Music Societies. Music samples.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.baroquemusic.org/bqxpurcell.html"} +{"d:Title": "Henry Purcell: Nine Trio Sonatas (1697)", "d:Description": "Nine Trio Sonatas from the 1697 set of 10. CD $8.95. Detailed content description and music samples. Baroque Music Club BMC 9. Pini, Tunnell, Lester.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.baroquecds.com/09Web.html"} +{"d:Title": "Henry Purcell: Music for the London Theatre", "d:Description": "Incidental Theatre Music for Distressed Innocence, Abdelazer, Amphitryon, Fairy Queen, and chamber music. Accademia Monteverdiana. CD information plus music samples.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.baroquecds.com/10Web.html"} +{"d:Title": "Classic Cat: Purcell", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.classiccat.net/purcell_h/"} +{"d:Title": "Henry Purcell: Odes, Anthems, Fantasias. Deller Consort CD.", "d:Description": "CD illustration, biography, and music samples.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.baroquecds.com/39Web.html"} +{"d:Title": "Henry Purcell: Composer of the First Great English Opera", "d:Description": "Biography, suggested reading, timeline, key works, recommended recordings, Purcell quotes, and links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=26"} +{"d:Title": "Classical Archives: Henry Purcell", "d:Description": "Biography, files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.classicalarchives.com/composer/3198.html"} +{"d:Title": "Purcell's Works", "d:Description": "Index presents all of Purcell's works divided into categories. By Lewis Morton.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.lmconsult.com/xpf.html"} +{"d:Title": "Henry Purcell", "d:Description": "Filmography at IMDb lists motion pictures using or based on his works.", "topic": "Top/Arts/Music/Composition/Composers/P/Purcell,_Henry", "url": "http://www.imdb.com/name/nm0700722/"} +{"d:Title": "Quantz, Johann Joachim", "d:Description": "Timothy A. Smith outlines his life, works, and related composers.", "topic": "Top/Arts/Music/Composition/Composers/Q/Quantz,_Johann_Joachim", "url": "http://jan.ucc.nau.edu/~tas3/quantz.html"} +{"d:Title": "Johann Joachim Quantz", "d:Description": "Biography from the Here Of A Sunday Morning radio program. Includes relationships with Zelenka and Frederick the Great and links to period-specific information.", "topic": "Top/Arts/Music/Composition/Composers/Q/Quantz,_Johann_Joachim", "url": "http://www.hoasm.org/XIB/Quantz.html"} +{"d:Title": "Classical Archives: Johann Joachim Quantz", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/Q/Quantz,_Johann_Joachim", "url": "http://www.classicalarchives.com/composer/3200.html"} +{"d:Title": "Johann Joachim Quantz", "d:Description": "Musicalics entry outlines his life and relationship to Frederick the Great. Includes links.", "topic": "Top/Arts/Music/Composition/Composers/Q/Quantz,_Johann_Joachim", "url": "http://musicalics.com/en/node/81117"} +{"d:Title": "Quesnel: Lucas et Cecile", "d:Description": "Review, summary, and synopsis of the opera's 2001 production in the Glenn Gould Studio, Toronto, Canada.", "topic": "Top/Arts/Music/Composition/Composers/Q/Quesnel,_Joseph", "url": "http://www.naxos.com/NewDesign/fopinions.files/bopinions.files/Music_News1a.htm"} +{"d:Title": "Joseph Quesnel", "d:Description": "Biography and portrait from Canadian Theatre Encyclopedia focuses on his stage works.", "topic": "Top/Arts/Music/Composition/Composers/Q/Quesnel,_Joseph", "url": "http://www.canadiantheatre.com/dict.pl?term=Quesnel,+Joseph"} +{"d:Title": "Classic Cat: Rachmaninov", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Rachmaninov,_Sergei_Vasilyevich", "url": "http://www.classiccat.net/rachmaninov_s/"} +{"d:Title": "Sergei Rachmaninov - Biography", "d:Description": "Biography, key works, timeline, recommended recordings, quotes, and suggested further resources from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/R/Rachmaninov,_Sergei_Vasilyevich", "url": "http://www.humanitiesweb.org/human.php?s=c&p=a&a=i&ID=751"} +{"d:Title": "Rachmaninoff Society", "d:Description": "Includes articles and contact information.", "topic": "Top/Arts/Music/Composition/Composers/R/Rachmaninov,_Sergei_Vasilyevich", "url": "http://www.classical.net/music/guide/society/rach/"} +{"d:Title": "Sergei Vassilievich Rachmaninoff", "d:Description": "Classical Net Basic Repertoire List resources, selected discography, and additional resources.", "topic": "Top/Arts/Music/Composition/Composers/R/Rachmaninov,_Sergei_Vasilyevich", "url": "http://www.classical.net/music/comp.lst/rachmaninoff.php"} +{"d:Title": "Classical Archives: Sergey Vasilyevich Rachmaninov", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Rachmaninov,_Sergei_Vasilyevich", "url": "http://www.classicalarchives.com/composer/3203.html"} +{"d:Title": "Sergei Rachmaninov", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/R/Rachmaninov,_Sergei_Vasilyevich", "url": "http://www.imdb.com/name/nm0006245/"} +{"d:Title": "Joachim Raff Society", "d:Description": "Official site includes biography, news, descriptions of many pieces, message board, catalogue of works sorted by opus and genre, discography and recommended recordings, RAM audio samples, and society information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Raff,_Joseph_Joachim", "url": "http://www.raff.org/"} +{"d:Title": "Joachim Raff", "d:Description": "Profile by David Wright for MusicWeb(UK) discusses his place among his contemporaries, noting how his allegiance to older forms and dislike of the newer German music at times kept him out of the musical mainstream.", "topic": "Top/Arts/Music/Composition/Composers/R/Raff,_Joseph_Joachim", "url": "http://www.musicweb-international.com/classrev/2002/July02/Raff_profile.htm"} +{"d:Title": "Classical Archives: Joseph Raff", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/R/Raff,_Joseph_Joachim", "url": "http://www.classicalarchives.com/composer/8670.html"} +{"d:Title": "Joseph Joachim Raff", "d:Description": "Musicalics. entry focusing on his music and contradicting the opinion that he was a superficial, unimportant composer. Includes links to related topics.", "topic": "Top/Arts/Music/Composition/Composers/R/Raff,_Joseph_Joachim", "url": "http://musicalics.com/en/node/81128"} +{"d:Title": "GS Rajan", "d:Description": "Information about this flautist and his concerts and compositions. Includes upcoming events, publicity material and videos.", "topic": "Top/Arts/Music/Composition/Composers/R/Rajan,_GS", "url": "http://www.malabarian.com/"} +{"d:Title": "Rameau, Jean-Philippe", "d:Description": "Catholic Encyclopedia article with life, summaries of religious and secular works, and commentary on his advances in music theory and composition.", "topic": "Top/Arts/Music/Composition/Composers/R/Rameau,_Jean-Philippe", "url": "http://www.newadvent.org/cathen/12637c.htm"} +{"d:Title": "Jean-Philippe Rameau", "d:Description": "Biography and illustration with works list from the Here Of A Sunday Morning radio program. Includes links to partial discography, composers of the court of Louis XIV, and explanations of ballet and opera.", "topic": "Top/Arts/Music/Composition/Composers/R/Rameau,_Jean-Philippe", "url": "http://www.hoasm.org/VIIF/Rameau.html"} +{"d:Title": "Jean-Philippe Rameau", "d:Description": "Links, recommended recordings and books, new and forthcoming recordings, music editions and pictures from NewOlde.com.", "topic": "Top/Arts/Music/Composition/Composers/R/Rameau,_Jean-Philippe", "url": "http://www.newolde.com/rameau.htm"} +{"d:Title": "Jean-Philippe Rameau: Le Site", "d:Description": "A site dedicated to his works and life, providing librettos, bibliography, discography, and information about new issues, editions, and performances. French and English.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Rameau,_Jean-Philippe", "url": "http://jp.rameau.free.fr/"} +{"d:Title": "Classical Archives: Jean-Philippe Rameau", "d:Description": "Biography, files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Rameau,_Jean-Philippe", "url": "http://www.classicalarchives.com/composer/13700.html"} +{"d:Title": "Jean-Philippe Rameau", "d:Description": "Filmography at IMDb lists various movies and television broadcasts based upon or otherwise using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/R/Rameau,_Jean-Philippe", "url": "http://www.imdb.com/name/nm0708150/"} +{"d:Title": "Jean-Philippe Rameau", "d:Description": "Musicalics entry with major compositions and brief biography.", "topic": "Top/Arts/Music/Composition/Composers/R/Rameau,_Jean-Philippe", "url": "http://musicalics.com/en/node/81136"} +{"d:Title": "Ran, Shulamit (1949 - Living), Israel", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links further information from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/R/Ran,_Shulamit", "url": "http://stevenestrella.com/composers/composerfiles/shulamitliving.html"} +{"d:Title": "Shulamit Ran", "d:Description": "Faculty page from the Department of Music of the University of Chicago with biographical sketch, current projects, and photograph.", "topic": "Top/Arts/Music/Composition/Composers/R/Ran,_Shulamit", "url": "http://music.uchicago.edu/?ran"} +{"d:Title": "Bernard Rands", "d:Description": "Official site. Includes a short biography with photographs, news and performances, list of works, list of recordings, reviews, and contacts.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Rands,_Bernard", "url": "http://www.bernardrands.com/"} +{"d:Title": "Bernard Rands", "d:Description": "Listing at the Lied and Arts Songs Text Page with listing of vocal works including lyrics to those known to be public domain.", "topic": "Top/Arts/Music/Composition/Composers/R/Rands,_Bernard", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5433"} +{"d:Title": "Maurice Ravel", "d:Description": "Biography, historical introductions to some of his major works, and Real Audio RAM recordings of selected pieces.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravel,_Joseph_Maurice", "url": "http://www.lessontutor.com/bf_ravel.html"} +{"d:Title": "Maurice Ravel Frontispice", "d:Description": "Documented information about his works, life, friends, and personality, including religion, love, style, politics.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravel,_Joseph_Maurice", "url": "http://www.maurice-ravel.net/"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravel,_Joseph_Maurice", "url": "http://www.classiccat.net/ravel_m/"} +{"d:Title": "House of Maurice Ravel", "d:Description": "Background information and photograph of his birth home references his ongoing attachment to the town of Ciboure, where he later composed Bolero. Includes link to church where he was baptized.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravel,_Joseph_Maurice", "url": "http://www.touradour.com/towns/ciboure/cibravel.htm"} +{"d:Title": "Maurice Ravel: The Swiss Watchmaker of Music", "d:Description": "Brief biography, key works, suggested reading, timeline, recommended recordings, and quotes from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravel,_Joseph_Maurice", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=66"} +{"d:Title": "Classical Archives: Maurice Ravel", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravel,_Joseph_Maurice", "url": "http://www.classicalarchives.com/composer/3210.html"} +{"d:Title": "Maurice Ravel", "d:Description": "Filmography at IMDb listing movies and television shows using or based on his music. Includes basic biography and trivia.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravel,_Joseph_Maurice", "url": "http://www.imdb.com/name/nm0712315/"} +{"d:Title": "Thomas Ravenscroft (1592-1633)", "d:Description": "Facsimilies and modern editions of works with bibliography and discography. Links to related early music sites.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravenscroft,_Thomas", "url": "http://www.pbm.com/~lindahl/ravenscroft/"} +{"d:Title": "Thomas Ravenscroft", "d:Description": "Brief biography and discography with related composers from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravenscroft,_Thomas", "url": "http://www.hoasm.org/IVM/Ravenscroft.html"} +{"d:Title": "The Three Ravens", "d:Description": "Text from recmusic.org with Dutch translation and variants by Percy Grainger.", "topic": "Top/Arts/Music/Composition/Composers/R/Ravenscroft,_Thomas", "url": "http://www.lieder.net/lieder/get_text.html?TextId=16987"} +{"d:Title": "Max Reger", "d:Description": "Biographical essay, links to related composers, and recommended discography from Classical Net's Basic Repertoire.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.classical.net/music/comp.lst/reger.html"} +{"d:Title": "Max Reger's Fugues on 'Kunst der Fuge'", "d:Description": "Complete list of fugues and real performance on MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.kunstderfuge.com/reger.htm"} +{"d:Title": "Max Reger", "d:Description": "Biography, links, and directory of links to free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.classiccat.net/reger_m/"} +{"d:Title": "Max Reger", "d:Description": "Find A Grave listing with birth and death notes, biography, portraits, photographs of tomb, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7147604&pt=Max+Reger"} +{"d:Title": "Max Reger", "d:Description": "Short filmography noting cinematic use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.imdb.com/name/nm1167203/?fr=c2l0ZT1kZnxteD0yMHxzZz0xfGxtPTIwMHxwbj0wfHE9bWF4IHJlZ2VyfGh0bWw9MXxubT1vbg__;fc=1;ft=17;fm=1"} +{"d:Title": "Max Reger", "d:Description": "Wikipedia article with biography, partial works list, links, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://en.wikipedia.org/wiki/Max_Reger"} +{"d:Title": "Max Reger (1873-1916)", "d:Description": "Brief biographical sketch, summaries of orchestral, chamber, vocal and choral, piano, and organ music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.naxos.com/composerinfo/853.htm"} +{"d:Title": "Classical Archives: Max Reger", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.classicalarchives.com/composer/3215.html"} +{"d:Title": "Max Reger", "d:Description": "Summary of musical output, list of works, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://musicalics.com/en/node/81148"} +{"d:Title": "Max Reger", "d:Description": "Listing at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/R/Reger,_Johann_Baptist_Joseph_Maximilian", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5461"} +{"d:Title": "Steve Reich Interview", "d:Description": "From broadcast of radio program Fresh Air with Terry Gross. Real Audio RAM format, 28.8k and ISDN speeds.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://whyy.org/cgi-bin/FAshowretrieve.cgi?2869"} +{"d:Title": "Steve Reich", "d:Description": "Official site. Biography, news, discography, works, articles, concerts, sound files, and \"Three Tales\" a documentary digital video.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.stevereich.com/"} +{"d:Title": "Steve Reich", "d:Description": "Detailed biography, photograph, links, and recommended recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.classical.net/music/comp.lst/reich.html"} +{"d:Title": "Steve Reich", "d:Description": "Filmography information noting his compositional and writing credits with biographical information from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.imdb.com/name/nm0716962/"} +{"d:Title": "Steve Reich", "d:Description": "Wikipedia entry with detailed biographical and musicological information including notable works, links, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://en.wikipedia.org/wiki/Steve_Reich"} +{"d:Title": "Talking Music: Steve Reich", "d:Description": "Conversation with William Duckworth excerpted from a Schirmer book.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.o-art.org/history/50s&_60s/Minimalism/Reich/Talk-Reich.html"} +{"d:Title": "Steve Reich: Minimalism", "d:Description": "Excerpt from a 1993 book by Edward Strickland focusing on this defining aspect of his work.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.o-art.org/history/50s&_60s/Minimalism/Reich/Min-Reich.html"} +{"d:Title": "Steve Reich: Early Tape Pieces", "d:Description": "Conversation with Jason Gross which grew out of research for the book OHM: The Early Gurus of Electronic Music. Includes photograph.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.furious.com/perfect/ohm/reich2.html"} +{"d:Title": "Steve Reich: Violin Phase", "d:Description": "Flash-powered illustration of an excerpt showing overlapping audio signals.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.egzero.org/reich.html"} +{"d:Title": "An Interview with Steve Reich", "d:Description": "Transcript from the Minnesota Public Radio program American Mavericks involving a conversation with Gabrielle Zuckerman.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://musicmavericks.publicradio.org/features/interview_reich.html"} +{"d:Title": "Steve Reich", "d:Description": "Analysis and commentary by persussionist Malcolm Ball including Reich's influence on his own work.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://www.oliviermessiaen.org/malcolmball/reich.htm"} +{"d:Title": "Steve Reich", "d:Description": "Interview from 1999 by Marc Weidenbaum focusing on the album Reich Remixed. Published in Disquiet in 2004.", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://disquiet.com/2004/01/29/the-public-record/"} +{"d:Title": "Steve Reich", "d:Description": "Article that originally appeared in EST #3, and also appears in slightly different form in Roger Sutherland's book, \"New Perspectives in Music\"", "topic": "Top/Arts/Music/Composition/Composers/R/Reich,_Steve", "url": "http://media.hyperreal.org/zines/est/articles/reich.html"} +{"d:Title": "Classical Archives: Carl Reinecke", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/R/Reinecke,_Carl_Heinrich_Carsten", "url": "http://www.classicalarchives.com/composer/3220.html"} +{"d:Title": "Carl Reinecke", "d:Description": "Musicalics entry with biography and relationship to Brahms, Schumann, and Mendelssohn. Includes comments on his music and links.", "topic": "Top/Arts/Music/Composition/Composers/R/Reinecke,_Carl_Heinrich_Carsten", "url": "http://musicalics.com/en/node/80580"} +{"d:Title": "Ottorino Respighi", "d:Description": "Wikipedia article examining his works and life, including abilities as musicologist, plus internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://en.wikipedia.org/wiki/Ottorino_Respighi"} +{"d:Title": "Ottorino Respighi: The Eternal Child", "d:Description": "Photograph, biographical timeline, key works, and recommended recordings from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=126"} +{"d:Title": "Ottorino Respighi", "d:Description": "Find A Grave listing with summary of musical abilities, portrait photograph, picture of his tomb, cemetery information, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6901790&pt=Ottorino+Respighi"} +{"d:Title": "Ottorino Respighi", "d:Description": "Filmography at Internet Movie Database with biographical background and list of movies using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://www.imdb.com/name/nm0720360/"} +{"d:Title": "Ottorino Respighi", "d:Description": "Brief biographical sketch, comments on orchestral music and arrangements, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://www.naxos.com/composerinfo/857.htm"} +{"d:Title": "Ottorino Respighi", "d:Description": "Official site of the Respighi Society, hosted by Music Web. Biographical details, interview with his widow, reminiscences, recommended recordings, details of selected works, membership information, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://www.musicweb-international.com/respighi/"} +{"d:Title": "Classical Archives: Ottorino Respighi", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://www.classicalarchives.com/composer/3223.html"} +{"d:Title": "Ottorino Respighi", "d:Description": "Comments on his works, bi-lingual biographies in English and Dutch, photograph, and internal references from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://musicalics.com/en/node/80583"} +{"d:Title": "Ottorino Respighi", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, some with English translations.", "topic": "Top/Arts/Music/Composition/Composers/R/Respighi,_Ottorino", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5483"} +{"d:Title": "Silvestre Revueltas", "d:Description": "Biography, works, discography, and extended essay by Roberto Kolb Neuhaus from Peermusic Classical.", "topic": "Top/Arts/Music/Composition/Composers/R/Revueltas,_Silvestre", "url": "http://www.peermusicclassical.com/composer/composerdetail.cfm?detail=revueltas"} +{"d:Title": "Silvestre Revueltas", "d:Description": "Filmography at IMDb with compositional and acting credits.", "topic": "Top/Arts/Music/Composition/Composers/R/Revueltas,_Silvestre", "url": "http://www.imdb.com/name/nm0720956/"} +{"d:Title": "Roger Reynolds", "d:Description": "Official site. Includes publisher and management companies, writings, sound samples, current activities, commentary, upcoming performances, works, recordings, bio-history in English, German, and Spanish, Xenakis conversation, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Reynolds,_Roger", "url": "http://www.rogerreynolds.com/"} +{"d:Title": "Perfect Sound Forever : Terry Riley interview", "d:Description": "March 1997 interview by Theresa Stern.", "topic": "Top/Arts/Music/Composition/Composers/R/Riley,_Terry", "url": "http://www.furious.com/perfect/terryriley.html"} +{"d:Title": "Other Minds: Terry Riley", "d:Description": "Picture. biography, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/R/Riley,_Terry", "url": "http://www.otherminds.org/shtml/Riley.shtml"} +{"d:Title": "Lovely Music: Terry Riley", "d:Description": "Picture, biography, and discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Riley,_Terry", "url": "http://www.lovely.com/artists/a-riley.html"} +{"d:Title": "NewMusicBox: Terry Riley", "d:Description": "Terry Riley in conversation with Frank J. Oteri.", "topic": "Top/Arts/Music/Composition/Composers/R/Riley,_Terry", "url": "http://www.newmusicbox.org/page.nmbx?id=26fp00"} +{"d:Title": "Terry Riley", "d:Description": "Wikipedia article with life, musical style and techniques, notable works, internal links to related people and topics, external links, and references.", "topic": "Top/Arts/Music/Composition/Composers/R/Riley,_Terry", "url": "http://en.wikipedia.org/wiki/Terry_Riley"} +{"d:Title": "Terry Riley", "d:Description": "Filmography showing credits for composition, acting, and musicianship from the Internet Movie Database. Also notes his connection with the rock bank The Who through the song \"Baba O'Riley.\"", "topic": "Top/Arts/Music/Composition/Composers/R/Riley,_Terry", "url": "http://www.imdb.com/name/nm0727178/"} +{"d:Title": "Nikolai Rimsky-Korsakov", "d:Description": "Listing of operatic works from Stanford University's opera server with links to details on selected compositions.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://opera.stanford.edu/RimskyKorsakov/"} +{"d:Title": "Nikolay Rimsky-Korsakov (1844-1908)", "d:Description": "Life, creative work, and museums and other memorial means.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://www.russianlaw.net/RK/NARK.htm"} +{"d:Title": "Nikolai Rimsky-Korsakov", "d:Description": "Wikipedia article detailing his life and works with links to numerous related subjects and people plus a portrait.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://en.wikipedia.org/wiki/Nikolai_Rimsky-Korsakov"} +{"d:Title": "Nikolai Rimsky-Korsakov", "d:Description": "Find A Grave listing with biographical and musical information, photograph, picture of his tomb at the Alexander Nevsky Monastery in St. Petersburg, Russia, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2103&pt=Nikolai+Rimsky-Korsakov"} +{"d:Title": "Nikolai Rimsky-Korsakov", "d:Description": "Filmography showing cinematic and television use of his works and productions based on his operas with biographical sketch. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://www.imdb.com/name/nm0006253/"} +{"d:Title": "Nikolai Rimsky-Korsakov: Master of Orchestration", "d:Description": "Brief biography, selected works, quotations, discography, suggested reading, chronology, and related articles from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=127"} +{"d:Title": "Nikolay Andreyevich Rimsky-Korsakov (1844-1908)", "d:Description": "Brief biographical sketch, caricature, summaries of operatic and orchestral works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://www.naxos.com/composerinfo/867.htm"} +{"d:Title": "Classical Archives: Nikolay Rimsky-Korsakov", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://www.classicalarchives.com/composer/3231.html"} +{"d:Title": "Rimsky-Korsakov Home Page", "d:Description": "Biography, work list, comments on the composer, sound clips, discography, bibliography, links.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://sites.google.com/site/rimskyhome/"} +{"d:Title": "Nikolay Andreyevich Rimsky-Korsakov", "d:Description": "Works list by category, biography, links, and portrait from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://musicalics.com/en/node/80605"} +{"d:Title": "Nikolai Andreyevich Rimsky-Korsakov", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to Russian lyrics in Cyrillic and transliteration. Includes many in English translation.", "topic": "Top/Arts/Music/Composition/Composers/R/Rimsky-Korsakov,_Nicolai_Andreyevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5510"} +{"d:Title": "George Rochberg", "d:Description": "Program notes for the Sierra Chamber Society's performance of the Recordanza discussing how current thought and life experiences color memories both mental and musical.", "topic": "Top/Arts/Music/Composition/Composers/R/Rochberg,_George", "url": "http://www.fuguemasters.com/rochberg.html"} +{"d:Title": "Miscellaneous Songs by Rochberg", "d:Description": "Listing from recmusic.org includes alternate settings of certain pieces.", "topic": "Top/Arts/Music/Composition/Composers/R/Rochberg,_George", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5521"} +{"d:Title": "Joaquin Rodrigo", "d:Description": "A tribute. Available in Spanish and English. Quotes, biography, works, music for sale.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.joaquin-rodrigo.com/"} +{"d:Title": "Joaqu\u00edn Rodrigo", "d:Description": "Obituaries, biography, and links from Fuller Up, The Dead Musician Directory.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://elvispelvis.com/joaquinrodrigo.htm"} +{"d:Title": "Joaquin Rodrigo", "d:Description": "Find A Grave listing with birth, death, and burial information, noted works, photographs, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6654396&pt=Joaquin+Rodrigo"} +{"d:Title": "Joaqu\u00edn Rodrigo: Against the Odds", "d:Description": "Brief biography, key works, suggested reading, timeline, recommended recordings, and links. From Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=128"} +{"d:Title": "Joaquin Rodrigo", "d:Description": "Brief biographical sketch, caricature, summary of orchestral works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.naxos.com/composerinfo/874.htm"} +{"d:Title": "Joaqu\u00edn Rodrigo", "d:Description": "Wikipedia article with biographical material, internal references to related people and topics, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://en.wikipedia.org/wiki/Joaqu%C3%ADn_Rodrigo"} +{"d:Title": "Classical Archives: Joaqu\u00edn Rodrigo", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.classicalarchives.com/composer/7188.html"} +{"d:Title": "Joaqu\u00edn Rodrigo", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.imdb.com/name/nm0735006/"} +{"d:Title": "Joaqu\u00edn Rodrigo", "d:Description": "Listing at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5522"} +{"d:Title": "Joaquin Rodrigo: Famed Spanish Composer Dies", "d:Description": "Biographical obituary from the World Socialist Web Site includes printer-ready version.", "topic": "Top/Arts/Music/Composition/Composers/R/Rodrigo,_Joaqu\u00edn", "url": "http://www.wsws.org/en/articles/1999/07/rod-j13.html"} +{"d:Title": "Classical Net: Basic Repertoire List: Roman", "d:Description": "Brief discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Roman,_Johan_Helmich", "url": "http://www.classical.net/music/comp.lst/roman.html"} +{"d:Title": "Project Runeberg: Johan Helmich Roman", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/R/Roman,_Johan_Helmich", "url": "http://runeberg.org/roman/romantxt.html"} +{"d:Title": "Classical Archives: Johan Helmich Roman", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/R/Roman,_Johan_Helmich", "url": "http://www.classicalarchives.com/composer/3242.html"} +{"d:Title": "Herve", "d:Description": "Stage works with lyricists and premier places and dates.", "topic": "Top/Arts/Music/Composition/Composers/R/Ronger,_Florimond", "url": "http://www.musicaltheatreguide.com/composers/herve/herve.html"} +{"d:Title": "French Op\u00e9rette and Op\u00e9ra Bouffe", "d:Description": "An overview of the development of French Op\u00e9rette and Op\u00e9ra Bouffe, examining how these forms had their origin in a lunatic asylum in Paris.", "topic": "Top/Arts/Music/Composition/Composers/R/Ronger,_Florimond", "url": "http://www.theatrehistory.com/french/operette001.html"} +{"d:Title": "The Official Ned Rorem Website", "d:Description": "Includes several photographs, calendar of events, list of compositions, list of literary works, biography and reviews.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Rorem,_Ned", "url": "http://nedrorem.com/"} +{"d:Title": "Play Back: Ned Rorem", "d:Description": "An interview with the composer by Erik Philbrook.", "topic": "Top/Arts/Music/Composition/Composers/R/Rorem,_Ned", "url": "http://www.ascap.com/playback/1998/october/rorem.html"} +{"d:Title": "Ned Rorem", "d:Description": "Summary of his life and works from the PBS series, I Hear America Singing. Includes .RAM and .WAV audio of the art song, Snake.", "topic": "Top/Arts/Music/Composition/Composers/R/Rorem,_Ned", "url": "http://www.pbs.org/wnet/ihas/composer/rorem.html"} +{"d:Title": "Ned Rorem Visits", "d:Description": "Account of the composer's 2002 visit to Brooklyn College of CUNY and his discussion of the future of American art music and of the world in general.", "topic": "Top/Arts/Music/Composition/Composers/R/Rorem,_Ned", "url": "http://www.brooklyn.cuny.edu/bc/spotlite/slpress/032102.htm"} +{"d:Title": "Ned Rorem", "d:Description": "Biography from his publisher, Boosey and Hawkes. summarizes major portions of his life and works, emphasizing his art songs but opening a wider area for further exploration.", "topic": "Top/Arts/Music/Composition/Composers/R/Rorem,_Ned", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2740"} +{"d:Title": "Ned Rorem", "d:Description": "Musicalics entry with life, summary of works, and links to related composers and conductors.", "topic": "Top/Arts/Music/Composition/Composers/R/Rorem,_Ned", "url": "http://musicalics.com/en/node/80639"} +{"d:Title": "Rosenthal, Manuel (1904 - Living), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/R/Rosenthal,_Maurice", "url": "http://stevenestrella.com/composers/composerfiles/rosenthalliving.html"} +{"d:Title": "Naxos: Rosenthal, Manuel", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/R/Rosenthal,_Maurice", "url": "http://www.naxos.com/composerinfo/Moriz_Rosenthal_17688/17688.htm"} +{"d:Title": "Rosing-Schow, Niels", "d:Description": "(1954- ), Copenhagen, Denmark. Picture, biography, and work list, from Edition Wilhelm Hansen.", "topic": "Top/Arts/Music/Composition/Composers/R/Rosing-Schow,_Niels", "url": "http://www.musicsalesclassical.com/composer/short-bio/1328"} +{"d:Title": "Rosing-Schow, Niels", "d:Description": "Biography and recordings at Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/R/Rosing-Schow,_Niels", "url": "http://www.dacapo-records.dk/en/artist-niels-rosing-schow.aspx"} +{"d:Title": "Frederic Rossille", "d:Description": "Official site. Includes biography, catalogue, lyrics, score orders with PDF samples, audio in RA, RAM, MP3, and MIDI formats, concerts, photographs, films, news, discography, and contacts.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossille,_Frederic", "url": "http://frederic-rossille.net/"} +{"d:Title": "Rossini, Gioacchino (1792 - 1868), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://stevenestrella.com/composers/composerfiles/rossini1868.html"} +{"d:Title": "Rossini, Gioacchino Antonio", "d:Description": "Catholic Encyclopedia article focusing on his musical innovations and his church music.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.newadvent.org/cathen/13204b.htm"} +{"d:Title": "Classic Cat: Rossini", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.classiccat.net/rossini_g/"} +{"d:Title": "Gioacchino Rossini", "d:Description": "Biography, portrait, and pictures of original French burial place, the Cimeti\u00e8re du P\u00e8re Lachaise, with virtual memorial from Find A Grave.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1645&pt=Gioacchino+Rossini"} +{"d:Title": "Gioacchino Rossini", "d:Description": "Biography, portrait, and pictures of his cenotaph and the Santa Croce Church in Florence, with virtual memorial from Find A Grave.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1898&pt=Gioacchino+Rossini"} +{"d:Title": "Gioacchino Rossini", "d:Description": "Filmography tracing the extensive use of his works in motion pictures and television and productions based on various operas from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.imdb.com/name/nm0006261/"} +{"d:Title": "Gioacchino Rossini (1792 - 1868)", "d:Description": "Brief biography and caricature with summaries of operatic, church, and chamber music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.naxos.com/composerinfo/887.htm"} +{"d:Title": "Begin, My Tongue, Some Heavenly Theme", "d:Description": "Lyrics to Isaac Watts hymn with music from Rossini, arranged by Henry W. Greatorex, in MIDI format. Includes portrait and NWC format score.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.hymntime.com/tch/htm/b/e/beginmyt.htm"} +{"d:Title": "Classical Archives: Gioacchino Antonio Rossini", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.classicalarchives.com/composer/3250.html"} +{"d:Title": "Gioacchino Antonio Rossini", "d:Description": "Listing at the Lied and Art Songs Text Page with Italian lyrics to vocal works, many in English translation.", "topic": "Top/Arts/Music/Composition/Composers/R/Rossini,_Gioacchino_Antonio", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5555"} +{"d:Title": "Christopher Rouse", "d:Description": "Official site. Includes photographs, biography, works, recordings, contacts, links, and news and performances.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/R/Rouse,_Christopher", "url": "http://www.christopherrouse.com/"} +{"d:Title": "Christopher Rouse", "d:Description": "Includes a short biography, contact information, a select list of works, a discography and further information.", "topic": "Top/Arts/Music/Composition/Composers/R/Rouse,_Christopher", "url": "http://composers21.com/compdocs/rousec.htm"} +{"d:Title": "Classical Music Preview: Gloomy Composer Christopher Rouse Turns Toward the Light with \"Rapture\"", "d:Description": "Andrew Druckenbrod of the Post Gazette reviews the 11 minute orchestral work.", "topic": "Top/Arts/Music/Composition/Composers/R/Rouse,_Christopher", "url": "http://www.post-gazette.com/magazine/20000505rouse5.asp"} +{"d:Title": "Christopher Rouse", "d:Description": "Brief biographical sketch, photograph, and summary of orchestral music from Naxos.", "topic": "Top/Arts/Music/Composition/Composers/R/Rouse,_Christopher", "url": "http://www.naxos.com/composerinfo/6560.htm"} +{"d:Title": "Albert Roussel", "d:Description": "Biographical information and photograph from the Classical Net Basic Repertoire List. With list of recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/R/Roussel,_Albert_Charles_Paul_Marie", "url": "http://www.classical.net/music/comp.lst/roussel.html"} +{"d:Title": "Albert Roussel (1869-1937)", "d:Description": "Brief biographical sketch, caricature, link to further resources, summary of his stage works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Roussel,_Albert_Charles_Paul_Marie", "url": "http://www.naxos.com/composerinfo/856.htm"} +{"d:Title": "Albert Roussel", "d:Description": "Extensive biography and study of his music by David C. F. Wright from MusicWeb(UK). Special focus on the construction and style of his Symphony no. 4 in A, Op. 53.", "topic": "Top/Arts/Music/Composition/Composers/R/Roussel,_Albert_Charles_Paul_Marie", "url": "http://www.musicweb-international.com/classrev/2002/Sept02/Roussel.htm"} +{"d:Title": "Albert Roussel", "d:Description": "Selected works and brief biography with links to influences from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/R/Roussel,_Albert_Charles_Paul_Marie", "url": "http://musicalics.com/en/node/80666"} +{"d:Title": "Mikl\u00f3s R\u00f3zsa", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.americancomposers.org/raksin_rozsa.htm"} +{"d:Title": "Mikl\u00f3s R\u00f3zsa in Toronto and Hamilton", "d:Description": "Details of 1977 Ontario Film Institute including several photographs. Notes the composer's thoughts--not all flattering--about a number of contemporaries.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.mjq.net/rozsa/"} +{"d:Title": "Mikl\u00f3s R\u00f3zsa at M-G-M", "d:Description": "Review of CD covering highlights of his time with Metro-Goldwyn-Mayer. Notes similarities between his film and non-film work.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.filmtracks.com/titles/rozsa_mgm.html"} +{"d:Title": "Mikl\u00f3s R\u00f3zsa and The Thief of Bagdad", "d:Description": "Autobiographical account of the development of the score and various back stories, trials, and struggles. Illustrated with portraits, copies of letters, newspaper articles, and scenes from the film.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.powell-pressburger.org/Reviews/40_Thief/Thief06.html"} +{"d:Title": "R\u00f3zsa: Film Scores", "d:Description": "Classical Net review of the CD in 1998. Talks about his place among modern composers, his use of the theremin, and evaluates the various works on the disk.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.classical.net/music/recs/reviews/e/emi65993a.html"} +{"d:Title": "Miklos Rozsa - film composer", "d:Description": "This is the mfiles page for composer Miklos Rozsa, with career overview, soundtrack reviews and links to related composers.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.mfiles.co.uk/Composers/Miklos-Rozsa.htm"} +{"d:Title": "Miklos Rozsa: He Made Film Noir Sing", "d:Description": "Evaluation of his work in the genre during the 1950s, including Double Indemnity, The Lost Weekend, The Naked City, and The Asphalt Jungle.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.writing.upenn.edu/~afilreis/50s/rozsa-miklos-noir.html"} +{"d:Title": "Mikl\u00f3s R\u00f3zsa", "d:Description": "Filmography at IMDb including biography, composer, conductor, musical director, and actor credits, awards and nominations, and links.", "topic": "Top/Arts/Music/Composition/Composers/R/R\u00f3zsa,_Mikl\u00f3s", "url": "http://www.imdb.com/name/nm0000067/"} +{"d:Title": "Rubbra, Edmund", "d:Description": "(1901-1986)Includes an essay by Francis Routh on the composer's life and music, and a discography, from Classical Music on the Web.", "topic": "Top/Arts/Music/Composition/Composers/R/Rubbra,_Charles_Edmund", "url": "http://www.musicweb-international.com/rubbra/"} +{"d:Title": "Rubbra, Edmund", "d:Description": "(1901-1986) Biography and music from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/R/Rubbra,_Charles_Edmund", "url": "http://musicalics.com/en/node/80671"} +{"d:Title": "Anna Rubin: New Music Composer", "d:Description": "Background material, multimedia approach, style, and influences from Kalvos and Damian's New Music Bazaar. Includes links.", "topic": "Top/Arts/Music/Composition/Composers/R/Rubin,_Anna", "url": "http://kalvos.org/rubinan.html"} +{"d:Title": "Conservatory Backstage Pass: Rubin's Compositions Featured", "d:Description": "Introduction, photographs, program notes, and featured artists and contributors to a concert at Oberlin College.", "topic": "Top/Arts/Music/Composition/Composers/R/Rubin,_Anna", "url": "http://www.oberlin.edu/con/bkstage/199904/rubinconcert.html"} +{"d:Title": "Ruggles, Carl (n\u00e9e Charles Sprague Ruggles)", "d:Description": "Provides a brief article and a set of categorized links to other related sites. Genealogical information is provided on a separate page connecting him to a large family with origins in the 15th century.", "topic": "Top/Arts/Music/Composition/Composers/R/Ruggles,_Carl", "url": "http://freepages.history.rootsweb.ancestry.com/~dav4is/people/RUGG1206.htm"} +{"d:Title": "Mario Ruiz Armengol, Mexicam Pianist and Composer", "d:Description": "Official site. Life story, compositions, MP3 and MIDI samples of classical and jazz works, and feedback.", "topic": "Top/Arts/Music/Composition/Composers/R/Ruiz_Armengol,_Mario", "url": "http://www.mruizarmengol.com/english/index.html"} +{"d:Title": "Mario Ruiz Armengol", "d:Description": "Filmography at IMDb includes composition, acting, and related credits.", "topic": "Top/Arts/Music/Composition/Composers/R/Ruiz_Armengol,_Mario", "url": "http://www.imdb.com/name/nm0749581/"} +{"d:Title": "George Russell", "d:Description": "(1923- ), Cincinnati, Ohio. Pictures, biography, The Lydian Chromatic Concept, discography, and The Living Time Orchestra.", "topic": "Top/Arts/Music/Composition/Composers/R/Russell,_George", "url": "http://www.georgerussell.com/"} +{"d:Title": "John Rutter", "d:Description": "Wikipedia article summarizing his life and works with internal references to related topics and links.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "http://en.wikipedia.org/wiki/John_Rutter"} +{"d:Title": "John Rutter", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "http://www.classiccat.net/rutter_j/"} +{"d:Title": "John Rutter, Conductor", "d:Description": "Short biography from Bach Cantatas emphasizing his directing of Bach works.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "http://www.bach-cantatas.com/Bio/Rutter-John.htm"} +{"d:Title": "John Rutter", "d:Description": "Biographical sketch summarizing his musical career and output with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "http://www.naxos.com/composerinfo/897.htm"} +{"d:Title": "John Rutter", "d:Description": "Article from the h2g2 encyclopedia with a summary of his works and internal references to related material.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "http://h2g2.com/dna/h2g2/alabaster/A876873"} +{"d:Title": "Profile: John Rutter", "d:Description": "Article in the Guardian dealing especially with his Christmas carols and providing some biographical information.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "http://www.theguardian.com/friday_review/story/0,3605,414360,00.html"} +{"d:Title": "John Rutter", "d:Description": "Listing at the Lied and Art Songs Text Page with selected works list linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6668"} +{"d:Title": "Oxford University Press - Rutter", "d:Description": "Picture, biography, catalog of works, discography, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/R/Rutter,_John", "url": "https://global.oup.com/academic/category/arts-and-humanities/sheet-music/composers/rutterj/"} +{"d:Title": "Other Minds: Frederic Rzewski", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/R/Rzewski,_Frederic", "url": "http://www.otherminds.org/shtml/Rzewski.shtml"} +{"d:Title": "Medieval, Renaissance, and Traditional Music", "d:Description": "Collection of MIDI audio files freely downloadable from Kunst der Fuge. Sorted by nationality and author.", "topic": "Top/Arts/Music/Composition/Composers/Renaissance", "url": "http://www.kunstderfuge.com/renaissance.htm"} +{"d:Title": "The Early Renaissance (1350-1500)", "d:Description": "Summary of the period linked to biographies of composers from the period from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Renaissance", "url": "http://www.hoasm.org/PeriodIII.html"} +{"d:Title": "The High Renaissance (16th Century)", "d:Description": "Summary of the period linked to biographies of composers from the era and related period material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Renaissance", "url": "http://www.hoasm.org/PeriodIV.html"} +{"d:Title": "Kunst der Fuge: Fugal Compositions of the 16th Century", "d:Description": "Inclusive list of fugues written in the 1500's, comprising all the relevant repertory up to date and links to classical musician pages.", "topic": "Top/Arts/Music/Composition/Composers/Renaissance", "url": "http://www.kunstderfuge.com/500.htm"} +{"d:Title": "Music History 102: The Renaissance", "d:Description": "Composers, major styles and developments, illustrations, and Real Audio RAM sound files from the Internet Public Library.", "topic": "Top/Arts/Music/Composition/Composers/Renaissance", "url": "http://www.ipl.org/div/mushist/ren/index.htm"} +{"d:Title": "Renaissance Composers", "d:Description": "Wikipedia directory listing over 125 individuals with articles in the online encyclopedia.", "topic": "Top/Arts/Music/Composition/Composers/Renaissance", "url": "http://en.wikipedia.org/wiki/Category:Renaissance_composers"} +{"d:Title": "Renaissance Era (1450 - 1600)", "d:Description": "Overview of the time period with links to composer pages and an historical perspective. Includes rise of polyphony and the madrigal. From The Conservatory at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/Renaissance", "url": "http://humanitiesweb.org/human.php?s=c&p=i&a=l&ID=2"} +{"d:Title": "Music History 102: The Romantic Era", "d:Description": "Composers, major styles and developments, illustrations, and Real Audio RAM sound files from the Internet Public Library.", "topic": "Top/Arts/Music/Composition/Composers/Romantic", "url": "http://www.ipl.org/div/mushist/rom/index.htm"} +{"d:Title": "Fugues in the Nineteenth Century", "d:Description": "List of works written in the 1800s with biographical information, sheet music links, and downloadable MIDI audio from Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/Romantic", "url": "http://www.kunstderfuge.com/800.htm"} +{"d:Title": "Early Romantic Period (1820 - 1850)", "d:Description": "Composer biographies and related information with a cultural overview focusing on intellectual fervor and emotionalism of the times. From the Conservatory at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/Romantic", "url": "http://humanitiesweb.org/human.php?s=c&p=i&a=l&ID=6"} +{"d:Title": "Late Romantic Period (1850 - 1900)", "d:Description": "Composer biographies and related information for the time of self-expression and exploration with emphasis on the place of Grand Opera and rising nationalism during the later 19th century. From The Conservatory at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/Romantic", "url": "http://humanitiesweb.org/human.php?s=c&p=i&a=l&ID=7"} +{"d:Title": "Romantic Music", "d:Description": "Wikipedia article with major trends, a brief chronology of the period, list of composers linked to individual articles, and internal references to related topics.", "topic": "Top/Arts/Music/Composition/Composers/Romantic", "url": "http://en.wikipedia.org/wiki/Romantic_music"} +{"d:Title": "The Mighty Handful", "d:Description": "Wikipedia article about the loose-knit group with links to each member and to some of those they influenced.", "topic": "Top/Arts/Music/Composition/Composers/Romantic/Moguchaya_Kuchka", "url": "http://en.wikipedia.org/wiki/The_Mighty_Handful"} +{"d:Title": "The Mighty Handful Versus the Rest of the World", "d:Description": "Fiction by Ron Butlin humorously portrays the nationalism and struggle for acceptance by the group and its members.", "topic": "Top/Arts/Music/Composition/Composers/Romantic/Moguchaya_Kuchka", "url": "http://www.barcelonareview.com/38/e_rb.htm"} +{"d:Title": "Petals-music on the net", "d:Description": "Biography, works, discography, links. Search by Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Saariaho,_Kaija", "url": "http://www.petals.org/"} +{"d:Title": "Saint-Georges, Chevalier De (1739 - 1799), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Georges,_le_Chevalier_de", "url": "http://stevenestrella.com/composers/composerfiles/saint-georges1799.html"} +{"d:Title": "Saint-Georges, Chevalier de (1745-1799)", "d:Description": "Essay, bibliography and a discography. Includes information about other composers of African descent.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Georges,_le_Chevalier_de", "url": "http://chevalierdesaintgeorges.homestead.com/Page1.html"} +{"d:Title": "Saint-Sa\u00ebns, Camille (1835 - 1921), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Sa\u00ebns,_Camille", "url": "http://stevenestrella.com/composers/composerfiles/saint-saens1921.html"} +{"d:Title": "Camille Saint-Saens", "d:Description": "Biography from Betty Fry includes music links in Real Audio RAM format, related composer links.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Sa\u00ebns,_Camille", "url": "http://www.lessontutor.com/bf_saintsaens.html"} +{"d:Title": "Kunst der Fuge | Camille Saint-Sa\u00ebns", "d:Description": "Biography, MIDI files, WMA/MP3 files, and list of fugues written.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Sa\u00ebns,_Camille", "url": "http://www.kunstderfuge.com/saintsaens.htm"} +{"d:Title": "Classical Archives: Camille Saint-Sa\u00ebns", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Sa\u00ebns,_Camille", "url": "http://www.classicalarchives.com/composer/3264.html"} +{"d:Title": "Naxos: Saint-Sa\u00ebns, Camille", "d:Description": "Brief biography and caricature with summaries of operas, vocal and choral, orchestral, and chamber music. Includes recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Sa\u00ebns,_Camille", "url": "http://www.naxos.com/composerinfo/Camille_Saint_Saens_21142/21142.htm"} +{"d:Title": "Camille Saint-Sa\u00ebns", "d:Description": "Filmography at IMDb with biography and related links.", "topic": "Top/Arts/Music/Composition/Composers/S/Saint-Sa\u00ebns,_Camille", "url": "http://www.imdb.com/name/nm0006269/"} +{"d:Title": "Sainte-Colombe le Fils", "d:Description": "Works and sketchy biography about the second composer to bear the name. Includes speculation about the true identity of the composers who used the name.", "topic": "Top/Arts/Music/Composition/Composers/S/Sainte_Colombe,_Monsieur", "url": "http://www.medieval.org/emfaq/cds/ads6042.htm"} +{"d:Title": "Salieri, Antonio (1750 - 1825), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Salieri,_Antonio", "url": "http://stevenestrella.com/composers/composerfiles/salieri1825.html"} +{"d:Title": "Grave of Antonio Salieri", "d:Description": "Find a Grave brief biography and photographs of his tomb with links to Vienna's Central Cemetery showing others buried around him.", "topic": "Top/Arts/Music/Composition/Composers/S/Salieri,_Antonio", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1387"} +{"d:Title": "Classical Archives: Antonio Salieri", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Salieri,_Antonio", "url": "http://www.classicalarchives.com/composer/3267.html"} +{"d:Title": "Naxos: Salieri, Antonio", "d:Description": "Brief biography and caricature with summaries of operas, vocal and choral, instrumental, orchestral, and chamber music.", "topic": "Top/Arts/Music/Composition/Composers/S/Salieri,_Antonio", "url": "http://www.naxos.com/composerinfo/Antonio_Salieri/21143.htm"} +{"d:Title": "Antonio Salieri", "d:Description": "Biographical sketch, recommended reading and recordings, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Salieri,_Antonio", "url": "http://musicalics.com/en/node/80698"} +{"d:Title": "Salter", "d:Description": "Brief biography and listing of noted films. Includes links to related monster and creature films.", "topic": "Top/Arts/Music/Composition/Composers/S/Salter,_Hans", "url": "http://www.mmmrecordings.com/Composers/Salter/salter.html"} +{"d:Title": "Salter, Hans (1896 - 1994), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Salter,_Hans", "url": "http://stevenestrella.com/composers/composerfiles/salter1994.html"} +{"d:Title": "Grave of Hans Salter", "d:Description": "Find a Grave biographical sketch, photograph of tomb, and links to map and to Hollywood Forever cemetery.", "topic": "Top/Arts/Music/Composition/Composers/S/Salter,_Hans", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6025"} +{"d:Title": "Hans J. Salter", "d:Description": "Filmography including composer, miscellaneous crew, and music director from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Salter,_Hans", "url": "http://www.imdb.com/name/nm0006270/"} +{"d:Title": "Sammartini, Giovanni Battista (1701 - 1775), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sammartini,_Giovanni_Battista", "url": "http://stevenestrella.com/composers/composerfiles/sammartini1775.html"} +{"d:Title": "Classical Archives: Giovanni Battista Sammartini", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Sammartini,_Giovanni_Battista", "url": "http://www.classicalarchives.com/composer/3269.html"} +{"d:Title": "Naxos: Sammartini, Giovanni Battista", "d:Description": "Brief biography and caricature with summaries of orchestral, chamber, and vocal and choral music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Sammartini,_Giovanni_Battista", "url": "http://www.naxos.com/composerinfo/Giovanni_Battista_Sammartini/22132.htm"} +{"d:Title": "Satie, Erik (1866 - 1925), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Satie,_Erik", "url": "http://stevenestrella.com/composers/composerfiles/satie1925.html"} +{"d:Title": "Erik Satie", "d:Description": "Biography, sheet music examples, and sound files from mfiles.", "topic": "Top/Arts/Music/Composition/Composers/S/Satie,_Erik", "url": "http://www.mfiles.co.uk/composers/erik-satie.htm"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Satie,_Erik", "url": "http://www.classiccat.net/satie_e/"} +{"d:Title": "erik satie", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/S/Satie,_Erik", "url": "http://www.leninimports.com/erik_satie.html"} +{"d:Title": "Kunst der Fuge | Erik Satie", "d:Description": "Biography, caricature, and MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/S/Satie,_Erik", "url": "http://www.kunstderfuge.com/satie.htm"} +{"d:Title": "Erik Satie: The First Surrealist", "d:Description": "Humanities Web listing includes biography, suggested reading, timeline, key works, recommended recordings, additional resources, and quotes from the composer.", "topic": "Top/Arts/Music/Composition/Composers/S/Satie,_Erik", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=63"} +{"d:Title": "Classical Archives: Erik Satie", "d:Description": "Biography and complete works offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Satie,_Erik", "url": "http://www.classicalarchives.com/composer/3281.html"} +{"d:Title": "Scarlatti, Alessandro", "d:Description": "Entry from the Catholic Encyclopedia with special emphasis on his religious works and his influence on later composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Alessandro", "url": "http://www.newadvent.org/cathen/13515a.htm"} +{"d:Title": "Scarlatti, Alessandro (1660 - 1725), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Alessandro", "url": "http://stevenestrella.com/composers/composerfiles/scarlatti1725.html"} +{"d:Title": "NewOlde.com: Alessandro Scarlatti", "d:Description": "Upcoming events, links, and recommended books and recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Alessandro", "url": "http://www.newolde.com/alessandro_scarlatti.htm"} +{"d:Title": "Classical Archives: Alessandro Scarlatti", "d:Description": "Biography and MIDI files offered.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Alessandro", "url": "http://www.classicalarchives.com/composer/3285.html"} +{"d:Title": "Scarlatti, Domenico (1685 - 1757), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://stevenestrella.com/composers/composerfiles/scarlatti1757.html"} +{"d:Title": "The Sonatas of Domenico Scarlatti", "d:Description": "The complete MIDI recordings, notes on style and performance including tuning methods.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.midiworld.com/scarlatti.htm"} +{"d:Title": "Domenico Scarlatti's Piano Sonatas", "d:Description": "Complete recordings of the 555 keyboard Sonatas played by John Sankey on MIDI at Kunst der Fuge.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.kunstderfuge.com/scarlatti.htm"} +{"d:Title": "Giuseppe Domenico Scarlatti", "d:Description": "Biography from the Here Of A Sunday Morning radio program at WQXR radio includes representative works, acclaim, related composers, discography, 17th Century harpsichord information, and details on his sonatas.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.hoasm.org/VIIIC/ScarlattiD.html"} +{"d:Title": "Classic Cat: Domenico Scarlatti", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.classiccat.net/scarlatti_d/"} +{"d:Title": "Domenico Scarlatti's Dance of the Keys", "d:Description": "Includes biography, key works, timeline, suggested further reading and recordings, quotes, and links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=32"} +{"d:Title": "Scarlatti, Domenico (1685 - 1757)", "d:Description": "Brief biographical sketch with summary of keyboard music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.naxos.com/composerinfo/916.htm"} +{"d:Title": "Classical Archives: Domenico Scarlatti", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.classicalarchives.com/composer/3286.html"} +{"d:Title": "Domenico Scarlatti", "d:Description": "Filmography at Internet Movie Database (IMDb) lists movies using his music.", "topic": "Top/Arts/Music/Composition/Composers/S/Scarlatti,_Giuseppe_Domenico", "url": "http://www.imdb.com/name/nm0769186/"} +{"d:Title": "Todd M. McComb: Giacinto Scelsi", "d:Description": "Articles, list of compositions, and discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Scelsi,_Giacinto", "url": "http://www.medieval.org/music/modern/scelsi.html"} +{"d:Title": "Scelsi, Giacinto", "d:Description": "(1905-1982) Italian composer, known partly for focusing on a single note. Portrait, biography, extensive commentary on works.", "topic": "Top/Arts/Music/Composition/Composers/S/Scelsi,_Giacinto", "url": "http://www.classical.net/music/comp.lst/acc/scelsi.php"} +{"d:Title": "Scheidt, Samuel (1587 - 1654), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Scheidt,_Samuel", "url": "http://stevenestrella.com/composers/composerfiles/scheidt1654.html"} +{"d:Title": "Classical Archives: Samuel Scheidt", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Scheidt,_Samuel", "url": "http://www.classicalarchives.com/composer/3290.html"} +{"d:Title": "Naxos: Scheidt, Samuel", "d:Description": "Brief biography and caricature with summaries of church, organ, and ensemble music.", "topic": "Top/Arts/Music/Composition/Composers/S/Scheidt,_Samuel", "url": "http://www.naxos.com/composerinfo/Samuel_Scheidt_22134/22134.htm"} +{"d:Title": "Schein, Johann Hermann (1586 - 1630), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schein,_Johann_Hermann", "url": "http://stevenestrella.com/composers/composerfiles/schein1630.html"} +{"d:Title": "Classical Archives: Johann Hermann Schein", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Schein,_Johann_Hermann", "url": "http://www.classicalarchives.com/composer/3291.html"} +{"d:Title": "Naxos: Schein, Johann Hermann (1586 - 1630)", "d:Description": "Biographical sketch and caricature with summaries of church, secular vocal, and ensemble music.", "topic": "Top/Arts/Music/Composition/Composers/S/Schein,_Johann_Hermann", "url": "http://www.naxos.com/composerinfo/Johann_Hermann_Schein/22135.htm"} +{"d:Title": "To Preserve and to Learn: Making David Schickele's Peace Corps Film", "d:Description": "His struggles and eventual success in producing and filming the documentary as chronicled by fellow volunteer Roger Landrum.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_David", "url": "http://www.peacecorpswriters.org/pages/2001/0105/105pchist.html"} +{"d:Title": "IMDb - David Schickele", "d:Description": "Profile and filmography as editor, composer, director, and writer.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_David", "url": "http://www.imdb.com/name/nm0771351/"} +{"d:Title": "Literary Type: David Schickele", "d:Description": "Obituary from the Peace Corps focused on his volunteer work and film career.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_David", "url": "http://www.peacecorpswriters.org/pages/1999/9911/911littype.html#dschickeleobit"} +{"d:Title": "You Can\u2019t Break My Window Mister: David Schickele's Music", "d:Description": "Admiring review and reminiscence by Tom Hebert includes sample lyrics.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_David", "url": "http://www.peacecorpswriters.org/pages/2001/0105/105clolk.html"} +{"d:Title": "Variety: David Schickele", "d:Description": "Obituary written by Jill Pesselnick for the entertainment magazine.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_David", "url": "http://www.variety.com/article/VR1117883433?categoryid=25&cs=1"} +{"d:Title": "Peter Schickele", "d:Description": "Official site of the composer and his fictitious 18th Century alter ego. Includes compositions, concert tour schedule, biography, purchase information, crossword puzzle, SchickeleMix radio show on PRI, and track listings for CDs.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_Peter", "url": "http://www.schickele.com/"} +{"d:Title": "Peter Schickele", "d:Description": "Wikipedia article noting personal and professional highlights with internal references to related people and topics, including P. D. Q. Bach, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_Peter", "url": "http://en.wikipedia.org/wiki/Peter_Schickele"} +{"d:Title": "Peter Schickele", "d:Description": "Filmography at IMDb with biography, publicity, and related links.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_Peter", "url": "http://www.imdb.com/name/nm0771352/"} +{"d:Title": "Guinness Encyclopedia of UnPopular Music: Peter Schickele", "d:Description": "A brief biography with a selected list of works under his own name and as alter ego P. D. Q. Bach.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_Peter", "url": "http://gabrielmccann.tripod.com/Guinness.html"} +{"d:Title": "Peter Schickele", "d:Description": "Detailed biography from the Kennedy Center examines his background and education and deals with both serious and humorous output.", "topic": "Top/Arts/Music/Composition/Composers/S/Schickele,_Peter", "url": "http://www.kennedy-center.org/artist/A9468"} +{"d:Title": "Schillings, Max von (1868 - 1933), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schillings,_Max_von", "url": "http://stevenestrella.com/composers/composerfiles/schillings1933.html"} +{"d:Title": "Naxos: Schillings, Max von", "d:Description": "Brief biography including accomplishments as conductor and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Schillings,_Max_von", "url": "http://www.naxos.com/composerinfo/Reinhard_Schwarz_Schilling/68307.htm"} +{"d:Title": "Franz Schmidt", "d:Description": "Wikipedia biography, analysis of his style, bibliography, and lament over his relatively unknown status. Includes internal links.", "topic": "Top/Arts/Music/Composition/Composers/S/Schmidt,_Franz", "url": "http://en.wikipedia.org/wiki/Franz_Schmidt"} +{"d:Title": "Franz Schmidt", "d:Description": "Detailed biography, summary of works, related artists, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Schmidt,_Franz", "url": "http://musicalics.com/en/node/81193"} +{"d:Title": "Franz Schmidt", "d:Description": "Discography of recommended works and photograph from Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/S/Schmidt,_Franz", "url": "http://www.classical.net/music/comp.lst/schmidt.php"} +{"d:Title": "Danacord: Ole Schmidt, Work list", "d:Description": "Danish composer b. 1928.", "topic": "Top/Arts/Music/Composition/Composers/S/Schmidt,_Ole", "url": "http://www.danacord.dk/comp-dk/schmidt.html"} +{"d:Title": "Ole Schmidt", "d:Description": "Biography and photo from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/S/Schmidt,_Ole", "url": "http://www.dacapo-records.dk/en/artist-ole-schmidt.aspx"} +{"d:Title": "Alfred Schnittke", "d:Description": "Wikipedia article noting Russo-Germanic background, poor health in later life, and summarizing his multi-faceted style. Includes internal references to related people and topics and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Schnittke,_Alfred_Garyevich", "url": "http://en.wikipedia.org/wiki/Alfred_Schnittke"} +{"d:Title": "Bio of Alfred Schnittke", "d:Description": "Life history, photographs, notes on selected works, and concert review.", "topic": "Top/Arts/Music/Composition/Composers/S/Schnittke,_Alfred_Garyevich", "url": "http://www-personal.umich.edu/~cyoungk/schnittkebio.htm"} +{"d:Title": "Alfred Schnittke", "d:Description": "Collection of wordless photo essays which may or may not have any connection with the man, depending upon the viewer's perspective and state of mind.", "topic": "Top/Arts/Music/Composition/Composers/S/Schnittke,_Alfred_Garyevich", "url": "http://www.alfredschnittke.com/"} +{"d:Title": "Alfred Shnitke", "d:Description": "Filmography listing movies scored and television and film use of his works with brief biography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Schnittke,_Alfred_Garyevich", "url": "http://www.imdb.com/name/nm0006289/"} +{"d:Title": "Alfred Schnittke", "d:Description": "Brief biographical sketch, caricature, summaries of orchestral and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Schnittke,_Alfred_Garyevich", "url": "http://www.naxos.com/composerinfo/928.htm"} +{"d:Title": "Schnittke", "d:Description": "Works list with select discography and reviews.", "topic": "Top/Arts/Music/Composition/Composers/S/Schnittke,_Alfred_Garyevich", "url": "http://home.online.nl/ovar/schnopus.htm"} +{"d:Title": "Alfred Schnittke", "d:Description": "Biography, notes, reviews, and works list from Schirmer.", "topic": "Top/Arts/Music/Composition/Composers/S/Schnittke,_Alfred_Garyevich", "url": "http://www.musicsalesclassical.com/composer/short-bio/Alfred-Schnittke"} +{"d:Title": "Arnold Sch\u00f6nberg Center", "d:Description": "Official site. Biography, details on the Center and the Sch\u00f6nberg House, index of works, archive and library, events, research and publications, shopping, and contact information. [German and English]", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.schoenberg.at/"} +{"d:Title": "Kunst der Fuge | Arnold Schoenberg", "d:Description": "Short biography and links to audio files (mostly in MIDI format).", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.kunstderfuge.com/bios/schoenberg.html"} +{"d:Title": "Arnold Sch\u00f6nberg", "d:Description": "Filmography noting one film with his original music and others using existing works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.imdb.com/name/nm0778167/"} +{"d:Title": "Arnold Sch\u00f6nberg", "d:Description": "Find A Grave listing with portrait photo, picture of tomb in Vienna, biographical information, and virtual memorial.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1291&pt=Arnold+Sch%F6nberg"} +{"d:Title": "The Serial Music of Arnold Schoenberg", "d:Description": "Brief biography, photograph, biography, selected works, quotes, discography, suggested reading, chronology, and related articles from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=65"} +{"d:Title": "Arnold Schoenberg", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.classiccat.net/schonberg_a/"} +{"d:Title": "Arnold Schoenberg", "d:Description": "Biographical sketch, caricature, summaries of operas, choral and vocal, orchestral, and chamber music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.naxos.com/composerinfo/929.htm"} +{"d:Title": "Classical Archives: Arnold Schoenberg", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.classicalarchives.com/composer/3302.html"} +{"d:Title": "Naxos: Schoenberg, Arnold", "d:Description": "Brief biography, caricature, and summaries of operatic, choral and vocal, orchestral, and chamber music.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.naxos.com/composerinfo/Arnold_Schoenberg_21169/21169.htm"} +{"d:Title": "Arnold Schoenberg: The American Works", "d:Description": "Essay, work list, articles, and links from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.musicsalesclassical.com/composer/short-bio/Arnold-Schoenberg"} +{"d:Title": "Arnold Franz Walter Schoenberg", "d:Description": "Listing at the Lied and Arts Songs Text Page with the German lyrics of over 100 songs and cycles, some with English and other translations.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00f6nberg,_Arnold_Franz_Walter", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2512"} +{"d:Title": "Schreker, Franz (1878 - 1934), Monaco", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schreker,_Franz", "url": "http://stevenestrella.com/composers/composerfiles/schreker1934.html"} +{"d:Title": "Schreker, Franz (1878-1934)", "d:Description": "Biographical data, overview of his stage works and quotations from and about Schreker.", "topic": "Top/Arts/Music/Composition/Composers/S/Schreker,_Franz", "url": "http://www.k-faktor.com/en/schreker.htm"} +{"d:Title": "Naxos: Schreker, Franz (1878 - 1934)", "d:Description": "Brief biography and caricature with summary of stage works and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Schreker,_Franz", "url": "http://www.naxos.com/composerinfo/Franz_Schreker/21171.htm"} +{"d:Title": "Franz Schreker", "d:Description": "Brief life, important works, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Schreker,_Franz", "url": "http://musicalics.com/en/node/81205"} +{"d:Title": "Musik-Kolleg: Franz Schubert", "d:Description": "A series of pages on Franz Schubert presented by the Austrian Cultural Information System. Includes a nice collection of Schubert pictures.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://aeiou.iicm.tugraz.at/schubert.htm"} +{"d:Title": "Franz Schubert", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estella's Incredibly Abribged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://stevenestrella.com/composers/composerfiles/schubert1828.html"} +{"d:Title": "Kosegarten Cycle", "d:Description": "Research and conclusions regarding his settings of 20 texts by the poet.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.gopera.com/lieder/kosegarten/index.html"} +{"d:Title": "Grave of Franz Peter Schubert", "d:Description": "Find a Grave brief biography and photographs of the burial place of the composer in Vienna between Beethoven and Johann Strauss, Jr. Link to the cemetery shows other notables sharing his resting place.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=931"} +{"d:Title": "Classical Music Archives: Schubert", "d:Description": "Over 350 complete works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music. Timelines, search engine.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.classicalarchives.com/schubert.html"} +{"d:Title": "Franz Schubert", "d:Description": "Biography, links, and directory of MP3 audio files that have been made available by the performing artists. From Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.classiccat.net/schubert_f/"} +{"d:Title": "Franz Schubert - mfiles bio", "d:Description": "Biography, influences and principle works, including examples of his music.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.mfiles.co.uk/Composers/Franz-Schubert.htm"} +{"d:Title": "Kunst der Fuge | Franz Schubert", "d:Description": "Biography, picture, MIDI files, and WMA/MP3 files.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.kunstderfuge.com/schubert.htm"} +{"d:Title": "Notes on Franz Schubert", "d:Description": "Pianist Bart Berman presents a brief biography, answers to questions, analysis of selected piano duets and the unfinished sonatas, plus links and a discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.notesonfranzschubert.com/schubert.htm"} +{"d:Title": "Die sch\u00f6ne M\u00fcllerin", "d:Description": "Texts of M\u00fcller poems used for song cycle.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://gutenberg.spiegel.de/muellerw/muellern/muellern.htm"} +{"d:Title": "Franz Peter Schubert", "d:Description": "A chronological listing by premier of operas and dramatic works at OperaGlass.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://opera.stanford.edu/Schubert/"} +{"d:Title": "Franz Schubert: The Supreme Melodist", "d:Description": "Biography, suggested reading, timeline, key works, recommended recordings, additional resources, and quotes from the composer from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=43"} +{"d:Title": "Schubert Project", "d:Description": "Tomoko Yamamoto studies the composer's 1825 travels with Johann Michael Vogl using photographs and text. Also partially in Japanese.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.tomoko-yamamoto.com/multimedia/schubert/Schubert_Project.html"} +{"d:Title": "Listening for Schubert's Doppelgaengers", "d:Description": "Analysis of his setting of the Heine poem \"Der Doppelgaenger\" by David Loberg Code. Examines the myth of ghostly doubles against the composer's life and the specifics of this work.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://mto.societymusictheory.org/issues/mto.95.1.4/mto.95.1.4.code.html"} +{"d:Title": "Franz Peter Schubert", "d:Description": "Brief biographical sketch, caricature, summaries of choral and vocal, orchestral, chamber, and piano music, and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.naxos.com/composerinfo/934.htm"} +{"d:Title": "Franz Schubert", "d:Description": "Filmography at Internet Movie Database (IMDb) with biographical information and list of movies and television programs using his music.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.imdb.com/name/nm0006280/"} +{"d:Title": "Lieder by Schubert", "d:Description": "Lyrics of over 600 songs, many with English translation.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2520"} +{"d:Title": "Franz Peter Schubert", "d:Description": "Introduction, links to biographies, major works, and recommended recordings, by Dave Lampson at Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter", "url": "http://www.classical.net/music/comp.lst/schubert.php"} +{"d:Title": "GOpera.com: Winterreise", "d:Description": "Exploration with translations in English, Dutch, Danish and Portuguese, plus MIDI audio files, performance reviews, recordings, articles, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Schubert,_Franz_Peter/Winterreise", "url": "http://www.gopera.com/winterreise/"} +{"d:Title": "Schuller, Gunther (1925 - ), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schuller,_Gunther", "url": "http://stevenestrella.com/composers/composerfiles/schullerliving.html"} +{"d:Title": "Gunther Schuller", "d:Description": "Biography, notes, reviews, articles from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/S/Schuller,_Gunther", "url": "http://www.musicsalesclassical.com/composer/short-bio/Gunther-Schuller"} +{"d:Title": "Schuman, William (1910 - 1992), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schuman,_William", "url": "http://stevenestrella.com/composers/composerfiles/schuman1992.html"} +{"d:Title": "William Schuman", "d:Description": "Biography, note, key works, selected discography from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/S/Schuman,_William", "url": "http://www.musicsalesclassical.com/composer/short-bio/1402"} +{"d:Title": "William Schuman", "d:Description": "Musicalics entry with brief life, major works and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Schuman,_William", "url": "http://musicalics.com/en/node/81215"} +{"d:Title": "Clara Schumann and Johannes Brahms", "d:Description": "The relationship between the widow of Robert Schumann and the bachelor composer is explored through a creative writing assignment. Includes related links.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Clara_Josephine_Wieck", "url": "http://www.lessontutor.com/eesSchumannBrahms.html"} +{"d:Title": "Schumann, Clara Wieck (1819 - 1896), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Clara_Josephine_Wieck", "url": "http://stevenestrella.com/composers/composerfiles/schumann1896.html"} +{"d:Title": "Clara Schumann", "d:Description": "Continuation of Clara 96 commemoration of the centennial of her death. Includes organizational information with biography, works list, and various analyses of her output.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Clara_Josephine_Wieck", "url": "http://www.geneva.edu/~dksmith/clara/"} +{"d:Title": "Clara Wieck Schumann", "d:Description": "Biography, lyric translations, essays, bibliographies, discographies, photos, sound bytes, calendar, links, Clara Schumann Society information.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Clara_Josephine_Wieck", "url": "http://www.geneva.edu/~dksmith/clara/schumann.html"} +{"d:Title": "Schumann Page", "d:Description": "Over 30 audio files plus biography, recommended recordings, and related books from MIDI World.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.midiworld.com/schumann.htm"} +{"d:Title": "The Poet Speaks: Life of Robert Schumann", "d:Description": "Short narrative, illustrated with line drawings.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.roangelo.net/schumann/"} +{"d:Title": "Robert Schumann", "d:Description": "Biographical essay linked to a creative writing lesson based on his death and the relationship of his widow Clara Wieck Schumann and their friend Johannes Brahms.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.lessontutor.com/bf1.html"} +{"d:Title": "Robert Alexander Schumann", "d:Description": "Biographical information and over 100 MIDI and WAX audio files, ZIP bundles, search engine, and links to other composers' music from the Classical Music Archives.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.classicalarchives.com/schumann.html"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.classiccat.net/schumann_r/"} +{"d:Title": "Kunst der Fuge | Robert A. Schumann", "d:Description": "Biography, picture, MIDI files, and WMA/MP3 files. Also music by Clara Schumann (Clara Wieck).", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.kunstderfuge.com/schumann.htm"} +{"d:Title": "Robert Schumann", "d:Description": "An overview of his life and works of Robert Schumann, his musical style and influences, and wife Clara. Includes sheet music, Sibelius Scorch SIB scores, MIDI and MP3 audio.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.mfiles.co.uk/composers/Robert-Schumann.htm"} +{"d:Title": "Robert Schumann: Through the Eyes of a Child", "d:Description": "Biography, suggested reading, timeline, key works, recommended recordings, additional resources, and quotes from the composer from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=47"} +{"d:Title": "Schumann, Robert (1810-1856)", "d:Description": "Brief biography, caricature, summaries of orchestral, chamber, choral and vocal, and piano music, and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.naxos.com/composerinfo/940.htm"} +{"d:Title": "The March of the Davidsb\u00fcndler against the Philistines", "d:Description": "Includes the Neue Zeitschrift f\u00fcr Musik, his journal of music criticism, fellow artists, and their defense of the classical idea of beauty.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://davidsbuendler.freehostia.com/march.htm"} +{"d:Title": "Music of Desire by Robert Schumann: Kreisleriana", "d:Description": "Psychological analysis of the work with some spiritual overtones, examining his then-secret desire to marry Clara, hidden themes and ciphers, and Vladimir Horowitz's 1969 recording. Includes MIDI audio.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.geocities.jp/imyfujita/kreisleriana/"} +{"d:Title": "Robert Schumann", "d:Description": "Recommended biographies, scores, recordings, and related material from the Classical Net Basic Repertoire.", "topic": "Top/Arts/Music/Composition/Composers/S/Schumann,_Robert_Alexander", "url": "http://www.classical.net/music/comp.lst/schumann.php"} +{"d:Title": "Gerard Schurmann", "d:Description": "Official site. Includes biography, works, films, reviews, news and contacts.", "topic": "Top/Arts/Music/Composition/Composers/S/Schurmann,_Gerard", "url": "http://www.gerard-schurmann.com/"} +{"d:Title": "Sch\u00fctz: Musikalische Exequien", "d:Description": "Discography with some reviews.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00fctz,_Heinrich", "url": "http://www.medieval.org/emfaq/cds/hmu1261.htm"} +{"d:Title": "Sch\u00fctz, Heinrich (1585 - 1672), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00fctz,_Heinrich", "url": "http://stevenestrella.com/composers/composerfiles/schutz1672.html"} +{"d:Title": "Here of a Sunday Morning: Heinrich [Henrich] Sch\u00fctz", "d:Description": "Includes biographical article, historical background, and catalog of works.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00fctz,_Heinrich", "url": "http://www.hoasm.org/VIA/VIASchutz.html"} +{"d:Title": "Classical Archives: Heinrich Sch\u00fctz", "d:Description": "Biography and music files offered in MIDI and MP3 audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00fctz,_Heinrich", "url": "http://www.classicalarchives.com/composer/3316.html"} +{"d:Title": "Naxos: Sch\u00fctz, Heinrich", "d:Description": "Brief biography, caricature, summaries of secular vocal and church music, and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Sch\u00fctz,_Heinrich", "url": "http://www.naxos.com/composerinfo/Heinrich_Schutz/24838.htm"} +{"d:Title": "Joseph Schwantner", "d:Description": "Official site. Includes biography, works, discography, performances, news, colleagues, illustrations, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/S/Schwantner,_Joseph", "url": "http://www.schwantner.net/"} +{"d:Title": "Schwantner, Joseph (1943 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Schwantner,_Joseph", "url": "http://stevenestrella.com/composers/composerfiles/schwantnerliving.html"} +{"d:Title": "Joseph Schwantner and His Music", "d:Description": "Outline of episode of the program Soundings produced by New Television Workshop at WGBH Television.", "topic": "Top/Arts/Music/Composition/Composers/S/Schwantner,_Joseph", "url": "http://main.wgbh.org/wgbh/NTW/FA/TITLES/Joseph359.HTML"} +{"d:Title": "K. Lee Scott", "d:Description": "Listing with Selah Publishing Company includes brief biography and his works they publish.", "topic": "Top/Arts/Music/Composition/Composers/S/Scott,_K._Lee", "url": "http://www.selahpub.com/SelahPeople/Scott.html"} +{"d:Title": "Scriabin Society of America", "d:Description": "Official site. Includes bibliography, biography, discography, events, contact, joining, and journal and subscription information, and works.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.scriabinsociety.com/"} +{"d:Title": "Classic Cat", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.classiccat.net/scriabin_a/"} +{"d:Title": "Kunst der Fuge | Alexander Scriabin", "d:Description": "Biography, picture, MIDI files, and WMA and MP3 files.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.kunstderfuge.com/scriabin.htm"} +{"d:Title": "Alexander Scriabin", "d:Description": "Wikipedia article with life, hypochondria, actual injuries and illnesses, piano virtuosity, and novel spiritual ideas. Includes internal references and external links.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://en.wikipedia.org/wiki/Alexander_Scriabin"} +{"d:Title": "Scriabin Again and Again", "d:Description": "Detailed study of his life, works, and philosophies by Faubion Bowers, originally published in Aspen Magazine. Includes MP3 and RAM audio performed by Daniel Kunin.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.ubu.com/aspen/aspen2/scriabin.html"} +{"d:Title": "Alexander Scriabin", "d:Description": "Free scores in PDF and PS formats with MIDI audio from Mutopia.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.mutopiaproject.org/cgibin/make-table.cgi?Composer=ScriabinA&preview=1"} +{"d:Title": "Alexander Nikolayevich Scriabin", "d:Description": "Find A Grave listing with life dates, details of death, photograph, pictures of tomb and cemetery, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2505&pt=Alexander+Nikolayevich+Scriabin"} +{"d:Title": "Alexander Scriabin", "d:Description": "Filmography listing films using his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.imdb.com/name/nm0780099/"} +{"d:Title": "Alexander Scriabin (1872 - 1915)", "d:Description": "Brief biography with summaries of orchestral and piano music; includes Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.naxos.com/composerinfo/943.htm"} +{"d:Title": "Classical Archives: Alexander Scriabin", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.classicalarchives.com/composer/3321.html"} +{"d:Title": "Alexander Nikolayevich Scriabin", "d:Description": "Summary list of works, two separate biographies, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://musicalics.com/en/node/82213"} +{"d:Title": "Aleksandr Scriabin", "d:Description": "Listing at the Lied and Art Songs Text Page with Russian lyrics in Cyrillic and transliteration plus English translation.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Alexander_Nikolayevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2537"} +{"d:Title": "Julian Scriabin", "d:Description": "Find A Grave listing noting his extreme precociousness and very early death.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Julian", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9796706&pt=Julian+Scriabin"} +{"d:Title": "Julian Scriabin", "d:Description": "Very brief biographical sketch and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Scriabin,_Julian", "url": "http://www.naxos.com/composerinfo/1191.htm"} +{"d:Title": "Ruth Crawford-Seeger", "d:Description": "Miscellaneous songs.", "topic": "Top/Arts/Music/Composition/Composers/S/Seeger,_Ruth_Crawford", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=3785"} +{"d:Title": "Senfl, Ludwig (c. 1486 - c. 1543), Switzerland", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Senfl,_Ludwig", "url": "http://stevenestrella.com/composers/composerfiles/senfl1543.html"} +{"d:Title": "Ludwig Senfl", "d:Description": "Biography with portraits, partial discography, and internal links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/S/Senfl,_Ludwig", "url": "http://www.hoasm.org/IVA/Senfl.html"} +{"d:Title": "Ludwig Senfl", "d:Description": "Brief biographical sketch, caricature, summaries of church music and secular vocal works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Senfl,_Ludwig", "url": "http://www.naxos.com/composerinfo/946.htm"} +{"d:Title": "Classical Archives: Ludwig Senfl", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Senfl,_Ludwig", "url": "http://www.classicalarchives.com/composer/3326.html"} +{"d:Title": "Ludwig Senfl", "d:Description": "Musicalics biographical sketch with link to recorder music.", "topic": "Top/Arts/Music/Composition/Composers/S/Senfl,_Ludwig", "url": "http://musicalics.com/en/node/82226"} +{"d:Title": "Ludwig Senfl", "d:Description": "Entry at the Lied and Art Songs Text Page listing vocal works and linking to lyrics, including some in English translation.", "topic": "Top/Arts/Music/Composition/Composers/S/Senfl,_Ludwig", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5703"} +{"d:Title": "Sermisy, Claudin de (c. 1490 - 1562), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sermisy,_Claudin_de", "url": "http://stevenestrella.com/composers/composerfiles/sermisy1562.html"} +{"d:Title": "Classical Archives: Claudin de Sermisy", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Sermisy,_Claudin_de", "url": "http://www.classicalarchives.com/composer/3329.html"} +{"d:Title": "Sessions, Roger (1896 - 1985), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sessions,_Roger_Huntington", "url": "http://stevenestrella.com/composers/composerfiles/sessions1985.html"} +{"d:Title": "Roger Huntington Sessions", "d:Description": "Major works, life, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Sessions,_Roger_Huntington", "url": "http://musicalics.com/en/node/82235"} +{"d:Title": "Marc Shaiman", "d:Description": "Discussion list from Yahoo! Groups.", "topic": "Top/Arts/Music/Composition/Composers/S/Shaiman,_Marc", "url": "http://groups.yahoo.com/group/marcshaiman/"} +{"d:Title": "Marc Shaiman", "d:Description": "Filmography at IMDb with biography, photographs, and composer, other musical, actor, writer, producer, and voice credits.", "topic": "Top/Arts/Music/Composition/Composers/S/Shaiman,_Marc", "url": "http://www.imdb.com/name/nm0003299/"} +{"d:Title": "Bright Sheng", "d:Description": "Faculty biography from the University of Michigan.", "topic": "Top/Arts/Music/Composition/Composers/S/Sheng,_Bright", "url": "http://www.music.umich.edu/faculty_staff/sheng.bright.lasso"} +{"d:Title": "Bright Sheng", "d:Description": "Biography, reviews, articles, selected discography, upcoming performances, work list, links from Music Sales Classical. Also a Silk Road tour journal.", "topic": "Top/Arts/Music/Composition/Composers/S/Sheng,_Bright", "url": "http://www.musicsalesclassical.com/composer/short-bio/Bright-Sheng"} +{"d:Title": "Noam Sheriff", "d:Description": "Biography, significant works, discography. (official site)", "topic": "Top/Arts/Music/Composition/Composers/S/Sheriff,_Noam", "url": "http://www.noamsheriff.com/"} +{"d:Title": "Howard Shore - mfiles composer listing", "d:Description": "Biography and film music, with reviews and recommendations.", "topic": "Top/Arts/Music/Composition/Composers/S/Shore,_Howard_Leslie", "url": "http://www.mfiles.co.uk/Composers/Howard-Shore.htm"} +{"d:Title": "Howard Shore: Composer", "d:Description": "Official site. Features current news, multimedia, contact information, and a forum.", "topic": "Top/Arts/Music/Composition/Composers/S/Shore,_Howard_Leslie", "url": "http://www.howardshore.com/"} +{"d:Title": "Composer: Howard Shore", "d:Description": "Provides details about his career, biography, latest music, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/S/Shore,_Howard_Leslie", "url": "http://www.filmmusic.dk/shore2.html"} +{"d:Title": "IMDb: Howard Shore", "d:Description": "Contains brief biography and filmography.", "topic": "Top/Arts/Music/Composition/Composers/S/Shore,_Howard_Leslie", "url": "http://www.imdb.com/name/nm0006290/"} +{"d:Title": "Soundtrack: And In the Darkness Bind Them", "d:Description": "Interview with Howard Shore about his work on Fellowship of the Ring.", "topic": "Top/Arts/Music/Composition/Composers/S/Shore,_Howard_Leslie", "url": "http://www.soundtrack.net/content/article/?id=89"} +{"d:Title": "Shostakovichiana", "d:Description": "On the \"Music under Soviet rule\" website created by the late Ian MacDonald. Articles, interviews, CD and book reviews, and links, with a strong focus on the Testimony debate.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.siue.edu/~aho/musov/dmitri.html"} +{"d:Title": "Russian Revelations", "d:Description": "Fan page with brief illustrated introduction, photographs from the 1999 Chicago Shostakovich festival and pictures of the composer.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.angelfire.com/hi2/Shostakovich1/"} +{"d:Title": "The Shostakovich Opus 87 Page", "d:Description": "The complete Twenty-four Preludes and Fugues in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.unicamp.br/~jmarques/mus/opus87/"} +{"d:Title": "mfiles: Dmitri Shostakovich - An Overview Of The Classical Composer", "d:Description": "Biography, list of major works and two photographs.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.mfiles.co.uk/composers/dmitri-shostakovich.htm"} +{"d:Title": "On Classical: Dmitri Shostakovich", "d:Description": "MIDI files of 24 Preludes and Fugues op. 87 and transcriptions of this and other contrapuntal works, plus portrait.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.kunstderfuge.com/shostakovitch.htm"} +{"d:Title": "DSCH Journal", "d:Description": "Selected articles from the international Shostakovich journal, reviews of CDs, books and films, concert calendar, events and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.dschjournal.com/"} +{"d:Title": "The Dimitri Shostakovich Mp3 Page on Classic Cat", "d:Description": "Directory of mp3s that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.classiccat.net/shostakovich_d/"} +{"d:Title": "Wikipedia: Dmitri Shostakovich", "d:Description": "Encyclopedia entry discussing the composer's life and works, and the political controversies surrounding him, plus links to audio files.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://en.wikipedia.org/wiki/Dmitri_Shostakovich"} +{"d:Title": "Classical Music Midi Page: Dimitry (Dmitriyevich) Shostakovich", "d:Description": "MIDI excerpts from several works, photograph and subjective mini-biography.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.classicalmidi.co.uk/shost.htm"} +{"d:Title": "CollegeTermPapers: Music - Shostakovich", "d:Description": "An essay on his life and works of approximately 1500 words.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.collegetermpapers.com/TermPapers/Music/Shostokovich.shtml"} +{"d:Title": "Internet Movie Database: Dmitri Shostakovich", "d:Description": "Filmography noting films he scored or that use his works plus mini-biography and Oscar nomination.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.imdb.com/name/nm0006291/"} +{"d:Title": "Find A Grave Cemetery Records: Dimitri Shostakovich", "d:Description": "Brief biography, youthful photograph, and pictures of his grave with virtual memorial and cemetery information.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=953&pt=Dimitri+Shostakovich"} +{"d:Title": "DSCH-L Discussion List", "d:Description": "Electronic mailing list for discussion of Shostakovich and other Russian composers. Access to archives since 1997.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://listserv.uh.edu/archives/dsch-l.html"} +{"d:Title": "Dmitry Shostakovich: A Testament To His Times", "d:Description": "Brief biography, selected works, quotes, recommended recordings and readings, photograph, chronology, related articles, and links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=76"} +{"d:Title": "Dmitri Shostakovich: The Composer And His Work", "d:Description": "Focus on Shostakovich's Eighth Symphony, with extracts in RealAudio and an imagined \"screenplay\" to be read while listening to the music. Also provides background on the composition, life chronology, photograph and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://musicinwords.free.fr/dschlife.htm"} +{"d:Title": "Naxos Composers - Biographies: Dmitry Shostakovich (1906 - 1975)", "d:Description": "Biographical sketch, caricature, and summaries of his stage works and his orchestral, choral and vocal, chamber, and piano music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.naxos.com/composerinfo/954.htm"} +{"d:Title": "Shostakovich Myths Debunked", "d:Description": "Controversial site that attacks the authenticity of Testimony and the revisionist interpretation of the composer's life and work.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://sites.google.com/site/shostakovichmyths/"} +{"d:Title": "Classical Archives: Dmitri Shostakovich", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.classicalarchives.com/composer/3351.html"} +{"d:Title": "Onno van Rijen's Shostakovich&Other Soviet Composers Page", "d:Description": "Complete Shostakovich opus list with CD releases, bibliography, pictures and mp3 excerpts from symphonies. Also provides information on more than 130 other Soviet composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://home.online.nl/ovar/"} +{"d:Title": "London Shostakovich Orchestra", "d:Description": "Details of performances, recordings and other events regarding this symphonic ensemble, currently embarked on a complete cycle of Shostakovich's symphonies. Includes programme notes and Shostakovich-related articles.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://lsho.jmea.co.uk/"} +{"d:Title": "The Guardian: A Raspberry To Stalin", "d:Description": "Article focusing on an English-language version of Cheryomushki by Opera North.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.theguardian.com/culture/2001/may/02/artsfeatures1/print"} +{"d:Title": "Music Sales Classical: Dmitri Shostakovich", "d:Description": "List of scores available from this music publisher.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.musicsalesclassical.com/composer/short-bio/2288"} +{"d:Title": "The Lied and Art Song Texts Page: Dmitri Shostakovich", "d:Description": "Lyrics to individual vocal works and cycles. Includes Cyrillic and transliterated Russian plus selected translations.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5739"} +{"d:Title": "Classical Net: Shostakovich", "d:Description": "Biography, recommended published biographies and scores, CD reviews, list of works and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Shostakovich,_Dmitri_Dmitriyevich", "url": "http://www.classical.net/music/comp.lst/shostakovich.php"} +{"d:Title": "Jean Sibelius 1865-1957", "d:Description": "An extensively illustrated account of the composer's life divided into chapters on individual pages from the Sibelius Museum.", "topic": "Top/Arts/Music/Composition/Composers/S/Sibelius,_Jean", "url": "http://www.abo.fi/fak/hf/musik/Sibelius/EN/index.htm"} +{"d:Title": "Sibelius, Jean (1865 - 1957), Finland", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sibelius,_Jean", "url": "http://stevenestrella.com/composers/composerfiles/sibelius1957.html"} +{"d:Title": "Classic Cat: Sibelius", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Sibelius,_Jean", "url": "http://www.classiccat.net/sibelius_j/"} +{"d:Title": "Classical Archives: Jean Sibelius", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Sibelius,_Jean", "url": "http://www.classicalarchives.com/composer/3353.html"} +{"d:Title": "Naxos: Sibelius, Jean", "d:Description": "Brief biography and caricature with summaries of stage works and orchestral and chamber music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Sibelius,_Jean", "url": "http://www.naxos.com/composerinfo/Jean_Sibelius_24852/24852.htm"} +{"d:Title": "Roberto Sierra", "d:Description": "Official site. Includes biography, list of works, music samples, recent news, and further information and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Sierra,_Roberto", "url": "http://www.robertosierra.com/"} +{"d:Title": "Roberto Sierra", "d:Description": "Biography and reviews from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/S/Sierra,_Roberto", "url": "http://www.musicsalesclassical.com/composer/works/1443"} +{"d:Title": "Alan Silvestri", "d:Description": "Filmography at IMDb with composer, conductor, and music producer credits from moves and television.", "topic": "Top/Arts/Music/Composition/Composers/S/Silvestri,_Alan", "url": "http://www.imdb.com/name/nm0006293/"} +{"d:Title": "Sinding, Christian (1856 - 1941), Norway", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sinding,_Christian_August", "url": "http://stevenestrella.com/composers/composerfiles/sinding1941.html"} +{"d:Title": "Classical Archives: Christian Sinding", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Sinding,_Christian_August", "url": "http://www.classicalarchives.com/composer/3359.html"} +{"d:Title": "Naxos: Sinding, Christian", "d:Description": "Brief biography and caricature with summaries of his orchestral, chamber, vocal and choral, and piano music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Sinding,_Christian_August", "url": "http://www.naxos.com/composerinfo/Christian_Sinding/24856.htm"} +{"d:Title": "Sirmen, Maddalena Laura Lombardini (1745 - 1818), Italy", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sirmen,_Maddalena_Laura_Lombardini", "url": "http://stevenestrella.com/composers/composerfiles/sirmen1818.html"} +{"d:Title": "Maddalena Lombardini Sirmen", "d:Description": "Book review by Robert Hugill from the January 2004 MusicWeb(UK). Includes biographical information and background material.", "topic": "Top/Arts/Music/Composition/Composers/S/Sirmen,_Maddalena_Laura_Lombardini", "url": "http://www.musicweb-international.com/classrev/2004/Jan04/Sirmen_book.htm"} +{"d:Title": "Bedrich Smetana", "d:Description": "Fan page with biography, notes on the symphonic poems M\u00e0 Vlast, string quartets, copyright information, opera, and MP3 sound files.", "topic": "Top/Arts/Music/Composition/Composers/S/Smetana,_Bedrich", "url": "http://members.tripod.com/~Nash_K/main.html"} +{"d:Title": "Smetana, Bedrich (1824 - 1884), Bohemia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Smetana,_Bedrich", "url": "http://stevenestrella.com/composers/composerfiles/smetana1884.html"} +{"d:Title": "Bedrich Smetana: The Father of Czech Music", "d:Description": "Brief biographical timeline and selected works from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/S/Smetana,_Bedrich", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=132"} +{"d:Title": "Classical Archives: Bedrich Smetana", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Smetana,_Bedrich", "url": "http://www.classicalarchives.com/composer/3367.html"} +{"d:Title": "Bedrich Smetana", "d:Description": "Filmography at Internet Movie Database (IMDb) listing movies and television programs using his music.", "topic": "Top/Arts/Music/Composition/Composers/S/Smetana,_Bedrich", "url": "http://www.imdb.com/name/nm0806845/"} +{"d:Title": "Gomidas", "d:Description": "Biography emphasizing ethnomusicology and the Turkish genocide. Includes related links. From HyeEtch Armenian Arts and Culture.", "topic": "Top/Arts/Music/Composition/Composers/S/Soghomonian,_Soghomon", "url": "http://www.hyeetch.nareg.com.au/culture/gomidas_p1.html"} +{"d:Title": "Virtual Museum of Komitas (Soghomon Soghomonian)", "d:Description": "Official site of Komitas Vardapet, containing biography, music, scientific papers, and pictures.", "topic": "Top/Arts/Music/Composition/Composers/S/Soghomonian,_Soghomon", "url": "http://www.komitas.am/eng/index_eng.php"} +{"d:Title": "Soler, Antonio (1729 - 1783), Spain", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Soler_y_Ramos,_Padre_Antonio", "url": "http://stevenestrella.com/composers/composerfiles/soler1783.html"} +{"d:Title": "Kunst der Fuge | Antonio Soler", "d:Description": "Biography and MIDI files.", "topic": "Top/Arts/Music/Composition/Composers/S/Soler_y_Ramos,_Padre_Antonio", "url": "http://www.kunstderfuge.com/soler.htm"} +{"d:Title": "Naxos: Soler, Antonio", "d:Description": "Brief biography and caricature with summaries of keyboard, instrumental, and vocal and choral music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Soler_y_Ramos,_Padre_Antonio", "url": "http://www.naxos.com/composerinfo/Antonio_Soler/24860.htm"} +{"d:Title": "Twenty Studies by Fernando Sor", "d:Description": "MIDI audio files of pieces designed for non-virtuoso guitarists with technical information and details about the composer and his music.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.guitarist.com/midis/sor/sor20.htm"} +{"d:Title": "Fernando Sor", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.classiccat.net/sor_f/"} +{"d:Title": "Fernando Sor", "d:Description": "Wikipedia article showing his influence in moving the guitar from the tavern to the concert hall with summary of life, noted works, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://en.wikipedia.org/wiki/Fernando_Sor"} +{"d:Title": "Fernando Sor", "d:Description": "Find A Grave listing with birth and death dates, burial place, portrait, picture of tomb, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3157&pt=Fernando+Sor"} +{"d:Title": "Fernando Sor", "d:Description": "Brief biographical sketch including involvement with the French occupation and subsequent exile, caricature, summaries of guitar, vocal, and stage music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.naxos.com/composerinfo/968.htm"} +{"d:Title": "Fernando Sor", "d:Description": "Biography written to parallel a musical composition leads the reader through his life and works. Includes links.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.musicweb-international.com/sor/"} +{"d:Title": "Classical Archives: Fernando Sor", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.classicalarchives.com/composer/3384.html"} +{"d:Title": "Naxos: Sor, Fernando", "d:Description": "Brief biography and caricature with summaries of guitar, vocal, and stage music with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.naxos.com/composerinfo/Fernando_Sor/24862.htm"} +{"d:Title": "Fernando Sor", "d:Description": "Recommended recordings of his guitar works from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/S/Sor,_Jos\u00e9_Fernando_Macarurio", "url": "http://www.classical.net/music/comp.lst/sor.php"} +{"d:Title": "John Philip Sousa (1854-1932)", "d:Description": "Biography, bibliography, work list, sound files, news, links.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.dws.org/sousa/"} +{"d:Title": "John Philip Sousa", "d:Description": "Library of Congress article with brief biography and links to contributions to American history.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.loc.gov/rr/hispanic/portam/sousa.html"} +{"d:Title": "Think Tank: Who Was John Philip Sousa?", "d:Description": "Transcript of PBS program with Ben Wattenberg examines him as man, composer, and promoter.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.pbs.org/thinktank/show_903.html"} +{"d:Title": "Sousa, John Philip (1854 - 1932), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://stevenestrella.com/composers/composerfiles/sousa1932.html"} +{"d:Title": "Sousa Archives for Band Research", "d:Description": "Includes statement of purpose, collections, preservation projects, news, and access and contact information.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://gateway.library.uiuc.edu/sousa/"} +{"d:Title": "Grave of John Philip Sousa", "d:Description": "Find A Grave pictures of the composer and his tomb with link to others buried in the Congressional Cemetery in Washington, D.C.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=964"} +{"d:Title": "John Philip Sousa", "d:Description": "Filmography at IMDb includes compositional, writing, and acting credits.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.imdb.com/name/nm0815971/"} +{"d:Title": "John Philip Sousa", "d:Description": "Find A Grave entry with birth and death information, portrait photo, and pictures of tomb and cemetery.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=964&pt=John+Philip+Sousa"} +{"d:Title": "John Philip Sousa (1854-1932)", "d:Description": "Detailed biography traces his career including introducing ragtime music to Europe with recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.naxos.com/composerinfo/971.htm"} +{"d:Title": "Classical Archives: John Philip Sousa", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Sousa,_John_Philip", "url": "http://www.classicalarchives.com/composer/3386.html"} +{"d:Title": "Leo Sowerby at 100", "d:Description": "Biography and retrospective upon the occasion of his one hundredth birthday with emphasis on his organ and choir works.", "topic": "Top/Arts/Music/Composition/Composers/S/Sowerby,_Leo", "url": "http://www.albany.edu/piporg-l/Sowerby.html"} +{"d:Title": "Sowerby, Leo (1895 - 1968), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sowerby,_Leo", "url": "http://stevenestrella.com/composers/composerfiles/sowerby1968.html"} +{"d:Title": "Leo Sowerby", "d:Description": "Life, noted teachers, and major honors with links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Sowerby,_Leo", "url": "http://musicalics.com/en/node/82276"} +{"d:Title": "Spohr, Louis (1784 - 1859), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Spohr,_Louis", "url": "http://stevenestrella.com/composers/composerfiles/spohr1859.html"} +{"d:Title": "Classic Cat: Spohr", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Spohr,_Louis", "url": "http://www.classiccat.net/spohr_l/"} +{"d:Title": "Classical Archives: Louis Spohr", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Spohr,_Louis", "url": "http://www.classicalarchives.com/composer/6864.html"} +{"d:Title": "Naxos: Spohr, Louis", "d:Description": "Brief biography and caricature with summaries of orchestral and chamber music and stage works with recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Spohr,_Louis", "url": "http://www.naxos.com/composerinfo/Louis_Spohr/24867.htm"} +{"d:Title": "Spratlan, Lewis (1940 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Spratlan,_Lewis", "url": "http://stevenestrella.com/composers/composerfiles/spratlanliving.html"} +{"d:Title": "Composer Lewis Spratlan", "d:Description": "Picture, biography, works, news, and contact.", "topic": "Top/Arts/Music/Composition/Composers/S/Spratlan,_Lewis", "url": "http://lewisspratlan.com/"} +{"d:Title": "St\u00e4bler, Gerhard (1949 - living), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/St\u00e4bler,_Gerhard", "url": "http://stevenestrella.com/composers/composerfiles/stablerliving.html"} +{"d:Title": "Review of Gerhard Stabler CD", "d:Description": "Free-Reed Review examination of his \"fallen, fallen . . . und liegen und fallen\" examines also the composer's general musical ideas.", "topic": "Top/Arts/Music/Composition/Composers/S/St\u00e4bler,_Gerhard", "url": "http://www.ksanti.net/free-reed/reviews/stabler.html"} +{"d:Title": "Anton Thad\u00e4us Johann Nepomuk Stamitz", "d:Description": "Biography and internal links from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/S/Stamitz,_Anton_Thad\u00e4us_Johann_Nepomuk", "url": "http://www.hoasm.org/XIIA/StamitzAnton.html"} +{"d:Title": "Classical Archives: Carl Stamitz", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Stamitz,_Carl_Philipp", "url": "http://www.classicalarchives.com/composer/3395.html"} +{"d:Title": "Stamitz, Johann (1717 - 1757), Bohemia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Stamitz,_Johann_Wenzel_Anton", "url": "http://stevenestrella.com/composers/composerfiles/stamitz1757.html"} +{"d:Title": "Johann Wenzel Anton Stamitz", "d:Description": "Biography, portrait, related period material, and partial discography from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/S/Stamitz,_Johann_Wenzel_Anton", "url": "http://www.hoasm.org/XIIA/StamitzJohann.html"} +{"d:Title": "Johann Stamitz (1717-1757)", "d:Description": "Brief biography and caricature with mention of his time at Mannheim and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Stamitz,_Johann_Wenzel_Anton", "url": "http://www.naxos.com/composerinfo/979.htm"} +{"d:Title": "Jan V\u00e1clav Johann Stamic", "d:Description": "Summary of musical output and life from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Stamitz,_Johann_Wenzel_Anton", "url": "http://musicalics.com/en/node/82290"} +{"d:Title": "Stanchinsky, Alexei Vladimirovieh (1888 - 1914), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanchinsky,_Alexei_Vladimirovieh", "url": "http://stevenestrella.com/composers/composerfiles/stanchinsky1914.html"} +{"d:Title": "Alexei Stanchinsky: Audio Archive", "d:Description": "Over twenty piano compositions in Real Audio RAM format with multiple connection speed options.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanchinsky,_Alexei_Vladimirovieh", "url": "http://www.classical.ru:8080/e/Audio/KondrashinPyotr/Stanchinsky/index.html"} +{"d:Title": "Classical Archives: Alexei Vladimirovieh Stanchinsky", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanchinsky,_Alexei_Vladimirovieh", "url": "http://www.classicalarchives.com/composer/7283.html"} +{"d:Title": "Stanford, Charles Villiers (1852 - 1924), Ireland", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanford,_Charles_Villiers,_Sir", "url": "http://stevenestrella.com/composers/composerfiles/stanford1924.html"} +{"d:Title": "Charles Villiers Stanford", "d:Description": "Sympathetic biography and list of works published by Stainer and Bell, Ltd.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanford,_Charles_Villiers,_Sir", "url": "http://www.stainer.co.uk/stanford.html"} +{"d:Title": "Charles Villiers Stanford", "d:Description": "Biography and music of hymnic compositions in MIDI audio with scores from the Cyber Hymnal.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanford,_Charles_Villiers,_Sir", "url": "http://www.hymntime.com/tch/bio/s/t/a/stanford_cv.htm"} +{"d:Title": "Wikipedia: Charles Villiers Stanford", "d:Description": "Includes biography, list of works, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanford,_Charles_Villiers,_Sir", "url": "http://en.wikipedia.org/wiki/Charles_Villiers_Stanford"} +{"d:Title": "Sir Charles Villiers Stanford", "d:Description": "Classical Net biography with links to related composers and photograph.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanford,_Charles_Villiers,_Sir", "url": "http://www.classical.net/music/comp.lst/acc/stanford.php"} +{"d:Title": "John Stanley: Six Concertos for Strings/Organ/Harpsichord. CD", "d:Description": "Full description of CD content, illustration, and music samples. Historic 1764 organ. Little Orchestra of London, Leslie Jones.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanley,_John", "url": "http://www.baroquecds.com/01Web.html"} +{"d:Title": "John Stanley: a concise biography", "d:Description": "Portrait, life and work of this blind composer-organist in London. Music samples from his opus 2 concertos for harpsichord/organ and strings.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanley,_John", "url": "http://www.baroquemusic.org/bqxstanley.html"} +{"d:Title": "Classical Archives: Charles John Stanley", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanley,_John", "url": "http://www.classicalarchives.com/composer/6448.html"} +{"d:Title": "John Stanley", "d:Description": "Chronological biography and discography with illustrations.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanley,_John", "url": "http://rslade.co.uk/18th-century-music/composers/john-stanley/"} +{"d:Title": "John Stanley", "d:Description": "Classical.net discography and portrait.", "topic": "Top/Arts/Music/Composition/Composers/S/Stanley,_John", "url": "http://www.classical.net/music/comp.lst/stanley.php"} +{"d:Title": "Max Steiner", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/S/Steiner,_Maximillian_Raoul_Walter", "url": "http://www.americancomposers.org/raksin_steiner.htm"} +{"d:Title": "Max Steiner", "d:Description": "Find A Grave listing with biographical sketch, photos of mausoleum and marker, and interactive tributes with virtual flowers.", "topic": "Top/Arts/Music/Composition/Composers/S/Steiner,_Maximillian_Raoul_Walter", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2077"} +{"d:Title": "Max Steiner", "d:Description": "Entry at mfiles.com with brief life, related composers, partial filmography, and awards. Notes that an Academy Award is named for him.", "topic": "Top/Arts/Music/Composition/Composers/S/Steiner,_Maximillian_Raoul_Walter", "url": "http://www.mfiles.co.uk/Composers/Max-Steiner.htm"} +{"d:Title": "Max Steiner", "d:Description": "Detailed biography noting his high volume of output, especially of film scores, with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Steiner,_Maximillian_Raoul_Walter", "url": "http://www.naxos.com/composerinfo/2087.htm"} +{"d:Title": "Max Steiner", "d:Description": "Filmography with biographies, credits for composition, musical director, and actor plus partial list of non-film works. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Steiner,_Maximillian_Raoul_Walter", "url": "http://www.imdb.com/name/nm0000070/"} +{"d:Title": "Stevens, Bernard (1916 - 1983), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Stevens,_Bernard", "url": "http://stevenestrella.com/composers/composerfiles/stevens1983.html"} +{"d:Title": "Bernard Stevens", "d:Description": "List of works and brief biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Stevens,_Bernard", "url": "http://musicalics.com/en/node/82306"} +{"d:Title": "Stevenson, Ronald (1928 - Living), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, and bibliography from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Stevenson,_Ronald", "url": "http://stevenestrella.com/composers/composerfiles/stevensonliving.html"} +{"d:Title": "Ronald Stevenson Society", "d:Description": "Official site. Includes biography, works list, gallery, and information about the organization.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/S/Stevenson,_Ronald", "url": "http://www.ronaldstevensonsociety.org.uk/"} +{"d:Title": "Ronald Stevenson", "d:Description": "Information from the Scottish Music Centre including biography, works, discography, and recent news.", "topic": "Top/Arts/Music/Composition/Composers/S/Stevenson,_Ronald", "url": "http://www.scottishmusiccentre.com/ronald_stevenson/"} +{"d:Title": "Ronald Stevenson: Composer and Pianist", "d:Description": "Biographical information from Altarus Records with bibliographic material and photographs.", "topic": "Top/Arts/Music/Composition/Composers/S/Stevenson,_Ronald", "url": "http://www.altarusrecords.com/ArtistpageRStevenson.html"} +{"d:Title": "Ronald Stevenson", "d:Description": "Works and short biography from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Stevenson,_Ronald", "url": "http://musicalics.com/en/composer/Ronald-Stevenson"} +{"d:Title": "Ronald Stevenson", "d:Description": "Listing at the Lied and Art Songs Text Page with link to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/S/Stevenson,_Ronald", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6762"} +{"d:Title": "An Outstanding Arkansas Composer: William Grant Still", "d:Description": "Essay celebrating his seventieth birthday with photographs and details of his life.", "topic": "Top/Arts/Music/Composition/Composers/S/Still,_William_Grant", "url": "http://peace.saumag.edu/swark/articles/ahq/african_americans/still/wg-still308.html"} +{"d:Title": "Still, William Grant (1895 - 1978), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Still,_William_Grant", "url": "http://stevenestrella.com/composers/composerfiles/still1978.html"} +{"d:Title": "William Grant Still (1895-1978)", "d:Description": "Biography, discography, and discussion of compositional style and substance.", "topic": "Top/Arts/Music/Composition/Composers/S/Still,_William_Grant", "url": "http://chevalierdesaintgeorges.homestead.com/Still.html"} +{"d:Title": "The William Grant Still and Verna Arvey Papers", "d:Description": "Short biographies of the composer and his pianist wife with details about the collection and its availability to scholars from the University of Arkansas, Fayetteville.", "topic": "Top/Arts/Music/Composition/Composers/S/Still,_William_Grant", "url": "http://libinfo.uark.edu/SpecialCollections/findingaids/still/still1aid.html"} +{"d:Title": "William Grant Still", "d:Description": "List of operas in chronological order from U. S. Opera.", "topic": "Top/Arts/Music/Composition/Composers/S/Still,_William_Grant", "url": "http://www.usopera.com/composers/still.html"} +{"d:Title": "William Grant Still Collection, Duke University", "d:Description": "Includes biography with photographs, copies of original scores, and details about his life, compositions, chronology of his place among other African American composers and musicians, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Still,_William_Grant", "url": "http://library.duke.edu/rubenstein/scriptorium/sgo/"} +{"d:Title": "Stockhausen, Karlheinz (1928 - Living), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Stockhausen,_Karlheinz", "url": "http://stevenestrella.com/composers/composerfiles/stockhausenliving.html"} +{"d:Title": "Classical Archives: Karlheinz Stockhausen", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/S/Stockhausen,_Karlheinz", "url": "http://www.classicalarchives.com/composer/3407.html"} +{"d:Title": "Karlheinz Stockhausen", "d:Description": "Filmography at IMDb with musical and on-screen credits.", "topic": "Top/Arts/Music/Composition/Composers/S/Stockhausen,_Karlheinz", "url": "http://www.imdb.com/name/nm0830838/"} +{"d:Title": "Gottfried Heinrich St\u00f6lzel (1690-1749)", "d:Description": "Biographical and musicological information from NewOlde.com with reviews and recommended recordings, concert announcements, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/St\u00f6lzel,_Gottfried_Heinrich", "url": "http://www.newolde.com/stoelzel.htm"} +{"d:Title": "Gottfried Heinrich St\u00f6lzel", "d:Description": "Listing at the Lied and Arts Songs Text Page with selected German lyrics and English translation.", "topic": "Top/Arts/Music/Composition/Composers/S/St\u00f6lzel,_Gottfried_Heinrich", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5858"} +{"d:Title": "Alessandro Stradella (1639-1682)", "d:Description": "Reviews, recordings, books, links and music editions from NewOlde.com.", "topic": "Top/Arts/Music/Composition/Composers/S/Stradella,_Alessandro", "url": "http://www.newolde.com/stradella.htm"} +{"d:Title": "Alessandro Stradella", "d:Description": "Wikipedia article noting string of affairs which led to violence and, ultimately, his murder plus summary of works and musical style with internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/S/Stradella,_Alessandro", "url": "http://en.wikipedia.org/wiki/Alessandro_Stradella"} +{"d:Title": "Alessandro Stradella", "d:Description": "Find A Grave listing with biography and reference to the influence of his life and death upon opera writers during the Romantic Period, disposition of remains, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/S/Stradella,_Alessandro", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9931517&pt=Alessandro+Stradella"} +{"d:Title": "Alessandro Stradella", "d:Description": "Biography outlining his life, loves, and noted works with partial discography and period material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/S/Stradella,_Alessandro", "url": "http://www.hoasm.org/VD/Stradella.html"} +{"d:Title": "Alessandro Stradella (1639-1682)", "d:Description": "Biographical sketch with notes on his musical contributions, especially his r\u00f4le in the development of the concerto grosso, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Stradella,_Alessandro", "url": "http://www.naxos.com/composerinfo/992.htm"} +{"d:Title": "Alessandro Stradella", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to Italian and Latin texts.", "topic": "Top/Arts/Music/Composition/Composers/S/Stradella,_Alessandro", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2693"} +{"d:Title": "Strauss, Oscar (1870 - 1954), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Straus,_Oscar", "url": "http://stevenestrella.com/composers/composerfiles/strauss1954.html"} +{"d:Title": "Naxos: Straus, Oscar", "d:Description": "Brief biography and caricature with summary of stage works and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/S/Straus,_Oscar", "url": "http://www.naxos.com/person/Oscar_Straus/26288.htm"} +{"d:Title": "Grave of Eduard Strauss", "d:Description": "Find a Grave brief biography and pictures of his tomb and that of son Eduard II with link to cemetery which holds many other noted composers as well thinkers, politicians and other luminaries.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Eduard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4478"} +{"d:Title": "Johann Strauss Jr.", "d:Description": "Illustrated biography including several caricatures with discography, links to other family members, analysis of how his music is played versus composer intent, and an article on the Dommaher Casino, where he often played.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://www.bobjanuary.com/johann2.htm"} +{"d:Title": "Strauss, Johann (1825 - 1899), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://stevenestrella.com/composers/composerfiles/strauss1899.html"} +{"d:Title": "Johann Strauss II", "d:Description": "Shows how the son developed his father's dance forms with richer harmonies and more ambitious structures, eventually playing a part in the form of American stage and screen musicals. Includes music samples in Real Audio RAM format.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://www.lessontutor.com/bf_strauss.html"} +{"d:Title": "Grave of Johann Strauss, Jr.", "d:Description": "Find a Grave biography and photographs of his tomb between those of Schubert and Brahms with link to listing of other famous people buried in Vienna's Central Cemetery.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4477"} +{"d:Title": "Strauss Festival of Elk Grove, California", "d:Description": "Recreation of 18th Century Vienna and the era known for romantic waltzes. Includes biography, AU audio samplings, schedules, contacts, map and driving information, gallery, and press releases.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://www.straussfestival.com/"} +{"d:Title": "Johann Strauss, Jr.", "d:Description": "Directory of free classical MP3s with links to related material from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://www.classiccat.net/strauss_j_jr/"} +{"d:Title": "Classical Archives: Johann Strauss II", "d:Description": "Biography and complete files offered in MIDI formats.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://www.classicalarchives.com/composer/3411.html"} +{"d:Title": "Johann Strauss", "d:Description": "Filmography at IMDb with list of films and compositions with brief biography and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Jr.", "url": "http://www.imdb.com/name/nm0006310/"} +{"d:Title": "Johann Strauss I", "d:Description": "Biography from the Johann Strauss Society of Great Britain includes links to associated and family composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Sr.", "url": "http://www.johann-strauss.org.uk/composers/index.php3?content=johann1"} +{"d:Title": "Strauss, Johann (1804 - 1849), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Sr.", "url": "http://stevenestrella.com/composers/composerfiles/strauss1849.html"} +{"d:Title": "Grave of Johann Strauss, Sr.", "d:Description": "Find a Grave biography and photographs of the tomb of the family patriarch with link to cemetery which holds his sons and many other composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Sr.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=994"} +{"d:Title": "Classical Archives: Johann Strauss I", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Sr.", "url": "http://www.classicalarchives.com/composer/3410.html"} +{"d:Title": "Johann Strau\u00df Sr.", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Johann,_Sr.", "url": "http://www.imdb.com/name/nm0833896/"} +{"d:Title": "Josef Strauss", "d:Description": "Article on his life and musical compositions with illustration and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Josef", "url": "http://www.bobjanuary.com/josef.htm"} +{"d:Title": "Josef Strauss", "d:Description": "Article from the Johann Strauss Society of Great Britain with biography, works, related composers, and other links.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Josef", "url": "http://www.johann-strauss.org.uk/composers/index.php3?content=josef"} +{"d:Title": "Strauss, Josef (1827 - 1870), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Josef", "url": "http://stevenestrella.com/composers/composerfiles/strauss1870.html"} +{"d:Title": "Josef Strauss", "d:Description": "Find a Grave biography and pictures of the tomb of the second son of Johann, Sr. Includes link to the cemetery holding his father, brothers, and many other noted composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Josef", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4476"} +{"d:Title": "Josef Strau\u00df", "d:Description": "Filmography noting the use of his works in various television and motion picture productions.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Josef", "url": "http://www.imdb.com/name/nm0833904/"} +{"d:Title": "Josef Strauss", "d:Description": "Wikipedia article with biographical material, photograph, and internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Josef", "url": "http://en.wikipedia.org/wiki/Josef_Strauss"} +{"d:Title": "Josef Strauss", "d:Description": "Biographical sketch, caricature, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Josef", "url": "http://www.naxos.com/composerinfo/1001.htm"} +{"d:Title": "Strauss, Richard (1864 - 1949), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://stevenestrella.com/composers/composerfiles/strauss1949.html"} +{"d:Title": "Works of Richard Strauss", "d:Description": "Chronological listing by TFV and opus number with LP and CD discography and selected English-language bibliography by Jared Weinberger.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.brainyday.com/jared/StraussPage.htm"} +{"d:Title": "Richard Strauss: Operas on CD", "d:Description": "Discography notes which are available only as LP titles.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.brainyday.com/jared/disco.htm"} +{"d:Title": "Richard Strauss: An Overview", "d:Description": "Article from mfiles with biography, major works, and links to related composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.mfiles.co.uk/Composers/richard-strauss.htm"} +{"d:Title": "Richard Strauss", "d:Description": "Biography, links, and directory of free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.classiccat.net/strauss_r/"} +{"d:Title": "Richard Strauss", "d:Description": "Filmography listing the use of his works in television and motion pictures and filmed versions of his operas from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.imdb.com/name/nm0006309/"} +{"d:Title": "Richard Strauss: Musical Muralist", "d:Description": "Brief biography, selected works, quotations, discography, recommended readings, chronology, photograph, and related resources.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=60"} +{"d:Title": "Richard Strauss", "d:Description": "Wikipedia article with biography, photograph, details on tone poems, operas, relationship with the Nazis, and links to his works plus related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://en.wikipedia.org/wiki/Richard_Strauss"} +{"d:Title": "Richard Georg Strauss", "d:Description": "Chronological listing of operas by premiere with venue. Additional information for Salome, Elektra, and the revised Ariadne auf Naxos. Also other vocal dramatic works.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://opera.stanford.edu/Strauss/"} +{"d:Title": "Richard Strauss (1864-1949)", "d:Description": "Brief biography and caricature with summaries of operas and orchestral and vocal music with recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.naxos.com/composerinfo/1002.htm"} +{"d:Title": "Classical Archives: Richard Strauss", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.classicalarchives.com/composer/3414.html"} +{"d:Title": "Richard Strauss", "d:Description": "Listing at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, many in English translation.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5869"} +{"d:Title": "Richard Strauss", "d:Description": "Brief biography, recommended recordings, and links from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/S/Strauss,_Richard_Georg", "url": "http://www.classical.net/music/comp.lst/straussr.php"} +{"d:Title": "Stravinsky's Religious Works", "d:Description": "Symphony of Psalms, Mass, Requiem Canticles. Includes an illustrated biography of his life. These works are considered in detail, with listening guide and commentary.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.cco.caltech.edu/~tan/Stravinsky/"} +{"d:Title": "Stravinsky, Igor (1882 - 1971), Russia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://stevenestrella.com/composers/composerfiles/stravinsky1971.html"} +{"d:Title": "On the Rhythm of Igor Stravinsky's 'The Rite of Spring'", "d:Description": "Rhythm has been described by Eli Siegel and Aesthetic Realism: it is a oneness of opposites. Hear Stravinsky's great rhythm--a oneness of speed and slowness, motion and pause. A paper by Edward Green.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.edgreenmusic.org/Stravins-a.htm"} +{"d:Title": "Wikipedia: Igor Stravinsky", "d:Description": "Biography, plus sections on the composer's stylistic periods, influence and innovation, Also includes a list of works and articles on individual pieces.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "https://en.wikipedia.org/wiki/Igor_Stravinsky"} +{"d:Title": "Igor Stravinsky at Artist Direct", "d:Description": "Biography with examination of influence on subsequent music, links, message board, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,498226,00.html"} +{"d:Title": "Classical Archives: Igor Stravinsky", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.classicalarchives.com/composer/3415.html"} +{"d:Title": "Igor Stravinsky", "d:Description": "Filmography at Internet Movie Database (IMDb) lists movies and television shows based on or using his music and lists his acting credits.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.imdb.com/name/nm0006311/"} +{"d:Title": "Igor Stravinsky", "d:Description": "Brief biography and painting, with summaries of stage works and orchestral and chamber music, and recommended Naxos recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.naxos.com/person/Igor_Stravinsky_26297/26297.htm"} +{"d:Title": "Kunst der Fuge: Igor Stravinsky", "d:Description": "MIDI files (freely downloadable) of the complete \"Rite of Spring\" (also piano transcription) \"Symphony of Psalms\", \"The Firebirds suite\", \"Trois mouvements de P\u00e9trouchka\"; also WMA / MP3 files.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.kunstderfuge.com/stravinsky.htm"} +{"d:Title": "Igor Stravinsky", "d:Description": "Biography and basic repertoire list from Classical Net with links including influences, scores, biographies, and CD reviews.", "topic": "Top/Arts/Music/Composition/Composers/S/Stravinsky,_Igor_Fyodorovich", "url": "http://www.classical.net/music/comp.lst/stravinsky.php"} +{"d:Title": "Billy Strayhorn", "d:Description": "Audio files in AU format from John F. Kennedy Center for the Performing Arts include discussion of swing and the blues and chord voicings in \"Take the A Train\"", "topic": "Top/Arts/Music/Composition/Composers/S/Strayhorn,_Billy", "url": "http://town.hall.org/Archives/radio/Kennedy/Taylor/bt_stray.html"} +{"d:Title": "Billy Strayhorn", "d:Description": "Biography, selected works, links from Music Sales Classical.", "topic": "Top/Arts/Music/Composition/Composers/S/Strayhorn,_Billy", "url": "http://www.musicsalesclassical.com/composer/short-bio/1532"} +{"d:Title": "Creating Music", "d:Description": "Evolving environment for online music creation and exploration through interactive games.", "topic": "Top/Arts/Music/Composition/Composers/S/Subotnick,_Morton", "url": "http://www.creatingmusic.com/"} +{"d:Title": "Morton Subotnick", "d:Description": "Official site. Includes biography and chronology, instrumental music, media works, recordings, selected program notes, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/S/Subotnick,_Morton", "url": "http://www.mortonsubotnick.com/"} +{"d:Title": "Subotnick, Morton (1933 - Living), United States", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to music creation site from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Subotnick,_Morton", "url": "http://stevenestrella.com/composers/composerfiles/subotnickliving.html"} +{"d:Title": "Morton Subotnick", "d:Description": "Filmography from the Internet Movie Database for the electronic media opera Hungers.", "topic": "Top/Arts/Music/Composition/Composers/S/Subotnick,_Morton", "url": "http://www.imdb.com/name/nm1302590/"} +{"d:Title": "Morton Subotnick", "d:Description": "List of completed and pending works with biography and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Subotnick,_Morton", "url": "http://musicalics.com/en/node/95667"} +{"d:Title": "Suk, Josef (1874 - 1935), Czechoslovakia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Suk,_Josef", "url": "http://stevenestrella.com/composers/composerfiles/suk1935.html"} +{"d:Title": "Naxos: Suk, Josef", "d:Description": "Brief biography and caricature with summaries of orchestral and chamber music and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/S/Suk,_Josef", "url": "http://www.naxos.com/composerinfo/Josef_Suk_23853/23853.htm"} +{"d:Title": "Opera A La Carte: Gilbert and Sullivan", "d:Description": "Company headed by Richard Sheldon exclusively performing the duo's works. Includes schedule, productions, orchestra requirements, references, reviews, contacts, and related information.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://www.operaalacarte.org/"} +{"d:Title": "Gilbert and Sullivan Archive", "d:Description": "Devoted to the operas and other works of the librettist and the composer with information on each and both together plus books, pictures, glossaries, other composers and works, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://diamond.boisestate.edu/gas/"} +{"d:Title": "Sullivan, Arthur (1842 - 1900), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://stevenestrella.com/composers/composerfiles/sullivan1900.html"} +{"d:Title": "Arthur Sullivan", "d:Description": "Extensive filmography listing television and cinematic use of his works and filmed versions of various operettas.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://www.imdb.com/name/nm0837956/"} +{"d:Title": "Arthur Sullivan", "d:Description": "Biography, links, and directory of free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://www.classiccat.net/sullivan_a/"} +{"d:Title": "Arthur Sullivan", "d:Description": "Find A Grave entry with birth and death, brief biography, portrait photograph, pictures of crypt, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8157&pt=Arthur+Sullivan"} +{"d:Title": "Arthur Sullivan", "d:Description": "Brief biography with summary of operettas and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://www.naxos.com/composerinfo/1011.htm"} +{"d:Title": "Classical Archives: Sir Arthur Sullivan", "d:Description": "Biography and complete files offered in MIDI formats.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://www.classicalarchives.com/composer/12406.html"} +{"d:Title": "The Gilbert and Sullivan Discography", "d:Description": "Features a detailed listing of recordings and videos with reviews and ratings. Includes historical information, unpreserved works, and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://gasdisc.oakapplepress.com/"} +{"d:Title": "Arthur Sullivan", "d:Description": "Listing at the Lied and Art Songs Text Page with list of works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5882"} +{"d:Title": "Sir Arthur Sullivan", "d:Description": "Official credits, biographical information, and related information from the Internet Broadway Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir", "url": "https://www.ibdb.com/broadway-cast-staff/sir-arthur-sullivan-8692"} +{"d:Title": "Sullivan, Sir Authur: Hymns", "d:Description": "List of hymn and tune name (at the Sullivan Discography)", "topic": "Top/Arts/Music/Composition/Composers/S/Sullivan,_Arthur_Seymour,_Sir/Hymns", "url": "http://gasdisc.oakapplepress.com/sullhymns.htm"} +{"d:Title": "Franz von Supp\u00e9", "d:Description": "Biography and major works with photograph from the Johann Strauss Society of Great Britain.", "topic": "Top/Arts/Music/Composition/Composers/S/Supp\u00e9,_Franz_von", "url": "http://www.johann-strauss.org.uk/composers/index.php3?content=suppe"} +{"d:Title": "Suppe, Franz von (1819 - 1895), Dalmatia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Supp\u00e9,_Franz_von", "url": "http://stevenestrella.com/composers/composerfiles/suppe1895.html"} +{"d:Title": "Classical Archives: Franz von Supp\u00e9", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/S/Supp\u00e9,_Franz_von", "url": "http://www.classicalarchives.com/composer/3425.html"} +{"d:Title": "Naxos: Supp\u00e9, Franz von", "d:Description": "Brief biography and caricature with summary of his operettas and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/S/Supp\u00e9,_Franz_von", "url": "http://www.naxos.com/person/Franz_von_Suppe/23855.htm"} +{"d:Title": "Franz von Supp\u00e9", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/S/Supp\u00e9,_Franz_von", "url": "http://www.imdb.com/name/nm0903079/"} +{"d:Title": "Svendsen, Johan (1840 - 1911), Norway", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Svendsen,_Johan_Severin", "url": "http://stevenestrella.com/composers/composerfiles/svendsen1911.html"} +{"d:Title": "Naxos: Svendsen, Johan", "d:Description": "Brief biography and caricature with summary of orchestral music and recommended recording.", "topic": "Top/Arts/Music/Composition/Composers/S/Svendsen,_Johan_Severin", "url": "http://www.naxos.com/person/Johann_Svendsen/23858.htm"} +{"d:Title": "Jan Sweelinck: The German Organist-Maker", "d:Description": "Brief biography, discography, and chronology from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=19"} +{"d:Title": "Jan Pieterszoon Sweelinck", "d:Description": "Wikipedia article showing him as a transitional figure between the Renaissance and Baroque periods and a powerful influence on organ composition with internal references and links.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://en.wikipedia.org/wiki/Jan_Pieterszoon_Sweelinck"} +{"d:Title": "Jan Pieter Sweelinck", "d:Description": "Find A Grave listing with birth and death dates, portrait, and burial plaque in the Oude Kerk, Amsterdam, Netherlands with interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11590&pt=Jan+Pieter+Sweelinck"} +{"d:Title": "HOASM: Jan Pieterszoon Sweelinck", "d:Description": "Biography, internal links to related people, partial discography, and period information from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://www.hoasm.org/IVE/Sweelinck.html"} +{"d:Title": "Jan Pieterszoon Sweelinck", "d:Description": "Biography noting his importance to the organ repertoire and to the construction of the instruments with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://www.naxos.com/composerinfo/1017.htm"} +{"d:Title": "Jan Pieterszoon Sweelinck", "d:Description": "Musicalics entry with comments on the popularity and availability of his keyboard and vocal compositions including work on the Geneva Psalter.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://musicalics.com/en/node/82316"} +{"d:Title": "Jan Pieterszoon Sweelinck", "d:Description": "Entry at the Lied and Art Songs Text Page with lyrics.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5898"} +{"d:Title": "Jan Pieterszoon Sweelinck", "d:Description": "Biography, portrait, and recommended keyboard recordings from the Classical Net Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/S/Sweelinck,_Jan_Pieterszoon", "url": "http://www.classical.net/music/comp.lst/sweelinck.php"} +{"d:Title": "Karol Szymanowski", "d:Description": "Biography, photograph, list of works, and links from the Polish Music Center of USC.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.usc.edu/dept/polish_music/composer/szymanowski.html"} +{"d:Title": "Karol Szymanowski", "d:Description": "Biography, major works, and recommended reading by University of Southern California student Neal Galanter.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.usc.edu/dept/polish_music/composer/szymanowski_galanter.html"} +{"d:Title": "Szymanowski, Karol (1882 - 1937), Ukraine", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://stevenestrella.com/composers/composerfiles/szymanowski1937.html"} +{"d:Title": "Karol Szymanowski (1882-1937), Polish Composer", "d:Description": "An online club for those who share a passion about his music and life. Information on joining chat groups plus links.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.angelfire.com/music4/szymanowski/"} +{"d:Title": "Karol Szymanowski", "d:Description": "Biography, links, and directory of free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.classiccat.net/szymanowski_k/"} +{"d:Title": "Karol Szymanowski", "d:Description": "Filmography listing the cinematic use of his works from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.imdb.com/name/nm0844385/"} +{"d:Title": "Karol Maciej Szymanowski", "d:Description": "Wikipedia article with life, works, internal references to related people and topics, bibliography, and external links.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://en.wikipedia.org/wiki/Karol_Szymanowski"} +{"d:Title": "Karol Szymanowski", "d:Description": "Find A Grave listing with birth and death information, biography, works summary, portrait photograph, pictures of burial place, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9352698&pt=Karol+Szymanowski"} +{"d:Title": "Karol Szymanowski (1882-1937)", "d:Description": "Biographical essay and caricature with summaries of orchestral and vocal, chamber, piano, and vocal and choral music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.naxos.com/composerinfo/1018.htm"} +{"d:Title": "Classical Archives: Karol Szymanowski", "d:Description": "Biography, complete files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.classicalarchives.com/composer/3432.html"} +{"d:Title": "Karol Szymanowski", "d:Description": "Brief summary of life and works with photograph and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://musicalics.com/en/node/82323"} +{"d:Title": "Karol Szymanowski", "d:Description": "Listing at the Lied and Art Songs Text Page with over 100 listed vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5907"} +{"d:Title": "Karol Szymanowski", "d:Description": "Birth and death information, photograph, and recommended recordings from Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/S/Szymanowski,_Karol_Maciej", "url": "http://www.classical.net/music/comp.lst/szymanowski.php"} +{"d:Title": "Bent S\u00f8rensen, Danish composer (b. 1958)", "d:Description": "Brief biography and major works from Dacapo Records.", "topic": "Top/Arts/Music/Composition/Composers/S/S\u00f8rensen,_Bent", "url": "http://www.dacapo-records.dk/en/artist-bent-s%C3%B8rensen.aspx"} +{"d:Title": "The Johann Strauss Society of Great Britain", "d:Description": "Promote the music of the family and their musical contemporaries and to increase appreciation of their repertoire. Includes composer information, links, contact and joining information, recordings, and links.", "topic": "Top/Arts/Music/Composition/Composers/Strauss_Family", "url": "http://www.johann-strauss.org.uk/"} +{"d:Title": "Strauss Family", "d:Description": "Includes information on Johann Sr, Johann Jr., Eduard, Josef, Franz Lehar, Robert Stolz, plus and photos, history, composition lists, and links.", "topic": "Top/Arts/Music/Composition/Composers/Strauss_Family", "url": "http://www.bobjanuary.com/sfamily.htm"} +{"d:Title": "Biographical Sketch of Germaine Tailleferre", "d:Description": "Information about her life and work with photograph, links, and music purchase information.", "topic": "Top/Arts/Music/Composition/Composers/T/Tailleferre,_Germaine", "url": "http://www.classicalmusicnow.com/Tailleferrebiography.htm"} +{"d:Title": "Women of Note: Tailleferre", "d:Description": "Biography, notes on style and substance of her work, photograph, details regarding several works, and WMA audio sample of her Harp Concerto.", "topic": "Top/Arts/Music/Composition/Composers/T/Tailleferre,_Germaine", "url": "http://www.ambache.co.uk/wTailleferre.htm"} +{"d:Title": "Germaine Tailleferre", "d:Description": "Find A Grave listing with birth and death, biography, summary of works, photograph, cemetery information, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/T/Tailleferre,_Germaine", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9179513&pt=Germaine+Tailleferre"} +{"d:Title": "Germaine Tailleferre", "d:Description": "Filmography at IMDb lists movies and television shows using her compositions.", "topic": "Top/Arts/Music/Composition/Composers/T/Tailleferre,_Germaine", "url": "http://www.imdb.com/name/nm0846803/"} +{"d:Title": "Germaine Tailleferre", "d:Description": "Listing at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/T/Tailleferre,_Germaine", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5909"} +{"d:Title": "Takemitsu, Toru (1930 - 1996), Japan", "d:Description": "Notable events, biographical data, recommended CDs, books, and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/T/Takemitsu,_Toru", "url": "http://stevenestrella.com/composers/composerfiles/takemitsu1996.html"} +{"d:Title": "Mr. Toru Takemitsu", "d:Description": "Profile by Music from Japan with background information and links to works on file.", "topic": "Top/Arts/Music/Composition/Composers/T/Takemitsu,_Toru", "url": "http://www.musicfromjapan.org/resources/mfjc37.htm"} +{"d:Title": "Toru Takemitsu (1930-1996)", "d:Description": "Brief biographical sketch, summaries of orchestral and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Takemitsu,_Toru", "url": "http://www.naxos.com/composerinfo/1020.htm"} +{"d:Title": "T\u00f4ru Takemitsu", "d:Description": "Filmography at IMDb with composer, writer, and actor credits for film and television, including the series Cosmos and the movie Ran.", "topic": "Top/Arts/Music/Composition/Composers/T/Takemitsu,_Toru", "url": "http://www.imdb.com/name/nm0006316/"} +{"d:Title": "Toru Takemitsu", "d:Description": "Brief article championing him as a \"serious\" as well as a film composer with links. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/T/Takemitsu,_Toru", "url": "http://musicalics.com/en/node/82329"} +{"d:Title": "Tallis, Thomas", "d:Description": "Catholic Encyclopedia entry focusing especially on his contributions to Roman Catholic liturgics and hymnody, even after the English Reformation.", "topic": "Top/Arts/Music/Composition/Composers/T/Tallis,_Thomas", "url": "http://www.newadvent.org/cathen/14435a.htm"} +{"d:Title": "Thomas Tallis", "d:Description": "Biography centering on formative musical events and compositional abilities. Includes related composers, partial discography, and supplemental information relating to his historical era from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/T/Tallis,_Thomas", "url": "http://www.hoasm.org/IVM/Tallis.html"} +{"d:Title": "Thomas Tallis (1505 - 1585)", "d:Description": "Entry from Classical Net's Basic Repertoire List with biography, influences, students, comments on his influence over English music, posterity, and suggested recordings.", "topic": "Top/Arts/Music/Composition/Composers/T/Tallis,_Thomas", "url": "http://www.classical.net/music/comp.lst/tallis.html"} +{"d:Title": "Thomas Tallis (c.1505-1585): A Short Biography and Discography", "d:Description": "Information provided by the Medieval Music and Arts Foundation includes an extensive list of known recordings of the composer's works.", "topic": "Top/Arts/Music/Composition/Composers/T/Tallis,_Thomas", "url": "http://www.medieval.org/emfaq/composers/tallis.html"} +{"d:Title": "Thomas Tallis: The Father of English Church Music", "d:Description": "Brief biography, portrait, key works, timeline, recommended recordings, and historical and societal context from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/T/Tallis,_Thomas", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=14"} +{"d:Title": "Classical Archives: Thomas Tallis", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/T/Tallis,_Thomas", "url": "http://www.classicalarchives.com/composer/3437.html"} +{"d:Title": "Thomas Tallis", "d:Description": "Musicalics entry with summary of his life and two lengthier biographies. Attention paid to his relationship with Byrd and their monopoly on English music publishing.", "topic": "Top/Arts/Music/Composition/Composers/T/Tallis,_Thomas", "url": "http://musicalics.com/en/node/82330"} +{"d:Title": "Sergey Taneyev", "d:Description": "Find A Grave entry with brief biography, photograph of tombstone, and interactive remarks and condolences.", "topic": "Top/Arts/Music/Composition/Composers/T/Taneyev,_Sergey_Ivanovich", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6153860"} +{"d:Title": "Aleksander Tansman", "d:Description": "(1897-1986), \u0141\u00f3dz, Poland. Picture, biography, music overview, list of works, discography, Tansman's words, manuscripts, and bibliography, from the USC Polish Music Center.", "topic": "Top/Arts/Music/Composition/Composers/T/Tansman,_Alexandre", "url": "http://www.usc.edu/dept/polish_music/composer/tansman.html"} +{"d:Title": "Alexander Tansman", "d:Description": "Filmography at IMDb lists movies using his scores or individual pieces of his music.", "topic": "Top/Arts/Music/Composition/Composers/T/Tansman,_Alexandre", "url": "http://www.imdb.com/name/nm0849820/"} +{"d:Title": "Tan Dun", "d:Description": "Brief examination of the music and life of the composer.", "topic": "Top/Arts/Music/Composition/Composers/T/Tan_Dun", "url": "http://www.otherminds.org/shtml/Tan.shtml"} +{"d:Title": "IMDb: Dun Tan", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tan_Dun", "url": "http://www.imdb.com/name/nm0241753/"} +{"d:Title": "Tan Dun", "d:Description": "Biography, notes, upcoming performances, work list, reviews, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tan_Dun", "url": "http://www.musicsalesclassical.com/composer/short-bio/Tan-Dun"} +{"d:Title": "Tarrega, Francisco - Guitar Tabs", "d:Description": "A collection of links to transcriptions and tablatures of pieces composed by Tarrega.", "topic": "Top/Arts/Music/Composition/Composers/T/Tarrega,_Francisco", "url": "http://www.wholenote.com/tab/artist.asp?i=2843"} +{"d:Title": "Giuseppe Tartini", "d:Description": "Biographical and musicological material, photos of his town, monuments and medallions, and home, works, the family tomb, and links. Part of a collection of prominent Istrians.", "topic": "Top/Arts/Music/Composition/Composers/T/Tartini,_Giuseppe", "url": "http://www.istrianet.org/istria/illustri/tartini/"} +{"d:Title": "Giuseppe Tartini", "d:Description": "Biography with portrait, family details, noted works, educational and theoretical compositions, and related composer and period information from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/T/Tartini,_Giuseppe", "url": "http://www.hoasm.org/VIIID/Tartini.html"} +{"d:Title": "Giuseppe Tartini (1692-1770)", "d:Description": "Biographical background including his struggles to be and remain married, noted compositional and theoretical works, and a selected discography for LP and CD.", "topic": "Top/Arts/Music/Composition/Composers/T/Tartini,_Giuseppe", "url": "http://members.tripod.com/~go54321/tartini.html"} +{"d:Title": "Tartini, Giuseppe", "d:Description": "Entry in the Catholic Encyclopedia provides biography and background on his becoming a musician against his parents' wishes. Includes noted works and evaluation of his technical and theoretical brilliance.", "topic": "Top/Arts/Music/Composition/Composers/T/Tartini,_Giuseppe", "url": "http://www.newadvent.org/cathen/14462a.htm"} +{"d:Title": "Tartini, Giuseppe: Biography", "d:Description": "Entry from Timothy A. Smith's Sojourn pages includes influences, portrait, definitions of terms, and links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tartini,_Giuseppe", "url": "http://jan.ucc.nau.edu/~tas3/tartini.html"} +{"d:Title": "Ornamentation in Giusseppe Tartini's Trait\u00e9 des Agr\u00e9ments", "d:Description": "Tartini central to an in-depth study of 18th century violin compositions. Discussion of the appoggiatura, trill and mordent, , illustrations, compound ornaments, and the composer and his school. With conclusions, notes, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tartini,_Giuseppe", "url": "http://beststudentviolins.com/tartini.html"} +{"d:Title": "Classical Archives: Giuseppe Tartini", "d:Description": "Biography and music files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/T/Tartini,_Giuseppe", "url": "http://www.classicalarchives.com/composer/3445.html"} +{"d:Title": "John Tavener", "d:Description": "(1944- ) Biography, discography, catalogue of works, .wav files, links, and illustrations including Orthodox icons.", "topic": "Top/Arts/Music/Composition/Composers/T/Tavener,_John", "url": "http://easyweb.easynet.co.uk/~snc/tavener.htm"} +{"d:Title": "John Taverner", "d:Description": "Biography from the Here of a Sunday Morning radio program touting him as the greatest early 16th Century English composer. Includes composer links, related materials, and illustrations.", "topic": "Top/Arts/Music/Composition/Composers/T/Taverner,_John", "url": "http://www.hoasm.org/IVM/Taverner.html"} +{"d:Title": "John Taverner", "d:Description": "Catholic Encyclopedia with life, work in and for the Catholic Church, and discussion of his fealty to Rome and possible confusion with others of the same name.", "topic": "Top/Arts/Music/Composition/Composers/T/Taverner,_John", "url": "http://www.newadvent.org/cathen/14466b.htm"} +{"d:Title": "John Taverner (c.1490 - 1545)", "d:Description": "Classical Net Basic Repertoire List entry with biography, style, related composers, and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/T/Taverner,_John", "url": "http://www.classical.net/music/comp.lst/taverner.html"} +{"d:Title": "John Taverner: Naught but a Musician", "d:Description": "Biographical chronology, key works, and recommended recordings, and historical and societal context from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/T/Taverner,_John", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=13"} +{"d:Title": "Classical Archives: Sir John Taverner", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/T/Taverner,_John", "url": "http://www.classicalarchives.com/composer/3446.html"} +{"d:Title": "John Taverner", "d:Description": "Entry from Musicalics with notes on his music and recommended CDs, life, and bibliography.", "topic": "Top/Arts/Music/Composition/Composers/T/Taverner,_John", "url": "http://musicalics.com/en/node/82343"} +{"d:Title": "Deems Taylor", "d:Description": "Filmography showing his credits as actor, writer, composer, and arranger with links to his movies. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/T/Taylor,_Joseph_Deems", "url": "http://www.imdb.com/name/nm0852252/"} +{"d:Title": "Joseph Deems Taylor", "d:Description": "List of operas, operettas, and comic operas, with additional information for selected works includes biographical sketch and critical evaluation of his importance. From U.S. Opera.", "topic": "Top/Arts/Music/Composition/Composers/T/Taylor,_Joseph_Deems", "url": "http://usopera.com/composers/taylor.html"} +{"d:Title": "Piotr Ilyitch Tchaikovsky", "d:Description": "Recommended biographies, scores, and recordings with MIDI audio samples and further information from Classical Net's Basic Repertoire List.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.classical.net/music/comp.lst/tchaikov.html"} +{"d:Title": "Peter Tchaikovsky", "d:Description": "Pictures of tombstone and monuments with link to list of other notable interments at the Alexander Nevsky Monastery, St. Petersburg. From Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSmpid=360&GRid=1512&"} +{"d:Title": "Peter Ilyich Tchaikovsky - mfiles classical composer listing", "d:Description": "Short biography, an introduction to his key musical output, and music examples (Sugar Plum Fairy).", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.mfiles.co.uk/Composers/Peter-Ilyich-Tchaikovsky.htm"} +{"d:Title": "From Pain Comes Beauty: Pyotr Tchaikovsky", "d:Description": "Brief biography, list of key works, suggested reading, timeline, quotes, additional resources, and links from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=54"} +{"d:Title": "Pyotr Ilyich Tchaikovsky", "d:Description": "Wikipedia entry with life and details of his ballets, operas, symphonies, concertos, and other works, with internal references to related people and topics, and external links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://en.wikipedia.org/wiki/Pyotr_Ilyich_Tchaikovsky"} +{"d:Title": "Tchaikovsky Research.org", "d:Description": "A site devoted to the life and works of P. I. Tchaikovsky.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.tchaikovsky-research.net/"} +{"d:Title": "Pyotr Il'yich Tchaikovsky (1840-1893)", "d:Description": "Brief biographical sketch, caricature, summaries of operas, ballets, and fantasy overtures, and of orchestral, concerto, chamber, piano and vocal music. With Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.naxos.com/composerinfo/1033.htm"} +{"d:Title": "Classical Archives: Pyotr Ilich Tchaikovsky", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.classicalarchives.com/composer/3448.html"} +{"d:Title": "Pyotr Ilyich Tchaikovsky", "d:Description": "Filmography at Internet Movie Database (IMDb) lists movies and television programs based on or otherwise using his music. Also brief biographies and related material.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.imdb.com/name/nm0006318/"} +{"d:Title": "Pyotr Ilyich Tchaikovsky", "d:Description": "List of works, brief biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://musicalics.com/en/node/82347"} +{"d:Title": "Pyotr Ilyich Tchaikovsky", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, many with translations. Russian texts in Cyrillic and transliteration.", "topic": "Top/Arts/Music/Composition/Composers/T/Tchaikovsky,_Peter_Ilych", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2762"} +{"d:Title": "Naxos: Tcherepnin, Alexander", "d:Description": "Brief biographical sketch, comments on his style, and discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tcherepnin,_Alexander_Nicolaievich", "url": "http://www.naxos.com/composerinfo/Alexander_Tcherepnin_23877/23877.htm"} +{"d:Title": "Naxos: Tcherepnin, Nikolai (1873 - 1945)", "d:Description": "Brief biographical sketch, comments on style, and discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tcherepnin,_Nicolai_Nicolaievich", "url": "http://www.naxos.com/composerinfo/Nikolai_Tcherepnin/23878.htm"} +{"d:Title": "The Unofficial Serge Page", "d:Description": "Background on the designer of the noted synthesizer and the instrument itself.", "topic": "Top/Arts/Music/Composition/Composers/T/Tcherepnin,_Serge_Alexandrovich", "url": "http://www.serge-fans.com/"} +{"d:Title": "Classical Net: Telemann", "d:Description": "Basic repertoire list.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.classical.net/music/comp.lst/telemann.html"} +{"d:Title": "Telemann, Georg Philipp", "d:Description": "Biography from Timothy A. Smith's Sojourn pages with links to related people and topics plus definitions of terms.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://jan.ucc.nau.edu/~tas3/telemann.html"} +{"d:Title": "Telemann, Georg Philipp", "d:Description": "Compilation by MuseData.org with encodings of collections of works from Brussels, Copenhagen, Magdeburg, Rheda, and Stockholm. Data download requires account and password.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.musedata.org/encodings/telemann/"} +{"d:Title": "Georg Philip Telemann", "d:Description": "Biography from the Here Of A Sunday Morning radio program with portrait, discussion of abilities as musician and composer, posts held, and relationship with Bach. Includes partial discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.hoasm.org/XIA/XIATelemann.html"} +{"d:Title": "Classic Cat: Telemann", "d:Description": "Directory of mp3s on the internet that have been made available by the performing artists.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.classiccat.net/telemann_gp/"} +{"d:Title": "Georg Philipp Telemann", "d:Description": "Notes on recommended recordings, books, sheet music and the Hamburg Telemann Project, as well as significant links from NewOlde.com.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.newolde.com/telemann.htm"} +{"d:Title": "Georg Philip Telemann", "d:Description": "Find A Grave entry with birth and death dates, biography, musical overview, portrait, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9956244&pt=Georg+Telemann"} +{"d:Title": "Georg Philipp Telemann (1681-1767)", "d:Description": "Brief biographical sketch, caricature, summaries of church, operatic, secular vocal, orchestral, and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.naxos.com/composerinfo/1037.htm"} +{"d:Title": "Classical Archives: Georg Philipp Telemann", "d:Description": "Biography, files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.classicalarchives.com/composer/3454.html"} +{"d:Title": "Georg Philipp Telemann", "d:Description": "Filmography at IMDb lists movies using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.imdb.com/name/nm0854310/"} +{"d:Title": "Georg Philipp Telemann", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, many with translations into English and other languages.", "topic": "Top/Arts/Music/Composition/Composers/T/Telemann,_Georg_Philipp", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5933"} +{"d:Title": "Sylvia Smith Archives: James Tenney", "d:Description": "Picture, biography, archived compositions, and audio files.", "topic": "Top/Arts/Music/Composition/Composers/T/Tenney,_James", "url": "http://www3.uakron.edu/ssma/composers/Tenney.shtml"} +{"d:Title": "Kalvos&Damian: James Tenney", "d:Description": "Picture, sound files, biography, and selected works.", "topic": "Top/Arts/Music/Composition/Composers/T/Tenney,_James", "url": "http://www.kalvos.org/tenneyj.html"} +{"d:Title": "Thalberg, Sigismond", "d:Description": "Catholic Encyclopedia entry with life, musical highlights, comparisons with Liszt, and comments on his better and worse works.", "topic": "Top/Arts/Music/Composition/Composers/T/Thalberg,_Sigismond_Fortun\u00e9_Fran\u00e7ois", "url": "http://www.newadvent.org/cathen/14553e.htm"} +{"d:Title": "Thalberg, Sigismond (1812 - 1871), Switzerland", "d:Description": "Biographical data, recommended CDs, books, and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/T/Thalberg,_Sigismond_Fortun\u00e9_Fran\u00e7ois", "url": "http://stevenestrella.com/composers/composerfiles/thalberg1871.html"} +{"d:Title": "Classical Archives: Sigismond Thalberg", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/T/Thalberg,_Sigismond_Fortun\u00e9_Fran\u00e7ois", "url": "http://www.classicalarchives.com/composer/3458.html"} +{"d:Title": "Ambroise Thomas - Mignon", "d:Description": "Review of live recording November 1996, Th\u00e9\u00e2tre Imp\u00e9rial de Compi\u00e8gne, from Accord (206552) [2 CDs: 76' 46\", 75' 48\"].", "topic": "Top/Arts/Music/Composition/Composers/T/Thomas,_Ambroise", "url": "http://www.musicweb-international.com/classrev/2002/Aug02/Thomas_MignonDeneve.htm"} +{"d:Title": "Ira Randall Thompson", "d:Description": "Biography and photograph from Thorpe Music Publishing Company with links to his catalogue of choral and vocal music.", "topic": "Top/Arts/Music/Composition/Composers/T/Thompson,_Ira_Randall", "url": "http://www.thorpemusic.com/thomps03.html"} +{"d:Title": "Thompson, Randall: Papers", "d:Description": "A guide to holdings of the composer's non-choral and choral works at the Houghton Library of Harvard University.", "topic": "Top/Arts/Music/Composition/Composers/T/Thompson,_Ira_Randall", "url": "http://oasis.harvard.edu/dfap/html/hou00545.html"} +{"d:Title": "Randall Thompson", "d:Description": "Entry at Musicalics with life, education, related composers, and noted compositions.", "topic": "Top/Arts/Music/Composition/Composers/T/Thompson,_Ira_Randall", "url": "http://musicalics.com/en/node/82367"} +{"d:Title": "Randall Thompson", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works and links to public domain lyrics.", "topic": "Top/Arts/Music/Composition/Composers/T/Thompson,_Ira_Randall", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5953"} +{"d:Title": "Virgil Thomson", "d:Description": "Biography and musical analysis from Classical Net's Basic Repertoire List includes links to related composers.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.classical.net/music/comp.lst/thomson.html"} +{"d:Title": "Virgil Thomson", "d:Description": "Biographical text accompanying the Alice Neel painting of the composer at the Smithsonian Institution's National Portrait Gallery.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.npg.si.edu/exh/brush/thom.htm"} +{"d:Title": "Virgil Thomson", "d:Description": "Find A Grave entry with birth and death dates, summary of life and works, portrait photograph, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6320385&pt=Virgil+Thomson"} +{"d:Title": "Virgil Thomson Foundation", "d:Description": "Official site. Includes biography, vignettes of his life and times, print and online resources, and works list plus information about the foundation and its grants.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.virgilthomson.org/"} +{"d:Title": "Virgil Thomson", "d:Description": "Wikipedia entry with life, summary of works, internal references to related people and topics, and photograph.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://en.wikipedia.org/wiki/Virgil_Thomson"} +{"d:Title": "Virgil Thomson", "d:Description": "Filmography at IMDb lists movies and television programs using his music. Includes biography and comments on his music criticism and his Pulitzer Prize winning film score.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.imdb.com/name/nm0861110/"} +{"d:Title": "Virgil Garnett Thomson and the Musical Portrait", "d:Description": "Brief biography, key works, suggested reading, timeline, recommended recordings, quotes, and historical and societal context from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=90"} +{"d:Title": "Virgil Thomson", "d:Description": "Biographical sketch summarizing his musical style and genres with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.naxos.com/composerinfo/1199.htm"} +{"d:Title": "Virgil Thomson", "d:Description": "Entry at US Opera lists his three operas and one libretto and includes details of his final operatic work, Lord Byron.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.usopera.com/composers/thomsonv.html"} +{"d:Title": "Virgil Thomson", "d:Description": "Biography, key works, articles, review.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.musicsalesclassical.com/composer/short-bio/Virgil-Thomson"} +{"d:Title": "Virgil Thomson", "d:Description": "Summaries of his music and life with suggested links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://musicalics.com/en/node/82368"} +{"d:Title": "The Kennedy Center: Virgil Thomson", "d:Description": "Biography and portrait accompanying the Center's 1983 ceremonies. Includes analysis of his musical and writing talents and of his skill as critic and composer.", "topic": "Top/Arts/Music/Composition/Composers/T/Thomson,_Virgil_Garnett", "url": "http://www.kennedy-center.org/artist/A3815"} +{"d:Title": "Kari Tikka", "d:Description": "Educational and musical background with photograph, links, and contact information.", "topic": "Top/Arts/Music/Composition/Composers/T/Tikka,_Kari", "url": "http://www.kolumbus.fi/kari.tikka/luther-ooppera/kari2.htm"} +{"d:Title": "Dimitri Tiomkin", "d:Description": "Find A Grave entry with biographical sketch, photographs of him and his mausoleum marker, and interactive comments and virtual flowers.", "topic": "Top/Arts/Music/Composition/Composers/T/Tiomkin,_Dimitri", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=11361"} +{"d:Title": "Dmitri Tiomkin", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/T/Tiomkin,_Dimitri", "url": "http://www.americancomposers.org/raksin_tiomkin.htm"} +{"d:Title": "Dimitri Tiomkin - mfiles composer listing", "d:Description": "Biography, list of films, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/T/Tiomkin,_Dimitri", "url": "http://www.mfiles.co.uk/Composers/Dimitri-Tiomkin.htm"} +{"d:Title": "Dimitri Tiomkin", "d:Description": "Filmography at IMDb including biography, composer, conductor, and other credits, plus awards and nominations.", "topic": "Top/Arts/Music/Composition/Composers/T/Tiomkin,_Dimitri", "url": "http://www.imdb.com/name/nm0006323/"} +{"d:Title": "Sir Michael Tippett", "d:Description": "Tore Steenslid tribute includes his own writings about the composer with those of others. Includes reflections, interview, study of his operas, CD reviews, photographs, and related links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://www.steenslid.com/music/tippett/"} +{"d:Title": "Sir Michael Tippett: Interview", "d:Description": "David Peat in conversation with Sir Michael. The composer talks about the act of composition, the nature of his creativity, the importance of Carl Jung in his work, and various other aspects of his personal spirituality.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://www.fdavidpeat.com/interviews/tippett.htm"} +{"d:Title": "Michael Tippett", "d:Description": "Homage from the Peace Pledge Union after its president Michael Tippett died. Biography is mingled with interpretation as his long-time pacifism is explained and promoted.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://www.ppu.org.uk/learn/infodocs/people/pst_tippett.html"} +{"d:Title": "Michael Tippett", "d:Description": "Wikipedia entry with biography and summary of musical style, genres, and overall output plus internal references to related people and topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://en.wikipedia.org/wiki/Michael_Tippett"} +{"d:Title": "Michael Tippett", "d:Description": "Filmography at IMDb listing movies and television shows based upon his operas or otherwise using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://www.imdb.com/name/nm0864137/"} +{"d:Title": "Michael Tippett (1905-1998)", "d:Description": "Brief biographical sketch, caricature, summaries of operas and orchestral, vocal and choral, and chamber music with Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://www.naxos.com/composerinfo/1043.htm"} +{"d:Title": "Sir Michael Tippett (1905 - 1998)", "d:Description": "An essay written by David Wright (originally in 1976) examines his personality and political leanings, showing how he could make polar changes in brief periods of time.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://www.musicweb-international.com/tippett/index.htm"} +{"d:Title": "Michael Tippett", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works and links to public domain texts.", "topic": "Top/Arts/Music/Composition/Composers/T/Tippett,_Michael_Kent,_Sir", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2807"} +{"d:Title": "Jonah's Mission", "d:Description": "Introduction to the composer and details of the composition of his oratorio on the reluctant prophet. The libretto is divided into separate pages, concluding with \"The Sign of the Son of Man.\"", "topic": "Top/Arts/Music/Composition/Composers/T/Tobias,_Rudolf", "url": "http://www.physics.helsinki.fi/whale/literature/music/tobias/tobias.html"} +{"d:Title": "Atlantic: Ernst Toch Resources", "d:Description": "Provides addresses for the Ernst Toch Archive at the University of Southern California Music Library and the Ernst Toch Society. Also includes the availability of various works.", "topic": "Top/Arts/Music/Composition/Composers/T/Toch,_Ernst", "url": "http://www.theatlantic.com/issues/96dec/toch/resources.htm"} +{"d:Title": "My Grandfather's Last Tale", "d:Description": "An extended biography and analysis of Ernst Toch's life by his eldest grandson. Includes links to other resources by Atlantic Magazine.", "topic": "Top/Arts/Music/Composition/Composers/T/Toch,_Ernst", "url": "http://www.theatlantic.com/issues/96dec/toch/weschler.htm"} +{"d:Title": "Toch Unbound", "d:Description": "Atlantic Magazine resource includes several Real Audio recordings of excerpts of the composer's works.", "topic": "Top/Arts/Music/Composition/Composers/T/Toch,_Ernst", "url": "http://www.theatlantic.com/issues/96dec/toch/tochside.htm"} +{"d:Title": "Ernst Toch", "d:Description": "The composer's grave.", "topic": "Top/Arts/Music/Composition/Composers/T/Toch,_Ernst", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9473"} +{"d:Title": "Ernst Toch: Reconciling Traditional and Modern Trends", "d:Description": "Biographical notes, portrait, key works, suggested reading, timeline, recommended reading, and historical and societal context from Heart's Ease.", "topic": "Top/Arts/Music/Composition/Composers/T/Toch,_Ernst", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=96"} +{"d:Title": "Ernst Toch", "d:Description": "Filmography at IMDb with composer and orchestrator listings and Oscar nominations. Many entries are for stock or otherwise uncredited music.", "topic": "Top/Arts/Music/Composition/Composers/T/Toch,_Ernst", "url": "http://www.imdb.com/name/nm0006324/"} +{"d:Title": "Thomas Tomkins", "d:Description": "Biography, instructors and influences, mention of his secular works, music manuscript facsimiles, discography, and links to related material from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/T/Tomkins,_Thomas", "url": "http://www.hoasm.org/IVM/Tomkins.html"} +{"d:Title": "Tomkins, Thomas (1572 - 1656), United Kingdom", "d:Description": "Biographical data, recommended CDs, books, and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/T/Tomkins,_Thomas", "url": "http://stevenestrella.com/composers/composerfiles/tomkins1656.html"} +{"d:Title": "Ernest Tomlinson: Musicologist.", "d:Description": "Churchill Society essay focusing on his contributions to the recording and proliferation of Light Music, both his own and that of others. Includes portrait and links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tomlinson,_Ernest", "url": "http://www.churchill-society-london.org.uk/Tomlnson.html"} +{"d:Title": "Ernest Tomlinson", "d:Description": "Entry from the Robert Farnon Society collection of Light Music composers with biography, influences, musical overview, and links to related composers and topics.", "topic": "Top/Arts/Music/Composition/Composers/T/Tomlinson,_Ernest", "url": "http://www.robertfarnonsociety.org.uk/index.php/legends/ernest-tomlinson"} +{"d:Title": "Sidney Torch", "d:Description": "Filmography at IMDb lists television shows and movies using his compositions.", "topic": "Top/Arts/Music/Composition/Composers/T/Torch,_Sidney", "url": "http://www.imdb.com/name/nm0867865/"} +{"d:Title": "Sidney Torch", "d:Description": "Entry from the Robert Farnon Society's Legends of Light Music pages with biography, instrumental abilities, influences and effects, and posterity.", "topic": "Top/Arts/Music/Composition/Composers/T/Torch,_Sidney", "url": "http://www.robertfarnonsociety.org.uk/index.php/legends/sidney-torch"} +{"d:Title": "Giuseppe Torelli", "d:Description": "Biography from the Here Of A Sunday Morning radio program with discussion of his output and links to musically- and period-related material.", "topic": "Top/Arts/Music/Composition/Composers/T/Torelli,_Giuseppe", "url": "http://www.hoasm.org/VIIIA/Torelli.html"} +{"d:Title": "Classical Archives: Giuseppe Torelli", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/T/Torelli,_Giuseppe", "url": "http://www.classicalarchives.com/composer/3469.html"} +{"d:Title": "Classical Net - Composers: Torke", "d:Description": "Picture, biography, list of works, recordings, performances, interview, news, and program notes.", "topic": "Top/Arts/Music/Composition/Composers/T/Torke,_Michael", "url": "http://www.classical.net/music/comp.lst/acc/torke.html"} +{"d:Title": "Kalvos&Damian: Michael Torke", "d:Description": "Audio files and recordings.", "topic": "Top/Arts/Music/Composition/Composers/T/Torke,_Michael", "url": "http://www.kalvos.org/torkemi.html"} +{"d:Title": "Boosey&Hawkes: Michael Torke", "d:Description": "Picture, biography, an introduction to Torke's music by Mark Swed, worklist, news, performances, recordings, and sound samples.", "topic": "Top/Arts/Music/Composition/Composers/T/Torke,_Michael", "url": "http://www.boosey.com/pages/cr/composer/composer_main.asp?composerid=2845"} +{"d:Title": "Art Songs by Tosti", "d:Description": "(1846-1916) List of song cycles and songs.", "topic": "Top/Arts/Music/Composition/Composers/T/Tosti,_Francesco_Paolo", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5990"} +{"d:Title": "Charles Tournemire", "d:Description": "Listing with Classical Net's Basic Repertoire List includes related composers and brief examination of his style.", "topic": "Top/Arts/Music/Composition/Composers/T/Tournemire,_Charles_Arnould", "url": "http://www.classical.net/music/comp.lst/tournemire.php"} +{"d:Title": "Charles Tournemire", "d:Description": "Musicalics with life, major works, and his major teachers and students.", "topic": "Top/Arts/Music/Composition/Composers/T/Tournemire,_Charles_Arnould", "url": "http://musicalics.com/en/node/80758"} +{"d:Title": "Donald Francis Tovey", "d:Description": "Musicalics entry with stylistic comments, selected works from opera, orchestral, chamber, piano, and vocal music, biography, and links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tovey,_Donald_Francis,_Sir", "url": "http://musicalics.com/en/node/80760"} +{"d:Title": "Joan Tower", "d:Description": "Wikipedia article with summary of life and works, noting her complete focus on instrumental pieces. Includes internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/T/Tower,_Joan", "url": "http://en.wikipedia.org/wiki/Joan_Tower"} +{"d:Title": "Joan Tower", "d:Description": "Brief biographical sketch, photograph, summary of orchestral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tower,_Joan", "url": "http://www.naxos.com/composerinfo/4787.htm"} +{"d:Title": "Joan Tower", "d:Description": "Biography, notes, reviews, articles, upcoming performances, work list, links.", "topic": "Top/Arts/Music/Composition/Composers/T/Tower,_Joan", "url": "http://www.musicsalesclassical.com/composer/short-bio/Joan-Tower"} +{"d:Title": "Joan Tower", "d:Description": "Summary of works, brief biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/T/Tower,_Joan", "url": "http://musicalics.com/en/node/96493"} +{"d:Title": "Tommaso Traetta: Baroque Composer", "d:Description": "A project seeking to honor and perpetuate his memory and promote knowledge and enjoyment of his music and his contributions to Italian and international cultural life. Includes news, articles, interviews, and works.", "topic": "Top/Arts/Music/Composition/Composers/T/Traetta,_Tommaso_Michele_Francesco_Saverio", "url": "http://www.traetta.com/"} +{"d:Title": "Traetta, Tommaso Michele Francesco Saverio", "d:Description": "Biography, period information, and related people from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/T/Traetta,_Tommaso_Michele_Francesco_Saverio", "url": "http://www.hoasm.org/VIIIB/Traetta.html"} +{"d:Title": "Tommaso Traetta", "d:Description": "Wikipedia article with detailed biography covering all periods and activities of his life. Includes comments on non-operatic works and special emphasis on French influences and time spent in the Russian court with internal references to related topics.", "topic": "Top/Arts/Music/Composition/Composers/T/Traetta,_Tommaso_Michele_Francesco_Saverio", "url": "http://en.wikipedia.org/wiki/Tommaso_Traetta"} +{"d:Title": "Tommaso Traetta", "d:Description": "Entry at the Lied and Art Songs Text Page with selected lyrics.", "topic": "Top/Arts/Music/Composition/Composers/T/Traetta,_Tommaso_Michele_Francesco_Saverio", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=5996"} +{"d:Title": "The Music of the Late Harold Truscott: An Excavation Report", "d:Description": "Guy Rickards examines the composer's corpus and reports in detail for Classical Music on the Web. Commentary is offered on his writings and on each genre for which he wrote.", "topic": "Top/Arts/Music/Composition/Composers/T/Truscott,_Harold", "url": "http://www.musicweb-international.com/Truscott/"} +{"d:Title": "Harold Truscott", "d:Description": "Entry from the Musicalics with life, works and styles, related composers, writings, and links.", "topic": "Top/Arts/Music/Composition/Composers/T/Truscott,_Harold", "url": "http://musicalics.com/en/node/85415"} +{"d:Title": "David Tudor", "d:Description": "(Lovely Music Ltd.) Includes biography and discography.", "topic": "Top/Arts/Music/Composition/Composers/T/Tudor,_David", "url": "http://www.lovely.com/bios/tudor.html"} +{"d:Title": "Sonic Memorial for David Tudor", "d:Description": "A collection of sound files created in his honor.", "topic": "Top/Arts/Music/Composition/Composers/T/Tudor,_David", "url": "http://www.newmus.net/smfdt.htm"} +{"d:Title": "The Art of David Tudor", "d:Description": "A Web site that complements the Getty Research Institute symposium focusing on the work of pianist and composer.", "topic": "Top/Arts/Music/Composition/Composers/T/Tudor,_David", "url": "http://www.getty.edu/research/conducting_research/digitized_collections/davidtudor/"} +{"d:Title": "Fisher Tull", "d:Description": "Provides information about the composer. Includes biography, catalog, recordings, articles and notes.", "topic": "Top/Arts/Music/Composition/Composers/T/Tull,_Fisher", "url": "http://www.fishertull.com/"} +{"d:Title": "Fisher Tull", "d:Description": "Biography, list of compositions, and discography from Gulf Wind Music.", "topic": "Top/Arts/Music/Composition/Composers/T/Tull,_Fisher", "url": "http://www.gulfwindmusic.com/tullindex.html"} +{"d:Title": "The Erkki-Sven T\u00fc\u00fcr Information Archive", "d:Description": "Includes biography, bibliography, photographs, official and unofficial works list, CD discography, LP discography, filmography, radio broadcast listing, future concerts and past concerts listing for this contemporary Estonian composer.", "topic": "Top/Arts/Music/Composition/Composers/T/T\u00fc\u00fcr,_Erkki-Sven", "url": "http://www3.sympatico.ca/alan.teder/index.htm"} +{"d:Title": "Christopher Tye", "d:Description": "Basic Repertoire entry from Classical Net with biographical details, educational background, links to contemporaries, posterity, and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/T/Tye,_Christopher", "url": "http://www.classical.net/music/comp.lst/tye.html"} +{"d:Title": "Christopher Tye", "d:Description": "Detailed biography from the Here Of A Sunday Morning radio program with links to related composers, education, working relationship with the English royalty, a partial discography, and related material on English music and Latin church music.", "topic": "Top/Arts/Music/Composition/Composers/T/Tye,_Christopher", "url": "http://www.hoasm.org/IVM/Tye.html"} +{"d:Title": "Tye, Christopher (c. 1500 - c. 1572), United Kingdom", "d:Description": "Biographical data, recommended CDs, books, and sheet music, bibliography, and link to further information from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/T/Tye,_Christopher", "url": "http://stevenestrella.com/composers/composerfiles/tye1572.html"} +{"d:Title": "The Tcherepnin Society Website", "d:Description": "Promotes the music and international ideals of Nikolai, Alexander and Ivan Tcherepnin: biographies, worklists, pictures, and CDs.", "topic": "Top/Arts/Music/Composition/Composers/Tcherepnin_Family", "url": "http://www.tcherepnin.com/"} +{"d:Title": "Tcherepnins to Perform", "d:Description": "MIT press release for 2000 chamber concert featuring music of the family with performers including Stefan and Ivan's widow Sue-Ellen.", "topic": "Top/Arts/Music/Composition/Composers/Tcherepnin_Family", "url": "http://web.mit.edu/newsoffice/2000/music-0209.html"} +{"d:Title": "Nobuo Ueumatsu: The Man, The Legend.", "d:Description": "A fan page that includes sheet music, lyrics, audio/video, and Final Fantasy related concert dates.", "topic": "Top/Arts/Music/Composition/Composers/U/Uematsu,_Nobuo", "url": "http://www.nobuouematsu.com/"} +{"d:Title": "The Uematsu Band Homepage", "d:Description": "Website of a high-school band that adapts and performs music by this composer.", "topic": "Top/Arts/Music/Composition/Composers/U/Uematsu,_Nobuo", "url": "http://www.angelfire.com/band/Uematsu/"} +{"d:Title": "Wikipedia: Nobuo Uematsu", "d:Description": "Encyclopedia listing for the composer. Includes biography, game-related works and other works.", "topic": "Top/Arts/Music/Composition/Composers/U/Uematsu,_Nobuo", "url": "http://en.wikipedia.org/wiki/Nobuo_Uematsu"} +{"d:Title": "IMDb: Nobuo Uematsu", "d:Description": "Entertainment database listing. Includes mini biography, list of works, trivia, and discussion forum.", "topic": "Top/Arts/Music/Composition/Composers/U/Uematsu,_Nobuo", "url": "http://www.imdb.com/name/nm0879965/"} +{"d:Title": "RPGamer: Nobuo Uematsu Interview", "d:Description": "English translation of an interview conducted in Japan by Weekly Famitsu magazine.", "topic": "Top/Arts/Music/Composition/Composers/U/Uematsu,_Nobuo/Interviews", "url": "http://www.rpgamer.com/news/Q3-2000/070800b.html"} +{"d:Title": "Sounds of Defiance", "d:Description": "Profile with sketch, note of rights for a composition, and highlights of his career and his death under the Nazis.", "topic": "Top/Arts/Music/Composition/Composers/U/Ullmann,_Viktor", "url": "http://www.solyom.com/defiance.html#ullmann"} +{"d:Title": "Ullmann", "d:Description": "Personal page from umich.edu", "topic": "Top/Arts/Music/Composition/Composers/U/Ullmann,_Viktor", "url": "http://www-personal.umich.edu/~siglind/Ullmann.htm"} +{"d:Title": "\"Against All Odds\"", "d:Description": "Philadelphia Orchestra Performs Music Composed at Terezin", "topic": "Top/Arts/Music/Composition/Composers/U/Ullmann,_Viktor", "url": "http://www.writing.upenn.edu/~afilreis/Holocaust/phila-orchestra.html"} +{"d:Title": "The Center for U.S..-China Arts Exchange: Chinary Ung", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/U/Ung,_Chinary", "url": "http://www.columbia.edu/cu/china/Chinary.html"} +{"d:Title": "Columbia-Princeton Electronic Music Center", "d:Description": "\"Music in the Tape Medium\" by Vladimir Ussachevsky, Julliard Review Vol. 1, no. 2, Spring 1959, and \"The Columbia/Princeton Electronic Music Center- thirty years of exploration in sound\" by Robert Moog, Contemporary Keyboard, May 1981.", "topic": "Top/Arts/Music/Composition/Composers/U/Ussachevsky,_Vladimir", "url": "http://www.furious.com/perfect/ohm/columbiaprinceton.html"} +{"d:Title": "Ussachevsky, Vladimir", "d:Description": "Information on the composer including CDs. books and major works.", "topic": "Top/Arts/Music/Composition/Composers/U/Ussachevsky,_Vladimir", "url": "http://www.stevenestrella.com/composers/composerfiles/ussachevsky1990.html"} +{"d:Title": "Vali, Reza", "d:Description": "(1952- ), Ghazvin, Iran. Picture, biography, discography, compositions, reviews, and sound files.", "topic": "Top/Arts/Music/Composition/Composers/V/Vali,_Reza", "url": "http://www.andrew.cmu.edu/~rv0l/"} +{"d:Title": "The Organized Sound of Edgard Var\u00e8se", "d:Description": "Key works, suggested reading, timeline, recommended reading, photograph, and quotes from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/V/Var\u00e8se,_Edgard", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=70"} +{"d:Title": "IMDb: Edgard Var\u00e8se", "d:Description": "Filmography at IMDb with brief family background.", "topic": "Top/Arts/Music/Composition/Composers/V/Var\u00e8se,_Edgard", "url": "http://www.imdb.com/name/nm0889679/"} +{"d:Title": "Sergei Nikiforovich Vasilenko", "d:Description": "Biography from the Soviet Composers pages compiled by Onno van Rijen. Includes birth and death, education, style, and works list.", "topic": "Top/Arts/Music/Composition/Composers/V/Vasilenko,_Sergei_Nikiforovich", "url": "http://home.online.nl/ovar/vasilen.htm"} +{"d:Title": "Sergei Vasilenko", "d:Description": "Filmography at Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/V/Vasilenko,_Sergei_Nikiforovich", "url": "http://www.imdb.com/name/nm0890487/"} +{"d:Title": "The RVW Society", "d:Description": "Official Vaughan Williams society, founded in 1994. Includes society and membership information, biography, timeline, journal, news, concerts, bibliography, publications, sound clips in RM format, works list, links, and members-only sections.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/V/Vaughan_Williams,_Ralph", "url": "http://www.rvwsociety.com/"} +{"d:Title": "Ralph Vaughn Williams", "d:Description": "Site of final resting place at Westminster Abbey with photographs and background information plus interactive comments section. From Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/V/Vaughan_Williams,_Ralph", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=18885"} +{"d:Title": "Classic Cat: Vaughan Williams", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/V/Vaughan_Williams,_Ralph", "url": "http://www.classiccat.net/vaughan_williams_r/"} +{"d:Title": "Ralph Vaughn Williams (1872-1958)", "d:Description": "Brief biographical sketch, caricature, comments on stage, vocal and choral, and orchestral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/V/Vaughan_Williams,_Ralph", "url": "http://www.naxos.com/composerinfo/1073.htm"} +{"d:Title": "Classical Archives: Ralph Vaughan Williams", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/V/Vaughan_Williams,_Ralph", "url": "http://www.classicalarchives.com/composer/3503.html"} +{"d:Title": "Ralph Vaughan Williams", "d:Description": "Filmography at IMDb with biography, list of films featuring his music or for which he was primary composer, and related material.", "topic": "Top/Arts/Music/Composition/Composers/V/Vaughan_Williams,_Ralph", "url": "http://www.imdb.com/name/nm0891002/"} +{"d:Title": "Velasquez: Piano Works", "d:Description": "Classical Net Review includes biography noting his illegitimate birth and subsequent adoption by his natural mother, schooling, development of a personal style, and untimely death.", "topic": "Top/Arts/Music/Composition/Composers/V/Velasquez,_Glauco", "url": "http://www.classical.net/music/recs/reviews/m/mpl23556a.html"} +{"d:Title": "Guillermo Venegas Lloveras", "d:Description": "Official site of the Quebradillas, Puerto Rico native son. Includes biography, pictures, sound files, sheet music, discography and works, copyright information, and links.", "topic": "Top/Arts/Music/Composition/Composers/V/Venegas_Lloveras,_Guillermo", "url": "http://www.gvenegas.com/"} +{"d:Title": "Giuseppe Verdi Cultural Society", "d:Description": "Dedicated to the celebrations of the 100th anniversary of the death of this composer. Image gallery, bibliography, lesson plans and suggested itineraries in the area surrounding Verdi's hometown.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.giuseppeverdi.it/"} +{"d:Title": "The House of Composer Giuseppe Verdi", "d:Description": "Museum of Villa Verdi in Sant'Agata (Italy).", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.villaverdi.org/"} +{"d:Title": "Giuseppe Verdi - Cosmopolis", "d:Description": "Provides biography and information on his operas as well as the CDs available.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.cosmopolis.ch/english/cosmo13/verdi.htm"} +{"d:Title": "Classical Composer Profile: Giuseppe Verdi", "d:Description": "Biographical essay emphasizes the humanity of the composer. Background information, with links to RAM audio, on the genesis of some of his compositions includes Oberto, Nabucco, Va Pensiero, Jerusalem, Libera Me, La Donna E Mobile, and Brindisi.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.lessontutor.com/bf_verdi.html"} +{"d:Title": "Giuseppe Verdi", "d:Description": "Biography, portrait, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.classiccat.net/verdi_g/"} +{"d:Title": "Giuseppe Verdi", "d:Description": "Wikipedia article with biography, commentary on his nationalism and musical style, OGG audio sample, internal references to related people and topics, and external links.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://en.wikipedia.org/wiki/Giuseppe_Verdi"} +{"d:Title": "Giuseppe Verdi", "d:Description": "Find A Grave entry for his original burial place with biographical information, photographs, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1200&pt=Giuseppe+Verdi"} +{"d:Title": "Giuseppe Verdi", "d:Description": "Filmography noting cinematic use of his works and filmed versions of various operas plus biographical trivial and background material from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.imdb.com/name/nm0006333/"} +{"d:Title": "Giuseppe Fortunino Francesco Verdi", "d:Description": "Material from Opera Glass including list of operas, librettists and texts, important dates, creators of roles, portraits, discography, links, and references.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://opera.stanford.edu/Verdi/main.html"} +{"d:Title": "Giuseppe Verdi (1813-1901)", "d:Description": "Brief biographical sketch, caricature, comments on operas and church music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.naxos.com/composerinfo/1080.htm"} +{"d:Title": "Classical Archives: Giuseppe Verdi", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.classicalarchives.com/composer/3509.html"} +{"d:Title": "Giuseppe Verdi", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, some in translation.", "topic": "Top/Arts/Music/Composition/Composers/V/Verdi,_Giuseppe_Fortunino_Francesco", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6061"} +{"d:Title": "S\u00e1ndor Veress", "d:Description": "Biography, works, compositions, discography and publishers.", "topic": "Top/Arts/Music/Composition/Composers/V/Veress,_S\u00e1ndor", "url": "http://www.veress.net/"} +{"d:Title": "Basic Repertoire List: Victoria", "d:Description": "Classical Net recommends recordings of various masses, motets, and requiems.", "topic": "Top/Arts/Music/Composition/Composers/V/Victoria,_Tom\u00e1s_Luis_de", "url": "http://www.classical.net/music/comp.lst/victoria.html"} +{"d:Title": "Tom\u00e1s Luis de Victoria", "d:Description": "DoveSong International offers biography, musicography, recommended recordings and performance edition, publications, and MP3 recordings of his repertoire.", "topic": "Top/Arts/Music/Composition/Composers/V/Victoria,_Tom\u00e1s_Luis_de", "url": "http://www.dovesong.com/positive_music/archives/renaissance/Victoria.asp"} +{"d:Title": "Tom\u00e1s Luis de Victoria", "d:Description": "Biography, related composers, partial discography, and an essay on the Spanish Masters from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/V/Victoria,_Tom\u00e1s_Luis_de", "url": "http://www.hoasm.org/IVL/Victoria.html"} +{"d:Title": "Tom\u00e1s Luis de Victoria", "d:Description": "Biography, links, and directory of free MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/V/Victoria,_Tom\u00e1s_Luis_de", "url": "http://www.classiccat.net/victoria_tl_de/"} +{"d:Title": "Classical Archives: Tom\u00e1s Luis de Victoria", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/V/Victoria,_Tom\u00e1s_Luis_de", "url": "http://www.classicalarchives.com/composer/3510.html"} +{"d:Title": "Gerard Victory (1921-1995)", "d:Description": "David C. F. Wright essay for Music on the Web (UK) provides an extended biography and analysis of the composer's compositional style and substance by someone who knew him personally.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/V/Victory,_Thomas_Joseph_Gerard", "url": "http://www.musicweb-international.com/victory/"} +{"d:Title": "Gerard Victory", "d:Description": "Filmography at the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/V/Victory,_Thomas_Joseph_Gerard", "url": "http://www.imdb.com/name/nm0896212/"} +{"d:Title": "Miscellaneous Songs by Gerard Victory", "d:Description": "List of lyrics from recmusic.org for which the composer wrote music. Includes texts of The Chimney Sweeper, The Fly, The Little Vagabond, The Sick Rose, The Sunflower, and The Tyger.", "topic": "Top/Arts/Music/Composition/Composers/V/Victory,_Thomas_Joseph_Gerard", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6070"} +{"d:Title": "Gerard Victory (1921-1995)", "d:Description": "Picture, biography, and works, from the Contemporary Music Centre, Ireland.", "topic": "Top/Arts/Music/Composition/Composers/V/Victory,_Thomas_Joseph_Gerard", "url": "https://www.cmc.ie/composers/gerard-victory"} +{"d:Title": "Louis Vierne", "d:Description": "Wikipedia article summarizing life, works, positions held, and associates. Includes internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/V/Vierne,_Louis", "url": "http://en.wikipedia.org/wiki/Louis_Vierne"} +{"d:Title": "Louis Vierne", "d:Description": "Brief biographical sketch, caricature, summary of organ works, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/V/Vierne,_Louis", "url": "http://www.naxos.com/composerinfo/1083.htm"} +{"d:Title": "Classical Archives: Louis Vierne", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/V/Vierne,_Louis", "url": "http://www.classicalarchives.com/composer/3511.html"} +{"d:Title": "Louis Vierne", "d:Description": "Chart of major works, detailed biography, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/V/Vierne,_Louis", "url": "http://musicalics.com/en/node/82425"} +{"d:Title": "Louis Vierne", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works, most linked to lyrics, many includingEnglish translation.", "topic": "Top/Arts/Music/Composition/Composers/V/Vierne,_Louis", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6074"} +{"d:Title": "Villa-Lobos Museum", "d:Description": "Dedicated to the life and works of composer Heitor Villa-Lobos, the museum offers exhibits, research opportunities, and educational and cultural activities.In English and Portuguese.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www.museuvillalobos.org.br/"} +{"d:Title": "Villa-Lobos: Orpheonic Singing", "d:Description": "Ricardo Goldemberg article for Classical Net explores the composer's contributions to this art form during the 1930s and 1940s. Includes bibliography.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www.classical.net/music/comp.lst/articles/villa-lobos/orpheonic.html"} +{"d:Title": "The Villa-Lobos Magazine", "d:Description": "Ezine offering links and commentary to concerts, articles, biographies, commentaries, and related materials on the web and from other sources.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://villa-lobos.blogspot.com/"} +{"d:Title": "Heitor Villa-Lobos", "d:Description": "Biography and photograph. Focuses on his incorporation of the various strands of Brazilian ethnic music and the role played by Paris in shaping and allowing him to express it fully.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www-personal.umich.edu/~cyoungk/villalobosbio.htm"} +{"d:Title": "Heitor Villa-Lobos", "d:Description": "Wikipedia article with biography, major works, internal references to related people and topics, and external links.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://en.wikipedia.org/wiki/Heitor_Villa-Lobos"} +{"d:Title": "Heitor Villa-Lobos", "d:Description": "Find A Grave entry with birth and death dates, brief biography, burial information, photographs, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6909&pt=Heitor+Villa-Lobos"} +{"d:Title": "Heitor Villa-Lobos (1887-1959)", "d:Description": "Brief biographical sketch, caricature, comments on guitar music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www.naxos.com/composerinfo/1086.htm"} +{"d:Title": "Classical Archives: Heitor Villa-Lobos", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www.classicalarchives.com/composer/3514.html"} +{"d:Title": "Heitor Villa-Lobos", "d:Description": "Filmography at IMDb lists compositional and acting credits.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www.imdb.com/name/nm0897660/"} +{"d:Title": "Heitor Villa-Lobos", "d:Description": "Chronological summary of life and works in English and Portuguese with photograph. From Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://musicalics.com/en/node/82429"} +{"d:Title": "Heitor Villa-Lobos", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works and links to public domain lyrics.", "topic": "Top/Arts/Music/Composition/Composers/V/Villa-Lobos,_Heitor", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6077"} +{"d:Title": "Antonio Domenico Viraldini.", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/V/Viraldini,_Antonio_Domenico", "url": "http://viraldini.narod.ru/biog.html"} +{"d:Title": "Vitols, Jazeps (1863 - 1948), Latvia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and external link to further material. From Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/V/Vitols,_Jazeps", "url": "http://stevenestrella.com/composers/composerfiles/vitols1948.html"} +{"d:Title": "Jazeps Vitols: Orchestral Works", "d:Description": "From the Classical CD Reviews, May 2000 Music on the Web(UK). Includes background information on the composer, his influences, and his reputation.", "topic": "Top/Arts/Music/Composition/Composers/V/Vitols,_Jazeps", "url": "http://www.musicweb-international.com/classrev/2000/may00/vitols.htm"} +{"d:Title": "Philippe de Vitry", "d:Description": "Biography, partial discography, and further information on the Central Middle Ages and the Ars Nova from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/V/Vitry,_Philippe_de", "url": "http://www.hoasm.org/IID/Vitry.html"} +{"d:Title": "de Vitry", "d:Description": "Classical Net Basic Repertoire List entry. Includes biographical and musicological information, links to related composers, and partial discography.", "topic": "Top/Arts/Music/Composition/Composers/V/Vitry,_Philippe_de", "url": "http://www.classical.net/music/comp.lst/vitry.html"} +{"d:Title": "Vitry, Philippe de (1291 - 1361), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and link to biographical essay from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/V/Vitry,_Philippe_de", "url": "http://stevenestrella.com/composers/composerfiles/vitry1361.html"} +{"d:Title": "Philippe de Vitry and the Ars Nova", "d:Description": "Biographical timeline and suggested works from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/V/Vitry,_Philippe_de", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=11"} +{"d:Title": "Classical Net: Basic Repertoire List: Vivaldi", "d:Description": "Biographical note; discography; cross-reference to various catalogues of works; links.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.classical.net/music/comp.lst/vivaldi.html"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Humane and personal illustration of the mind and character of a virtuoso with several RAM audio links to illustrate various compositions.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.lessontutor.com/bf_vivaldi.html"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Portraits, brief life, and interactive comments from Find a Grave.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9963"} +{"d:Title": "Classical Music Archives: Vivaldi", "d:Description": "Over 300 works offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists. Two biographies, including that from the Concise Oxford Dictionary of Music. Timelines, search engine.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.classicalarchives.com/vivaldi.html"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Biographical material, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.classiccat.net/vivaldi_a/"} +{"d:Title": "NewOlde.com: Antonio Vivaldi", "d:Description": "News, links, and information about significant Vivaldi recordings and books.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.newolde.com/vivaldi.htm"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Wikipedia article with detailed biography an summary of musical style, tracing path from greatness to obscurity and back again. Includes portrait, internal references, and links.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://en.wikipedia.org/wiki/Antonio_Vivaldi"} +{"d:Title": "Antonio Vivaldi: The Red Priest", "d:Description": "Biography, key works, suggested reading, further resources, recommended recordings, and timeline, at Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=30"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Detailed biography with works, travels, and teaching in the Venice Ospedale from the Baroque Music Page. Includes internal references to related material, including background on the Four Seasons with texts in Italian and English, plus links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.baroquemusic.org/bqxvivaldi.html"} +{"d:Title": "Antonio Vivaldi (1678-1741)", "d:Description": "Brief biographical sketch, caricature, comments on his concertos and church, operatic, and chamber music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.naxos.com/composerinfo/1093.htm"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Filmography at IMDb with short biography and list of films and television shows featuring his music.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.imdb.com/name/nm0006334/"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Works list, commentary on the Four Seasons, and biographical and musicological material from various contributors at Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://musicalics.com/en/node/82436"} +{"d:Title": "Antonio Vivaldi", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics, most with translations.", "topic": "Top/Arts/Music/Composition/Composers/V/Vivaldi,_Antonio", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6079"} +{"d:Title": "Kevin Volans", "d:Description": "Interview. From Sound Arts.", "topic": "Top/Arts/Music/Composition/Composers/V/Volans,_Kevin", "url": "http://www.sukothai.com/X6.volans.html"} +{"d:Title": "Kevin Volans", "d:Description": "Official site. Includes career highlights, biography, bibliography, works list, reviews, news, discography, articles, and contacts.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/V/Volans,_Kevin", "url": "http://www.kevinvolans.com/"} +{"d:Title": "Kevin Volans", "d:Description": "Biography, complete works list, CD information, and related material with photograph. From the Contemporary Music Centre of Ireland.", "topic": "Top/Arts/Music/Composition/Composers/V/Volans,_Kevin", "url": "https://www.cmc.ie/composers/kevin-volans"} +{"d:Title": "Wagner, Melinda", "d:Description": "(c. 1965- ) Biographical data, CDs, books and sheet music, other information, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Melinda", "url": "http://stevenestrella.com/composers/composerfiles/wagnerliving.html"} +{"d:Title": "Wagner, Siegfried (1869 - 1930), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Siegfried", "url": "http://stevenestrella.com/composers/composerfiles/wagner1930.html"} +{"d:Title": "Siegfried Wagner", "d:Description": "Picture and biography.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Siegfried", "url": "http://users.utu.fi/hansalmi/wagner/siegfrd/"} +{"d:Title": "Naxos: Wagner, Siegfried", "d:Description": "Biography and recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Siegfried", "url": "http://www.naxos.com/composerinfo/Siegfried_Wagner_22393/22393.htm"} +{"d:Title": "Richard Wagner", "d:Description": "Over seventy MIDI files, plus images, opera notes and links.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.rwagner.net/e-frame.html"} +{"d:Title": "Classical Net - Basic Repertoire List - Wagner", "d:Description": "Recommended discography, links.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.classical.net/music/comp.lst/wagner.html"} +{"d:Title": "Wagner, Richard", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://stevenestrella.com/composers/composerfiles/wagner1883.html"} +{"d:Title": "The Ring Synopsis", "d:Description": "Detailed description of The Ring.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://users.utu.fi/hansalmi/wagner/gott0.html"} +{"d:Title": "The Wagner Library", "d:Description": "Concentrates on English translations of Wagner's prose works, letters and articles.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://users.belgacom.net/wagnerlibrary/"} +{"d:Title": "Richard Wagner", "d:Description": "The mfiles page for Richard Wagner, with biography, major works, links to related composers and the Bridal chorus Sheet Music, MIDI and MP3.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.mfiles.co.uk/Composers/Richard-Wagner.htm"} +{"d:Title": "Richard Wagner directory", "d:Description": "Directory of free MP3 audio recordings, biographical material, works list, and links from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.classiccat.net/wagner_r/"} +{"d:Title": "Richard Wagner: An Allegiance to Nature", "d:Description": "Brief biography, portrait, selected works, quotes, discography, suggested reading, other resources, chronology, and related articles from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=49"} +{"d:Title": "Richard Wagner", "d:Description": "Illustrated biography emphasizing his embodiment of the Romantic spirit and the chromaticism of the age from the Internet Public Library's Music History 102.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.ipl.org/div/mushist/rom/wagner.htm"} +{"d:Title": "Richard Wagner", "d:Description": "Detailed biography examining operatic and other works, writings, production innovations, anti-semitism, and other philosophical considerations with internal references, links, and illustrations.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Wagner"} +{"d:Title": "Richard Wagner", "d:Description": "Libretti and premiere information, creators of roles, portrait, and links from Opera Glass.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://opera.stanford.edu/Wagner/main.html"} +{"d:Title": "Richard Wagner", "d:Description": "Find A Grave listing with biographical summary of his works, especially the operas. Includes portrait, pictures of grave site, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2329&pt=Richard+Wagner"} +{"d:Title": "Richard Wagner (1813-1883)", "d:Description": "Biographical sketch, caricature, summaries of operatic, dramatic, and orchestral music, and songs, plus Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.naxos.com/composerinfo/1102.htm"} +{"d:Title": "The High History of the Holy Graal", "d:Description": "Detailed information about the continuation of Chretien DeTroyes' unfinished work \"Perceval, or the Knight of the Grail\".", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://omacl.org/Graal/"} +{"d:Title": "The Nibelungenlied", "d:Description": "Well-presented translation of the tale of Siegfried, originally written around 1200 CE, from OMACL.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://omacl.org/Nibelungenlied/"} +{"d:Title": "The Story of the Volsungs (Volsunga Saga)", "d:Description": "Complete English translation.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://omacl.org/Volsunga/"} +{"d:Title": "Classical Archives: Richard Wagner", "d:Description": "Biography, complete files offered in MIDI, MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.classicalarchives.com/composer/3530.html"} +{"d:Title": "Richard Wagner", "d:Description": "Listing at the Lied and Art Songs Text Page with German lyrics to selected vocal works and cycles, some with translations.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2951"} +{"d:Title": "Richard Wagner", "d:Description": "Filmography noting film and television renditions of his operas and the use of excerpts in these media plus biographical material. From the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard/Movies", "url": "http://www.imdb.com/name/nm0003471/"} +{"d:Title": "Wagner Society of Washington DC", "d:Description": "Background information, forthcoming events, merchandise and videos.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard/Societies", "url": "http://www.wagner-dc.org/"} +{"d:Title": "Finnish Wagner Society", "d:Description": "Listing of forthcoming events, resources and performances worldwide, discussion forum, contact and magazine subscription information.", "topic": "Top/Arts/Music/Composition/Composers/W/Wagner,_Wilhelm_Richard/Societies", "url": "http://www.suomenwagnerseura.org/"} +{"d:Title": "Waldteufel, Emile (1837 - 1915), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Waldteufel,_Emile", "url": "http://stevenestrella.com/composers/composerfiles/waldteufel1915.html"} +{"d:Title": "Walker, George", "d:Description": "(1922- ), Washington, DC. Biographical data, CDs, books and sheet music, major works, other information, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Walker,_George_Theophilus", "url": "http://stevenestrella.com/composers/composerfiles/walkerliving.html"} +{"d:Title": "OAM-George Walker", "d:Description": "Article from the Oberlin Alumni Magazine: \"Pulitzer Prize for Music Goes to George Walker '41, '85 hon.\"", "topic": "Top/Arts/Music/Composition/Composers/W/Walker,_George_Theophilus", "url": "http://www.oberlin.edu/~alummag/oampast/oam_sum96/oamsum96_walker.html"} +{"d:Title": "William Wallace: Scottish Composer 1860-1940", "d:Description": "Analysis of four works, The Passing of Beatrice, Villon, Sir William Wallace, and Sister Helen plus biographical information.", "topic": "Top/Arts/Music/Composition/Composers/W/Wallace,_William", "url": "http://www.musicweb-international.com/wallace/"} +{"d:Title": "Johannes Walter", "d:Description": "Biography, major accomplishments, and related composer and genre links from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/W/Walther,_Johann", "url": "http://www.hoasm.org/IVC/Walter.html"} +{"d:Title": "Johann Walther: The Bridegroom Soon Will Call Us", "d:Description": "English text of hymn with links to German lyrics and tune in MIDI audio.", "topic": "Top/Arts/Music/Composition/Composers/W/Walther,_Johann", "url": "http://ingeb.org/spiritua/thebride.html"} +{"d:Title": "Walther, Johann Gottfried: Biography", "d:Description": "Article from Sojourn pages with links to Bach and other composers and to a glossary of terms.", "topic": "Top/Arts/Music/Composition/Composers/W/Walther,_Johann_Gottfried", "url": "http://jan.ucc.nau.edu/~tas3/walther.html"} +{"d:Title": "Johann Gottfried Walther", "d:Description": "Biography, influences, major accomplishments, writings, and link to relationship with Johann Sebastian Bach from the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/W/Walther,_Johann_Gottfried", "url": "http://www.hoasm.org/XIE/WaltherJG.html"} +{"d:Title": "Walton, William", "d:Description": "(1902-1983) Biographical data, CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Walton,_William_Turner,_Sir", "url": "http://stevenestrella.com/composers/composerfiles/walton1983.html"} +{"d:Title": "William Turner Walton", "d:Description": "Suggested reading, timeline, key works, recommended recordings.", "topic": "Top/Arts/Music/Composition/Composers/W/Walton,_William_Turner,_Sir", "url": "http://www.humanitiesweb.org/human.php?s=c&p=c&a=i&ID=135"} +{"d:Title": "William Walton (1902-1983)", "d:Description": "Brief biographical sketch with summaries of orchestral and choral music and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/W/Walton,_William_Turner,_Sir", "url": "http://www.naxos.com/composerinfo/1109.htm"} +{"d:Title": "Sir William Walton", "d:Description": "Library of Congress citations. Books and recordings.", "topic": "Top/Arts/Music/Composition/Composers/W/Walton,_William_Turner,_Sir", "url": "http://records.viu.ca/~mcneil/cit/citlcwalton.htm"} +{"d:Title": "Troilus and Cressida", "d:Description": "\"This Unfortunate Opera,\" by Len Mullenger. Traces the history of the work, noting the many misfortunes and follies that accompanied its creation and staging.", "topic": "Top/Arts/Music/Composition/Composers/W/Walton,_William_Turner,_Sir", "url": "http://www.musicweb-international.com/troil1.htm"} +{"d:Title": "William Walton", "d:Description": "Filmography at Internet Movie Database (IMDb) includes list of movies and television programs he scored or provided original music, including several Shakespeare productions.", "topic": "Top/Arts/Music/Composition/Composers/W/Walton,_William_Turner,_Sir", "url": "http://www.imdb.com/name/nm0006338/"} +{"d:Title": "Ward, Robert", "d:Description": "(1917- ) Biographical data, CDs, books and sheet music, bibliography, major works and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Ward,_Robert", "url": "http://stevenestrella.com/composers/composerfiles/wardliving.html"} +{"d:Title": "Interview - Composer Robert Ward . . . . .", "d:Description": "An interview by Bruce Duffie.", "topic": "Top/Arts/Music/Composition/Composers/W/Ward,_Robert", "url": "http://my.voyager.net/duffie/ward.html"} +{"d:Title": "Peter Warlock books in print.", "d:Description": "Descriptions of several books about Peter Warlock.", "topic": "Top/Arts/Music/Composition/Composers/W/Warlock,_Peter", "url": "http://www.peterwarlock.org/PWBOOKS.HTM"} +{"d:Title": "Warlock, Peter", "d:Description": "Includes biography, bibliography, discography, work list, upcoming performances, and links.", "topic": "Top/Arts/Music/Composition/Composers/W/Warlock,_Peter", "url": "http://www.peterwarlock.org/"} +{"d:Title": "Classical Archives: Peter Warlock", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/W/Warlock,_Peter", "url": "http://www.classicalarchives.com/composer/3540.html"} +{"d:Title": "Unico Willem van Wassenaer: the mystery composer", "d:Description": "Life and work of this baroque Dutch nobleman-composer. Recent (1980) discovery of the manuscript of his Six Concerti Armonici, and note explaining why they were previously attributed to Pergolesi. Music samples.", "topic": "Top/Arts/Music/Composition/Composers/W/Wassenaer,_Unico_Willem_van", "url": "http://www.baroquemusic.org/bqxwassenaer.html"} +{"d:Title": "Unico Willem van Wassenaer - Six \"Concerti Armonici\" - CD", "d:Description": "Detailed CD content, illustration and music samples of these Six baroque concertos, previously attributed to Pergolesi.", "topic": "Top/Arts/Music/Composition/Composers/W/Wassenaer,_Unico_Willem_van", "url": "http://www.baroquecds.com/15Web.html"} +{"d:Title": "Franz Waxman", "d:Description": "Official site with biographical memoir, CD soundtracks and cover art, catalog, filmography, concert works, reviews, new releases, concerts, and links.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/W/Waxman,_Franz", "url": "http://www.franzwaxman.com/"} +{"d:Title": "Franz Waxman", "d:Description": "Background information, photograph, and major works with discography of film music from SoundtrackCollector.", "topic": "Top/Arts/Music/Composition/Composers/W/Waxman,_Franz", "url": "http://www.soundtrackcollector.com/catalog/composerdetail.php?composerid=13"} +{"d:Title": "Franz Waxman: Film Composer", "d:Description": "Biography, related composers, career summary, selected filmography, and links from mfiles.", "topic": "Top/Arts/Music/Composition/Composers/W/Waxman,_Franz", "url": "http://www.mfiles.co.uk/Composers/Franz-Waxman.htm"} +{"d:Title": "Franz Waxman", "d:Description": "Insightful biography and reminiscence from the American Composers Orchestra series David Raksin Remembers His Colleagues.", "topic": "Top/Arts/Music/Composition/Composers/W/Waxman,_Franz", "url": "http://www.americancomposers.org/raksin_waxman.htm"} +{"d:Title": "Classical Archives: Franz Waxman", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/W/Waxman,_Franz", "url": "http://www.classicalarchives.com/composer/3542.html"} +{"d:Title": "Franz Waxman", "d:Description": "Filmography at IMDb with biography, major awards and nominations, and composer, conductor, musical director, and actor credits for more than 200 movies and television programs.", "topic": "Top/Arts/Music/Composition/Composers/W/Waxman,_Franz", "url": "http://www.imdb.com/name/nm0000077/"} +{"d:Title": "Carl Maria von Weber", "d:Description": "Recommended recordings, portrait, and link to complete works list sorted both by opus and by Friedrich Wilhelm J\u00e4hns' chronological catalogue from the Basic Repertoire List at Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.classical.net/music/comp.lst/weber.html"} +{"d:Title": "Sneezy", "d:Description": "Liner notes on the Grand Duo Concertante for clarinet and piano.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.sneezy.org/clarinet/Study/VonWeber.html"} +{"d:Title": "Fuguemasters", "d:Description": "A short text about Webers chamber music.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.fuguemasters.com/weber.html"} +{"d:Title": "Carl Maria von Weber: The Father of German Opera", "d:Description": "Brief biography, portrait, selected works, quotes, discography, suggested reading, and chronology from Humanities Web.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://humanitiesweb.org/human.php?s=c&p=c&a=i&ID=42"} +{"d:Title": "Carl Maria von Weber", "d:Description": "Biography listed with others of the Romantic Era from the Internet Public Library's Music History 102. Includes portrait.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.ipl.org/div/mushist/rom/index.htm#weber"} +{"d:Title": "Carl von Weber", "d:Description": "Find A Grave listing with brief biography including cause of death and designer of his memorial. Includes portraits, pictures of his grave, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6820952&pt=Carl+von+Weber"} +{"d:Title": "Carl Maria von Weber", "d:Description": "Filmography showing the cinematic use of his works with short biography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.imdb.com/name/nm0903203/"} +{"d:Title": "Opera Glass", "d:Description": "List of works, libretto of Der Freisch\u00fctz.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://opera.stanford.edu/Weber/"} +{"d:Title": "Classical Archives: Carl Maria von Weber", "d:Description": "Biography and complete files offered in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.classicalarchives.com/composer/3543.html"} +{"d:Title": "Carl Maria Friedrich Ernst von Weber", "d:Description": "Entry at the Lied and Art Songs Text Page with list of vocal works linked to lyrics.", "topic": "Top/Arts/Music/Composition/Composers/W/Weber,_Carl_Maria_Friedrich_Ernst_von", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6134"} +{"d:Title": "Anton Webern", "d:Description": "Includes MP3s, biography, list of works, photo gallery, links, and contact. Some resources also available in PDF format. [Requires Flash.]", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://www.antonwebern.com/"} +{"d:Title": "Musical Works of Anton Webern", "d:Description": "Features a detailed listing of compositions, recordings and references.", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://graham.main.nc.us/~bhammel/MUSIC/webern.html"} +{"d:Title": "Webern, Anton von (1883 - 1945), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://stevenestrella.com/composers/composerfiles/webern1945.html"} +{"d:Title": "Anton Webern", "d:Description": "Biographical information, links, and directory of MP3 audio files from Classic Cat.", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://www.classiccat.net/webern_a/"} +{"d:Title": "Anton Webern", "d:Description": "Wikipedia article with biography, musical analysis, works list, and internal references to related material.", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://en.wikipedia.org/wiki/Anton_Webern"} +{"d:Title": "Anton Webern", "d:Description": "Find A Grave listing with biographical material including details of his death, portrait photo, pictures of grave, and interactive memorial.", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9362572&pt=Anton+Webern"} +{"d:Title": "Classical Archives: Anton Webern", "d:Description": "Biography, complete files offered in MP3 and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://www.classicalarchives.com/composer/3544.html"} +{"d:Title": "Anton von Webern", "d:Description": "Entry at the Lied and Art Songs Text Pages with list of works linked to lyrics, mainly in German and Latin.", "topic": "Top/Arts/Music/Composition/Composers/W/Webern,_Anton_Friedrich_Wilhelm_von", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=2979"} +{"d:Title": "Thomas Weelkes", "d:Description": "Biography, selected discography, and links to composers of early music complementing the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/W/Weelkes,_Thomas", "url": "http://www.hoasm.org/IVM/Weelkes.html"} +{"d:Title": "Weelkes, Thomas (1576 - 1623), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Weelkes,_Thomas", "url": "http://stevenestrella.com/composers/composerfiles/weelkes1623.html"} +{"d:Title": "Classical Archives: Thomas Weelkes", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/W/Weelkes,_Thomas", "url": "http://www.classicalarchives.com/composer/3548.html"} +{"d:Title": "Naxos: Weelkes, Thomas", "d:Description": "Brief biography and short descriptions of his church music and his madrigals.", "topic": "Top/Arts/Music/Composition/Composers/W/Weelkes,_Thomas", "url": "http://www.naxos.com/composerinfo/Thomas_Weelkes/22407.htm"} +{"d:Title": "Kurt Weill Foundation for Music", "d:Description": "The official site for information about Kurt Weill and Lotte Lenya, their music and performances.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/W/Weill,_Kurt", "url": "http://www.kwf.org/"} +{"d:Title": "Classical Archives: Kurt Weill", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/W/Weill,_Kurt", "url": "http://www.classicalarchives.com/composer/3549.html"} +{"d:Title": "Mack The Knife", "d:Description": "Lyrics by Brecht, and Blitzestien.", "topic": "Top/Arts/Music/Composition/Composers/W/Weill,_Kurt/Lyrics", "url": "http://www3.clearlight.com/~acsa/songfile/MACKTHEK.HTM"} +{"d:Title": "Moisej Vajnberg", "d:Description": "Filmography with list of motion picture credits linked to details of the films from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberg,_Mieczys\u0142aw_Samuilowicz", "url": "http://www.imdb.com/name/nm0883354/"} +{"d:Title": "Music Under Soviet Rule: Mieczyslaw Vainberg", "d:Description": "Biographical material including his close affinity to Shostakovich with annotated discography of selected works.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberg,_Mieczys\u0142aw_Samuilowicz", "url": "http://www.siue.edu/~aho/musov/vainberg/vainberg.html"} +{"d:Title": "Moisei Vainberg", "d:Description": "Wikipedia article noting essential works with comments on style and mention of his arrest during Stalin's regime.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberg,_Mieczys\u0142aw_Samuilowicz", "url": "http://en.wikipedia.org/wiki/Moisei_Vainberg"} +{"d:Title": "Vainberg, Moisei", "d:Description": "(1919-1996), Warsaw, Poland. In-depth biography with CD reviews and links to additional information and discography from Classical Net.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberg,_Mieczys\u0142aw_Samuilowicz", "url": "http://www.classical.net/music/comp.lst/acc/vainberg.html"} +{"d:Title": "Moisei Vainberg", "d:Description": "Summary of life with photograph, comments on musical style, and complete works list including discography.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberg,_Mieczys\u0142aw_Samuilowicz", "url": "http://home.online.nl/ovar/vainberg.htm"} +{"d:Title": "Moishei Vainberg", "d:Description": "Works listed by genre, biography by Ron de Leeuw, photograph, and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberg,_Mieczys\u0142aw_Samuilowicz", "url": "http://musicalics.com/en/node/82387"} +{"d:Title": "Weinberger, Jaromir", "d:Description": "Listing from woodwind.org of his Sonatina for B-flat clarinet and piano.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberger,_Jarom\u00edr", "url": "http://www.woodwind.org/Databases/Composers/Names/010708.html"} +{"d:Title": "Babinsky Cheating the Devil at Cards", "d:Description": "Painting by Paul Berenson of the climax of Weinberger's Schwanda the Bagpiper with explanation of the scene.", "topic": "Top/Arts/Music/Composition/Composers/W/Weinberger,_Jarom\u00edr", "url": "http://www.paulb.com/babinsky5.htm"} +{"d:Title": "Weiss, Silvius Leopold", "d:Description": "(1686-1750) Life, works, bibliography, record catalog, and sources and discography.", "topic": "Top/Arts/Music/Composition/Composers/W/Weiss,_Silvius_Leopold", "url": "http://www.slweiss.de/"} +{"d:Title": "Weiss, Silvius Leopold (1686 - 1750), Germany", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Weiss,_Silvius_Leopold", "url": "http://stevenestrella.com/composers/composerfiles/weiss1750.html"} +{"d:Title": "Silvius Leopold Weiss Air Guitar Score Tablature Biography", "d:Description": "Picture, biography, and guitar arrangement, lute score with tab.", "topic": "Top/Arts/Music/Composition/Composers/W/Weiss,_Silvius_Leopold", "url": "http://sologuitarist.net/weiss.html"} +{"d:Title": "Classical Archives: Silvius Leopold Weiss", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/W/Weiss,_Silvius_Leopold", "url": "http://www.classicalarchives.com/composer/3553.html"} +{"d:Title": "Welcher, Dan", "d:Description": "(1948- ), Rochester, NY. Biographical data, CDs, books and sheet music, major works, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Welcher,_Dan", "url": "http://stevenestrella.com/composers/composerfiles/welcherliving.html"} +{"d:Title": "Wernick, Richard", "d:Description": "Biographical data, CDs, books and sheet music, other information, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Wernick,_Richard", "url": "http://stevenestrella.com/composers/composerfiles/wernickliving.html"} +{"d:Title": "CATHOLIC ENCYCLOPEDIA: Robert White", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/W/White,_Robert", "url": "http://www.newadvent.org/cathen/15612b.htm"} +{"d:Title": "White, Robert (c. 1535 - 1574), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/White,_Robert", "url": "http://stevenestrella.com/composers/composerfiles/white1574.html"} +{"d:Title": "Widor, Charles-Marie (1844 - 1937), France", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Widor,_Charles-Marie", "url": "http://stevenestrella.com/composers/composerfiles/widor1937.html"} +{"d:Title": "Classic Cat: Widor", "d:Description": "Directory of mp3s on the internet that have been made available by their performing artists.", "topic": "Top/Arts/Music/Composition/Composers/W/Widor,_Charles-Marie", "url": "http://www.classiccat.net/widor_c/"} +{"d:Title": "Classical Archives: Charles-Marie Widor", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/W/Widor,_Charles-Marie", "url": "http://www.classicalarchives.com/composer/3560.html"} +{"d:Title": "Naxos: Widor, Charles-Marie", "d:Description": "Biography and works.", "topic": "Top/Arts/Music/Composition/Composers/W/Widor,_Charles-Marie", "url": "http://www.naxos.com/composerinfo/Charles_Marie_Widor/22417.htm"} +{"d:Title": "Virtual Wilbye Consort", "d:Description": "Vocal ensemble dedicated to performing madrigals of John Wilbye and his contemporaries. MP3 audio files plus lyrics.", "topic": "Top/Arts/Music/Composition/Composers/W/Wilbye,_John", "url": "http://a-babe.plala.jp/~wilbye/index-e.html"} +{"d:Title": "Wilbye, John (1574 - 1638), United Kingdom", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Wilbye,_John", "url": "http://stevenestrella.com/composers/composerfiles/wilbye1638.html"} +{"d:Title": "John Wilbye", "d:Description": "Biography, partial discography, and links to related composers of early music and historical background complementing the Here Of A Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/W/Wilbye,_John", "url": "http://www.hoasm.org/IVM/Wilbye.html"} +{"d:Title": "Classical Archives: John Wilbye", "d:Description": "Biography and sound files in MIDI format.", "topic": "Top/Arts/Music/Composition/Composers/W/Wilbye,_John", "url": "http://www.classicalarchives.com/composer/3562.html"} +{"d:Title": "Pop Goes the Theatre", "d:Description": "Interview by Diane Kreiger for USC Trojan Family Magazine, Spring 2000. Features photos and links to two related articles.", "topic": "Top/Arts/Music/Composition/Composers/W/Wildhorn,_Frank", "url": "http://www.usc.edu/dept/pubrel/trojan_family/spring00/Wildhorn/wildhorn_pg1.html"} +{"d:Title": "Wildhorn, Frank", "d:Description": "Musical Theatre composer. Information about his shows.", "topic": "Top/Arts/Music/Composition/Composers/W/Wildhorn,_Frank", "url": "http://www.frankwildhorn.com/"} +{"d:Title": "Full Cast Announced for Frank Wildhorn's New Dracula Musical", "d:Description": "Information about Dracula, the Musical.", "topic": "Top/Arts/Music/Composition/Composers/W/Wildhorn,_Frank", "url": "http://pamij.tripod.com/id28.htm"} +{"d:Title": "MusicWorld: Frank Wildhorn", "d:Description": "Picture and musical biography.", "topic": "Top/Arts/Music/Composition/Composers/W/Wildhorn,_Frank", "url": "http://www.bmi.com/news/entry/233258"} +{"d:Title": "Willaert, Adrian (c. 1490 - 1562), Belgium", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Willaert,_Adrian", "url": "http://stevenestrella.com/composers/composerfiles/willaert1562.html"} +{"d:Title": "CATHOLIC ENCYCLOPEDIA: Adrian Willaert", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/W/Willaert,_Adrian", "url": "http://www.newadvent.org/cathen/15626a.htm"} +{"d:Title": "Classical Net - Composers - Willaert", "d:Description": "Biography.", "topic": "Top/Arts/Music/Composition/Composers/W/Willaert,_Adrian", "url": "http://www.classical.net/music/comp.lst/acc/willaert.html"} +{"d:Title": "The John Williams Web Pages", "d:Description": "Archive of information on the composer's soundtracks and original works.", "topic": "Top/Arts/Music/Composition/Composers/W/Williams,_John", "url": "http://www.johnwilliams.org/"} +{"d:Title": "Filmtracks John Williams Tribute", "d:Description": "A tribute to John Williams, with complete listings of past and future scoring projects. Includes reviews and information on CD availability.", "topic": "Top/Arts/Music/Composition/Composers/W/Williams,_John", "url": "http://www.filmtracks.com/composers/williams.shtml"} +{"d:Title": "John Williams - film composer", "d:Description": "This is the mfiles page for John Williams with career overview, filmography and soundtrack reviews.", "topic": "Top/Arts/Music/Composition/Composers/W/Williams,_John", "url": "http://www.mfiles.co.uk/Composers/John-Williams.htm"} +{"d:Title": "IMDb: John Williams (I)", "d:Description": "Actor and composer filmography.", "topic": "Top/Arts/Music/Composition/Composers/W/Williams,_John", "url": "http://imdb.com/name/nm0002354/"} +{"d:Title": "Yahoo! Groups: thejohnwilliamsfanclub", "d:Description": "A place to talk about the composer and his works.", "topic": "Top/Arts/Music/Composition/Composers/W/Williams,_John", "url": "http://groups.yahoo.com/group/thejohnwilliamsfanclub/"} +{"d:Title": "JWfan.net - Your Daily Dose of John Williams", "d:Description": "Includes the most up-to-date news, extensive links, and a very active John Williams message board.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/W/Williams,_John", "url": "http://jwfan.com/"} +{"d:Title": "Richard Wilson", "d:Description": "Biography, photograph, commentary, works list, discography, essay exploring him and his music by Bernard Jacobson, and review. From Peermusic Classical.", "topic": "Top/Arts/Music/Composition/Composers/W/Wilson,_Richard", "url": "http://www.peermusicclassical.com/composer/composerdetail.cfm?detail=wilson"} +{"d:Title": "Richard Wilson", "d:Description": "Official site. Biography, photograph, embedded audio, works list linked to samples, score and CD purchases, discography, recent press, performances, and contact.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/W/Wilson,_Richard", "url": "http://sites.google.com/site/richardwilsoncomposer/home"} +{"d:Title": "Wolf, Hugo (1860 - 1903), Austria", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolf,_Hugo", "url": "http://stevenestrella.com/composers/composerfiles/wolf1903.html"} +{"d:Title": "Classical Archives: Hugo Wolf", "d:Description": "Biography and complete works offered in MIDI, MP3, and Windows Media audio formats.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolf,_Hugo", "url": "http://www.classicalarchives.com/composer/3566.html"} +{"d:Title": "Bang on a Can: Julia Wolfe", "d:Description": "Biography, sound samples.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolfe,_Julia", "url": "http://bangonacan.org/about_us/julia_wolfe"} +{"d:Title": "Julia Wolfe", "d:Description": "(1958- ) Biography, work list, articles, links.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolfe,_Julia", "url": "http://www.musicsalesclassical.com/composer/short-bio/1757"} +{"d:Title": "Christian Wolff interview- Perfect Sound Forever", "d:Description": "Interview by Jason Gross with picture.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolff,_Christian", "url": "http://www.furious.com/perfect/christianwolff.html"} +{"d:Title": "Christian Wolff", "d:Description": "Includes short biography, musical excerpts, and list of music from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolff,_Christian", "url": "http://www.otherminds.org/shtml/Wolff.shtml"} +{"d:Title": "Wolpe, Stefan", "d:Description": "Biographical data, CDs, books and sheet music, bibliography, link to Stephan Wolpe Society and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolpe,_Stephan", "url": "http://stevenestrella.com/composers/composerfiles/wolpe1972.html"} +{"d:Title": "Stephan Wolpe", "d:Description": "Brief biography, compositions, writings, references, discography, links to relevant materials.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/W/Wolpe,_Stephan", "url": "http://graham.main.nc.us/~bhammel/MUSIC/wolpe.html"} +{"d:Title": "Stephan Wolpe", "d:Description": "A brief biography (b. Berlin, August 25, 1902; d. New York City, April 4, 1972).", "topic": "Top/Arts/Music/Composition/Composers/W/Wolpe,_Stephan", "url": "http://graham.main.nc.us/~bhammel/MUSIC/SW/bio.html"} +{"d:Title": "Stefan Wolpe", "d:Description": "His grave.", "topic": "Top/Arts/Music/Composition/Composers/W/Wolpe,_Stephan", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3807"} +{"d:Title": "Charles Wuorinen", "d:Description": "Official site. Includes contacts, curriculum vitae, compositions, recordings, performance news, and writings.", "topic": "Top/Arts/Music/Composition/Composers/W/Wuorinen,_Charles", "url": "http://www.charleswuorinen.com/"} +{"d:Title": "Making Time", "d:Description": "Making Time - music columnist Don Mager, Eclectica Magazine v2n2 January/February, 1997", "topic": "Top/Arts/Music/Composition/Composers/X/Xenakis,_Yannis", "url": "http://eclectica.org/v2n2/making_time.html"} +{"d:Title": "Johns Hopkins Magazine -- June 1997", "d:Description": "Matthew Burtner: The Composer In Five Prose Movements; student of Xenakis", "topic": "Top/Arts/Music/Composition/Composers/X/Xenakis,_Yannis", "url": "http://pages.jh.edu/~jhumag/0697web/music.html"} +{"d:Title": "Ye Xiaogang", "d:Description": "Interview from CCTV-English Channel's Up Close program in WMV video format plus still photo and quotes.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ye_Xiaogang", "url": "http://www.cctv.com/program/UpClose/20040830/102282.shtml"} +{"d:Title": "Xiaogang Ye", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ye_Xiaogang", "url": "http://www.imdb.com/name/nm0946998/"} +{"d:Title": "La Monte Young", "d:Description": "Includes biographical information and discography.", "topic": "Top/Arts/Music/Composition/Composers/Y/Young,_La_Monte", "url": "http://melafoundation.org/lmy.htm"} +{"d:Title": "La Monte Young", "d:Description": "Biography and analysis of his work from Other Minds.", "topic": "Top/Arts/Music/Composition/Composers/Y/Young,_La_Monte", "url": "http://www.otherminds.org/shtml/Young.shtml"} +{"d:Title": "Ysa\u00ffe, Eug\u00e8ne", "d:Description": "Brief life, pronunciation guide, and recommended print biography from infoplease.com.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ysa\u00ffe,_Eug\u00e8ne-Auguste", "url": "http://www.infoplease.com/ce5/CE056805.html"} +{"d:Title": "Eugene Ysa\u00ffe", "d:Description": "Musical and personal details with illustration and selected discography from classical.net.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ysa\u00ffe,_Eug\u00e8ne-Auguste", "url": "http://www.classical.net/music/comp.lst/acc/ysaye.html"} +{"d:Title": "Ysa\u00ffe: Reproduction vs. Interpretation", "d:Description": "A critique from classical.net dealing with modern performance of his works, comparing possible intent with execution.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ysa\u00ffe,_Eug\u00e8ne-Auguste", "url": "http://www.classical.net/music/comp.lst/articles/ysaye/interpretation.html"} +{"d:Title": "Famous Belgians: Eug\u00e8ne Ysa\u00fde", "d:Description": "Brief biography and summary of works.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ysa\u00ffe,_Eug\u00e8ne-Auguste", "url": "http://www.famousbelgians.net/ysaye.htm"} +{"d:Title": "Eug\u00e8ne Ysaye", "d:Description": "Find A Grave entry with photographs of his tomb and Belgian postage stamp, biographical sketch, and interactive tribute.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ysa\u00ffe,_Eug\u00e8ne-Auguste", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6871&pt=Eug%E8ne+Ysaye"} +{"d:Title": "Ysaye Photograph", "d:Description": "Portrait of him holding a violin.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ysa\u00ffe,_Eug\u00e8ne-Auguste", "url": "http://music.lib.byu.edu/PIVA/WPphotos/WPp8.jpg"} +{"d:Title": "Classical Archives: Eugene Ysa\u00ffe", "d:Description": "Biography, complete files offered in MP3, and Windows Media audio formats, including live recordings of featured artists.", "topic": "Top/Arts/Music/Composition/Composers/Y/Ysa\u00ffe,_Eug\u00e8ne-Auguste", "url": "http://www.classicalarchives.com/composer/3579.html"} +{"d:Title": "\"Snazzy Sonata for Piano Four Hands\" An Entertainment for Two", "d:Description": "Description of and ordering information for a piece by Judith Lang Zaimont. Also includes biography.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zaimont,_Judith_Lang", "url": "http://www.vivacepress.com/6401.html"} +{"d:Title": "Classical Net Review - Zaimont", "d:Description": "Review of the CD Neon Rhythm.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zaimont,_Judith_Lang", "url": "http://www.classical.net/music/recs/reviews/a/ara06667a.html"} +{"d:Title": "Judith Lang Zaimont", "d:Description": "Official site. Current new and performances, compositions, discography with score excerpts and MP3 audio samples, critics' quotes, awards and prized, feature articles, bibliography, composer residencies, publisher and recording company information, and awards and prizes.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/Z/Zaimont,_Judith_Lang", "url": "http://www.jzaimont.com/"} +{"d:Title": "Eric Zeisl", "d:Description": "Filmography listing productions he scored and noting the omission of official credits with short biography from the Internet Movie Database.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zeisl,_Eric", "url": "http://www.imdb.com/name/nm0006345/"} +{"d:Title": "Eric Zeisl", "d:Description": "Detailed biography, archives, performances, recordings, essays and articles, publishers, and catalog. Written and compiled by E. Randol Schoenberg, a grandson of both Zeisl and Arnold Sch\u00f6nberg.", "priority": "1", "topic": "Top/Arts/Music/Composition/Composers/Z/Zeisl,_Eric", "url": "http://www.schoenberglaw.com/zeisl"} +{"d:Title": "Eric Zeisl", "d:Description": "Biographical sketch and links from Musicalics.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zeisl,_Eric", "url": "http://musicalics.com/en/node/84508"} +{"d:Title": "Erich Zeisl", "d:Description": "Entry at the Lied and Art Songs Text Page listing vocal works and linking to selected lyrics.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zeisl,_Eric", "url": "http://www.lieder.net/lieder/get_settings.html?ComposerId=6266"} +{"d:Title": "Zelenka, Jan Dismas (1679 - 1745), Bohemia", "d:Description": "Biographical data, recommended CDs, books and sheet music, bibliography, and links to biographical essays from Dr. Estrella's Incredibly Abridged Dictionary of Composers.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zelenka,_Jan_Dismas", "url": "http://stevenestrella.com/composers/composerfiles/zelenka1745.html"} +{"d:Title": "Discover Zelenka", "d:Description": "A database of works and recordings of works by Jan Dismas Zelenka, images from manuscripts, further reading, questions and answers, quotations and news of recordings.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zelenka,_Jan_Dismas", "url": "http://www.jdzelenka.net/"} +{"d:Title": "Jan Dismas Zelenka (1679-1745)", "d:Description": "Background material, gateway to further information, forthcoming performances, annotated discography since 1990, and recommended links and books from NewOlde.com.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zelenka,_Jan_Dismas", "url": "http://www.newolde.com/zelenka.htm"} +{"d:Title": "Zelenka, Jan Dismas", "d:Description": "Biography from Timothy A. Smith's Sojourn pages with internal links to related people and explanatory notes.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zelenka,_Jan_Dismas", "url": "http://jan.ucc.nau.edu/~tas3/zelenka.html"} +{"d:Title": "Zelenka, Jan Dismas (1679-1745)", "d:Description": "Brief biographical sketch, commentary on orchestral, vocal, and choral music, and Naxos discography.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zelenka,_Jan_Dismas", "url": "http://www.naxos.com/composerinfo/2481.htm"} +{"d:Title": "Classical Net Basic Repertoire List: Zelenka", "d:Description": "With brief biographical note.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zelenka,_Jan_Dismas", "url": "http://www.classical.net/music/comp.lst/zelenka.php"} +{"d:Title": "Alexander von Zemlinsky", "d:Description": "Musicalics entry with life and music, including partial works list and links to related composers.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zemlinsky,_Alexander_von", "url": "http://musicalics.com/en/node/84513"} +{"d:Title": "Zhou Long", "d:Description": "Biography, music, discography, and links from The Living Composers Project.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zhou_Long", "url": "http://composers21.com/compdocs/zhoulong.htm"} +{"d:Title": "Zhou Long", "d:Description": "Background information, detailed biography, photograph, catalogue of works, discography, and program notes from Oxford University Press.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zhou_Long", "url": "http://www.oup.co.uk/music/repprom/zhoulong/"} +{"d:Title": "hanszimmer-archiv.de", "d:Description": "News, Reviews, Interviews - the latest information about composer Hans Zimmer", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://www.hanszimmer-archiv.de/"} +{"d:Title": "Zimmer Info", "d:Description": "Fan page with details of selected films, discography, awards, articles, news, related information, and links.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://www.ifiji.com/yumbo/zinfo.htm"} +{"d:Title": "Filmtracks Hans Zimmer Tribute", "d:Description": "Listings of past and future scoring projects, summary reviews, links, information on CD availability, selected CD covers, and photographs.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://www.filmtracks.com/composers/zimmer.shtml"} +{"d:Title": "Hans Zimmer", "d:Description": "Fan page in French using automatic translation into English. Includes biographical information, discography, news, archives, and contacts.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://www.hans-zimmer.com/"} +{"d:Title": "Hans Zimmer - film composer", "d:Description": "This is the mfiles page for Hans Zimmer with career overview, filmography, soundtrack reviews, related composers and links.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://www.mfiles.co.uk/Composers/Hans-Zimmer.htm"} +{"d:Title": "Hans Zimmer", "d:Description": "Wikipedia article with summary of life, works, and musical style including internal references to related people and topics and external links.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://en.wikipedia.org/wiki/Hans_Zimmer"} +{"d:Title": "Hans Zimmer", "d:Description": "Movie Music UK biography, soundtrack reviews, links, filmography, awards, and CD cover art.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://www.moviemusicuk.us/zimmer.htm"} +{"d:Title": "IMDb: Hans Zimmer", "d:Description": "Filmography at IMDb with biography, awards and nominations, and composer, production, musician, arranger, and actor credits.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zimmer,_Hans_Florian", "url": "http://www.imdb.com/name/nm0001877/"} +{"d:Title": "Domenico Zipoli", "d:Description": "Multilingual site designed for general information and scholarly research. Includes biography, catalogue, discography, events and issues, forum, links, and mailing list. [English, French, German, Italian, and Spanish.]", "topic": "Top/Arts/Music/Composition/Composers/Z/Zipoli,_Domenico", "url": "http://xoomer.virgilio.it/zipoli/"} +{"d:Title": "Domenico Zipoli", "d:Description": "Wikipedia article outlining his life and major works with internal references to related people and topics.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zipoli,_Domenico", "url": "http://en.wikipedia.org/wiki/Domenico_Zipoli"} +{"d:Title": "Domenico Zipoli", "d:Description": "Short biography dealing exclusively with his activities in Italy from the Here Of A Sunday Morning radio program. Includes links to period material.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zipoli,_Domenico", "url": "http://www.hoasm.org/VIIID/Zipoli.html"} +{"d:Title": "Domenico Zipoli", "d:Description": "Longer biography with emphasis on his activities in the New World including partial discography and links from the Here of a Sunday Morning radio program.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zipoli,_Domenico", "url": "http://www.hoasm.org/VIIH/Zipoli.html"} +{"d:Title": "Florida State University: Ellen Taaffe Zwilich", "d:Description": "Includes a photograph and a biography.", "topic": "Top/Arts/Music/Composition/Composers/Z/Zwillich,_Ellen_Taaffe", "url": "http://www.music.fsu.edu/Faculty-and-Staff/Faculty/Ellen-Zwilich"} +{"d:Title": "Ellen Taaffe Zwilich", "d:Description": "Provides a photograph, a biography and a link to information about \"Symphony no. 1.\"", "topic": "Top/Arts/Music/Composition/Composers/Z/Zwillich,_Ellen_Taaffe", "url": "http://www.musicsalesclassical.com/composer/short-bio/2318"} +{"d:Title": "American Composers Forum", "d:Description": "Founded to join communities with composers and performers, encouraging making and playing new music. Includes history, news, datebook, membership information, and links.", "topic": "Top/Arts/Music/Composition/Organizations", "url": "http://www.composersforum.org/"} +{"d:Title": "The Koussevitzky Music Foundations", "d:Description": "Includes information and applications for grants for commissioned works, news, guidelines, previous grants, and contacts.", "topic": "Top/Arts/Music/Composition/Organizations", "url": "http://www.koussevitzky.org/"} +{"d:Title": "National Association of Composers, USA (NACUSA)", "d:Description": "Second oldest composers' organization in the United States.", "topic": "Top/Arts/Music/Composition/Organizations", "url": "http://www.music-usa.org/nacusa/"} +{"d:Title": "Wisconsin Alliance for Composers", "d:Description": "Promotes music by composers with a Wisconsin connection. Includes music calendar, composer opportunities, group information and history.", "topic": "Top/Arts/Music/Composition/Organizations", "url": "http://www.wiscomposers.org/"} +{"d:Title": "Music Licensing", "d:Description": "(Kohn) Information regarding music licensing for distribution or use.", "topic": "Top/Arts/Music/Composition/Resources", "url": "http://www.kohnmusic.com/"} +{"d:Title": "The Canadian Musical Reproduction Rights Agency", "d:Description": "Issues licenses and collects royalties for the use of music on CDs and other products.", "topic": "Top/Arts/Music/Composition/Resources", "url": "http://www.cmrra.ca/"} +{"d:Title": "Young Composers", "d:Description": "Online community allows registered users to upload compositions for review, and offers articles, lessons, and a forum.", "topic": "Top/Arts/Music/Composition/Resources", "url": "http://www.youngcomposers.com/"} +{"d:Title": "Kaon", "d:Description": "Non-profit organization dealing with experimental, concrete, electronic and environmental music.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://www.kaon.org/"} +{"d:Title": "MIDI Manufacturers Association", "d:Description": "Develops Musical Instrument Digital Interface standards. MIDI is a very commonly used format.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://www.midi.org/"} +{"d:Title": "International Computer Music Association (ICMA)", "d:Description": "Individuals and institutions involved in the technical, creative, and performance aspects of computer music. For composers, software and hardware developers, researchers, and musicians.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://www.computermusic.org/"} +{"d:Title": "Interactive Audio Special Interest Group", "d:Description": "For developers of audio software, hardware, and content, to freely exchange ideas.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://www.iasig.org/"} +{"d:Title": "Columbia University Computer Music Center", "d:Description": "Classes, events, facilities, projects, software, news.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://music.columbia.edu/cmc"} +{"d:Title": "Music Cognition Group", "d:Description": "Research in music cognition, music representation, and music technology. (Formerly known as Music Mind Machine)", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://www.hum.uva.nl/mmm"} +{"d:Title": "Electroacoustic", "d:Description": "Collection of sites offering informatics, composition, and articles using music technology.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://acusmatica.7host.com/electro/electro.htm"} +{"d:Title": "University of Plymouth Computer Music Research", "d:Description": "Research programs focus on Evolution, Interaction, Synthesis and Cognition.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://cmr.soc.plymouth.ac.uk/"} +{"d:Title": "Carnegie Mellon Computer Music Group", "d:Description": "Research in real-time control, acoustic signal processing, music synthesis, music understanding, and performance.", "topic": "Top/Arts/Music/Computers/Organizations", "url": "http://www.cs.cmu.edu/~music/"} +{"d:Title": "Craig Latta", "d:Description": "A composer and a computer scientist, author of 'Netjam' (a network music collaboration software).", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.netjam.org/"} +{"d:Title": "Tim Thompson", "d:Description": "MIDI and MP3 compositions, KeyKit algorithmic music software, and interactive web-based music generation toys.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.nosuch.com/tjt.html"} +{"d:Title": "Bernhard Loibner", "d:Description": "Electronic music, sound design, programming and audio-visual content creation.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://loibner.cc/"} +{"d:Title": "Alexey Lukin", "d:Description": "Audio DSP developer for iZotope Inc. Reviews of audio dithering algorithms. Articles on theory of digital audio.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://audio.rightmark.org/lukin"} +{"d:Title": "Scott R. Garrigus", "d:Description": "Music technology news, articles, downloads, reviews, tips and tutorials for home recording and professional musicians!", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.digifreq.com/digifreq/"} +{"d:Title": "Phill Phelps", "d:Description": "an electro-acoustic musician from Bristol, UK", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.zenpho.co.uk/"} +{"d:Title": "Tom Erbe", "d:Description": "studio director, lecturer in computer music", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://music.ucsd.edu/~tre/"} +{"d:Title": "Fredrik Olofsson", "d:Description": "A passionate music researcher and software developer", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.fredrikolofsson.com/"} +{"d:Title": "Peter Plessas", "d:Description": "Musician, audio engineer, student at the IEM Graz", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://plessas.mur.at/"} +{"d:Title": "A Compilable Implementation of Xenakis' Fortran Source Code", "d:Description": "Fortran source code by Iannis Xenakis, compilable on a modern machine with a Fortran 95 compiler.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://users.on.net/%7Eparisdownes/"} +{"d:Title": "GarageBand for Windows", "d:Description": "This weblog explores the products that may work as a suitable Windows replacement for GarageBand (music software running on Macintosh only). Test results and initial impressions of MixCraft, Sony Acid and other products.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://garagebandforwindows.wordpress.com/"} +{"d:Title": "Digital Music Recording", "d:Description": "Weblog for musicians and sound engineers interested in creating music using computers and pro audio tools.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://digitalmusicrecording.blogspot.com/"} +{"d:Title": "Spiridonov's Experiments in Musical Stupidity", "d:Description": "Algorithmic composition. A synthesizer program and a few MP3 samples are available.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://ahel.freehostia.com/EMS.html"} +{"d:Title": "Trevor Henthorn", "d:Description": "A musician and a music researcher working at the music department at the University of California, San Diego", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://trevor.ucsd.edu/"} +{"d:Title": "Davide Morelli", "d:Description": "musician, composer, software developer and artificial intelligence researcher", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.davidemorelli.it/"} +{"d:Title": "Able Lemon", "d:Description": "Scott Hewitts PhD site regarding the Laptop as an Ensemble Instrument", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.ablelemon.co.uk/"} +{"d:Title": "noisybox.net: Pure-data Abstractions/Patches", "d:Description": "PD programming patches for interactive music applications.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://noisybox.net/computers/pd/"} +{"d:Title": "The Guitar Blog", "d:Description": "A site for guitarists including recommendations for recording software, guitar lessons and computer recording techniques and computer recording gear reviews.", "topic": "Top/Arts/Music/Computers/Personal_Pages", "url": "http://www.guitarguy.info/"} +{"d:Title": "AOL Music - Live Concerts", "d:Description": "Watch a new concert, see photos, and test your knowledge of music artists every week.", "topic": "Top/Arts/Music/Concerts_and_Events", "url": "http://music.aol.com/videos/live-concerts"} +{"d:Title": "Interfaith Committee of Remembrance, ICOR", "d:Description": "Honors Holocaust victims and survivors through a series of musical concerts and events. Site contains information about the committee, concert schedule, and contact information.", "topic": "Top/Arts/Music/Concerts_and_Events/Benefits", "url": "http://www.holocaust-concerts.org/"} +{"d:Title": "Live Aid - A Celebration", "d:Description": "Archived information about the concert which raised money for victims of the famine in Ethiopia.", "topic": "Top/Arts/Music/Concerts_and_Events/Benefits/Live_Aid", "url": "http://www.herald.co.uk/local_info/live_aid.html"} +{"d:Title": "BBC Online: Live Aid", "d:Description": "RealVideo clip of the announcement of Live Aid on the BBC Early Evening News.", "topic": "Top/Arts/Music/Concerts_and_Events/Benefits/Live_Aid", "url": "http://www.bbc.co.uk/cult/ilove/years/1985/music1.shtml"} +{"d:Title": "Live Aid", "d:Description": "Detailed information on all the performers and songs played during the 16 hours broadcast on July 13th 1985. Benefit concert for Ethiopia. Includes a book review, photo gallery, frequently asked questions, and links to other resources.", "topic": "Top/Arts/Music/Concerts_and_Events/Benefits/Live_Aid", "url": "http://liveaid.free.fr/"} +{"d:Title": "Peoples' Symphony Concerts", "d:Description": "A diverse New York concert series bringing music to students and workers. Event listings, history, and ticket information.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://pscny.org/"} +{"d:Title": "Concert on the Green", "d:Description": "Jacksonville Symphony Orchestra performs outdoors in Clay County with music scholarship competitions. Performance schedule, competition information, and ticket information.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.concertonthegreen.com/"} +{"d:Title": "Caf\u00e8 Concerto", "d:Description": "Highlights of opera arias, duets, and famous Italian melodies in Venice, Italy. Information on the show, schedule, and photographs. [Requires Flash]", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.ai-musicanti.com/"} +{"d:Title": "Four Seasons Classical Concerts", "d:Description": "Recital series in San Francisco and Oakland, California, New York City, with an annual music festival in Yachats, Oregon. Schedule and ticket information.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.fourseasonsconcerts.com/"} +{"d:Title": "Sundays Live", "d:Description": "Long-running concert series offering free weekly chamber music concerts in Los Angeles, California. Site provides a schedule, event history, and newsletter.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.sundayslive.org/"} +{"d:Title": "Coastal Concerts", "d:Description": "Presenting fine music concerts in Southern Delaware [US]several times a year. Scheduled performances, ticket sales.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.coastalconcerts.org/"} +{"d:Title": "Bachtrack", "d:Description": "Database of over 10,000 classical music, opera and ballet events across the world, as well as CD recommendations, reviews and other features on classical music.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.bachtrack.com/"} +{"d:Title": "Brockenhurst Music Society", "d:Description": "Brockenhurst Music Society in Hampshire promotes six concerts a year by professional soloistsand small chamber groups : it is in the heart of the New Forest", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.brockenhurstmusicsociety.co.uk/"} +{"d:Title": "Cavatina Chamber Music Trust", "d:Description": "Charity promoting chamber music to young people, which runs chamber concerts in schools and other venues for young people.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.cavatina.net/"} +{"d:Title": "Canterbury Music Club", "d:Description": "Music society listing concerts in Canterbury, Kent, United Kingdom", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.canterburymusicclub.com/"} +{"d:Title": "Monument House Concert Series", "d:Description": "Founded by the Piano Guitar Duo of Anne Ku and Robert Bekkers in 2006, the Monument House Concert Series supports classical music in central Utrecht, Netherlands. Performances have included guitar, violin, piano, flute, recorder, voice, cello, harp, accordeon, and euphonium.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.pianoguitar.com/concerten/"} +{"d:Title": "Kensington and Chelsea Music Society", "d:Description": "Hosts eight recitals per year, showcasing new talent and young musicians, at several West London venues.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.kcmusic.org.uk/"} +{"d:Title": "Trinity Concerts@ONE", "d:Description": "Trinity Concerts Inc runs a non-profit classical, jazz and world music series based out of Downtown Manhattan. All Concerts are free and open to the public.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.trinitywallstreet.org/music/?concerts"} +{"d:Title": "Vancouver Recital Society", "d:Description": "Recital chamber and intimate classical music concerts. International chamber music performances in Vancouver, BC, Canada.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.vanrecital.com/"} +{"d:Title": "Hvide Sande Masterclass", "d:Description": "Hvide Sande Masterclass is an opportunity for young musicians to work with great professors, perform and listen to concerts and meet other young musicians and friends from all over the world.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.hvidesande-masterclass.dk/"} +{"d:Title": "Lyrebird Music Society of Victoria", "d:Description": "The society presents a series of afternoon chamber music concerts in the Melbourne, Australia area. Includes performance schedule, ticket sales, photos, and newsletters.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.lyrebirdmusicsociety.org.au/"} +{"d:Title": "Ayr Music Club Events", "d:Description": "List of live classical music concerts each comprising up to five performers. Music generally includes well-known classical pieces and also covers a wide range of composers.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.ayrmusicclub.co.uk/"} +{"d:Title": "Santa Fe Concert Association", "d:Description": "Active since 1937, the Santa Fe Concert Association is New Mexico\u2019s premier presenter of international classical music, jazz, dance, and theater artists, and one of Santa Fe\u2019s oldest continuously operating arts organizations.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://www.santafeconcerts.org/"} +{"d:Title": "Classical Tuesdays in Old Town", "d:Description": "Free monthly chamber music concerts in Tacoma, Washington. September through February, 2nd Tuesdays.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://classicaltuesdays.blogspot.com/"} +{"d:Title": "The Artist Series of Tallahassee, Inc.", "d:Description": "A not-for-profit organization in Tallahassee, Florida that presents 4-6 concerts a year of high class, internationally renowned classical artists.", "topic": "Top/Arts/Music/Concerts_and_Events/Classical", "url": "http://theartistseries.org/"} +{"d:Title": "The Toyota National Country Music Muster", "d:Description": "The peaceful setting at Amamoor Creek State Forest Park comes alive every August for one of Australia's biggest celebrations of music. A variety of entertainment is offered at all 10 on-site venues.", "topic": "Top/Arts/Music/Concerts_and_Events/Country", "url": "http://www.muster.com.au/"} +{"d:Title": "Earthdance", "d:Description": "Global dance for peace taking place simultaneously in over 100 cities around the world. News, press articles, pictures, and audio clips.", "topic": "Top/Arts/Music/Concerts_and_Events/Dance", "url": "http://www.earthdance.org/"} +{"d:Title": "Southport Weekender", "d:Description": "Annual dance party in Southport. History, venue information, photos, and message board.", "topic": "Top/Arts/Music/Concerts_and_Events/Dance", "url": "http://www.southportweekender.co.uk/"} +{"d:Title": "Jump Start Parties", "d:Description": "Club nights held at the Eve Night Club in London, UK. DJ profiles and pictures.", "topic": "Top/Arts/Music/Concerts_and_Events/Dance", "url": "http://jumpstart99.tripod.com/"} +{"d:Title": "Japanimotion2000", "d:Description": "Trance party held on Vancouver Island, BC. Site contains schedule.", "topic": "Top/Arts/Music/Concerts_and_Events/Dance", "url": "http://www.rocketday.com/events/japanimotion/"} +{"d:Title": "Lowlands", "d:Description": "Official site. A music festival that covers, not only all sorts of alternative music styles ranging from rock to dance, but all kinds of other modern day art forms as well.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://lowlands.nl/"} +{"d:Title": "Ultima - Oslo Contemporary Music Festival", "d:Description": "An annual festival held in October. Information about the festival and day-by-day festival programme. (Site in English and Norwegian)", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.ultima.no/"} +{"d:Title": "Canadian Music Week International", "d:Description": "Music festival, conference, and exhibition with thousands of music industry participants from around the world. 350 bands in 35 clubs over three nights. Held in annually Toronto, Ontario, Canada.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.cmw.net/"} +{"d:Title": "Austin City Limits Music Festival", "d:Description": "Annual end of summer event held in Austin, Texas. Program schedule, photographs, rules, travel information, ticket information, and merchandise. [Requires Flash]", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.aclfestival.com/"} +{"d:Title": "Gretna Heritage Festival", "d:Description": "Three day event featuring big name entertainment, carnival rides, and crafts. Held in Gretna, Louisiana. Site provides a schedule, map, and an online volunteer form.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.gretnafest.com/"} +{"d:Title": "The Totally Huge New Music Festival", "d:Description": "Held annually in April in Perth, Australia, featuring chamber and electronic music, improvisation, sound installations, and outback projects. Past event information and calendar.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.tura.com.au/"} +{"d:Title": "Australian National Eisteddfod", "d:Description": "Annual festival held in Canberra. Competitions covering bands and orchestras; choirs; instrumental; piano; speech and drama; and singing. Includes results, history, contacts, and news.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.nationaleisteddfod.org.au/"} +{"d:Title": "The Sunshine Coast Festival of the Performing Arts", "d:Description": "Annual event held in Sechelt, British Columbia celebrates dance as well as instrumental and choral music, including classical and folk styles. Site provides participation information, schedule, and selection list.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.coastfestival.com/"} +{"d:Title": "Bear Valley Music Festival", "d:Description": "Classical, opera, and rock event held every summer in Bear Valley, California. Schedule, ticket information, and information on the summer music camp.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.bearvalleymusicfestival.org/"} +{"d:Title": "Skiddle.com Festivals", "d:Description": "Festival news, with ticket and information, band and DJ lineups and competitions.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals", "url": "http://www.skiddle.com/rss/festivals-fb.php"} +{"d:Title": "Summer Jam", "d:Description": "SEMCAC benefit concert in Spring Valley, Minnesota. Features several local and touring alternative, indie, rock 'n' roll, and punk bands.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Benefits", "url": "http://www.angelfire.com/punk/summerjam/"} +{"d:Title": "Roskilde Festival", "d:Description": "Official site of one of the largest festivals in Europe, held in Denmark. Profits are donated to initiatives for children and young people, and other humanitarian, public utility or cultural work world-wide.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Benefits/Roskilde_Festival", "url": "http://www.roskilde-festival.dk/"} +{"d:Title": "The Roskilde Festival Ring", "d:Description": "A webring for sites about the event.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Benefits/Roskilde_Festival", "url": "http://www.webring.org/hub?ring=festival"} +{"d:Title": "Country Stampede Music Festival", "d:Description": "A three day event featuring some of the hottest stars in country music. Held in Manhattan, Kansas.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country", "url": "http://www.countrystampede.com/"} +{"d:Title": "Winstock Country Music Festival", "d:Description": "Outdoor country music and camping festival, near Minneapolis, Minnesota, featuring national country artists.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country", "url": "http://www.winstockfestival.com/"} +{"d:Title": "Chippewa Valley Country Fest", "d:Description": "Held in the Cadott region, the annual festival features some of the most famous names in the country music industry.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country", "url": "http://www.countryfest.com/"} +{"d:Title": "Motongator Music Festival", "d:Description": "Features over 20 bands from a five state Midwest region. Held in Wallice, Michigan. Schedule, photographs, and ticket information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country", "url": "http://www.motongator.com/"} +{"d:Title": "Boyup Brook Country Music Club", "d:Description": "Organisers of an annual festival in Western Australia, with details of performers, awards, concerts, and events. Also includes photograph gallery and membership information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country", "url": "http://www.countrymusicwa.com.au/"} +{"d:Title": "Foothills Fall Festival", "d:Description": "October event in Maryville, Tennessee, featuring rock and country music, arts and crafts, and children's events. Entertainment schedule, ticket prices, and information for prospective vendors. Also lists concerts by big-name performers at other times of the year.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country", "url": "http://www.foothillsfallfestival.com/"} +{"d:Title": "Go2FanFair.com", "d:Description": "Information about the annual event in Nashville, with featured artists, pictures, package rates, and news.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country/CMA_Music_Festival", "url": "http://www.go2fanfair.com/"} +{"d:Title": "CMA Music Festival", "d:Description": "Annual fan fair held in June in downtown Nashville. Schedule, ticket information, photos, and announcements.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Country/CMA_Music_Festival", "url": "http://www.cmaworld.com/cma-music-festival/"} +{"d:Title": "Sonar Festival", "d:Description": "Annual festival of progressive music and multimedia arts in Barcelona, Spain. Includes news, schedule, directions, ticket and accommodation information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Electronic", "url": "http://www.sonar.es/"} +{"d:Title": "Les Siestes Electroniques", "d:Description": "Annual event featuring calm and advanced electronic music in Toulouse, France. Site provides a schedule, artist biographies, and press kit. In English and French. [Requires Flash]", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Electronic", "url": "http://www.les-siestes-electroniques.com/"} +{"d:Title": "Elektra Festival", "d:Description": "Series focuses on new electronic music and on linking the various contemporary cultures resulting from the creative use of new technologies. History, contact, and ticket information. Event in November.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Electronic", "url": "http://www.elektrafestival.ca/"} +{"d:Title": "The Other World", "d:Description": "Youth music festival arranged with the images of the world featuring world culture and trance. Held in Denmark.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Experimental", "url": "http://www.otherworld.dk/"} +{"d:Title": "High Zero Festival", "d:Description": "A festival of experimental improvised music. Held in Baltimore, Maryland.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Experimental", "url": "http://www.highzero.org/"} +{"d:Title": "Woodstockhausen 1998", "d:Description": "An announcement of the tiny festival of experimental music in 1998.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Experimental/Woodstockhausen", "url": "http://www.siliconbreakdown.com/woodstockhausen.htm"} +{"d:Title": "ELSAproductions", "d:Description": "Some information about the festival from the non-profit organization.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Experimental/Woodstockhausen", "url": "http://www.elsaproductions.com/woodstockhausen.html"} +{"d:Title": "Woodstockhausen archive", "d:Description": "Concert programs, mp3 files, pictures and information about each gathering.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Experimental/Woodstockhausen", "url": "http://nosuch.com/wsh/"} +{"d:Title": "Yesterday Once More", "d:Description": "Listings of music theme festivals, weekends, and concerts, throughout the UK, Europe and the USA.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Guides", "url": "http://www.yesterdayoncemore.co.uk/"} +{"d:Title": "Virtual Festivals", "d:Description": "Provides coverage of upcoming events, photos, reviews, artist interviews, and discussion forums.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Guides", "url": "http://www.virtualfestivals.com/"} +{"d:Title": "Virtual Night Angel", "d:Description": "Reviews and photographs of live industrial, gothic, and darkwave performances.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Personal_Pages", "url": "http://www.virtualnightangel.com/"} +{"d:Title": "Fat Reg's Festies", "d:Description": "Information, band lineups, reviews, and photographs of past festivals.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Personal_Pages", "url": "http://www.fatreg.com/"} +{"d:Title": "No Borders Music Festival", "d:Description": "Features a variety of musicians from different cultural backgrounds. Site contains schedule, line-up, artist information, event history, and contact information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic", "url": "http://www.nobordersmusicfestival.com/"} +{"d:Title": "Sierra Nevada World Music Festival", "d:Description": "Offering 3 days of world and reggae music at the Mendocino County Fairgrounds in Boonville, California . Also features information on past festivals.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic", "url": "http://www.snwmf.com/"} +{"d:Title": "The International World Music Festival", "d:Description": "A core group of multicultural performers touring the U.S. playing a varied program of culturally identifiable music from around the globe. Featuring artist information and tour dates.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic", "url": "http://www.iration.com/iwmf/"} +{"d:Title": "World Festival of Sacred Music", "d:Description": "Dedicated to peace, cultural understanding and universal responsibility. Held every three years in Los Angeles, California. Event history, calendar, map, and photographs.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic", "url": "http://www.festivalofsacredmusic.org/"} +{"d:Title": "One World Beat", "d:Description": "Global music festival dedicated to raising awareness and funds for charitable projects. Mission statement, participation information, and band lineup.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic", "url": "http://www.oneworldbeat.org/"} +{"d:Title": "FloydFest", "d:Description": "Roots and progressive world music festival taking place every July in Floyd, VA. Schedule, tickets, camping and accommodation information, and directions.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic", "url": "http://floydfest.com/"} +{"d:Title": "Chicago Tyagaraja Utsavam", "d:Description": "The annual festival is a tribute to St Tyagaraja who was a main contributor to Carnatic Music ( Indian Classical ). Held in the Chicago area during the Labor Day weekend.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic", "url": "http://chicagotyagarajautsavam.org/"} +{"d:Title": "Mudbug Madness Festival", "d:Description": "One of Louisiana's largest and most popular Cajun festivals featuring entertainment, events, food and fun for all ages.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.mudbugmadness.com/"} +{"d:Title": "Michael Arnone's Crawfish Fest", "d:Description": "Bringing the music and food from the Bayou to New Jersey.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.crawfishfest.com/"} +{"d:Title": "Gator by The Bay", "d:Description": "Annual Cajun and Zydeco music festival held on San Diego Bay. Site includes event information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.sandiegofestival.com/"} +{"d:Title": "The Original Southwest Louisiana Zydeco Music Festival", "d:Description": "Annual event held in Opelousas, Louisiana. Includes general information, list of performers, maps, and photographs from the previous festival.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.zydeco.org/"} +{"d:Title": "Manchester Irish Festival", "d:Description": "Annual Irish festival with events taking place all over Manchester, UK. Event/venue information and pictures.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic/Celtic", "url": "http://www.manchesteririshfestival.co.uk/"} +{"d:Title": "Irish 2000 Music and Arts Festival", "d:Description": "Annual event held in Albany, New York. Band lineup, schedule, streaming RealAudio files, photographs, travel information, and ticket information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Regional_and_Ethnic/Celtic", "url": "http://www.irish2000fest.com/"} +{"d:Title": "Winthrop Rhythm and Blues Festival", "d:Description": "Blues music festival that takes place in Winthrop, Washington. Vendor information, history, and pictures.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rhythm_and_Blues", "url": "http://www.winthropbluesfestival.com/"} +{"d:Title": "Hurricane Festival", "d:Description": "Official site with current and past information about the German festival.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://www.hurricane.de/"} +{"d:Title": "Chippewa Valley Rock Fest", "d:Description": "Four days of the bands, food, and camping. Held near Cadott, Wisconsin.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://www.rock-fest.com/"} +{"d:Title": "Zappening 2000", "d:Description": "Held in 2000 in Peoria, Illinois. Site features photographs of the event.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://zappening.freeservers.com/"} +{"d:Title": "Grizfest", "d:Description": "New music festival held in Tumbler Ridge, BC, Canada, on August 3-4, 2003. Artist application form and volunteering information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://www.grizfest.com/"} +{"d:Title": "MetroJam", "d:Description": "Free annual summer music festival held in Manitowoc, Wisconsin. Schedule, band profiles, travel information, and photographs.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://www.metrojam.org/"} +{"d:Title": "Millennium Music Conference", "d:Description": "Annual event featuring three nights of showcase performances and two days of panels, clinics, workshops, trade shows and a digital video shorts. Held in Harrisburg, PA. Schedule and registration information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://musicconference.net/"} +{"d:Title": "The Hopewell Rock Experiment", "d:Description": "A festival in Fredericton, New Brunswick featuring independent labels and artists from the alternative and techno genres.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://hopewellrock.tripod.com/"} +{"d:Title": "Fuji Rock Festival", "d:Description": "Annual festival held in Japan. Reviews of past festivals, pictures, current lineup, and ticket information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock", "url": "http://fujirock-eng.com/"} +{"d:Title": "Pukkelpop Festival", "d:Description": "Official site of the Belgian alternative music festival, with five stages, DJs, theatre, and cinema.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Alternative", "url": "http://www.pukkelpop.be/"} +{"d:Title": "Pukkelpop 2001", "d:Description": "The unofficial Pukkelpop 2001 site. Includes news, information, rumors about the festival, lineup, and photographs. [English/Dutch]", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Alternative", "url": "http://users.compaqnet.be/pukkelpop/"} +{"d:Title": "PeaceFest", "d:Description": "Held every summer in Peace River, Alberta to celebrate the town's history. History of the event, current schedule, and photographs.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Alternative", "url": "http://www.peacefest.com/"} +{"d:Title": "Pop Montreal", "d:Description": "Pop rock festival in Montreal, Quebec, Canada, featuring Francophone, Canadian, and international pop musicians. Takes place annually in September. Schedule, line-up, event information, and ticket information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Alternative", "url": "http://www.popmontreal.com/"} +{"d:Title": "Big Day Out", "d:Description": "The official site with news, current schedule, featured artists, and merchandise.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Big_Day_Out", "url": "http://www.bigdayout.com/"} +{"d:Title": "Monterey International Pop Music Festival - 1967", "d:Description": "History of the event with pictures.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Classic_Rock", "url": "http://members.tripod.com/Yelnats_Yarkled/Monterey/"} +{"d:Title": "Moondance Jam", "d:Description": "Classic rock music festival held in Walker, Minnesota, during July.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Classic_Rock", "url": "http://www.moondancejam.com/"} +{"d:Title": "Rockin' the Rivers", "d:Description": "An outdoor event held in Montana. Includes a schedule, lineup, pictures from past events, ticket information, directions, camping information, and a discussion forum.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Classic_Rock", "url": "http://www.rockintherivers.com/"} +{"d:Title": "Furthur Festival Information", "d:Description": "Features information on past festivals and links to related sites.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Furthur_Festival", "url": "http://www.vermontel.com/~cascade2/furthur/"} +{"d:Title": "Bloodstock", "d:Description": "Heavy metal event held in Derby, UK. Site contains event details, photographs, ticket information, message forum, and merchandise.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Heavy_Metal", "url": "http://www.bloodstock.uk.com/"} +{"d:Title": "Noise Pop", "d:Description": "Official site. Announcements, history, multimedia, posters, t-shirts, passes, featured artists, and polls.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Noise_Pop", "url": "http://www.noisepop.com/"} +{"d:Title": "Holidays in the Sun Photos", "d:Description": "Features photographs and a message board.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Punk/Holidays_In_The_Sun", "url": "http://www.iolfree.ie/~punk/HITS/"} +{"d:Title": "Warped Tour", "d:Description": "Official site. Contains recent news, tour dates, scheduled artists and events, contests, and merchandise.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Punk/Warped_Tour", "url": "http://www.vanswarpedtour.com/"} +{"d:Title": "Take Root", "d:Description": "An American roots festival held annually in the Netherlands. Site contains line-up, artist profiles, time table, ticket information, and accommodation listings.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Rockabilly", "url": "http://www.takeroot.nl/"} +{"d:Title": "Viva Las Vegas - Rockabilly", "d:Description": "Three days of music, cars, and dancing held annually in Las Vegas, Nevada. Site has accommodation listings, online booking, travel information, band line-up, forum, and vendor information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Rockabilly", "url": "http://www.vivalasvegas.net/"} +{"d:Title": "Heavy Rebel Weekender", "d:Description": "A rock and roll/rockabilly event. over fifty bands, tattoo artists, vendors, car show, burnout contests, and tattoo contests. Information on the 3 days of music, cars, and tattoos held annually in Winston Salem, NC.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Rockabilly", "url": "http://www.heavyrebel.net/"} +{"d:Title": "Woodstock '94 Multimedia Center", "d:Description": "An online scrapbook of the event with photographs and stories.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Woodstock", "url": "http://www.well.com/woodstock/"} +{"d:Title": "Artie Kornfeld", "d:Description": "Official site of the co-creator of the festival and author of \"The Pied Piper of Woodstock\". Articles and resume.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Woodstock/1969", "url": "http://www.artiekornfeld-woodstock.com/"} +{"d:Title": "Woodstock Story", "d:Description": "Historical articles, stories, interviews, memorabilia and videos.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Rock/Woodstock/1969", "url": "http://www.woodstockstory.com/"} +{"d:Title": "World of Music, Arts and Dance (WOMAD)", "d:Description": "Official site of the annual festivals held around the world. Includes news and information for each festival and events, audio and video clips, tickets, online shopping, forum and the Foundation.", "priority": "1", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/WOMAD", "url": "http://www.womad.org/"} +{"d:Title": "Wikipedia - World of Music, Arts and Dance (WOMAD)", "d:Description": "Hyperlinked encyclopedia article about the festival started by Peter Gabriel in Shepton Mallet, England in 1982, and now held in over 20 countries.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/WOMAD", "url": "http://en.wikipedia.org/wiki/World_of_Music,_Arts_and_Dance"} +{"d:Title": "BBC Radio 3 - Womad 2006", "d:Description": "Ongoing news and information about the festival held July 28-30 including Radio 3 broadcasts, headliners, prize competition and What's On for the R3 stage.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/WOMAD", "url": "http://www.bbc.co.uk/radio3/worldmusic/womad2006/"} +{"d:Title": "WOMADelaide", "d:Description": "Annual Music Festival in Botanic Park. Artists, venue features, ticket details and workshops listed.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/WOMAD", "url": "http://womadelaide.com.au/"} +{"d:Title": "Orchestrades Universelles", "d:Description": "Classical music event for young musicians from around the world, held every summer in Brive-la-Gaillarde, France. Site contains program information, musician biographies, audio files, online registration forms, photographs and reviews from previous years.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Youth", "url": "http://www.orchestrades.com/"} +{"d:Title": "Red Lodge Music Festival", "d:Description": "An annual event for students from all over the United States to take part in wind ensembles, jazz ensembles, and orchestras. Takes place in Red Lodge, Montana. Site provides recital schedule, fees and audition information.", "topic": "Top/Arts/Music/Concerts_and_Events/Festivals/Youth", "url": "http://rlmf.org/"} +{"d:Title": "Red Lion Folk Club", "d:Description": "Folk music at the Red Lion, Kings Heath Birmingham, UK.Concerts Saturday 8.30pm.", "topic": "Top/Arts/Music/Concerts_and_Events/Folk", "url": "http://www.redlionfolkclub.com/"} +{"d:Title": "Paris Plains Concert Series", "d:Description": "Paris, Ontario, May - October. Candlelight concerts in a historic cobblestone church. Folk, Celtic, dixieland, roots blues, old-time, Quebecois, early music, world music, etc. Unamplified. Presenters: Charles Cares, Chantal Lapointe.", "topic": "Top/Arts/Music/Concerts_and_Events/Folk", "url": "http://www.sentex.net/~charlesc/paris_concerts.html"} +{"d:Title": "The Folk Music Society of New York", "d:Description": "Membership information. Lists events around the New York City area.", "topic": "Top/Arts/Music/Concerts_and_Events/Folk", "url": "http://www.minstrelrecords.com/fmsny/"} +{"d:Title": "Songkick", "d:Description": "A directory of live music. Users can track their favourite bands and receive instant event updates, in addition to reviews, photos and videos.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides", "url": "http://www.songkick.com/"} +{"d:Title": "Pollstar", "d:Description": "Worldwide concert tour schedules, ticket sales results, music industry contact directories, and trade news.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides", "url": "http://www.pollstar.com/"} +{"d:Title": "Cosmo.com", "d:Description": "Entertainment and travel resource.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides", "url": "http://www.cosmo.com/"} +{"d:Title": "GigWise.com", "d:Description": "News and reviews about upcoming gigs, as well as new album reviews, and guides to events and festivals.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides", "url": "http://www.gigwise.com/"} +{"d:Title": "Music Festival Junkies", "d:Description": "A searchable database of music festivals across North America along with news, ticket information and reviews.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides", "url": "http://www.musicfestivaljunkies.com/"} +{"d:Title": "MCD.ie", "d:Description": "A guide to live music, club, theatre and comedy news and events information in Ireland and around the world. Also features photographs, e-cards, and competitions.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe", "url": "http://www.mcd.ie/"} +{"d:Title": "Dublin Concerts", "d:Description": "News, photos and reviews about the concerts and festivals in and around Dublin.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe", "url": "http://www.dublinconcerts.ie/"} +{"d:Title": "BlitzGigs", "d:Description": "Berlin's gig, concert and club event listing. Daily updates. Complete listings for both commercial and underground events.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe", "url": "http://www.blitzgigs.de/"} +{"d:Title": "Stereoboard", "d:Description": "Live music news, tour dates, gig finder&search engine to compare&buy concert tickets.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe", "url": "http://www.stereoboard.com/"} +{"d:Title": "Ents24.com", "d:Description": "A guide to live entertainment across the UK.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.ents24.com/"} +{"d:Title": "Concert Diary", "d:Description": "Listings for Opera, Ballet and Classical Music Concerts across the UK.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.concert-diary.com/"} +{"d:Title": "Gig-Guide", "d:Description": "Guide to live music around the UK, featuring amateur, unsigned and professional bands. Information divided by genre, gigs and venues, with listings of music related professionals.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.gig-guide.co.uk/"} +{"d:Title": "Kent and East Sussex Gig Guide", "d:Description": "The guide to gigs, venues, and bands in Kent and surrounding areas.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.kentgigs.com/"} +{"d:Title": "Mr Gig", "d:Description": "Listing of live performances in East Kent, categorized by artist and by venue.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.mrgig.com/"} +{"d:Title": "Wight Music", "d:Description": "Providing an 'at-a-glance' listing of musical events taking place on the Isle of Wight. Includes dates and schedules of events, location and website addresses.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.wightmusic.com/"} +{"d:Title": "Skiddle", "d:Description": "Guide to gigs, concerts, events and clubbing. Details for tickets/entry costs, line up, and venues. Photo gallery, message board, reviews and directions.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.skiddle.com/"} +{"d:Title": "Surge Music", "d:Description": "Music guide for Birmingham and The Black Country. Gig, venue, band listings and reviews.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.surgemusic.com/"} +{"d:Title": "Allgigs.co.uk", "d:Description": "UK Gig, venue and band guide. Submit gigs, bands or venues details for free.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.allgigs.co.uk/"} +{"d:Title": "ukevents.net", "d:Description": "A guide to events in England, Scotland, Ireland and Wales.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://ukevents.net/"} +{"d:Title": "Plymouth Classical Music Concert Diary", "d:Description": "A guide to classical concerts happening in and around the Plymouth area. Performers, venue, booking and ticket price information.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.plyclassical.co.uk/"} +{"d:Title": "What's On Bristol", "d:Description": "Event listings from the South West of England's oldest established directory.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.whatsonbristol.co.uk/"} +{"d:Title": "Gig Events Guide UK", "d:Description": "Free advertising for gigs and music events online, available for covers and original musicians, bands, venues of all styles with free classifieds, gig board, gig advice, news, reviews.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.gig-events-guide.com/"} +{"d:Title": "livemusic.fm", "d:Description": "Offers event and gig listings across the whole of the UK. Lists gigs from a broad range of artists, both signed and unsigned.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://livemusic.fm/"} +{"d:Title": "WelshGigs", "d:Description": "Gig, band, promoter, practice room and venue listings for the whole of Wales.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.welshgigs.com/"} +{"d:Title": "Music In Scotland Ltd.", "d:Description": "Events listing for various folk festivals, concerts, and dances.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.musicinscotland.com/"} +{"d:Title": "Fizgig", "d:Description": "Guide to gigs, concerts and theater shows in the Lincolnshire and East Midlands area. Artist and band profiles, news and reviews.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://users.tinyonline.co.uk/fizgig-tom/"} +{"d:Title": "Riffs Online", "d:Description": "Listings, reviews, venues and everything music related in the North East of England.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.riffsonline.co.uk/"} +{"d:Title": "SafeConcerts", "d:Description": "A guide to the latest music, festival and ticket news across the UK.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.safeconcerts.com/"} +{"d:Title": "ConcertHotels UK", "d:Description": "Provides user's with information about upcoming events at popular concert venues across the UK. Includes nearby hotel and restaurant guides, venue reviews and ticket sales.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.concerthotels.co.uk/"} +{"d:Title": "UK Folk Festivals", "d:Description": "A guide to folk festivals throughout the UK. Arranged by date, it includes admission fees, festival guides and a link to each official festival website.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.ukfolkfestivals.co.uk/"} +{"d:Title": "Andover Gig Guide", "d:Description": "A central listing of live music around Andover, Hampshire.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.andovergigguide.co.uk/"} +{"d:Title": "Rhythm Passport", "d:Description": "UK based music events, from traditional world music to future global beats", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.rhythmpassport.com/"} +{"d:Title": "Big Live Acts", "d:Description": "A guide to music concerts and events in the UK, featuring venue and artist details, plus all the latest news about upcoming concerts and tours.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.bigliveacts.co.uk/"} +{"d:Title": "Rock and Metal Gigs", "d:Description": "Lists rock and metal gigs around the UK and Ireland, updated regularly.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Europe/United_Kingdom", "url": "http://www.rockandmetalgigs.co.uk/"} +{"d:Title": "JamBase", "d:Description": "A database of band and concert information about jams across North America.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America", "url": "http://www.jambase.com/"} +{"d:Title": "ConcertHotels.com", "d:Description": "A comprehensive list of sporting, music and concert venues across North America. Includes visitor information, upcoming events, venue guides and reviews, and helps users find convenient hotels close to each venue,", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America", "url": "http://www.concerthotels.com/"} +{"d:Title": "Montreal Rock Concerts Listing", "d:Description": "Events and concert listings for Montreal, Quebec. Courtesy of The Rock List.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/Canada", "url": "http://www.rockdiscography.com/quebec/montrealconcert.html"} +{"d:Title": "Canoe.ca: C-Live", "d:Description": "Club and concert listings. Search by artist, venue, city, or date.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/Canada", "url": "http://www.canoe.ca/Clive/home1024.html"} +{"d:Title": "Just Shows", "d:Description": "Comprehensive concert listings, venue details and ticket information for cities across Canada.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/Canada", "url": "http://justshows.com/"} +{"d:Title": "Mass Concerts", "d:Description": "Guide to concerts in New England and New York.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://www.massconcerts.com/"} +{"d:Title": "Ajente.com", "d:Description": "Extensive listing of concerts and events aimed at and featuring African-American entertainers. [Requires Subscription]", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://www.ajente.com/"} +{"d:Title": "Concert Finders", "d:Description": "A mega search engine for music concerts, music performances, local bands, music festivals, theater performances, and operas.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://www.concertfinders.com/"} +{"d:Title": "Humboldt Music", "d:Description": "Humboldt County California bands, show times and events calendar", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://www.humboldtmusic.com/"} +{"d:Title": "Oh My Rockness", "d:Description": "Dedicated to Indie Rock showtimes in New York, Chicago and Los Angeles.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://www.ohmyrockness.com/"} +{"d:Title": "AshlandLiveMusic.com", "d:Description": "Monthly directory of all live music in Ashland, Oregon. Includes links to musicians/performers and venues. Free to list, free to browse.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://www.ashlandlivemusic.com/"} +{"d:Title": "Minnesotacountry.com", "d:Description": "Your premier site for listings of country music bands, concerts and festivals based in the state of Minnesota.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://minnesotacountry.com/"} +{"d:Title": "True Endeavors", "d:Description": "A music blog dedicated to national tour news, music reviews, live concert photos, and fee mp3s. They also offer a concert calendar and opportunities to win tickets to shows in the Madison WI area. The blog content focuses on indie rock, pop, alt-country, and underground hip hop.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/North_America/United_States", "url": "http://trueendeavorsblog.com/"} +{"d:Title": "Sydney Gig Guide", "d:Description": "An online guide and calendar to upcoming concerts, festivals, theatre and art exhibitions, in Sydney Australia.", "topic": "Top/Arts/Music/Concerts_and_Events/Guides/Oceania", "url": "http://sydneygigguide.com.au/"} +{"d:Title": "The DNA Lounge", "d:Description": "The DNA Lounge webcasts shows and events live from their venue in San Francisco, CA.", "topic": "Top/Arts/Music/Concerts_and_Events/Internet_Broadcasts", "url": "http://www.dnalounge.com/"} +{"d:Title": "Streaming Cafe", "d:Description": "Free online webshow for artists who are seeking to expand their audience. Streaming over the internet to computers, internet TV, and portable devices.", "topic": "Top/Arts/Music/Concerts_and_Events/Internet_Broadcasts", "url": "http://www.streamingcafe.net/"} +{"d:Title": "StageIt", "d:Description": "A front row seat to a backstage experience. A live music streaming network focusing on established and professional bands. Most live events have minimum ticket prices.", "topic": "Top/Arts/Music/Concerts_and_Events/Internet_Broadcasts", "url": "http://www.stageit.com"} +{"d:Title": "Michigan Live Events", "d:Description": "Festivals, concerts, meetings, sports, press conferences \u2013 check here regularly for upcoming webcasts all over Michigan.", "topic": "Top/Arts/Music/Concerts_and_Events/Internet_Broadcasts", "url": "http://www.michiganliveevents.com/"} +{"d:Title": "Tempodome", "d:Description": "Platform for Online Live Events, Bands and Fans. Tempodome is a online plattform for bands, event and creatives to broadcast live, realtime streams in high quality. For every language and genre.", "topic": "Top/Arts/Music/Concerts_and_Events/Internet_Broadcasts", "url": "http://www.tempodome.com/"} +{"d:Title": "DesertVibe.TV", "d:Description": "Desert Vibe TV website is dedicated to showcasing live streaming music performances. Created by Andy Ks, acoustic musician from Desert Hot Springs, CA.", "topic": "Top/Arts/Music/Concerts_and_Events/Internet_Broadcasts", "url": "http://www.desertvibe.tv/"} +{"d:Title": "Euro-Festival Info Centre", "d:Description": "An organization of European festivals with more than 90 international performing arts festivals from 30 countries. News, event calendar and information.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.efa-aef.eu/"} +{"d:Title": "Daytona Beach Symphony Society", "d:Description": "Dedicated to bringing the finest in orchestral music to residents of Volusia and nearby Florida counties. Thousands of music lovers attend each year's six-concert series.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.dbss.org/"} +{"d:Title": "World Music", "d:Description": "Non-profit organization presenting music and dance from Africa, Asia, Europe and the Americas in concert series and educational programs. [Requires frames]", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.worldmusic.org/"} +{"d:Title": "Fullerton Friends of Music", "d:Description": "A non-profit organization which provides classical chamber music, recitals and early music concerts in the city of Fullerton, California.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://webpan.com/fullerton-friends-of-music/"} +{"d:Title": "Lampo", "d:Description": "Chicago based non-profit organization that presents experimental music and intermedia events. Site contains current show dates and a mailing list.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.lampo.org/"} +{"d:Title": "Sequoia Music Society", "d:Description": "Presents chamber music concerts and workshops in the San Diego, California area. Concert schedule and photographs.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://sequoiamusicsociety.freeservers.com/"} +{"d:Title": "Arrowhead Arts Association", "d:Description": "Dedicated to bringing fine music to the San Bernardino mountain communities. It is a non-profit organization, community based and supported by donations. Schedule of events, history, and information on youth scholarships.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.arrowheadarts.org/"} +{"d:Title": "Seattle Folklore Society", "d:Description": "A non-profit folk organization that sponsors folk music concerts, dances, song circles, and camps in the Seattle, Washington area. Concert listings, online reservations, and links.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.seafolklore.org/"} +{"d:Title": "Creative Music Works", "d:Description": "A non-profit, member-supported arts organization that seeks to promote performance and educational opportunities for cutting-edge Jazz and related contemporary music, with a continuous tie to traditions, providing a professional forum for artistic expression.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.creativemusicworks.org/"} +{"d:Title": "Maestro Music International", "d:Description": "A wide range of military music events throughout the United Kingdom, including the London International Tattoo, the Birmingham International Tattoo, and the East meets West Spectacular.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.telinco.co.uk/maestromusic/"} +{"d:Title": "1687, Inc.", "d:Description": "A non-profit organization that produces concerts and recordings of improvised, notated and electronic music. Information on events and personnel.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.1687.org/"} +{"d:Title": "Accessible Contemporary Music", "d:Description": "Concert schedule for the classical music organization based in Chicago, IL, devoted to performing and promoting music written since 1975.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.acmusic.org/"} +{"d:Title": "Melbourne Chamber Music Society", "d:Description": "Sponsors classical chamber music concerts each season and an annual educational outreach workshop for students in the Melbourne, Florida area. Schedule and event information.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.melbournechambermusicsociety.com/"} +{"d:Title": "Wild Rice Concerts", "d:Description": "A group based in Menominee, MI dedicated to producing events within comfortable and intimate surroundings. Performance schedule and newsletter.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.wildriceconcerts.org/"} +{"d:Title": "Voxartis", "d:Description": "Italy based non-profit promoters of musical and cultural events. Site contains event information and application form.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://digilander.libero.it/voxartis/english_home.htm"} +{"d:Title": "Yourope", "d:Description": "European festival association including major festivals for contemporary music. Site provides a schedule, news, and links to member festivals.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.yourope.org/"} +{"d:Title": "Park Ridge Fine Arts Society", "d:Description": "Featuring a free concert series put on by the PRFAS Symphony Orchestra every summer in Park Ridge, Illinois. Event information, schedule, and biographies.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.prfas.org/"} +{"d:Title": "Tri-State Jazz Society", "d:Description": "Dedicated to the presentation of traditional and dixieland jazz. Based in Brooklawn, New Jersey.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.tristatejazz.com/"} +{"d:Title": "Connecticut Classical Guitar Society", "d:Description": "The Society presents world famous artists in concert performances in Connecticut; also master classes, ensemble, recordings, performing and teaching opportunities.", "topic": "Top/Arts/Music/Concerts_and_Events/Organizations", "url": "http://www.ctguitar.org/"} +{"d:Title": "The Legends Show", "d:Description": "A Pakistani concert held on June 8th, 2002 at the Strand Theater in Plainfield, New Jersey. Travel directions.", "topic": "Top/Arts/Music/Concerts_and_Events/Regional_and_Ethnic", "url": "http://thelegendshow.tripod.com/"} +{"d:Title": "Paul Winter's Solstice Celebration", "d:Description": "Joined by an array of outstanding musicians from different musical backgrounds for a festival of the Earth's musics during the nineteen years of this celebration.", "topic": "Top/Arts/Music/Concerts_and_Events/Regional_and_Ethnic", "url": "http://www.livingmusic.com/solstice"} +{"d:Title": "Virtual Womex World Music", "d:Description": "Worldwide music expo dedicated to folk, roots, and traditional music. Information on the event and its history.", "topic": "Top/Arts/Music/Concerts_and_Events/Regional_and_Ethnic", "url": "http://www.womex.com/virtual/"} +{"d:Title": "Thalia Productions", "d:Description": "A listing of Brazilian music events in the San Francisco Bay Area.", "topic": "Top/Arts/Music/Concerts_and_Events/Regional_and_Ethnic/Latin", "url": "http://www.thaliaproductions.com/"} +{"d:Title": "Kesta Happening DC", "d:Description": "A listing of concerts and club nights in the Washington, DC area. Includes photographs and a message board. [Requires Flash]", "topic": "Top/Arts/Music/Concerts_and_Events/Regional_and_Ethnic/Latin", "url": "http://www.kestadc.com/"} +{"d:Title": "Soft Pink Mahogany", "d:Description": "Presents Trashed, an indie, rock, and alternative night at the Linnets in Runcorn, UK. Includes history and lineups.", "topic": "Top/Arts/Music/Concerts_and_Events/Rock", "url": "http://www.softpink.co.uk/"} +{"d:Title": "Panic!", "d:Description": "A britpop, new wave, indie, 60s, and punk club event at the Cactus Club, San Jose.", "topic": "Top/Arts/Music/Concerts_and_Events/Rock", "url": "http://nobodysnothing.tripod.com/panic/"} +{"d:Title": "Festa 2002", "d:Description": "Showcase for local talent from the Toronto-area, where food donations will be collected and donated to the Daily Bread Food Bank. Site contains band biographies, food bank information, and travel information.", "topic": "Top/Arts/Music/Concerts_and_Events/Rock", "url": "http://www.festa2002.htmlplanet.com/"} +{"d:Title": "Goodship Tuesdays", "d:Description": "Weekly event at B.R. Finley's in Troy, New York. Site features schedules and MP3 files.", "topic": "Top/Arts/Music/Concerts_and_Events/Rock", "url": "http://www.goodship.net/tuesdays/"} +{"d:Title": "Songs from the Parlour", "d:Description": "Monthly acoustic singer-songwriter night held in the Parlour Bar in Dublin, Ireland. Gig schedule and MP3 files from past performances.", "topic": "Top/Arts/Music/Concerts_and_Events/Rock", "url": "http://www.songsfromtheparlour.com/"} +{"d:Title": "Sings Like Hell", "d:Description": "A biannual singer / songwriter subscription series held at the Lobero Theatre in Santa Barbara, California.", "topic": "Top/Arts/Music/Concerts_and_Events/Rock", "url": "http://www.singslikehell.org/"} +{"d:Title": "International Live Music Conference", "d:Description": "Takes place annually in London, for persons working within the live concert industry, including agents, promoters, managers, and festivals. Full conference information and many resources and databases.", "topic": "Top/Arts/Music/Concerts_and_Events/Trade_Shows", "url": "http://www.ilmc.com/"} +{"d:Title": "Midem", "d:Description": "International trade show for all music genres professionals, providing business and networking market in Cannes, online contacts database of this industry, conferences and events.", "topic": "Top/Arts/Music/Concerts_and_Events/Trade_Shows", "url": "http://www.midem.com/"} +{"d:Title": "SXSW", "d:Description": "Features the South by Southwest Music and Media Conference and Festival, held in Austin, TX.", "topic": "Top/Arts/Music/Concerts_and_Events/Trade_Shows", "url": "http://sxsw.com/music/"} +{"d:Title": "Dewey Beach Music Conference and Festival", "d:Description": "Features three nights of showcase performances and two days of demo and mentoring sessions, tradeshow, and industry events. Held annually in Dewey Beach, Delaware. Session and registration information.", "topic": "Top/Arts/Music/Concerts_and_Events/Trade_Shows", "url": "http://www.deweybeachfest.com/"} +{"d:Title": "Independent Music Conference", "d:Description": "Information about this annual event held in Philadelphia, PA.", "topic": "Top/Arts/Music/Concerts_and_Events/Trade_Shows", "url": "http://www.indiemusicon.com/"} +{"d:Title": "Winter Music Conference", "d:Description": "Annual music conference held in Miami Beach, FL. Event overview, registration information, schedule, past award winners, and marketing information.", "topic": "Top/Arts/Music/Concerts_and_Events/Trade_Shows", "url": "http://wintermusicconference.com/"} +{"d:Title": "MerleFest", "d:Description": "An annual tribute to the music of Doc and Merle Watson. Held in Wilkesboro, NC. Includes event, artist, ticket, and accommodation information, photographs, and schedule.", "topic": "Top/Arts/Music/Concerts_and_Events/Tributes", "url": "http://www.merlefest.org/"} +{"d:Title": "MusicMoz", "d:Description": "A music directory, edited by volunteers. Lists and accepts submissions of music-related websites, reviews, and artist and recording information.", "priority": "1", "topic": "Top/Arts/Music/Directories", "url": "http://musicmoz.org/"} +{"d:Title": "Music Mates, LLC.", "d:Description": "Free and paid submissions by U.S. bands seeking musicians and vice versa.", "topic": "Top/Arts/Music/Directories", "url": "http://www.musicmates.com/"} +{"d:Title": "Musichits", "d:Description": "Hundreds of music links, sorted by artist, genre, downloads.", "topic": "Top/Arts/Music/Directories", "url": "http://www.musichits.org/"} +{"d:Title": "Music, Guitar and Bass Links", "d:Description": "Includes musical instrument manufacturers, equipment dealers, bands and guitar/bass tablature.", "topic": "Top/Arts/Music/Directories", "url": "http://www.angelfire.com/ar2/guitar/"} +{"d:Title": "Musical Note", "d:Description": "Links to leading manufacturers of guitars, pianos, keyboards, drums and percussion.", "topic": "Top/Arts/Music/Directories", "url": "http://www.musicalnote.com/musicalinstruments.htm"} +{"d:Title": "Musicians Gallery", "d:Description": "Extensive links to musicians and ensembles organized by instrument and style, with additional categories offering links to music teachers, organizations, and vendors catering to musicians. Listing links from around the world with emphasis on the UK.", "topic": "Top/Arts/Music/Directories", "url": "http://www.musiciansgallery.com/"} +{"d:Title": "Musictory", "d:Description": "Offers links to lyrics, chords, official sites, biographies for over one thousand artists. Focuses on mainstream music.", "topic": "Top/Arts/Music/Directories", "url": "http://www.musictory.com/"} +{"d:Title": "The Concert Goer", "d:Description": "Concert reviews directory. Also provides information on concert tours, concert information and backstage pass information. Accepts user submissions.", "topic": "Top/Arts/Music/Directories", "url": "http://www.theconcertgoer.com/"} +{"d:Title": "MusicWorld1000", "d:Description": "Music catalog and community directory. Covers a wide range of music styles and industry sites.", "topic": "Top/Arts/Music/Directories", "url": "http://www.musicworld1000.com/"} +{"d:Title": "Musician's Archive", "d:Description": "Directory of links to articles on guitar and bass, effects, piano, keyboards, drums, songwriting, recording and business/legal aspects of the music industry.", "topic": "Top/Arts/Music/Directories", "url": "http://www.musiciansarchive.com/"} +{"d:Title": "Sibelius Academy: Music Resources", "d:Description": "Helsinki, Finland conservatory offers links to composers, instruments, research, opera, and music theory.", "topic": "Top/Arts/Music/Directories", "url": "http://www2.siba.fi/Kulttuuripalvelut/music.html"} +{"d:Title": "MFYI.com - Music For Your Information", "d:Description": "Educational resource with discographies, profiles, awards, tourographies, and quotes for musicians and bands.", "topic": "Top/Arts/Music/Directories", "url": "http://www.mfyi.com/"} +{"d:Title": "Anusaya", "d:Description": "Interactive music community with downloadable audio, reviews, interviews, biographies and blogs.", "topic": "Top/Arts/Music/Directories", "url": "http://www.anusaya.com/"} +{"d:Title": "Jan Stevens: Selected Jazz and Pop Links", "d:Description": "New Jersey pianist offers links to more than 100 of his favorite bands and artists.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.janstevens.net/links.html"} +{"d:Title": "123 Music Stars", "d:Description": "Pop and rock artists sorted into female singers, male singers, and bands. Each link leads to discography and brief biography, with semi-literate comments posted by site visitors.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.123musicstars.com/"} +{"d:Title": "Lost Idols", "d:Description": "\"Where are they now\" pages for artists whose stars have faded, with requests for information on others not yet documented.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.lostidols.com/"} +{"d:Title": "Soundbug", "d:Description": "Biographies with photos of hundreds of best-selling artists sorted alphabetically, with discographies and chat forums.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.soundbug.com/"} +{"d:Title": "Wikipedia: Musicians", "d:Description": "Includes biographies for over 3,000 musicians organized by instrument or specialty.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://en.wikipedia.org/wiki/List_of_musicians"} +{"d:Title": "WWW Music Database", "d:Description": "User-submitted links to thousands of artists, albums and songs, many with track lists and biographies. Basic text look and fast-loading pages.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.onlinemusicdatabase.com/"} +{"d:Title": "Entertainment Live UK", "d:Description": "Free resource for bands and artists of all genres as well as the music industry at large. Also contains regional shows and information.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.eluk.co.uk/"} +{"d:Title": "Phreesurf", "d:Description": "Find information on a music bands/artists, surfing and guitars.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.phreesurf.net/"} +{"d:Title": "Musicians Page", "d:Description": "Searchable directory of musicians and music teachers.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.musicianspage.com/"} +{"d:Title": "WebRing: Who's Who? Music Ring", "d:Description": "A directory of musicians and music resources.", "topic": "Top/Arts/Music/Directories/Bands_and_Artists", "url": "http://www.webring.org/hub/whoswhomusicring"} +{"d:Title": "FindMP3.org", "d:Description": "Fully searchable directory of quality MP3 sites.", "topic": "Top/Arts/Music/Directories/MP3", "url": "http://www.findmp3.org/"} +{"d:Title": "MP3 Bookmarks", "d:Description": "Bookmarks to download MP3 songs, MP3 software and other resources.", "topic": "Top/Arts/Music/Directories/MP3", "url": "http://mp3bookmarks.tripod.com/"} +{"d:Title": "MP3 Imperium", "d:Description": "Directory of MP3 sites, search engines, software and charts.", "topic": "Top/Arts/Music/Directories/MP3", "url": "http://www.mp3imperium.com/"} +{"d:Title": "Access Place MP3", "d:Description": "Directory of MP3 resources, audio files, search engines, directories, guides, software and hardware, MP3 players, encoders/decoders, CD rippers, plug-ins, skins, search tools and utilities.", "topic": "Top/Arts/Music/Directories/MP3", "url": "http://www.accessplace.com/music.htm"} +{"d:Title": "About.com: Digital Music", "d:Description": "Offering information about finding files, making them, and current news.", "topic": "Top/Arts/Music/Directories/MP3", "url": "http://mp3.about.com/"} +{"d:Title": "Zicsurf", "d:Description": "ArmadaElectric page lists extensive annotated links to sites about electronic and experimental music, recording hardware and software, and sampling.", "topic": "Top/Arts/Music/Directories/Musician_Resources", "url": "http://armadaelectro.free.fr/zicsurf.htm"} +{"d:Title": "MusicMight", "d:Description": "Database of artist and album information covering all rock styles. Searchable by name, title or text.", "topic": "Top/Arts/Music/Directories/Rock_and_Pop", "url": "http://www.musicmight.com/"} +{"d:Title": "Discographies For The Masses", "d:Description": "Links to discographies for mainstream rock bands.", "topic": "Top/Arts/Music/Directories/Rock_and_Pop", "url": "http://masses.pagesperso-orange.fr/"} +{"d:Title": "Cantabile-Subito", "d:Description": "Great singers of the past - 1100 singers with biographies, recordings, comments, audio files, and pictures.", "topic": "Top/Arts/Music/Directories/Singers", "url": "http://www.cantabile-subito.de/"} +{"d:Title": "Hyperion Records Index of Artists", "d:Description": "Biographies and discographies including those of the many singers who have contributed to the company's famous art song catalog.", "topic": "Top/Arts/Music/Directories/Singers", "url": "http://www.hyperion-records.co.uk/"} +{"d:Title": "Classical Music of St.Petersburg", "d:Description": "Profiles and discographies of St. Petersburg, Russia singers.", "topic": "Top/Arts/Music/Directories/Singers", "url": "http://www.classicalmusic.spb.ru/home/performers/index.html"} +{"d:Title": "Opera People on the World Wide Web", "d:Description": "Links to home pages of people professionally connected with the opera.", "topic": "Top/Arts/Music/Directories/Singers", "url": "http://opera.stanford.edu/people.html"} +{"d:Title": "Opera Singers on the Web", "d:Description": "Links to several hundred home pages of singers.", "topic": "Top/Arts/Music/Directories/Singers", "url": "http://www.operastuff.com/Opera_Singers/"} +{"d:Title": "HappyHardcore.com", "d:Description": "Happy hardcore related music directory, radio station, shop and forums.", "topic": "Top/Arts/Music/Directories/Techno,_Trance,_Rave_and_Dance", "url": "http://www.happyhardcore.com/"} +{"d:Title": "Mixes.DjFez", "d:Description": "Australian electronic dance music dj directory, downloads and community.", "topic": "Top/Arts/Music/Directories/Techno,_Trance,_Rave_and_Dance", "url": "http://mixes.djfez.com/"} +{"d:Title": "The DJ List", "d:Description": "Favorite DJ poll results, brief CD reviews, and contests.", "topic": "Top/Arts/Music/DJs", "url": "http://www.thedjlist.com/"} +{"d:Title": "ProDJ.com", "d:Description": "News, message boards, reviews, tutorials, shopping, and website hosting for professional DJs. Advice, sound files, and DJ search for party and wedding planners.", "topic": "Top/Arts/Music/DJs", "url": "http://www.prodj.com/"} +{"d:Title": "Internet DJ", "d:Description": "News, charts, events, DJ database, MP3 archive, and forum.", "topic": "Top/Arts/Music/DJs", "url": "http://www.internetdj.com/"} +{"d:Title": "Disc Jockey Resources Online", "d:Description": "A place to find resources for the DJ and the DJ-client.", "topic": "Top/Arts/Music/DJs", "url": "http://www.discjockeyonline.com/"} +{"d:Title": "United DJs", "d:Description": "Includes news, reviews, charts, competitions, events, and seminars.", "topic": "Top/Arts/Music/DJs", "url": "http://www.djsunited.com.au/"} +{"d:Title": "Computer DJ Summit", "d:Description": "An annual meeting of industry participants and suppliers.", "topic": "Top/Arts/Music/DJs", "url": "http://www.computerdjsummit.com/"} +{"d:Title": "Expressway Music NYC", "d:Description": "Providing DJ, live music and Karaoke in New York City. Offers song search, music news and list of previous client and venues.", "topic": "Top/Arts/Music/DJs", "url": "http://www.expresswaymusic.com/"} +{"d:Title": "National Association of Mobile Entertainers", "d:Description": "Trade association meeting the needs of professional mobile and nightclub DJs and KJs. Assists party planners and brides. List of benefits, application, and DJ listings. Located in Pennsylvania.", "topic": "Top/Arts/Music/DJs/Associations", "url": "http://www.djkj.com/"} +{"d:Title": "American Disc Jockey Association", "d:Description": "US national, for-profit trade association for professional mobile and night club DJs and KJs. Membership and consumer information as well as disc jockey listings. Located in Arizona.", "topic": "Top/Arts/Music/DJs/Associations", "url": "http://www.adja.org/"} +{"d:Title": "National Association of Rhythm and Blues DJs", "d:Description": "Information on joining, history, members, and song lists.", "topic": "Top/Arts/Music/DJs/Associations", "url": "http://www.randbdeejays.com/"} +{"d:Title": "Canadian Disc Jockey Association", "d:Description": "Not for profit trade association for disc jockeys across Canada. News, trade shows, and membership information for DJs. Advice and directory listings for consumers.", "topic": "Top/Arts/Music/DJs/Associations", "url": "http://www.cdja.ca/"} +{"d:Title": "DJChat.com", "d:Description": "Bulletin boards and chats. Links to disc jockeys in the USA and Canada.", "topic": "Top/Arts/Music/DJs/Chats_and_Forums", "url": "http://www.djchat.com/"} +{"d:Title": "DJ Forums", "d:Description": "Forum for disk jockeys. With different sections on regions, electronic music genres, equipment and DJ`ing.", "topic": "Top/Arts/Music/DJs/Chats_and_Forums", "url": "http://www.djforums.com/"} +{"d:Title": "DJs Are Us", "d:Description": "DJ listings and advice.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.1800djsareus.com/"} +{"d:Title": "Get DJ.com", "d:Description": "Disc jockey listings.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.getdj.com/"} +{"d:Title": "DJzone", "d:Description": "Directory includes magazines, news, conventions, charts, associations, and reviews.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://djzone.com/"} +{"d:Title": "DJ Advantage", "d:Description": "Resource for DJ associations, DJ gear and equipment, DJ magazines, DJ events and conventions, record labels, music charts, music news, remix services, and website help.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.djadvantage.com/"} +{"d:Title": "Party Professionals", "d:Description": "Listings for disc jockeys and other event professionals. Wedding, party and event planning.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.partypros.com/"} +{"d:Title": "Disc Jockey Information Network", "d:Description": "Canadian DJ directory and supplier links.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://djin10.tripod.com/"} +{"d:Title": "Apc Entertainment Network", "d:Description": "Directory of DJs, wedding services, party and event planning services, music and entertainment links.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.deejayz.com/"} +{"d:Title": "Disc Jockey America", "d:Description": "National portal for DJs of all styles. Directory and message boards.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.discjockeyamerica.com/"} +{"d:Title": "Mobile Disco Agency", "d:Description": "Directory of mobile discos and retailers in the UK.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.discoagency.co.uk/"} +{"d:Title": "1-800-Disc Jockey", "d:Description": "Nationwide booking network for the US. Provides a directory of mobile and club DJs, and karaoke hosts.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://www.800dj.com/"} +{"d:Title": "XXXmusic", "d:Description": "Collection of links for clubs, events, and labels.", "topic": "Top/Arts/Music/DJs/Directories", "url": "http://xxxmusic.beepworld.de/"} +{"d:Title": "United DJ Mixing School", "d:Description": "Celebrating 10 Years in Teaching the Art. Video lectures from Jam Master Jay, Cash Money, Q Bert, Jazzy Jay, Roc Raida, Craze, Plus One, Dopey, and other World Champions.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.djsunited.com.au/"} +{"d:Title": "Trance World", "d:Description": "Advice for DJs, genres, and labels.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.tranceworld.freeservers.com/"} +{"d:Title": "Subbass DJ Academy", "d:Description": "Provides tuition to potential djs, and DJs to some of London's top clubs.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.subbassdj.com/"} +{"d:Title": "FAME - Florida Academy of Mobile Entertainment", "d:Description": "School specializing in mobile training and continuing education. Includes staff profiles, course descriptions, schedules, frequently asked questions, and references.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.famedjschool.com/"} +{"d:Title": "Scratch DJ Academy", "d:Description": "Provides student education and access to the art form, with classes available in Los Angeles, Miami and New York.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.scratch.com/"} +{"d:Title": "Disc Jockey 101", "d:Description": "Provides free beat mixing, scratching, and formatting tips for club and mobile DJs. Also features a quarterly tip, music charts, and links to related sites.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.discjockey101.com/"} +{"d:Title": "Learn to DJ", "d:Description": "Offering a beginners guide including advice, information, and useful tips to improve mixing and scratching skills.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.learn2dj.co.uk/"} +{"d:Title": "DJ Prince Mixing Tips", "d:Description": "Offering tips on improving personal skills and techniques. Features profile, forum, mix generator, and downloads.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.djprince.no/"} +{"d:Title": "Pro Mobile DJ", "d:Description": "Offers tips, tutorials and education on the sales and business of mobile DJing.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.promobiledj.com/"} +{"d:Title": "DJ Tutor", "d:Description": "Providing free online video DJ tutorials, demos of DJ Gear, DJ competitions, and a community of DJs worldwide.", "topic": "Top/Arts/Music/DJs/Education", "url": "http://www.djtutor.com/"} +{"d:Title": "Tony Touch", "d:Description": "Includes artist profile, photo galleries, video clips, merchandise and mix tapes.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.tonytouch.com/"} +{"d:Title": "Grandmaster Flash", "d:Description": "Official website. Includes biography, discography, chat room, photos and appearances.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.grandmasterflash.com/"} +{"d:Title": "DJ Wicz", "d:Description": "Includes biography, mix tapes, photos, play list and events listing.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djwicz.com/"} +{"d:Title": "DJ Camilo", "d:Description": "Includes tour dates, biography, mix tapes, photos, artist history, shout outs and booking information.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djcamilo.com/"} +{"d:Title": "DJ 2big", "d:Description": "Includes mix CDs, photos, music downloads, events listing and an online community.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.dj2big.com/"} +{"d:Title": "DJ Smallz", "d:Description": "Includes Hip Hop mixtapes, audio and video, artist biography, music downloads, and the Southern Bunnies.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djsmallz.com/"} +{"d:Title": "DJ Kreation.com", "d:Description": "Site information includes artist's services offered and contact information. Based in Ontario, Canada.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djkreation.com/"} +{"d:Title": "DJ Chill X", "d:Description": "Features biography, remixes, news, events and reviews.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djchillx.com/"} +{"d:Title": "DJ Dre", "d:Description": "Features news, contact information, a calendar of events and music for purchase.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.freewebs.com/djdreatl/"} +{"d:Title": "Ugly Duckling", "d:Description": "Includes news, biography, downloadable music, a forum and tour schedule.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.uglyduckling.us/"} +{"d:Title": "Geometrix", "d:Description": "Includes artist\u2019s biography, reviews, upcoming shows, sound files and photo gallery.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djgeometrix.com/"} +{"d:Title": "DJ Melissa", "d:Description": "Includes bio, resume, event schedule, photos, MP3s, fliers, published articles, and contact information.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djmelissa.com/"} +{"d:Title": "DJ Cue", "d:Description": "Features artist's biography, music, photos, downloads, news, press clipping, email newsletter signup, contact and crew information.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.djcue.com/"} +{"d:Title": "DJ Eko", "d:Description": "Features artist's bio, CD mix list, playlist, reviews, interviews and contact information.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.ekogirl.com/"} +{"d:Title": "Wonder TwinZ", "d:Description": "Features artist's biographies, events, interviews, radio programs, mixtapes, guestbooks and contact information.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.wondertwinz.com/"} +{"d:Title": "DJ Risky Bizness", "d:Description": "Includes artist's bio, discography and press articles.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.800lbgorillas.com/bio_risky.html"} +{"d:Title": "Dexterity", "d:Description": "Artist's biography, events, mix CDs, DVDs, articles, downloads, forum and contact information.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.dexterity.net/"} +{"d:Title": "DJ Sassy Pandez", "d:Description": "Official site for this UK female DJ and model. Includes biography, model portfolio, flyers, videos, and contact details. [May not work in all browsers]", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://www.sassypandez.com/"} +{"d:Title": "DJ Miss Que Tee", "d:Description": "Features artist profile, flyers, events, gallery, media and contact information. Based in the UK.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://missquetee.moonfruit.com/"} +{"d:Title": "Mick", "d:Description": "Includes music news and charts, artist's biography, forums and CDs.", "topic": "Top/Arts/Music/DJs/Hip_Hop", "url": "http://iammick.com/"} +{"d:Title": "Checkmate Mixtape: Hardskill Ent", "d:Description": "Free download of the Checkmate mixtape.", "topic": "Top/Arts/Music/DJs/Hip_Hop/Mix_Tapes", "url": "http://www.angelfire.com/hiphop2/hardskill/audio.html/"} +{"d:Title": "DJ Emir: Hip-Hop Mixtapes: DJ Services", "d:Description": "Official website for DJ Emir features deejay services, the history of turntablism and deejay created music. Site also lists events, mixtape news and offers Hip-Hop and Reggae mixtapes.", "topic": "Top/Arts/Music/DJs/Hip_Hop/Mix_Tapes", "url": "http://djemir.com/"} +{"d:Title": "Art of the Mix", "d:Description": "A site dedicated to the art of making mixed tapes and CDs.", "topic": "Top/Arts/Music/DJs/Hip_Hop/Mix_Tapes", "url": "http://www.artofthemix.org/"} +{"d:Title": "Rap Hustle", "d:Description": "Mixtape torrents featuring unsigned artists, rhythm and blues, dirty south, west and east coast, reggae and reggae-ton. Includes news, free downloads, rap and music forum, battle forum and contact information.", "topic": "Top/Arts/Music/DJs/Hip_Hop/Mix_Tapes", "url": "http://www.raphustle.com/"} +{"d:Title": "Karaoke Scene", "d:Description": "International guide to Karaoke singing and entertainment. Find clubs, songs, order magazine, view events and order merchandise.", "topic": "Top/Arts/Music/DJs/Karaoke", "url": "http://www.karaokescene.com/"} +{"d:Title": "Karaoke Top 20", "d:Description": "The pick of the most popular and highest-rating karaoke sites on the Net.", "topic": "Top/Arts/Music/DJs/Karaoke", "url": "http://karaoke.nettop20.com/"} +{"d:Title": "LocalKaraoke.Com", "d:Description": "Calendars on where to perform Karaoke. Additional calendars on where to play team Trivia. Karaoke, and Trivia.", "topic": "Top/Arts/Music/DJs/Karaoke", "url": "http://www.localkaraoke.com/"} +{"d:Title": "Krazy Kats Karaoke", "d:Description": "Includes singing tips, equipment information, set up advice, plus news, karaoke tools, online players for singers and a gig guide to add your karaoke gig dates.", "topic": "Top/Arts/Music/DJs/Karaoke", "url": "http://www.krazykats-karaoke.co.uk/"} +{"d:Title": "KaraokeParty", "d:Description": "Karaoke site that scores the user's singing performance. If offers multiplayer competitions regardless of location and a party mode for in-person play. It's free of charge and registration is optional.", "topic": "Top/Arts/Music/DJs/Karaoke", "url": "http://www.karaokeparty.com/"} +{"d:Title": "h2g2 - Karaoke", "d:Description": "A definition of karaoke.", "topic": "Top/Arts/Music/DJs/Karaoke", "url": "http://h2g2.com/edited_entry/A139484"} +{"d:Title": "Bar Zo\u00e9 Karaoke&Cocktails", "d:Description": "Bar specialised in karaoke and cocktails drinks. Located in Montreal.", "topic": "Top/Arts/Music/DJs/Karaoke/Regional/North_America/Canada", "url": "http://www.barzoe.com/"} +{"d:Title": "Dog&Pony Sound", "d:Description": "Karaoke services complete with sound engineer and host for venues, private parties and corporate events.", "topic": "Top/Arts/Music/DJs/Karaoke/Regional/North_America/Canada", "url": "http://www.dogandponysound.com/"} +{"d:Title": "AustinSings.com", "d:Description": "Karaoke resource for the Central Texas area, show listings from Georgetown to San Marcos, CD+G sales, unsigned Austin musicians and bands.", "topic": "Top/Arts/Music/DJs/Karaoke/Regional/North_America/United_States", "url": "http://www.austinsings.com/"} +{"d:Title": "E.T.'s Cosmic Karaoke", "d:Description": "Showing Karaoke services from Olympia, WA USA. Original concept CD, song samples, lyrics, and biography Eric Turnbow (E.T.).", "topic": "Top/Arts/Music/DJs/Karaoke/Regional/North_America/United_States", "url": "http://karaokedj.8k.com/"} +{"d:Title": "Starz Karaoke", "d:Description": "Offering a large selection of karaoke music and DJ services; based in Mesa, Arizona.", "topic": "Top/Arts/Music/DJs/Karaoke/Regional/North_America/United_States", "url": "http://www.starzkaraoke.com/"} +{"d:Title": "J-pop Karaoke", "d:Description": "ZIP files of favorite Jpop artists with romanized lyrics.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://jpopkaraoke.tripod.com/"} +{"d:Title": "Ramesh Music", "d:Description": "Karaoke site with Hindi, Regional Indian and Western midi songs.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://www.rameshmusic.com/"} +{"d:Title": "MP3 Backing Trax", "d:Description": "Features downloads for karaoke and customized backing tracks for professional singers and musicians.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://www.mp3backingtrax.com/"} +{"d:Title": "Kariokebar.com", "d:Description": "Free music to sing along and have fun on the internet.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://www.kariokebar.com/"} +{"d:Title": "Steve Harding's Website", "d:Description": "Freeware site containing hundreds of midi and karaoke files, available to download individually or in zip packs.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://www.steveharding.com/"} +{"d:Title": "Stream Karaoke", "d:Description": "Subscription based service features downloadable song files.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://www.streamkaraoke.com/"} +{"d:Title": "HitTrax", "d:Description": "MIDI files. GM/GS and XG. Fully produced and licensed. Lyrics included designed for live performance.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://www.hittrax.com.au/"} +{"d:Title": "MIDIBuddy - Karaoke Files", "d:Description": "Discussion about Karaoke related things. Files, backing and music.", "topic": "Top/Arts/Music/DJs/Karaoke/Sound_Files", "url": "http://midibuddy.net/forums/karaoke-files.46/"} +{"d:Title": "Mobile Beat Magazine", "d:Description": "Dedicated to the specialized interests of working mobile entertainers. Selected articles from current and past issues, top 200 song list, DJ shows hosted by the magazine, and subscription information.", "topic": "Top/Arts/Music/DJs/Magazines_and_E-zines", "url": "http://www.mobilebeat.com/"} +{"d:Title": "DJ Times Magazine and DJ Expo", "d:Description": "Online version of the magazine includes news, interviews, charts, audio/video files, record reviews, and subscription information. Also covers the International DJ Expo.", "topic": "Top/Arts/Music/DJs/Magazines_and_E-zines", "url": "http://www.djtimes.com/"} +{"d:Title": "DJzone", "d:Description": "Bi-monthly e-zine for mobile, club, and radio disc jockeys. News, articles, reviews, and resources.", "topic": "Top/Arts/Music/DJs/Magazines_and_E-zines", "url": "http://www.djzone.net/"} +{"d:Title": "Djmag", "d:Description": "Subscription information, reviews, top 100 DJs, and news.", "topic": "Top/Arts/Music/DJs/Magazines_and_E-zines", "url": "http://www.djmag.com/"} +{"d:Title": "Urb Magazine", "d:Description": "Online version of the DJ culture magazine. Includes articles, charts, events, and message board. [Requires Flash]", "topic": "Top/Arts/Music/DJs/Magazines_and_E-zines", "url": "http://urb.com/"} +{"d:Title": "Hybrid Magazine: Level Headed", "d:Description": "News, DJ profiles, interviews, and reviews.", "topic": "Top/Arts/Music/DJs/Magazines_and_E-zines", "url": "http://www.hybridmagazine.com/level/"} +{"d:Title": "3 Dot Productions", "d:Description": "DJ collective based in Atlanta, Georgia. Schedules, profiles, and photographs.", "topic": "Top/Arts/Music/DJs/Personal_Pages/3", "url": "http://www.3dotevents.net/"} +{"d:Title": "Animal Noise Force Organisation", "d:Description": "Group of DJs, producers, and MCs from Switzerland. History, sound files, and charts. In English and French.", "topic": "Top/Arts/Music/DJs/Personal_Pages/A", "url": "http://www.anfo.org/"} +{"d:Title": "Benz, Greg", "d:Description": "Progressive house/trance DJ/producer from Canada. News, events, biography, and sound files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/B", "url": "http://www.gregbenz.com/"} +{"d:Title": "Boys Without Brains", "d:Description": "Trance, hard house and techno DJs, based in the UK. Sound files and reviews.", "topic": "Top/Arts/Music/DJs/Personal_Pages/B", "url": "http://www.angelfire.com/electronic/boyswithoutbrains/"} +{"d:Title": "Boo-C", "d:Description": "DJ and producer collective, based in Switzerland. News, profiles, and audio files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/B", "url": "http://www.boo-c.com/"} +{"d:Title": "Bodytonic", "d:Description": "Collective based in Ireland. History, events, mixes, and message board.", "topic": "Top/Arts/Music/DJs/Personal_Pages/B", "url": "http://bodytonicmusic.com/"} +{"d:Title": "Bentley, Jason", "d:Description": "Deep house music DJ from Madison, Wisconsin. Profile and audio files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/B", "url": "http://jason-bentley.tripod.com/index.htm"} +{"d:Title": "Cotes, Jose", "d:Description": "Pictures and sound files from Boston based DJ JC.", "topic": "Top/Arts/Music/DJs/Personal_Pages/C", "url": "http://www.djjc.com/"} +{"d:Title": "Coco, Chris", "d:Description": "UK based DJ. Biography, discography, news, diary, pictures, and audio/video downloads.", "topic": "Top/Arts/Music/DJs/Personal_Pages/C", "url": "http://www.chriscoco.com/"} +{"d:Title": "DJ Tony Tee", "d:Description": "Profile, events, audio files, and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djtonytee.com/"} +{"d:Title": "DJ Deuce", "d:Description": "Profile with appearance dates, pictures, and links.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/hiphop/flavas/"} +{"d:Title": "DJ Bishop", "d:Description": "Philadelphia, Pennsylvania. Biography, pictures, sound files, and merchandise.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djbishop.com/"} +{"d:Title": "DJ Donovan", "d:Description": "Official site of the Astralwerks and Intellihance Records recording artist, with links to mixes.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djdonovan.com/"} +{"d:Title": "DJ Graflin", "d:Description": "Biography, discography, and sound files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.graflin.com/"} +{"d:Title": "DJ Hotbot", "d:Description": "Bloomfield, New Jersey, specializing in Old School hip hop and techno. History and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/nj2/djhotbot/"} +{"d:Title": "DJ Houser", "d:Description": "Biography, sound files, and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/music/houser/index.html"} +{"d:Title": "DJ Ellis Dee", "d:Description": "Based in Los Angeles. Streaming mixes, charts, pictures, upcoming events, audio guide for professionals, and ravers handbook.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djellisdee.com/"} +{"d:Title": "DJ GID", "d:Description": "Profile of the Sri Lankan DJ.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djgid.faithweb.com/"} +{"d:Title": "DJ Spin", "d:Description": "Biography and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.dj-spin.com/"} +{"d:Title": "DJ Karleboy", "d:Description": "Progressive house DJ. Profile, sound files, gigs, gear, and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djkarleboy.com/"} +{"d:Title": "Da Freedom Crew", "d:Description": "Garage and hip hop for the Christian crowd. Events and profile.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/music2/freedomcrew/"} +{"d:Title": "DJ Pete G.", "d:Description": "Based in Zurich, Switzerland. News, schedule, playlists, and albums.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.peteg.ch/"} +{"d:Title": "DJ Crash", "d:Description": "Profile, charts, events, and discography.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djcrash.com/"} +{"d:Title": "DJ Quango", "d:Description": "Based in the United Kingdom. Includes profile, pictures, charts, interviews, and mixes.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/extreme/djquango/"} +{"d:Title": "DJ Necrogenic", "d:Description": "Profile, equipment, and sound files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/electronic/djnecrogenic/"} +{"d:Title": "DJ HansSolo", "d:Description": "Biography of the New York City DJ.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.hanssolo.com/"} +{"d:Title": "DJ Dandroid", "d:Description": "Based in Calgary, Alberta, Canada. Profile, playlists, and events.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/goth/dj_dandroid/"} +{"d:Title": "DJ Skywalka", "d:Description": "Hamburg, Germany. Biography, mixes, and events.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.skywalka.de/"} +{"d:Title": "DJ Qual-e-T", "d:Description": "Based in the United Kingdom. Profile and sound files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.angelfire.com/music4/djqual-e-t/"} +{"d:Title": "DJ Pushkin", "d:Description": "Based in New York City. News, events, pictures, and audio files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djpushkin.com/"} +{"d:Title": "DJ Dacha", "d:Description": "Deep house DJ and producer based in New York. Biography, playlists, images, and mixes.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djdacha.net/"} +{"d:Title": "DJ Natalie C", "d:Description": "Biography, gigs, and chart for the house and tech house DJ.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.nataliec.moonfruit.com/"} +{"d:Title": "DJ Bzerk", "d:Description": "Drum n' bass and hip hop, based in California. Biography, discography, and projects.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djbzerk.4t.com/"} +{"d:Title": "DJ Flaco", "d:Description": "Based in Orlando, Florida. Profile, events, and links for audio files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://members.migente.com/djflaco23/"} +{"d:Title": "DJ Scarface", "d:Description": "Hip hop and trance mixes from Southern California.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djscarface.com/"} +{"d:Title": "DJ Burak", "d:Description": "Chicago, Illinois. Biography, events, charts, and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djburak.com/"} +{"d:Title": "DJ Demonixx and Hexx", "d:Description": "Biography, top 10, and a link to sound files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.hexxmusic.com/"} +{"d:Title": "Darey, Matt", "d:Description": "Producer, remixer, and DJ of mash up trance and house music. Biography, sound files, and top 10 list.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.mattdarey.com/"} +{"d:Title": "DJ Mystik", "d:Description": "Deephouse DJ from Belgium. Profile, sound files, and pictures. [Requires Flash]", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://users.telenet.be/mystik/"} +{"d:Title": "DJ Sam House", "d:Description": "Wedding DJ based in Los Angeles, California. Biography, pictures, events and booking information.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djsamhouse.com/"} +{"d:Title": "DJ Bill Ziemski", "d:Description": "Baltimore-based wedding DJ. Blog, services offered, quotes, music and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.billyzee.com/"} +{"d:Title": "DJ Natty", "d:Description": "Jungle, drum and bass, and dub reggae DJ from Chicago. With background, records, trade list, and links.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.cosmicbreaks.com/natty/"} +{"d:Title": "DJ Vitamin D", "d:Description": "Discography, sound files, booking information, and merchandise. [Requires Flash]", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djvitamind.tumblr.com/"} +{"d:Title": "DJ Deelite", "d:Description": "Biography, sound files, and clubs.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djdeelite.tripod.com/"} +{"d:Title": "DJ ADHD", "d:Description": "Official website for Polish club DJ and music producer. Contains biography, discography, mixes, schedule and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djadhd.pl/"} +{"d:Title": "The Digitalist", "d:Description": "Official artist (aka Tom Miller) website. Electro house and drum 'n' bass DJ. Biography, radio shows, production mixes and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.thedigitalist.co.uk/blog"} +{"d:Title": "DJJ", "d:Description": "Australia-based DJ. Includes mixes, remixes and podcasts with downloadable MP3s.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djj.net.au/"} +{"d:Title": "DJ Intel", "d:Description": "Music downloads, client list, events, blog and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djintel.com/"} +{"d:Title": "DJ LG", "d:Description": "DJ entertainment services, specializing in Desi and Indian weddings or birthdays. Package and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djlg.us/"} +{"d:Title": "DJ Matt Werner on YouTube", "d:Description": "DJ from Oakland, California. Posts The DJ Matt Werner Show.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.youtube.com/djmattwerner"} +{"d:Title": "DJ Brian Asher", "d:Description": "Seattle-based DJ/producer. Includes biography, contact details, podcasts and downloadable mixes.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djbrianasher.com/"} +{"d:Title": "DJ Aili Chu", "d:Description": "San Francisco-based DJ specializing in house, trance, and progressive music. Biography, events, contacts and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.ailichu.com/"} +{"d:Title": "DJ Daddy", "d:Description": "Biography, events, mixes, pictures, and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djdaddy.com/"} +{"d:Title": "DJ Luar aka Ojo Fatuo", "d:Description": "Services, events, mixes, awards and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djluar.com/"} +{"d:Title": "DJ Gone Deville", "d:Description": "Profile, social links, and sound files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.gonedeville.com/"} +{"d:Title": "DJ Azad", "d:Description": "New York-based mobile and wedding DJ. Site includes DJ and lighting packages, audio clips and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djazad.com/"} +{"d:Title": "DJ Paddington", "d:Description": "House music DJ based in France, personal website with links to social media", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djpaddington.com/"} +{"d:Title": "DJ Si Knight", "d:Description": "Website with DJ profile, music, gallery, booking form and contact information.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djsiknight.com/"} +{"d:Title": "DJ Gold Master", "d:Description": "Website includes music blog, top 10 chart, mixes and contact information.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djgoldmaster.com/"} +{"d:Title": "Dimitris George", "d:Description": "Website of Washington-based DJ and remixer. Includes music, biography, gallery, contact information and social media links.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.dimitrisgeorge.com/"} +{"d:Title": "DJ Evesham", "d:Description": "DJ services for weddings and mobile discos in and around the UK's Worcestershire, West Midlands. Biography, lighting and sound details and contact information.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djevesham.co.uk/"} +{"d:Title": "DJ Paulie", "d:Description": "Official Website. Free music and radio show links. Contact details and testimonials.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://youshook.com/"} +{"d:Title": "Gtown Desi", "d:Description": "Scotland-based Asian urban DJ collective, features biogs, services, contact details and gallery", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.gtowndesi.com/"} +{"d:Title": "D-Jeneration Music Services", "d:Description": "Wedding DJ services website, features contact details, history, services and guest book", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.d-jenerationmusicservices.com/"} +{"d:Title": "DJ Dina Regine", "d:Description": "website with testimonials, biog, contact details and photo gallery", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://djdinaregine.com/"} +{"d:Title": "South Devon Party DJ", "d:Description": "DJ and mobile disco for Devon and the surrounding counties. Site includes weddings, prices, events and equiptment.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.southdevonpartydj.co.uk/"} +{"d:Title": "Dan Morrell", "d:Description": "American DJ, website include biography, video, photos, music and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://danmorrell.com/"} +{"d:Title": "Digital Native", "d:Description": "Netherlands-based EDM producer using MixMeister technology. Profile, contact information, discography and links to social media, and music mixes.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.ronaldsmeets.info/"} +{"d:Title": "DM Mike B 3000", "d:Description": "Montreal-based DJ. Features biography, mixes, news and contact information.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djmikeb3000.com/"} +{"d:Title": "DJ Dinesty", "d:Description": "Northern Virginia-based wedding and DJ service. Features history, rates and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.djdinesty.com/"} +{"d:Title": "Talbots Deejaying", "d:Description": "Wedding and mobile DJ services for the Barrie, Orillia, Collingwood, Hanover, Owen Sound and surrounding areas. Site includes testimonials, biography and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.talbotsdeejaying.com/"} +{"d:Title": "Grand Slam Disc Jockeys", "d:Description": "Wedding and corporate events service based in the Bay Area and Wine Country. Features gallery, music, clients and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.grandslamdjs.com/"} +{"d:Title": "DJ Hustle", "d:Description": "Californian-based mobile wedding DJ and actor. Features photos, videos, show reel and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.hustlegrind.com/"} +{"d:Title": "Mix Music Entertainment", "d:Description": "DJ and Karaoke services and rentals, based in Edmonton (Alberta), site has services, FAQs, testimonials, photos, videos, links and contact info.", "topic": "Top/Arts/Music/DJs/Personal_Pages/D", "url": "http://www.mixmusic.ca/"} +{"d:Title": "Electro Madness Productions", "d:Description": "Profiles and pictures of the DJ collective.", "topic": "Top/Arts/Music/DJs/Personal_Pages/E", "url": "http://www.angelfire.com/electronic/madproductions/intro.html"} +{"d:Title": "Extreme Entertainment DJ&Karaoke", "d:Description": "DJ&karaoke services for West Virginia, Maryland, Pennsylvania&Virginia. Photos and contact details.", "topic": "Top/Arts/Music/DJs/Personal_Pages/E", "url": "http://www.goextremeentertainment.com/"} +{"d:Title": "Electric Light&Sound", "d:Description": "Mobile DJ service in Knoxville, East Tennessee. Includes testimonials, contact information and events calendar.", "topic": "Top/Arts/Music/DJs/Personal_Pages/E", "url": "http://www.elns.webs.com/"} +{"d:Title": "Far Out Productions", "d:Description": "Dance music production and remixing. Sound files, list of artists, pictures, chart, and links.", "topic": "Top/Arts/Music/DJs/Personal_Pages/F", "url": "http://www.faroutproductions.net/"} +{"d:Title": "Groove Injection", "d:Description": "Production and remixing team of Ray V and Teqnotic. History, news, and projects.", "topic": "Top/Arts/Music/DJs/Personal_Pages/G", "url": "http://www.grooveinjection.com/"} +{"d:Title": "Infinite Jazz", "d:Description": "Charts, reviews, sound files, label information, and events for the Phoenix, AZ DJs.", "topic": "Top/Arts/Music/DJs/Personal_Pages/I", "url": "http://www.infinitejazz.net/"} +{"d:Title": "Jazzy Joe", "d:Description": "News, profile, and pictures of the DJ from Jakarta, Indonesia.", "topic": "Top/Arts/Music/DJs/Personal_Pages/J", "url": "http://www.jazzyjoe.com/"} +{"d:Title": "Kelly, Magic Matt", "d:Description": "Beatmixing DJ focusing on Chicago house and progressive house music. News, mixes, biography, pictures, and playlists.", "topic": "Top/Arts/Music/DJs/Personal_Pages/K", "url": "http://www.magicmattkelly.com/"} +{"d:Title": "Lee, Dale", "d:Description": "Biography, vinyl collection, and chart from the DJ.", "topic": "Top/Arts/Music/DJs/Personal_Pages/L", "url": "http://djdalelee.tripod.com/"} +{"d:Title": "La Dolce Vita", "d:Description": "Club DJs, radio presenters and music producers from the United Kingdom. Profiles and events.", "topic": "Top/Arts/Music/DJs/Personal_Pages/L", "url": "http://homepages.nildram.co.uk/~hallix/ladolcevita"} +{"d:Title": "Love, Lisa", "d:Description": "Female DJ from Philadelphia. Events, pictures, and history.", "topic": "Top/Arts/Music/DJs/Personal_Pages/L", "url": "http://www.djlisalove.com/"} +{"d:Title": "Matthias, Dave", "d:Description": "Biography, discography, articles, and playlists for the DJ.", "topic": "Top/Arts/Music/DJs/Personal_Pages/M", "url": "http://www.djdavematthias.com/"} +{"d:Title": "Mutant", "d:Description": "History, sound files, party dates, and studio information.", "topic": "Top/Arts/Music/DJs/Personal_Pages/M", "url": "http://mutant.dasburo.com/"} +{"d:Title": "Monnet, Claude", "d:Description": "House music DJ and producer from Paris, France. News, biography, and discography.", "topic": "Top/Arts/Music/DJs/Personal_Pages/M", "url": "http://www.claudemonnet.com/"} +{"d:Title": "Masters At Work", "d:Description": "Discography, pictures, interview, and audio files.", "topic": "Top/Arts/Music/DJs/Personal_Pages/M", "url": "http://mawrecords.free.fr/"} +{"d:Title": "Osborne, Damien", "d:Description": "Also known as D'Funkt Addicts. Biography and mixes.", "topic": "Top/Arts/Music/DJs/Personal_Pages/O", "url": "http://www.kineticaudio.0catch.com/"} +{"d:Title": "Over The Edge DJ Services", "d:Description": "Website providing mobile DJs and entertainment throughout Minnesota area. Includes blog, FAQs, contact information, event details and photographs.", "topic": "Top/Arts/Music/DJs/Personal_Pages/O", "url": "http://www.overtheedgedj.com/"} +{"d:Title": "Parrish, Man", "d:Description": "Profile, interview, sound files, top five, and album artwork.", "topic": "Top/Arts/Music/DJs/Personal_Pages/P", "url": "http://www.manparrish.com/"} +{"d:Title": "Pancakechef", "d:Description": "News and audio files, both video game remixes and original music.", "topic": "Top/Arts/Music/DJs/Personal_Pages/P", "url": "http://pancakechef.50megs.com/"} +{"d:Title": "Prinz, Andy", "d:Description": "Swiss producer and remixer. Biography, history, events, articles, releases, and projects.", "topic": "Top/Arts/Music/DJs/Personal_Pages/P", "url": "http://www.andyprinz.com/"} +{"d:Title": "Rechberger, Juergen", "d:Description": "Downtempo, trip hop, deep house DJ from Vienna, Austria. Profile, sound files, and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/R", "url": "http://members.aon.at/djrproductions/juergen.htm"} +{"d:Title": "Richards, Paul", "d:Description": "DJ from Southampton, UK. Profile, news, sound files, and chart.", "topic": "Top/Arts/Music/DJs/Personal_Pages/R", "url": "http://www.ridney.tv/"} +{"d:Title": "R4R Entertainment", "d:Description": "Hudson Valley-based wedding and mobile DJ. Site includes mixes, gallery, store, pricing and contact information.", "topic": "Top/Arts/Music/DJs/Personal_Pages/R", "url": "http://r4rentertainment.com/"} +{"d:Title": "Succi, Davide", "d:Description": "Italian producer, director, and remixer. Profile, pictures, and chart.", "topic": "Top/Arts/Music/DJs/Personal_Pages/S", "url": "http://www.davidesucci.com/"} +{"d:Title": "Snicka", "d:Description": "New York based DJ. News, sound files, rants, album picks, and links.", "topic": "Top/Arts/Music/DJs/Personal_Pages/S", "url": "http://www.snicka.com/"} +{"d:Title": "Saint Germain, DJ Carlos", "d:Description": "Events, history, and pictures. Based in Miami, Florida.", "topic": "Top/Arts/Music/DJs/Personal_Pages/S", "url": "http://www.djsaintgermain.faithweb.com/"} +{"d:Title": "Smith, Steve", "d:Description": "Also known as Fritz Q. Horst and DJ Stevo. Based in Birmingham, Alabama. Charts, gigs, and links.", "topic": "Top/Arts/Music/DJs/Personal_Pages/S", "url": "http://www.houseofstevo.com/"} +{"d:Title": "SWED Project", "d:Description": "Profiles, projects, news, and gear. Based in Bulgaria.", "topic": "Top/Arts/Music/DJs/Personal_Pages/S", "url": "http://swedproject.tripod.com/"} +{"d:Title": "Shining Path", "d:Description": "Jeff Khan, based in the United Kingdom. Biography, charts, and mixes.", "topic": "Top/Arts/Music/DJs/Personal_Pages/S", "url": "http://www.shining-path.com/"} +{"d:Title": "Tee, Tony", "d:Description": "Progressive club DJ from New Jersey. Profile, credits, pictures, and events.", "topic": "Top/Arts/Music/DJs/Personal_Pages/T", "url": "http://www.djtonytee.com/"} +{"d:Title": "Talla 2XLC", "d:Description": "Based in Germany. History, discography, charts, and tourdates.", "topic": "Top/Arts/Music/DJs/Personal_Pages/T", "url": "http://www.talla2xlc.com/"} +{"d:Title": "Vit, Tony de", "d:Description": "Brief profile and links.", "topic": "Top/Arts/Music/DJs/Personal_Pages/V", "url": "http://www.angelfire.com/music3/TDV/"} +{"d:Title": "Weaztek", "d:Description": "Also known as DJ Wild Weasel. Based in Madison, Wisconsin. Biography, mixes, playlists, chart, and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/W", "url": "http://www.weaztek.com/"} +{"d:Title": "Wilson, Tom", "d:Description": "Scottish dance DJ. Biography, news, audio files, pictures, and playlist.", "topic": "Top/Arts/Music/DJs/Personal_Pages/W", "url": "http://www.tomdj.co.uk/"} +{"d:Title": "Young, Tracy", "d:Description": "Based in Miami, Florida. Profile, projects, quotes, and pictures.", "topic": "Top/Arts/Music/DJs/Personal_Pages/Y", "url": "http://tracyyoung.com/"} +{"d:Title": "El Boriqua DJ Eddie", "d:Description": "Streaming mixes and samples.", "topic": "Top/Arts/Music/DJs/Recordings/MP3s", "url": "http://www.angelfire.com/music3/elboriqua2001/"} +{"d:Title": "DJ Science", "d:Description": "Original electronica and dance MP3s.", "topic": "Top/Arts/Music/DJs/Recordings/MP3s", "url": "http://djscience.www3.50megs.com/"} +{"d:Title": "Betalounge", "d:Description": "Broadcasts live every Thursday night, streaming audio/video of DJs \"in the mix.\"", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://www.betalounge.com/"} +{"d:Title": "Groovefactory", "d:Description": "Live mix shows and archives.", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://www.groovefactory.com/"} +{"d:Title": "DJ Science's Art and Music Web Center", "d:Description": "Dance, techno, house, trance, and jungle music in RealAudio format. Also includes a fractal art gallery.", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://www3.50megs.com/djscience/"} +{"d:Title": "Hyperreal DJ Set Archive", "d:Description": "Focuses on mixtapes, from 1989 to present.", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://djsets.hyperreal.org/"} +{"d:Title": "Anthems DJ Mixes", "d:Description": "DJ Mixes from House, Classic, Rave, Club and Garage. Submit your dj mix for free.", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://www.anthems.com/djmixes/"} +{"d:Title": "Mix of the Week", "d:Description": "Dance music mixes and FAQ.", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://mixoftheweek.com/"} +{"d:Title": "DJ Rico Mix Show", "d:Description": "Dance mixes, remixes, club pictures, and chart.", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://www.djricomixshow.com/"} +{"d:Title": "Digital Overdose", "d:Description": "Downloads, chart, and forums.", "topic": "Top/Arts/Music/DJs/Recordings/RealAudio", "url": "http://home.pacific.net.au/~dr_zed/"} +{"d:Title": "United Dance Music Association Record Pool", "d:Description": "The mission of the record pool is to promote and play new music throughout the Metropolitan Detroit area", "topic": "Top/Arts/Music/DJs/Record_Pools", "url": "http://www.udma.com/"} +{"d:Title": "TJ's DJs Record Pool", "d:Description": "Specializes in urban music (rap, rhythm and blues, and reggae).", "topic": "Top/Arts/Music/DJs/Record_Pools", "url": "http://www.tjsdjs.com/"} +{"d:Title": "Wax Factory Record Pool", "d:Description": "Advance vinyl from major and independent labels. Membership information.", "topic": "Top/Arts/Music/DJs/Record_Pools", "url": "http://www.thewaxfactory.bizhosting.com/"} +{"d:Title": "Soundworks Record Pool", "d:Description": "San Francisco Bay area crossover pool. FAQ and charts.", "topic": "Top/Arts/Music/DJs/Record_Pools", "url": "http://www.soundworks-sf.com/"} +{"d:Title": "Disc Jockeys Latinos Record Pool", "d:Description": "Located in the Boston, Massachusetts area. FAQ and membership information.", "topic": "Top/Arts/Music/DJs/Record_Pools", "url": "http://www.djlatino.com/recordpool/record_pool.html"} +{"d:Title": "Dixie Dance Kings Record Pool", "d:Description": "Serves southeastern United States. History, membership information, and charts.", "topic": "Top/Arts/Music/DJs/Record_Pools", "url": "http://www.dancekings.com/"} +{"d:Title": "Northwest Dance Music Association", "d:Description": "Dance, hip hop, and electronica music on vinyl. Serves the Pacific Northwest. Services, meeting schedule, and pictures.", "topic": "Top/Arts/Music/DJs/Record_Pools", "url": "http://www.nwdma.org/"} +{"d:Title": "NEWDEALweb.com", "d:Description": "Official website of Hitoshi Ohishi and his techno unit NEWDEAL.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.newdealweb.com/"} +{"d:Title": "House Grooves", "d:Description": "Free mixtapes by Orlando's hottest underground epic house/breakbeat/trance Dj. Rare record resources.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.angelfire.com/fl/HouseGrooves/index.html"} +{"d:Title": "CJ Bolland", "d:Description": "Biography, discography, pictures and interviews about the Belgian DJ.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.cjbolland.com/"} +{"d:Title": "DJ Style", "d:Description": "New York City techno trance progressive and hardcore mixes, all in Real Audio.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.djstyle.com/"} +{"d:Title": "DJ Dan", "d:Description": "Information on tours and upcoming releases for this techno DJ. Flashed site.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.djdan.com/"} +{"d:Title": "DJ Tom Baker", "d:Description": "Future bookings, photographic history of events/parties, press releases, links, and contact. Flash enabled.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.tombaker.moonfruit.com/"} +{"d:Title": "DJ Ego", "d:Description": "House, trance, uplifting, hardcore, and nu-energy music. Information on DJs, clubs, music charts.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://ego.iwarp.com/"} +{"d:Title": "Underground Dimensions Crew", "d:Description": "DJ crew out of Chicago specializing in anything from deep house to hardcore jungle.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.angelfire.com/il2/udc/"} +{"d:Title": "Amber", "d:Description": "Official site for San Francisco Bay Area's DJ Amber.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.iamthedj.com/"} +{"d:Title": "Fabian Potter's Groovepage", "d:Description": "Photographs, song clips, and biography from this Swiss DJ.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.nightgrooverecords.com/fabianpotter/"} +{"d:Title": "Dj Trancid's Realm", "d:Description": "Information on Dj Trancid and events that he spins at. Also features live webcasts and audio samples.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.djtrancid.com/"} +{"d:Title": "DJ Woody", "d:Description": "Southern California's electronic dance music DJ and Promoter. Information on the rave and club cultures.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.djwoody.com/"} +{"d:Title": "DJ Mark Loop", "d:Description": "The spirit of House music.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.markloop.com/"} +{"d:Title": "Dave Clarke", "d:Description": "Internationally acclaimed DJ Dave Clarke. Includes streaming Real Audio mixes.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.daveclarke.com/"} +{"d:Title": "Dj Imagine.com", "d:Description": "Online mixes and tour dates for Dj Imagine - Underground progressive trance and techno DJ.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.djimagine.com/"} +{"d:Title": "DJ Vortex", "d:Description": "Italian DJ. Offers MP3 downloads.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.djvortex.it/"} +{"d:Title": "The Fresh Blend", "d:Description": "Dj fresh blend", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.freshblend.com/"} +{"d:Title": "Dj Rust - Halo Industries", "d:Description": "DjRust information at Halo Industries.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://haloind.tripod.com/"} +{"d:Title": "Mucho Stylez", "d:Description": "New York City House and Techno Music. Featuring Live Mixes, biography, and DJ information.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.muchostylez.com/"} +{"d:Title": "DJ mjDUKE", "d:Description": "Progressive Trance DJ and Producer. Streaming Audio and downloads.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.mjduke.com/"} +{"d:Title": "The Nitric", "d:Description": "Annual trance and techno event at Merchtem, Brussels, Belgium. History, DJ profiles.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://users.belgacom.net/thenitric"} +{"d:Title": "Eye Candy", "d:Description": "On these pages there is information about the DJ.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.eye-candy.info/"} +{"d:Title": "DJ Maurice Noah", "d:Description": "House and Techno in real audio, mixes, samples, world dance charts, DJ Links and free MP3s.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://maurice.spacenoah.com/"} +{"d:Title": "Dj Benny Benassi", "d:Description": "Discography, playlist, pictures, videos, merchandise, and a forum.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://bennybenassi.com/"} +{"d:Title": "Paul Hazendonk", "d:Description": "Dutch DJ, producer and label manager. Shows, downloads, charts, schedule and biography.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.paulhazendonk.nl/"} +{"d:Title": "Jeff Bennett", "d:Description": "Information about gigs, releases and private label Kung Fu.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.jeffbennett.info/"} +{"d:Title": "TaI fu", "d:Description": "A DJ and producer of Spiritually Abstract Funk. Find information as well as music in MP3 format and pictures.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://www.angelfire.com/in2/ermyworld/"} +{"d:Title": "Serial Hardcore Killers", "d:Description": "A group of French DJs promoting and playing hardcore and gabber.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://shk.chez.com/"} +{"d:Title": "The Weekend Warrior", "d:Description": "Vancouver's badboy of Techno House. Currently recording for 20:20 Vision Recordings.", "topic": "Top/Arts/Music/DJs/Techno", "url": "http://weekendwarrior2.tripod.com/"} +{"d:Title": "DJ Dragn'fly", "d:Description": "Features blog, biography, mix sets, events and photos.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.djdragnfly.com/"} +{"d:Title": "Lange", "d:Description": "Official site with news, discography, chart, audio and pictures.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.lange-music.com/"} +{"d:Title": "DJ Diamond", "d:Description": "Features biography, multimedia, news and gig schedule.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.dj-diamond.com/"} +{"d:Title": "Armin van Buuren", "d:Description": "Includes biography, tour schedule, and forum.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.arminvanbuuren.com/"} +{"d:Title": "Ferry Corsten", "d:Description": "Contains artist info, gig agenda, news, tour dates, media and forum.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.ferrycorsten.com/"} +{"d:Title": "The Thrillseekers", "d:Description": "UK based DJ/producer Steve Helstrip, with video tutorials on music production, biography and discography, and tour dates.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.thethrillseekers.co.uk/"} +{"d:Title": "Bart Claessen", "d:Description": "Netherlands based DJ with audio, biography, and gig schedule.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.bartclaessen.com/"} +{"d:Title": "Menno de Jong", "d:Description": "Dutch DJ/producer with tour dates, podcast, biography and media.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.mennodejong.com/"} +{"d:Title": "Paulina Cewe", "d:Description": "Swedish DJ/producer with news, gig schedule and music releases.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.paulinacewe.com/index_new.htm"} +{"d:Title": "ATB", "d:Description": "German trance DJs and producers with tour dates, blog, forum, and videos.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.atb-music.com/"} +{"d:Title": "Ti\u00ebsto", "d:Description": "Official site with news, biography, discography and tour dates.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.tiesto.com/"} +{"d:Title": "Above&Beyond", "d:Description": "DJs/producers with news, music downloads, and videos.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.aboveandbeyond.nu/"} +{"d:Title": "Markus Schulz", "d:Description": "Official website with blog, videos, tour dates, radio shows and biography.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.markusschulz.com/"} +{"d:Title": "Sander Van Doorn", "d:Description": "Official site with biography, music info, upcoming events and top 5 chart.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.sandervandoorn.com/"} +{"d:Title": "Andy Moor", "d:Description": "News, gig schedule, podcasts, and forum.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.andymoor.com/"} +{"d:Title": "Kyau&Albert", "d:Description": "German DJs/producers with tour dates, music discography and videos. German and English versions.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.kyauandalbert.com/"} +{"d:Title": "Sean Tyas", "d:Description": "New York based DJ/producer with tour dates, production information, biography and discography.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.seantyasmusic.com/"} +{"d:Title": "Solarstone", "d:Description": "UK based DJ/producer featuring blog, discography, music downloads, forum and gallery.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.solarstone.co.uk/"} +{"d:Title": "Daniel Wanrooy", "d:Description": "Dutch DJ/producer with music podcast, biography, mix sets and tour dates.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.danielwanrooy.com/"} +{"d:Title": "Estiva", "d:Description": "Official site of Steven Baan, with news, biography, discography and charts.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.estiva-music.com/"} +{"d:Title": "Mike Koglin", "d:Description": "Features news, discography, biography and photo gallery.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.mikekoglin.com/"} +{"d:Title": "M.I.K.E. / Push", "d:Description": "Mike Dierickx, Belgian DJ/producer. Contains biography, news, radio show and release info.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://www.mike.be/"} +{"d:Title": "Ruben De Ronde", "d:Description": "With biography, music information, radio show and tour dates.", "topic": "Top/Arts/Music/DJs/Trance", "url": "http://rubenderonde.nl/"} +{"d:Title": "KidKoala.com", "d:Description": "Kid Koala's official site - a Canadian artist on Ninja Tune records.", "topic": "Top/Arts/Music/DJs/Turntablism", "url": "http://www.kidkoala.com/"} +{"d:Title": "DJ Domination", "d:Description": "The official homepage of DJ Domination.", "topic": "Top/Arts/Music/DJs/Turntablism", "url": "http://www.djdomination.com/"} +{"d:Title": "DJ Swamp", "d:Description": "DJ Swamp's official website with performance dates, bio and online store.", "topic": "Top/Arts/Music/DJs/Turntablism", "url": "http://www.djswamp.com/"} +{"d:Title": "DJ MarWax", "d:Description": "Turntablism information, DJ equipment, mixers, turntables, and cartridges, reviews, news and Mp3s.", "topic": "Top/Arts/Music/DJs/Turntablism", "url": "http://www.marwax.com/"} +{"d:Title": "Offbeat Network", "d:Description": "Official site of the Offbeat Turntable Mechanics.", "topic": "Top/Arts/Music/DJs/Turntablism/Crews", "url": "http://www.offbeaters.de/"} +{"d:Title": "Deceptikonz", "d:Description": "This site provides individual profiles of the Deceptikonz Crew. Sound files and updates are posted on a regular basis.", "topic": "Top/Arts/Music/DJs/Turntablism/Crews", "url": "http://mtl.vibe.tripod.com/"} +{"d:Title": "Phader Pilots Mission Control", "d:Description": "The Phader Pilots are a funky Breaks Crew that incorporate turntablistic tricks.", "topic": "Top/Arts/Music/DJs/Turntablism/Crews", "url": "http://frednock.tripod.com/"} +{"d:Title": "California Institute of Music", "d:Description": "San Diego based music institute for pre-college professional education. Includes curriculum, staff profiles, recital and concert schedules.", "topic": "Top/Arts/Music/Education", "url": "http://www.cimwebsite.org/"} +{"d:Title": "Children's Music Workshop", "d:Description": "Features musical resources and information on educational venues for children.", "topic": "Top/Arts/Music/Education", "url": "http://www.childrensmusicworkshop.com/"} +{"d:Title": "Cornish College of the Arts", "d:Description": "[Seattle, WA, USA] Offers BFA degree programs in jazz, classical performance, composition, world music, opera, musical theater, and music technology.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.cornish.edu/music/"} +{"d:Title": "Depaul University School of Music", "d:Description": "[Chicago, IL, USA] Offers four-year degrees in jazz and classical performance, composition, sound recording and technology, and the business of music. Events, programs, faculty members, and workshops.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://music.depaul.edu/"} +{"d:Title": "Columbia University Department of Music", "d:Description": "[New York, NY, USA] Historically significant music department founded in 1896 by composer Edward MacDowell. Studies in musicology and ethnomusicology, applied performance, computer music, and jazz. Courses, calendar of events and films.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.music.columbia.edu/"} +{"d:Title": "Florida State University School of Music", "d:Description": "[Tallahassee, FL, USA] Fully accredited by the NASM since 1930. Sixty degrees in music and various certificates and minors. Among their many ensembles are fourteen focused on world music.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.music.fsu.edu/"} +{"d:Title": "University of Texas at Austin School of Music", "d:Description": "News and information on admissions, auditions, ensembles, events, camps and conferences.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.music.utexas.edu/"} +{"d:Title": "Louisiana Academy of Performing Arts", "d:Description": "[Covington/Mandeville/Harahan, LA, USA] Music education for students of all ages. Areas of instruction include: voice, strings, brass, percussion, jazz and composition. LAAPA is South Louisiana's largest private school of music.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.laapa.com/"} +{"d:Title": "University of California - Department of Music", "d:Description": "Courses offered, event schedule, admissions, faculty, and news.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.music.ucla.edu/"} +{"d:Title": "University of North Carolina at Chapel Hill", "d:Description": "Music department pages include events, undergraduate and graduate programs, faculty members, a music library, news and links.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://music.unc.edu/"} +{"d:Title": "Eastman School of Music", "d:Description": "[Rochester, NY, USA] A music school teaching classical and jazz. Contains information on degrees, programs, faculty, graduate studies, resources, and links.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.esm.rochester.edu/"} +{"d:Title": "Elmhurst College Department of Music", "d:Description": "[Elmhurst, IL, USA] Offers degrees in music and music education as well as certificates in piano pedagogy and church music. Faculty members, courses offered.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://public.elmhurst.edu/music/"} +{"d:Title": "Indiana University School of Music", "d:Description": "[Bloomington, IN, USA] Wide range of degrees, over thirty-five ensembles, music library, and faculty profiles.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.music.indiana.edu/"} +{"d:Title": "McNally Smith College of Music", "d:Description": "Featured faculty profiles, degrees and programs, as well as clinics and workshops, aimed to fully educate students in the art of music.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.mcnallysmith.edu/"} +{"d:Title": "Peabody Institute of Johns Hopkins University", "d:Description": "[Baltimore, Maryland, US] Elite conservatory granting undergraduate and graduate degrees in musical performance, education, and audio science as well as diplomas for the most advanced performers. Includes admission and student information, academic requirements, faculty pages and public concerts and events. With community-oriented preparatory programs.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.peabody.jhu.edu/"} +{"d:Title": "University of Georgia Hugh Hodgson School of Music", "d:Description": "[Atens, Georgia, US] Offering undergraduate and graduate degrees in fields including performance, musicology, composition, music therapy, and music education.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://music.uga.edu/"} +{"d:Title": "East Carolina University School of Music", "d:Description": "Greenville, NC, USA. Provides information about the various academic programs, summer camps, news, festivals and ensembles.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.ecu.edu/music/"} +{"d:Title": "Cornell University Department of Music", "d:Description": "[Ithaca, NY, USA] Notable center for the study of music composition, containing a large music library.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://music.cornell.edu/"} +{"d:Title": "University of Toledo", "d:Description": "Music department homepage includes audition dates, scholarship information, degree programs, faculty members, facilities, groups, and ensembles, as well as summer jazz institutions.", "topic": "Top/Arts/Music/Education/Academic_Departments", "url": "http://www.utoledo.edu/cvpa/music/"} +{"d:Title": "Pyramind: Media and Music Production School and Services", "d:Description": "[San Francisco, CA] Media and music production school and studio offering certificates in digital audio production, post production and electronic music production. Pro Tools Operator and Logic Pro 7 training.", "topic": "Top/Arts/Music/Education/Academic_Departments/Technology", "url": "http://www.pyramind.com/"} +{"d:Title": "Conservatory of Recording Arts and Sciences", "d:Description": "[Tempe, AZ] Offers audio recording students training in multiple studios on equipment such as SSL, Studer, and Neumann, as well as Pro Tools Certification. Testimonials from graduates, awards.", "topic": "Top/Arts/Music/Education/Academic_Departments/Technology", "url": "http://www.cras.org/"} +{"d:Title": "Foothill College", "d:Description": "[Los Altos Hills, CA, US] Offers courses in sound reinforcement, audio production and multi-track recording leading either to an Associate of Arts degree or a skills certificate. Programs, admissions, history, photos.", "topic": "Top/Arts/Music/Education/Academic_Departments/Technology", "url": "http://www.foothill.edu/musictechnology/"} +{"d:Title": "Full Sail", "d:Description": "[Orlando, FL, US] Audio recording courses lead to an Associate of Science degree, or may be combined with courses in entertainment business resulting in a Bachelor of Science degree. Also teaches digital media, film, video, live show production, game design and computer animation. Online chat.", "topic": "Top/Arts/Music/Education/Academic_Departments/Technology", "url": "http://www.fullsail.com/index.cfm/fa/degree.overview/dp_id/5/Recording_Arts"} +{"d:Title": "Music of Oz Studios", "d:Description": "Professional music lessons for any age and children's music programs.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons", "url": "http://www.musicofoz.com/"} +{"d:Title": "Kindermusik", "d:Description": "Music and movement programs for children, newborn to 7 years. Curricula descriptions, faculty biographies, class schedules and online registration.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons", "url": "http://www.kindermusikcambridge.com/"} +{"d:Title": "D-Prods", "d:Description": "Provides drum lessons, mobile recording services, and produces original music. Located in Howell, Michigan, United States.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons", "url": "http://www.d-prods.com/"} +{"d:Title": "MusIQ Club", "d:Description": "Enriched group piano and music learning programs offered in North America, the UK and Portugal, where students learn to read music, play piano with both hands, improvise and compose.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons", "url": "http://www.musiqclub.com/"} +{"d:Title": "Austrian Master Classes", "d:Description": "Information about the summer programs offered for children and young musicians.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.austrian-master-classes.com/"} +{"d:Title": "Aspen Music Festival and School", "d:Description": "[Colorado] International classical music festival and training camp designed for students college age and older.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.aspenmusicfestival.com/"} +{"d:Title": "Birch Creek Music Performance Center", "d:Description": "Summer programs on jazz, symphonic performance, percussion and steel drums. Sample schedule, faculty biographies, and admissions. [Egg Harbor, Wisconsin, US]", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.birchcreek.org/"} +{"d:Title": "Rocky Mountain Fiddle Camp", "d:Description": "Summer camp offering instruction in various fiddling styles, and other instruments. Includes information about instructors, program, fees, and facilities. Located in Estes Park, Colorado.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.rmfiddle.com/"} +{"d:Title": "The Moon Scottish Fiddling School", "d:Description": "A week long immersion in scottish traditional fiddle music in the California redwoods.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.valleyofthemoon.org/"} +{"d:Title": "Midsummer and Music in Scotland's Outer Hebrides", "d:Description": "Information about the summer small harp courses with Alison Kinnaird and Wendy Stewart.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.lochroag.co.uk/"} +{"d:Title": "Kinhaven Music School", "d:Description": "[Weston, Vermont] Summer music camp in classical music.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.kinhaven.org/"} +{"d:Title": "Orangeville Fiddle and Step Dance Camp", "d:Description": "[Ontario, Canada] Summer camp with instruction in old time fiddle and Ottawa Valley step dance for all ages.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.fiddle.on.ca/"} +{"d:Title": "Csehy Summer School of Music", "d:Description": "[Langhorne, PA] Summer music camp for junior and senior high school students specializing in classical and sacred music. An online application is included.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.csehy.com/"} +{"d:Title": "Summer Music Programs", "d:Description": "[Bryn Mawr, PA] For young musicians between the ages of 11 and 17 who want to play in bands with other young musicians.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.summermusicprograms.com/"} +{"d:Title": "Luzerne Music Center", "d:Description": "Summer Music Camp located in the Adirondack Mountains of New York State. Residential 2 or 4 week sessions for students aged 11-19.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://luzernemusic.org/"} +{"d:Title": "Hidden Valley Music Seminars", "d:Description": "[California] Institute of the Performing Arts nestled in the scenic Carmel Valley just ten miles from the internationally famous Monterey/Carmel area.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://hiddenvalleymusic.org/"} +{"d:Title": "The International Classical Music Academies", "d:Description": "It provides administrative co-ordination for more than 1000 world wide students in 3 international academies in Europe and North Africa. Programs are build for college and university music students, for professional and high level music players.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.hexagone.net/music/"} +{"d:Title": "Midsummer Musical Retreat", "d:Description": "[Walla Walla, WA] Information about this summer camp for adult amateur musicians: orchestral, choral and chamber music programs for five days of musical immersion.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.musicalretreat.org/"} +{"d:Title": "Career Connection", "d:Description": "Recording engineer school studio job career: a program that assures the aspiring music/video producer or engineer an effective way to train for full or part time employment in the music audio/video industry.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.recordingconnection.com/"} +{"d:Title": "Park City Music Festival Institute", "d:Description": "[Utah] Providing pre-professional training for string players, pianists and harpists, ages 14-30.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.pcmusicfestival.com/institute_index.html"} +{"d:Title": "Lutheran Summer Music", "d:Description": "An annual national youth music festival, held each summer on a different Lutheran college campus. Includes program information, registration forms, financial aid, contacts, and links.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.lutheranmusicprogram.org/"} +{"d:Title": "Midwest Highland Arts Fund", "d:Description": "A non-profit organization promoting the Scottish Highland performing arts in the Kansas City region. Inaugural workshop and recital in January 2002.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.mhaf.org/"} +{"d:Title": "British and French Organ Music Seminars", "d:Description": "Study tours every other year to British and French churches and cathedrals to study improvisation, repertoire, registration. All lessons in English. Students will have practice time on many of the organs.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.bfoms.com/"} +{"d:Title": "Walden School", "d:Description": "[Dublin, New Hampshire] A music camp and festival for young musicians interested in composition and creative training. Ages 9-18, beginners to advanced.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.waldenschool.org/"} +{"d:Title": "MacEwan College: Summer Music", "d:Description": "The campus at Edmonton, Alberta, Canada hosts short courses in voice, piano and strings, theater, musical theater, and Gilbert and Sullivan as well as a summer band camp.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.macewan.ca/web/services/arts_outreach/services/DetailsPage.cfm?id=5804"} +{"d:Title": "The Collective Sound", "d:Description": "[California] Provides youth with an authentic musical experience in writing, recording, and performing through rock, electronic, and popular music as part of the Summer Music Academy and Creative Labs After School programs.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.thecollectivesound.com/"} +{"d:Title": "Power Chord Academy Music Camp", "d:Description": "A music camp in California for musicians interested in playing in bands.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "https://www.campjam.com/"} +{"d:Title": "International Workshops", "d:Description": "Two-week summer workshops for teachers and performers in strings, orchestral conducting, piano, general music, choral conducting, and art.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://cteg.berkeley.edu/~iw/"} +{"d:Title": "Explorations in Afro-Cuban Dance and Drum", "d:Description": "[California] Humboldt State University Office of Extended Education presents this workshop to celebrate the folkloric music, songs, and dances of the Afro-Cuban people.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops", "url": "http://www.humboldt.edu/afrocuban/"} +{"d:Title": "Madeline Island Music Camp", "d:Description": "[Minneapolis, MN] It is a nonprofit educational corporation that provides chamber music training and performance for young musicians. Information about programs and application.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops/Chamber_Music", "url": "http://www.music-camp.org/"} +{"d:Title": "Music at Port Milford", "d:Description": "Small string chamber music camp located on the shores of Lake Ontario with a program of quartet and orchestra playing, choral singing, and private lessons.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops/Chamber_Music", "url": "http://www.mpmcamp.org/"} +{"d:Title": "Apple Hill Center", "d:Description": "Summer camp and festival in New Hampshire for students of all ages, backgrounds and skill levels.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Camps_and_Workshops/Chamber_Music", "url": "http://www.applehill.org/"} +{"d:Title": "ArtistWorks", "d:Description": "Online education company that enables students to study a wide range of instruments directly with virtuoso musicians using Video Exchange Technology (patent pending).", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Online", "url": "http://www.artistworks.com/"} +{"d:Title": "ThinkSpace Education", "d:Description": "Master\u2019s, premium and short courses for composers and orchestrators in partnership with University of Chichester.", "topic": "Top/Arts/Music/Education/Courses_and_Lessons/Online", "url": "http://thinkspaceeducation.com/"} +{"d:Title": "Music Hall", "d:Description": "Provides links to music education sites, organized by topic, instrument, style and geographical area.", "topic": "Top/Arts/Music/Education/Directories", "url": "http://www.edgate.com/musichall/educator/"} +{"d:Title": "Harmony Road Music Course", "d:Description": "Instruction method for children ages 2 to 11 available at schools in many US states. Curriculum, locations.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques", "url": "http://harmonyroadmusic.com/"} +{"d:Title": "What Every Musician Needs to Know About the Body", "d:Description": "Offering a six hour course to prevent pain and injury in practicing and performing. Includes course schedule, articles, and list of certified educators in the United States.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques", "url": "http://bodymap.org/"} +{"d:Title": "Three Musicians Discuss the Alexander Technique", "d:Description": "Article from the British Double Reed Society provides a transcript of their discussion about learning, applying and teaching the technique in relation to music-making.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Alexander_Technique", "url": "http://www.bdrs.org.uk/drn/1993/24/talkat.html"} +{"d:Title": "Musicians and The Alexander Technique", "d:Description": "Background information and a large directory of articles.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Alexander_Technique", "url": "http://alexandertechnique.com/musicians.htm"} +{"d:Title": "Alexander Music School", "d:Description": "Specializing in teaching musicians. La Taha, Spain.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Alexander_Technique", "url": "http://www.alexandermusicschool.com/"} +{"d:Title": "International Kod\u00e1ly Society", "d:Description": "Site offers information about membership, activities, publications, and the subscription to a newsletter.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Kod\u00e1ly_Education", "url": "http://www.iks.hu/"} +{"d:Title": "Organization of American Kod\u00e1ly Educators", "d:Description": "It provides a service to the OAKE membership and to promote the Kod\u00e1ly concept of music education in America.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Kod\u00e1ly_Education", "url": "http://www.oake.org/"} +{"d:Title": "The Kodaly Music Education Institute of Australia", "d:Description": "Established to promote excellence in music education and to support and resource Australian music teachers. Information about membership.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Kod\u00e1ly_Education", "url": "http://www.kodaly.org.au/"} +{"d:Title": "The British Kod\u00e1ly Academy", "d:Description": "It is a registered charity dedicated to working for the improvement of british music education relating the philosophy and practice of Zolt\u00e1n Kod\u00e1ly to british musical heritage.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Kod\u00e1ly_Education", "url": "http://www.britishkodalyacademy.org/"} +{"d:Title": "Kod\u00e1ly Society of Canada", "d:Description": "Information about the activities, membership opportunities and many resources about the Kod\u00e1ly education.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Kod\u00e1ly_Education", "url": "http://www.kodalysocietyofcanada.ca/"} +{"d:Title": "BAKE", "d:Description": "Boston Area Kod\u00e1ly Educators. Features membership information, events and workshops schedule, and description of the teaching method.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Kod\u00e1ly_Education", "url": "http://www.bostonareakodaly.org/"} +{"d:Title": "NCAKE", "d:Description": "Northern California Association of Kod\u00e1ly Educators. Includes schedules, newsletter, teacher directory and board member list.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Kod\u00e1ly_Education", "url": "http://www.ncake.org/"} +{"d:Title": "Suzuki Method Network", "d:Description": "Online network for Suzuki violin and piano method professional teachers.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Suzuki_Method", "url": "http://www.suzuki-music.com/"} +{"d:Title": "The Suzuki Association of the Americas", "d:Description": "It aspires to improve the quality of life in the Americas through classical music study with the Suzuki approach.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Suzuki_Method", "url": "http://www.suzukiassociation.org/"} +{"d:Title": "Talent Education Research Institute", "d:Description": "Official Suzuki Method organization, based in Japan. History of the method and its founder, Shinichi Suzuki. Also some video clips.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Suzuki_Method", "url": "http://www.suzukimethod.or.jp/indexE.html"} +{"d:Title": "Piano Basics Foundation News and Suzuki Web Sites", "d:Description": "An essential and authoritative primary resource for Suzuki pedagogy and piano study.", "topic": "Top/Arts/Music/Education/Methods_and_Techniques/Suzuki_Method", "url": "http://core.ecu.edu/hist/wilburnk/SuzukiPianoBasics/"} +{"d:Title": "Language and Music for Life", "d:Description": "Two week residential or day course in music and languages in Frensham Heights, Surrey, United Kingdom. Course information, registration, and contacts in English, Fran\u00e7ais Deutsch, Italiano, Castellano, Russian, Japanese, and Cesky.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.lmfl.com/"} +{"d:Title": "American Guild of Organists", "d:Description": "Professional organization promoting organ awareness and education.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.agohq.org/"} +{"d:Title": "Percussive Arts Society", "d:Description": "A professional organization promoting information, communication and education for the percussion community.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.pas.org/"} +{"d:Title": "International Society for Music Education.", "d:Description": "ISME is an organization that promote music education world-wide with members in over 60 countries.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.isme.org/"} +{"d:Title": "Technology Institute for Music Educators", "d:Description": "It is a non-profit Pennsylvia corporation chartered to promote technology as applied to music education.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.ti-me.org/"} +{"d:Title": "The College Music Society", "d:Description": "It is a consortium of colleges, conservatories, universities, and independent musicians and scholars. Dedicated to gathering, considering, and disseminating ideas on the philosophy and practice of music.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.music.org/"} +{"d:Title": "Mr. Hollands Opus Foundation", "d:Description": "It promotes instrumental music nationwide by partnering with businesses, schools, and communities to provide new and refurbished musical instruments to qualified schools and individual students.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.mhopus.org/"} +{"d:Title": "Eurhythmics Dalcroze World Federation", "d:Description": "International Federation of Eurhythmics Teachers. Information about activities.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://fier.com/"} +{"d:Title": "Adult Music Student Forum, Inc.", "d:Description": "Association of adult music students in the Washington, D.C. region. Site include information about activities.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.amsfperform.org/"} +{"d:Title": "The Leschetizky Society of Japan", "d:Description": "Theodor Leschitizky was a piano teacher. This society perpetuates his memory and encourages young classical musicians to play in concert.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www2.gol.com/users/cegledy/Leschetizky.html"} +{"d:Title": "Institute for Studies in American Music", "d:Description": "ISAM is an internationally recognized research center for the study and presentation of American music. ISAM concerns itself with all aspects of American music.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://depthome.brooklyn.cuny.edu/isam/"} +{"d:Title": "Ohio Music Education Association", "d:Description": "It provides information on various activities, issues, and events within OMEA.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.omea-ohio.org/"} +{"d:Title": "Dalcroze Society of America", "d:Description": "It is a nonprofit organization. Membership is open to anyone interested in the Dalcroze approach. Included in membership is a subscription to the Dalcroze Journal, which contains articles of interest, news, and schedules of courses and workshops.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.dalcrozeusa.org/"} +{"d:Title": "Anand Foundation", "d:Description": "Involved in the promotion of children's music activities for learning and performance. Mission statement and project information. Based in New Delhi, India.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.anandfoundation.com/"} +{"d:Title": "Music Notation Modernization Association", "d:Description": "An international organization of musicians, composers and notation inventors who work to develop better music notation systems.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://musicnotation.org/mnma/"} +{"d:Title": "Music In The Metro", "d:Description": "A multi-culture music institute helping children in the USA to bridge the gap between the dreams of youth and the music industry.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.musicinthemetro.com/"} +{"d:Title": "Madison Area Piano Teachers Association", "d:Description": "Organization of piano teachers in the area of Madison, Wisconsin.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.madisonpianoteachers.org/"} +{"d:Title": "Royal Conservatory of Music", "d:Description": "Music and arts education to Canadians in virtually every community in the country. Canada's largest and oldest independent arts educator.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.rcmusic.ca/"} +{"d:Title": "Pawz for Music", "d:Description": "A US charity to introduce and teach every child the world of music and its value in their lives.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.pawzformusic.org/"} +{"d:Title": "VH1 Save The Music", "d:Description": "Foundation with two primary missions: to restore music education programs in America's public schools, and to raise awareness of the positive impact music participation has on students.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.vh1savethemusic.org/"} +{"d:Title": "Leopold Mozart Academy", "d:Description": "The Leopold Mozart Academy offers instruction in strings, piano, and music theory.", "topic": "Top/Arts/Music/Education/Organizations", "url": "http://www.leopoldmozartacademy.org/"} +{"d:Title": "The National Association of Teachers of Singing, Inc.", "d:Description": "Dedicated to encouraging the highest standards of singing through excellence in teaching and the promotion of vocal education and research.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.nats.org/"} +{"d:Title": "Australian National Association of Teachers of Singing", "d:Description": "It is a non-profit association promoting the professional interests of australian singing teachers and voice scientists.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://anats.org.au/"} +{"d:Title": "Wisconsin Music Educators Association", "d:Description": "It improves the quality of music education for the youth of Wisconsin by representing the shared interests and needs of music educators and fostering their professional growth.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.wmea.com/"} +{"d:Title": "Music Teachers National Association", "d:Description": "It is a nonprofit organization of 24,000 independent and collegiate music teachers committed to furthering the art of music through programs that encourage and support teaching, performance, composition and scholarly research.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.mtna.org/"} +{"d:Title": "Edmonds Music Teachers Association", "d:Description": "It is a non-profit organization of private music teachers committed to the advancement of musical knowledge and education.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://emta.virtualave.net/"} +{"d:Title": "The Leschetizky Association of America", "d:Description": "Founded in 1942 by pupils of Theodore Leschetizky, it is devoted to promoting his teaching principles through concerts, competitions, an extensive library of his compositions and an annual bulletin.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.leschetizky.org/"} +{"d:Title": "Orange County Music Educators' Association", "d:Description": "[New York State] Information about their teachers and activities.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.orangecmeany.org/"} +{"d:Title": "Suffolk County Music Educators' Association", "d:Description": "[Long Island -NY] Its purpose is to provide educational experiences for students and local communities. Provide professional growth for members and serve as an advisory board to local school systems.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.scmea.org/"} +{"d:Title": "Chicago Area Music Teachers Association", "d:Description": "Open to Chicago area piano teachers and other musical instrument instructors. Referral directory, membership information and schedule of activities online.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.camta.org/"} +{"d:Title": "B.C. Registered Music Teachers Association", "d:Description": "Non-profit organization committed to music through programs that support teaching and performance. Site helps students to find professional music instruction in British Columbia.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.bcrmta.bc.ca/"} +{"d:Title": "Mobile Music Teachers Association", "d:Description": "[Alabama] Listing of teachers in the Mobile area and information about events that are hosted by the MMTA including event pictures and listing of winners.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.mobilemta.org/"} +{"d:Title": "Iowa Music Teachers Association", "d:Description": "Site offers membership information, and event schedule.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.iamta.org/"} +{"d:Title": "Music and Entertainment Industry Educators Association", "d:Description": "Its goal is to provide a marketplace for ideas, strategies, and original concepts in education to meet the professional challenges for the music industry of the 21st century.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.meiea.org/"} +{"d:Title": "Las Vegas Music Teachers Association", "d:Description": "Dedicated to the advancement of private music study in Las Vegas, Nevada. Lists available music teachers and their phone numbers, instruments, locations and qualifications, and a description of student events offered by the organization. Affiliated with the Music Teachers National Association.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://lvmta.org/"} +{"d:Title": "Idaho Music Educators Association", "d:Description": "Official site of the IMEA (Idaho Music Educators Association). Contains information, forms and directories for general use.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.idahomusiced.org/"} +{"d:Title": "Texas Music Educators Association", "d:Description": "TMEA is the largest music educators association in the United States. The site provides information for music educators in Texas and resources for music educators in general.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.tmea.org/"} +{"d:Title": "Canadian Music Educators Association", "d:Description": "Its aim is to encourage and guide the development and delivery of quality music education programs within a balanced curriculum, and, to encourage the confident and competent teaching of music through the awakening and nurturing of the creative spirit within every person.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.cmea.ca/"} +{"d:Title": "Nova Scotia Registered Music Teachers\u2019 Association", "d:Description": "Non-profit association of qualified private music teachers throughout Nova Scotia, Canada. Includes a directory of teachers, membership requirements and forms, and NSRMTA events.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.nsrmta.ca/"} +{"d:Title": "Suzuki Music Schools of MA", "d:Description": "An association of music teachers and family members learning to play musical instruments by the Suzuki Method, which is based on a child-centered philosophy which stresses ear training.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.suzukima.org/"} +{"d:Title": "Oregon Music Teachers Association - Eugene District", "d:Description": "A group of approximately 70 professional musician/teachers in the area around Eugene, Oregon. Includes a directory, meeting schedule and student activities.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.omta-eugene.org/"} +{"d:Title": "Seattle Music Teachers Association", "d:Description": "Dedicated to the advancement of private music study in Seattle, Washington. Lists teachers and their instruments, locations, and describes student events offered by the organization.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.seattlemta.org/"} +{"d:Title": "South Jersey Choral Directors' Association", "d:Description": "Non-profit volunteer organization of music educators who sponsor festivals and activities in the Southern New Jersey Region on behalf of students and music educators.", "topic": "Top/Arts/Music/Education/Organizations/Teachers'_Associations", "url": "http://www.sjcda.net/"} +{"d:Title": "The Piano Education Page", "d:Description": "Resources for teachers, students, parents of students, and fans of the piano with several hundred pages of free information.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://pianoeducation.org/"} +{"d:Title": "The Musicland", "d:Description": "An educational site for music resources at GSCE and A-Level, plus online aural tests and a host of other interactive features.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.themusicland.co.uk/"} +{"d:Title": "Music at School", "d:Description": "For secondary music instructors. Free PDF versions of worksheets, plus online lessons and interactive quizzes.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musicatschool.co.uk/"} +{"d:Title": "Piano Pedagogy Plus", "d:Description": "This site provides resources for piano teachers in the form of articles, analyses, a discussion forum, video clips, free digital sheet music, and audio clips.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.pedaplus.com/"} +{"d:Title": "Musician's Health", "d:Description": "Educational site devoted to the understanding and the explanation of musician's injuries.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musicianshealth.com/"} +{"d:Title": "Technological Directions in Music Learning", "d:Description": "Electronic journal of the Institute for Music Research at the University of Texas. Includes articles and research reports taken from all seven technological directions in conferences.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://music.utsa.edu/tdml/"} +{"d:Title": "Pay the Piper", "d:Description": "Detailed advice for children and parents about taking up a musical instrument: what to play, how to buy it, and how to get lessons.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.paythepiper.co.uk/"} +{"d:Title": "Music and Health", "d:Description": "Offers articles on piano teaching and information about musicians' health.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musicandhealth.co.uk/"} +{"d:Title": "Music 4 Education", "d:Description": "Free resources for music classroom teaching. Includes links and discussion board.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.music4education.com/"} +{"d:Title": "Music Education and Student Self-Concept", "d:Description": "A review of literature concerning music education and student self-concept.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://music.arts.usf.edu/rpme/rpmereyn.htm"} +{"d:Title": "Bulletin Boards for the Music Classroom", "d:Description": "A beneficial music education resource, with photographs and ideas for creative bulletin boards and displays", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musicbulletinboards.net/"} +{"d:Title": "Music Tech Teacher", "d:Description": "Elementary Music Tech classroom in Alabama. Includes example student compositions, pictures, resources and information for music teachers interested in using technology to teach music. Discussion board for ideas and feedback.", "priority": "1", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musictechteacher.com/"} +{"d:Title": "Gordon Institute for Music Learning", "d:Description": "Non-profit organization advancing the research in music education.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.giml.org/"} +{"d:Title": "Musicals101.com", "d:Description": "The history of musical theatre, film and television", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musicals101.com/"} +{"d:Title": "Band Director.com", "d:Description": "Articles, forum, and directory of resources.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.banddirector.com/"} +{"d:Title": "Band Music of the Civil War Era-Library of Congress", "d:Description": "Library of Congress section includes resources and photographs", "topic": "Top/Arts/Music/Education/Resources", "url": "http://memory.loc.gov/ammem/cwmhtml/cwmhome.html"} +{"d:Title": "Musicards.net", "d:Description": "Online music theory flash cards to help students learn note names, key signatures, intervals, triads, and notes on the piano and guitar.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musicards.net/"} +{"d:Title": "OnlinePracticeRecord.com", "d:Description": "A free program (registration required) that encourages practice by keeping track of daily practice time, the music, and questions or comments for the student and/or teacher.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.onlinepracticerecord.com/"} +{"d:Title": "Metronome Online", "d:Description": "Virtual metronome.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.metronomeonline.com/"} +{"d:Title": "British Journal of Music Education (BJME)", "d:Description": "Aims to provide clear, stimulating and readable accounts of current issues in music education worldwide, together with a section containing extended book reviews.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://journals.cambridge.org/action/displayJournal?jid=BME"} +{"d:Title": "Musicians United for Songs in the Classroom, Inc. (MUSIC)", "d:Description": "A nonprofit organization and resource site which promotes the use of popular music in education.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.learningfromlyrics.org/"} +{"d:Title": "Online Metronome", "d:Description": "Works through a web browser. Has similar features to a hardware metronome. Requires Javascript and Flash.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://bestmetronome.com/"} +{"d:Title": "MusicEdMagic", "d:Description": "Information and resources for music educators from all disciplines. Weekly articles, free sheet music, and newsletters on a variety of music education topics.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.musicedmagic.com/"} +{"d:Title": "Florida Music Education Association and Florida School Music Association", "d:Description": "Information and resources for music teachers in the Florida area including the Research Perspectives in Music Education journal.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.flmusiced.org/"} +{"d:Title": "Essential-Music-Practice", "d:Description": "Detailed information on how to practice music effectively. Includes various downloadable practice charts and exercises.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.essential-music-practice.com/"} +{"d:Title": "Digital Daydreams", "d:Description": "Music Education Resources", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.digital-daydreams.com/"} +{"d:Title": "The Texas School Music Project", "d:Description": "Band, choir, orchestra and elementary tips from the music professionals from Stephen F. Austin State University.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.tsmp.org/"} +{"d:Title": "Shall We Ensemble?", "d:Description": "Free sound sources for practicing ensemble music with various pitches.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://acordomusic.com/"} +{"d:Title": "Mutant Bass", "d:Description": "Bass lessons and playing tips.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.mutantbass.com/"} +{"d:Title": "Music trainer", "d:Description": "A ressource for tutors, coaches and parents who assist a student in the learning of a musical instrument.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://musictrainer.blogspot.com/"} +{"d:Title": "Taps Bugler", "d:Description": "History of Taps and other bugle calls", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.tapsbugler.com/"} +{"d:Title": "Soundjunction", "d:Description": "Produced by the ABRSM, the main instrumental examining body in UK. Contains resources, interviews and articles for instrumental and composition students of all ages and musical interests.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.soundjunction.org/"} +{"d:Title": "Ukulele Strummer", "d:Description": "Tips, techniques, reviews and instructional pieces for the ukulele learner", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.ukulelestrummer.com/"} +{"d:Title": "ChoraleGUIDE.com", "d:Description": "A resource from Tom Pankhurst for students studying Bach chorale harmonisation containing guidelines and active learning resources.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.choraleguide.com/"} +{"d:Title": "PianoTeachersNJ.com", "d:Description": "This site is a resource for students and parents looking for piano teachers, tuners, music schools and piano stores in New Jersey.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.pianoteachersnj.com/"} +{"d:Title": "SingerSpace", "d:Description": "A website dedicated to singing, vocal technique, singers videos and pictures.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://singerspace.blogspot.com/"} +{"d:Title": "Thomas J. West Music", "d:Description": "Music Education articles.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.thomasjwestmusic.com/"} +{"d:Title": "TicTone.com", "d:Description": "Online metronome, tuner, and tuning fork.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.tictone.com/"} +{"d:Title": "Tanbur Music Education Site", "d:Description": "Interactive links for the music classroom including \u2018singalong\u2019 songs, world music, rainforest, experimental sounds, percussion, composers, lessons, quizzes, score reading, rhythms, composing, listening, performing.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://tanbur.co.uk/"} +{"d:Title": "The Experience of Congregational Singing", "d:Description": "Issues in Christian worship and congregational singing", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.worshipsinging.ca/"} +{"d:Title": "The song makes music business", "d:Description": "Information on songwriting, demo recording, promotion, the music business, copyright and record deals for new songwriters and bands.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://song-makes-musicbusiness.com/"} +{"d:Title": "Hear Chords", "d:Description": "Ear training website with emphasis on hearing chords.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.hearchords.com/"} +{"d:Title": "Keeping Score: Education", "d:Description": "Offers teachers at all levels opportunities to learn about classical music and its connections to the core curriculum, along with resources and lesson plans. Created by Michael Tilson Thomas with the San Francisco Symphony.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.keepingscore.org/education"} +{"d:Title": "MusTech.Net", "d:Description": "Resources, community conversation, and technology ideas for Music Educators.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://mustech.net/"} +{"d:Title": "Effective Music Teaching", "d:Description": "Articles on music education and effective music programs.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://effectivemusicteaching.com/"} +{"d:Title": "Music Technology in the Classroom", "d:Description": "Articles and downloads relating to teaching music technology. Includes some smartboard notebooks.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://mustech.pbworks.com/"} +{"d:Title": "Resoundsound", "d:Description": "Music production tips and mixing advice blog.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.resoundsound.com/"} +{"d:Title": "Useful Musician Tools", "d:Description": "Provides several original online applications to identify chords and scales by notes. Progression Analyser to Identify Chords and Scales that fit a progression.", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.scales-chords.com/"} +{"d:Title": "The Instrumentalist Magazine", "d:Description": "Practical information and innovative ideas for band directors", "topic": "Top/Arts/Music/Education/Resources", "url": "http://www.theinstrumentalist.com/"} +{"d:Title": "Music in Schools Today", "d:Description": "A community organization supporting music in the San Francisco Bay area with resources for children, youth students and music educators", "topic": "Top/Arts/Music/Education/Resources", "url": "http://musicinschoolstoday.org/"} +{"d:Title": "Kincade, John", "d:Description": "Singer and song coach. Original methods are used to help singers develop their talents and individual styles in pop and musicals. Features discography, book details, and workshops. Based in Sweden.", "topic": "Top/Arts/Music/Education/Teachers", "url": "http://johnkincade.com/"} +{"d:Title": "Forman, Bruce", "d:Description": "Jazz guitar educator and recording artist, founder of Jazz Masters Workshop, bringing free jazz education to schoolchildren across the US.", "topic": "Top/Arts/Music/Education/Teachers", "url": "http://www.bruceforman.com/"} +{"d:Title": "Allen, Barbara", "d:Description": "Flute instructor: her teaching philosophy is Aesthetic Realism, founded by Eli Siegel.", "topic": "Top/Arts/Music/Education/Teachers", "url": "http://www.barbaraallen.org/"} +{"d:Title": "Swearingen, James", "d:Description": "Composer and clinician of school band literature. Included are schedule of appearances, list of published works, news, tips, and biographical information.", "topic": "Top/Arts/Music/Education/Teachers", "url": "http://www.jamesswearingen.com/"} +{"d:Title": "Abby Whiteside Foundation", "d:Description": "Dedicated to the piano pedagogue and her teaching method. Features news, teachings, and directory of teachers and performers.", "topic": "Top/Arts/Music/Education/Teachers", "url": "http://www.abbywhiteside.org/"} +{"d:Title": "Blackley, Jim", "d:Description": "Drum teacher. Includes biography, editorials, book excerpts, and news.", "topic": "Top/Arts/Music/Education/Teachers", "url": "http://jimblackley.com/"} +{"d:Title": "Green, Edward", "d:Description": "Composer and music educator; his teaching method is the Aesthetic Realism of Eli Siegel. Instances of his music criticism and writing on racism are here.", "topic": "Top/Arts/Music/Education/Teachers", "url": "http://www.edgreenmusic.org/"} +{"d:Title": "Music Teachers Australia", "d:Description": "Your contact with professional music and speech educators throughout Australia.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.musicteachers.com.au/"} +{"d:Title": "PrivateLessons.com", "d:Description": "A large directory where you can find teachers for any instrument and music topic.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.privatelessons.com/"} +{"d:Title": "Piano Teachers", "d:Description": "A directory of piano teachers, with contact information, from United States and some around the world.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.pianoteachers.com/"} +{"d:Title": "Musicteachers.co.uk", "d:Description": "Provides help for music teachers as well as people searching for a tutor or accompanist in the UK. Includes a selection of free music education resources.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.musicteachers.co.uk/"} +{"d:Title": "Pace Teacher Directory", "d:Description": "Teachers who feature the Robert Pace Method of Piano Instruction. Also discussion list for Pace Teachers, as well as references, resources and workshops related to the Pace Method.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.renoweb.net/rlam/teachdir.htm"} +{"d:Title": "Musical Services", "d:Description": "Musical services in Hampshire including lessons, music in schools, accompanists, arrangements and musical scholarships.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.freewebs.com/musicmuses/"} +{"d:Title": "Registry of Guitar Tutors", "d:Description": "It was established in 1992 with the aims of improving the status and profile of guitar teachers. Directories of Guitar, Classic Guitar and Bass Tutors in UK and worldwide.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.rgt.org/"} +{"d:Title": "Piano Teachers", "d:Description": "A list of qualified piano teachers teaching music on piano and keyboard in the United Kingdom.", "topic": "Top/Arts/Music/Education/Teachers/Directories", "url": "http://www.piano-tuners.org/piano_teachers/"} +{"d:Title": "Opera for the Young", "d:Description": "Engages and educates children about opera with professional, school-based performances, involving students in performance and production. Available throughout US although specializing in Midwest area.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://www.operafortheyoung.org/"} +{"d:Title": "Children's Music Network", "d:Description": "Nonprofit organization offering music education links for teachers and parents.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://www.childrensmusic.org/"} +{"d:Title": "Music Together", "d:Description": "Early childhood music program stressing adult involvement in mixed-age classes involving singing, moving, chanting, listening, and exploring musical instruments, founded in 1987. Locator, history, articles.", "topic": "Top/Arts/Music/Education/Youth", "url": "https://www.musictogether.com/"} +{"d:Title": "Kindermusik International, Inc.", "d:Description": "Publishes curricula and licenses schools in more than 30 countries to teach music and movement to children from infants to age 7. Founded in Germany, now based in North Carolina. History, instrument and publication sales, school locator.", "topic": "Top/Arts/Music/Education/Youth", "url": "https://www.kindermusik.com/"} +{"d:Title": "So This Is Opera", "d:Description": "Michigan-based lyric soprano and music educator Yvette Lewis performs program introducing children to opera in schools. Testimonials and press, artist-in-residence program, links.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://www.operakids.com/"} +{"d:Title": "Music for Young Children", "d:Description": "Instruction in keyboard, singing, solfege, rhythm, ear training, sight reading, theory, history, and composing for children to age 11 at schools in North America, Asia and Oceania. Teacher list.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://www.myc.com/"} +{"d:Title": "Musikgarten", "d:Description": "Offers training for early childhood music teachers in North America and Asia. Studios, instruments, classroom materials, and workshops.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://www.musikgarten.org/"} +{"d:Title": "Dallas Symphony for Kids", "d:Description": "Games, music, classroom activities, and information about going to the symphony.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://www.dsokids.com/"} +{"d:Title": "Makin' Music", "d:Description": "Arkansas company offering home school music instruction and workshops for early childhood music teachers at Arkansas Tech.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://makinmusic.net/"} +{"d:Title": "DataDragon: Music Education", "d:Description": "Provides tutorials and guides, on musical styles, music history, and instruments, with audio examples.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://datadragon.com/education/"} +{"d:Title": "The Musical Brain", "d:Description": "Explains the brain's reaction to music in terms suitable for both children and scholars. Brightly colored illustrations, bibliography.", "topic": "Top/Arts/Music/Education/Youth", "url": "http://faculty.washington.edu/chudler/music.html"} +{"d:Title": "Almanac of Classical Music History", "d:Description": "Birth and death dates of composers and performers; first performances of famous works.", "topic": "Top/Arts/Music/History", "url": "http://www.angelfire.com/biz/acousticdigest/almanac.html"} +{"d:Title": "Medieval Music and Arts Foundation", "d:Description": "Early Western and traditional non-Western music: Recording suggestions, discussion, articlesl links.", "topic": "Top/Arts/Music/History", "url": "http://www.medieval.org/"} +{"d:Title": "This Day In Music History", "d:Description": "Daily listing of what happened on this day; extensive introductory tutorial on music notation. (CD sales: affiliate)", "topic": "Top/Arts/Music/History", "url": "http://datadragon.com/day/"} +{"d:Title": "Black History and Classical Music", "d:Description": "Brief overview and biographical notes about conductors and performers in the classical tradition.", "topic": "Top/Arts/Music/History", "url": "http://chevalierdesaintgeorges.homestead.com/History.html"} +{"d:Title": "The Music of Freemasonry", "d:Description": "Masonic influences on Classical music, and music in the Lodge: Notes, MIDI samples, and facsimile pages.", "topic": "Top/Arts/Music/History", "url": "http://www.masonmusic.org/"} +{"d:Title": "International Alliance for Women in Music", "d:Description": "Includes chronology, extensive biographies of obscure musicians, MIDI files, discography, bibliography, and resources.", "topic": "Top/Arts/Music/History", "url": "http://www.iawm.org/"} +{"d:Title": "Corridos Sin Fronteras", "d:Description": "Information from Smithsonian Institute about the native Mexican folk music tradition. Includes a graphical timeline, music samples, videos, guides and background material for teachers.", "topic": "Top/Arts/Music/History", "url": "http://www.corridos.org/"} +{"d:Title": "History in Song", "d:Description": "Includes annotated texts about American history, from Revolutionary War songs to 1960's anti-war protests.", "topic": "Top/Arts/Music/History", "url": "http://www.folkarchive.de/"} +{"d:Title": "Classical Musician Recent Deaths", "d:Description": "(from 1988 to current)", "topic": "Top/Arts/Music/History", "url": "http://perfartists.tripod.com/obits.html"} +{"d:Title": "The Moravian Music Foundation", "d:Description": "Organization preserving and publishing the heritage of Moravian music in Colonial America. Shopping cart with sheet music, recordings, monographs and books.", "topic": "Top/Arts/Music/History/18th_Century_American", "url": "http://www.moravianmusic.org/"} +{"d:Title": "Cecil Sharp in America", "d:Description": "Article about his tour collecting Appalachian folk music.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.mustrad.org.uk/articles/sharp.htm"} +{"d:Title": "Halcyon Days Music", "d:Description": "Midi music collection of early American music of the 19th Century and early 20th Century, complete with scans of sheet music covers and lyrics.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.halcyondaysmusic.com/"} +{"d:Title": "Public Domain Music", "d:Description": "Midis of public domain favorites.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.pdmusic.org/"} +{"d:Title": "Dr. Horsehair", "d:Description": "Recordings and banjo instruction books in the modern-day clawhammer banjo or frailing style and the old-time minstrel banjo stroke style.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.drhorsehair.com/"} +{"d:Title": "Cowboy Songs", "d:Description": "A reference library of classic western and cowboy songs.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://lonehand.com/cowboy_songs.htm"} +{"d:Title": "John Henry - The Steel Driving Man", "d:Description": "Analysis of songs featuring the folk hero, including lyrics, sound files, and background.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.ibiblio.org/john_henry/henry.html"} +{"d:Title": "A Short History of Appalachian Traditional Music", "d:Description": "Article by Debby McClatchy .", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.mustrad.org.uk/articles/appalach.htm"} +{"d:Title": "Till Family Rock Band", "d:Description": "Brief illustrated history of late-19th-century \"rock band\" (playing on stone xylophones).", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.michaeltill.com/"} +{"d:Title": "Spanish-Language Social Music of Southern California", "d:Description": "Article about the preservation of the music and dances of 19th Century California.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.beardshampoo.com/Loscalifornios/History.html"} +{"d:Title": "Historic American Sheet Music", "d:Description": "The Rare Book, Manuscript, and Special Collections Library at Duke University: Major collection of sheet music; digital images of 3042 pieces published in the US, 1850-1920.", "priority": "1", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://library.duke.edu/digitalcollections/hasm/"} +{"d:Title": "American Music Preservation", "d:Description": "Robert Lee Hall includes articles on several aspects of American music.", "topic": "Top/Arts/Music/History/19th_Century_American", "url": "http://www.americanmusicpreservation.com/"} +{"d:Title": "Civil War Music Site", "d:Description": "A source for civil war songs, fife and drum music, bugle calls, MIDIs, forum, and photographs.", "topic": "Top/Arts/Music/History/19th_Century_American/Civil_War", "url": "http://www.civilwarmusic.net/"} +{"d:Title": "The Civil War Music Store", "d:Description": "Online merchant sells recordings and lyrics of Civil War Songs.", "topic": "Top/Arts/Music/History/19th_Century_American/Civil_War", "url": "http://www.civilwarmusicstore.com/"} +{"d:Title": "The Americus Brass Band", "d:Description": "Americus, Georgia: features historical background, discography with sound samples, and reviews.", "topic": "Top/Arts/Music/History/19th_Century_American/Civil_War/Bands", "url": "http://www.americusbrassband.org/"} +{"d:Title": "2nd South Carolina String Band", "d:Description": "Includes band information, discography, performance calendar (mostly in the Chesapeake bay area).", "topic": "Top/Arts/Music/History/19th_Century_American/Civil_War/Bands", "url": "http://www.civilwarband.com/"} +{"d:Title": "Fifth Michigan Regimental Band", "d:Description": "Information on the original band, current band, their instruments, events, and recordings.", "topic": "Top/Arts/Music/History/19th_Century_American/Civil_War/Bands", "url": "http://www.mi5th.org/"} +{"d:Title": "1st Brigade Band website", "d:Description": "This is the official website of Heritage Military Music Foundation and The First Brigade Band, America's oldest Civil War brass band.", "topic": "Top/Arts/Music/History/19th_Century_American/Civil_War/Bands", "url": "http://1stbrigadeband.org/"} +{"d:Title": "33rd Illinois Volunteer Regiment Band", "d:Description": "Recreating the band that mustered at State Normal University in 1861: features history of original and today's group, instruments played, audio clips, memorabilia, and events calendar.", "topic": "Top/Arts/Music/History/19th_Century_American/Civil_War/Bands", "url": "https://33rdband.com/"} +{"d:Title": "Belgian Pop and Rock Archives", "d:Description": "History and current state of pop and rock in Belgium, including biographies, discographies and real-audio samples. Users can sell or trade records, add concert or record reviews.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://houbi.com/belpop/"} +{"d:Title": "History of Modern Day Music at Music Manna", "d:Description": "Brief notes on jazz, musicals, and pop/rock.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://members.tripod.com/musicmanna/"} +{"d:Title": "Oldies Music Calendar", "d:Description": "Information on births, deaths and events in pop music from the 1950s, 1960s and 1970s. Updated weekly.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://www.oldiesmusic.com/cal.htm"} +{"d:Title": "Fifties Forever", "d:Description": "A light look at the music, movies, TV and cars of the 1950s. Includes photos and trivia.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://www.angelfire.com/biz3/nostalgia/index.html"} +{"d:Title": "The NPR 100", "d:Description": "The one hundred most important American musical works of the 20th century. Includes audio of the radio programs and of the songs.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://www.npr.org/programs/specials/vote/list100.html"} +{"d:Title": "A History of 20th Century Popular Music", "d:Description": "A history of popular music during the 20th century.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://www.scaruffi.com/history/preface.html"} +{"d:Title": "Pioneer Troubadours", "d:Description": "Reality show series of musicians on the road discussing music history.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://www.pioneertroubadours.com/"} +{"d:Title": "Yu-Mex: Mexican Music in Fifties Yugoslavia", "d:Description": "Includes background, performers, song lyrics and MP3s.", "topic": "Top/Arts/Music/History/20th_Century_Pop", "url": "http://www.mihamazzini.com/ovitki/default.html"} +{"d:Title": "The Scarlatti Project", "d:Description": "Researching and recordings of the vocal and keyboard music of the Italian composer Alessandro Scarlatti.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.scarlattiproject.com/"} +{"d:Title": "Baroque Aria", "d:Description": "Dedicated to the operatic, cantata, oratorio, serenta and drama arias, this site presents editions, articles and discussions on the subject.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.baroquearia.co.uk/"} +{"d:Title": "The Baroque Cantata", "d:Description": "Devoted to the baroque cantata repertoire (17th century and 18th century), with articles and editions within the genre.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.baroquecantata.com/"} +{"d:Title": "Baroque Music.com", "d:Description": "[fan site] Brief introduction to the music, major composers, woodwind instruments; a few MIDI samples.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://baroque-music.com/"} +{"d:Title": "Music of the Augustan Age: Historical Background", "d:Description": "Baroque Music in Dresden", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.classical.net/music/comp.lst/articles/dresden/history.html"} +{"d:Title": "Baroque Music UK", "d:Description": "Directory resource for baroque music with a particular bias towards the UK, includes articles and new editions.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.baroque-music.co.uk/"} +{"d:Title": "The Porpora Project", "d:Description": "An investigation into the life and music of Baroque composer Nicola Porpora.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.porporaproject.com/"} +{"d:Title": "Vibrato in Baroque Vocal Music", "d:Description": "Article discussing approaches to \"historical\" performance practice.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://ladyviola1593.tripod.com/musichistory/"} +{"d:Title": "Baroque Music", "d:Description": "Articles, suggested recordings, links, portraits, and music samples by Arton.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.baroquemusic.org/"} +{"d:Title": "NewOlde.com", "d:Description": "Personal site promoting historically-informed performances of early music and baroque opera. Includes reviews, opinion, discographies, and news of recent books and recordings.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://www.newolde.com/"} +{"d:Title": "Society for Seventeenth-Century Music", "d:Description": "Studies and performs of seventeenth-century music and related arts: includes an online journal, calendar of events, and membership information.", "topic": "Top/Arts/Music/History/Baroque", "url": "http://sscm-sscm.org/"} +{"d:Title": "Urological Sciences Research Foundation: Castrati Singers of Italy", "d:Description": "Article about the use of castrated male singers for singing alto in church choirs during 17th and 18th century Italy. RealAudio sample from Allessandro Moreschi. The life story of Carlo Broschi, known as Farinelli.", "topic": "Top/Arts/Music/History/Castrati", "url": "http://www.usrf.org/news/010308-castrati.html"} +{"d:Title": "Castrati and Countertenors", "d:Description": "Chat and forums for people who love the high male voice.", "topic": "Top/Arts/Music/History/Castrati", "url": "http://groups.yahoo.com/group/castratiandcountertenors/"} +{"d:Title": "The Male Soprano Page", "d:Description": "Information and discography on modern singers reviving the lost art of the castrati; includes bibliography, biographies for current and past adult male sopranos.", "topic": "Top/Arts/Music/History/Castrati", "url": "http://www.tp4.rub.de/~ak/disc/"} +{"d:Title": "All You Would Want To Know About the Castrati", "d:Description": "Includes FAQ, pictures and biographies, bibliography, and links.", "topic": "Top/Arts/Music/History/Castrati", "url": "http://www.velluti.org/index.htm"} +{"d:Title": "La Folia, a musical cathedral (1672-2002)", "d:Description": "Derivations of a single theme: scores, MIDI files from 100+ composers.", "topic": "Top/Arts/Music/History/Famous_Works", "url": "http://members.chello.nl/folia/"} +{"d:Title": "Gloomy Sunday", "d:Description": "Two articles about the song, and two different versions of lyrics in English.", "topic": "Top/Arts/Music/History/Famous_Works/Gloomy_Sunday", "url": "http://www.phespirit.info/gloomysunday/"} +{"d:Title": "Urban Legends Reference Pages: Gloomy Sunday", "d:Description": "Was the song banned because it lead to too many suicides?", "topic": "Top/Arts/Music/History/Famous_Works/Gloomy_Sunday", "url": "http://www.snopes.com/music/songs/gloomy.asp"} +{"d:Title": "Society for Self-playing Musical Instruments", "d:Description": "Devoted to the devices and their use, design, history, and sale with links to museums and related organizations [English/Deutsch].", "topic": "Top/Arts/Music/Instruments", "url": "http://www.musica-mechanica.de/"} +{"d:Title": "World Musical Instrument Gallery", "d:Description": "Descriptions, photographs, and some sound samples of instruments in Randy Raine-Reusch's large collection.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.asza.com/ihm.shtml"} +{"d:Title": "Pro-Music-News", "d:Description": "News from the music industry and professional recording and P.A. technology and well as keyboards and percussion product news. [English/Deutsch]", "topic": "Top/Arts/Music/Instruments", "url": "http://www.pro-music-news.com/"} +{"d:Title": "Mechanical Music Digest", "d:Description": "Moderated forum about musical instruments that play themselves. Published daily on the Internet and distributed primarily by e-mail.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.mmdigest.com/"} +{"d:Title": "The Classical Free-Reed, Inc.", "d:Description": "Offers information about free reed instruments including the accordion, bayan, concertina, harmonica, sheng and reed organ. Includes definitions, descriptions, history, articles, reviews and a performers directory.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.ksanti.net/free-reed/"} +{"d:Title": "Musical Instrument Information", "d:Description": "Annotated internet directory, and a help desk for personal assistance with your questions.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.oriscus.com/mi/"} +{"d:Title": "The Institute Of Musical Instrument Technology", "d:Description": "The main professional body covering the music industry.\u00a0Features publication and membership details.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.imit.org.uk/"} +{"d:Title": "Iberian Folk Instruments", "d:Description": "Photographs, descriptions, and audio samples of traditional instruments and music-related images from the Iberian Peninsula.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.tamborileros.com/tradiberia/e_inicio.htm"} +{"d:Title": "Australian Aboriginal Musical Instruments", "d:Description": "Descriptions and images of the didjeridu, bullroarer, gum-leaf, and clapsticks.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.didjshop.com/austrAboriginalMusicInstruments.htm"} +{"d:Title": "Taxonomy of Musical Instruments, by Henry Doktorsi", "d:Description": "Chart based on a 1914 scheme by Sachs and von Hornbostel classifies orchestral, folk, and electronic instruments into families. A second chart maps the free-reed family, which includes harmonicas and concertinas, supported by a scholarly history of free-reeds.", "priority": "1", "topic": "Top/Arts/Music/Instruments", "url": "http://www.ksanti.net/free-reed/description/taxonomy.html"} +{"d:Title": "Musical Automata", "d:Description": "Systematic recording project begun in 1980 documenting mechanical music devices from Vienna and Prague, with CDs available for sale beginning in 1999. Headed by Helmut Kowar of Phonogrammarchiv, the audiovisual research archive of the Austrian Academy of Sciences.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.pha.oeaw.ac.at/Mechanical_Music/"} +{"d:Title": "Experimental Musical Instruments", "d:Description": "Online archives of quarterly journal devoted to interesting and unusual musical instruments and sound sources, along with sales of instruments and guides on how to make and play them.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.windworld.com/"} +{"d:Title": "Frequencies and Ranges", "d:Description": "A table of extremely low musical notes matching frequencies with instruments able to play them. Links to pictures and articles including a subcontrabass clarinet built especially to play C-2, or 4 cycles per second.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.contrabass.com/pages/frequency.html#Db1"} +{"d:Title": "Face Music: Traditional Instruments of the Mongolia People", "d:Description": "Brief descriptions and photographs.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.face-music.ch/instrum/mongolia_instrum.html"} +{"d:Title": "Musical Instrument Reference", "d:Description": "Resource for teachers and students. Modern musical instruments, transposition, concert pitch and best sounding range.", "priority": "1", "topic": "Top/Arts/Music/Instruments", "url": "http://musicarrangers.com/instruments/"} +{"d:Title": "MFA: Musical Instruments", "d:Description": "Photographs and information about instruments in the extensive collection at the Museum of Fine Arts, Boston.", "topic": "Top/Arts/Music/Instruments", "url": "http://www.mfa.org/collections/musical-instruments"} +{"d:Title": "iBreatheMusic.com", "d:Description": "Features music theory articles, forum, lessons in technique, advice and music instruction from professional musicians. Topics include ear training, improvisation, and rhythm.", "topic": "Top/Arts/Music/Instruments/Education", "url": "http://www.ibreathemusic.com/"} +{"d:Title": "Satisfied Mind", "d:Description": "Features directions for making various instruments including a banjo, dulcimer, thumb piano and drum. Also provides basic instruction for learning to play. By musician and educator Carol Raedy.", "topic": "Top/Arts/Music/Instruments/Education", "url": "http://www.satisfied-mind.com/"} +{"d:Title": "Electronic Musician", "d:Description": "Articles cover technical information and product reviews including home recording equipment, drum loops, and audio samples.", "topic": "Top/Arts/Music/Instruments/Electronic", "url": "http://www.emusician.com/"} +{"d:Title": "Music Electronics Archive", "d:Description": "Schematics for some commercially available synthesizers and effects, electronic musical instrument links.", "topic": "Top/Arts/Music/Instruments/Electronic", "url": "http://audible.transient.net/archive/"} +{"d:Title": "Synthtopia", "d:Description": "Electronic music resources. Features forum, news, interviews, music and gear reviews, artists, directory, and downloads.", "topic": "Top/Arts/Music/Instruments/Electronic", "url": "http://www.synthtopia.com/"} +{"d:Title": "Mellotron", "d:Description": "The source for information, new sounds, and replacement parts for Mellotrons and Chamberlins.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Electronic/Mellotrons_and_Optigans", "url": "http://www.mellotron.com/"} +{"d:Title": "Optigan.com", "d:Description": "Dedicated to the OPTIcal orGAN, an instrument which stored its sounds on optical disks.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Electronic/Mellotrons_and_Optigans", "url": "http://www.optigan.com/"} +{"d:Title": "Streetly Electronics: the original Mellotron makers.", "d:Description": "Service and repairs for sick mellotrons. Also a history of the instrument.", "topic": "Top/Arts/Music/Instruments/Electronic/Mellotrons_and_Optigans", "url": "http://www.vemia.co.uk/mellotron/"} +{"d:Title": "Infusion Systems", "d:Description": "Makers of the I-CubeX MIDI sensor control interface", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.infusionsystems.com/"} +{"d:Title": "Controller Zone", "d:Description": "The controller page at Synth Zone", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.synthzone.com/ctrlr.htm"} +{"d:Title": "Chordboard", "d:Description": "CX10 Keyboard Controller sends out preprogrammed chords similar to \"one touch\" chords on a Casio Tone", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.chordboard.com/"} +{"d:Title": "Buchla and Associates", "d:Description": "Makers of the Lightning II and Marimba Lumina controllers.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.buchla.com/"} +{"d:Title": "Optimusic Light to MIDI controller", "d:Description": "Creates note on and off messages depending on where light beams are broken", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.optimusic.com/"} +{"d:Title": "Rubicon Systems", "d:Description": "Makers of hardware and software to turn a Palm Pilot into a MIDI controller", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.rubiconsystems.co.uk/"} +{"d:Title": "The Soundbeam Project", "d:Description": "A device which senses movements by people standing nearby and converts them into MIDI", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.soundbeam.co.uk/"} +{"d:Title": "MIDI Solutions Inc.", "d:Description": "Manufacturer of MIDI processing products.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.midisolutions.com/"} +{"d:Title": "STEIM products: Sensorlab", "d:Description": "Small, general purpose, analog to MIDI interface for the prototyping of musical instruments and interactive control systems.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.steim.org/steim/sensor.html"} +{"d:Title": "Wave Idea", "d:Description": "Makers of the Bitstream Pro universal MIDI controller and providers of schematics and kits.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.midicontroller.com/"} +{"d:Title": "Gulbransen, Inc.", "d:Description": "Makes products which add MIDI capabilities to acoustic pianos.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.qrsmusic.com/gulbransen"} +{"d:Title": "Kenton Electronics", "d:Description": "UK specialists in MIDI to Control Voltage conversion and MIDI control.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers", "url": "http://www.kentonuk.com/"} +{"d:Title": "Thorsten Klose's Homepage", "d:Description": "Information about the MIDIbox Plus.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers/DIY", "url": "http://www.ucapps.de/"} +{"d:Title": "Using PIC Microcontrollers and Basic Stamps", "d:Description": "How to use PICs or Basic Stamps to create custom MIDI devices.", "topic": "Top/Arts/Music/Instruments/Electronic/MIDI_Controllers/DIY", "url": "http://www.audiomulch.com/midipic/"} +{"d:Title": "Synthesizers.com", "d:Description": "Arrick Robotics makes large synthesizer systems with analog circuitry including oscillators, filters and sequencer modules with wood, portable, and rack mounting options. FAQ, technical specifications.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://www.synthesizers.com/"} +{"d:Title": "Synth Zone", "d:Description": "A directory that covers synthesizers and midi controllers, plus relevant music software and support products.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://www.synthzone.com/"} +{"d:Title": "Synth Site", "d:Description": "User reviews of lots of synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://www.sonicstate.com/synth/index.cfm"} +{"d:Title": "Dancetech", "d:Description": "Dedicated to making Dance music in home studios. Samples, synth usage tips and tricks.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://www.dancetech.com/aa_dt_new/index.cfm"} +{"d:Title": "Music Machines", "d:Description": "Offers information on music technology.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://machines.hyperreal.org/"} +{"d:Title": "Synth Fool", "d:Description": "Photo gallery of analog synths, including some schematics.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://www.synthfool.com/"} +{"d:Title": "J\u00f6rg Schmitz Analog Synthesizers", "d:Description": "German engineer offers schematics and tutorials for a variety of analog synthesizers, with pictures of do-it-yourself models he has assembled, and links on microcontrollers and audio music technology.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://www.analog-synth.de/"} +{"d:Title": "Synth and Midi Museum", "d:Description": "Database with photographs of electronic musical instruments.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers", "url": "http://www.synthony.com/museum.html"} +{"d:Title": "Akai", "d:Description": "Musical instruments and pro audio", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Akai", "url": "http://www.akaipro.com/"} +{"d:Title": "Midicase", "d:Description": "Information about the Akai MPC2000XL and a large case for holding midi devices", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Akai", "url": "http://www.midicase.com/"} +{"d:Title": "MPC Tutor", "d:Description": "Tutorials for the Akai MPC2000xl. Also includes a discussion forum and free audio downloads.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Akai", "url": "http://www.mpc-tutor.com/"} +{"d:Title": "Boris' QS Support Page", "d:Description": "For Windows based systems.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Alesis", "url": "http://www.angelfire.com/wi/jazzgod/qs.html"} +{"d:Title": "Alesis Studio Electronics", "d:Description": "Product specifications, images and descriptions, support, manual and sysex downloads, and news.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Alesis", "url": "http://www.alesis.com/"} +{"d:Title": "Sam's Alesis Page", "d:Description": "Custom piano patches for the QS8", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Alesis", "url": "http://www.midiworld.com/quadrasynth/sams.html"} +{"d:Title": "Nord Keyboards", "d:Description": "Product information, software downloads, piano and sample libraries, and support contacts for the range of Nord stage pianos, organs and synthesizers.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clavia", "url": "http://www.nordkeyboards.com/"} +{"d:Title": "Nord Stage Piano Library Archive", "d:Description": "Downloads of various older piano library versions for the Stage.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clavia", "url": "http://www.hotrodmotm.com/stagelibrary/"} +{"d:Title": "Vintage Synth Explorer: Clavia", "d:Description": "Information about, and photographs of, the Nord Lead and Modular range of synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clavia", "url": "http://www.vintagesynth.com/clavia/"} +{"d:Title": "Wikipedia: Clavia", "d:Description": "Articles on the company and some of its products.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clavia", "url": "http://en.wikipedia.org/wiki/Clavia"} +{"d:Title": "Sound Technology: Nord Keyboards", "d:Description": "UK distributor. Product information, news, videos and list of UK dealers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clavia", "url": "http://www.soundtech.co.uk/nord/"} +{"d:Title": "Nord User Forum", "d:Description": "Forum dedicated to the Clavia Nord Keyboards, particularly the Stage, Electric and Piano. Includes space for uploading patches and music.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clavia", "url": "http://www.norduserforum.com/"} +{"d:Title": "Ambient Automat", "d:Description": "A Java based ambient/techno composer.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clones_and_Emulators", "url": "http://www.muffled.dk/automat/"} +{"d:Title": "Access Music Electronics", "d:Description": "Makers of the access-music virus synthesizer - a virtual analog synthesizer", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clones_and_Emulators", "url": "http://www.virus.info/"} +{"d:Title": "Syntecno Homepage", "d:Description": "Home of the TeeBee MIDI module: a TB-303 clone. Includes sound samples, specifications and reviews.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Clones_and_Emulators/TB-303", "url": "http://www.xs4all.nl/~syntecno/"} +{"d:Title": "E-mu / Ensoniq", "d:Description": "Company website: keyboards, sound modules, samplers, digital audio computer music.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/E-mu", "url": "http://www.emu.com/"} +{"d:Title": "Ed's Patch Exchange", "d:Description": "Collection of patches for the P2K.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/E-mu/Proteus_2000", "url": "http://www.webguys.com/joviedo/Patches.html#P2K"} +{"d:Title": "Music Machines: EMS", "d:Description": "Overview from 1969-1979. Information, images, and schematics.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/EMS", "url": "http://machines.hyperreal.org/manufacturers/EMS/"} +{"d:Title": "Old Tech Synth Site - EMS Synthi-E", "d:Description": "Image and data sheet.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/EMS", "url": "http://www.oldtech.com/synth/EMSSynthiE.html"} +{"d:Title": "AvSound", "d:Description": "EPS-16+ Average Sound Generator.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.xs4all.nl/~avg/avsound/avsound.html"} +{"d:Title": "Epsilon", "d:Description": "Wavesample editor for the Ensoniq EPS 16+ / ASR-10.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.xs4all.nl/~avg/epsilon/epsilon.html"} +{"d:Title": "Giebler Enterprises", "d:Description": "Manufacturer and distributor of diskette management and sequencer conversion software for musical keyboards and sequencers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.giebler.com/"} +{"d:Title": "Buchty.net", "d:Description": "Ensoniq SQ-80 page. Software (programs, sounds, ROM dumps), and technical information.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.buchty.net/ensoniq/index.html"} +{"d:Title": "Arno van Goch's Home Page", "d:Description": "Various MS-DOS software for EPS 16+ and ASR-10.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.xs4all.nl/~avg/"} +{"d:Title": "Sonicstate Ensoniq Synth Site", "d:Description": "Articles and links on almost all Ensoniq synths.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.sonicstate.com/synth/cfman.cfm?manid=16"} +{"d:Title": "Electric Factory", "d:Description": "Ensoniq's official distributor for Australia.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.elfa.com.au/"} +{"d:Title": "Syntaur Productions", "d:Description": "Samples, patches, synths, and accessories.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Ensoniq", "url": "http://www.syntaur.com/ensoniq.html"} +{"d:Title": "GEMClub", "d:Description": "Owners club for GEM musical instruments.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Generalmusic", "url": "http://www.gem-club.com/"} +{"d:Title": "Kawai America", "d:Description": "Pianos, digital pianos, and other keyboards", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kawai", "url": "http://www.kawaius.com/"} +{"d:Title": "Kawai Australia", "d:Description": "The Australian office is located in Sydney and services both Australia and New Zealand.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kawai", "url": "http://www.kawai.net.au/"} +{"d:Title": "Blacet Research", "d:Description": "Analog synth kit manufacturer; many odd and useful FracRak-compatible modules.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.blacet.com/"} +{"d:Title": "Synthesis Technology", "d:Description": "Source for CEM chips, MOTM modular sythesizer kits (and mods), tips for DIYers, and Synergy refurb information.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.synthtech.com/"} +{"d:Title": "Dave's Hot Rod MOTM Shop", "d:Description": "Offers a source of mods for SynthTech MOTM modules.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.hotrodmotm.com/"} +{"d:Title": "DIY Oakley Modular Synthesiser", "d:Description": "Site dedicated to construction of a synthesiser based on Oakleysound modules", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.techman.synth.net/"} +{"d:Title": "Jim Patchell's DIY Synth Page", "d:Description": "A site dedicated to those who enjoy building their own electronic musical instruments.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.oldcrows.net/~patchell"} +{"d:Title": "Synthesizer Projects", "d:Description": "Analog synthesizer DIY projects and modifications to vintage synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.colinfraser.com/"} +{"d:Title": "SynthDIY.com", "d:Description": "SynthDIY.com has been put online to gather ALL information about making your own musical instruments. The goal is to have all DIY / Mods / profit / nonprofit products on this site.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.synthdiy.com/"} +{"d:Title": "Bergfotron", "d:Description": "Analog modular synthesizer plans and pictures in great detail.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://hem.bredband.net/bersyn/"} +{"d:Title": "Ken Stone's Modular Synthesizer", "d:Description": "Many unusual circuits for a modular synth. PCBs for sale.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans", "url": "http://www.cgs.synth.net/"} +{"d:Title": "Christopher List's ASM-1 Faceplate Design", "d:Description": "Plans for an alternate front panel", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans/ASM-1", "url": "http://www.gweep.net/~mute/asm-1.html"} +{"d:Title": "ASM-1-SB Music Synthesiser", "d:Description": "A Stripboard version of the ASM-1 music synthesiser, created by Gene Stopp.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kits_and_Plans/ASM-1", "url": "http://synthsite.8m.com/"} +{"d:Title": "KORG USA", "d:Description": "Keyboards and modules, dance tools, digital recording, concert pianos, tuners, metronomes, effect processors.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg", "url": "http://www.korg.com/"} +{"d:Title": "Sayer's Korg 03R/W Site", "d:Description": "Dedicated to the Korg 03R/W rack mount synthesizer. It offers information and sounds for the 03R/W and fosters communication between its users.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg", "url": "http://sayersweb.com/korg03rw/"} +{"d:Title": "korg.nl", "d:Description": "Collection with worldwide information on Korg products and news.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg", "url": "http://www.korg.nl/"} +{"d:Title": "Alchemist", "d:Description": "A Korg Trinity to Triton PCG and SNG converter, plus other tools for Trinity and Triton.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg", "url": "http://alchemist.sourceforge.net/"} +{"d:Title": "SoundTower", "d:Description": "Music Synthesizer Editing Software for Alesis, Korg, Roland, Waldorf, Behringer.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg/Wavestation", "url": "http://soundtower.com/"} +{"d:Title": "Korg Wavestation Information", "d:Description": "Korg Wavestation synthesizer; EX, AD and SR. Information on latest ROM revisions, programming tips, sounds, editors, and links.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg/Wavestation", "url": "http://music4moment.com/Stan/music/wavestation.html"} +{"d:Title": "Wavestation Home", "d:Description": "Dan Phillips' (yes, one of the authors of the Wavestation manuals.) unofficial Wavestation pages.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg/Wavestation", "url": "http://www.danphillips.com/wavestation/"} +{"d:Title": "Wavestation Mailing List and Resource Centre", "d:Description": "Steve Cowan's Wavestation resource centre; including the Wavestation e-mailing list.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg/Wavestation", "url": "http://www.cloudfactory.org/wavestation/"} +{"d:Title": "Wavestation Resource Centre", "d:Description": "Library of resources related to the Korg Wavestation synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg/Wavestation", "url": "http://webhome.idirect.com/~nebula/wsrc.html"} +{"d:Title": "Korg Wavestation SR Review", "d:Description": "Also has links to reviews of the EX and A/D.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Korg/Wavestation", "url": "http://archive.cassiel.com/space/Gearhead/Korg+Wavestation+SR"} +{"d:Title": "Kurzweil Music Systems", "d:Description": "Professional Products (K2600 Series, PC2 Series), Digital Pianos and Digital Ensembles, Percussion Products.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Kurzweil", "url": "http://www.kurzweilmusicsystems.com/"} +{"d:Title": "Keyboard Magazine", "d:Description": "Includes artist interviews, streaming audio, MIDI files, and how-to articles.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Magazines_and_E-zines", "url": "http://www.keyboardmag.com/"} +{"d:Title": "Future Music", "d:Description": "UK-based electronic music magazine.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Magazines_and_E-zines", "url": "http://www.futuremusic.co.uk/"} +{"d:Title": "Doepfer", "d:Description": "Product information on the analog synthesizer and accessory company.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.doepfer.de/"} +{"d:Title": "Buchla and Associates", "d:Description": "Designers of unusual electro-acoustic instrumentation for electronic music.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.buchla.com/"} +{"d:Title": "Synthesis Technology", "d:Description": "Manufacturer of MOTM analog modular synthesizer. Also synthesizer repair and CEM and Kenton sales.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.synthtech.com/"} +{"d:Title": "Synthesizers.com", "d:Description": "Modular Analog Music Synthesizers and keyboards that are low cost with a classic Moog look.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.synthesizers.com/"} +{"d:Title": "Harman International", "d:Description": "Home to Harman/Kardon, iSub, Infinity Systems, JBL.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.harman.com/"} +{"d:Title": "Future Retro Synthesizers", "d:Description": "Makers of the 777, a monophonic analog synthesizer which gets some of the same sounds as the TB303", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.future-retro.com/"} +{"d:Title": "Sound Tower", "d:Description": "Music Synthesizer Editing Software for Alesis, Korg, Waldorf, and Behringer.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://soundtower.com/"} +{"d:Title": "JLCooper", "d:Description": "Offers a complete line of mixing console automation systems, synchronizers, MIDI and computer peripherals.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.jlcooper.com/"} +{"d:Title": "Rob Papen", "d:Description": "Sound Design and Music.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.robpapen.com/"} +{"d:Title": "PAiA Electronics, Inc", "d:Description": "Low cost, high quality, user assembled kits for innovative electronic products. MIDI->Vc and a Theremin are two of their products.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.paia.com/"} +{"d:Title": "MacBeth Studio Systems", "d:Description": "Home of M3X and M5 Analogue Synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.macbethstudiosystems.com/"} +{"d:Title": "Symetrix Homepage frame", "d:Description": "Professional Audio Signal Processing for Recording, Broadcast, and Sound Reinforcement.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.symetrixaudio.com/"} +{"d:Title": "Technosaurus", "d:Description": "Swiss-made analog synthesizers, including modulars", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.technosaurus.ch/"} +{"d:Title": "JuiceGoose", "d:Description": "Power distribution for rack mounted equipment- replace all your \"wallwart\" AC/DC converters with one rack-mounted box.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.juicegoose.com/"} +{"d:Title": "Sequential Circuits", "d:Description": "Electronic Music Synthesizers (Prophet series)", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.winecountrysequential.com/"} +{"d:Title": "JoMoX", "d:Description": "MIDI music equipment - analog synthesizers and drum machines and a MIDI to CV converter", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.jomox.com/"} +{"d:Title": "Metasonix", "d:Description": "Makers of vacuum tube music synthesis, including the Phattytron and Hellfire Modulator", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.metasonix.com/"} +{"d:Title": "Studio Electronics", "d:Description": "Maker of analog synths, including the Omega 8.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.studioelectronics.com/"} +{"d:Title": "Circuitbenders", "d:Description": "Offers customized insane electronic instruments made from rewired Speak&Spell machines, musical toys and sampler modules.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Manufacturers", "url": "http://www.circuitbenders.co.uk/"} +{"d:Title": "Kenton Electronics", "d:Description": "MIDI/CV Converters.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/MIDI_to_CV_Converters", "url": "http://www.kentonuk.com/"} +{"d:Title": "Encore Electronics", "d:Description": "Analog synthesizer products: MIDI-CV Converters and MIDI Retrofits.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/MIDI_to_CV_Converters", "url": "http://www.encoreelectronics.com/"} +{"d:Title": "Doepfer Musicelectronics", "d:Description": "MCV24, MCV4 MIDI-to-CV Gate/Sync Interfaces.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/MIDI_to_CV_Converters", "url": "http://www.doepfer.de/home_e.htm"} +{"d:Title": "Phil Rees Music Tech MIDI to CV", "d:Description": "A UK manufacturer of electronic musical accessories including interfaces for classic analog synths.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/MIDI_to_CV_Converters", "url": "http://www.philrees.co.uk/products/miditocv.htm"} +{"d:Title": "PAiA: midi2cv8 MIDI to CV converter", "d:Description": "New firmware adds Multi assignment, expanded DIN sync and other features.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/MIDI_to_CV_Converters", "url": "http://www.paia.com/midi2cv.asp"} +{"d:Title": "Sound On Sound", "d:Description": "Review of Doepfer's MCV24 multi-channel MIDI-to-CV converter, by Paul Nagle.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/MIDI_to_CV_Converters", "url": "http://www.soundonsound.com/sos/mar00/articles/dorpfermc.htm"} +{"d:Title": "Blacet Research Music Products", "d:Description": "Unusual, high quality, analog synthesizer modules. Assembled and kits. Packaging systems, power supplies, MIDI to sequencer converter ICs.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Modular", "url": "http://www.blacet.com/"} +{"d:Title": "Synthesis Technology: Analog Modular Synthesizer Resource Page", "d:Description": "The Internet resource for building analog modular synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Modular", "url": "http://www.synthtech.com/"} +{"d:Title": "Modcan Modular Synthesizers", "d:Description": "Modcan Synthesizers produces a wide range of analogue synthesizer modules for the electronic musician and sound designer.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Modular", "url": "http://www.modcan.com/"} +{"d:Title": "Wiard", "d:Description": "Makers of high-end analog modular synthesizers. Includes purchase information and MP3 samples.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Modular", "url": "http://www.wiard.com/"} +{"d:Title": "Synthesizers.com", "d:Description": "Modular Analog Music Synthesizers and keyboards that are low cost with a classic Moog look", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Modular", "url": "http://www.synthesizers.com/"} +{"d:Title": "ModularSynth.com", "d:Description": "General information and links to manufacturers", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Modular", "url": "http://www.modularsynth.com/"} +{"d:Title": "MOTM", "d:Description": "High end synthesizer modules in kit or pre-assembled form", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Modular", "url": "http://www.synthtech.com/motm.html"} +{"d:Title": "Moog Endless", "d:Description": "Streaming real-time live Moog sound broadcast via Real Audio", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.cavestudio.com/S+V/moog_endless.html"} +{"d:Title": "The Moog Synthesizer", "d:Description": "Contains schematics for some modular Moog parts scanned from the manual", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://arts.ucsc.edu/ems/music/equipment/synthesizers/analog/moog/Moog.html"} +{"d:Title": "Music Machines: Moog Modular", "d:Description": "Many cool pictures + useful information from mailing list archives and some schematics", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://machines.hyperreal.org/manufacturers/Moog/Modular/"} +{"d:Title": "Interview with Bob Moog", "d:Description": "Bob Moog discusses the MiniMoog", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.symbiosis-music.com/moog.html"} +{"d:Title": "Memorymoog Documents", "d:Description": "Manuals and Service Bulletins", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.retrosynth.com/docs/memorymoog/"} +{"d:Title": "MoogArchives", "d:Description": "A personal collection of rare and obscure photos, documentation, and memorabilia from the R. A. Moog Company and its succeeding companies.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://moogarchives.com/"} +{"d:Title": "Moog Memorymoog Users Page", "d:Description": "Sound archive, schematics, manuals and FAQ dealing with Moog's first polysynth.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.interlogic.jpn.org/memorymoog/"} +{"d:Title": "Sonic State Moog Page", "d:Description": "Product reviews and parts for sale", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.sonicstate.com/synth/cfman.cfm?manID=23"} +{"d:Title": "synthmuseum.com - The Moog Modular Room", "d:Description": "Specifications, pictures and brochures.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.synthmuseum.com/moog/moomod.html"} +{"d:Title": "Moog Music Inc.", "d:Description": "Robert Moog's current company, producing synthesizers, teremins, and accessories.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.moogmusic.com/"} +{"d:Title": "Memorymoog.com", "d:Description": "General information, history, high resolution pictures, user manual, service manual, schematics, tuning tips, and Kenton MIDI install instructions.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "http://www.memorymoog.com/"} +{"d:Title": "Analyzing the Moog VCF with Considerations for Digital Implementation", "d:Description": "Research paper by Tim Stilson and Julius Smith of CCRMA", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Moog", "url": "https://ccrma.stanford.edu/~stilti/papers/"} +{"d:Title": "Novation", "d:Description": "Official site of the manufacturer of MIDI controllers and other audio manipulation interfaces. Includes product and support information, and downloads.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Novation", "url": "http://www.novationmusic.com/"} +{"d:Title": "Analog.no", "d:Description": "Vintage analog synthesizer factory patches and repair information.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://analog.no/"} +{"d:Title": "Greytsounds Sound Development", "d:Description": "Ensoniq, Akai, Roland, and Audio sampling disks and CD-ROMs.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.greytsounds.com/"} +{"d:Title": "TweakHeadz", "d:Description": "Features music and articles by Rich the Tweakmeister, sample CDs, Midifiles, and MP3 files", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://tweakheadz.com/"} +{"d:Title": "ManyMIDI", "d:Description": "Organized and alphabetized synthesizer patch libraries.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.manymidi.com/"} +{"d:Title": "MIDI Mark", "d:Description": "Sounds for Ensoniq, Akai, Roland, and Kurzweil samplers, audio sample CDs, and sampler accessories and equipment.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.midimark.com/"} +{"d:Title": "SoUnDEnGiNe.com", "d:Description": "Contemporary and vintage synthesizer patches, sampler floppies, and CD-ROMS for AKAI, Kurzweil, and Ensoniq hardware samplers, as well as PC and Mac software samplers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.soundengine.com/"} +{"d:Title": "Gehenna.com", "d:Description": "Features a variety of samples and patches for E-mu E4 Samplers and Nord Modular Synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.gehenna.com/"} +{"d:Title": "Kid Nepro", "d:Description": "Synthesizer patches and samples, ROM and RAM Cards, and AIFF/WAV CD-ROMs.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.kidnepro.com/"} +{"d:Title": "Mig Music", "d:Description": "Synthesizer sound collections for Nova/Supernova, Model E, RM1x, and XG synthesizers, plus midi drum patterns and Rebirth sequences.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.migmusic.com/"} +{"d:Title": "Roland Juno-60 Patches", "d:Description": "Factory soundbanks and new original sounds for the Roland Juno-60 synthesizer.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.juno60.htmlplanet.com/"} +{"d:Title": "Pro-Rec", "d:Description": "Synthesizer patches, sample CD-ROMs, and disks for most modern synthesizers and samplers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches", "url": "http://www.pro-rec.com/"} +{"d:Title": "Sound Quest", "d:Description": "Software available includes 'Midi Quest' which stores, organizes, and edits data from MIDI instruments and devices.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches/Editor_Librarians", "url": "http://www.squest.com/"} +{"d:Title": "October28 Software", "d:Description": "Shareware software for Korg M1, M3R and a generic sysex manager. There is also a database of system exclusive messages.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches/Editor_Librarians", "url": "http://www.october28.com/default.asp"} +{"d:Title": "NoiZe", "d:Description": "Graphical MIDI patch editor and librarian that stores, organizes, and edits system exclusive data for MIDI devices.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Patches/Editor_Librarians", "url": "http://www.terzoid.com/noize/index.html"} +{"d:Title": "The Juno-106 Connection", "d:Description": "Some facts and links about Juno-106 synth at a site that looks like it was designed with an analog synth in mind.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland", "url": "http://www.hinzen.de/midi/juno-106/"} +{"d:Title": "Synthcom Page", "d:Description": "SynthCom has patches for free and \"Europa\" upgrade for the Roland Jupiter 6 under development.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland", "url": "http://www.synthcom.com/"} +{"d:Title": "Roland JD-800 Synthesizer", "d:Description": "Complete listing of all waveforms and patches for the JD-800 Sound Library Expansion Cards - SL-JD80. Includes Cakewalk definition files for each card:Standard Drums and Percussion, Dance, Rock Drums, String Ensemble, Brass Section, Grand Piano, Guitar Sounds, and Accordion.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland", "url": "http://jd-800.tripod.com/"} +{"d:Title": "Roland XP/JV Synthesizers", "d:Description": "Roland XP50/80/30/60 and JV1080/2080/1010 resources, links, information, and sound samples.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland", "url": "http://rolandjvxp.szm.com/"} +{"d:Title": "Roland Corporation", "d:Description": "Home of Roland, Boss, Rodgers, and Edirol products.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland", "url": "http://www.roland.com/"} +{"d:Title": "Defective Records: MC-202 Hack", "d:Description": "A java application to convert sequence information in standard MIDI files (SMF) into a format compatible with Roland MC-202 sequences.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland", "url": "http://www.defectiverecords.com/mc202hack/"} +{"d:Title": "The TB-303 Operation Manual", "d:Description": "Full manual online (also downloadable in ZIP format).", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland/TB-303", "url": "http://www.artofcolor.com/tb303/"} +{"d:Title": "Review Page: Roland TB-303", "d:Description": "ROLAND TB-303 BassLine", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland/TB-303", "url": "http://www.update.uu.se/~vick/303.html"} +{"d:Title": "Devil Fish", "d:Description": "Modifications for the TB-303", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland/TB-303", "url": "http://www.firstpr.com.au/rwi/dfish/"} +{"d:Title": "Acid.ch", "d:Description": "TB-303 modification page, TB-303 link list, aluminium cases for the TB-303.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Roland/TB-303", "url": "http://www.acid.ch/"} +{"d:Title": "Demas", "d:Description": "Hardware and software for the Synclavier.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Synclavier", "url": "http://www.synclavier.com/"} +{"d:Title": "Keyboard Capers", "d:Description": "Social club for Technics owners based in Swindon, Wiltshire, United Kingdom.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Technics", "url": "http://www.keyboardcapers.com/"} +{"d:Title": "Vintage Synth Explorer", "d:Description": "An evergrowing source of images, sound bytes, reviews and links for over 150 popular vintage and retro-vintage synthesizers.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.vintagesynth.com/"} +{"d:Title": "Synth Museum", "d:Description": "The largest online museum of synthesizers and other vintage electronic instruments.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.synthmuseum.com/"} +{"d:Title": "The Audities Foundation", "d:Description": "A collection of instruments dedicated to the preservation of electronic musical instruments and the documentation associated with them for use in museums, recording studios, modern instrument research and new music works.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.audities.org/"} +{"d:Title": "RetroSynth", "d:Description": "NewsFlash - what's new about old synthesizers", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.retrosynth.com/"} +{"d:Title": "The Linn 9000", "d:Description": "Specifications, tips, tricks and howtos for this vintage drum machine and MIDI sequencer.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.totaltrash.com/linn9000/"} +{"d:Title": "IOTech", "d:Description": "Analog synthesizer repair center in Norway. Also has information about filters on various synths and modifications to the Roland MKS-30", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://analog.no/"} +{"d:Title": "Rhodes Chroma", "d:Description": "Resources for the Rhodes Chroma analog synthesizer.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.rhodeschroma.com/"} +{"d:Title": "The Arp Odyssey Information Resource Page", "d:Description": "Information, links and technical information regarding the Arp Oddyssey.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.arpodyssey.com/"} +{"d:Title": "RetroSound", "d:Description": "Pictures, samples and resources about vintage synths and drum machines.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.retrosound.de/"} +{"d:Title": "Obsoletetechnology", "d:Description": "Synthesizers, electronic music, repairs and DIY.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://obsoletetechnology.wordpress.com/"} +{"d:Title": "Lo-Res Recording and Distribution", "d:Description": "Rescue kits used to repair vintage Casio, Kawai, Korg, Kurzweil, Roland, Yamaha instruments.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.lo-res.com/"} +{"d:Title": "Synthesizer Database", "d:Description": "Pictures, information, specifications and resources relating mainly to vintage synthesizers and drum machines.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.sequencer.de/"} +{"d:Title": "Russian Vintage Synthesizers", "d:Description": "Manuals, sound samples and information about vintage Russian synthesizers.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Vintage", "url": "http://www.oocities.org/rusynth/"} +{"d:Title": "Yamaha PSR-400/500 Users Page", "d:Description": "For all those faithful PSR-400 and PSR-500 users out there, we have created some files and links to expand the features of this keyboard. (Tripod page with pop-up ads.)", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://members.tripod.com/~proclus/PSR-x00/psr.html"} +{"d:Title": "Electone Zone", "d:Description": "Resources for the Yamaha Electone.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://www.electone.com/"} +{"d:Title": "Yamaha Discussions on The Gas Station", "d:Description": "A discussion site dedicated to electronic music with a large (>2000 threads) section dedicated to Yamaha synths.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://www.the-gas-station.com/threads.cfm?Topic_ID=21&Filtered=no&LastDays=60"} +{"d:Title": "RM1x Dance Sounds Collection", "d:Description": "Dance sounds for sale. Also links, resources, reviews and tips.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://www.migmusic.com/rm1x.htm"} +{"d:Title": "Japan Official Yamaha DJX Users Group", "d:Description": "A Yamaha DJX Keyboard and DJ Box Users Group with forums, bulletin board, tips, support, technical information, links, downloads.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://page.freett.com/newfriends/"} +{"d:Title": "DJX Mailing List", "d:Description": "Discuss and share strategies in using the Yamaha DJX keyboard to create primarily dance music.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://groups.yahoo.com/group/DJX/"} +{"d:Title": "Yamaha Corporation of America", "d:Description": "Manufacturer's website: digital instruments and keyboards.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://www.yamaha.com/yamahavgn/CDA/Home/YamahaHome/"} +{"d:Title": "Electone Disk Database", "d:Description": "Provides a community for exchange of electone books, and also the disk data.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://eldata.org/"} +{"d:Title": "The Unofficial Independent Yamaha QS300 Resources Site", "d:Description": "Everything for the Yamaha QS300 synthesizer and XG, MIDI and music. Free downloads of voices, patterns, styles, programs and information related to the Yamaha QS300. Songs and classified ads.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha", "url": "http://webspace.webring.com/people/jt/the_qs300_website/"} +{"d:Title": "CS1x on sonicstate", "d:Description": "some user reviews.", "topic": "Top/Arts/Music/Instruments/Electronic/Synthesizers_and_Samplers/Yamaha/CS1x", "url": "http://www.sonicstate.com/synth/yamaha_cs1x.cfm"} +{"d:Title": "Moog Music", "d:Description": "Owned and operated by Robert Moog, manufactures theremins and other high-quality electronic musical instruments.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin", "url": "http://www.moogmusic.com/"} +{"d:Title": "Theremin.info", "d:Description": "Buy a theremin, music, books, CDs, and a large archive of related materials, including a number of sound files to download.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin", "url": "http://theremin.info/"} +{"d:Title": "Theremin World", "d:Description": "Theremin information including bands who use them, photos and sound, message boards, where to buy theremins, how to build theremins, and a growing registry of the original RCA models.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin", "url": "http://www.thereminworld.com/"} +{"d:Title": "The Musical Saw and Theremin Page", "d:Description": "Information about theremins and musical saws. History, news, soundfiles, and upcoming performances.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin", "url": "http://www.theremin-saw.com/"} +{"d:Title": "Thereminvox.com", "d:Description": "Articles and interviews about the theremin and other electronic musical instruments, a theremin MP3 library, a theremin web directory and a shop.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin", "url": "http://www.thereminvox.com/"} +{"d:Title": "Theremin Enthusiasts Club International", "d:Description": "Information about the club and the instrument.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin", "url": "http://theremin.ca/"} +{"d:Title": "Art's Theremin Page", "d:Description": "Explains the technical perspectives of the electronic musical instrument and provides comprehensive plans for building one.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin", "url": "http://www.theremin.us/"} +{"d:Title": "atelierTheremin", "d:Description": "Experimental music project from Berlin based on avant-garde analog electronics, overtone singing and psychedelic steel guitars. Information on concert performances, biography, releases and reviews.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin/Performers", "url": "http://www.ateliertheremin.org/"} +{"d:Title": "Peter Pringle", "d:Description": "Official web site of Canadian musician and professional thereminist Peter Pringle. Contains mp3s, videos, information on historical theremins and his first theremin CD for sale.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin/Performers", "url": "http://www.peterpringle.com/"} +{"d:Title": "Lydia Kavina, Theremin Virtuosa", "d:Description": "The official website of Lydia Kavina, Russian professional thereminist, master teacher and composer.", "topic": "Top/Arts/Music/Instruments/Electronic/Theremin/Performers", "url": "http://www.lydiakavina.com/"} +{"d:Title": "Doepfer A-129", "d:Description": "Modular 13-band vocoder designed for the A100 modular synthesis system.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders", "url": "http://www.doepfer.de/a129e.htm"} +{"d:Title": "PAiA Vocoder", "d:Description": "Inexpensive rack mount kit designed by Craig Anderton.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders", "url": "http://www.paia.com/vocoder.asp"} +{"d:Title": "Wendy Carlos Vocoder Q&A", "d:Description": "Wendy Carlos introduced the vocoder to the world with her soundtrack to A Clockwork Orange. Here, she offers her opinions on the instrument.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders", "url": "http://www.wendycarlos.com/vocoders.html"} +{"d:Title": "Synth Secrets: An Introduction to ESPS and Vocoders", "d:Description": "A guide to using vocoders, written by Gordon Reid for Sound on Sound magazine.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders", "url": "http://www.soundonsound.com/sos/jul00/articles/synthsec.htm"} +{"d:Title": "How to Make Your Sound Sing with Vocoders", "d:Description": "A guide to using vocoders, written by Jim Aikin for O'Reilly.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders", "url": "http://digitalmedia.oreilly.com/2006/03/29/vocoder-tutorial-and-tips.html"} +{"d:Title": "4ormulator Vocoder Extreme", "d:Description": "Commercial vocoder for Windows with free demo. Supports more than 500 filters, formant manipulation and LFO control.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders/Software", "url": "http://www.vocoder-plugins.com/"} +{"d:Title": "AnalogX Vocoder", "d:Description": "Free multiband standalone vocoder for Windows.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders/Software", "url": "http://www.analogx.com/contents/download/audio/vocoder.htm"} +{"d:Title": "Cylonix", "d:Description": "18-band standalone vocoder for Windows.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders/Software", "url": "http://www.cim.mcgill.ca/~clark/cylonix.html"} +{"d:Title": "Settel Vocoder", "d:Description": "16-band standalone and LADSPA plugin for Linux.", "topic": "Top/Arts/Music/Instruments/Electronic/Vocoders/Software", "url": "http://www.sirlab.de/linux/descr_vocoder.html"} +{"d:Title": "BBC Radio: Indian Instruments", "d:Description": "Introduction to the tabla, dhol drum, and sitar.", "topic": "Top/Arts/Music/Instruments/Indian", "url": "http://www.bbc.co.uk/radio3/world/onyourstreet/thestreet/india/india_instruments.shtml"} +{"d:Title": "Indian Melody: Instruments In Indian Music", "d:Description": "Introduction with descriptions and photographs.", "topic": "Top/Arts/Music/Instruments/Indian", "url": "http://www.indianmelody.com/musicinstruments.htm"} +{"d:Title": "Sudeep Audio: Folk Instruments of India", "d:Description": "Descriptions, photographs, and audio samples.", "topic": "Top/Arts/Music/Instruments/Indian", "url": "http://www.sudeepaudio.com/folk/folkinst.htm"} +{"d:Title": "The Jew's Harp Guild", "d:Description": "How to play, newsletter, discussion group, details about its annual festival, and store.", "topic": "Top/Arts/Music/Instruments/J/Jew's_Harp", "url": "http://www.jewsharpguild.org/"} +{"d:Title": "Japanese Flutes", "d:Description": "How to make and play a variety of flutes. Includes a directory of related links. [Japanese/English]", "topic": "Top/Arts/Music/Instruments/Japanese", "url": "http://www.asahi-net.or.jp/~dl1s-ymgc/"} +{"d:Title": "Japanese Culture: Musical Instruments", "d:Description": "An introduction to the traditional drums, stringed instruments, and flutes of Japan.", "topic": "Top/Arts/Music/Instruments/Japanese", "url": "http://www.japan-zone.com/culture/instrument.shtml"} +{"d:Title": "Indo-Japanese Music Exchange Association", "d:Description": "Promoting opportunities for Japanese and Indian musicians to observe, study and appreciate the classical music of their counterparts. Workshops and performances have included playing Indian classical music on shakuhachi and ragas on shakuhachi and koto. Profile and contact information.", "topic": "Top/Arts/Music/Instruments/Japanese/Organizations", "url": "http://www.shakuhachi.com/G-IJMEA.html"} +{"d:Title": "miniorgan.com", "d:Description": "Online museum of lost organs and childish synthesizers such as the Stylophone (1968) and the Elektronik Orgel (1982), by makers including Mattel and Casio, with photos and audio.", "topic": "Top/Arts/Music/Instruments/Keyboard", "url": "http://www.miniorgan.com/"} +{"d:Title": "The Bate Collection of Musical Instruments", "d:Description": "Includes historic keyboard instruments", "topic": "Top/Arts/Music/Instruments/Keyboard/Early", "url": "http://www.bate.ox.ac.uk/"} +{"d:Title": "Cobbe Collection, The", "d:Description": "Historic keyboard instruments with composer connections. Recital programme.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early", "url": "http://www.cobbecollection.co.uk/"} +{"d:Title": "Finchcocks Living Museum of Music.", "d:Description": "Historical keyboard instruments in stately home in Kent. Concert programmes.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early", "url": "http://www.finchcocks.co.uk/"} +{"d:Title": "Italian Keyboard Instruments", "d:Description": "Maker of reconstructions of early pianos and harpsichords. Articles on history of different regional styles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early", "url": "http://www.denzilwraight.com/"} +{"d:Title": "World Wide Keyboard Bank.", "d:Description": "Historical keyboard instruments worldwide. Links to builders. Instruments for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early", "url": "http://wwkbank.harpsichord.be/"} +{"d:Title": "Compass", "d:Description": "History and development of the compass of keyboard instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early", "url": "http://www.piano-tuners.org/history/compass.html"} +{"d:Title": "WebRing: Harpsichord and Clavichord", "d:Description": "Collection of websites relating to early keyboard instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early", "url": "http://www.webring.org/hub?ring=hpsiclavi"} +{"d:Title": "Vogel-Scheer", "d:Description": "German maker of a range of instruments. Tips on voicing bird quill, rose-making and re-stringing.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Builders", "url": "http://www.vogel-scheer.de/"} +{"d:Title": "Paul Y Irvin Instrument Maker", "d:Description": "Early Keyboard Instruments and professional services.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Builders", "url": "http://www.pyirvin.com/"} +{"d:Title": "The Music Room Workshop", "d:Description": "Makers and Restorers of Early Keyboard Instruments. New Harpsichords, Spinets, Clavichords and antique Square Pianos for sale. Restoration service for all early keyboard instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Builders", "url": "http://musicroomworkshop.co.uk/"} +{"d:Title": "Yahoo! Groups : Clavichord.", "d:Description": "Forum for makers, players and enthusiasts of the clavichord.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Clavichord", "url": "http://groups.yahoo.com/group/clavichord/"} +{"d:Title": "Making a Clavichord", "d:Description": "Account of building a clavichord after NM 264.785 in the Musikmuseum, Stockholm.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Clavichord", "url": "http://www.tabulatura.com/clavcho.htm"} +{"d:Title": "The Boston Clavichord Society", "d:Description": "Instrument description, performance technique and history with numerous links", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Clavichord/Organizations", "url": "http://www.bostonclavichord.org/"} +{"d:Title": "Moir Fortepiano Duo.", "d:Description": "Biographies, fortepiano sound clips, articles and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.fortepiano.ca/"} +{"d:Title": "Hood, Margaret", "d:Description": "Copies of Viennese Fortepianos after Nannette Streicher, made by Margaret Hood. Detailed descriptions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.fortepianos.pair.com/"} +{"d:Title": "Swenson's Piano&Fortepianos Home Page.", "d:Description": "Articles on history, restoration and maintenance of early pianos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.mozartpiano.com/"} +{"d:Title": "Thomas Winter Early Pianos", "d:Description": "San Francisco based builder and restorer of fortepianos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.winterearlypianos.com/"} +{"d:Title": "Music Room Antiques", "d:Description": "Specialist in the restoration and sale of square pianos and related musical antiques.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.squarepiano.net/"} +{"d:Title": "Period Piano Company", "d:Description": "Restorers and dealers of antique grand, square, and upright pianos and fortepianos. Antique piano stools, music stands and music cabinets.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.periodpiano.com/"} +{"d:Title": "Leonhardt, Trudelies", "d:Description": "Fortepianist performing Beethoven, Schubert, Schumann. MP3 clips, discography and a description of a Seidner fortepiano c 1820. Site available in English, French and German.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.tleonhardt.ch/"} +{"d:Title": "History of John Broadwood&Sons Ltd.", "d:Description": "History of the company with details on past models back to 1782.", "topic": "Top/Arts/Music/Instruments/Keyboard/Early/Fortepiano", "url": "http://www.piano-tuners.org/broadwood/hb_wood.html"} +{"d:Title": "The Cool Keys Page", "d:Description": "Information on music that uses Fender Rhodes, Wurlitzer or other electric pianos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Electric_Pianos", "url": "http://www.contraplex.demon.co.uk/"} +{"d:Title": "Hohner Clavinet Resource Home", "d:Description": "Provides information on clavinet keyboards, includes many sound samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Electric_Pianos", "url": "http://www.gti.net/junebug/clavinet/"} +{"d:Title": "Harpsichords and Related Topics", "d:Description": "Open forum for topics related to harpsichords, clavichords, fortepianos and virginals. Archives and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www.albany.edu/hpschd-l/"} +{"d:Title": "The Instrument Workshop", "d:Description": "Parts and supplies for early keyboard instruments and other non-bowed stringed instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www.fortepiano.com/"} +{"d:Title": "Sounds of Harpsichords", "d:Description": "Audio samples of different styles of instruments. Comparisons to other period instruments with similar sound.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www-personal.umich.edu/~bpl/hpsi.html"} +{"d:Title": "Baroque Music: Harpsichord", "d:Description": "The baroque harpsichord and its music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www.baroque-music.com/frames/info/hc.shtml#hc"} +{"d:Title": "British Harpsichord Society", "d:Description": "Free membership. Includes membership information and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www.harpsichord.org.uk/"} +{"d:Title": "Four-Wheel Drive Harpsichords", "d:Description": "To boldly go where no harpsichord has gone before. Humorous site.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www.tinyradio.com/4WDSTRIKEHPD.htm"} +{"d:Title": "Elisabetta Lanzoni - Harpsichord Painter", "d:Description": "Pictures of harpsichords decorated by the Artist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www.elisabettalanzoni.com/"} +{"d:Title": "An Italian Virginal", "d:Description": "Report of the design and construction of an Italian virginal.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord", "url": "http://www.earlykeyboards.eu/blue/virginal/"} +{"d:Title": "William Horn", "d:Description": "Custom building, repair and restoration of harpsichords, spinets, virginals, clavichords, fortepianos, and claviorgans.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.williamhorn.it/"} +{"d:Title": "Neupert, J.C. - Historical Keyboard Maker", "d:Description": "Maker of Harpsichords, Spinets, Fortepianos, and Clavichords in Bamberg, Germany, founded in 1868.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.jc-neupert.de/"} +{"d:Title": "Pfaff, Ambrosius", "d:Description": "Maker of harpsichords and clavichords. Piano restorations in Locarno, Czech Republic.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.cembalobau.ch/"} +{"d:Title": "Beebe, Carey - Harpsichords Australia", "d:Description": "Harpsichord, clavichord and fortepiano construction, restoration, maintenance, tuning and hire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.hpschd.nu/"} +{"d:Title": "Harpsichord Clearing House", "d:Description": "Makers and dealers of early keyboard instruments: harpsichords, virginals, clavichords, continuo organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.harpsichord.com/"} +{"d:Title": "Zuckermann Harpsichords International", "d:Description": "Custom instruments signed 'D. Jacques Way'.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://zhi.net/"} +{"d:Title": "Peters, Jack - Harpsichords", "d:Description": "Harpsichord and clavichord builder specializing in lightweight, transportable instruments from authentic designs. Seattle, Washington.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.jackpeters.com/"} +{"d:Title": "Atelier Marc Ducornet Paris", "d:Description": "Harpsichord, clavichord and fortepiano construction, restoration, maintenance, tuning and hire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.ateliermarcducornet.com/"} +{"d:Title": "Kubota, Akira", "d:Description": "Harpsichords built mainly after historical Flemish instruments, typically the Ruckers and Couchet family.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.bekkoame.ne.jp/~sakazaki/eki/kubota/index-e.html"} +{"d:Title": "Hubbard Harpsichords", "d:Description": "Historically-informed keyboard instruments in finished and kit form. Photos and descriptions of instruments, kit information, books, CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.hubharp.com/"} +{"d:Title": "Self, Gerald - Harpsichords", "d:Description": "San Antonio, Texas, builder of harpsichords and clavichords.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.gselfharpsichords.com/"} +{"d:Title": "Kottick, Edward: Harpsichord Maker and Author", "d:Description": "Agent for Zuckermann Harpsichords in Iowa, lecturer and author of The Harpsichord Owner's Guide.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://kottick.com/"} +{"d:Title": "Boinnard, David", "d:Description": "Maker of Harpsichords, Clavichords, spinets and clavicytherium, in Foucaucourt sur Thabas, France.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://david.boinnard.free.fr/"} +{"d:Title": "Barnes, Peter", "d:Description": "Making and restoring harpischords since 1974. Photos of instruments for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.aviolin.com/peterbarnes.html"} +{"d:Title": "Dudash, Carl", "d:Description": "Harpsichord design, construction, decoration and restoration from Norfolk, Conn.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.vintageperformance.com/cdh/"} +{"d:Title": "Rose, Malcolm - Harpsichords", "d:Description": "Sales of instruments, theory book, strings. standard and custom designs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.malcolm-rose.com/"} +{"d:Title": "Sorli, Steven", "d:Description": "Maker of Harpsichords and Lautenwerk, a plucked keyboard instrument with lute strings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.lautenwerk.com/"} +{"d:Title": "Garlick, Andrew", "d:Description": "Specialises in French and Flemish harpsichords using authentic materials and period decoration. Somerset, UK.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.garlick-harpsichords.co.uk/"} +{"d:Title": "Winkler, Alan", "d:Description": "Boston-area builder of harpsichords and clavichords. Description of the design and construction process, instrument gallery with descriptions and rental instrument information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.mindspring.com/~awinkler/"} +{"d:Title": "Will, Byron John", "d:Description": "Maker of classical harpsichords and clavichords since 1975.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.byronwillharpsichords.com/"} +{"d:Title": "Bettenhausen, Fred", "d:Description": "Makes copies of antique harpsichords and clavichords in his workshop in Haarlem, The Netherlands. Information about instruments and prices.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.fredbettenhausen.com/"} +{"d:Title": "Merzdorf Early Keyboard Instruments", "d:Description": "German firm founded in 1920, with instruments found throughout the world. A very extensive offering of instruments. German and English versions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.merzdorf.de/"} +{"d:Title": "Lesurtel, Patrick", "d:Description": "Harpsichord maker near Paris, France. Harpsichords after Goujon and Blanchet.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://clavecin.lesurtel.free.fr/"} +{"d:Title": "de Witte, Broer.", "d:Description": "Harpsichords, clavichords and pedal clavichords in antique tradition.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.broerdewitte.nl/"} +{"d:Title": "Marioni, Roberto", "d:Description": "Italian harpsichord maker. Photographs, products and price lists.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.robertomarioni.com/"} +{"d:Title": "Early Keyboards of Atlanta", "d:Description": "Specializing in the sale, repair, construction and restoration of harpsichords, spinets, virginals and clavichords.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.harpsichordatlanta.com/"} +{"d:Title": "Colzani, Alberto - harpsichord maker", "d:Description": "Construction of harpsichords and spinets from Italian,French,German and English schools.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.colzaniharpsichords.com/"} +{"d:Title": "Lim, Henry", "d:Description": "An unusual approach to building, but with a noisy action.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.henrylim.org/Harpsichord.html"} +{"d:Title": "Bonza, Augusto", "d:Description": "Italian maker and restorer of harpsichords and other early keyboard instruments, including a folding Italian.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.augustobonza.it/"} +{"d:Title": "Michael Johnson Harpsichords", "d:Description": "Dorset, UK based maker, with biography, catalogue and photos of various stages of manufacture.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.michaeljohnsonharpsichords.co.uk/"} +{"d:Title": "Claviers Baroques - Upper Canada Harpsichords", "d:Description": "Builds, sells, rents, tunes, services, transports and repairs harpsichords, clavichords, fortepianos and instrument kits.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.claviersbaroques.com/"} +{"d:Title": "Phillips, John - Harpsichords", "d:Description": "Small Berkeley, California shop. Produces instruments based on French, Flemish and Italian prototypes. Harpsichords tuned and repaired. Concert and recording rentals. Tuning service.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.jph.us/"} +{"d:Title": "Mitchell, William - Harpsichords", "d:Description": "UK and Italy based builder of harpsichords and claviorganum.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.harpsichords.co.uk/"} +{"d:Title": "Booth, Colin - Harpsichord maker and player", "d:Description": "Articles on choosing, making and playing a harpsichord. Instruments made and recordings for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.colinbooth.co.uk/"} +{"d:Title": "Daly, Owen", "d:Description": "Harpsichords, Clavichords, and Fortepianos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.dalyharpsichords.com/"} +{"d:Title": "Dolmetsch Harpsichords", "d:Description": "British Maker with a long history. Catalogue, keyboard links and an article on cedar.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.dolmetsch.com/ourharpsichords.htm"} +{"d:Title": "Hicks, Robert - Harpsichords", "d:Description": "Vermont based builder, includes admonishments on maintenance and mp3s", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.hicksharpsichords.com/"} +{"d:Title": "Martin P\u00fchringer Harpsichords", "d:Description": "Austrian maker of harpsichords and clavichords. Restoration and rental service. Description of workshop, details and photographs of instruments, references, discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.clavier.at/"} +{"d:Title": "Keith Hill - Instrument Maker", "d:Description": "Specialist in the 17th and 18th century Art of Acoustics. Maker and acoustic restorer of harpsichords, clavichords, fortepianos and violins working in Manchester, Michigan.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.keithhillharpsichords.com/"} +{"d:Title": "van der Voort, Han", "d:Description": "Harpsichord designed and built by an amateur.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://home.kpn.nl/voort359/home14.html"} +{"d:Title": "Klop, Henk", "d:Description": "Makers of Harpsichords, Spinets, Virginals, Clavichords, Pianofortes and Chamber Organs, in Garderen, NL.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://klop.info/"} +{"d:Title": "van Rossum, Hans", "d:Description": "Organ and Harpsichord maker located in Andel, NL.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Builders", "url": "http://www.rossumorgelbouw.nl/"} +{"d:Title": "De Luca, Fernando", "d:Description": "Italian harpsichordist. Biography, compositions and editions, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.fernandodeluca.it/"} +{"d:Title": "Staier, Andreas", "d:Description": "German fortepianist and harpsichordist. Biography, concert schedule, recent news, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.andreas-staier.de/"} +{"d:Title": "Frey, Vital Julian", "d:Description": "Swiss harpsichordist. Biography, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.vitalfrey.ch/"} +{"d:Title": "Schornsheim, Christine", "d:Description": "German harpsichordist. Biography, concert schedule, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.christine-schornsheim.de/"} +{"d:Title": "Engelsman, Janno den", "d:Description": "Dutch organist and harpsichordist. Biography, discography, recital schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.antenna.nl/janno.den.engelsman/"} +{"d:Title": "Henstra, Siebe", "d:Description": "Dutch harpsichordist. Biography, concert programs, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.siebehenstra.nl/"} +{"d:Title": "Nadeau, Claude", "d:Description": "French harpsichordist. Biography, MP3 audio, blog, concerts schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.claudenadeau.net/"} +{"d:Title": "Lanzelotte, Rosana", "d:Description": "Brazilian harpsichordist. Biography, repertoire, reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.clavecin.com.br/"} +{"d:Title": "Lehman, Bradley", "d:Description": "American harpsichordist and clavichordist. Biography, essays, games, MP3 audio, related links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www-personal.umich.edu/~bpl/"} +{"d:Title": "Sebestyen, Janos", "d:Description": "Hungarian organist, harpsichordist and pianist. Biography, recent news, complete discography, MP3 audio, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jsebestyen.org/"} +{"d:Title": "Ogg, Jacques", "d:Description": "Dutch harpsichordist, fortepianist and organist. Biography, reviews, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jogg.org/"} +{"d:Title": "d'Ambricourt, Aline", "d:Description": "French harpsichordist. Biography, CD and DVD productions, schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.clavecin.com/"} +{"d:Title": "Stefanska, Elzbieta", "d:Description": "Polish harpsichordist and fortepianist. Biography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.mati.com.pl/estefanska/en.htm"} +{"d:Title": "Belder, Pieter-Jan", "d:Description": "Dutch harpsichordist. Biography, discography, concert schedule, MP3 audio, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.ibizweb.nl/belder/belder_en.htm"} +{"d:Title": "Angle, Don", "d:Description": "American harpsichordist and technician. \"Stringalong Project\", concerts, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.donangle.com/index.html"} +{"d:Title": "Ferre, Susan", "d:Description": "American harpsichordist, organist, conductor, and early music specialist. Biography, concert schedule, keyboard instrument collection, reviews, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://home.earthlink.net/~susanferre/"} +{"d:Title": "Egarr, Richard", "d:Description": "British harpsichordist and fortepianist. Biography, reviews, concert schedule, discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.moens-artists.nl/moens_artists/homeEgar.html"} +{"d:Title": "Hashimoto, Eiji", "d:Description": "Japanese harpsichordist and conductor. Profile, discography, reviews, publications, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.eijihashimoto.com/"} +{"d:Title": "Cates, David", "d:Description": "American harpsichordist. Biography, discography, reviews, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://davidcates.com/"} +{"d:Title": "Koopman, Ton", "d:Description": "Dutch harpsichordist, organist and conductor of Amsterdam Baroque Orchestra and Choir. Biography, discography, tour schedule and diary, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.tonkoopman.nl/"} +{"d:Title": "Sgrizzi, Luciano", "d:Description": "Italian harpsichordist and fortepianist. Biography, tribute, MP3 audio, discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jsebestyen.org/sgrizzi/"} +{"d:Title": "Meyerson, Mitzi", "d:Description": "American harpsichordist. Biography, recordings, reviews, audio, concert schedule, photo gallery.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.mitzi-meyerson.de/"} +{"d:Title": "Clark, Jane", "d:Description": "British harpsichordist. Biography, discography, reviews, contact information, Janiculum Ensemble.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.janiculum.co.uk/"} +{"d:Title": "Leigh, David", "d:Description": "British harpsichordist. Biography, reviews, discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.laurieleighantiques.com/pages/cdrecordings.html"} +{"d:Title": "Chojnacka , Elisabeth", "d:Description": "Polish harpsichordist. Concert schedule, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.clavecin2000.com/home.htm"} +{"d:Title": "Devine, Steven", "d:Description": "British harpsichordist and fortepianist. Biography, concert schedule, publications, photos, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.devinemusic.co.uk/"} +{"d:Title": "Gerlin, Ruggero", "d:Description": "Italian harpsichordist, disciple of Landowska. Biography, discography, MP3 audio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jsebestyen.org/gerlin/"} +{"d:Title": "Sartori, Egida Giordani", "d:Description": "Italian harpsichordist. Biography, discography, MP3 audio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jsebestyen.org/sartori/"} +{"d:Title": "Hamilton, Malcolm", "d:Description": "American harpsichordist. Biographical information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.baroquemusic.org/MalcolmHamilton.html"} +{"d:Title": "Cerasi, Carole", "d:Description": "Harpsichordist and fortepianist. Biography, discography, reviews, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://carolecerasi.com/"} +{"d:Title": "Milani, Oscar", "d:Description": "Argentinian harpsichordist and teacher at the Hochschule f\u00fcr Musik in Nuremberg. Biography, contact information, audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.oscarmilani.de/"} +{"d:Title": "Simmonds, Paul", "d:Description": "British clavichord specialist, harpsichordist and organist. Biography, repertoire, discography, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.paulsimmonds.com/"} +{"d:Title": "Cuiller, Bertrand", "d:Description": "French harpsichordist. Biography, repertoire, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.bertrandcuiller.com/"} +{"d:Title": "Brosse, Jean-Patrice", "d:Description": "French harpsichordist and organist. Biography, publications, repertoire, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jeanpatrice-brosse.com/"} +{"d:Title": "Stembridge, Christopher", "d:Description": "British harpsichordist and organist. Discussion of cembalo cromatico, biography, interview, discography, reviews, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.christopherstembridge.org/"} +{"d:Title": "Sykes, Peter", "d:Description": "American harpsichordist and organist. Biography, reviews, concert schedule, discography, photo album.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.petersykes.com/"} +{"d:Title": "Bouman, Hendrik", "d:Description": "Dutch harpsichordist, fortepianist and composer. Biography, compositions, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.hendrikbouman.com/"} +{"d:Title": "Ahlgrimm, Isolde", "d:Description": "Austrian harpsichordist. Tribute by Peter Watchorn, Ahlgrimm's last student.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.baroquemusic.org/IsoldeAhlgrimm.html"} +{"d:Title": "Varallyay, Agnes", "d:Description": "Hungarian harpsichordist and pianist. Biography, concert schedule, MP3 audio, recordings, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jsebestyen.org/varallyay/"} +{"d:Title": "Kroll, Mark", "d:Description": "American harpsichordist and fortepianist. Biography, discography, publications, news, press reviews, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.markkroll.com/"} +{"d:Title": "Frisch, Celine", "d:Description": "French harpsichordist. Biography, concert schedule, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.celinefrisch.com/"} +{"d:Title": "Marlowe, Sylvia", "d:Description": "American harpsichordist. Biographical information and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.baroquemusic.org/SylviaMarlowe.html"} +{"d:Title": "Mahugo Carles, Yago", "d:Description": "Spanish harpsichordist, fortepianist and pianist. Biography, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.yagomahugo.com/"} +{"d:Title": "Sofronitzki, Viviana", "d:Description": "Russian fortepianist and harpsichordist. Biography, reviews, photos, MP3 audio, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.sofronitzki.com/"} +{"d:Title": "Jourdan, Hadrien", "d:Description": "Swiss harpsichordist and organist. Biography, discography, repertoire, MP3 audio, photo gallery, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.hadrienjourdan.org/"} +{"d:Title": "Ruzickova, Zuzana", "d:Description": "Czech harpsichordist. Biography, photo album, tributes, discography, MP3 audio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jsebestyen.org/ruzickova/"} +{"d:Title": "Messori, Matteo", "d:Description": "Italian harpsichordist, organist and conductor. Biography, discography, concert programs, MP3 audio, photo album, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.matteomessori.com/"} +{"d:Title": "Skowroneck, Tilman", "d:Description": "German harpsichordist and fortepianist. Biography, lectures, publications, harpsichord maintenance, mp3 audio, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://skowroneck.wordpress.com/"} +{"d:Title": "Hogwood, Christopher", "d:Description": "British conductor and harpsichordist. Calendar, recordings, publications, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.hogwood.org/"} +{"d:Title": "Salanki, Hedi", "d:Description": "American harpsichordist and pianist. Biography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://uwf.edu/music/facstaff/hrubardt/index.cfm"} +{"d:Title": "Schrader, David", "d:Description": "American harpsichordist, organist, pianist. Biography, discography, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.davidschrader.com/"} +{"d:Title": "Perkins, Julian", "d:Description": "British harpsichordist, organist and conductor. Profile, audio samples, reviews and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.julianperkins.com/"} +{"d:Title": "Siwczak, Pawel", "d:Description": "Polish harpsichordist and pianist. Biography, concert schedule, MP3 audio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.pawelsiwczak.com/"} +{"d:Title": "Rousset, Christophe", "d:Description": "French harpsichordist and conductor. Biography and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.lestalenslyriques.com/rousset.php"} +{"d:Title": "Piricone, Antonio", "d:Description": "Italian pianist and harpsichordist. Profile, discography, concert schedule, photo gallery, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.antoniopiricone.com/"} +{"d:Title": "Vinikour, Jory", "d:Description": "American harpsichordist. Biography, concert schedule, repertoire, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.joryvinikour.com/"} +{"d:Title": "Kind, Silvia", "d:Description": "Swiss harpsichordist. Biography, photo album, MP3 audio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.silviakind.ch/"} +{"d:Title": "Grosfeld, Arend", "d:Description": "Dutch harpsichordist and early music performer. Biography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.arendgrosfeld.nl/"} +{"d:Title": "Wilson, Glen", "d:Description": "Glen Wilson - American harpsichordist and conductor. Biography, discography, photo album, articles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.glenwilson.eu/"} +{"d:Title": "Grisvard, Philippe", "d:Description": "French harpsichordist. Biography, links, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.grisvard.com/"} +{"d:Title": "Frig\u00e9, Antonio", "d:Description": "Italian harpsichordist and organist. Biography, discography, audio, reviews, programs, Duo Cassone-Frig\u00e9, Ensemble \"Pian&Forte\".", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://xoomer.virgilio.it/antoniofrige/"} +{"d:Title": "Brauchli, Bernard", "d:Description": "Swiss early keyboard specialist. Curriculum, concert programs, discography, reviews, contact information, editions and publications.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.musicaanticamagnano.com/brauchli/"} +{"d:Title": "Veyron-Lacroix, Robert", "d:Description": "French harpsichordist and pianist. Biography, discography and MP3 audio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.jsebestyen.org/veyron-lacroix/"} +{"d:Title": "Zylberajch, Aline", "d:Description": "French harpsichordist and fortepianist. Biography, programs, MP3 audio, video, schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.aline-zylberajch.net/"} +{"d:Title": "Esfahani, Mahan", "d:Description": "American harpsichordist and organist. Biography, concert schedule, audio and video, reviews, photos, blog, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.mahanesfahani.com/"} +{"d:Title": "Lewis, Christopher D.", "d:Description": "Welsh contemporary harpsichordist. Biography, audio, video, revival harpsichords, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.christopherlewis.net/"} +{"d:Title": "Dobozy, Borbala", "d:Description": "Hungarian harpsichordist. Biography, reviews, discography, photos, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.dobozyborbala.hu/"} +{"d:Title": "Corti, Francesco", "d:Description": "Italian harpsichordist and organist. Biography, photos, concerto schedule, discography, audio, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.francescocorti.com/"} +{"d:Title": "Comparone, Elaine", "d:Description": "American harpsichordist and founder of Harpsichord Unlimited.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.harpsichord.org/members/13-elaine-comparone.html"} +{"d:Title": "Diot, H\u00e9l\u00e8ne", "d:Description": "French harpsichordist. Biography, concert schedule, audio, blog, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.helenediot.com/"} +{"d:Title": "Rotaru, Alina", "d:Description": "Romanian harpsichordist. Biography, discography, audio, video, concert schedule, reviews, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://alina-rotaru.de/"} +{"d:Title": "Jaccottet, Christiane", "d:Description": "Swiss harpsichordist. Biography, discography, concert information, photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://christiane-jaccottet.com/index.php"} +{"d:Title": "Ares, Diego", "d:Description": "Spanish harpsichordist. Biography, concert schedule, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.diegoares.com/index.html"} +{"d:Title": "Holtz, Cristiano", "d:Description": "Brazilian harpsichordist. Biography, schedule, press, videos, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.cristiano-holtz.webnode.pt/"} +{"d:Title": "Rita Herzog", "d:Description": "German fortepianist and harpsichordist. Biography, concert schedule, recent news, discography, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.ritaherzog.de/"} +{"d:Title": "Ruzickova, Zuzana", "d:Description": "Czech harpsichordist. Foundation for Zuzana Ruzickova and her husband, composer Viktor Kalabis.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://kalabismusic.org/"} +{"d:Title": "Brembeck, Christian", "d:Description": "German harpsichordist, organist and conductor. Biography, discography, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.brembeck.net/"} +{"d:Title": "Bezuidenhout, Kristian", "d:Description": "South African harpsichordist, fortepianist and modern pianist. Biography, concert schedule, press, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://kristianbezuidenhout.com/"} +{"d:Title": "Erdas, Paola", "d:Description": "Italian harpsichordist. Biography, discography, publications, information on Janas Ensemble.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.paolaerdas.it/"} +{"d:Title": "Kirkpatrick, Ralph", "d:Description": "American harpsichordist. Biography, bibliography and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.bu.edu/library/guide/ralphkirkpatrick/"} +{"d:Title": "Cave, Penelope", "d:Description": "British harpsichordist. Biography, concert programs, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.impulse-music.co.uk/penelopecave/"} +{"d:Title": "Woolley, Robert", "d:Description": "British harpsichordist and organist. Biography, concert schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Harpsichord/Performers", "url": "http://www.impulse-music.co.uk/robertwoolley/"} +{"d:Title": "PIPORG-L", "d:Description": "Electronic mailing list devoted to organs (pipe and electronic), organists and organ music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.albany.edu/piporg-l/"} +{"d:Title": "Choir and Organ", "d:Description": "Classical music magazine owned by Orpheus Publications focused on choral and pipe organ topics.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.choirandorgan.com/"} +{"d:Title": "ORGANfax", "d:Description": "Links site for the organ and keyboard world. UK bias, but has international scope.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.organfax.co.uk/"} +{"d:Title": "Organ Alternatives", "d:Description": "Canadian site \"dedicated to the organ as a performing instrument, and to its future\". Online newsletter in Adobe Acrobat format.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.orgalt.com/"} +{"d:Title": "Church Music and the Arts - The Organ", "d:Description": "Many Canadian organ, choral, bell and church music links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.worship.ca/sec7.html#organ"} +{"d:Title": "The Organ Magazine", "d:Description": "British publication. Archived issues, online reviews and classifieds, events calendar, subscription information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.theorganmag.com/"} +{"d:Title": "The Organ Forum", "d:Description": "A discussion site for all topics related to the King of Instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.organforum.com/"} +{"d:Title": "The Organ Web Ring", "d:Description": "100+ sites by and about organs, organists, organ music, organ builders, organ service, pipe and electric organs, and organ playing.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ", "url": "http://www.webring.org/hub?ring=pipeorganring"} +{"d:Title": "Decap Bros. Herentals", "d:Description": "Band and street organ builders. Herentals, Belgium.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Automatic_Organs", "url": "http://www.decap.be/"} +{"d:Title": "A Catalog of Wurlitzer Music Rolls", "d:Description": "Listing of all known music rolls for band organs, carousel organs playing the Wurlitzer style 165 roll.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Automatic_Organs", "url": "http://wurlitzer-rolls.com/"} +{"d:Title": "Todd Augsburger's Roller Organ Website", "d:Description": "Roller (cob) organ information, including a list of rollers.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Automatic_Organs", "url": "http://www.rollerorgans.com/"} +{"d:Title": "Stinson Organ Co.", "d:Description": "Maker of MIDI-operated band organs for carousels, amusement parks, fairs and carnivals. History, catalog, testimonials.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Automatic_Organs", "url": "http://www.stinsonbandorgans.com/"} +{"d:Title": "Le Turlutain", "d:Description": "Maker of street organs and source of paper rolls for mechanical musical instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Automatic_Organs", "url": "http://leturlutain.free.fr/"} +{"d:Title": "Doyle International", "d:Description": "Sales, service and restoration of carousel and fairground band organs, located in Sarasota, Florida, USA. With extensive audio files, and links to arcade amusement machines.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Automatic_Organs", "url": "http://doyleintl.com/"} +{"d:Title": "Scott Riedel&Associates, Ltd.", "d:Description": "Organ, acoustics and sound system design. List of services, some past clients and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Consultants", "url": "http://www.riedelassociates.com/"} +{"d:Title": "ORLA Organs and keyboards", "d:Description": "British representative of Italian manufacturer of electronic organs, pianos and keyboards. Details of models, personnel profiles, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://www.orla.it/"} +{"d:Title": "Westacott Organs", "d:Description": "News and information about digital electronic voices and the Westacott pipe and MIDI controller.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://www.westacott.com/"} +{"d:Title": "Alltek Organ Keyboard Services", "d:Description": "Specializing in the repair of Hammond Organs and Leslie speakers. Service calls and appraisals available.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://alltekorgankeyboard.com/"} +{"d:Title": "The Schober Organ Orphans", "d:Description": "Links to information about kit-built electronic organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://www.users.cloud9.net/~pastark/schober.html"} +{"d:Title": "Organ Service Corporation", "d:Description": "Repair parts, manuals and retro kits for Conn, Kimball, pre-1985 Lowrey, and Thomas organs. Online ordering. Marengo, Indiana.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://www.organservice.com/"} +{"d:Title": "OrganAssist", "d:Description": "MIDI program for church organists. Records, plays back and converts MIDI music between different instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://www.organassist.com/"} +{"d:Title": "Electone CentreStage", "d:Description": "A site for Electone organ and theatre lovers worldwide. Electone and theatre related products shopping.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://www.alfredli.net/"} +{"d:Title": "Tonewheel and Tone Cabinet Service Company", "d:Description": "Offers service, repair and replacement parts in Los Angeles, specializing in Hammond Organ and Leslie tone cabinets, as well as other major brands and is an authorised dealer in Johannus organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs", "url": "http://www.hammondorganservice.com/"} +{"d:Title": "A.O.B. Organ Consultants, Inc.", "d:Description": "Descriptions and photos of some Eminent organs (non-sampled digital instruments).", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.eminent-organs.com/"} +{"d:Title": "Buch Church Organ Company", "d:Description": "Representative of Rodgers Organ Co. Installation and repair. Ephrata, Pennsylvania.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.buchorgan.com/"} +{"d:Title": "The Church Organ Group", "d:Description": "Metairie, La.-based representative of Allen Organs. Includes list of customers.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.churchorgan.com/"} +{"d:Title": "Classic Organbuilders", "d:Description": "Manufacturer of electronic organs and exclusive dealer in Ontario of Ahlborn Galanti organs. Company profile, photographs of installations, glossary.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.classicorgan.com/"} +{"d:Title": "Johannus L.A.", "d:Description": "Distributor of Johannus electronic organs for Southern California, Arizona and Hawaii. Product information, FAQs, photos and monthly specials.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.johannusla.com/"} +{"d:Title": "Johannus Organs North Carolina", "d:Description": "Distributor of Johannus church organs in eastern North Carolina. Photographs and specifications.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://johannusnc.com/"} +{"d:Title": "Organ Stop, Inc.", "d:Description": "Allen organs for the church and institution. Kawai organs for the home. Newsletter, specials, events.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.organstop.com/"} +{"d:Title": "Compton Organ Specialists", "d:Description": "New and second-hand electronic organs for home, practice and church.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.comptonorgans.com/"} +{"d:Title": "Morgans Organs", "d:Description": "UK firm; on-line catalogue of Hammond, Casio, Yamaha new and used organs, keyboards and digital pianos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Dealers", "url": "http://www.organ.co.uk/"} +{"d:Title": "Hammond Organs", "d:Description": "Many unique photos and facts about Hammond organs; links to many other Hammond sites.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://theatreorgans.com/hammond/index.html"} +{"d:Title": "Hammond Organ Zone", "d:Description": "UK site with information about virtual museum, current products; downloads, artists' pages.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.hammond-organ.com/"} +{"d:Title": "Vintage Organs Online", "d:Description": "Vintage Hammond organ stores in Atlanta, Georgia, and Houston, Texas. Seller of classic Hammond organs, manuals and parts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://vintageorgans.net/"} +{"d:Title": "Murphy, Jerome Stetson", "d:Description": "Personal page devoted to Hammond B-3 Organ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.hammondb3.com/"} +{"d:Title": "Hammond Organ Center", "d:Description": "Service, restoration and sales of vintage Hammond Organs and Leslie Speakers. Also feature TrekII products. Woodlyn, Pennsylvania.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.hammondorgancenter.com/"} +{"d:Title": "Playing on electronic organ", "d:Description": "Playing the Hammond organ, sheet music in JPEG format, drawbar registrations, chord charts and pictures.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://users.telenet.be/saelsm/organ.htm"} +{"d:Title": "TEA Labs", "d:Description": "Manufacturers of the B-Free, a lightweight electromechanical tonewheel generator playable from any MIDI keyboard. Models and features, sound samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.theboxblack.com/"} +{"d:Title": "International Archives for the Jazz Organ", "d:Description": "Germany-based site for jazz organ players, recordings, and live performances. Links, some content in German.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.iajo.org/"} +{"d:Title": "Nooksack River Jammin' on the Hammond Music Festival", "d:Description": "Live music from six Northwest bands, all featuring the mighty Hammond (B3) organ. September 11th, 2004.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.jamminonthehammond.com/"} +{"d:Title": "HammondWiki", "d:Description": "An attempt to catalog all things related to the Hammond organ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.dairiki.org/HammondWiki/"} +{"d:Title": "Wikipedia - Hammond Organ", "d:Description": "Article covering the background of this instrument.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://en.wikipedia.org/wiki/Hammond_organ"} +{"d:Title": "B3 Organs with Leslie Speakers for Sale", "d:Description": "Many restoration photographs of the insides of Hammond B3 Tone Wheel Organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.b3sforsale.com/"} +{"d:Title": "NPR : 'Too Damn Hot': Lonnie Smith's Hammond B3", "d:Description": "NPR's Tony Cox talks with organ master Dr. Lonnie Smith about art of the Hammond B3, his extensive music career and his latest CD, Too Damn Hot. [10:18 streaming audio broadcast]", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.npr.org/templates/story/story.php?storyId=4468161"} +{"d:Title": "NPR : A CD Tribute to the Hammond B3 Organ", "d:Description": "Noah Adams talks with Jerimaya Grabher, producer of the CD Organized: An All-Star Tribute to the Hammond B-3 Organ. It's a collection of tunes played on various B-3 organs around the country, by 13 musicians. [8:03 streaming audio broadcast]", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.npr.org/templates/story/story.php?storyId=1069032"} +{"d:Title": "Tonewheel General Hospital", "d:Description": "Provides the Hammond age determination list.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.tonewheelgeneral.com/agelist/agelist.php"} +{"d:Title": "The Hammond Jazz Inventory", "d:Description": "A database-driven website that is dedicated to jazz music in which the (Hammond) organ plays a significant role.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Hammond", "url": "http://www.hammondjazz.net/"} +{"d:Title": "Ahlborn Classical Organs", "d:Description": "Company history, audio samples, list of models and distributors. [English/Italian]", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.ahlbornorgans.com/"} +{"d:Title": "Johannus", "d:Description": "Digital sampled church organs. Company profile, organ model information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.johannus.com/"} +{"d:Title": "Compton Organ Specialists", "d:Description": "Electronic church organs. Description of different lines and features.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.comptonorgans.com/"} +{"d:Title": "Allen Organ Company", "d:Description": "Electronic organ builder in Macungie, Pennsylvania. All digital instruments and pipe/digital combinations. Theatre and classical style instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.allenorgan.com/"} +{"d:Title": "Copeman Hart&Company Ltd", "d:Description": "Custom built electronic church organs since 1960.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.copemanhart.co.uk/"} +{"d:Title": "Lowrey Organs", "d:Description": "Manufacturer of electronic organs for entertainment. Products, classes, information on music-making and wellness.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.lowrey.com/"} +{"d:Title": "Norwich Organs", "d:Description": "Manufacturers of digital classical organs. Brochure, list of installations, price list.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.norwich-organs.co.uk/"} +{"d:Title": "Rodgers Instruments", "d:Description": "A manufacturer of electronic and combination organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Electronic_Organs/Manufacturers", "url": "http://www.rodgersinstruments.com/"} +{"d:Title": "The Indian Harmonium", "d:Description": "History, photos and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Harmonium", "url": "http://chandrakantha.com/articles/indian_music/harmonium.html"} +{"d:Title": "Reed Organ Society", "d:Description": "International organization; list of officers, index of articles and available back issues, selected articles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Harmonium", "url": "http://www.reedsoc.org/"} +{"d:Title": "DMS Harmoniums", "d:Description": "Manufacturers and exporters of harmoniums. List of models with photographs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Harmonium", "url": "http://www.indianmusicalinstruments.com/harmoniums1.htm"} +{"d:Title": "Alldred, Joyce", "d:Description": "UK-based theatre organist and organ tutor. Biography, concert dates, recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/A", "url": "http://www.joycealldred.org.uk/"} +{"d:Title": "Briggs, David", "d:Description": "International recitalist, composer, conductor and improviser, as well as Director of Music at Gloucester Cathedral.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/B", "url": "http://www.david-briggs.org.uk/"} +{"d:Title": "Basmadjian, Aram", "d:Description": "Young American recitalist. Biography, concert schedule and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/B", "url": "http://www.organ-concerts.com/"} +{"d:Title": "Bertero, Roberto", "d:Description": "Italian concert organist. Biography, press reviews, discography and transcriptions repertoire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/B", "url": "http://xoomer.virgilio.it/RobertoBertero/"} +{"d:Title": "E. Power Biggs", "d:Description": "Find A Grave listing with photograph and links to others buried in Mount Auburn Cemetery, Cambridge, Massachusetts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/B/Biggs,_E._Power", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5120"} +{"d:Title": "Case, Ollie", "d:Description": "Canadian Hammond organist. Biography, contact information. Includes information on piano tuning and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/C", "url": "http://www.olliecase.ca/"} +{"d:Title": "St Albans International Organ Festival", "d:Description": "Profile, programme and news.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/Competitions", "url": "http://www.organfestival.com/"} +{"d:Title": "Di Lernia, Francesco", "d:Description": "Biography, discography and MP3s.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/D", "url": "http://www.antenna.nl/francesco.dilernia/"} +{"d:Title": "Fiseisky, Alexander", "d:Description": "Curriculum vitae, recordings, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/F", "url": "http://www.fiseisky.com/"} +{"d:Title": "Jon Gillock, Concert Organist", "d:Description": "American organist noted for \"French spiritual repertoire.\" Includes events, biography, recordings, awards, sample programs and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/G", "url": "http://www.jongillock.com/"} +{"d:Title": "Hospach-Martini, Mario", "d:Description": "Profile of German organist, his concert schedule and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/H", "url": "http://www.hospach-martini.de/"} +{"d:Title": "Halley, Paul", "d:Description": "Pianist and organist, composer and performer, former Paul Winter Consort member Paul Halley has recorded many albums with Living Music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/H", "url": "http://www.livingmusic.com/biographies/phalley.html"} +{"d:Title": "Hohman, Frederick", "d:Description": "American pipe organist. Concerts, television programs, CD recordings, tour photos, and MP3s.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/H", "url": "http://www.frederickhohman.net/"} +{"d:Title": "Imbruno, Matteo", "d:Description": "Biography, discography and information about recitals given by the organist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/I", "url": "http://www.antenna.nl/matteo.imbruno/"} +{"d:Title": "Koopman, Ton", "d:Description": "Biography, discography, tours and diary, RealAudio and mp3 sound samples, information about the Amsterdam Baroque Orchestra and Choir.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/K", "url": "http://www.tonkoopman.nl/"} +{"d:Title": "Kamp, Christine - Organist and Brouwer, Fons - Composer", "d:Description": "Information about Christine Kamp and the two organs in the Grote Kerk in Weesp, The Netherlands (B\u00e4tz organ 1823 and Axsen organ ca. 1800); catalog of compositions for organ by Fons Brouwer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/K", "url": "http://www.xs4all.nl/~twomusic/"} +{"d:Title": "Kelsall, Phil", "d:Description": "British cinema organist of Blackpool Tower. Concert schedule, lists of recordings and videos, news and photographs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/K", "url": "http://www.philkelsall.co.uk/"} +{"d:Title": "Kinsela, David: Organ O", "d:Description": "Profile, contact information, links, and CDs for sale, including organ, virginal, harpsichord and gold-strung clavicytherium performances.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/K", "url": "http://www.organo.com.au/"} +{"d:Title": "Lo Muscio, Marco", "d:Description": "Organist and pianist. Biography, CDs, MP3, links, and transcriptions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/L", "url": "http://www.marcolomuscio.com/"} +{"d:Title": "Long, Marsha Heather", "d:Description": "U.S. organist; biography, reviews, concert schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/L", "url": "http://www.marshalong.com/"} +{"d:Title": "McFarlane, Karen Artists", "d:Description": "Management company for concert organists and British/American choirs", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/M", "url": "http://concertorganists.com/"} +{"d:Title": "Mansfield, Purcell James", "d:Description": "Organist and choirmaster. Biography and works, including pieces for the Glasgow Phoenix Choir.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/M", "url": "http://www.purcellmansfield.org.uk/"} +{"d:Title": "Matsui, Naomi", "d:Description": "Biography, discography and recitals.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/M", "url": "http://www.antenna.nl/naomi.matsui/"} +{"d:Title": "Nagayama, Yu", "d:Description": "Biography, discography and sound clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/N", "url": "http://www.antenna.nl/yu.nagayama/"} +{"d:Title": "Olivera, Hector", "d:Description": "Concert performing organist. Concert schedule, CDs, biography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/O", "url": "http://www.hectorolivera.com/"} +{"d:Title": "Ouwerkerk, Peter", "d:Description": "Information about the Dutch organist Peter Ouwerkerk (Amsterdam), his publications, concerts, and a collection of historic manuscripts. English version of website available.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/O", "url": "http://www.peterouwerkerk.com/"} +{"d:Title": "Pandit, Korla", "d:Description": "Organist, composer, TV and radio personality. Includes a biography, discography, photographs and obituary.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/P", "url": "http://www.korlapandit.com/"} +{"d:Title": "Parker-Smith, Jane", "d:Description": "International concert organist: biography, concert listings, press reviews, discography and contact details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/P", "url": "http://www.janeparkersmith.com/"} +{"d:Title": "Quarmby, Mark", "d:Description": "Curriculum vitae and press reviews of Australian organist, with photographs and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/Q", "url": "http://mq.sydneyorgan.com/"} +{"d:Title": "Rishton, Tim", "d:Description": "Norway-based concert organist and lecturer. Biography, reviews, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/R", "url": "http://www.rishton.info/"} +{"d:Title": "Ralston, Bob", "d:Description": "Official site of organist from The Lawrence Welk Show. Biography, merchandise, performance schedules.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/R", "url": "http://www.bobralston.com/"} +{"d:Title": "Reijnaerdts, Ton", "d:Description": "Dutch organist. Biography, information about Pereboom and Leyser organ builders, photographs, and sound samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/R", "url": "http://www.tonreijnaerdtsorganpage.nl/"} +{"d:Title": "Sebestyen, Janos", "d:Description": "Hungarian organist, harpsichordist and pianist. Biographical information, complete discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/S", "url": "http://www.jsebestyen.org/"} +{"d:Title": "Sinden, David", "d:Description": "Organ student and composer. Photographs, compositions, sound samples, and weblog.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/S", "url": "http://www.sinden.org/"} +{"d:Title": "Toeppner, Lois Z.", "d:Description": "Organist, harpsichord, and pianist performing throughout eastern and central Massachusetts for weddings, church and synagogue services.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/T", "url": "http://www.toeppner.com/"} +{"d:Title": "Trinkwon, D'Arcy", "d:Description": "Concert organist. Biography, repertoire, and concerts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/T", "url": "http://www.darcytrinkwon.com/"} +{"d:Title": "Walter, Joachim", "d:Description": "Joachim Walter, organist and musicologist with biography, discography and sound-clips", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/W", "url": "http://www.antenna.nl/joachim.walter/"} +{"d:Title": "Watanabe, Kiyo and Chiemi", "d:Description": "Japanese husband and wife duo concert organists.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/W", "url": "http://www.kiyochiemi.com/"} +{"d:Title": "Wunderlich, Klaus", "d:Description": "Biography and complete discography from 1958 to 2000.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/W", "url": "http://www.klauswunderlich.de/"} +{"d:Title": "Williams, Carol", "d:Description": "Recordings and publicity information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/W", "url": "http://www.melcot.com/"} +{"d:Title": "Webb, Richard", "d:Description": "Concert organist, university administrator, music educator and consultant.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organists/W", "url": "http://www.richardwebb.org/"} +{"d:Title": "Royal College of Organists", "d:Description": "British society; \"promotes the art of organ playing and choir training, and improves and advances music education by means of lectures, recitals, masterclasses and meetings open to the public.\"", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations", "url": "http://www.rco.org.uk/"} +{"d:Title": "Halifax and District Organists' Association", "d:Description": "An organists' fellowship affiliated to the Incorporated Association of Organists. Calendar of events and recitals, mission, membership, officers, archives.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations", "url": "http://www.hdoa.org.uk/"} +{"d:Title": "Royal Canadian College of Organists", "d:Description": "Information about membership, regional centres, positions available and publications.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations", "url": "http://www.rcco.ca/"} +{"d:Title": "The Organ Music Society of Sydney", "d:Description": "Information about pipe organ events and concerts happening in Sydney, how to obtain membership, who is on the committee, pipe organ links, and contents of the \"Sydney Organ Journal\". Incorporates the Sydney Chapter of the AGO.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations", "url": "http://www.sydneyorgan.com/"} +{"d:Title": "Royal Society of British Organists", "d:Description": "News, information about a series of graded local examinations for organ students, and a list of organ concerts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations", "url": "http://rsbo.tripod.com/"} +{"d:Title": "Clearwater (Fla.) Chapter AGO", "d:Description": "Officers, classifieds, upcoming events and information about organists and area organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.angelfire.com/fl3/agoclearwater/index.html"} +{"d:Title": "Ogden (Utah) Chapter AGO", "d:Description": "News, calendar, membership information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.angelfire.com/ut/agoogden/"} +{"d:Title": "Atlanta Chapter AGO", "d:Description": "Monthly newsletter, employment opportunities, chapter programs, local concerts, supply musicians listings, chapter officers, compensation guidelines, links to area churches and other related organizations.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.agoatlanta.org/"} +{"d:Title": "New York City Chapter AGO", "d:Description": "History, concert calendar, newsletter, NYC organ sampler.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.nycago.org/"} +{"d:Title": "San Francisco Chapter AGO", "d:Description": "Newsletter and concert calendar, membership and chapter information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.sfago.org/"} +{"d:Title": "Columbus Chapter AGO", "d:Description": "Programs, officers, history, newsletters, list of area churches and organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://agocolumbus.org/"} +{"d:Title": "Fox Valley (Illinois) Chapter AGO", "d:Description": "Calendar of meetings and events, membership information, news.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.foxvalleyago.org/"} +{"d:Title": "American Guild of Organists", "d:Description": "The national professional association serving the organ and choral music fields. Membership details, vacancies, publications, and a young person's guide to the organ. Extensive site includes many links.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.agohq.org/"} +{"d:Title": "Detroit Chapter AGO", "d:Description": "Information about chapter events, examinations, schedule of events.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://detroitago.org/"} +{"d:Title": "Cleveland Chapter AGO", "d:Description": "Chapter events, online newsletter and calendar, membership and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.agocleveland.org/"} +{"d:Title": "Tulsa Chapter AGO", "d:Description": "Officers, programs, news, area positions available.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.agohq.org/chapter/?handle=tulsa"} +{"d:Title": "St. Louis Chapter AGO", "d:Description": "Includes monthly newsletters, officers, current programs and positions available.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.agostlouis.org/"} +{"d:Title": "San Diego Chapter AGO", "d:Description": "Area concerts and programs, membership information, positions and substitutes available, message board.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.agosd.org/"} +{"d:Title": "Grand Rapids (Michigan) Chapter AGO", "d:Description": "Profiles notable pipe and hybrid organs in city known for an exceptional wealth of instruments, with photos and specifications. Meeting schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.grago.org/"} +{"d:Title": "Worcester (Massachusetts) Chapter AGO", "d:Description": "Calendar, officers, newsletter, news, contacts, and placements. Includes details and photographs of area organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://worcesterago.org/"} +{"d:Title": "Twin Cities (Minn.) Chapter AGO", "d:Description": "Chapter officers and information, feature articles, events calendar, membership information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "https://tcago.wildapricot.org/"} +{"d:Title": "Boston Chapter AGO", "d:Description": "Chapter officers, newsletter, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.bostonago.org/"} +{"d:Title": "Chicago Chapter AGO", "d:Description": "Chapter programs, officers and members.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Organizations/AGO_Chapters", "url": "http://www.chicagoago.com/"} +{"d:Title": "Het Orgel", "d:Description": "Dutch/English site for magazine published by the Royal Dutch Association of Organists. Recent articles, list of editors, subscription information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://www.hetorgel.nl/"} +{"d:Title": "Pipedreams", "d:Description": "Companion site to the popular radio program. Schedule, list of radio outlets, details of instruments featured.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://pipedreams.publicradio.org/"} +{"d:Title": "JAV Recordings", "d:Description": "CDs of world famous pipe organs. Documentary series \"Great Organbuilders of America\" features the work of Aeolian-Skinner.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://www.pipeorgancds.com/"} +{"d:Title": "PipeOrgans.Com", "d:Description": "Commercial site of Peterson Electro-Musical Products (see entry in Organs: Builders) advocating the retention, rebuilding and reconstruction of pipe organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://www.pipeorgans.com/"} +{"d:Title": "OHS Catalog", "d:Description": "Catalog sales division of the Organ Historical Society. Sells pipe organ related books, CDs, videos and sheet music. Site is fully searchable.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://www.ohscatalog.org/"} +{"d:Title": "The Pipe Organ", "d:Description": "A beginner's guide to the organ: how it works, a glossary of terms and a list of further resources.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://nersp.nerdc.ufl.edu/~bodinew/"} +{"d:Title": "OrganFocus.com", "d:Description": "Search a database of pipe organ events around the world. Concerts, festivals, masterclasses. Original reviews and essays.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://www.organfocus.com/"} +{"d:Title": "Flue Pipe Acoustics", "d:Description": "The physics behind the sound of organ pipes and whistles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://rjweisen.50megs.com/"} +{"d:Title": "Pipe Organ Tracker Project", "d:Description": "Large collection of website links to pipe organs sites and related keyboard musical instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://www.angelfire.com/biz/radenton/"} +{"d:Title": "MusicLink: Pipe Organ Builders and Resources", "d:Description": "List of links to organ builders, and organists' associations.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs", "url": "http://www.pcuk.net/musiclink.co.uk/pipeorgans.html"} +{"d:Title": "Mascioni", "d:Description": "Italian builder of mechanical action church organs. Company history, shop photos, audio downloads.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.mascioni-organs.com/"} +{"d:Title": "Bottega, Carli Giorgio", "d:Description": "Builder profile, photographs of instruments. Pescantina (Verona), Italy.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.carliorgani.it/"} +{"d:Title": "Popp, Joachim Orgelbau", "d:Description": "News, examples of work, workshop tour.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://popp-orgelbau.de/"} +{"d:Title": "Schuke, Karl, Berliner Orgelbau GmbH", "d:Description": "News, company history, construction features, list of organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.schuke-berlin.de/"} +{"d:Title": "Rieger Orgelbau", "d:Description": "English/German site of Austrian firm. History, photos and specifications of recent organs, virtual workshop tour.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.rieger-orgelbau.com/"} +{"d:Title": "Mitteldeutscher Orgelbau A. Voigt", "d:Description": "English/German site; company history; details of new and restored organs; .wav audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.orgelbau.de/"} +{"d:Title": "Beckerath, Rudolf von Orgelbau GmbH", "d:Description": "Company history, information on selected organs, shop tour.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.beckerath.com/"} +{"d:Title": "Orgelbau- und Restaurierungswerkstatt Rainer Wolter", "d:Description": "German builder located on the Isle of R\u00fcgen. List and description of past projects, shop history and photographs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.orgelbau.net/"} +{"d:Title": "Guilbault-Th\u00e9rien", "d:Description": "French (with some English) site of Qu\u00e9bec organbuilder has photos, specifications and some RealAudio recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://guilbault-therien.ntic.qc.ca/"} +{"d:Title": "Casavant Freres", "d:Description": "French/English site of Canadian organ builder. Includes information regarding representative instruments and a listing of CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.casavant.ca/"} +{"d:Title": "Quoirin, Pascal", "d:Description": "Information about and photographs of the workshop, as well as new and restored instruments. Saint-Didier, France.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.atelier-quoirin.com/"} +{"d:Title": "Dupont, J.F.", "d:Description": "Organbuildert in Caen, Normandy, France. Brief profile, together with description and photographs of completed instruments. [French, English]", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.jf-dupont.com/"} +{"d:Title": "Dobson Pipe Organ Builders, Ltd.", "d:Description": "Iowa pipe organ builder. Description of artistic philosophy, shop history and a complete opus list with many photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.dobsonorgan.com/"} +{"d:Title": "Mander, N.P. Ltd.", "d:Description": "Builders of new pipe organs, rebuilding, and restorations since 1936. Portfolio, news, discussion board, recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.mander-organs.com/"} +{"d:Title": "Syndyne Corporation", "d:Description": "Manuifacturer of electronic components for pipe organs. Company profile, description of products, online ordering. Vancouver, Washington.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.syndyne.com/"} +{"d:Title": "Kegg Pipe Organ Builders", "d:Description": "Builders of pipe organs for church, synagogue, school, auditorium and residence.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.keggorgan.com/"} +{"d:Title": "Nelson Barden&Associates", "d:Description": "Entirely devoted to the Boston University Symphonic Organ, which Barden restored.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.nbarden.com/"} +{"d:Title": "Murphy, Patrick J.&Associates", "d:Description": "Tuners, restorers and rebuilders of mechanical and electric action pipe organs. List of tuning customers is included.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.pjmorgans.com/"} +{"d:Title": "Reuter Organ Co.", "d:Description": "Numerous photos of organs under construction. Several brochures and searchable opus list available in Adobe Acrobat format.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.reuterorgan.com/"} +{"d:Title": "Aug. Laukhuff", "d:Description": "World's largest manufacturer and supplier of pipe organ parts. Weikersheim, Germany.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.laukhuff.de/"} +{"d:Title": "Weiblen-Spezialwerkzeuge", "d:Description": "German supplier of specialized tools for organbuilders.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.weiblen.de/"} +{"d:Title": "Peterson Electro-Musical Products", "d:Description": "Supplier of pipe organ parts and tuners. Company information, product descriptions, downloadable quote book.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.petersonemp.com/"} +{"d:Title": "Schlueter, A. E., Pipe Organ Co.", "d:Description": "Georgia-based firm; new and rebuilt instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.pipe-organ.com/"} +{"d:Title": "Caluori Orgelbau", "d:Description": "Swiss firm; shop history, information on new and restored organs, list of current projects", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.caluori.ch/"} +{"d:Title": "American Institute of Organbuilders", "d:Description": "Includes list of members, video tapes for sale, several trade-related essays.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.pipeorgan.org/"} +{"d:Title": "Kerner&Merchant Pipe Organ Builders", "d:Description": "Specializes in the building, restoration, upgrading and maintenance of pipe organs in the Central New York region.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.kernerandmerchant.com/"} +{"d:Title": "Dodington&Dodington", "d:Description": "Casavant Fr\u00e8res representative. Pipe organ sales and service. Aylmer, Ontario, Canada.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.dodington.com/"} +{"d:Title": "Jennings Organs", "d:Description": "UK maker of house and continuo pipe organs for sale and hire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.jennings-organs.co.uk/"} +{"d:Title": "Ross King Co.", "d:Description": "Texas organ builder; includes list of selected organs, with photos and specifications; also a guide for organ committee members.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.rosskingco.com/"} +{"d:Title": "Fritts, Paul&Co.", "d:Description": "Opus list, photographs, recordings and technical information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.frittsorgan.com/"} +{"d:Title": "Classic Organ Works", "d:Description": "Supplier of electronic equipment for pipe organs. Markham, Ontario.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.organworks.com/"} +{"d:Title": "Flora and Co.", "d:Description": "Descriptions, photos and history of the hand cranked street organ; prices for new instruments and punched music rolls.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.floraco.com/organs/"} +{"d:Title": "Heuss, Otto", "d:Description": "German supplier of pipe organ parts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.ottoheuss.de/"} +{"d:Title": "Walsh, C. M. Pipe Organs Ltd.", "d:Description": "Irish-born and trained organbuilder. List of services, projects completed. Sharon Hill, Pa.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.walshorgans.com/"} +{"d:Title": "Fratelli Ruffatti", "d:Description": "History and company information, testimonials, lists of representatives and recordings. Padua, Italy.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.ruffatti.com/"} +{"d:Title": "Schantz Organ Company", "d:Description": "Company history and philosophy, current projects, facilities information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.schantzorgan.com/"} +{"d:Title": "Gebroeders Decap", "d:Description": "Antwerp builder of pipe organs, dance organs and street organs. Company history, instruments and CD information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.decap-gebr-antwerp.com/"} +{"d:Title": "Louder, James", "d:Description": "Consulting organ builder from Montreal.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.jameslouder.com/"} +{"d:Title": "Meyers Enterprises, Inc.", "d:Description": "Pipe organ service and sales from Randallstown, Maryland.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://members.tripod.com/ken_myers/id6.htm"} +{"d:Title": "Lalibert\u00e9-Payment Inc.", "d:Description": "Canadian rebuilder and restorer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.laliberte-payment.com/"} +{"d:Title": "Page, John", "d:Description": "Fairground organs and street organs using traditional materials and methods. Also church organs overhauled.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.johnpage.co.uk/organs/"} +{"d:Title": "Orgelbau Klais", "d:Description": "Company history and philosophy, details of recent instruments, literature and CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.orgelbau-klais.com/"} +{"d:Title": "Martin Ott Pipe Organ Co., Inc.", "d:Description": "Information about the company and its instruments. Color photos, organ specifications, company history and newsletter.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.martinottpipeorgan.com/"} +{"d:Title": "Darrow Pipe Organ Co.", "d:Description": "New pipe organs; remodeling and servicing existing pipe organs in Arizona, Iowa, Minnesota, Nebraska, and South Dakota.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.darrowpipeorgan.com/"} +{"d:Title": "Goetze and Gwynn", "d:Description": "History and aims, information on new and restored organs, and list of Harley Monographs for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.goetzegwynn.co.uk/"} +{"d:Title": "Fabry Inc.", "d:Description": "Pipe organ services and construction. Portfolio, company history.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.fabryinc.com/"} +{"d:Title": "Faucher Organ Company, Inc.", "d:Description": "History, opus list, staff information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.faucherorgan.com/"} +{"d:Title": "Smalley, Grant Pipe Organs", "d:Description": "Recent projects, biographies, continuo organs for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.islandnet.com/~smalley/"} +{"d:Title": "Miller Pipe Organ Co.", "d:Description": "Pipe organs and custom woodworking. History, staff information, newsletter.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.millerorgan.com/"} +{"d:Title": "Randall Dyer&Associates", "d:Description": "Tennessee firm's philosophy, photographs and specifications of several organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.rdyerorgans.com/"} +{"d:Title": "L + J Klein Organ Pipes", "d:Description": "German pipemaker. Company history, shop photographs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.orgelmetallpfeifenbau.de/"} +{"d:Title": "New Century Products", "d:Description": "Makers of zimbelsterns (or cymbelsterns) for organs. Zimbelstern history, models, list of music with which a zimbelstern can be used.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.zimbelstern.com/"} +{"d:Title": "A. Thompson-Allen Company", "d:Description": "New Haven, Connecticut restoration firm. History and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.thompson-allen.com/"} +{"d:Title": "Richards, Fowkes&Co.", "d:Description": "Manufacturer of tracker action pipe organs in Ooltewah, Tennessee. Complete opus list.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.richardsfowkes.com/"} +{"d:Title": "The Associated Pipe Organ Builders of America (APOBA)", "d:Description": "A professional association of North American firms engaged in building traditional pipe organs. Members, officers, publications, outreach, photos and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.apoba.com/"} +{"d:Title": "Diego Cera Organbuilders", "d:Description": "Tracker organ builders in the Philippines. Includes history, photos and specifications.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://diegocera.tripod.com/"} +{"d:Title": "Nichols&Simpson, Inc.", "d:Description": "Information about personnel and facilities, pictures and stoplists of completed installations and projects under construction.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.nicholsandsimpson.com/"} +{"d:Title": "Berghaus Organ Company", "d:Description": "History and philosophy, photo gallery, service department information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.berghausorgan.com/"} +{"d:Title": "Gluck New York", "d:Description": "Details of upcoming projects, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.glucknewyork.com/"} +{"d:Title": "Fowler Organ Company", "d:Description": "Information about new and used pipe organs, jargon guide, list of services.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.fowlerorgan.com/"} +{"d:Title": "Zimmer, Cornell", "d:Description": "Builder of pipe/electronic combination organs in Denver, North Carolina. Opus list with photographs, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.zimmerorgans.com/"} +{"d:Title": "Harvey Smoller Service", "d:Description": "Pipe and electronic organ repair. List of services and recent projects. North Hollywood, California.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.angelfire.com/biz/harveyorgan/"} +{"d:Title": "Schneider Pipe Organs, Inc.", "d:Description": "Company profile, opus list, current projects. Kenney, Illinois.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.schneiderpipeorgans.com/"} +{"d:Title": "Willis, Henry&Sons", "d:Description": "Services offered, extensive company history.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.willis-organs.com/"} +{"d:Title": "Bond Organ Builders, Inc.", "d:Description": "Builders and restorers of pipe organs in the Pacific Northwest. Includes information on recent and upcoming projects, and staff biographies.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.bondorgans.com/"} +{"d:Title": "Letourneau, Orgues Ltee", "d:Description": "English language site of Qu\u00e9bec firm has company history and many photos but few specifications.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.letourneauorgans.com/"} +{"d:Title": "Wicks Organ Company Web Site", "d:Description": "The Wicks Organ Company of Highland, Illinois, custom pipe organ builders since 1906.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.wicks.com/"} +{"d:Title": "Cerny Pipe Organ Restoration", "d:Description": "Photos and descriptions of past projects, current projects, and several photos of related items. No contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://sweb.cz/cernypipeorgan/"} +{"d:Title": "Johnson Organ Co., Fargo, N.D.", "d:Description": "Contact information and details of two organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.johnsonorgan.com/"} +{"d:Title": "Taylor and Boody Organbuilders, Inc.", "d:Description": "Detailed opus list, list of CDs, sawmill information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.taylorandboody.com/"} +{"d:Title": "Fisk, C.B. Inc.", "d:Description": "Builder of mechanical action organs in Gloucester, Mass. Includes information on selected instruments and an online version of the company newsletter.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.cbfisk.com/"} +{"d:Title": "Glatter-G\u00f6tz Organs", "d:Description": "Information on instruments built by this south German firm as well as concept drawings of modern organs. [German/English]", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.gg-organs.com/"} +{"d:Title": "Kadak, Inc.", "d:Description": "Supplier of Direct-Action pallet valves.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.kadak-inc.com/"} +{"d:Title": "Holtkamp Organ Company", "d:Description": "Contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.holtkamporgan.com/"} +{"d:Title": "MEI Pipe Organ Services", "d:Description": "Providing tuning, repairs, releathering, sales and consulting services. Former representative of M\u00f6ller for Mid-Atlantic states.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://members.tripod.com/ken_myers/"} +{"d:Title": "Jacob Gerger&Sons", "d:Description": "Builds and restores pipe organs in the northeast United States. History, description of services. Croydon, Pennsylvania.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.organ-builder.com/"} +{"d:Title": "KJR&Assoc. Inc.", "d:Description": "Specializing in the maintenance and restoration of historically significant pipe organs. Company history, portfolio, description of services. Pointe Claire, Quebec, Canada.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.raudsepp.com/"} +{"d:Title": "Sipe, Robert L. Inc.", "d:Description": "Builder of tracker and electric action organs. Profile, current projects, opus list. Garland, Texas.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.sipeorgan.com/"} +{"d:Title": "Carey Organ Company", "d:Description": "Description of services, photographs of several instruments. Troy, New York.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.careyorgan.com/"} +{"d:Title": "Bunn=Minnick Pipe Organs", "d:Description": "History and current projects, description of services, items for sale and concert listing.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.bunnminnick.com/"} +{"d:Title": "Goulding&Wood, Inc.", "d:Description": "Indianapolis builder; history, news, opus list (with some photos), CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.gouldingandwood.com/"} +{"d:Title": "Panizza Organs", "d:Description": "Details of several positiv organs made by Minneapolis, Minnesota builder.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.panizzaorgan.com/"} +{"d:Title": "Pasi Organ Builders, Inc.", "d:Description": "Specializing in manufacture and restoration of pipe organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.pasiorgans.com/"} +{"d:Title": "Bedient Pipe Organ Co.", "d:Description": "Builder of tracker pipe organs in Lincoln, Nebraska. Contact information, news and photos of recent installations.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.bedientorgan.com/"} +{"d:Title": "Hochhalter, Inc.", "d:Description": "Pipe organ maintenance, repair, rebuilding and additions. Salem, Oregon.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.hochhalter.com/"} +{"d:Title": "Wallace, David E. and Company", "d:Description": "Restorer of 19th century tracker organs. Company profile, description of recent projects. Gorham, Maine.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.wallacepipeorgans.com/"} +{"d:Title": "Coulter, Robert I.", "d:Description": "Atlanta-based pipe organ service, restoration and consultation. Brief company profile, description of services.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://coulterorgans.com/"} +{"d:Title": "Peebles-Herzog, Inc.", "d:Description": "New organs as well as repair and maintenance. Services offered, list of current projects, company information. Columbus, Ohio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.peeblesherzog.com/"} +{"d:Title": "Allan Miller Associates", "d:Description": "Multiplex organ relays, organ maintenance services. Glastonbury, Connecticut.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.z-tronics.com/"} +{"d:Title": "New Zealand Organ Manufactory", "d:Description": "Established in 1998 to provide maintenance for pipe and reed organs. Contact information, details of services and local historic instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://homepages.paradise.net.nz/celesti1/"} +{"d:Title": "Tickell, Kenneth&Co", "d:Description": "UK firm; company history and philosophy; extensive information on two organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.tickell-organs.co.uk/"} +{"d:Title": "A.R. Schopp's Sons, Inc.", "d:Description": "American pipemaker and organ supply house. List of services, company history, photographic documentary of pipemaking.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.arschopp.com/"} +{"d:Title": "Gober Organs Inc.", "d:Description": "Photos and stoplists of selected organs, company philosophy, information about tonal revisions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.goberorgans.com/"} +{"d:Title": "Vleugels Orgelmanufactur", "d:Description": "Builder and restorer in Hardheim, Germany. Company profile, photographs and descriptions of some instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.vleugels.de/"} +{"d:Title": "Andover Organ Company", "d:Description": "Includes company history, partial opus list and some links to other pages devoted to specific Andover organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.andoverorgan.com/"} +{"d:Title": "Noack Organ Company", "d:Description": "Company history, information on selected instruments and shop philosophy.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.noackorgan.com/"} +{"d:Title": "Reynolds Associates, Inc.", "d:Description": "Information about their building, renovating, tuning and servicing of pipe organs, photo gallery and sound clips of their work. Based in Marion, Indiana, USA.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.reynoldsorgans.com/"} +{"d:Title": "Rieger Orgelbau Virtual Tour", "d:Description": "Photographic tour of Rieger Orgelbau factory in Schwartzach, Austria. 75 photos (all thumbnailed) with verbal description of complete instrument construction process.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.rcrowley.com/Rieger/Tour.htm"} +{"d:Title": "Czelusniak et Dugal, Inc.", "d:Description": "Modest but handsome two-page site about this western Mass. restoration and maintenance firm", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.czelusniakdugal.com/"} +{"d:Title": "Broome and Company", "d:Description": "Offering complete reed voicing and reed restoration services to the pipe organ industry.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.reedvoicers.com/"} +{"d:Title": "Solid State Logic Organ Systems", "d:Description": "Electronic control systems for pipe organs. Catalogue of products, downloadable manuals, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.ssosystems.com/"} +{"d:Title": "Keates-Geissler Pipe Organs", "d:Description": "Site of Canadian builder includes company philosophy and history, as well as photos and specs of five organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://pipeorgans.ca/"} +{"d:Title": "The Peragallo Pipe Organ Company", "d:Description": "In Paterson, N.J.; history, representative instruments, contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.peragallo.com/"} +{"d:Title": "Alan T. Jackson&Company Limited", "d:Description": "Contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.atj1.com/"} +{"d:Title": "Rosales Organ Builders, Inc.", "d:Description": "Biography of Manuel J. Rosales, Jr., complete opus list and testimonials.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.rosales.com/"} +{"d:Title": "Wissinger Organs", "d:Description": "Builder of tracker instruments. News, opus list with photographs and specifications. Portsmouth, New Hampshire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://home.myfairpoint.net/darronw/wissinger_organs.html"} +{"d:Title": "Levsen Organ Company", "d:Description": "History, opus list, contact information for representatives.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.levsenorg.com/"} +{"d:Title": "Maier, Stefan", "d:Description": "Mechanical action residence organs and organ maintenance. Profile, description of instruments. Athol, Massachusetts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Builders", "url": "http://www.trackerorgans.com/"} +{"d:Title": "Arp Schnitger, Organ Builder", "d:Description": "Listing of Schnitger organs, including dates, photos and specifications.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Guides_and_Directories", "url": "http://www.arpschnitger.nl/"} +{"d:Title": "Gottfried Silbermann, Master Organ Builder", "d:Description": "Photos, historical detail, locations of 31 original instruments, a visit to the Silbermann Museum, Silbermann organs on CD, contact and accommodation information.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Guides_and_Directories", "url": "http://www.baroquemusic.org/silbeng.html"} +{"d:Title": "Chilean Pipe Organ Site", "d:Description": "A catalog of extant organs, searchable by builder and type of location.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Guides_and_Directories", "url": "http://www.clr.cl/"} +{"d:Title": "The Pipe Organs of Southern West Virginia", "d:Description": "Photos and specifications of pipe organs in Athens and Beckley, W.V.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Guides_and_Directories", "url": "http://theatreorgans.com/wv/beckley/"} +{"d:Title": "Pipe Organs of Temple Square", "d:Description": "Detailed information on the nine pipe organs at the headquarters of the Church of Jesus Christ of Latter-day Saints in Salt Lake City, Utah, USA.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Guides_and_Directories", "url": "http://elliottrl.tripod.com/"} +{"d:Title": "Pipe Organs of the Merrimack Valley", "d:Description": "These Massachusetts organs were featured at the American Guild of Organists Regions I and II Convention, 1995.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Guides_and_Directories", "url": "http://www.tneorg.com/mv/mv.html"} +{"d:Title": "The Organ Historical Trust of Australia", "d:Description": "Pipe organs in Australia, their conservation and documentation.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Guides_and_Directories", "url": "http://www.ohta.org.au/"} +{"d:Title": "Church of Santa Maria della Consolazione in Almenno San Salvatore", "d:Description": "English/Italian site of about the 1588 Antegnait organ. Information about the church, the instrument and its builder.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://www.antegnati.it/"} +{"d:Title": "Atlantic City Convention Hall Organs", "d:Description": "Photographs and a brief history by Arthur Goulet.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://theatreorgans.com/atlcity/"} +{"d:Title": "Lawrence Phelps and Associates", "d:Description": "History of firm with opus list, selected articles, and profile of Phelps' wife, Dame Gillian Weir.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://www.lawrencephelps.com/"} +{"d:Title": "St. Sulpice, Paris", "d:Description": "The great instrument built by Cliquot and substantially reconstructed by Cavaill\u00e9-Coll. History, specification, discography, concert schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://www.stsulpice.com/"} +{"d:Title": "The Cavaille-Coll Organ of Manchester Town Hall", "d:Description": "History, photographs and specifications of the 1877 organ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://bombarde320.tripod.com/index.htm"} +{"d:Title": "The Boston University Symphonic Organ", "d:Description": "Photographs, stoplist, history and directions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://www.nbarden.com/buso/welcome.html"} +{"d:Title": "The Gottfried Silbermann Organ Museum", "d:Description": "Museum hours and information (including map), life of Silbermann, many photographs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://www.museum.com/jb/museum?sub=silbermann"} +{"d:Title": "Notre Dame, Paris", "d:Description": "Very brief description of organ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://james.chauveau.free.fr/ND/paris_nd.htm"} +{"d:Title": "The Silbermann Organ in Freiberg Cathedral, Saxony", "d:Description": "Description, photos, full specification of 1714 instrument. Profile of Gottfried Silbermann (1683-1753). Information about other Silbermann organs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://www.baroquemusic.org/silbeng.html"} +{"d:Title": "Organs of the Casparini Dynasty", "d:Description": "Extensive information about instruments and builders, including Casparini, Engler Gabler and J. Wagner. Also German-only description of organs in Koenigsberg, Prussia.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://www.casparini.0nyx.com/Casparini/caspfram.htm"} +{"d:Title": "Friends of the Wanamaker Organ", "d:Description": "Illustrated history and specifications of the John Wanamaker Grand Court Organ in Philadelphia's Lord and Taylor Store. Details on the historic Wanamaker Store and its founders, as well as special concerts, publications and activities.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://wanamakerorgan.com/"} +{"d:Title": "Institute of Historic Organs of Oaxaca Mexico", "d:Description": "Non-profit organization that works to preserve Oaxaca's historic pipe organs. List of goals, newsletters, details of historic instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Historic_Organs", "url": "http://iohio.org.mx/"} +{"d:Title": "Bayard Sharp Hall, University of Delaware", "d:Description": "Photo documentary of installation of new Dobson pipe organ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Individual_Instruments", "url": "http://www.udel.edu/pipeorgan/"} +{"d:Title": "Christchurch Town Hall", "d:Description": "Documentation of the 1997 installation of the Rieger organ. Hundreds of photos, MP3 sound files, coming events, guestbook, and CDs for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Individual_Instruments", "url": "http://www.nzorgan.com/"} +{"d:Title": "Homebrew Pipe Organ", "d:Description": "Documentary of the construction of a homemade pipe organ completely from scratch.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Individual_Instruments", "url": "http://www.sentex.net/~mwandel/organ/organ.html"} +{"d:Title": "Doug's Schlicker Pipe Organ", "d:Description": "Photos, specification, history, sound samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Individual_Instruments", "url": "http://mysite.verizon.net/dalderdi/organ/"} +{"d:Title": "Redundant Organ Rehousing Company Ltd.", "d:Description": "Relocates used pipe organs in the UK List of organs and pipes for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Organizations", "url": "http://www.rorcl.co.uk/"} +{"d:Title": "Huddersfield Organists' Association", "d:Description": "Articles and other items of interest to those concerned with organs and organ music. Also a pipe organ archive especially local to West Yorkshire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Organizations", "url": "http://www.hudds.org.uk/"} +{"d:Title": "The Institute of British Organ Building", "d:Description": "Professional association of British organ builders. Directory of members, description of services and publications for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Organizations", "url": "http://www.ibo.co.uk/"} +{"d:Title": "Atalanta Fugiens Portative Organ by Cynthia Large", "d:Description": "Replica of a pre-15th century diatonic portative organ, with engraved ivory keys and casework painting. Includes close-ups of case and keys.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Portative_Organs", "url": "http://www.cynthialarge.com/keyboard/keyboardpainting6.html"} +{"d:Title": "The Organetto", "d:Description": "Photographs and WAV and MP3 audio samples from Iowa State University's early music ensemble Musica Antiqua.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Pipe_Organs/Portative_Organs", "url": "http://www.music.iastate.edu/antiqua/organeto.htm"} +{"d:Title": "Byrd Theatre", "d:Description": "Richmond, VA. Theatre history, photos, and schedule. Wurlitzer Opus 1948 4/17 original installation plays Saturdays.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://members.tripod.com/~g_cowardin/byrd/"} +{"d:Title": "Kirk of Dunedin Pipe Organ", "d:Description": "Dunedin, Florida. 4/100 custom. CDs, concert schedule, and history.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.kirkorgan.com/"} +{"d:Title": "Theatre Organ Home Page", "d:Description": "Wide variety of information, buy and sell ads, and many links to other sites.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://theatreorgans.com/"} +{"d:Title": "Puget Sound Theatre Organ Society", "d:Description": "Local news, concert listing and regional theatre organ history.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.pstos.org/"} +{"d:Title": "Los Angeles Theatre Organ Society", "d:Description": "Lists of concerts and events; local organs; membership information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.latos.org/"} +{"d:Title": "Rochester Theatre Organ Society", "d:Description": "Concert schedules, pictures of the two Wurlitzer consoles (4/22 and 3/12) and pipe chambers, 4/22 stoplist, driving directions, and membership information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://theatreorgans.com/rochestr/"} +{"d:Title": "Chicago Area Theatre Organ Enthusiasts, Inc.", "d:Description": "Information about Chicago area theatre organs and organists, past and present.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.catoe.org/"} +{"d:Title": "Pine Tree Chapter ATOS", "d:Description": "Chapter meeting and membership information; photos and history of our Wurlitzer Op. 1634 3/13.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.theatreorgans.com/me/pinetree/"} +{"d:Title": "Dairyland Theatre Organ Society", "d:Description": "Wisconsin ATOS chapter. History, area organs, calendar, links to members' pages.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.theatreorgans.com/dtos/"} +{"d:Title": "Eastern Mass Chapter ATOS", "d:Description": "Oldest ATOS chapter; listings of public concerts, member events and other theatre organ information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.emcatos.com/"} +{"d:Title": "ATOS: American Theatre Organ Society", "d:Description": "News, history, locations, articles, event calendar, artist directory, and local chapter listings. Not geographically limited to the United States.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.atos.org/"} +{"d:Title": "Walnut Hill", "d:Description": "Recordings and information on a virtual theatre organ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://theatreorgans.com/walnuthill/WurliTzer.htm"} +{"d:Title": "COTOS-The Central Ohio Theatre Organ Society", "d:Description": "Information on Ohio Theatre Organs. Picture Galleries of ATOS events. Links to Theatre Organ chapters, Theatre Organ artists.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://theatreorgans.com/cotos/"} +{"d:Title": "Miditzer--A MIDI Theatre Organ", "d:Description": "Free software for a virtual Wurlitzer Style 216 on a Windows PC.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.theatreorgans.com/jimhenry/"} +{"d:Title": "Scottish Cinema Organ Trust", "d:Description": "Glasgow, Scotland. Wurlitzer and Compton events and information. CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.scottishcinemaorgantrust.org.uk/"} +{"d:Title": "Traveling M\u00f6ller", "d:Description": "Foort-BBC 5/28 Moller now in Pasadena Civic Auditorium. History and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://theatreorgans.com/travellingmoller/"} +{"d:Title": "Roosevelt Memorial Park", "d:Description": "Gardena, California. \"Mightiest\" Wurlitzer Opus 998 4/17 outdoor installation up to 50\" wp. History, spec, pictures.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.theatreorgans.com/ca/gardena/"} +{"d:Title": "Nethercutt Collection", "d:Description": "Sylmar, California. Mechanical musical instrument collection features large Wulitzer organ. Visitor information and concert schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.nethercuttcollection.org/"} +{"d:Title": "Virtual Theatre Organ", "d:Description": "Information and history of real and virtual theatre organs. MP3s and software downloads.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://virtualorgan.com/"} +{"d:Title": "Riviera Theatre", "d:Description": "North Tonawanda, New York. Monthly concerts on original 3/18 Wurlitzer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.rivieratheatre.org/"} +{"d:Title": "Theatre Organs Under the Southern Cross", "d:Description": "Downloads of theatre organ music. Information about instruments in the Southern Hemisphere and generally.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://theatreorgans.com/southerncross/"} +{"d:Title": "Central Indiana Chapter of ATOS", "d:Description": "Concert schedules, CDs, and information for 6 organs in Indiana.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.cicatos.org/"} +{"d:Title": "Scottish Theatre Organ Preservation Society", "d:Description": "A UK registered charity, whose aim is to preserve and restore theatre organs. STOPS owns Europe's largest Organ Console which controls the HiLSDON organ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.stops.org/"} +{"d:Title": "Dickinson Theatre Organ Society", "d:Description": "Home of 3m/66r Kimball pipe organ. History, concert series, recordings. Wilmington, Delaware.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs", "url": "http://www.dtoskimball.org/"} +{"d:Title": "Eddington, R. Jelani", "d:Description": "Concert schedule, CDs, MP3 excerpts, and background. Also duets with pianist David Harris.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://www.rjeproductions.com/"} +{"d:Title": "Strony, Walt", "d:Description": "Concert schedule. Tonal finishing. Sells book on theatre organ registration.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://www.waltstrony.com/"} +{"d:Title": "Calendine, Dave", "d:Description": "Concert schedule and background.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://www.calendine.net/"} +{"d:Title": "Thompson, Don", "d:Description": "Concert schedule and CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://www.donthompson.org/"} +{"d:Title": "Elliott, Chris", "d:Description": "Concert schedule and CDs. Silent film accompanist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://www.christianelliott.com/"} +{"d:Title": "Ledwon, John", "d:Description": "CDs, MP3 and WAV excerpts, and background. Description and photos of his 4/52 hybrid theatre organ in Agoura, Calif.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://organhouse.com/"} +{"d:Title": "Irwin, Bill", "d:Description": "Sheet music, instructional books and tapes.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://theatreorgans.com/uk/irwin/"} +{"d:Title": "Kann, Stan", "d:Description": "Concert schedule, CDs, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Organ/Theatre_Organs/Organists", "url": "http://www.stankann.com/"} +{"d:Title": "Pianopedia", "d:Description": "Search engine performing queries on a database of piano works from the classical piano repertoire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano", "url": "http://www.pianopedia.com/"} +{"d:Title": "Piano World", "d:Description": "A large resource of piano information. Includes history, free sheet music, FAQs and a directory of piano tuners, teachers and dealers. Also sells piano parts and accessories.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano", "url": "http://www.pianoworld.com/"} +{"d:Title": "Washington International Piano Arts Council", "d:Description": "Non-profit organization founded in 2001, presenting piano concerts at embassies of other nations located in Washington, DC as well as an annual piano competition. Calendar of events, membership forms and photo gallery.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano", "url": "http://www.wipac.org/"} +{"d:Title": "Piano Chord Finder", "d:Description": "Detailed finger settings for hundreds of piano chords and scales, displayed in a graphical manner.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano", "url": "http://www.looknohands.com/chordhouse/piano/"} +{"d:Title": "The Piano Page", "d:Description": "Official site of the Piano Technicians Guild. Extensive sections on buying, maintaining, and learning to play. Includes links to notation software, MIDI resources and image galleries. Directories of manufacturers, dealers, tuners, teachers and technicians.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano", "url": "http://www.ptg.org/"} +{"d:Title": "Pocket Piano Chord Name Finder", "d:Description": "Offers a program that will display the names of chords entered note by note, and also display on a keyboard diagram, the individual notes of any chord chosen.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano", "url": "http://www.gootar.com/piano/index.html"} +{"d:Title": "History of the Piano Forte", "d:Description": "A collection of articles about the creation of the piano, including tunings, patents, and manufacturers.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano", "url": "http://www.piano-tuners.org/history/history.html"} +{"d:Title": "Piano On the Web", "d:Description": "Free online piano tutor with a selection of scores helps to create an individual piano tutor that suits each user\u00b4s profile. The open source philosophy welcomes teachers and composer to add contributions. [Requires Scorch music notation plugin from Sibelius.com]", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.piano-on-the-web.com/"} +{"d:Title": "Well Prepared Pianist Institute", "d:Description": "N. Jane Tan's program to train teachers to teach a curriculum of piano study emphasizing musicianship, keyboard skills, and the ability to work independently.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.wppinstitute.com/"} +{"d:Title": "Piano Education Page", "d:Description": "Piano lesson tips, activities, music, reviews and interviews; for parents, students, teachers and fans of the piano.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://pianoeducation.org/"} +{"d:Title": "Learn Piano by Chords", "d:Description": "Teaches a chord-based method for quick learning.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.pianobychords.com/"} +{"d:Title": "TabNabber", "d:Description": "Piano chord dictionary; discussion forum for techniques, terminology and song requests; guitar to piano tab converter; and a collection of transcribed piano tabs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://tabnabber.com/"} +{"d:Title": "National Piano Foundation", "d:Description": "How to choose a piano, the benefits of playing, how to learn to play and the history of the piano.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://pianonet.com/"} +{"d:Title": "Piano Sight-Reading Practice", "d:Description": "Free online grand-staff note sight reading drill: flashcards (flash cards) from beginner to expert. Practice settings for sound, key, range, sharps, flats, ledger lines, octave notation, and labels.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.sightreadingpractice.com/"} +{"d:Title": "South Carolina School of Music", "d:Description": "Free online publication by University of South Carolina School of Music. Articles by educators and practitioners on: piano performance and pedagogy, keyboard education and technology, group and collaborative piano.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.music.sc.edu/ea/keyboard/PPF/index.html"} +{"d:Title": "GetPianoLessons.com", "d:Description": "A free online piano lesson course that focuses on the mechanics of good keyboard playing without relying on music terminology. No note reading required.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.get-piano-lessons.com/"} +{"d:Title": "Piano Tools", "d:Description": "Online piano learning tools, including piano flashcards, piano visualization tools and music theory flashcards.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.pianotools.com/"} +{"d:Title": "Resonance Connection", "d:Description": "Free online piano music reading tutorials on principles of notation and playing including: staves, bars, notes, rhythm, pitch, octaves. Provides some practice music and videos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://recon.awardspace.com/"} +{"d:Title": "Pianologist", "d:Description": "A weblog updated daily about piano playing, piano-related news, jazz piano, music composition, music arrangement, songwriting and mainly anything regarding piano music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://pianologist.com/"} +{"d:Title": "Piano Play It", "d:Description": "Provides free beginner piano lessons and relevant piano information about accompanying songs, reading sheet music, improving your technique and getting familiar with piano history.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.piano-play-it.com/"} +{"d:Title": "Zebra Keys", "d:Description": "Free online piano lessons, interactive tools, sheet music, articles, links, and product reviews. Learn how to play piano using beginner, intermediate and advanced level lessons accompanied by flash animation.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.zebrakeys.com/"} +{"d:Title": "AnythingPiano", "d:Description": "Educational resources for selecting piano teachers, practice tips, recommended books and CDs, and information about new piano music written for children and adults by living composers.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.anythingpiano.com/"} +{"d:Title": "LessonRating.com", "d:Description": "Reviews and ratings by music teachers of selected online piano courses. Also provides articles on piano study and a number of free online piano education videos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.lessonrating.com/"} +{"d:Title": "Piano Chord Dictionary.com", "d:Description": "For each piano chord (C Db D Eb E F F# G Ab A Bb B), displays formula, treble-clef staff notation, and piano keys for each of 13 possible voicings (major, minor, diminished, augmented, suspended, 6, minor 6, 7, minor 7, major 7, 9, minor 9, major 9).", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.pianochorddictionary.com/"} +{"d:Title": "Piano Lessons Central", "d:Description": "Piano lessons from beginner to advanced. Articles on equipment, training, technique, music theory, and lesson reviews. Free eBook and newsletter.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.piano-lessons-central.com/"} +{"d:Title": "EZ Piano Method", "d:Description": "Free online color-coded piano lessons for complete beginners. Color templates, audio and video, backing tracks. Tips on selecting a digital piano or home electronic keyboard.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.roamstarmusic.com/ez_piano_method.html"} +{"d:Title": "Rainbow Piano Technique", "d:Description": "Provides information about a new method to make kids learn how to play piano using colors.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.rainbowpianotechnique.com/"} +{"d:Title": "Piano Teachers Federation", "d:Description": "A community of piano teachers in Canada, based in Vancouver, whose goal is to promote piano, connect teachers and students, and provide a forum for piano teachers who give lessons.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://pianoteachersfederation.org/"} +{"d:Title": "Learning Grand Pianos", "d:Description": "About learning to play piano for beginners to more advanced students. Covers buying grand, baby grand and upright pianos and electronic keyboards.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://learninggrandpianos.com/"} +{"d:Title": "Interactive Piano Chord Visualizer", "d:Description": "Over 500 piano chord diagrams. Teaches basic to complex piano chords using chord charts, lists, finders, study tools. Also, ear training and sight reading tools.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.pianochord.com/"} +{"d:Title": "All Piano Lessons", "d:Description": "Provides qualified piano teachers in the UK and the US. There is an online form to request a new piano teacher if nobody is in the area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.allpianolessons.com/"} +{"d:Title": "Piano Tricks", "d:Description": "Online piano lessons with video and audio examples. Free lessons designed for the absolute beginner to advanced student.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.pianotricks.com/"} +{"d:Title": "Layton Music", "d:Description": "Free, downloadable games and resources for piano and music teachers. There are popular games modified for music, various types of flash cards, and manipulatives.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://laytonmusic.wordpress.com/"} +{"d:Title": "Online Piano Coach", "d:Description": "Piano lessons, tips and resources for beginner pianists.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.onlinepianocoach.com/"} +{"d:Title": "Eroica Music Academy", "d:Description": "Provides music teachers and piano lessons in London in two different locations with trained professors, as well as Skype lessons to students all level.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://eroicamusicacademy.co.uk/"} +{"d:Title": "The Well-Balanced Pianist", "d:Description": "Offers programs to improve performance, with seminar details, curriculum outlines, and background information on the teaching methods.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.wellbalancedpianist.com/"} +{"d:Title": "FreePianoMusic.org", "d:Description": "Information about finding free piano music online. Popular, classical, Christian, Christmas and jazz piano styles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Education", "url": "http://www.freepianomusic.org/"} +{"d:Title": "Fazioli Pianos", "d:Description": "Company located near Venice, Italy makes concert grand pianos and upright models. {English and Italian}", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.fazioli.com/"} +{"d:Title": "C. Bechstein Pianofortefabrik AG", "d:Description": "Piano manufacturer. Manufacturing details, model list. Also makes Zimmermann, W. Hoffmann. Based in Berlin, Germany. (German/English/French/Russian)", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://bechstein.com/"} +{"d:Title": "Pleyel Paris", "d:Description": "Piano manufacturer based in France. Model listings, history and dealer locator. (English/German/French)", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.pleyel.fr/"} +{"d:Title": "Sauter Pianos", "d:Description": "Model information and details, dealer list. Based in Germany.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.sauter-pianos.de/"} +{"d:Title": "Petrof", "d:Description": "Piano manufacturer, products, dealers, history, factory tour. Based in the Czech Republic. (English/German/Czech)", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.petrof.cz/"} +{"d:Title": "Yamaha Pianos and Digital Pianos", "d:Description": "Makers of acoustic instruments as well as the Disklavier, Clavinova, and MIDIPiano. Links to eletrconic keyboards and software.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.yamaha.com/piano/"} +{"d:Title": "Young Chang", "d:Description": "Information about products, services and technical tips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.youngchang.com/"} +{"d:Title": "Bluthner Piano", "d:Description": "Manufacturer, also makes Haessler and Irmler. Model listing and specifications.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.bluthnerpiano.com/"} +{"d:Title": "Steinway&Sons", "d:Description": "Manufacturer of pianos. Model list, technical information, news, virtual postcards, history and factory tour.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.steinway.com/"} +{"d:Title": "PianoDisc", "d:Description": "Manufacturers of electronic reproducing systems for acoustic pianos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.pianodisc.com/"} +{"d:Title": "Fandrich&Sons Pianos", "d:Description": "Family-owned piano manufacturer in Stanwood, Washington, USA, developer of patented vertical action. Model list and manufacturing details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.fandrich.com/"} +{"d:Title": "Mason&Hamlin", "d:Description": "Includes piano specifications and construction details, company history and news.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.masonhamlin.com/"} +{"d:Title": "Klavins Pianos", "d:Description": "Maker of the worlds' biggest piano with a sound board twice the size of a concert grand.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.klavins-pianos.com/"} +{"d:Title": "Schaff Piano Supply Co.", "d:Description": "Wholesale source for piano parts and accessories. Dealer login required to enter site.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.schaffpiano.com/"} +{"d:Title": "QRS Music Player Pianos&Technology", "d:Description": "Manufactures MIDI compatible piano player systems, Story and Clark and Gulbransen Inc. brands of grand and upright pianos, player piano rolls or CDs, and the Digital Hymnal.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.qrsmusic.com/"} +{"d:Title": "John Broadwood&Sons Ltd", "d:Description": "Current pianos in production, history, serial numbers and information about tuning and restoration services. Located in Kent, UK.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://www.piano-tuners.org/broadwood/"} +{"d:Title": "Kemble Pianos", "d:Description": "Model list, technical details, newsletter, dealer locator, serial numbers. Located in Milton Keynes, United Kingdom.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Manufacturers", "url": "http://kemble-pianos.com/"} +{"d:Title": "Austbo, Hakon", "d:Description": "Norwegian pianist living in the Netherlands. Biography, repertoire, discography, reviews and upcoming concerts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/A", "url": "http://www.austbo.info/"} +{"d:Title": "Argerich, Martha (b.1941)", "d:Description": "Excerpts from a 1979 interview with Dean Elder; in-print discography; reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/A", "url": "http://www.andrys.com/arg-1979.html"} +{"d:Title": "Abbott, Jocelyn&O'Gorman, Laura", "d:Description": "UK Piano duo; brief information only.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/A", "url": "http://www.cubittmusic.co.uk/"} +{"d:Title": "Artzt, Susanna", "d:Description": "Biography, reviews, MP3s and interviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/A", "url": "http://www.susannaartzt.com/"} +{"d:Title": "Amalong, Philip", "d:Description": "Concert pianist and composer. Biography, recordings, performances, news.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/A", "url": "http://www.amalong.com/"} +{"d:Title": "Brenna, Anna", "d:Description": "A short biography of the Italian-born pianist and teacher, living in Argentina.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://www.ciweb.com.ar/"} +{"d:Title": "Brautigam, Ronald", "d:Description": "Information on the Dutch pianist (piano and fortepiano); biography, concert schedule, discography, reviws, sounds.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://www.ronaldbrautigam.com/"} +{"d:Title": "Barber, John", "d:Description": "Teacher, composer, and pianist. Biography and tuition information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://www.j-barber.com/"} +{"d:Title": "Barnea, Sharon", "d:Description": "Biography, repertoire, performance, music extracts and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://sharon.barnea.free.fr/"} +{"d:Title": "Bob, Sarah", "d:Description": "Classical pianist in Boston area. Biography, repertoire, reviews, and schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://www.sarahbob.net/"} +{"d:Title": "Berman, Donald", "d:Description": "Piano recitalist, teacher and lecturer based in New York and Boston. News, press kit, biography, recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://www.donaldbermanpiano.com/"} +{"d:Title": "Buttrick, John", "d:Description": "Discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://jb.area24.net/"} +{"d:Title": "Baldocci, Gabriele", "d:Description": "Official site of this professor of piano and concert pianist. Includes news, biography, video and photograph galleries, calendar of events, and information on CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://www.gabrielebaldocci.com/"} +{"d:Title": "Bakker, Johan Jsolko", "d:Description": "Dutch pianist brings more than 35 original compositions for solo piano. With audio clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B", "url": "http://www.islapiano.com/"} +{"d:Title": "Idil Biret (1941)", "d:Description": "Pianist of Turkish birth. Current information and discography including collector's item and rare MP3 files.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B/Biret,_Idil", "url": "http://www.idilbiret.eu/"} +{"d:Title": "Naxos: Idil Biret", "d:Description": "Label site with biography, discography, and pictures.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B/Biret,_Idil", "url": "http://www.naxos.com/artistinfo/Idil_Biret/67.htm"} +{"d:Title": "Alfred Brendel (b. 1931)", "d:Description": "Official website includes biography, gallery with several private photos, discography by Philips, current events and more [needs flash plugin].", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B/Brendel,_Alfred", "url": "http://www.alfredbrendel.com/"} +{"d:Title": "BBC Desert Island Discs: Alfred Brendel", "d:Description": "Kirsty Young talks to pianist Alfred Brendel.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/B/Brendel,_Alfred", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/5f3c7f83#b03h7grg"} +{"d:Title": "Coll, Ramon", "d:Description": "Biography, discography, repertoire, mediatheque, and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://ramoncoll.com/"} +{"d:Title": "Comune, Carol", "d:Description": "Steinway Artist and composer's new CD releases.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.carolcomune.com/"} +{"d:Title": "Cahill, Sarah", "d:Description": "\"Pianist specializing in new American music and works from the American experimental tradition.\"", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.sarahcahill.com/"} +{"d:Title": "Chystokletov, Igor", "d:Description": "Classical Russian pianist and educator in Vietnam. Biography, repertoire, photographs, and lesson information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.chystokletov.edu.sg.ro/"} +{"d:Title": "Contiguglia, Richard and John", "d:Description": "Duo pianists home page, includes biography, repertoire and reviews, plus recordings on Gemini CD Classics.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.duopianistscontiguglia.com/gemini.htm"} +{"d:Title": "Caramiello, Francesco (b. 1964)", "d:Description": "Italian pianist; includes curriculum, discography, repertoire, and press review.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://digilander.libero.it/mimmoparola/"} +{"d:Title": "Chandler, Kristi", "d:Description": "Books for local events, parties, and special occasions in North Alabama.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.kristichandler.com/"} +{"d:Title": "Chen, Melvin", "d:Description": "Audio samples and information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.melvinchen.com/"} +{"d:Title": "Chappell, Jim", "d:Description": "Music samples, CDs, and mailing list.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.jimchappell.com/"} +{"d:Title": "Chappell, Jeffrey", "d:Description": "Composer, author, educator, and classical and jazz musician. Concert schedule, biography, and activities.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/C", "url": "http://www.jeffreychappell.com/"} +{"d:Title": "Diamonds, Scotty", "d:Description": "Hiring information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/D", "url": "http://www.pianoartist.com/"} +{"d:Title": "Doy, Carl", "d:Description": "New Zealand-based pianist, known for his \"Piano By Candlelight\" series. Biography and online store.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/D", "url": "http://www.carldoy.com/"} +{"d:Title": "Dunn, Scott", "d:Description": "Pianist and conductor in Los Angeles and New York. Biography, repertoire, recordings, and video.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/D", "url": "http://www.scott-dunn.com/"} +{"d:Title": "Djordjevic, Alexander", "d:Description": "Born in Chicago; contains a biography, message board, links, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/D", "url": "http://www.alexanderdjordjevic.com/"} +{"d:Title": "Darrington, Steve \"The Boogieman\"", "d:Description": "Boogie woogie pianist and author. Biography, discography, and gallery.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/D", "url": "http://www.stevedarrington.com/"} +{"d:Title": "Women at the Piano", "d:Description": "Discover the superstars of women concert pianists, past and present. A timeline of women classical piano virtuosos with links to biographical data or their professional web sites.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Directories", "url": "http://www.pianowomen.com/"} +{"d:Title": "Ffrench, Alexis Alexander (b.1970)", "d:Description": "Concerto performer, recitalist and leading exponent of solo improvised concerts; mp3 audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/F", "url": "http://classical-artists.com/alexis-ffrench/"} +{"d:Title": "Fanning, Diana", "d:Description": "Includes discography, biography, repertory, reviews, recordings with audio samples and current tour schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/F", "url": "http://community.middlebury.edu/~fanning/"} +{"d:Title": "Feghali, Jos\u00e9", "d:Description": "Brazil-born pianist, living in Texas; biography, reviews, discography, and news.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/F", "url": "http://feghali.com/"} +{"d:Title": "Fitenko, Nikita", "d:Description": "Russian pianist. Biography, repertoire, recordings, and upcoming events.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/F", "url": "http://www.fitenko.com/"} +{"d:Title": "Frankl, Peter", "d:Description": "Biography, discography, and repertoire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/F", "url": "http://www.peterfrankl.co.uk/"} +{"d:Title": "Finnissy, Michael", "d:Description": "UK. Includes biography, lists of scores and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/F", "url": "http://www.michaelfinnissy.info/"} +{"d:Title": "Ginsburgh, Stephane", "d:Description": "Biography, concert agenda, photos, and links. In English and French.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G", "url": "http://www.ginsburgh.net/"} +{"d:Title": "Goksel, Omer", "d:Description": "Turkish pianist and composer. Music samples, news, and information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G", "url": "http://www.omergoksel.com/"} +{"d:Title": "Goldstein, Gila", "d:Description": "Classical pianist, native of Israel residing in New York City. Biography, reviews, photos, upcoming performances and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G", "url": "http://www.gilagoldstein.com/"} +{"d:Title": "Garten, Joel", "d:Description": "Pianist, composer, and improviser. Biography and CD.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G", "url": "http://webhome.idirect.com/~vreiss/joel/"} +{"d:Title": "Gray, Michael", "d:Description": "Singer and piano player in New York metropolitan area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G", "url": "http://www.michaelgray.com/"} +{"d:Title": "Garten, Joel", "d:Description": "Pianist, composer, and improviser. Biography and CD.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G", "url": "http://www.joelgarten.com/"} +{"d:Title": "Godowsky, Leopold 1870-1938.", "d:Description": "Highlights from an article by piano pedagogue Heinrich Neuhaus.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G/Godowsky,_Leopold", "url": "http://www.neuhaus.it/english/godowski.html"} +{"d:Title": "The Gould Archive", "d:Description": "Virtual exhibition drawn from Gould's archival papers and audio tapes, searchable databases of published materials, documents and audiovisual materials, selective bibliography of books and articles, list of radio broadcasts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/G/Gould,_Glenn", "url": "http://www.collectionscanada.gc.ca/glenngould/index-e.html"} +{"d:Title": "Huang, Eileen", "d:Description": "China born pianist, living in Positano, Italy. Biography, CDs, Concerts, International Chamber Music Courses in summer in Italy and China.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.eileenhuang.com/"} +{"d:Title": "Hollander, Lorin", "d:Description": "Featuring reviews, concerts, residencies, teaching and mentoring, institutes for transformation in healing and education and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.lorinhollander.com/"} +{"d:Title": "Hall, Steve", "d:Description": "Piano orchestrations of inspirational and romantic music. Biography, order information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.stevehall.com/"} +{"d:Title": "Himy, Eric", "d:Description": "American pianist's web page, includes biography, reviews, pictures, touring schedule, recordings and samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.erichimy.com/"} +{"d:Title": "Hinrichs, Marie-Luise", "d:Description": "German pianist; includes CDs, photos, biography, interview, reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.marie-luise-hinrichs.de/"} +{"d:Title": "Howard, Leslie", "d:Description": "Official site of London-based concert pianist. Includes biography, recordings, compositions, reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.lesliehowardpianist.com/"} +{"d:Title": "Hartmann, Bela", "d:Description": "Information, biography, concert schedule and audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.belahartmann.com/"} +{"d:Title": "Habermann, Michael", "d:Description": "Biography, audio clips, and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://www.michaelhabermann.com/"} +{"d:Title": "Hanysz, Alexander", "d:Description": "Biography, upcoming performances, and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H", "url": "http://hanysz.net/"} +{"d:Title": "Hamelin, Marc-Andre (1961)", "d:Description": "This site is an effort to present as much current and concise information about the great Canadian pianist as possible.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H/Hamelin,_Marc-Andre", "url": "http://bradley-john.tripod.com/Marc-AndreHamelin.html"} +{"d:Title": "Hamelin, Marc-Andre", "d:Description": "[Hyperion] Biography, discography and audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H/Hamelin,_Marc-Andre", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=hamelin"} +{"d:Title": "David Helfgott", "d:Description": "The official site includes recital dates, a biography and the latest news.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/H/Helfgott,_David", "url": "http://www.davidhelfgott.com/"} +{"d:Title": "Imamine, Yuka", "d:Description": "Japanese-born pianist and professor at University for Music and Theatre in Munich. Includes repertoire, profile, photos and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/I", "url": "http://www.yukaimamine.com/"} +{"d:Title": "Inui, Madoka", "d:Description": "Biography, repertory, slide show and CDs of classical pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/I", "url": "http://www.madokamusic.com/"} +{"d:Title": "Janis, Byron", "d:Description": "Internationally renowned concert pianist; includes biography, recordings, reviews, performances.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/J", "url": "http://www.byronjanis.com/"} +{"d:Title": "Jelinek, Ladislav", "d:Description": "Concert pianist and chamber musician. Biography, music samples (mpg), reviews, repertory information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/J", "url": "http://www.classicalpiano.de/"} +{"d:Title": "Jimenez, Rachel Iris", "d:Description": "Homepage includes short biography, repertoire and soundclips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/J", "url": "http://www.racheljimenez.com/"} +{"d:Title": "Janssen, Shannon", "d:Description": "News, biography, discography, CD reviews, photos and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/J", "url": "http://www.shannonjanssen.com/"} +{"d:Title": "Jardon, Lydia", "d:Description": "French concert artist. Biography, discography, and musical extracts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/J", "url": "http://www.lydiajardon.com/"} +{"d:Title": "Jarrett, Chris", "d:Description": "Biography, discography, and MP3s.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/J", "url": "http://www.chrisjarrett.de/"} +{"d:Title": "Johnson, Tommy", "d:Description": "Biography and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/J", "url": "http://www.tommyjohnsonmusic.com/"} +{"d:Title": "Claus, Mathias", "d:Description": "German pianist offers MP3s and YouTube video as well as performances including collaboration with Russian jazz singer in Moscow. [German and English]", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.mathiasclaus.com/"} +{"d:Title": "Mellow Tones", "d:Description": "Pianist and composer, William Gati, has his music available for listening and free download.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.williamgati.com/"} +{"d:Title": "Copley, Al", "d:Description": "Pianist specializing in blues, jazz and boogie-woogie. Find biography, discography, and sound clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://alcopley.com/"} +{"d:Title": "Pevzner, Yan", "d:Description": "Jazz pianist, composer, arranger and educator from New York. Biography, gig schedule, CD review.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://yanpevzner.com/"} +{"d:Title": "Birnbaum, Mark", "d:Description": "Ragtime, jazz and blues pianist, staff musician for Joe Franklin television show, with a doctorate from Columbia University. MP3s, articles about ragtime and jazz history, reviews and interviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.ragtimemarkbirnbaum.com/"} +{"d:Title": "Arriale, Lynne", "d:Description": "Features reviews, sound bites and itinerary for jazz pianist Arriale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.lynnearriale.com/"} +{"d:Title": "Tobey, Paul", "d:Description": "Profile, reviews, tour dates, and sound files for the Canadian jazz pianist and composer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.paultobey.com/"} +{"d:Title": "Thompson, Butch", "d:Description": "Official website of the legandary jazz and ragtime pianist; many features and audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.butchthompson.com/"} +{"d:Title": "Braid, David", "d:Description": "A distinct, young Canadian jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.davidbraid.com/"} +{"d:Title": "Clayderman, Richard", "d:Description": "Artist information, album listings, new releases, real encoded tracks, world tour updates, Delphine information, Olivier Toussaint articles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.clayderman.co.uk/"} +{"d:Title": "Knight, Dan", "d:Description": "Real Audio/Video, mp3s, complete itinerary and discography for the jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.danknight.com/"} +{"d:Title": "Law, John", "d:Description": "Homepage of UK jazz pianist Law has biography, discography, news, reviews, gallery and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.nday.co.uk/JohnLaw.html"} +{"d:Title": "Herskowitz, Matt", "d:Description": "Interpreter of the classics, a brilliant jazz pianist and a composer of vast range and originality.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://mattherskowitz.com/"} +{"d:Title": "Bliwas, Richard", "d:Description": "Jazz and alternative pianist/composer; page includes biography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.richardbliwas.com/"} +{"d:Title": "Argenti, Ferdinando", "d:Description": "Boston-based pianist, vocalist, composer and arranger originally from Pisa, Italy. Biography, discography, audio samples, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://interjazz.com/ferdinandoargenti/"} +{"d:Title": "Horne, David", "d:Description": "Former jazz pianist with the USMA Band's Jazz Knights from 1975 -1995.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://members.home.nl/davehorne/"} +{"d:Title": "Hill, Andrew", "d:Description": "Jazz pianist and composer; includes biographical notes, discography, press, images, tour plan.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.andrewhilljazz.com/"} +{"d:Title": "Otahal, Herbert", "d:Description": "Pianist-composer-arranger of music for theatre, TV, Jazz projects. Includes audio, services, shows, journal, and CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.otahal.at/Herbert%20OTAHAL%20the%20pianist%20the%20musicproduction.htm"} +{"d:Title": "Carmichael, Judy", "d:Description": "Styles include stride and swing. Biography, articles, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.judycarmichael.com/"} +{"d:Title": "Green, Tim", "d:Description": "Chicago pianist. Biography, performances, and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.timgreenjazz.com/"} +{"d:Title": "Carter, Bill", "d:Description": "Presbyterian preacher and jazz pianist. Find information about the Presbybop Quartet, plus CDs, and photo galleries.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.presbybop.com/"} +{"d:Title": "Pacini, Tony", "d:Description": "Located in Portland, Oregon. Biography, booking information, and CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.tonypacini.com/"} +{"d:Title": "Mcall, Barney", "d:Description": "Biography, resume, and CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.barneymcall.com/"} +{"d:Title": "Mikami, Kuni", "d:Description": "Japanese-born jazz pianist in New York. Has performed with Lionel Hampton and Paul Ellington. Schedule, biography, and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.kunimikami.com/"} +{"d:Title": "Thompson, David", "d:Description": "Jazz pianist and composer. Biography, touring schedule, multimedia, and booking information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.davidthompsonjazz.com/"} +{"d:Title": "Gallagher, Rick", "d:Description": "Pianist, composer, and arranger. Recordings, booking information, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.rickgallagher.com/"} +{"d:Title": "Whiteman, Richard", "d:Description": "Jazz pianist and composer. Upcoming events, biography, and samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.richardwhiteman.com/"} +{"d:Title": "Barth, Thomas", "d:Description": "Biography, downloads, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.thomasbarth.com/"} +{"d:Title": "DeChellis, Dan", "d:Description": "Pianist and composer. Biography, gallery, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.dandechellis.com/"} +{"d:Title": "Savage, Matt", "d:Description": "10-year-old jazz pianist. Biography, schedule, contact information, reviews, articles, MP3s and CD ordering information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.savagerecords.com/"} +{"d:Title": "Walker, Nancy", "d:Description": "Canadian jazz pianist. Biography, discography, itinerary, reviews and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.nancywalkerjazz.com/"} +{"d:Title": "Schindele, Brian", "d:Description": "Jazz pianist, solo and trio. Biography, pictures, and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.bsmusic.net/"} +{"d:Title": "Knutson, Mary Louise", "d:Description": "Jazz pianist and composer based in Minneapolis. Biography, reviews, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.marylouiseknutson.com/"} +{"d:Title": "Ibrahim, Abdullah", "d:Description": "Discography, biography, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.abdullahibrahim.com/"} +{"d:Title": "Cray, Dan", "d:Description": "Jazz pianist based in Chicago. Biography, schedule, reviews, and song samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.dancray.com/"} +{"d:Title": "Motley, Gary", "d:Description": "Jazz pianist. Biography, discography, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.garymotleymusic.com/"} +{"d:Title": "Lyddon, Tim", "d:Description": "Biography, pictures, and lesson information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://ltim.tripod.com/"} +{"d:Title": "Milne, Andy", "d:Description": "Biography, audio clips, photos, schedules and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.andymilne.com/"} +{"d:Title": "Cohan, Ryan", "d:Description": "Chicago-based jazz pianist and composer. Biography and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.ryancohan.com/"} +{"d:Title": "MacDonald, Glyn", "d:Description": "Australian jazz pianist and composer. MP3s, biography and contact details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.glynmacdonald.com/"} +{"d:Title": "Dundas, Chris", "d:Description": "Biography, discography, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.chrisdundas.com/"} +{"d:Title": "Royal, Michael", "d:Description": "Biography, schedule, and sound clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.michaelroyal.com/"} +{"d:Title": "Mencel, Joachim", "d:Description": "Polish jazz pianist performs lyrical standards and original compositions throughout Europe with bass, drums, clarinet and guest artists. Recordings, bookings, photos, discography, guestbook.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.jmtrio.com/"} +{"d:Title": "Rennert, Uli", "d:Description": "Austrian pianist, composer and educator. Biography, downloads, equipment, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.rennert.at/"} +{"d:Title": "Ted Rosenthal", "d:Description": "Contains booking information, itinerary, biography, sound clips, and educational articles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.tedrosenthal.com/"} +{"d:Title": "Mays, Bill", "d:Description": "Pianist, composer and arranger playing dates in the US, Canada and Europe, as solo performer but also in combos and in crossover classical concerts. Press, schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.billmays.net/"} +{"d:Title": "Jamal, Ahmad", "d:Description": "Discography, biography, itinerary, photos, schedule and awards for the jazz recording artist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://ahmadjamal.net/"} +{"d:Title": "Crosara, Francesco", "d:Description": "Jazz site of Italian pianist includes download of mp3 files from his latest cd, as well as new unreleased material.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.francescojazz.com/"} +{"d:Title": "Martin, Joel A.", "d:Description": "Pianist Martin fuses classical and jazz. Biography, concert calendar, CD-ROM sales and merchandise.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.jazzical.com/"} +{"d:Title": "Matsui, Keiko", "d:Description": "Official page of the jazz pianist. Discography, tour dates, biography, pictures, video clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.keikomatsui.com/"} +{"d:Title": "Moran, Jason", "d:Description": "A look at the jazz pianist, Moran's webpages have several in-depth interviews discussing his take on the current jazz scene and his new projects.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.jasonmoran.com/"} +{"d:Title": "Weinbeck, Benny", "d:Description": "Pianist playing his original compositions for films and CDs, solo and with his band. Biography, photo, audio.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.weinbeck.com/"} +{"d:Title": "Bonnier, Bess", "d:Description": "Detroit-based pianist leading jazz ensembles of up to six pieces. Photo, audio samples, reviews and event listings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.bessbonnier.com/"} +{"d:Title": "Mehldau, Brad", "d:Description": "Biography of the pianist with a 2000 concert review from Zurich.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.cosmopolis.ch/english/cosmo4/mehldau.htm"} +{"d:Title": "Weiss, Michael", "d:Description": "Official website of jazz pianist and composer Michael Weiss. Includes bio, itinerary, recordings, gallery, projects, presskit and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.michaelweiss.info/"} +{"d:Title": "Childs, Billy", "d:Description": "Pianist's biography, itinerary, reviews, sound bites, and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.billychilds.com/"} +{"d:Title": "Cochrane, Michael", "d:Description": "Features reviews, sound bites, cds, itinerary, projects, and biography for this pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.michaelcochrane.com/"} +{"d:Title": "Brackeen, Joanne", "d:Description": "Features reviews, sound bites, recordings, diary, and biography of pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.joannebrackeenjazz.com/"} +{"d:Title": "McKenzie, Greg", "d:Description": "Features reviews, sound bites, itinerary, biography, and cds for this pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.gregmckenzie.com/"} +{"d:Title": "Hamm, Dennis", "d:Description": "Jazz pianist in Los Angeles, CA. Biography, schedule, and audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.dennishamm.com/"} +{"d:Title": "Copland, Marc", "d:Description": "Reviews, upcoming tours, and discography for jazz pianist Copland.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.marccopland.com/"} +{"d:Title": "Chin, John", "d:Description": "Performances, biography, MP3s, and pictures.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://johnchin.com/"} +{"d:Title": "Galper, Hal", "d:Description": "Touring pianist leads his trio on dates across the U.S., with stops for clinics in schools. Downloadable versions of his articles from Down Beat and Jazz Improv, with a guide to self-management.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.halgalper.com/"} +{"d:Title": "Alberti, Bob", "d:Description": "Features samples, reviews, activities, and biography of the New York jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.bobalberti.com/"} +{"d:Title": "Allen, Heath", "d:Description": "Philadelphia-based pianist, composer, bandleader and playwright. Offers music samples, news of projects and performances, plus reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.heathallen.com/"} +{"d:Title": "Amadie, Jimmy", "d:Description": "Features reviews, sound bites, teaching activities, biography, and books and records for sale for pianist Amadie.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.jimmyamadie.com/"} +{"d:Title": "Avitabile, Franck", "d:Description": "Biography, tours, photos, mp3, and scores for this pianist and composer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.franckavitabile.com/"} +{"d:Title": "Burrell, Dave", "d:Description": "Features reviews, sound bites, recordings, diary, and biography for pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.daveburrell.com/"} +{"d:Title": "Doky, Niels Lan", "d:Description": "Danish jazz pianist. Biography, discography, press material and sheet music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.nielslandoky.com/"} +{"d:Title": "Eales, Geoff", "d:Description": "Website of UK jazz pianist Eales features his first cd, \"Mountains of Fire\" as well as a biography, audio clips and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.geoffeales.com/"} +{"d:Title": "Fields, Bob", "d:Description": "Includes a biography, and information about the pianist and his jazz septet, as well as booking information and tour dates.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.theindiemarket.com/bobfields"} +{"d:Title": "Fries, Matthew", "d:Description": "Jazz pianist offers music samples, a schedule of public performances, online press kit, and information about his TCB Records release, \"Song for Today.\"", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.matthewfries.com/"} +{"d:Title": "Hazeltine, David", "d:Description": "Features reviews, sound bites, itinerary, biography, and CDs for the jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.davidhazeltine.com/"} +{"d:Title": "Hilton, Lisa", "d:Description": "Official website for the composer and pianist. Artist information, photographs, audio clips, album sales, and complete music library.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.lisahiltonmusic.com/"} +{"d:Title": "Hirahara, Art", "d:Description": "Photograph, sound samples and brief biography of Bay Area jazz pianist and composer Hirahara.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://arthirahara.com/"} +{"d:Title": "Javors, Keith", "d:Description": "Jazz pianist, recording artist and educator based at the University of North Florida at Jacksonville. Lead sheets of original tunes [GIF], recording and gigging schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.keithjavors.com/"} +{"d:Title": "Kaeshammer, Michael", "d:Description": "Boogie woogie and jazz piano player Kaeshammer presents his latest album, \"Tell You How I Feel\", with latest tour dates and biographical information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.kaeshammer.com/"} +{"d:Title": "Lechner, Federico", "d:Description": "Jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://federicolechner.com/"} +{"d:Title": "Piket, Roberta", "d:Description": "Pianist/composer's biography, photo album, audio samples, reviews, upcoming performances, and educational activities. [RealAudio]", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.robertajazz.com/"} +{"d:Title": "Regen, Jon", "d:Description": "Pianist, composer and vocalist Regen's sitefeatures music clips, tour dates, and a photo gallery.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://jonregen.com/"} +{"d:Title": "Rolfe, Nick", "d:Description": "Tattooed New York soul pianist. Audio, gig schedule, message board.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.nickrolfe.com/"} +{"d:Title": "Rosenberg, Nathan", "d:Description": "New York pianist and vocalist Rosenberg's pages include a biography and sound samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://pianovox.com/"} +{"d:Title": "Ru\u00edz, Otmaro", "d:Description": "Official site of this contemporary jazz pianist and composer features his biography, discography, photographs and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://home.earthlink.net/~otmaro/"} +{"d:Title": "Sowash, Bradley", "d:Description": "Sowash is a composer, recording artist, and solo pianist. Includes audio samples and an e-mail list.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://bradleysowash.com/"} +{"d:Title": "Williams, Jessica", "d:Description": "Discography and contact information for this jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.jessicawilliams.com/"} +{"d:Title": "Windle, Tony", "d:Description": "Smooth jazz keyboardist Windle's home page. Featuring sound samples, biography, reviews, show dates, and smooth jazz links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.gosmooth.com/"} +{"d:Title": "Cain, Michael", "d:Description": "US jazz pianist, composer and educator offers biography with calendar of appearances worldwide, audio downloads and recordings for sale.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.michaelcain.com/"} +{"d:Title": "Blum, John", "d:Description": "Biography, discography, and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.johnblum.com/"} +{"d:Title": "Hanna, Sir Roland", "d:Description": "Publisher, Rahanna Music Inc, offers biography, discography and catalog of the works of the pianist and composer. Find also music book sales, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.rahannamusic.com/"} +{"d:Title": "Leonard, Alex", "d:Description": "New York City-based jazz pianist and vocalist offers photographs, biography, discography, guestbook, and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://alexleonard.homestead.com/albal2.html"} +{"d:Title": "Anderson, Dave", "d:Description": "Audio files and appearances.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.daveandersonmusic.com/"} +{"d:Title": "Martignon, Hector", "d:Description": "Jazz pianist's biography with audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.foreignaffair.com/"} +{"d:Title": "Freedman, Dan", "d:Description": "London born jazz pianist and composer, now living in Honolulu. Find information about songs and shows, plus biography, press, and blog.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.dan88.com/"} +{"d:Title": "Aginsky, Jacob Elijah", "d:Description": "Bay Area jazz pianist offers biography, discography with samples, plus press pack.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.aginsky.com/music/"} +{"d:Title": "Erev, Ari", "d:Description": "Israeli-born jazz pianist and composer offers biography, audio samples, discography, event schedule, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.arierev.com/"} +{"d:Title": "Garber, Ian Henry", "d:Description": "Pianist and arranger, born in Dublin. Offers a biography, sound clips, and news on upcoming shows.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.ianhenrygarber.co.uk/"} +{"d:Title": "Hewitt, Kent", "d:Description": "Jazz pianist, composer, arranger, and vocalist. Offers biography, reviews, performances, plus custom lead sheet services.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://kenthewitt.com/"} +{"d:Title": "Landon, Louis", "d:Description": "American jazz pianist. Find news, sheet music, concert information, sounds samples and videos, plus biography, reviews, press, and tour dates.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.louislandon.com/"} +{"d:Title": "Levin, Alex", "d:Description": "New York based pianist, composer, and educator. Offers biography, photo gallery, press and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.alexlevinjazz.com/"} +{"d:Title": "Evans, Harry", "d:Description": "Music from the older brother of the jazz pianist Bill Evans. Audio samples, photo gallery, and biography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.harryevanstrio.com/"} +{"d:Title": "Vanderby, Ray", "d:Description": "Australian pianist and composer. Information about his quartet, plus biography, audio sample, photos, concerts, and booking information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.rayvanderby.com/"} +{"d:Title": "Finke, Thomas", "d:Description": "Information about the French-born pianist, including music archive, solo piano recordings, free downloads, and art works.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://www.finkophon.de/"} +{"d:Title": "Franklin, Nikki", "d:Description": "Professional jazz pianist and singer, offering performance and bespoke compositions. Based in Southern England.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://nikkifranklin.co.uk/"} +{"d:Title": "Marino, Tony", "d:Description": "Philadelphia pianist offers original Latin jazz compositions with band formerly known as Havana Heat as downloads and on compact disc.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz", "url": "http://tonymarino.us/"} +{"d:Title": "Cosmopolis: Dave Brubeck", "d:Description": "Biography, discography, and a review of the Dave Brubeck Quartet in concert.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.cosmopolis.ch/english/cosmo17/dave_brubeck.htm"} +{"d:Title": "Wikipedia - Dave Brubeck", "d:Description": "Hyperlinked encyclopedia article about the American jazz pianist covering his career, personal life, discography and awards.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://en.wikipedia.org/wiki/Dave_Brubeck"} +{"d:Title": "PBS - Rediscovering Dave Brubeck", "d:Description": "Documentary by Hedrick Smith about the American jazz pianist and his music. Includes interview transcripts and music downloads.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.pbs.org/brubeck/"} +{"d:Title": "Dave Brubeck", "d:Description": "Official site for the jazz pianist and composer. Offers complete biography, itinerary, news, gallery, and streaming audio.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.davebrubeck.com/"} +{"d:Title": "IMDb - Dave Brubeck", "d:Description": "Lists of film and television appearances along with related videos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.imdb.com/name/nm0115399/"} +{"d:Title": "WorldCat Identities - Dave Brubeck", "d:Description": "Works by and about the subject with reviews, online copies, purchase and library availability for each. Includes related identities and associated subjects.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.worldcat.org/identities/lccn-n82-144291"} +{"d:Title": "AllMusic - Dave Brubeck", "d:Description": "Biography, credits, discography, awards and video information on the American jazz artist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.allmusic.com/artist/dave-brubeck-mn0000958533"} +{"d:Title": "NPR - Dave Brubeck", "d:Description": "Artist page includes interviews, features and performances archived at NPR Music", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.npr.org/artists/15156676/dave-brubeck"} +{"d:Title": "Brubeck Music", "d:Description": "Official website of Dave Brubeck, his sons and their music performance groups.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.brubeckmusic.com/"} +{"d:Title": "Biography.com - Dave Brubeck", "d:Description": "Profiles the music career of composer and pianist Dave Brubeck, who helped repopularize jazz in the 1950s and '60s.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.biography.com/people/dave-brubeck-9229079"} +{"d:Title": "Brubeck Institute", "d:Description": "Advances the multi-disciplinary study, performance and perpetuation of jazz musician Dave Brubeck, at the University of the Pacific.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.pacific.edu/Community/Centers-Clinics-and-Institutes/Brubeck-Institute.html"} +{"d:Title": "TCM.com - Dave Brubeck: In His Own Sweet Way (2010)", "d:Description": "Information about the 2010 documentary film about the jazz musician, at Turner Classic Movies.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.tcm.com/this-month/article/353441|0/Dave-Brubeck-In-His-Own-Sweet-Way.html"} +{"d:Title": "BBC Music - Dave Brubeck", "d:Description": "Artist profile, information and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.bbc.co.uk/music/artists/de0222a6-e1c4-403d-8b01-3f66d505061b"} +{"d:Title": "Discogs- Dave Brubeck", "d:Description": "Overview, videos and complete discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.discogs.com/artist/Dave+Brubeck"} +{"d:Title": "LastFM - Dave Brubeck", "d:Description": "Free listening, videos, concerts, stats and pictures of the jazz musician.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.last.fm/music/Dave+Brubeck"} +{"d:Title": "Concord Music Group - Dave Brubeck", "d:Description": "Artist profile and album releases of the jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.concordmusicgroup.com/artists/Dave-Brubeck/"} +{"d:Title": "The Guardian - Dave Brubeck", "d:Description": "Collected news and commentary along with his biography, video and audio clips, album list, and top tracks", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.theguardian.com/music/dave-brubeck"} +{"d:Title": "Music Sales Classical - Dave Brubeck", "d:Description": "Music publisher provides biography, works list, selected discography, articles and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.musicsalesclassical.com/composer/short-bio/186"} +{"d:Title": "The New York Times - Dave Brubeck", "d:Description": "Collection of recent and archived news and commentary, photos, multimedia and selected web resources.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Brubeck,_Dave", "url": "http://www.nytimes.com/topic/person/dave-brubeck"} +{"d:Title": "Chick Corea", "d:Description": "Official site of the pianist including biography, complete discography, tour schedule, music awards, and photo gallery.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Corea,_Chick", "url": "http://www.chickcorea.com/"} +{"d:Title": "Wikipedia: Chick Corea", "d:Description": "Offers a detailed biography of the American jazz pianist and composer, including information about his career, awards, discography, personal life, and beliefs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Corea,_Chick", "url": "http://en.wikipedia.org/wiki/Chick_Corea"} +{"d:Title": "Verve Music Group: Chick Corea", "d:Description": "Record label offers complete discography with streaming audio, plus information about tour dates.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Corea,_Chick", "url": "http://www.vervemusicgroup.com/chickcorea"} +{"d:Title": "Jamie Cullum", "d:Description": "British jazz and pop singer-songwriter and multi-instrumentalist. Find biography, tour details, gallery, and discography.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Cullum,_Jamie", "url": "http://www.jamiecullum.com/"} +{"d:Title": "Wikipedia: Jamie Cullum", "d:Description": "Find information about the British artist, his musical career, awards, and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Cullum,_Jamie", "url": "http://en.wikipedia.org/wiki/Jamie_Cullum"} +{"d:Title": "Twitter: Jamie Cullum", "d:Description": "Artist's official social networking and micro-blogging page.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Cullum,_Jamie", "url": "http://twitter.com/JamieCullum"} +{"d:Title": "Verve Records: Jamie Cullum", "d:Description": "Label's profile for the singer, songwriter, and pianist. Offers biography, videos, touring schedule, and downloads.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Cullum,_Jamie", "url": "http://www.vervemusicgroup.com/jamiecullum"} +{"d:Title": "Wikipedia: Bill Evans", "d:Description": "Encyclopedia article about the jazz pianist. Includes biography, tribute albums, discography and references.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Evans,_Bill", "url": "https://en.wikipedia.org/wiki/Bill_Evans"} +{"d:Title": "The Bill Evans Webpages", "d:Description": "Provides articles, interviews, biography, awards and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Evans,_Bill", "url": "http://www.billevanswebpages.com/"} +{"d:Title": "All About Jazz: Bill Evans: 1929-1980", "d:Description": "Comprehensive biography of the post-bop jazz musician.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Evans,_Bill", "url": "https://www.allaboutjazz.com/bill-evans-1929-1980-bill-evans-by-aaj-staff.php"} +{"d:Title": "Bill Evans Time Remembered", "d:Description": "Film clips, photographs and schedule of showings of the documentary film.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Evans,_Bill", "url": "http://www.billevanstimeremembered.com/"} +{"d:Title": "The Bill Evans Memorial Library", "d:Description": "A tribute archive of material relating to the jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Evans,_Bill", "url": "http://home.btconnect.com/beml/"} +{"d:Title": "Bill Evans", "d:Description": "Official site from Concord Music Group, with biography and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Evans,_Bill", "url": "http://www.billevansofficial.com/"} +{"d:Title": "Herbie Hancock", "d:Description": "Reviews of a number of Hancock's albums.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Hancock,_Herbie", "url": "http://www.warr.org/hancock.html"} +{"d:Title": "Hancock, Herbie - The Official Website", "d:Description": "Includes updated information on Herbie's touring, studio activities, and new releases as well as interactive discussion groups, photos, biography, discography, awards, interviews, and links to his interests including Buddhism and classic cars.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Hancock,_Herbie", "url": "http://www.herbiehancock.com/"} +{"d:Title": "Verve Records: Herbie Hancock", "d:Description": "Official site includes tour dates, soundclips, and label discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Hancock,_Herbie", "url": "http://www.vervemusicgroup.com/herbiehancock"} +{"d:Title": "The Well-Tempered Jazz Band", "d:Description": "An essay about Keith Jarrett from the 38-week-series Sons of Miles by Mike Zwerin.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Jarrett,_Keith", "url": "http://www.culturekiosque.com/jazz/miles/rhemile13.htm"} +{"d:Title": "Yahoo! Groups: Keith Jarrett", "d:Description": "An archive and discussion list about the man and his music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Jarrett,_Keith", "url": "http://groups.yahoo.com/group/keithjarrett/"} +{"d:Title": "Wikipedia: James P. Johnson", "d:Description": "Details the life of the musician, from childhood to his death in 1955. Find biography, information on honors and recognitions, plus comprehensive discography, with film scores, and re-issues.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Johnson,_James_P.", "url": "http://en.wikipedia.org/wiki/James_P._Johnson"} +{"d:Title": "Red Hot Jazz: James P. Johnson", "d:Description": "Find a biography and complete discography, plus information about Johnson as a band leader. Streaming audio, plus suggested reading.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Johnson,_James_P.", "url": "http://www.redhotjazz.com/jpjohnson.html"} +{"d:Title": "Official Thelonious Sphere Monk Website", "d:Description": "Comprehensive archive of recordings by the American jazz pianist and composer. Find a biography, image library, webcasts, and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Monk,_Thelonious", "url": "http://www.monkzone.com/"} +{"d:Title": "Wikipedia: Thelonious Monk", "d:Description": "Details the life of the musician, from childhood to his death in 1982. Find comprehensive discography of recordings, plus details of tributes and awards.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Monk,_Thelonious", "url": "http://en.wikipedia.org/wiki/Thelonious_Monk"} +{"d:Title": "The Thelonious Monk Institute of Jazz", "d:Description": "Primarily contains information about the institute and its educational programs but also includes some background on Monk himself.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Monk,_Thelonious", "url": "http://www.monkinstitute.org/"} +{"d:Title": "Redhotjazz.com: Ferdinand \"Jelly Roll\" Morton", "d:Description": "Almost all of Morton's recordings in Real Audio format.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.redhotjazz.com/jellyroll.html"} +{"d:Title": "Library of Congress: Jelly Roll Morton - The Frog-I-More Rag", "d:Description": "Library of Congress article on Morton's 'Frog-I-More Rag'.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.loc.gov/exhibits/treasures/tri007.html"} +{"d:Title": "PBS: Jazz, a film by Ken Burns", "d:Description": "Morton biography including NPR audio feature.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.pbs.org/jazz/biography/artist_id_morton_jelly_roll.htm"} +{"d:Title": "All that Jazz: Jelly Roll Morton", "d:Description": "Biography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://atj.8k.com/noartist/atjmorton.html"} +{"d:Title": "Southern Music Network - Jelly Roll Morton", "d:Description": "Jelly Roll Morton biography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.southernmusic.net/jellybio.htm"} +{"d:Title": "Grave of Ferdinand Joseph La Menthe \"Jelly Roll\" Morton", "d:Description": "Details and image of Jelly Roll Morton's grave.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2691"} +{"d:Title": "Jelly Roll Morton biographer Phil Pastras", "d:Description": "Jerryjazz interview with Morton expert Phil Pastras.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=pastras.html"} +{"d:Title": "BBC Radio 3: Jelly Roll Morton", "d:Description": "Brief biography based on radio profile includes links, suggested books and CDs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.bbc.co.uk/radio3/jazz/profiles/jellyroll_morton.shtml"} +{"d:Title": "Malaspina Great Books - Biography - Jelly Roll (Ferdinand Joseph La Menthe) Morton (1885-1941)", "d:Description": "Definition of the nickname, 'Jelly Roll'", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.malaspina.org/mortonj.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Jelly Roll Morton", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://rockhall.com/inductees/jelly-roll-morton/"} +{"d:Title": "All Music Guide: Jelly Roll Morton", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.allmusic.com/artist/jelly-roll-morton-p7181"} +{"d:Title": "Infoplease.com Morton, Jelly Roll", "d:Description": "Infoplease encyclopedia entry for Jelly Roll Morton", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Morton,_Jelly_Roll", "url": "http://www.infoplease.com/encyclopedia/people/morton-jelly-roll.html"} +{"d:Title": "Answers.com: Oscar Peterson", "d:Description": "Illustrates Canadian-born Oscar Peterson's personal life by means of biography, discography, books and awards from different sources.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Peterson,_Oscar", "url": "http://www.answers.com/topic/oscar-peterson?cat=entertainment"} +{"d:Title": "Oscar Peterson: A Jazz Sensation", "d:Description": "Exhibition featuring correspondence, photographs, press clippings, programmes, audio and visual materials and posters.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Peterson,_Oscar", "url": "http://epe.lac-bac.gc.ca/100/206/301/lac-bac/oscar_peterson-ef/www.lac-bac.gc.ca/4/2/index-e.html"} +{"d:Title": "Montreal Jazz: Oscar Peterson", "d:Description": "Information relating to Oscar Peterson and the history of Jazz in Montreal.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Peterson,_Oscar", "url": "http://www.vehiculepress.com/montreal/oscarpeterson.html"} +{"d:Title": "Wikipedia: Oscar Peterson", "d:Description": "Information about the Canadian jazz pianist and composer, including biography, awards and recognition, instruments, and a discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Peterson,_Oscar", "url": "http://en.wikipedia.org/wiki/Oscar_Peterson"} +{"d:Title": "Oscar Peterson Jazz Pianist", "d:Description": "Oscar Peterson's Autobiography A Jazz Odyssey -- My Life in Jazz", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Peterson,_Oscar", "url": "http://www.davidgreer.ca/music/op.html"} +{"d:Title": "Oscar Peterson", "d:Description": "Internationally renowned, Canadian jazz pianist Oscar Peterson entertained the world with his mastery and prowess over the piano for over 40 years.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Peterson,_Oscar", "url": "http://www.oscarpeterson.com/"} +{"d:Title": "The Canadian Encyclopedia: Oscar Emmanuel Peterson", "d:Description": "Elongated article with biographical and familial information with a short video clip of Oscar Peterson performing.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Peterson,_Oscar", "url": "http://thecanadianencyclopedia.com/en/article/oscar-peterson/"} +{"d:Title": "Powell, Bud", "d:Description": "Biography, photographs and audio by the jazz pianist (1924-1966).", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Powell,_Bud", "url": "http://www.budpowelljazz.com/"} +{"d:Title": "NPR's Jazz Profiles: Bud Powell", "d:Description": "A profile of the jazz pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Powell,_Bud", "url": "http://www.npr.org/programs/jazzprofiles/archive/powell.html"} +{"d:Title": "Wikipedia: Joe Sample", "d:Description": "Brief biography with discography and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Sample,_Joe", "url": "http://en.wikipedia.org/wiki/Joe_Sample"} +{"d:Title": "Artist Direct: Joe Sample", "d:Description": "Biography describes move from acoustic to electric piano during years with the Crusaders, with photo.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Sample,_Joe", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,488824,00.html"} +{"d:Title": "Sample, Joe", "d:Description": "GRP Records page for the pianist and composer includes biography, soundclips and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Sample,_Joe", "url": "http://www.vervemusicgroup.com/joesample"} +{"d:Title": "Sample, Joe", "d:Description": "All Music Guide to jazzman and keyboardist. Includes biography, audio samples, and discography with reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Sample,_Joe", "url": "http://www.allmusic.com/artist/p7478"} +{"d:Title": "Horace Silver", "d:Description": "Biography of pianist Silver.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Silver,_Horace", "url": "http://members.tripod.com/~hardbop/hsilver.html"} +{"d:Title": "Horace Silver: Retrospective (Blue Note)", "d:Description": "Review: by Steve Marshall.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Silver,_Horace", "url": "http://www.thenightowl.com/reviews/hsilver.htm"} +{"d:Title": "Horace Silver Tribute and Discography", "d:Description": "A tribute to the \"Hard-Bop Grandpop\". Articles, sidemen, his work with The Jazz Messengers, biography, and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Silver,_Horace", "url": "http://members.tripod.com/~hardbop/silver_discography.html"} +{"d:Title": "Art Tatum", "d:Description": "The story behind one evening in California and the results of that performance.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Tatum,_Art", "url": "http://alevy.com/tatum.htm"} +{"d:Title": "Tribute to Art Tatum", "d:Description": "Pianist Patrick J. Collins offers a loving tribute with photos, an article, and audio of his playing a Tatum transcription.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Tatum,_Art", "url": "http://collinatorstudios.com/tatum/"} +{"d:Title": "Tatum, Art", "d:Description": "Tribute to the life and music of the jazz pianist. Offers biography, discography, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Tatum,_Art", "url": "http://www.duke.edu/%7Enjh3/"} +{"d:Title": "NPR : Dr. Billy Taylor and All That Jazz", "d:Description": "Jazz pianist Dr. Billy Taylor is retiring from public performance this week but will continue his educational endeavors. Taylor reflects on his deep friendships with many giants of the genre and the jazz scene of the 1940s. [9:53 streaming audio broadcast]", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Taylor,_Billy", "url": "http://www.npr.org/templates/story/story.php?storyId=4572660"} +{"d:Title": "Dr. Billy Taylor's 'What is Jazz'", "d:Description": "Dr. Billy Taylor, noted jazz pianist and educator gives an introduction of jazz, america's classical music, in this four part artsedge lecture series, given at the Kennedy Center in 1995. The entire series is available in realaudio 3.0 format, with cross indexing by subject.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Taylor,_Billy", "url": "http://town.hall.org/radio/Kennedy/Taylor/"} +{"d:Title": "Being Matter Ignited", "d:Description": "An interview with Cecil Taylor on the nature of jazz, growing up, and poetry.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Taylor,_Cecil", "url": "http://epc.buffalo.edu/authors/funkhouser/ceciltaylor.html"} +{"d:Title": "The Shape of Jazz to Come", "d:Description": "Transcript of a panel discussion held in 1964 featuring Cecil Taylor.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Taylor,_Cecil", "url": "http://www.mattweston.com/cecilpanel.html"} +{"d:Title": "Cecil Taylor", "d:Description": "Sessionography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Taylor,_Cecil", "url": "http://www.efi.group.shef.ac.uk/mtaylor.html"} +{"d:Title": "All Music Guide: Cecil Taylor", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Taylor,_Cecil", "url": "http://www.allmusic.com/artist/p7662"} +{"d:Title": "HistoryLink Essay: Tipton, Billy (1914-1989): Spokane's Secretive Jazzman", "d:Description": "Article by Peter Blecha includes an image of one of his record covers.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Tipton,_Billy", "url": "http://www.historylink.org/index.cfm?DisplayPage=output.cfm&File_Id=7456"} +{"d:Title": "The Lennie Tristano Experience", "d:Description": "Articles, biography, discography, and photos. Features a searchable database.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Tristano,_Lennie", "url": "http://www.lennietristano.com/"} +{"d:Title": "McCoy Tyner", "d:Description": "Artist page from Verve Music Group and Impulse Records offering a detailed biography and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Tyner,_McCoy", "url": "http://www.vervemusicgroup.com/mccoytyner"} +{"d:Title": "Red Hot Jazz Archive: Fats Waller", "d:Description": "Biography, filmography, and discography with sound samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Waller,_Fats", "url": "http://www.redhotjazz.com/fats.html"} +{"d:Title": "Thomas \"Fats\" Waller", "d:Description": "Fan site offering images of record labels as well as photos and sound files from the pianist and entertainer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Jazz/Waller,_Fats", "url": "http://www.fatswaller.org/"} +{"d:Title": "Kuschnerova, Elena", "d:Description": "Russian-born pianist. Biography, repertoire, events, photos, discography and many music samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K", "url": "http://www.elenakuschnerova.com/"} +{"d:Title": "Kirschnereit, Matthias", "d:Description": "German concert pianist. Site contains news, biography, tour calendar, discography, gallery, reviews and interviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K", "url": "http://www.brainpools.com/it-mv/kirschnereit/"} +{"d:Title": "Klein, Andreas", "d:Description": "German pianist; studied at Julliard School; biography, audio clips, reviews, concerts, photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K", "url": "http://www.andreasklein.com/"} +{"d:Title": "Kempf, Freddy (b.1977)", "d:Description": "Includes concert and recordings reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K", "url": "http://www.andrys.com/freddyk.html"} +{"d:Title": "Knezkova-Hussey, Ludmila", "d:Description": "Concert pianist, composer, and clinician, founder the Ludmila Knezkova-Hussey International Piano Competition.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K", "url": "http://www.ludmilaknezkovahussey.ca/"} +{"d:Title": "Kolacny, Steven and Stijn (1969, 1975)", "d:Description": "Piano duo. Includes biography, RealAudio samples, calendar, contact information, picture gallery and press releases.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K", "url": "http://www.fratelli.be/"} +{"d:Title": "Kradjian, Serouj", "d:Description": "Armenian-Canadian pianist. Includes biography, schedule, repertoire, discography, and mp3 audio files.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K", "url": "http://serouj.com/"} +{"d:Title": "The Pianist Wilhelm Kempff is dead", "d:Description": "Obituary on the great German pianist by B. John Zavrel (May 24, 1991).", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K/Kempff,_Wilhelm", "url": "http://www.meaus.com/KEMPFF.html"} +{"d:Title": "Wilhelm Kempff (1895-1991)", "d:Description": "Biographical information on \"the quiet pianist\" and complete discography by Frank Forman.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K/Kempff,_Wilhelm", "url": "http://www.panix.com/~checker/kempff.htm"} +{"d:Title": "Kissin, Evgeny (1971)", "d:Description": "[Cosmopolis archive] Biography and review article about Chopin-CD.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K/Kissin,_Evgeny", "url": "http://www.cosmopolis.ch/english/cosmo7/kissin.htm"} +{"d:Title": "Kocsis, Zoltan (1952)", "d:Description": "[Cosmopolis archive] Hungarian pianist; brief biography and concert review.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K/Kocsis,_Zoltan", "url": "http://www.cosmopolis.ch/english/cosmo5/kocsis.htm"} +{"d:Title": "Zolt\u00e1n Kocsis", "d:Description": "TV and Video Productions directed by J\u00e1nos Darvas.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/K/Kocsis,_Zoltan", "url": "http://www.darvas.de/kocsise.htm"} +{"d:Title": "Latour, Jean-Fran\u00e7ois", "d:Description": "Canadian pianist; biography, press, quotes, musical excerpts, repertoire and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.jflatour.com/"} +{"d:Title": "Lauber, Tristan", "d:Description": "Classical pianist, presents his biography and his work, Montreal, Canada.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.tristanlauber.com/"} +{"d:Title": "Lian, Carol", "d:Description": "Classical and improvisational pianist based in New Jersey. Language of Music program for students and piano instruction. CDs, lectures, and biography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.carollianpiano.com/"} +{"d:Title": "Leonhardt, Trudelies", "d:Description": "Fortepianist. Biography, discography, sound clips. Site available in English, French and German.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.tleonhardt.ch/"} +{"d:Title": "Lafitte, Isabelle and Florence", "d:Description": "French piano duo; biography, concerts, reviews, repertoire, and downloads.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.duolafitte.com/"} +{"d:Title": "Laredo, Ruth", "d:Description": "American pianist. Includes discography, biography, sound clips, and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.ruthlaredo.com/"} +{"d:Title": "Larsen, Carter", "d:Description": "Composer and pianist; has recorded classical piano CDs and composes film scores; sound clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.carterlarsen.com/"} +{"d:Title": "Lin, Jenny", "d:Description": "Young American pianist - Includes biography, gallery, recordings, videos, links and free pdf downloads of sheet music by the American composer Frederic Rzewski. In English and Chinese.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.jennylin.net/"} +{"d:Title": "Lugansky, Nikolai", "d:Description": "Dedicated to the Russian pianist who won the 1994 Tchaikovsky Competition. Includes news, reviews, interviews, photos, MP3s and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://lugansky.homestead.com/"} +{"d:Title": "Li, Yundi", "d:Description": "Chinese pianist. Biographical notes, audio and video clips, press articles and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://yundili.homestead.com/"} +{"d:Title": "Luescher, Thomas", "d:Description": "Pianist and composer. Includes biography, compositions, news, photos, CD recommendations and contact details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/L", "url": "http://www.thomasluescher.net/"} +{"d:Title": "Marshev, Oleg", "d:Description": "Official site of concert pianist. Includes news, reviews, biography, concerts, and CD recordings. [English and Italian versions]", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.olegmarshev.com/"} +{"d:Title": "Mariano, Cesar Camargo", "d:Description": "Brazilian pianist, arranger, and composer. Biography, discography, media samples, agenda, interviews, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.cesarcamargomariano.com/"} +{"d:Title": "Mascolo-David, Alexandra", "d:Description": "Portuguese pianist with an international career; page includes biographical notes, recordings and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.mascolo-david.com/"} +{"d:Title": "Montgomery, Doug", "d:Description": "Pop and classic pianist; about his life, music and records; with audio clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.arrowrec.com/doug/index.htm"} +{"d:Title": "Maul, John", "d:Description": "UK cocktail pianist. Biography and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.johnmaul.com/"} +{"d:Title": "McCawley, Leon", "d:Description": "British pianist. Concerts, biography, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.leonmccawley.com/"} +{"d:Title": "Magalh\u00e3es and Schumann Duo", "d:Description": "Portuguese based pianists Luis Magalh\u00e3es and Nina Schumann. Includes CVs, reviews, concerts, and repertoire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.twopianists.com/"} +{"d:Title": "Mastroyiannis, Dino", "d:Description": "Young Greek pianist. Includes biography, concert information, reviews, sound files and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M", "url": "http://www.dinomastroyiannis.com/"} +{"d:Title": "Arturo Benedetti Michelangeli", "d:Description": "The harmony of simplicity. Website is dedicated to one of the great pianists.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/M/Michelangeli,_Arturo_Benedetto", "url": "http://www.arturobenedettimichelangeli.net/"} +{"d:Title": "Neuhaus, Heinrich (1888-1964)", "d:Description": "Associazione Musicale Heinrich Neuhaus-Italia, is dedicated to this Russian pianist and teacher. It also includes Svjatoslav Richter, Emil Gilels, Radu Lupu, and others.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/N", "url": "http://www.neuhaus.it/"} +{"d:Title": "Nyiregyhazi, Ervin (1903-1987)", "d:Description": "Biography, obituaries and selected reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/N", "url": "http://www.nyiregyhazi.org/"} +{"d:Title": "The O'Neill Brothers", "d:Description": "Includes artist biographies, media resources, performance information, fan club, MIDIs, and online shop to purchase their music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/O", "url": "http://www.pianobrothers.com/"} +{"d:Title": "Owings, John", "d:Description": "Pianist and teacher; includes biography, discography, articles and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/O", "url": "http://www.johnowings.com/"} +{"d:Title": "Ott, Alice Sara", "d:Description": "Japanese-born German concert pianist. Site contains biography, concerts, discography and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/O", "url": "http://www.alicesaraott.com/"} +{"d:Title": "From the Top", "d:Description": "Weekly radio series that showcases exceptional pre-college age classical musicians, distributed by Public Radio International and hosted by Christopher O'Riley.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/O/O'Riley,_Christopher", "url": "http://www.fromthetop.org/"} +{"d:Title": "O'Riley, Christopher", "d:Description": "Host of the radio show \"From the Top.\" News, biography, gallery, recordings, calendar, song downloads and transcriptions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/O/O'Riley,_Christopher", "url": "http://www.christopheroriley.com/"} +{"d:Title": "NPR: Performance Today -- Live in Studio 4A -- Christopher O'Riley Plays Radiohead", "d:Description": "Chris O'Riley joins Performance Today host Fred Child to talk about and perform his work. Hour-long show available as well as selected excerpts in Realaudio format.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/O/O'Riley,_Christopher", "url": "http://www.npr.org/programs/pt/features/4a/oriley.02.html"} +{"d:Title": "Paratore, Anthony and Joseph", "d:Description": "Piano duo, born in Boston of Italian descent; includes biography, discography, repertory, and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P", "url": "http://www.paratore.de/htm_e/startseite_e.htm"} +{"d:Title": "Pletnev, Mikhail (b.1957)", "d:Description": "[Cosmopolis archive] Biography and concert review.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P", "url": "http://www.cosmopolis.ch/english/cosmo12/pletnev.htm"} +{"d:Title": "Pollack, Daniel", "d:Description": "Concert pianist; performs on worldwide concert stages in solo recitals and as soloist with orchestras and is much in demand as a juror in International Piano Competitions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P", "url": "http://www.danielpollack.com/"} +{"d:Title": "Pollini, Maurizio (b.1942)", "d:Description": "[Cosmopolis archive] Italian pianist: biography and concert review.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P", "url": "http://www.cosmopolis.ch/english/cosmo4/pollini.htm"} +{"d:Title": "Preiss, Patti", "d:Description": "Classical and jazz pianist. Biography and resume.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P", "url": "http://www.pattipreiss.com/"} +{"d:Title": "Posnak, Paul", "d:Description": "Classical and jazz pianist. Biography, recordings, and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P", "url": "http://www.paulposnak.com/"} +{"d:Title": "Paderewski, Ignaz Jan (1860-1941)", "d:Description": "Biographical essay and list of works by Wanda Wilk from the Polish Music Reference Center.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P/Paderewski,_Ignacy_Jan", "url": "http://www.usc.edu/dept/polish_music/composer/paderewski.html"} +{"d:Title": "Pogorelich, Ivo (1958)", "d:Description": "[Cosmopolis archive] Biography and concert review.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/P/Pogorelich,_Ivo", "url": "http://www.cosmopolis.ch/english/cosmo4/pogorelich.htm"} +{"d:Title": "Reichert, Aviram", "d:Description": "Born in Israel, includes biography, contact information and MP3 clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R", "url": "http://www.aviramreichert.com/"} +{"d:Title": "Risi, Giulio", "d:Description": "Background information and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R", "url": "http://web.tiscali.it/caiella/index.html"} +{"d:Title": "Rudin, \"Hurricane\" Sam", "d:Description": "Boogie, blues and jazz pianist and vocalist. Biography, recordings, lessons, and articles.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R", "url": "http://www.hurricanesam.com/"} +{"d:Title": "Riva, Adalberto Maria", "d:Description": "Italian concert pianist. Biography, news, press releases, upcoming events, and audio files. In English and Italian.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R", "url": "http://www.adalbertomariariva.net/"} +{"d:Title": "Reasons, Don", "d:Description": "Dallas-based keyboardist provides personal information, touring schedule, and information about his piano coaching services.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R", "url": "http://www.calldon.com/"} +{"d:Title": "Roberta Rust", "d:Description": "Florida concert artist lists performances worldwide, describes teaching at Lynn University and Florida International University, offers concert reviews, and sells CDs including Debussy and Prokofiev.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R", "url": "http://www.robertarust.com/"} +{"d:Title": "Rezek, Michal (b.1965)", "d:Description": "Czech pianist; biography, records, photos, concerts and repertoire.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R", "url": "http://mujweb.cz/m.rezek/"} +{"d:Title": "A Tribute to Sviatoslav Richter", "d:Description": "Biography, Discography, Chronology, and essays about the great Russian pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R/Richter,_Sviatoslav", "url": "http://trovar.com/str/index.html"} +{"d:Title": "Richter, Sviatoslav 1915-1997.", "d:Description": "Recorded Richter from DOREMI: Legendary Treasures pages, is a \"list of recorded recitals and concerts\" prepared by Ates Tanin.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R/Richter,_Sviatoslav", "url": "http://www.doremi.com/"} +{"d:Title": "Richter, Sviatoslav 1915-1997.", "d:Description": "\"Meeting Maestro Sviatoslav Richter in Italy\" an article by Valerij Voskobojnikov.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/R/Richter,_Sviatoslav", "url": "http://www.neuhaus.it/english/meet_maestro_1.html"} +{"d:Title": "Schirmer, Markus", "d:Description": "Performer and professor at the University of Music and Performing Arts in Graz, Austria. Includes biography, repertoire, photographs, audio and video samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.markusschirmer.at/"} +{"d:Title": "Symeonidis, Prodromos (b. 1972)", "d:Description": "Greek concert pianist. Biography, repertoire and music examples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.symeonidis.de/"} +{"d:Title": "Sassmann, Albert", "d:Description": "Official site of the Austrian pianist. Includes curriculum, international press, and downloads.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.albertsassmann.at/"} +{"d:Title": "Sch\u00fctz, Siiri", "d:Description": "Biography, repertoire, photos, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.siiri-schuetz.de/"} +{"d:Title": "Smidak, Miron (b.1980)", "d:Description": "Czech pianist. Includes biography, repertoire, MP3, video and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.smidak.cz/"} +{"d:Title": "Sfogato", "d:Description": "Dutch piano duo with music school.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.sfogato.nl/"} +{"d:Title": "Syme, David", "d:Description": "Recordings include classical performances, popular standards and traditional music. Artist biography, order information for CDs and videos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.symepiano.com/"} +{"d:Title": "Sano, Megumi", "d:Description": "Japanese classic concert pianist; page includes biography, concerts, reviews, discography, etc.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://megumisano.com/"} +{"d:Title": "Schleiermacher, Steffen", "d:Description": "News, biography, discography, repertoire and concerts listing of the German avant-garde pianist and composer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.schleiermacher-leipzig.de/"} +{"d:Title": "Svetlanova, Nina", "d:Description": "Biography and information about important artists in her life.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.ninasvetlanova.com/"} +{"d:Title": "Stephenson, Trevor", "d:Description": "Biography and CDs of music performed on historical instruments.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.trevorstephenson.com/"} +{"d:Title": "Schmidt, Heather", "d:Description": "Audio samples, biography, list of works, concert schedule, and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.heatherschmidt.com/"} +{"d:Title": "Sattler, Michael", "d:Description": "German piano player and accompanist. Jazz, tango and world music. Biography and audio samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.michaelsattler.com/"} +{"d:Title": "Sun, Mei-Ting", "d:Description": "Biography, music and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.meiting.com/"} +{"d:Title": "Segarra, Stephen", "d:Description": "Pianist, composer, and piano tuner. Available for questions and advice on piano care, selection and repair.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.stephensegarra.com/"} +{"d:Title": "Strong, David", "d:Description": "Details of piano recitals and concerts, biography, and pictures.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.davidstrong.dk/"} +{"d:Title": "Schembri , Brian", "d:Description": "Pianist/conductor; page includes biography, reviews, photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.brianschembri.com/"} +{"d:Title": "Shpachenko, Nadia", "d:Description": "Concert pianist from Los Angeles. Includes background information and upcoming performances.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.ullanta.com/nadia/"} +{"d:Title": "Saientz, Gabriel", "d:Description": "Argentinian pianist. Biography and schedule. [Requires flash]", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.gabrielsaientz.com/"} +{"d:Title": "Sultanov, Alexei", "d:Description": "Official site features biography, discography, and memorials. 1969 - 2005.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://alexeisultanov.free.fr/"} +{"d:Title": "Simon, Abbey", "d:Description": "Trained at the Curtis Institute of Music in Philadelphia. Includes biography, discography, photographs, audio samples and artist management contacts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.abbeysimon.com/"} +{"d:Title": "Schellhorn, Matthew", "d:Description": "Information about British pianist includes biography, press reviews, schedule and photo gallery.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.matthewschellhorn.com/"} +{"d:Title": "Sheludyakov, Anatoly", "d:Description": "Classical pianist and composer. Contains Anatoly's biography, CD section, reviews, and compositions.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.asheludyakov.com/"} +{"d:Title": "Sayers, Michael", "d:Description": "19th Century pianist. Performances, recordings, transcriptions, compositions, images and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.michaelsayers.com/"} +{"d:Title": "Sokolov, Grigory (b. 1950)", "d:Description": "Dedicated to the Russian pianist; includes a short biography, several reviews, and audio files.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S", "url": "http://www.grigory-sokolov.com/"} +{"d:Title": "Sgouros, Dimitris (b.1969)", "d:Description": "Official website of the famous Greek pianist and former child prodigy; includes biography, photos, preferred repertoire and schedule.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S/Sgouros,_Dimitris", "url": "http://www.sgouros-pianist.com/"} +{"d:Title": "MP3 Files Dimitris Sgouros", "d:Description": "Several MP3 files (about 190 Mb) are provided for private use only; live performances 1989-2000, masterpieces in full length.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S/Sgouros,_Dimitris", "url": "http://www.sgourosmp3.com/"} +{"d:Title": "Sowell, Bobby", "d:Description": "Official website of the pianist and composer; contains biography and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/S/Sowell,_Bobby", "url": "http://bobbysowell.tripod.com/"} +{"d:Title": "Tong/Hasegawa Duo", "d:Description": "Tong/Hasegawa Piano Duo's official site, include MP3 files, biographies, concert information and travel diaries.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/T", "url": "http://www.piano4hands.com/"} +{"d:Title": "Teissonni\u00e8re, Gerardo", "d:Description": "Includes reviews, biography, concert and contact information in English, French, and Spanish.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/T", "url": "http://www.gerardoteissonniere.com/"} +{"d:Title": "Tureck, Rosalyn (b.1914)", "d:Description": "Includes the Tureck Bach Research Foundation. For many people she shall be deemed to be Bach's pontiff; extensive information on her life and her music.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/T", "url": "http://www.connectedglobe.com/tbrf/tureck.html"} +{"d:Title": "Torbey, Vince", "d:Description": "Based in Southern California. Plays standards at weddings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/T", "url": "http://www.pianistoncall.com/"} +{"d:Title": "Thies, Robert", "d:Description": "Prokofiev Competition winner. Biography, schedule, reviews, mailing list, and email contact.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/T", "url": "http://www.robertthies.org/"} +{"d:Title": "Ucbasaran, Zeynep", "d:Description": "Concert pianist, studied at the Liszt Academy of Music, Budapest, Hochschule f\u00fcr Musik in Freiburg Germany, and USC in Los Angeles. Includes biography, repertory and recordings.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/U", "url": "http://www.zupiano.com/"} +{"d:Title": "Veljkovic, Natasa", "d:Description": "Yugoslavian concert pianist. Biography and discography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/V", "url": "http://www.veljkovic.net/"} +{"d:Title": "Vlaeva, Nadejda", "d:Description": "Bulgarian classical pianist. Includes biography, concerts, and reviews.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/V", "url": "http://www.nadejdavlaeva.com/"} +{"d:Title": "Vorontsova, Evelina", "d:Description": "Biography and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/V", "url": "http://www.evelinavorontsova.com/"} +{"d:Title": "Vaiman, Daniel", "d:Description": "Swiss concert pianist. Biography, Repertoire, audio clips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/V", "url": "http://www.danielvaiman.ch/"} +{"d:Title": "Wagner, Walt", "d:Description": "Seattle pianist and composer.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/W", "url": "http://www.waltwagner.com/"} +{"d:Title": "Williams, \"Rockin\" Jason", "d:Description": "Boogie-woogie and rock pianist.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/W", "url": "http://www.rockinjasondwilliams.com/"} +{"d:Title": "Wnukowski, Daniel", "d:Description": "Canadian classical pianist. Includes biography, diary, concert schedule, streaming audio, press kit and photo gallery.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/W", "url": "http://www.wnukowski.com/"} +{"d:Title": "Young, John Bell", "d:Description": "The official site with biography, reviews, articles, RealAudio, and MP3 samples.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Y", "url": "http://www.johnbellyoung.com/"} +{"d:Title": "Zito, Tommy", "d:Description": "Information, pictures, calendar and biography.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Z", "url": "http://tommyzito.50webs.com/"} +{"d:Title": "Zubkov, Vyacheslav", "d:Description": "Prizewinner of many Ukrainian and International competitions. Curriculum Vitae, contact information, and WAW and Mp3 file of his performance present.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Pianists/Z", "url": "http://vzubkov.com/"} +{"d:Title": "Player Piano Care and Music Rolls", "d:Description": "Provides information including restoration and repair, technical articles, music rolls, parts and supplies. Also sells music rolls, player pianos, reference books and supplies.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Player_Pianos", "url": "http://www.player-care.com/"} +{"d:Title": "Terry Smythe's Home Page", "d:Description": "Information about vintage automatic musical instruments, such as player pianos, reproducing pianos, nickelodeons, disk music boxes, cylinder music boxes and circus band organs. Includes articles and links about restoration.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Player_Pianos", "url": "http://www.mts.net/~smythe/"} +{"d:Title": "The Player Piano Page", "d:Description": "Information, how it works, history, music rolls, societies, museums, spares and repairs, original advertising material and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Player_Pianos", "url": "http://www.pianola.com/"} +{"d:Title": "Piano Technician Homepage", "d:Description": "Discusses piano maintenance, purchasing, pricing and general questions. Includes MP3 piano music files by the webmaster.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning", "url": "http://www.pianotechnician.com/"} +{"d:Title": "The Australasian Piano Tuners and Technicians Association (APTTA)", "d:Description": "Consists of branch associations in WA, SA, Vic, NSW, QLD and New Zealand with a combined membership of over 300 piano technicians. Conventions are held every two years.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning", "url": "http://www.aptta.org.au/"} +{"d:Title": "Equal Temperament Pitch/Frequency Chart", "d:Description": "A keyboard based pitch to frequency chart provided by McNeil Johnston Orchestrations.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning", "url": "http://www.cis.hut.fi/Opinnot/T-61.140/Vinkit/hertz.html"} +{"d:Title": "Association of Blind Piano Tuners", "d:Description": "Serves blind and partially sighted piano tuners worldwide. Site provides information including mission statement, aims, member list and membership benefits.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning", "url": "http://www.piano-tuners.org/"} +{"d:Title": "Brunswick Pianos", "d:Description": "Offers a complete range of services for the tuning, repair, restoration (large and small), sales, transport, valuation services and inspections. Located in Melboune and country Victoria.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/Australia", "url": "http://www.brunswickpianos.com.au/"} +{"d:Title": "Lawrie, Thomson", "d:Description": "Piano technician serving the Niagara peninsula and Golden Horseshoe. Information on piano tuning, rebuilding, restoration, new and used pianos and piano care.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/Canada", "url": "http://www.pianotech.ca/"} +{"d:Title": "Cerisano Piano&Mr. Tuner", "d:Description": "Tuning and repair services for Montreal, Ottawa, Bancroft and North Bay areas. Pricing details, FAQs, repair information, contact details and a catalogue of pianos for sale and hire by piano technicians and private sellers.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/Canada", "url": "http://cerisanomusic.tripod.com/"} +{"d:Title": "Affleck Piano Tuning", "d:Description": "Piano tuning and repairs. Located in Kelowna, B.C. Canada. Sells piano and tuning accessories and ebooks.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/Canada", "url": "http://www.affleckpianotuning.com/"} +{"d:Title": "Ferguson, Wayne H.", "d:Description": "Registered piano tuner technician. Site provides contact details. Serves metropolitan Toronto.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/Canada", "url": "http://www.tuner1.com/"} +{"d:Title": "Pianoforte Tuners Association", "d:Description": "Offers advice about locating tuners in the UK, purchasing, and maintenance. Includes membership and course information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom", "url": "http://www.pianotuner.org.uk/"} +{"d:Title": "UK Pianos", "d:Description": "Tuning, reconditioning, repairs, sales and rental. Provides contact details and service information. Located in Hertfordshire, services all areas in UK.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom", "url": "http://www.ukpianos.co.uk/"} +{"d:Title": "Barrie's Piano Tuning", "d:Description": "Reconditions and tunes pianos in the East Lancashire area of England. Covers a twenty mile radius area from Darwen England. Includes contact information, advice, available services, and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.a440.co.uk/"} +{"d:Title": "Tempered Sounds", "d:Description": "Tuning, maintenance and repair. Qualifications, contact details, and photos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.robertdunning.20m.com/"} +{"d:Title": "Sussex Piano Services", "d:Description": "Tuning, repairs and sales of pianos, harpsichords and clavichords. Specializing in reconditioning grands and uprights. Includes contact details, photos and service information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.sussexpianos.co.uk/"} +{"d:Title": "B.P Piano Tuning&Repairs", "d:Description": "Piano technician company, serving North West areas.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.pianotunernorthwest.co.uk/"} +{"d:Title": "Paul's Piano Tuning Services", "d:Description": "Piano tuning and restoration on or off site. Also offers reconditioned pianos for sale. Devon.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.devonpianos.com/"} +{"d:Title": "Richard Roberts", "d:Description": "Piano tuning and repairs. Based in central London SW1, serving all zones.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.piano-tuning.co.uk/"} +{"d:Title": "Shackleford Pianos", "d:Description": "Piano tuning and restoration, all UK, prestige grand piano sales based in Macclesfield.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.shacklefordpianos.co.uk/"} +{"d:Title": "Colwell Piano Services", "d:Description": "Piano tuning, sales and repairs in Sussex and Surrey.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.pianokey.co.uk/"} +{"d:Title": "InTune Piano Services", "d:Description": "Offers total piano care for grand and upright pianos across London and Surrey, ranging from tuning, repairs and regulating, right through to full rebuilds and restorations.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.pianotunerlondon.com/"} +{"d:Title": "Matthew J Richards", "d:Description": "Qualified piano tuner based in Wolverhampton, covering surrounding areas.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.matthewjamesrichards.co.uk/"} +{"d:Title": "David Bennett", "d:Description": "Offers information and pricing for piano tuning services across Lancashire, including the Lytham St Anne's area and Blackpool.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_Kingdom/England", "url": "http://www.pianotunerlytham.co.uk/"} +{"d:Title": "Acoustic Piano Tuning", "d:Description": "Professional piano tuning and repair service provides links and information on piano care. Services performed in the state of Maine September thru May, and in Michigan, June, July and August.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States", "url": "http://bigwebpages.com/members/piano"} +{"d:Title": "McAulay Piano Service", "d:Description": "Tuning and repair services. Biography, music education links, tuning information, and contact details. Located in San Jose.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/California", "url": "http://www.pianoguru.com/"} +{"d:Title": "Pitch Perfect Piano Service", "d:Description": "Piano tuning, repairs, and sales. Site describes services offered. Located in Encinitas, Ca.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/California", "url": "http://www.pitchperfectpiano.com/"} +{"d:Title": "Alison Saylor Piano Tuning&Repair", "d:Description": "Complete piano service with information and suggestions on piano care. FAQs and contact information. San Francisco Bay area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/California", "url": "http://www.pianofix.com/"} +{"d:Title": "Bonita Piano Tuning", "d:Description": "Warren Bissell offers tuning and repair. Contact details and service area description. San Diego area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/California", "url": "http://bonitapianotuning.com/"} +{"d:Title": "Acousticraft", "d:Description": "Restoration and service of fine vintage and late model grand pianos. Testimonials, portfolio, staff information, description on service details and contact details. Located in Bloomfield.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Connecticut", "url": "http://www.acousticraft.com/"} +{"d:Title": "88 on Pitch", "d:Description": "Piano tuning, regulating and repair services, including fine tuning, restringing, voicing, replacing keytops, humidity system installations, and appraisals. Serving the northwestern corner of the state.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Connecticut", "url": "http://88onpitch.com/"} +{"d:Title": "Greg Maher Piano Tuning and Restoration", "d:Description": "Serving Connecticut, Rhode Island and southern Massachusetts.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Connecticut", "url": "http://gregmaherpiano.com/"} +{"d:Title": "Connecticut Pianos", "d:Description": "Provides piano tuning, appraisals, selling, buying, repairs and rebuilding services. Serving New York City and southern New England.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Connecticut", "url": "http://www.ctpianos.com/"} +{"d:Title": "Herb Lindahl", "d:Description": "Tuning, repair and restoration service. Specializing in player pianos. Located in South Windsor.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Connecticut", "url": "http://herblindahlpianotuning.com/"} +{"d:Title": "Bondi's Piano Service", "d:Description": "Provides tuning, repairs, restorations and appraisals. Information about products and services, links and contact details. Serves Southwest Florida. Located in Bonita Springs.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Florida", "url": "http://philbondi.com/"} +{"d:Title": "Palm Beach Chapter of the Piano Technicians Guild", "d:Description": "Describes activities of the local guild and lists those members who wish to be included.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Florida", "url": "http://www.angelfire.com/music2/pbptg/"} +{"d:Title": "George Piano", "d:Description": "Tuning, regulating, cleaning and repair. Information about services and service area, professional biography, contact information and piano tips.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Florida", "url": "http://www.georgepiano.com/"} +{"d:Title": "Ryan Piano Service", "d:Description": "Tuning, service, and repair. Advice and information about tuning, humidity and general care, products and contact details. Located in Miami.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Florida", "url": "http://ryanpianoservice.20m.com/"} +{"d:Title": "Lee Piano Tuning", "d:Description": "Tuning, repair, restoration and appraisals. Includes pricing, photos, business history, links and contact details. Located in Orlando.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Florida", "url": "http://www.angelfire.com/biz3/pianotune/index.html"} +{"d:Title": "Key West Pianos", "d:Description": "Piano tuning, appraisals, repairs, and rebuilding.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Florida", "url": "http://www.tuningthekeys.com/"} +{"d:Title": "Shapiro Piano Service", "d:Description": "Registered piano technician Mark Shapiro tunes, repairs and rebuilds pianos in South Florida, based in Boca Raton. Includes information about regulation and voicing, buying and selling, and contact details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Florida", "url": "http://www.shapiropiano.com/"} +{"d:Title": "Artisan Piano Service", "d:Description": "Tuning, repairs, restoration, appraisals, and consultation. Includes tuning credits and contact details. Located in Honolulu.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Hawaii", "url": "http://www.tuninghawaii.com/"} +{"d:Title": "ANR Piano Service", "d:Description": "Offer piano moving, refinishing, rebuilding, storage, appraisals, retail and tuning. Includes company biography, rental information, sales catalog, teacher contact details, and newsletters.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Illinois", "url": "http://www.anrpiano.com/"} +{"d:Title": "John Koelle", "d:Description": "Tunes, rebuilds, moves, and sells pianos. Service information, contact details, photos, testimonial letters and inventory. Located in Chicago.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Illinois", "url": "http://www.pin.ca/piano/"} +{"d:Title": "Maryland Piano Service", "d:Description": "Provides tuning, repair, rebuilding, refinishing, rental and retail of pianos. Contact details. Located in Columbia.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Maryland", "url": "http://www.marylandpiano.com/"} +{"d:Title": "Tunesmith Piano Tunings", "d:Description": "Prices, contact information, service areas and hours of operation. Located in Baltimore.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Maryland", "url": "http://charles.defontes.tripod.com/"} +{"d:Title": "Bill Howland", "d:Description": "Jazz piano for weddings, restaurants and functions and tuning services along Cape Cod.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Massachusetts", "url": "http://www.howlandonline.com/"} +{"d:Title": "The Piano Works", "d:Description": "Tuning, repair and maintenance. Services offered, FAQs, specials, bookings and contact details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Minnesota", "url": "http://www.thepianoworks.com/"} +{"d:Title": "Smith\u2019s Piano Service", "d:Description": "Piano tuning and repair. Servicing Pennsylvania and Maryland.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Minnesota", "url": "http://www.smithspianoservice.com/"} +{"d:Title": "Van Byrd's Piano Tuning and Repair Service", "d:Description": "Includes service information and contact details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Missouri", "url": "http://www.angelfire.com/music2/pianoman/"} +{"d:Title": "Piano Doctor", "d:Description": "Tuning and repair. Includes service information, piano care tips, advice on purchasing, links, FAQs and contact details. Springfield.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Missouri", "url": "http://www.pianodoctor.net/"} +{"d:Title": "Chuck Littau Piano Services", "d:Description": "Since 1984, they have offered piano services for concerts, recitals, and personal in-home use in the greater Kansas City area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Missouri", "url": "http://kansascitypianotuning.com/"} +{"d:Title": "Zane's Piano Service", "d:Description": "Piano tuning, regulation, and repair in Columbia, Missouri and the surrounding Mid-Missouri area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Missouri", "url": "http://www.zanepiano.com/"} +{"d:Title": "NH Pianos", "d:Description": "Tuning, repairs, rebuilding, moving and sales. Information about services, how to maintain and buy a piano, contact details and links. Located in Concord.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Hampshire", "url": "http://www.nhpianos.com/"} +{"d:Title": "Amadeus Piano Co.", "d:Description": "Serves New Jersey, New York, and Connecticut. Tuning, restoration, and refinishing.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.amadeuspianos.com/"} +{"d:Title": "Edmund F. Piotrowski III", "d:Description": "Providing piano tuning, repairs, regulation and purchasing consultation. Includes information about the technician and contact details.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.sta2ned.com/"} +{"d:Title": "Crystal Clear Piano Tuning", "d:Description": "Serving South Jersey and Philly areas. Specializing in antique and vintage instruments, regulation, voicing, estimates and appraisals", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.crystalclearpianos.com/"} +{"d:Title": "Ribaudo Piano Restoration", "d:Description": "Classic piano restoration and repair offer nationwide. Piano tuning offered in Warren, Morris and Sussex counties in New Jersey.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://ribaudopiano.com/"} +{"d:Title": "Keyboard Tuning&Repair", "d:Description": "Tuning, regulation, repair, and rebuilding.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.keyboardtuningrepair.com/"} +{"d:Title": "Marquez Pianos", "d:Description": "Over fifty years at the same location - piano rebuilding and repair", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.pianopartsetc.com/"} +{"d:Title": "Peter Reichlin, RPT", "d:Description": "Piano service since 1963, for the home, studio, and concert hall", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.a440life.com/"} +{"d:Title": "Jimmy Smith Music", "d:Description": "Jimmy Smith, piano tuner and technician, serving Northern New Jersey, established 1999", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.jimmysmithmusic.com/piano-services-offered-nj/piano-tuning-repair-in-nj/"} +{"d:Title": "Stay Tuned Piano Tuning", "d:Description": "Piano tuning and repair services in NYC, NJ and Philadelphia, Pa.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_Jersey", "url": "http://www.staytunedpianos.com/"} +{"d:Title": "Looney Tuner", "d:Description": "Tuning and repair. Includes advice, links and contact details. Servicing Westchester County, NY and vicinity.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://looneytuner.com/"} +{"d:Title": "Renaissance Pianos", "d:Description": "Piano services include tuning, repair, appraisal, rebuilding, restoration, refinishing in New York City and the Tri-State area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://www.renaissancepianos.com/"} +{"d:Title": "Hudson Valley Piano Tuning", "d:Description": "Piano tuner - technician with 28 years experience serving Dutchess, Ulster, and Orange Counities.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://www.hudsonvalleypianotuning.com/"} +{"d:Title": "Piano Care of New York", "d:Description": "Jake Gonchar offers tuning and repairs for both upright and grand pianos in NYC (Manhattan, Brooklyn, Queens, Staten Island, and the Bronx) and the surrounding area, Long Island and Westchester.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://www.pianocareny.com/"} +{"d:Title": "Phillipps Piano", "d:Description": "Piano tuning, repair, restoration, and refinishing. Servicing Syracuse, Utica, Cortland, Auburn, Baldwinsville, Liverpool, Fulton, Oneida, Chittenango. 30 years tuning experience.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://www.phillippspiano.com/"} +{"d:Title": "Richard P. Varley", "d:Description": "Tunes all types of pianos from small spinets to nine foot concert grands. Services the following areas: Saratoga County, Southern Warren County, Washington County, City of Albany, City of Schenectady.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://www.richardvarley.com/"} +{"d:Title": "A-1 Piano Tuning", "d:Description": "Piano tuner, Mark Hetrick performs tuning and repair services in upstate NY, including Albany, Schenectady, Saratoga Springs, Montgomery, Fulton and Hamilton County.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://www.a1pianotuningupstateny.com/"} +{"d:Title": "Rick Prokop", "d:Description": "Piano tuning, repairs and restoration. Contact information, customer testimonials, biographical information, as well as services that are offered.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/New_York", "url": "http://prokoppianotuning.com/"} +{"d:Title": "Acapriccio Piano Works", "d:Description": "Tunes, repairs, rebuilds and refinishes pianos, and also buys and sells good used pianos. Asheville.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/North_Carolina", "url": "http://www.angelfire.com/nc3/piano/"} +{"d:Title": "Piano Perfect", "d:Description": "Russ McNamara, B.A. College Certified Piano Technician, provides piano tuning, voicing, regulation, and rebuilding in Cincinnati, Dayton, and Columbus", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Ohio", "url": "http://www.pianoperfect.net/"} +{"d:Title": "Area Wide Piano Service", "d:Description": "Piano and Pump Organ tuning and repair since 1959. Gene Rolf, has been working in the business for over 50 years, serving Dayton and surrounding areas", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Ohio", "url": "http://areawidepiano.wordpress.com/"} +{"d:Title": "Sweeney Piano", "d:Description": "Grand and baby grand pianos restoration and retail. Includes profile, reviews, information about services, photo gallery, and contact details. Located in Wayne.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Pennsylvania", "url": "http://www.sweeneypiano.com/"} +{"d:Title": "Marshall's Piano Service", "d:Description": "Piano services offered in South Eastern Pennsylvania. Also a blog about pianos", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Pennsylvania", "url": "http://phillytuner.com/"} +{"d:Title": "Murray Piano Tuning of Pittsburgh", "d:Description": "Site for piano tuning services including concert tuning and repair. Also contains information on piano care and restoration.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Pennsylvania", "url": "http://murraypianotuning.com/"} +{"d:Title": "Raynor Piano Service", "d:Description": "Piano tuning and repair services for Beaufort, Bluffton, Hilton Head and all makes of piano including Steinway and Yamaha", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/South_Carolina", "url": "http://www.raynorpiano.com/"} +{"d:Title": "Piano Tuning Nashville", "d:Description": "Grant Houston, a guild tuner and master craftsman, offers his services. Includes a list of celebrity clients.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Tennessee", "url": "http://www.pianotuningnashville.com/"} +{"d:Title": "Alchemy Piano Tune and Repair", "d:Description": "Tuning pianos by ear since 1994", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Tennessee", "url": "http://davidcoots.com/"} +{"d:Title": "P. Williams, Piano Restorations", "d:Description": "Restores older pianos including grand, upright, and player. Displays before and after photos and describes available services. Also offers brief piano history and answers common tuning questions. Denton County.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Texas", "url": "http://www.pianorestorer.com/"} +{"d:Title": "Austin Piano Technicians Guild", "d:Description": "Meetings and events, membership information, newsletters and member list.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Texas", "url": "http://www.austinptg.org/"} +{"d:Title": "Hill Country Piano", "d:Description": "Restores vintage pianos. Site provides service information, current projects, photos, and contact details. Located in Wimberley.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Texas", "url": "http://hillcountrypiano.com/"} +{"d:Title": "MusicMasters Piano Service: Austin, Texas", "d:Description": "Sells new and used pianos. They also offer piano lessons,tuning and repair. Austin.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Texas", "url": "http://www.musicmasterspianoservice.com/"} +{"d:Title": "Len Hess Piano Service", "d:Description": "Piano tuning, repair and rebuilding service; a subsidiary of Hess and Sons Piano Service, specializing in Grand rebuilding, custom pin blocks, hammers, keys, reed organ rebuilding", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Texas", "url": "http://www.hesspianoservice.com/"} +{"d:Title": "Audrey Karabinus", "d:Description": "Registered piano technician; provides tuning, repairs, regulating, voicing, and rentals in Seattle, as well as maintenance and purchasing suggestions, contact information and links", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington", "url": "http://home.earthlink.net/~loftonleap/"} +{"d:Title": "Sound Pianocrafts", "d:Description": "Specializing in tuning, maintaining and restoring acoustic pianos of all kinds, throughout the Puget Sound area.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington", "url": "http://soundpianocrafts.com/"} +{"d:Title": "Willey Piano Tuning", "d:Description": "Piano tuner and technician based in Seattle. Services include tuning, repair, and regulation", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington", "url": "http://www.willeypianotuning.com/"} +{"d:Title": "Bear Piano Services", "d:Description": "Ginny Bear, RPT, piano tuner and technician, offers on-site piano tuning, repair, and regulation in the Seattle area", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington", "url": "http://bearpiano.com/"} +{"d:Title": "Piano Technicians Guild - Washington DC Chapter", "d:Description": "Promotes the highest possible service and technical standards among piano tuners and technicians. Includes officers and a guide to local piano technicians.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.dcptg.org/"} +{"d:Title": "Butler, Rick", "d:Description": "Specializing in the care and restoration of Steinways and other grand pianos. Services include tuning, action regulation, voicing, restoration and assistance for buyers. Includes profile, references, and DVDs and books.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.rickbutler.org/"} +{"d:Title": "Beigel, Carol", "d:Description": "Profile, services, including tuning, appraisals, climate control systems and Disklavier service, information on the purchase and care of pianos, MIDI player tools and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.carolrpt.com/"} +{"d:Title": "Piano Expectations", "d:Description": "Serving the District, Maryland and Northern Virginia. Includes technician profile, services and costs, discounts, piano maintenance hints and tuning FAQ.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.pianoexpectations.com/"} +{"d:Title": "Burke, Don", "d:Description": "Tuning, repair, action regulation and rebuilding in the Washington metropolitan area and New Jersey. Includes services and links.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.donburke.com/"} +{"d:Title": "Butler, Gregory W.", "d:Description": "Based in Germantown, Maryland. Includes profile, piano care and resources.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.gregorywbutlerrpt.com/"} +{"d:Title": "Ashburn Piano Service", "d:Description": "Serving Northern Virginia, Maryland and Washington, D.C. Includes rates and services, links and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.ashburnpianoservice.com/"} +{"d:Title": "Milesi, Paul", "d:Description": "Jazz pianist and registered piano tuner and technician (RPT) provides professional piano tuning, regulation, repair and climate control throughout the Washington area. Includes background, professional experience and contact information.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Washington,_DC", "url": "http://www.pmpiano.com/"} +{"d:Title": "Spalding Piano", "d:Description": "Mike Spalding, located in Fredonia Wisconsin, is a registered member of the Piano Technicians Guild. His specialty is restoration, rebuilding, and repair of pianos.", "topic": "Top/Arts/Music/Instruments/Keyboard/Piano/Tuning/Regional/United_States/Wisconsin", "url": "http://www.spaldingpiano.com/"} +{"d:Title": "Musicmaker's Kits, Inc.", "d:Description": "Kits with precut parts, including a variety of harp, flute, dulcimer, psaltery and Appalachian style instruments. Stillwater, Minnesota, United States.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.musikit.com/"} +{"d:Title": "Sunreed Instruments", "d:Description": "Bamboo flutes, bamboo saxophones, didgeridoos, crystal bowls, shakuhachi, bamboo clarinets, Native American flutes, drums, and world instruments. A small workshop in the Green Mountains of southern Vermont.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.sunreed.com/"} +{"d:Title": "Tibor Koblicek", "d:Description": "Maker of folk instruments, including the ninera, a violin style instrument. Based in Slovakia.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.slovakheritage.org/Folkartists/koblicek.htm"} +{"d:Title": "Noteworthy Instruments", "d:Description": "John Huron, maker of oldtime and Appalachian music instruments. Dulcimer, mountain banjo, mountain lark, mouthbow, gourd bankar, mouthbow, kazoo. Bristol, Tennessee, United States.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.noteworthyjohn.com/"} +{"d:Title": "Strum Hollow Acoustic Music", "d:Description": "Custom makers of lap dulcimers and banjos. Also manufactures musical spoons, washboards, and sell a variety of other instruments.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://strumhollow.com/"} +{"d:Title": "Schmelzer Musical Instruments", "d:Description": "Handmade brass instruments and banjos.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.schmelzertrombone.de/"} +{"d:Title": "Tribal Thunder", "d:Description": "Designs, handcrafts, and plays percussion and wind instruments. Offers presentations, events, and teaching sessions in the Delhi, Ontario area.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.tribalthunder.com/"} +{"d:Title": "Invented Musical Instruments From Discarded Materials", "d:Description": "Making a lutejo, mbira, criblute, sextar, kemancheh, banjo and 16th century Italian pentagonal virginal from dumpster dived materials.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.angelfire.com/folk/inventedinstruments/"} +{"d:Title": "Music Magic", "d:Description": "Handmade by Tim Manning, hammered and Appalachian dulcimers, psalterys, lyres, tongue drums and others. Based in Somerset, United Kingdom.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.timmanning.net/"} +{"d:Title": "Jubilee Instruments&Crafts", "d:Description": "Maker of folk music instruments, tin whistles, flutes and chromatic whistles. Located in Kings Mills, Ohio, United States.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.tinwhistles.us/jubilee/index.php"} +{"d:Title": "Renaissance Workshop Company Ltd.", "d:Description": "Spain. Manufacturer of early musical instruments (medieval, Renaissance and Baroque) and instrument kits for historical performance.", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.renwks.com/"} +{"d:Title": "Production and Sale of Jew's Harp", "d:Description": "Peter Sobota, producer and vendor. Price list, images and samples of music. [English/Slovenky]", "topic": "Top/Arts/Music/Instruments/Makers", "url": "http://www.drumble.sk/"} +{"d:Title": "Boehme Music", "d:Description": "Producer and distributor. Carries a range of ethnic and non-traditional instruments. PDF catalogue. Located in Heuchelheim (near Giessen), Germany. [Bilingual English/German]", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.boehmemusic.com/"} +{"d:Title": "Gibson", "d:Description": "Manufacturers of guitars, banjos, mandolins, dobros and other musical instruments. Based in Nashville, Tennessee, United States.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.gibson.com/"} +{"d:Title": "Henri Selmer Paris", "d:Description": "Saxophones, clarinets, bassoons, brass. Products, manufacturing, news and history. [English/French]", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.selmer.fr/"} +{"d:Title": "Yamaha", "d:Description": "Offers worldwide distribution of Yamaha brand pianos, keyboards, winds, guitars, bowed strings, drums and percussion, including a line of silent practice instruments. Also makes and sells amplifiers and sound cards with DSP technology.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.yamaha.com/"} +{"d:Title": "Alembic", "d:Description": "Images and information on various styles of bass guitars. Manufacturing and factory information, history, dealer list, chat forum. Based in Santa Rosa, California, United States.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.alembic.com/"} +{"d:Title": "Suzuki Europe Ltd..", "d:Description": "Makers of Qchord (successor to the Omnichord), harmonicas, recorders, and keyboards. Based in Milton Keynes, United Kingdom.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.suzukimusic.co.uk/"} +{"d:Title": "Suzuki", "d:Description": "Wide range of instruments manufactured. Instrument lists, dealer information. San Diego, California, United States.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.suzukimusic.com/"} +{"d:Title": "Hohner", "d:Description": "Manufacturer and distributor. Harmonica, melodica, recorder, accordion, guitar, drums. Company profile, history and instrument details.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.hohnerusa.com/"} +{"d:Title": "Grand (Worldwide) Ltd.", "d:Description": "Hong Kong based musical instrument manufacturer and exporter selling band instruments, stringed instruments including electric violins, and guitars.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.grandintl.com/"} +{"d:Title": "Prof. Romeo Orsi", "d:Description": "Saxophones, clarinets, bassoons, brass, flutes, oboes. Product catalogue and history. Located in Italy.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.orsi-wind-instruments.it/"} +{"d:Title": "C. F. Martin and Company", "d:Description": "Produces acoustic music instruments including guitars. Includes information on products, services, artists, dealers, and tours of the factory and museum. Based in Pennsylvania, United States.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.martinguitar.com/"} +{"d:Title": "Punjab Music House", "d:Description": "Manufacturers and exporters of percussion and Indian ethnic instruments. Based in Sialkot, Pakistan.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.pmhonline.com/"} +{"d:Title": "American Plating and Manufacturing Company", "d:Description": "Supplier of lyres, ligatures, caps, song whistles, cleaning rods and other accessories. Based in Illinois, United States.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.apmchicago.com/"} +{"d:Title": "Olds and Reynolds", "d:Description": "Manufacturer of brass, woodwind, and percussion instruments and distributor of handcrafted stringed instruments.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.feolds.com/"} +{"d:Title": "Delhi Musical Stores", "d:Description": "Manufactures and exporters of harmonium, tabla, sitar, tanpura, sarod, dholak. Based in Delhi, India.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.indianmusicalinstruments.com/"} +{"d:Title": "The Music Link (TML)", "d:Description": "Wholesale manufacturer and distributor of musical instruments, including Johnson guitars, Palatino pianos, string and wind instruments, Lucida classical and flamenco guitars, and CODA drums and percussion. Features company profile, product details, and job opportunities.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.themusiclink.net/"} +{"d:Title": "Leslie&Company", "d:Description": "Manufacturer and exporter of international musical instruments: bagpipes, harps, horns, djembes, dumbeks, bodhrans, tambourines, congas and bongos.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.sialkotpak.com/music/"} +{"d:Title": "Mushroom Covers", "d:Description": "Covers for timpani, percussion instruments and pianos.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.mushroomcovers.co.uk/"} +{"d:Title": "Singing Bowls", "d:Description": "Manufacturer and wholesaler of singing bowls from Nepal and Tibet. Also bell dorje, bajra ghanti, cymbals, tingshaws and bhushaws. Based in Nepal.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.thesingingbowls.com/"} +{"d:Title": "National Bench Co.", "d:Description": "Manufacturer of wooden piano benches. Located in Idaho Falls, Idaho, United States.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.nationalbench.com/"} +{"d:Title": "Steinway&Sons", "d:Description": "Manufacturer of musical instruments, focusing on orchestral sound. Includes individual brands, videos of performances, forums, FAQ and investor information.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.steinway.com/"} +{"d:Title": "Kaseke, Petrus", "d:Description": "Manufacturer of ethnic instruments: kolintang, angklung, bamboo trumpets and saxophones. Located in Indonesia.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.kolintang.co.id/"} +{"d:Title": "Discacciati S.r.l.", "d:Description": "Manufacturer of piano benches and stools. Company profile, product catalogue and details. [English/Italian/German]", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.discacciatisrl.com/"} +{"d:Title": "Conn-Selmer, Inc.", "d:Description": "Manufacturer of student and professional musical instruments including Selmer, Bach, Ludwig/Musser, Glaesel, Emerson, and Buescher. Online catalog, repair, educational and historical information.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.conn-selmer.com/"} +{"d:Title": "KMC Music Inc.", "d:Description": "A company that comprises a number of subdivisions each of which specializes in different classes of instruments. News, dealer search, warranty and customer service. Provides links to each of the subdivisions.", "topic": "Top/Arts/Music/Instruments/Manufacturers", "url": "http://www.kmcmusicorp.com/"} +{"d:Title": "Jas's Dumbek Rhythms", "d:Description": "Rhythm instruction for musicians who play the dumbek (Middle Eastern drum). Includes technique information, history about the rhythms. A resource for those learning to play the Arabic tabla.", "topic": "Top/Arts/Music/Instruments/Middle_Eastern", "url": "http://www.khafif.com/rhy/rhythm.html"} +{"d:Title": "Wind's Song Flutes", "d:Description": "Handcrafted flutes, flute scales, extensive information about the instrument, and a forum.", "topic": "Top/Arts/Music/Instruments/Native_American", "url": "http://www.loomisflute.com/"} +{"d:Title": "Flutetree", "d:Description": "Explores the history of the Native American flute with historical essays, songbooks, finger guides, scales, instruction, and how flutes are made and played.", "topic": "Top/Arts/Music/Instruments/Native_American", "url": "http://www.flutetree.com/"} +{"d:Title": "Native American Music in Seven Volumes", "d:Description": "Sheet music for flute and recorder.", "topic": "Top/Arts/Music/Instruments/Native_American/Books-Instructions", "url": "http://www.shakuhachi.com/SM-Chaz.html"} +{"d:Title": "Native American Flute Forum", "d:Description": "Discusses the pentatonic scales and what constitutes this scale in minor and major modes.", "topic": "Top/Arts/Music/Instruments/Native_American/Books-Instructions", "url": "http://www.loomisflute.com/forum/forum/007.html"} +{"d:Title": "Cedar Mountain Drums", "d:Description": "Carrier of drums, rattles, flutes and various instruments. Also, drum-making workshops and weekly sessions. Special events planned monthly. On-line catalog.", "topic": "Top/Arts/Music/Instruments/Native_American/Drums_and_Rattles", "url": "http://www.cedarmtndrums.com/"} +{"d:Title": "Centralia Fur and Hide Indian Traders", "d:Description": "Hand drums, powwow drums, and frames supplies.", "topic": "Top/Arts/Music/Instruments/Native_American/Drums_and_Rattles", "url": "http://www.furandhide.com/"} +{"d:Title": "Big East Native Drums", "d:Description": "East coast native american hand drums. Fashioned from elk and buffalo hide, over white pine frames from the Finger Lakes region.", "topic": "Top/Arts/Music/Instruments/Native_American/Drums_and_Rattles", "url": "http://bigeastnative.com/"} +{"d:Title": "Living Drums", "d:Description": "Hoop drums, ceremonial drums, rattles and beaters handmade and painted by local artists. Custom orders available. Suppliers of drum hoops and rawhide.", "topic": "Top/Arts/Music/Instruments/Native_American/Drums_and_Rattles", "url": "http://www.livingdrums.com/"} +{"d:Title": "Shamanic Art", "d:Description": "Drums and rattles made in a traditional way.", "topic": "Top/Arts/Music/Instruments/Native_American/Drums_and_Rattles", "url": "http://www.beardrum.com/"} +{"d:Title": "Yahoo Club Native Woodworking Forum", "d:Description": "A private forum, for members only, on flute construction and related topics.", "topic": "Top/Arts/Music/Instruments/Native_American/Forums-Circles", "url": "http://groups.yahoo.com/group/nativeflutewoodworking/"} +{"d:Title": "Yahoo Groups: Native American Flute Musicsheet", "d:Description": "Discussion focused on playing, composing, and recording.", "topic": "Top/Arts/Music/Instruments/Native_American/Forums-Circles", "url": "http://groups.yahoo.com/group/nativeamericanflutemusicsheet/"} +{"d:Title": "Scott Loomis's Native American Flute Forum", "d:Description": "An information and forum page for Native American flute players, makers, and others interested in the flute.", "topic": "Top/Arts/Music/Instruments/Native_American/Forums-Circles", "url": "http://www.loomisflute.com/forum/forum.html"} +{"d:Title": "The Hip Rhythm Digest", "d:Description": "A collection of transcriptions of famous grooves and solos, along with rudiments and exercises, for PDF and download.", "topic": "Top/Arts/Music/Instruments/Percussion", "url": "http://www.cactusjack.com/"} +{"d:Title": "Waywood Music", "d:Description": "Information on how to choose, set-up, tune and maintain drums, percussion, cymbals and hardware. Free MP3 downloads.", "topic": "Top/Arts/Music/Instruments/Percussion", "url": "http://www.waywood.com/"} +{"d:Title": "Rhythm Bones Central", "d:Description": "History, regional names, events calendar, Bones Fests, bones players, vendors, Rhythm Bones Society membership form, mailing list, bibliography, discography, and videography.", "topic": "Top/Arts/Music/Instruments/Percussion", "url": "http://www.rhythmbones.com/"} +{"d:Title": "Wikipedia - Percussion Instrument", "d:Description": "Hyperlinked encyclopedia article provides information on the history, classification, function and notation of percussion instruments.", "topic": "Top/Arts/Music/Instruments/Percussion", "url": "http://en.wikipedia.org/wiki/Percussion_instrument"} +{"d:Title": "Marc Zoutendijk's Percussion Info", "d:Description": "Information about tympani, sticks, drum sets, composers and miscellaneous topics.", "topic": "Top/Arts/Music/Instruments/Percussion", "url": "http://marcz1.home.xs4all.nl/percussioninformation/index.html"} +{"d:Title": "The \"Ovidiu Oana\" private bell collection", "d:Description": "A photo album of a private collection of over 200 bells of various ages, from all over the world. Includes photos of bells in Romanian churches and the literature and the history of bells.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells", "url": "http://bells.zuavra.net/"} +{"d:Title": "Wikipedia: Bell", "d:Description": "Hyperlinked encyclopedia article covers the instrument making, its use in churches and temples from different countries, bell towers, famous bells, and gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells", "url": "http://en.wikipedia.org/wiki/Bell_(instrument)"} +{"d:Title": "W R Outhwaite&Son", "d:Description": "Ropemakers with visitor centre located in North Yorkshire. Includes historical overview, descriptions of techniques used and information about educational tours.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Makers", "url": "http://www.ropemakers.co.uk/"} +{"d:Title": "Overview of the Origin and History of Russian Bell-Founding", "d:Description": "A detailed examination of the development of bellfounding activities in Russia in the context of historical events and policies. Includes references to notable bells.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Makers", "url": "http://www.russianbells.com/history/history2.html"} +{"d:Title": "The American Bell Association", "d:Description": "For educational and scientific purposes related to the collection, study, preservation, restoration and research of bells.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Organizations", "url": "https://www.americanbell.org/"} +{"d:Title": "Handbell Musicians of America", "d:Description": "Support for the handbell/ handchime art form and advancing the art through education, community and communication.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Organizations", "url": "http://handbellmusicians.org/"} +{"d:Title": "Handbell Ringers of Great Britain - South East Region", "d:Description": "Handbell ringers and handbell tune ringing in the South East of England, UK.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Organizations", "url": "http://www.hrgbse.org.uk/"} +{"d:Title": "Handbell Society of Australasia", "d:Description": "To encourage handbell ringing throughout Australia, New Zealand and neighbouring regions.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Organizations", "url": "http://www.handbells.org.au/"} +{"d:Title": "The Sound of Bells", "d:Description": "A technical paper about the acoustics of bells, with research, software, recordings and findings about bell tuning derived from analysis of bell sounds.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched", "url": "http://www.hibberts.co.uk/"} +{"d:Title": "Commercial Recordings", "d:Description": "Manufacturer of church music recordings whose catalog includes a few recordings of change ringing.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.cantatemusic.co.uk/"} +{"d:Title": "Campanophile", "d:Description": "Reports of peals and quarter peals and articles about ringing.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.campanophile.co.uk/"} +{"d:Title": "ringing.org", "d:Description": "Varied resources for change ringers, including peal and quarter-peal composition collections and indexes, and the Felstead peal records.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.ringing.org/"} +{"d:Title": "Change Ringing Resources", "d:Description": "Links to sites for compositions, education, methods, peals, people, and organizations for bellingers, articles and collections of compositions.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.ringing.info/"} +{"d:Title": "Steve Noyes", "d:Description": "Guide to the towers on the Isle of Wight, each with history, bell description, and contacts, together with practice nights, Sunday ringing and district information. Also offering a book on learning Grandsire Doubles as their first method, compositions and a shareware software for ringing applications.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.noyes.org.uk/"} +{"d:Title": "Peal Database", "d:Description": "Details of peals rung since 1987.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.peals.co.uk/"} +{"d:Title": "Sensors", "d:Description": "Devices to detect the position of a swinging bell for use with software or hardware simulators.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.ringing.demon.co.uk/sensors/sensors.htm"} +{"d:Title": "Handbell Recordings", "d:Description": "Downloadable excerpts from recordings of handbell peals.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.changeringing.co.uk/handbells.htm"} +{"d:Title": "Abel Bell Ringing Simulator", "d:Description": "Software simulating ringers to allow individual practice without a band.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.abelsim.co.uk/"} +{"d:Title": "Pick Bus's bellringing page", "d:Description": "Experiences of bellringing and how others can get involved.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.pick-bus.s5.com/custom.html"} +{"d:Title": "Beltower Bell Ringing Software", "d:Description": "Multi-functional software for change ringing, incorporating a bell ringing simulator, method, touch and peal printing, editing, composer and prover.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.beltower.co.uk/"} +{"d:Title": "The Ringing World", "d:Description": "The official journal of the Central Council of Church Bell Ringers. News, gossip and useful information about bell-ringing.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.ringingworld.co.uk/"} +{"d:Title": "Young Bell Ringers Cycling Tour", "d:Description": "An annual cycling tour of selected bell towers in England (varies each year). Includes tour reports and photos from recent years.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing", "url": "http://www.ybrct.org.uk/"} +{"d:Title": "Alexander Holroyd's Composition Page", "d:Description": "Peals, quarter peals and shorter touches, by this composer and by others.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www.math.ubc.ca/~holroyd/comps/"} +{"d:Title": "Bellringing Peal Compositions", "d:Description": "Peal compositions by David Hull.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www-users.cs.york.ac.uk/~dgh/comps.htm"} +{"d:Title": "Composition Database", "d:Description": "Peal compositions by several composers.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www.compositions.org.uk/"} +{"d:Title": "Compositions by John S Warboys", "d:Description": "Peal compositions.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://website.lineone.net/~jswcomps/"} +{"d:Title": "Elf", "d:Description": "On-line aid for composing spliced.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www.bronze-age.com/elf/"} +{"d:Title": "Excalibur", "d:Description": "Software for proving compositions.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www.users.globalnet.co.uk/~lupton/excalib.htm"} +{"d:Title": "Graham John's Change Ringing Page", "d:Description": "Peal compositions by this composer and articles about composition.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www.changeringing.co.uk/"} +{"d:Title": "Ringing Class Library", "d:Description": "Library of components for building composition software.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://ringing-lib.sourceforge.net/"} +{"d:Title": "Stedman Course Pricker", "d:Description": "Software to aid in composing Stedman.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www.bronze-age.com/stedman/"} +{"d:Title": "Other Composition Pages", "d:Description": "Links to resources for composers and to collections of compositions.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Composition", "url": "http://www.math.ubc.ca/~holroyd/compweb.html"} +{"d:Title": "National Twelve Bell", "d:Description": "Annual striking competition.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Events", "url": "http://www.12bell.org.uk/"} +{"d:Title": "Tewkesbury Shield", "d:Description": "Annual ten bell striking competition.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Events", "url": "http://www.ringing.demon.co.uk/shield/tewksh.htm"} +{"d:Title": "Ringing Diary", "d:Description": "Calendar of ringing events in Lincolnshire UK, including contacts and links to relevant web sites.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Events", "url": "http://ldgcb.org.uk/diary/"} +{"d:Title": "Hereford Ringing Course", "d:Description": "Information about the four day, residential ringing course offered annually in Hereford, England.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Events", "url": "http://www.herefordringingcourse.org.uk/"} +{"d:Title": "Calling Touches", "d:Description": "Explanations for learning to call simple touches in change ringing including Call Changes, Plain Bob, Grandsire, Stedman and Surprise for Doubles, Minor, Triples and Major.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/FAQs,_Help,_and_Tutorials", "url": "http://www.callingtouches.co.uk/"} +{"d:Title": "Method Master", "d:Description": "Software for drawing bluelines and manipulating methods.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Methods", "url": "http://www.shropshirelad.free-online.co.uk/mm_desc.htm"} +{"d:Title": "Learn The Line", "d:Description": "Software for drawing blue lines.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Methods", "url": "http://www.squidsoftware.co.uk/Downloads/LTL/ltl.html"} +{"d:Title": "Visual Method Archive", "d:Description": "On-line blueline drawing.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Methods", "url": "http://www.vismeth.co.uk/main.htm"} +{"d:Title": "Doubles Methods and Variations", "d:Description": "Touches and bluelines for doubles methods, and tables of doubles variations.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Methods", "url": "http://www.cambridgeringing.info/Methods/Doubles/index.htm"} +{"d:Title": "Methods Committee of the Central Council of Church Bell Ringers (CCCBR)", "d:Description": "Database of all the officially recognized change ringing methods that have been rung and named to date, updated weekly.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Methods", "url": "http://www.cccbr.org.uk/methods/"} +{"d:Title": "Central Council of Church Bell Ringers (CCCBR)", "d:Description": "Description of the world wide umbrella organization tying together associations of ringers, publications for sale, databases of towers, peals and methods.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations", "url": "http://www.cccbr.org.uk/"} +{"d:Title": "North American Guild of Change Ringers (NAGCR)", "d:Description": "Lists and schedules for towers and handbell bands in Canada and the United States. Also provides an introduction to change ringing, photographs, and links to affiliated groups.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional", "url": "http://www.nagcr.org/"} +{"d:Title": "Australian and New Zealand Association of Bellringers", "d:Description": "Overview of ringing events and towers with news of activities and events.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/Australia", "url": "http://www.anzab.org.au/"} +{"d:Title": "looktoanzab", "d:Description": "Online community for the exchange of belfry gossip, changes to practices, peal requests and organisational issues.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/Australia", "url": "http://groups.yahoo.com/group/looktoanzab/"} +{"d:Title": "Vancouver Society of Change Ringers", "d:Description": "Bell ringing schedule, history and tourism information for The Holy Rosary Cathedral. Vancouver, BC.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/Canada", "url": "http://vscr.ca/"} +{"d:Title": "Ancient Society of College Youths (ASCY)", "d:Description": "London-based bell-ringing society with world-wide membership. Information about activities, practices, and events, membership, and history. Details of towers and peals associated with the society.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://ascy.org.uk/"} +{"d:Title": "Devon Association Of Bellringers", "d:Description": "History, tower lists and contacts for the association covering method ringers in Devon, England.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.devonbells.co.uk/"} +{"d:Title": "Irish Association Of Change Ringers", "d:Description": "Covers both Northern Ireland and the Republic of Ireland. District information with details of towers and events.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.bellringingireland.org/"} +{"d:Title": "Scottish Association of Change Ringers", "d:Description": "Details of all Scottish towers with practice nights and contact details. SACR history and events also listed.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.sacr.org/"} +{"d:Title": "University of Bristol Society of Change Ringers", "d:Description": "Schedules, contacts, tower lists and compositions rung for the Society, as well as society news.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.bris.ac.uk/Depts/Union/UBSCR/"} +{"d:Title": "Winchester&Portsmouth Diocesan Guild", "d:Description": "The web site of the Winchester and Portsmouth Diocesan Guild of Church Bell Ringers", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.wp-ringers.org.uk/"} +{"d:Title": "Worcester and Districts Bell Ringing Association", "d:Description": "Listing of practice nights and service times for bell ringers at over one hundred churches in Worcestershire, UK.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.wdcra.org.uk/"} +{"d:Title": "Derby Diocesan Association of Church Bellringers", "d:Description": "Schedules, tower lists, contacts, history and compositions.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://derbyda.org.uk/"} +{"d:Title": "Guild of St Agatha", "d:Description": "Represents the interests of Catholic ringers and bells throughout England and Wales. Includes lists of rings, events and officers.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.guildofstagatha.org.uk/"} +{"d:Title": "Bath and Wells Diocesan Association of Change Ringers", "d:Description": "Provides an alphabetical list of towers with details of items in the Association Library.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.bath-wells.org.uk/"} +{"d:Title": "Beverley and District Ringing Society", "d:Description": "History with details of meetings, towers, training days and contacts.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.bnd.org.uk/"} +{"d:Title": "Kent County Association of Change Ringers", "d:Description": "Topics include a list of current officers, practice nights by day of the week, reports from subcommittees.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.kcacr.org.uk/"} +{"d:Title": "University of Wales, Bangor Society of Change Ringers", "d:Description": "Contact and practice night details with information on events, tours, striking competitions and towers in the area.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://sites.google.com/site/bangorstudentringers/"} +{"d:Title": "The Society of Cambridge Youths", "d:Description": "Dedicated to ringing for the services at Great St Mary\u2019s. History, diary, peal dates and information about the Cambridge chimes.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.scy.org.uk/"} +{"d:Title": "Bedfordshire Association of Church Bell Ringers", "d:Description": "District information, news and Association calendar.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.bacr.co.uk/"} +{"d:Title": "University of London Society of Change Ringers", "d:Description": "Society for teaching London Students the ancient art of church bellringing (also known as campanology) and providing a large, young ringing social network.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_Kingdom", "url": "http://www.ulscr.org.uk/"} +{"d:Title": "MIT Guild of Bellringers", "d:Description": "Organises handbell ringing at the Institute and events at the Old North Church in Boston. Contact details and practice information.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_States", "url": "http://bellringers.scripts.mit.edu/www/"} +{"d:Title": "Washington Ringing Society", "d:Description": "Devoted to change ringing in the nation's capital. Offers regular ringing opportunities, classes and demonstrations. Includes photo gallery, schedule, links and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Regional/United_States", "url": "https://washingtonringingsociety.org/"} +{"d:Title": "Online Rings of Twelve Bells", "d:Description": "List of twelve bell towers together with historical information on twelve bell ringing.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers", "url": "http://www.inspirewebdesign.com/ringsof12/mainframe.asp"} +{"d:Title": "Dove's Guide", "d:Description": "List of all the towers in the world with change ringing bells.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers", "url": "http://dove.cccbr.org.uk/dove.php"} +{"d:Title": "Swan Bells", "d:Description": "Include the former bells of St Martin-in-the-Fields, London. Tourist information and details of practices.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/Australia", "url": "http://www.swanbells.com.au/"} +{"d:Title": "St James, Sydney", "d:Description": "Contact details and ringing times with links to the history of the bells.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/Australia", "url": "http://www.sjks.org.au/index.php?option=com_content&task=view&id=41&Itemid=86"} +{"d:Title": "Victoria", "d:Description": "Bell information and schedules.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/Canada", "url": "http://www.victoriaringers.ca/"} +{"d:Title": "Cambridge", "d:Description": "Schedules, contacts, photographs and sound files for Great St Mary's Church.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.scy.org.uk/"} +{"d:Title": "Ainderby Steeple Campanile", "d:Description": "Mini-ring in Mark, Somerset.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://members.tripod.com/ainderbycampanile/ASC1.htm"} +{"d:Title": "Aylesbury", "d:Description": "Schedule, contacts and photographs for St Mary's Church.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://utter.chaos.org.uk/cbb/aylesbury/"} +{"d:Title": "Galleywod-Bellringing", "d:Description": "Schedules, contacts and photographs for St Michael and All Angels Church.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.galleywood-bellringing.org.uk/"} +{"d:Title": "Great Milton Bell Ringers", "d:Description": "Description and photographs of rehanging and a recording of the bells at St Mary's, Great Milton, Oxfordshire, England.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://home.freeuk.net/gm-bellringers/"} +{"d:Title": "Piltdown House Campanile", "d:Description": "Mini-ring in St Mary's Platt, Kent.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.piltdown.org.uk/piltdownhousecampanile.htm"} +{"d:Title": "Tibenham Peal Appeal", "d:Description": "Description of efforts to rehang the bells of All Saints, Tibenham, Norfolk, England.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.tibenham.fsnet.co.uk/"} +{"d:Title": "Willoughby Campanile", "d:Description": "Portable mini-ring.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://georgedawson.homestead.com/campanile.html"} +{"d:Title": "Wombourne Ringers", "d:Description": "Bellringers of St Benedict Biscop's Church in Wombourne.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.bears-bus.co.uk/wombring/"} +{"d:Title": "Barrow-upon-Humber", "d:Description": "Schedules and contacts for the ringing center.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.lowwood.org.uk/"} +{"d:Title": "Lichfield Diocesan Mobile Belfry", "d:Description": "Portable mini-ring.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.nsacr.org.uk/LDMB/"} +{"d:Title": "Church Bells in Gloucestershire", "d:Description": "A Ringer's guide to towers in Gloucestershire.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.ringing.demon.co.uk/towers/glos.htm"} +{"d:Title": "Eynesbury", "d:Description": "Links and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.ely.anglican.org/parishes/eynesbury/"} +{"d:Title": "South Oxon Branch of the Oxfordshire Diocesan Guild of Bellringers", "d:Description": "Includes details of their 19 towers, branch officers, and events.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.southoxonodg.co.uk/"} +{"d:Title": "Motcombe Ringers", "d:Description": "A ring of 6 bells in North Dorset. Includes history, details of events and information about practice nights in the area.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.motcombe.sdgr.org.uk/"} +{"d:Title": "Liverpool Cathedral", "d:Description": "Specifications, program and information.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_Kingdom", "url": "http://www.liverpoolbells.moonfruit.com/#/the-cathedral/4528777591"} +{"d:Title": "Saint Mark's Bells", "d:Description": "Schedules, contacts and photographs for St Mark's Church.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_States", "url": "http://www.phillyringers.com/stmarks/"} +{"d:Title": "Kalamazoo", "d:Description": "Schedules, contacts and photographs for Kalamazoo College Chapel.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_States", "url": "http://www.kzoo.edu/ringers/"} +{"d:Title": "Philadelphia", "d:Description": "Schedules and contacts for St Martin's Church.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Change_Ringing/Organizations/Towers/Regional/United_States", "url": "http://phillyringers.com/stmartins/"} +{"d:Title": "Bronze:FX", "d:Description": "Handbell music publisher in Grass Valley, California. Music for handbell soloists, ensembles, choirs, and with added instruments.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing", "url": "http://www.bronzefx.com/"} +{"d:Title": "World Carillon Federation", "d:Description": "Worldwide list of carillons and contact information for member organizations.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Carillons", "url": "http://www.carillon.org/"} +{"d:Title": "Chimes&knells from County of Nice", "d:Description": "A presentation of these traditional ringings from villages in the Southern Alps. Includes background information, MIDI files, lyrics, bibliography, and discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Carillons", "url": "http://mtcn.free.fr/mtcn-traditional-music-midi-chime.php"} +{"d:Title": "Beaumont Tower Carillon", "d:Description": "Information about the instrument at Michigan State University, with details of carillonneurs, recitals and multimedia files of sample performances.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Carillons", "url": "http://music.msu.edu/carillon"} +{"d:Title": "Capital City Ringers", "d:Description": "A community handbell ensemble from Lansing, MI. View information about handbells, handbell ringers, concerts, and recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.capitalcityringers.com/"} +{"d:Title": "Bells' Angels", "d:Description": "The handbell choir of Saint Andrew's Episcopal Church in Saratoga, California.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.magicbells.com/bellsangels"} +{"d:Title": "Handbell Ensemble Sonos", "d:Description": "Professional choir in California. Tour dates, biographies, audition information, and CD-ROMs for sale.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.sonos.org/"} +{"d:Title": "Bells of the Sound", "d:Description": "An advanced community-based handbell choir in the Greater Puget Sound (Seattle, Washington) area. Established in 1989.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.bellsofthesound.org/"} +{"d:Title": "Puerto Rico Handbell Choir", "d:Description": "Group history and objectives; repertoire, schedule and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://corodecampanas.tripod.com/"} +{"d:Title": "The Raleigh Ringers", "d:Description": "The Raleigh Ringers, an advanced community handbell ensemble bringing advanced sacred and secular handbell music to the widest possible audience", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.rr.org/"} +{"d:Title": "Blacon Handbell Ringers", "d:Description": "Formed at Blacon High School in Chester, UK, to raise money for local charities. Also do weddings, community concerts, television, and touring.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://blaconhandbellringers.homestead.com/index.html"} +{"d:Title": "Concert Bells of Fort Worth", "d:Description": "An auditioned community handbell ensemble in the Fort Worth/Denton/Dallas area.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.concertbells.com/"} +{"d:Title": "Ring Of Fire", "d:Description": "Contains a schedule, recordings, and profile of the group.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.rof.org/"} +{"d:Title": "Chicago Bronze", "d:Description": "Performances include public concerts, worship services, weddings and parties. Details of schedule with contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.chicagobronze.com/"} +{"d:Title": "Gloves Handbell", "d:Description": "The first community bell choir in Hong Kong, consisting of 18 ringers, established in 1996. Information about performances and photo gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.gloveshandbell.org.hk/"} +{"d:Title": "Northwest Bell Ensemble", "d:Description": "A community based English handbell ensemble in the Seattle, Washington area. Includes handbell FAQ and trivia.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.olypen.com/nwbell/nwbell.html"} +{"d:Title": "The Detroit Handbell Ensemble", "d:Description": "A professional-level auditioned handbell ensemble, based in the Detroit metropolitan area.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.detroithandbellensemble.org/"} +{"d:Title": "Bells of the Lakes", "d:Description": "A community handbell choir in the Twin Cities, Minnesota.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://bellsofthelakes.org/"} +{"d:Title": "Clifton Handbell Ringers", "d:Description": "Teams from West Yorkshire, UK that use the traditional 'off the table' Yorkshire style of ringing. Overview with details of recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://chbr.co.uk/"} +{"d:Title": "Emerald City Ringers", "d:Description": "An intermediate training handbell choir in Seattle, WA directed by Brian Tervo.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://emeraldcityringers.org/"} +{"d:Title": "Back Bay Ringers", "d:Description": "A community-based handbell organization whose ensembles perform throughout Boston and the Northeast USA.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.backbayringers.org/"} +{"d:Title": "Shoreline Ringers", "d:Description": "A community handbell choir based in Ledyard, Connecticut. Includes photos and a history of the choir.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://shorelineringers.org/"} +{"d:Title": "The Blackpool Bells", "d:Description": "Based in Lancashire, the handbell ringers inform about team, history and techniques.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Choirs", "url": "http://www.blackpoolbells.co.uk/"} +{"d:Title": "Handbell Ringers of Great Britain", "d:Description": "The primary handbell organization in G.B. Schedules of events; contacts; articles on history, traditions, starting a \"team\".", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Organizations", "url": "http://www.hrgb.org.uk/"} +{"d:Title": "Guild of Carillonneurs in North America", "d:Description": "Professional organization that promotes the art of carillon in North America. Recital calendars and music publication lists.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Organizations", "url": "http://www.gcna.org/"} +{"d:Title": "The British Columbia Guild of English Handbell Ringers (BCGEHR)", "d:Description": "Background, officers, performance schedule for a group of 17 provincial choirs in British Columbia.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Organizations", "url": "http://www.bcgehr.com/"} +{"d:Title": "Ontario Guild of English Handbell Ringers", "d:Description": "A guide to handbell ringers and activities in Ontario, Canada. Includes newsletters, festival information and assistance for newly-formed choirs.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Organizations", "url": "https://www.ogehr.ca/"} +{"d:Title": "Area III", "d:Description": "Represents handbell ringers from Delaware, Maryland, Washington D.C., Virginia, and North Carolina. Background, \u201cbell-o-gram\u201d, concerts and events, multimedia, services.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Organizations", "url": "http://www.areaiii.org/"} +{"d:Title": "Yale University Guild of Carillonneurs", "d:Description": "Group information, discography, calendar, and sheet music library catalog.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Organizations", "url": "http://carillon.sites.yale.edu/"} +{"d:Title": "The Angel Handbell Choir", "d:Description": "A handbell choir for mentally and physically handicapped under the direction of Laura Rice, St. John's Lutheran Church. News, events, the mission, photos and videos, testimonials and anecdotes. Mercersburg, PA.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Organizations", "url": "http://angelhandbellchoir.webs.com/"} +{"d:Title": "David Allen - Handbell Soloist", "d:Description": "David, an accomplished English handbell soloist, specializes in the multiple bell techniques. He tours annually performing concerts and teaching workshops.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Ringers", "url": "http://www.david-m-allen.com/"} +{"d:Title": "The Golden Dance", "d:Description": "Mich\u00e8le Sharik is directing Sonos, a professional classical handbell ensemble in San Francisco Bay Area. Performance, teaching, calendar, \u201craising the bar\u201d, store, choreography, resources.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Ringers", "url": "http://www.thegoldendance.com/"} +{"d:Title": "Handbell tapestry: Sue Garton", "d:Description": "Sue is a handbell soloist, clinician and coach. She rings in the East Main Presbyterian Church bell choir, PA. Biography, contact information, performance, teaching, calendar.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Ringers", "url": "http://www.handbelltapestry.com/"} +{"d:Title": "Lora Melton, Handbell Soloist", "d:Description": "Lora is a performing artist from Galax, VA, in the Blue Ridge Mountains in Southwest Virginia. Lora owns a 3 octave set of Malmark handbells.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Ringers", "url": "https://www.facebook.com/Lora-Melton-1423029627954324/"} +{"d:Title": "Cathy Moklebust", "d:Description": "Handbell educator, composer, and clinician from Brookings, SD. Biography, contact information, index of her works, sample scores and sound files.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Pitched/Tune_Ringing/Ringers", "url": "http://www.cathymoklebust.com/"} +{"d:Title": "Folly Bells: Jingle all the Way", "d:Description": "Article discussing medieval bells for clothing. Includes descriptions with illustrations of clapper and rumble forms.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Unpitched", "url": "http://www.virtue.to/articles/bells.html"} +{"d:Title": "Asian Bells", "d:Description": "General description and information about casting with photographs.", "topic": "Top/Arts/Music/Instruments/Percussion/Bells/Unpitched", "url": "http://www.ausbell.com.au/asian_bells.html"} +{"d:Title": "Berimbau", "d:Description": "Article by Richard P. Graham and N. Scott Robinson covering history, popular and traditional Brazilian use, and recent developments.", "topic": "Top/Arts/Music/Instruments/Percussion/Berimbau", "url": "http://www.nscottrobinson.com/berimbau.php"} +{"d:Title": "DrumsOnTheWeb.com: Frank Colon's Informal Lesson", "d:Description": "Introduction to playing the berimbau.", "topic": "Top/Arts/Music/Instruments/Percussion/Berimbau", "url": "http://www.drumsontheweb.com/DOTWpages/Drumkeypages/lessonspages/FCberimbau.html"} +{"d:Title": "Rhythmweb: The Brazilian Berimbau", "d:Description": "Description and illustration, with links.", "topic": "Top/Arts/Music/Instruments/Percussion/Berimbau", "url": "http://www.rhythmweb.com/berimbau/"} +{"d:Title": "Istanbul Agop", "d:Description": "Handmade cymbals from Turkey in different variations.", "topic": "Top/Arts/Music/Instruments/Percussion/Cymbal/Manufacturers", "url": "http://www.istanbulcymbals.com/"} +{"d:Title": "Paiste Cymbals", "d:Description": "Product descriptions, production information, artist profiles, cymbal sounds and forum.", "topic": "Top/Arts/Music/Instruments/Percussion/Cymbal/Manufacturers", "url": "http://www.paiste.com/"} +{"d:Title": "Sabian", "d:Description": "Canadian cymbal manufacturer. Includes product specifications, cymbal selection and care guides, artists, and dealer locator.", "topic": "Top/Arts/Music/Instruments/Percussion/Cymbal/Manufacturers", "url": "http://www.sabian.com/"} +{"d:Title": "Saluda Cymbals", "d:Description": "Product details, audio samples, and artist profiles.", "topic": "Top/Arts/Music/Instruments/Percussion/Cymbal/Manufacturers", "url": "http://www.saludacymbals.com/"} +{"d:Title": "Drum Lessons Database", "d:Description": "A portal to over 300 sites for free drum lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Directories", "url": "http://www.drumsdatabase.com/"} +{"d:Title": "The Drum Page", "d:Description": "Links to manufacturers.", "topic": "Top/Arts/Music/Instruments/Percussion/Directories", "url": "http://www.angelfire.com/stars/drum/"} +{"d:Title": "Drumsite", "d:Description": "Links to various manufacturers and products.", "topic": "Top/Arts/Music/Instruments/Percussion/Directories", "url": "http://home.iae.nl/users/nuenen/homepage.htm"} +{"d:Title": "AcousticDRUMS.com", "d:Description": "Instructions for drum refinishing, tuning, and construction. Included are an on-line store, discussion forums and product reviews.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.acousticdrums.com/"} +{"d:Title": "Bill Powelson's School of Drums", "d:Description": "70-lesson course includes tips and techniques on notation, basic rhythms, rudiments, and drum sets.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.studydrums.com/drummers/bphome01.html"} +{"d:Title": "Cool Drum Place", "d:Description": "Pictures of drumsets, WAV sound clips, drumming tips and advice, rudiments and drills, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.angelfire.com/biz5/drums4u/"} +{"d:Title": "Drum Madness", "d:Description": "Information, pictures, sound clips and videos.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://penz4.tripod.com/"} +{"d:Title": "Drummer Cafe", "d:Description": "Resources, bulletin board, chat room, links, and reviews. Hosted by Bart Elliott.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.drummercafe.com/"} +{"d:Title": "DrumsOnTheWeb.com", "d:Description": "Interviews, photos, lessons and chats with artists, and newsletter.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.drumsontheweb.com/"} +{"d:Title": "Groove Gallery", "d:Description": "ASCII transcriptions of rhythms for drum set. MIDI loops and MP3 samples are provided, as are original source files in Virtual Drummer format.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.debris.com/journal/grooves"} +{"d:Title": "NextCraft", "d:Description": "Drum techniques project by Mike James.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.nextcraft.com/index.html"} +{"d:Title": "Seattle Drummer", "d:Description": "List of Northwest drummers mixed with resources, interviews and news.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.seattledrummer.com/"} +{"d:Title": "Think Drums", "d:Description": "Dedicated to drum and cymbal info: products, events, links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://thinkdrums.tripod.com/"} +{"d:Title": "Tiger Bill's DrumBeat", "d:Description": "Weekly articles, lessons, downloads, forum, links, drum tabs, and drum loops.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.tigerbill.com/"} +{"d:Title": "Yahoo! Club: Drumzilla's Lair", "d:Description": "Message base, chat room, links, and member roster.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://groups.yahoo.com/group/drumzillaslair/"} +{"d:Title": "johnnydrums.com", "d:Description": "Drumming lessons and resources by John Simmons, drummer for Atomic Opera and the Smith Band.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.johnnydrums.com/"} +{"d:Title": "Teach Me Drums", "d:Description": "Free drum teaching videos in WindowsMedia format focusing on double bass drum technique, and WFD competition.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://teachmedrums.com/"} +{"d:Title": "Drum Tips", "d:Description": "Includes a list of tips for playing drums and percussion.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.drumtips.com/"} +{"d:Title": "Drumbum - Lessons", "d:Description": "Links to many drum lessons and drum tabs.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://drumbum.com/lessons/"} +{"d:Title": "Drums and Drummers", "d:Description": "History of the drumset, links, reviews of books, and audio and video recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums", "url": "http://www.leisureclass.net/drums/"} +{"d:Title": "Congaplace: Bata Drums", "d:Description": "Includes introduction, notations, discography, and audio sample.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bata_Drums", "url": "http://www.mycongaplace.com/instrument/batadrums/index.htm"} +{"d:Title": "The Bodhran in Irish Music", "d:Description": "Includes audio, images and a bodhran tutorial. Also offers playing lessons in County Donegal, Ireland.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://homepage.eircom.net/~cipin/"} +{"d:Title": "The Bodhran", "d:Description": "Includes history, photographs, playing and building instructions, makers, instructors, techniques, performers, recordings and vendors.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://www.ceolas.org/instruments/bodhran/"} +{"d:Title": "Yahoo Groups: Bodhran", "d:Description": "Bodhran players discussion group.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://launch.groups.yahoo.com/group/Bodhran/"} +{"d:Title": "Bodojo", "d:Description": "Bodhr\u00e1n discussion and learning site, offering a forum and articles.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://www.bodojo.com/"} +{"d:Title": "Bodojo", "d:Description": "Facebook group for bodhr\u00e1n enthusiasts", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "https://www.facebook.com/groups/bodojo/"} +{"d:Title": "Bodhr\u00e1n: its origin, meaning and history", "d:Description": "Comprehensive information provided by Comhaltas in English and Gaeilge.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://comhaltas.ie/music/treoir/detail/"} +{"d:Title": "The Bodhr\u00e1n - An Introduction", "d:Description": "Information includes construction materials, tuning, the effects of temperature and how to play.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://www.drumdojo.com/j15/world/ireland/bodhranintro.htm"} +{"d:Title": "Bodhr\u00e1n", "d:Description": "Extensive Wikipedia page on the history, popularity, international use, techniques and general information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://en.wikipedia.org/wiki/Bodhr%C3%A1n"} +{"d:Title": "Bodhr\u00e1n World", "d:Description": "Online shopping, videos, news blog and learning modules.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://www.bodhranworld.com/"} +{"d:Title": "Bodhr\u00e1n: its origin, meaning and history", "d:Description": "Etymology of the instrument's name and historical view of its use.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://comhaltas.ie/music/treoir/detail/bodhran_its_origin_meaning_and_history/"} +{"d:Title": "The Bodhr\u00e1n", "d:Description": "Article on the history of the instrument from the Appletree Guide to Irish Traditional Music by Ciaran Carson.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n", "url": "http://www.irelandseye.com/aarticles/culture/music/traditional/ulil2.shtm"} +{"d:Title": "Bodhr\u00e1n Expert", "d:Description": "Michelle Stewart shares her expertise with video lessons, a blog and information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://bodhranexpert.com/"} +{"d:Title": "Craiceann", "d:Description": "Summerschool for learning the bodhr\u00e1n.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://www.craiceann.com/"} +{"d:Title": "Bodhran Lessons", "d:Description": "Tips and pointers on playing the bodhran from singingwood.com.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://www.singingwood.com/NewFiles/Bodhran.html"} +{"d:Title": "Aimee Farrell Courtney", "d:Description": "Group and individual bodhr\u00e1n lessons to all ages from beginners to advanced. Class locations in Dublin, Meath/Ashbourne and Kildare. Workshops and master classes conducted across Ireland, Europe and America.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://www.aimeefarrellcourtney.com/education.html"} +{"d:Title": "Martin O'Neill", "d:Description": "Offers private lessons in Dublin, online lessons via Skype and bodhr\u00e1n workshops.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://www.martinoneill.com/teaching/"} +{"d:Title": "Bodhr\u00e1n Lessons in York", "d:Description": "Individual tuition and group workshops offered by Susie Fox, based in York, UK.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://www.bodhranlessons.co.uk/"} +{"d:Title": "Irish Cultural Centre of New England", "d:Description": "Bodhran classes in Canton, Massachusetts in the U.S.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://www.irishculture.org/bodhran/"} +{"d:Title": "Bodhran Workshops Limerick, Ireland", "d:Description": "Photos and information on workshops that instructs on the use of the Irish frame drum.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "https://www.facebook.com/Bodhran-Workshops-Limerick-551148471626573/"} +{"d:Title": "Eamon Murray", "d:Description": "Tutorials available through Skype, as a DVD and download.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://eamonmurray.ie/"} +{"d:Title": "Coleman Bodhr\u00e1n School", "d:Description": "Facebook page of school based in South Sligo, Ireland that offers news and information on its classes.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "https://www.facebook.com/Coleman-Bodhran-school-144322235584066/"} +{"d:Title": "Online Academy of Irish Music: Bodhr\u00e1n Lessons", "d:Description": "Free basic lessons and ability to sign up for paid lesson.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "https://www.oaim.ie/instrument/8/bodhrn"} +{"d:Title": "Tempo Music School", "d:Description": "Adult beginner, intermediate and advanced classes plus music workshops. Located in Drumcondra Village in Dublin, Ireland.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Education", "url": "http://tempomusicschool.ie/bodhran-lessons/"} +{"d:Title": "Ace Bodhrans by Mance Grady", "d:Description": "Bodhrans, tippers (playing sticks), accessories and recordings. Rhode Island, US.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.acebodhrans.com/"} +{"d:Title": "Belgarth Bodhrans", "d:Description": "Handmade bodhrans decorated with runes or inspired by local landscapes. Orkney, Scotland.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.belgarth.com/"} +{"d:Title": "IrishBodhrans.com", "d:Description": "Offering hand crafted bodhrans. Includes information on how they are made, history, tips on playing and how to order. Ballycastle, Ireland.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.irishbodhrans.com/"} +{"d:Title": "Seamus O'Kane", "d:Description": "Bodhran maker Seamus O'Kane from Dungiven in N Ireland", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.tradcentre.com/seamus/"} +{"d:Title": "Albert Alfonso Bodhrans", "d:Description": "Performer and maker based in Dallas, Texas. Makes drums to order using raw skins.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.albertalfonso.com/"} +{"d:Title": "Diarmaid O'Kane", "d:Description": "Second generation bodhran maker. Profile and photo gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.tradcentre.com/diarmaid"} +{"d:Title": "Roundstone Musical Instruments.", "d:Description": "County Galway, Ireland based drum maker provides news and information on drums and options available.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.bodhran.com/"} +{"d:Title": "The Bodhr\u00e1n Maker", "d:Description": "Paraic McNeela informs on how to choose a bodhr\u00e1n and provides testimonials, a blog, newsletter and items that he sells.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.thebodhranmaker.com/"} +{"d:Title": "Drum Makers", "d:Description": "Selected makers in America, Ireland, Scotland and Britain.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.bsutton.com/Brenda/music/bodhran/drum_makers.htm"} +{"d:Title": "Ardglen Bodhr\u00e1ns", "d:Description": "Fred Graham offers his performance history, teaching credentials, news and articles in addition to information on custom-made drums.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.ardglen-bodhrans.com/"} +{"d:Title": "Metloef Drums", "d:Description": "Inforation on custom bodhrans includes choice of diameter, depth, wood color, skin, taping and weighting. Site provided in English, Dutch, French and Japanese.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.metloef.com/website_eng/index_eng.html"} +{"d:Title": "Brendan White's Tuneable Bodhrans", "d:Description": "Overview of the maker and the instrument, blog, online shop and links. Located in Veldhoven, The Netherlands.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "https://bodhran.nl/"} +{"d:Title": "Ben March Bodhr\u00e1ns", "d:Description": "Handcrafted in County Clare, Ireland. Gallery, testimonials and specs provided.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "http://www.benmarchbodhrans.ie/"} +{"d:Title": "Hedwitschak Drums", "d:Description": "Hand made traditional irish drums by bavarian maker Christian Hedwitschak. Site provided in English and Dutch.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Bodhr\u00e1n/Makers", "url": "https://www.bodhranmaker.eu/en/"} +{"d:Title": "Liewil's Djembe Pages", "d:Description": "History of the djembe and the traditional rhythms, CD reviews, book reviews, maintenance tips and photos taken at concerts.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://users.telenet.be/willie.camerman/"} +{"d:Title": "VoyeuRhythmic - African Percussion", "d:Description": "Drum (djembe and other) and dance classes in Melbourne, Australia. Biography of the founder.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.africandrumming.com.au/"} +{"d:Title": "A Guide to the Jembe", "d:Description": "Eric Charry's famous article on the history and evolution of the djembe in West Africa.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://echarry.web.wesleyan.edu/jembearticle/article.html"} +{"d:Title": "Djembe and Mande Music", "d:Description": "About the drumming, culture and other musical traditions of the Mande peoples of West Africa, with articles, rhythms, CD liner notes, references, reviews, and links to other sites.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://tcd.freehosting.net/djembemande/"} +{"d:Title": "African Drum Rhythms Educational Tools", "d:Description": "Online rhythm exchange \"S.H.A.R.E.\"; Notation playback freeware and djembe font to download; Information about instructional books and CDs by Lennart Hallstrom.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.djembe.net/"} +{"d:Title": "Gamton Records / Publishing", "d:Description": "Djembe and other world music. With juke-box to listen to samples.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.gamton.de/"} +{"d:Title": "S.H.A.R.E. - Server Hosted African Rhythm Exchange", "d:Description": "Nice attempt to bring together all djembe rhythm notation sources on the web.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.djembe.net/share/"} +{"d:Title": "Cultural Context for Djembe Rhythms", "d:Description": "List of rhythms with their background (country, ethnic group, occasion for playing)", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://home.acceleration.net/clark/PaperVu/context.htm"} +{"d:Title": "Rhythmweb Djembe Page", "d:Description": "This page on the rhythmweb devoted to the djembe contains a nice selection of information and links to start surfing the web.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.rhythmweb.com/djembe/"} +{"d:Title": "Online Resource Guide", "d:Description": "An open, and interactive links guide to the on-line djembe community.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.djembe.org/"} +{"d:Title": "Rhythms For Djembe And Friends", "d:Description": "Some traditional, some new rhythms, notated in several formats (i.a. ASCII, GIF, PNG, PDF, and PS) including sound samples in MIDI, MP3, and OGG and links to other sites.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.fam.tuwien.ac.at/~schamane/data/audio/rhythms/"} +{"d:Title": "Mailing List Djembe-L @Yahoo", "d:Description": "Mailing list dedicated to ongoing development and maintenance of a knowledge base regarding the drum and dance musical culture of West Africa.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://launch.groups.yahoo.com/group/djembe-l/"} +{"d:Title": "Vuka Vuka", "d:Description": "Website of the French band Vuka Vuka who plays traditional Manding music. Lots of video material on concerts and rehearsals.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://vukavuka.free.fr/"} +{"d:Title": "Beenhakkers Percussion", "d:Description": "Percussion workshops for team building, community building, conferences, celebrations, companies and (big) groups; in Central and Northern Europe.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.beenhakkers.com/"} +{"d:Title": "Djembe Dunun Drumset", "d:Description": "Maarten Schepers' website, about his instructional books, traditional rhythms, and drumset adaptations, with sound samples and pictorials. Also offering the Malinke Percussion Discography, and djembe and dunun classes in South of France.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://djembe.drumset.free.fr/"} +{"d:Title": "Mamady Keita", "d:Description": "Official site of master drummer Mamady Keita. Biography, discography, filmography, and a calendar of events and workshops.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.mamadykeita.com/"} +{"d:Title": "Hawkdancing African Djembe Drums", "d:Description": "Online shop selling African Djembe drums, accessories, and supplies. Offers extensive instructions for repairing and (re)heading a Djembe.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.hawkdancing.com/djembe.shtml"} +{"d:Title": "Dunun", "d:Description": "Freelance webdesigner's showcase, with photos and video snippets (requires Flash player or plugin).", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.dunun.com/2002/"} +{"d:Title": "The WAP Pages", "d:Description": "West-African Percussion rhythms from Guinea and surrounding countries: notation and soundfiles of about 100 rhythms.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.paulnas.eu/wap/"} +{"d:Title": "Djembe Boom Boom", "d:Description": "Notations of rhythms with some audio samples; Illustrated photo-guide to reskin a djembe.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://home.scarlet.be/djembeboom/"} +{"d:Title": "Djembe Secrets", "d:Description": "Video lessons for djembe by Tim Irrgang offered as DVDs and workbook, and as on-line membership courses.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.djembesecrets.com/"} +{"d:Title": "Percussion Inibara", "d:Description": "Instructions on playing djembe and dununs, re-skinning drums, and i.a. hearing damage, tinnitus and earplugs. Information about the Dutch band Inibara. Partly multilingual.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://home.kpn.nl/dijk0941/"} +{"d:Title": "The Rhythm Reference Project", "d:Description": "Audio and video recordings of 50 traditional West African rhythms for purchase, including breaks, djembe and dunun parts.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://www.rhythmreference.com/"} +{"d:Title": "Djembe.co.uk", "d:Description": "Community site with discussion forum, chat, calendar, links, and listings of workshops.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Djembe", "url": "http://djembe.co.uk/news.php"} +{"d:Title": "Calandrelli, Mario", "d:Description": "Drummer, graduate of Berklee College of Music. Resume and recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.mariocalandrelli.com/"} +{"d:Title": "Pilotti, Elisa", "d:Description": "Italian female drummer, percussionist and instructor. Includes biography, photographs and lessons. In English and Italian.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.elisapilotti.com/"} +{"d:Title": "Strauch, Oliver", "d:Description": "Contemporary German jazz drummer. Includes biography and discography. (Some writeing in German)", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.oliverstrauch.de/"} +{"d:Title": "Drummers Unlimited", "d:Description": "Pictures and biographies of famous drummers including Buddy Rich and Dave Weckl.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.angelfire.com/mac/keepitlive/drummers/hompage/drummers.htm"} +{"d:Title": "Drummerworld", "d:Description": "Famous Drummers in Rock and Jazz. Pictures, Videos, Sounds, and biographies.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.drummerworld.com/"} +{"d:Title": "Steve's House of Drumming", "d:Description": "News and material on drummers Dave Weckl and Vinnie Colaiuta. MP3s and video clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.houseofdrumming.com/"} +{"d:Title": "Amendola, Scott", "d:Description": "Includes the drummer's biography, discography, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.scottamendola.com/"} +{"d:Title": "Bender, Scott", "d:Description": "Las Vegas drummer. Sites includes list of influences and links to former bands.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://lasvegasdrummer.tripod.com/"} +{"d:Title": "Carroll, Jon", "d:Description": "Michigan drummer. Biography and setup list.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.joncarroll.com/"} +{"d:Title": "Connor, Charles", "d:Description": "Little Richard's original drummer. Music, history, CD-ROMs, photos, and souvenirs.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.legendarydrummer.tv/"} +{"d:Title": "DiCenso, Dave", "d:Description": "A drummer from Boston who is currently teaching at Berklee College of Music.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.davedicenso.com/"} +{"d:Title": "Olson, Eric", "d:Description": "Drummer. Biography, recordings, and equipment.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.angelfire.com/indie/ericolson/"} +{"d:Title": "Risko, Paul", "d:Description": "Rock drummer, favorite bands and percussive philosophy. Columbus, Ohio.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://paulrisko.com/"} +{"d:Title": "Schwartz, Jon \"Bermuda\"", "d:Description": "The official website. Drummer for \"Weird\" Al.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.bermudaschwartz.com/"} +{"d:Title": "Section 5", "d:Description": "UK. Display team associated with folk events. Includes calendar, newsletter downloads, image galleries, and audio clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.section5.org.uk/"} +{"d:Title": "Stupack, Joseph A.", "d:Description": "Official site of \"Graffiti\" drummer. Includes biography, news, MP3s, drum solos, and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.angelfire.com/al3/jas/"} +{"d:Title": "Thompson, Paul", "d:Description": "Concrete Blonde drummer. Biography, discography, and gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.users.globalnet.co.uk/~pauldrum/"} +{"d:Title": "Verderosa, Tony", "d:Description": "Drummer known for his use of the acoustic drums, electronic drum pads, synthesizers, and samplers.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.tonyverderosa.com/"} +{"d:Title": "Oromaner, David", "d:Description": "New York, USA based drummer. Includes biography, discography, news, music, photos, guestbook, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.davidoromaner.com/"} +{"d:Title": "Czach, Brian", "d:Description": "Information on New York City based freelance percussionist. Biography, itinerary, streaming audio, and contacts.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.brianczach.com/"} +{"d:Title": "Derrick, Frank", "d:Description": "Biography, photos, and schedule.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://frankderrick.com/"} +{"d:Title": "Drum Solo Artist", "d:Description": "Provide information about semi rhythmic, also known as polyrhythmic, and the drummers who use variations of the technique.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.drumsoloartist.com/"} +{"d:Title": "Aronoff, Kenny", "d:Description": "Includes awards, news, discography, live performances and music videos for the well known drummer.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.kennyaronoff.com/"} +{"d:Title": "John X Drums", "d:Description": "This site is all about drums and drumming. It features on-line drum lessons, links to other drum sites, plus information about John Xepoleas books.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.johnxdrums.com/"} +{"d:Title": "The Keith Carlock Website", "d:Description": "Official site for Sting and Steely Dan drummer Keith Carlock.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.keithcarlock.com/"} +{"d:Title": "Bowman, Steve", "d:Description": "Studio drummer available for session work. He also teaches intermediate and advanced drum lessons and provides consultation in band and song development", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.stevethedrummer.com/"} +{"d:Title": "Bissonette, Gregg", "d:Description": "Master drummer, clinician and solo artist has played with rock, jazz&and pop artists. Includes discography, gear, projects, tours and drum lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.greggbissonette.com/"} +{"d:Title": "Victor Indrizzo", "d:Description": "Fan site for Victor Indrizzo, sessions drummer who has played with Macy Gray, Beck, and Liz Phair. Discography, pictures and video.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://gahangoddess.tripod.com/"} +{"d:Title": "McMahon, Austin", "d:Description": "Includes biography, performance and tour schedule as well pictures and audio samples. Contact information and links to other musicians.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.austinmcmahon.com/"} +{"d:Title": "Nuzzoli, Gabriel", "d:Description": "Percussionist. Includes biography, discography and samples of commercially released tracks. [Required QuickTime]", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.gabrielnuzzoli.com/"} +{"d:Title": "Collins, Grant", "d:Description": "Australian drummer. Includes news, upcoming performances, merchandise, pictures and tutorials.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.grantcollins.com/"} +{"d:Title": "Kuijpers, Jamie", "d:Description": "Professional drummer, clinician and motivational speaker from Australia.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://home.bigblue.net.au/~teamfun/"} +{"d:Title": "Dower, Will", "d:Description": "Site is a collection of photographs of this Australian drummer.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://members.optusnet.com.au/willeh2/"} +{"d:Title": "Gadd, Steve", "d:Description": "Includes discography, personal and family photographs, history, and MP3 recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.drstevegadd.com/"} +{"d:Title": "Ameen, Robby", "d:Description": "New York drummer lists work with Paul Simon, Ruben Blades, Dave Grusin, Eddie Palmieri and Marc Anthony. With photos, audio, discography, itinerary, reviews, and equipment set-up.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.robbyameen.com/"} +{"d:Title": "Birch, Buster", "d:Description": "Freelance drummer and percussionist. Online teaching resources, band information, audio samples and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.freewebs.com/busterbirch/"} +{"d:Title": "Hummel, Jeremy", "d:Description": "Seasoned studio musician focusing on recorded performance and professional instruction.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.jeremyhummel.com/"} +{"d:Title": "Miller, Allison", "d:Description": "New York City-based live and studio drummer, composer, and singer of multiple styles of music.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.allisonmiller.com/"} +{"d:Title": "Copeland, Stewart", "d:Description": "Dedicated to the life and work of founder and drummer of The Police. Features biography, discography, gallery, message board, audio and video clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.stewartcopeland.net/"} +{"d:Title": "Miceli, John", "d:Description": "Official site containing the biography, discography, contact information, photographs and current information about the drummer of the band Meatloaf.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.john-miceli.com/"} +{"d:Title": "Bucater, Aziz", "d:Description": "Las Vegas, Nevada drummer and percussionist. Includes biography, photographs, contact information and sections on selecting percussion equipment.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://ab1717.webs.com/"} +{"d:Title": "Babcock, Keith", "d:Description": "Keith Babcock's personal website which includes biography, contact information, photographs, links and videos.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://babcockdrums.webs.com/"} +{"d:Title": "Schutte, Jim", "d:Description": "Information on Chicago blues drummer Jim Schutte. Site contains resume, galleries, schedule, biography, contacts and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.gymshoe.com/"} +{"d:Title": "Cameron, Clayton", "d:Description": "Drummer from Sammy Davis and Tony Bennett. Biography and forum.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.claytoncameron.com/"} +{"d:Title": "Finch, Andy", "d:Description": "UK based percussionist. Includes news and updates, video and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.andyfinch.org/"} +{"d:Title": "Kacirek, Sven", "d:Description": "Drummer and percussionist from Hamburg Germany. Contains news, biography, books, press releases and schedule.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.svenkacirek.de/"} +{"d:Title": "Potts, Joshua", "d:Description": "UK based drummer. Contains biography, equipment list, webcam and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.joshuapotts.co.uk/"} +{"d:Title": "Papaleo,Ron", "d:Description": "Cleveland, Ohio drummer. Site includes biography, equipment list, pictures, audio clips, schedule and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.ronpapaleo.com/"} +{"d:Title": "Flint,Ken", "d:Description": "Official site for singer/songwriter Ken Flint. Includes biography, contact information and press releases.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://flintmanor.com/Page2.htm"} +{"d:Title": "Steve Smith, drummer and his bands", "d:Description": "Official Steve Smith site with information on his bands Vital Information and Jazz Legacy.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.vitalinformation.com/"} +{"d:Title": "Robinson, John", "d:Description": "Personal site for JR Robinson. Includes biography, book information, discography, news, photos, audio/video, guestbook, and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.johnjrrobinson.com/"} +{"d:Title": "DrumRadio.com", "d:Description": "Site contains interviews with top drummers, compilations of drum videos, clinics, forums, chat rooms and lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.drumradio.com/"} +{"d:Title": "Michelli, Anthony", "d:Description": "Site contains biography, discography, press releases, sponsor information, media and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.anthonymichelli.com/"} +{"d:Title": "Medek, Richard", "d:Description": "Site contains updated news, schedule, audio files, contact information and photography section.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://richardmedek.com/"} +{"d:Title": "Kaye, Jacob", "d:Description": "Montreal based drummer. Site contains educational drum videos, drum lessons, drum tabs, biography, news, and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.jacobkaye.com/"} +{"d:Title": "Luzier, Ray", "d:Description": "Drummer for the band Korn. The site offers biography, discography, photos, media, and gear information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.rayluzier.com/"} +{"d:Title": "\u017burad, Krzysztof", "d:Description": "Biography, recordings, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.kzurad.com/"} +{"d:Title": "Circus Performers Junk Drumming", "d:Description": "Junk drummers. Pictures and information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers", "url": "http://www.circusperformers.com/skills/28"} +{"d:Title": "Smith, Steve", "d:Description": "Concert and tour schedules, cds, noteworthy news, band member biographies, message board, and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.vitalinformation.com/"} +{"d:Title": "Bertacche, Filippo", "d:Description": "Italian jazz drummer offers online recording collaboration. Biography and credentials, discography, MP3 and WAV file transfer, online payment.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.drummer.it/"} +{"d:Title": "Mele, Jonathan", "d:Description": "Freelance drummer and percussionist from New York City. Gig schedule, photos, audio demos.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.jonathanmele.com/"} +{"d:Title": "Mouzon, Alphonse", "d:Description": "Jazz drummer's official pages offer a biography, photos, reviews, and a list of current cds.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.tenaciousrecords.com/"} +{"d:Title": "Romberg, Barry", "d:Description": "Veteran Canadian drummer, composer, recording artist and bandleader offers biography, discography, schedule, reviews and photos.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.barryromberg.com/"} +{"d:Title": "Pemberton, Steve", "d:Description": "Audio and video clips, performance tips, biography, resume, calendar, photos, publications, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.steve-pemberton.com/"} +{"d:Title": "Wagner, John", "d:Description": "Includes biography, sounds, gig listings, and contact details.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.jondrums.com/"} +{"d:Title": "Carrington, Terri Lyne", "d:Description": "History includes gigs with Wayne Shorter, Herbie Hancock and Dianne Reeves. Photos, audio, discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.terrilynecarrington.com/"} +{"d:Title": "Dubble D, aka, Danny Ward official site", "d:Description": "Manchester drummer and producer. Includes biography and discography and latests projects.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.dubbled.com/"} +{"d:Title": "Levy, Yoseph Joe", "d:Description": "Jazz Fusion drummer and instructor based in Toronto, Canada. Includes pictures, audio and video samples, biography and information about instruction", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.yosephjoelevy.com/"} +{"d:Title": "Cuenca, Sylvia", "d:Description": "Features sound bites, itinerary, projects, biography, equipment and drum set-up.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.sylviacuenca.com/"} +{"d:Title": "Israel, Yoron", "d:Description": "Features reviews, sound bites, itinerary, biography, CDs, and drum set-up.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.yoronisrael.com/"} +{"d:Title": "Jackson, Gene", "d:Description": "Features biography, itinerary, photo gallery, discography, and equipment setup for this drummer.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.genejacksonmusic.com/"} +{"d:Title": "Williams, Jeff", "d:Description": "Official site of the drummer/composer/educator. Biography, releases (as a leader), audio files, reviews, and photos.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.willfulmusic.com/"} +{"d:Title": "Sargent, Bill", "d:Description": "Milwaukee, Wisconsin drummer leads swing and traditional jazz bands for weddings and events, with testimonials, photos, audio samples, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://billsargentbands.com/"} +{"d:Title": "Caputo, Randy", "d:Description": "Gene Krupa devotee leads Zoot-suited 1930s-style band as well as motivational speaking drum seminars across the US.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz", "url": "http://www.angelfire.com/jazz/igenekrupa/"} +{"d:Title": "Great day in Harlem: Art Blakey", "d:Description": "Detail from a 1958 picture, taken by photographer Art Kane, which captured 57 jazz greats in one big picture. Includes a summary of his biography.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz/Blakey,_Art", "url": "http://www.harlem.org/people/blakey.html"} +{"d:Title": "Billy Cobham Home Page", "d:Description": "The artist's home page. Biography, discography, photos, drum setups, message board and tour dates.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz/Cobham,_Billy", "url": "http://www.billycobham.com/"} +{"d:Title": "Fusion by Design", "d:Description": "A review of two recent Cobham rereleases from MetroActive.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz/Cobham,_Billy", "url": "http://www.metroactive.com/papers/metro/06.10.99/cobham-9923.html"} +{"d:Title": "Jack DeJohnette", "d:Description": "Unofficial home page, created by Piotr Marek, Jr., contains biography and information, discography, photos, and reviews.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz/DeJohnette,_Jack", "url": "http://www.pk.edu.pl/~pmj/dejohnette/"} +{"d:Title": "All Music Guide: Jack DeJohnette", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz/DeJohnette,_Jack", "url": "http://www.allmusic.com/artist/jack-dejohnette-mn0000104388"} +{"d:Title": "Roy Haynes : No Beats to Waste", "d:Description": "Sons of Miles Series, interview article by Mike Zwerin.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz/Haynes,_Roy", "url": "http://www.culturekiosque.com/jazz/miles/rhemile26.htm"} +{"d:Title": "Drummerworld Picture Gallery", "d:Description": "Nice photo portraits of Haynes, young and older, in drummer webpages.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Jazz/Haynes,_Roy", "url": "http://www.drummerworld.com/drummers/Roy_Haynes.html"} +{"d:Title": "Buddy Rich", "d:Description": "The official site, featuring the drummer's biography, photo gallery, discography, video clips, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Rich,_Buddy", "url": "http://www.buddyrich.com/"} +{"d:Title": "JB's Buddy Rich Site", "d:Description": "Chronology, interviews, pictures, discussion forum, drumming links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Drummers/Rich,_Buddy", "url": "http://keepitlive.tripod.com/buddyrich/buddy_rich_traps.html"} +{"d:Title": "World Beats: Dundun", "d:Description": "Description, photograph, and sound clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Dundun", "url": "http://www.world-beats.com/instruments/dundun.htm"} +{"d:Title": "Brady Drums", "d:Description": "Handcrafted acoustic snare drums and kits from local hardwoods in ply, block or solid shell construction. Features worldwide dealer listing, sound files, photographs and ordering information. Based in Western Australia", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.bradydrums.com.au/"} +{"d:Title": "Morgan Drums", "d:Description": "Custom builders of African style drums.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.morgandrums.com/"} +{"d:Title": "Allegra Drums", "d:Description": "Located in Oregon. Hand built custom snare drums and drum-sets.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.allegradrums.com/"} +{"d:Title": "Dave's Hand Drums", "d:Description": "Utah-based individual makes djembes, ashiko, djun djun and custom drums.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.angelfire.com/art/awesomedrums/"} +{"d:Title": "Lignum Drums", "d:Description": "Handcrafted drumsets, snares, and custom made parts.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.lignumdrums.com/"} +{"d:Title": "Clay Beats", "d:Description": "Custom made Udu drums and other clay creations by Nirinjan Singh.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://claybeats.tripod.com/"} +{"d:Title": "Davey Drums", "d:Description": "Makes traditional Irish Bodhrans specific for the local climate of Alberta, Canada. Includes a catalogue, photos and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://members.shaw.ca/dsettles/drums/"} +{"d:Title": "Odery Drums", "d:Description": "Brazilian company producing handmade drums. Includes history, showroom, catalog, and workshop.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.odery.com.br/"} +{"d:Title": "B.C.W. Solid Wood Drums", "d:Description": "Custom built staved snare drums and shell building tips.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.bcwdrums.com/"} +{"d:Title": "Completed Circle Drums", "d:Description": "Specialize in frame drums and drum building workshops which include journeying and connecting with your drum. Custom frame drums built to your specs.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Makers", "url": "http://www.completedcircledrums.com/"} +{"d:Title": "Ludwig/Musser", "d:Description": "Manufacturer of drums, hardware, accessories, and percussion marching instruments. Product list, dealers, artists, news, and education.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.ludwig-drums.com/"} +{"d:Title": "Mapex USA", "d:Description": "Drumsets, snares, and hardware. News and artist information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.mapexdrums.com/"} +{"d:Title": "Noble&Cooley Drum Company", "d:Description": "Manufacturer of snare drums and drum sets. Includes dealer and price lists.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.noblecooley.com/"} +{"d:Title": "Drum Workshop", "d:Description": "Manufacturer of drums, hardware, accessories, and pedals. Site features products, artist link, news and information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.dwdrums.com/"} +{"d:Title": "Gibraltar Hardware", "d:Description": "Drum pedals, stands, racks, mounting systems, thrones, bags and accessories. Artists, news, and dealer list.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.gibraltarhardware.com/"} +{"d:Title": "Gretsch Drums", "d:Description": "Traditional drums manufacturer. Drum kits, snare drums, hardware. Company history, artists, worldwide dealers, newsletter.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.gretschdrums.com/"} +{"d:Title": "Impact Industries Drums and Cases", "d:Description": "Custom drums, marching drums and carriers, Black Deluxe cases, drum bags, mallets, and accessories.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.impactind.com/"} +{"d:Title": "Orion Cymbals", "d:Description": "Brazilian manufacturer of drum cymbals of B8 bronze alloy and brass.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.orioncymbals.com.br/"} +{"d:Title": "Pacific Drums and Percussion", "d:Description": "Manufacturer of drums and hardware.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.pacificdrums.com/"} +{"d:Title": "Aural Drums", "d:Description": "Drum sets. Pictures and artists.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.aural.at/"} +{"d:Title": "Boom Theory", "d:Description": "Custom acoustic drum sets and electronic kits that look acoustic, called Spacemuffins.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.boomtheory.com/"} +{"d:Title": "Evans Drumheads", "d:Description": "Manufacturer of drumheads for drumsets, hand percussion, marching, and orchestra. Includes products, tuning, instructional videos, calendars of clinics and events, virtual factory tours, and forums.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.evansdrumheads.com/"} +{"d:Title": "Dunnett Classic Drums", "d:Description": "Custom snare drums of stainless steel, ash, maple, fiberglass, copper, and titanium.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.dunnett.com/"} +{"d:Title": "Berlin Classic Timpani by Hardtke", "d:Description": "Information, reviews, and history.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.berlinclassic.com/"} +{"d:Title": "Cooperman Fife and Drum Company", "d:Description": "Business manufactures handmade fifes and drums, traditional and tuneable hand drums, and sticks and beaters. On line portfolio and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.cooperman.com/"} +{"d:Title": "Fortune Drums", "d:Description": "Custom snare drums and drumsets. Repairs, refurbishing and re-edging.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.fortunedrums.com/"} +{"d:Title": "Tama Drums", "d:Description": "Drums, hardware, artist information, history, customer service, news, and swag.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.tama.com/"} +{"d:Title": "Premier Percussion", "d:Description": "Drumsets, marching drums, hardware, and accessories. Includes products, news and events, and company history.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.premier-percussion.com/"} +{"d:Title": "TAYE Drum Company", "d:Description": "Drum sets, snare drums, and accessories. Includes downloadable product catalogs.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.tayedrums.com/"} +{"d:Title": "Pearl Corporation", "d:Description": "Band and orchestral drums and percussion instruments, hardware and accessories. Also xylophones, chimes, and cowbells. Tutorials, history, recommended links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Manufacturers", "url": "http://www.pearldrum.com/"} +{"d:Title": "RhythmBridge Foundation, The", "d:Description": "Drumming in the community. Regular facilitated drum circles and hand drum classes.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Organizations", "url": "http://www.rhythmbridge.com/"} +{"d:Title": "Village Heartbeat", "d:Description": "Organization dedicated to building and educating a drumming community. Information on activities and events.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Organizations", "url": "http://www.villageheartbeat.com/"} +{"d:Title": "When Steel Talks", "d:Description": "Steel drum information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan", "url": "http://www.basementrecordings.com/nap/table.html"} +{"d:Title": "Australian Academy of Steel Drums", "d:Description": "Information about performers, events and educational projects.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan", "url": "http://www.steeldrums.org/"} +{"d:Title": "Pan Trinbago", "d:Description": "The world governing body for steelpan worldwide. Profiles, competitions, news, events, performers, music and history.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan", "url": "http://www.pantrinbago.co.tt/"} +{"d:Title": "Rockcreek Steel Drums", "d:Description": "A Maryland-based steel drum instrument builder offering lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan", "url": "http://www.rockcreeksteeldrums.com/"} +{"d:Title": "The Pan Blog", "d:Description": "News of interest to the steel pan community", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan", "url": "http://www.steeldrum.net/panblog/"} +{"d:Title": "Pan Ring", "d:Description": "Web ring of sites about the steelpan, including instrument information, bands, tuners, and events.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan", "url": "http://www.webring.org/hub?ring=panring"} +{"d:Title": "Rhythmical Steel", "d:Description": "Builders, suppliers and tuners of steel drums and accessories. Includes information and history of the instrument, and a price list. Also supply pan building tools. Located in La Center, Washington, United States.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan/Makers", "url": "http://www.rhythmicalsteel.com/"} +{"d:Title": "Steel Island", "d:Description": "Suppliers of concert and recording quality steel pans in Austin, Texas. Includes a price list, and background history of the instrument.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan/Makers", "url": "http://www.steelisland.com/"} +{"d:Title": "About the Steel Drum", "d:Description": "Explains how a steel drum is made, and a page on making a simple drum called a Dudup.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steelpan/Makers", "url": "http://home.avvanta.com/~toucans/Gallery/aboutPan.html"} +{"d:Title": "Steel Tongue", "d:Description": "An article in Wikipedia. History of the drum, pictures and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue", "url": "http://en.wikipedia.org/wiki/Steel_tongue_drum"} +{"d:Title": "Hank Drum (Propane Tank Drum)", "d:Description": "An article by Dennis Havlena.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue", "url": "http://www.oddmusic.com/gallery/hank-drum.html"} +{"d:Title": "HAPI", "d:Description": "Produces steel tongue drums. Contains videos and music.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://www.hapitones.com/"} +{"d:Title": "Milltone", "d:Description": "Steel tongue drums with esoteric symbols. With audio samples and video clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://milltonedrums.wordpress.com/"} +{"d:Title": "Lotus Drums", "d:Description": "Handcrafted steel drums from Austin, TX.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://www.lotusdrum.com/"} +{"d:Title": "Gombadrums", "d:Description": "Steel tongue drums maker from Germany. [in English and German]", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://www.gombadrums.de/"} +{"d:Title": "Custom Tank Drum", "d:Description": "Handcrafted steel tongues by Tom Liebing.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://www.customtankdrum.com/"} +{"d:Title": "Klangauge", "d:Description": "Steel tongue maker from Germany. [in English and German]", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://www.klangauge.de/"} +{"d:Title": "Percussive Devices", "d:Description": "Steel tongue drums: TurtlePan and TuneTank.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://www.percussivedevices.com/"} +{"d:Title": "Zen Tambour", "d:Description": "Steel tongue drums by Steve \"Spike\" Finch.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Steel_Tongue/Makers", "url": "http://www.exoticvessels.com/zentambour/"} +{"d:Title": "Toronto Gharana: Tala", "d:Description": "Introduction to the instrument, including tals and bols.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tabla", "url": "http://www.pathcom.com/~ericp/tala.html"} +{"d:Title": "Yahoo Groups: IndianTabla", "d:Description": "Discussion list and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tabla", "url": "http://launch.groups.yahoo.com/group/IndianTabla/"} +{"d:Title": "Vyas, Vineet", "d:Description": "Profile, discography, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tabla/Artists", "url": "http://vineetvyas.8m.com/"} +{"d:Title": "Flindell, Shen", "d:Description": "Biography and discography of the Benares Gharana tabla player from Australia.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tabla/Artists", "url": "http://www.ethnosuperlounge.com/shen.htm"} +{"d:Title": "Sathish Babu", "d:Description": "A player from Kerala, India. Biography and performance history, photographs, solo MP3 downloads, links to videos, concert schedule, and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tabla/Artists", "url": "http://www.satishtabla.com/"} +{"d:Title": "Sarvar Sabri", "d:Description": "Player and composer based in Birmingham, UK. Professional history, discography, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tabla/Artists", "url": "http://www.sarvarsabri.com/"} +{"d:Title": "Tabla-Vermont", "d:Description": "Indian tabla drumming. Gabe Halberg offers tabla instruction and tabla repair in Burlington, VT and Portsmouth, NH.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tabla/Artists", "url": "http://www.tabla-vermont.com/"} +{"d:Title": "Do-It-Yourself Taiko", "d:Description": "Photographs and links to assist in making drums from barrels.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Taiko", "url": "http://users.lmi.net/~taikousa/diy_gallery.html"} +{"d:Title": "The Tambourine Players Hall of Fame", "d:Description": "List of players with comments and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tambourine", "url": "http://www.stardustlanes.com/tambourine.html"} +{"d:Title": "Pandeiro", "d:Description": "Introduction to playing by percussionist Emiliano Benevides. Includes photographs and rhythms with audio samples.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tambourine", "url": "http://www.emiliano.com/pandeiro.html"} +{"d:Title": "Pandeiro Online", "d:Description": "Audio files of playing styles and a collection of messages about notation, rhythms, and technique.", "topic": "Top/Arts/Music/Instruments/Percussion/Drums/Tambourine", "url": "http://proliberty.com/music/pandeiro/"} +{"d:Title": "Hangblog", "d:Description": "Publications about the musical instrument Hang. Links to other websites.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang", "url": "http://www.hangblog.org/"} +{"d:Title": "Hang", "d:Description": "Wikipedia article. History, sound examples and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang", "url": "http://en.wikipedia.org/wiki/Hang_(instrument)"} +{"d:Title": "Hang Fan", "d:Description": "Website for Hang players and music collectors.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang", "url": "http://www.hangfan.co.uk/"} +{"d:Title": "HandPan", "d:Description": "A website about Hang and Spacedrum.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang", "url": "http://www.handpan.org/"} +{"d:Title": "Hang Drum World", "d:Description": "Hang Drum World is an informative resource for people wanting to learn more about the Hang Drum.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang", "url": "http://www.hangdrumworld.com/"} +{"d:Title": "Yuki Koshimoto", "d:Description": "Japanese Spacedrum player - YouTube profile.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Bands_and_Artists", "url": "http://www.youtube.com/user/YukiKoshimoto"} +{"d:Title": "Hang-Music.Com", "d:Description": "A website by Gidda, a French hang player. Videos, forum and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Bands_and_Artists", "url": "http://www.hang-music.com/"} +{"d:Title": "Davide Swarup", "d:Description": "Hang drummer from Italy. Booking information, music and videos.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Bands_and_Artists", "url": "http://www.davideswarup.com/"} +{"d:Title": "Hang Music", "d:Description": "A website by Danny Cudd and Markus Johansson. Audio and video. Links to other Hang players.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Bands_and_Artists", "url": "http://www.hangmusic.com/"} +{"d:Title": "Hang In Balance", "d:Description": "Music by Daniel Waples and James Winstanley. Audio, video, contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Bands_and_Artists", "url": "http://hanginbalance.bandcamp.com/"} +{"d:Title": "Christian Amin Varkonyi", "d:Description": "Hang drummer from Vienna. Booking information, audio and video clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Bands_and_Artists", "url": "http://anandamin.jimdo.com/"} +{"d:Title": "Misty Sierra", "d:Description": "World fusion music from Belgium. Compositions based upon handpan, together with sax, vocals, bass and guitar.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Bands_and_Artists", "url": "https://www.facebook.com/mistysierraproject/"} +{"d:Title": "Spacedrum", "d:Description": "French Spacedrum manufacturer. Information about instrument, links, contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Manufacturers", "url": "http://spacedrum.fr/"} +{"d:Title": "Hang", "d:Description": "An original booklet by PANArt, 2008.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Manufacturers", "url": "http://www.hangblog.org/panart/Booklet_englisch_GzD1.pdf"} +{"d:Title": "Vadjraghanta", "d:Description": "Ukrainian Vadjraghanta manufacturer. Information about instrument, videos, photos, reviews, contact information, order form.", "topic": "Top/Arts/Music/Instruments/Percussion/Hang/Manufacturers", "url": "http://vadjra.com/en"} +{"d:Title": "Modern Drummer Magazine", "d:Description": "Online articles, archives, news, and subscription information.", "topic": "Top/Arts/Music/Instruments/Percussion/Magazines_and_E-zines", "url": "http://www.moderndrummer.com/"} +{"d:Title": "Classic Drummer Magazine", "d:Description": "A magazine on vintage and collector drums with articles on classic drummmers and gear. Issued quarterly, subscribe online.", "topic": "Top/Arts/Music/Instruments/Percussion/Magazines_and_E-zines", "url": "http://www.classicdrummer.com/"} +{"d:Title": "Kotz", "d:Description": "The custom built ToneCajon, a modern tonal adaptation of traditional Cuban and Peruvian cajons, and marimbulas. Includes a photo gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.tonecajon.com/"} +{"d:Title": "Marimba Magic", "d:Description": "Hand-made marimbas, xylophones, music and marimba building workshops, group and private lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.marimbamagic.com/"} +{"d:Title": "Mountain Rhythm", "d:Description": "Handcrafted percussion instruments, including djembes, ashikos, congas, timbales, and a hand percussion ensemble.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.mountainrythym.com/"} +{"d:Title": "Kambala Percussion", "d:Description": "Hand-made drums, bells, balaphons, and rattles from the Ivory Coast.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.kambala-percussion.com/"} +{"d:Title": "Coe Percussion", "d:Description": "Custom builder of marimbas and xylophones.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.coepercussion.com/"} +{"d:Title": "Sageman Drums", "d:Description": "Maker of djembe drums and accessories, hand percussion instruments, and didgeridoos.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.sagemandrums.com/"} +{"d:Title": "TreeWorks", "d:Description": "Handmade chimes for drummers and percussionists. Crafted in Tennessee.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.treeworkschimes.com/"} +{"d:Title": "Zenobia Music", "d:Description": "Handmade drums, shakers, scrapers, and didjeridoos. School programs, workshops, and lessons. Located in Connecticut.", "topic": "Top/Arts/Music/Instruments/Percussion/Makers", "url": "http://www.angelfire.com/music4/zenobia/"} +{"d:Title": "Malletjazz.com", "d:Description": "Provides mallet percussion music from James Walker, The Vokes-Walker Duo, and the Mahoney-Walker electronic percussion duo. Includes guest book, online lessons page, links, and tour dates.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion", "url": "http://www.malletjazz.com/"} +{"d:Title": "Fall Creek Marimbas", "d:Description": "Mallet percussion, bar tuning, and repair Service. Provides information about the K-100 Series, glockenspiels, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion", "url": "http://www.marimbas.com/"} +{"d:Title": "Coe Percussion", "d:Description": "Custom builder of marimbas and xylophones.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Manufacturers", "url": "http://www.coepercussion.com/"} +{"d:Title": "Ross Mallet Instruments, Inc.", "d:Description": "Manufacturer of mallet percussion instruments including marimbas, xylophones, vibraphones, chimes, bells and marching percussion. Contains inventory, practice room, artists, and information for educators.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Manufacturers", "url": "http://www.rossmallets.com/"} +{"d:Title": "Bacanu, Bogdan", "d:Description": "Provides information about the Austrian artist, his activities, reviews, contact, and links to marimba festivals in the world.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Marimba/Percussionists", "url": "http://www.bogdanbacanu.com/"} +{"d:Title": "Kwayedza Marimba", "d:Description": "A Zimbabwean-style Marimba band in Vancouver, Canada. Includes a section about the band, links, performances, and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Marimba/Percussionists", "url": "http://members.shaw.ca/kwayedza/index.html"} +{"d:Title": "Moors, Don", "d:Description": "Jazz vibraphonist and mallet percussionist. Contains biography and music clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Percussionists", "url": "http://www.donmoors.com/"} +{"d:Title": "Tyson, Blake", "d:Description": "Marimba player, and percussionist currently on the faculty at the University of Central Arkansas. Contains information about upcoming performances, calendar, biography, as well as information on new music for marimba, and percussion.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Percussionists", "url": "http://www.blaketyson.com/"} +{"d:Title": "TheVibe.net", "d:Description": "Vibraphone information, lists of famous vibists, a faq, and a message board.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone", "url": "http://www.thevibe.net/vn/"} +{"d:Title": "Celi, Roberto", "d:Description": "Jazz vibraphonist and composer from Italy. Biography, discography, photos, news, CD ordering.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.robertoceli.it/"} +{"d:Title": "Bott, Oli", "d:Description": "Vibraphonist and composer from Berlin. Site contains a biography, performance schedule, and RealAudio clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.olibott.com/"} +{"d:Title": "Tjader, Cal", "d:Description": "Dedicated to presenting, discussing and preserving the recordings of this Latin jazz vibraphonist.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.caltjader.com/"} +{"d:Title": "Beaujolais, Roger", "d:Description": "Vibraphone player. Contains news, biography, information on past projects, show schedule, discography, and related links.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.rogerbeaujolais.com/"} +{"d:Title": "DeSena, Bob", "d:Description": "Latin jazz vibraphone player. Contains biography, gallery, cd information, and schedule.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.bobdesena.com/"} +{"d:Title": "Grosz, Gerry", "d:Description": "Jazz vibraphonist. Provides biography, discography, calendar dates, links, and message board.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.thevibe.net/gg/"} +{"d:Title": "Locke, Joe", "d:Description": "Jazz vibraphonist and composer. Biography, discography, performance and workshop schedule, projects, photo gallery, and CD ordering.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://joelocke.com/"} +{"d:Title": "Alexander, Ray", "d:Description": "Jazz vibraphonist has performed with George Shearing, Claude Thornhill, Mel Torme, Stan Getz and Bill Evans. Biography, interviews, and CD sales link available on his homepage.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.quickchange.com/ray_alexander/"} +{"d:Title": "Lackerschmid, Wolfgang", "d:Description": "Homepage of vibist and composer Lackerschmid includes information on his compostions and various recording labels.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.lackerschmid.de/"} +{"d:Title": "Freeman, Mike", "d:Description": "Latin jazz vibraphone player and compose. Offers biography, discography, audio and video clips, and CD ordering.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.jazzvibe.com/"} +{"d:Title": "Collins, Tim", "d:Description": "The vibraphonist and composer presents his bio, discography and tour dates. Also music extracts, photos and videos.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.timcollinsmusic.com/"} +{"d:Title": "Mancini, Nick", "d:Description": "Is a vibraphonist and composer. Includes bio and press, news and calendar, discography, photos. Also a blog.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.nickmancini.net/"} +{"d:Title": "Adasiewicz, Jason", "d:Description": "Vibraphonist, drummer and composer. Contains a biography, shows schedule and discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://jasonadasiewicz.com/"} +{"d:Title": "Toyama, Tom", "d:Description": "Jazz vibraphone player. Includes biography, tour dates, clinics, residencies, compositions, photos, and contact and booking information.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists", "url": "http://www.tomtoyama.com/"} +{"d:Title": "Soulwalking: Roy Ayers", "d:Description": "Photographs, album covers, and discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Ayers,_Roy", "url": "http://www.soulwalking.co.uk/Roy%20Ayers.html"} +{"d:Title": "Triple J: Roy Ayers", "d:Description": "Audio of Ayers on an Australia radio program.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Ayers,_Roy", "url": "http://www.abc.net.au/triplej/jfiles/files/s829907.htm"} +{"d:Title": "Roy Ayers", "d:Description": "Official site for jazz vibraphone player, offering biography, news, articles, tour schedule, discography and audio samples. Requires Flash.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Ayers,_Roy", "url": "http://www.royayers.com/"} +{"d:Title": "Discogs: Roy Ayers", "d:Description": "Detailed discography including collaborations.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Ayers,_Roy", "url": "http://www.discogs.com/artist/Roy+Ayers"} +{"d:Title": "Burton, Gary", "d:Description": "Official page of the vibraphone virtuoso, with news, discography, concert information, biography, and equipment information.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Burton,_Gary", "url": "http://www.garyburton.com/"} +{"d:Title": "Vic Firth Artist: Gary Burton", "d:Description": "Endorsing artist page from percussion manufacturer with photo, biography and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Burton,_Gary", "url": "http://www.vicfirth.com/artists/burton.php"} +{"d:Title": "BBC News: US Jazz Legend Lionel Hampton Dies", "d:Description": "The jazz icon who played alongside some of the greatest jazz musicians in his lengthy career dies at 94. Photograph and tributes.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Hampton,_Lionel", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/2228259.stm"} +{"d:Title": "Lionel Hampton Story 1908-2002", "d:Description": "Biography and in memoriam. Photographs and links to music and video clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Mallet_Percussion/Vibraphone/Vibraphonists/Hampton,_Lionel", "url": "http://www.lionelhampton.nl/"} +{"d:Title": "Avedis Zildjian Company", "d:Description": "Cymbal, drumstick, and mallet manufacturer. Company profile and history, virtual factory tour, products, artists, events, dealer locator, musicians club, percussionist and educator resources, and support.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.zildjian.com/"} +{"d:Title": "Vic Firth Drumsticks", "d:Description": "Descriptions of drumsticks, keyboard and timpani mallets, brushes, sponsored drummers, drumming education and news.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.vicfirth.com/"} +{"d:Title": "Adams Musical Instruments", "d:Description": "Manufactures timpani, marimbas, bass drums, chimes, mallets, and temple blocks. Includes news, product specifications, and assembly instructions.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.adams.nl/"} +{"d:Title": "Remo", "d:Description": "Drumsets, world percussion instruments, rhythm tools, and accessories. Includes events, artists, lessons and tips, and message forum.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.remo.com/"} +{"d:Title": "Latin Percussion, Inc.", "d:Description": "Congas, bongos, timbales, and hand drums.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.lpmusic.com/"} +{"d:Title": "Black Swamp Percussion", "d:Description": "Manufacturer of concert and symphonic instruments, including drums, tambourines, mallets, castanets, and triangles. Includes news, products, artists, and dealer locator.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.blackswamp.com/"} +{"d:Title": "RB Percussion Inc.", "d:Description": "\"Rotationally Balanced\" drumsticks for more speed, less effort.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.rbstix.com/"} +{"d:Title": "AATMI Djembe", "d:Description": "West African musical instruments and cultural information.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.aatmidjembe.com/societ/index/angindex.htm"} +{"d:Title": "Wincent", "d:Description": "Drum sticks and brushes. Located in Gr\u00e4nna, Sweden.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.wincent.se/"} +{"d:Title": "Pk Percussion", "d:Description": "Manufacturer and exporter, located in Pakistan.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.pkpercussion.com/"} +{"d:Title": "Meinl", "d:Description": "Manufacturer of percussion instruments and accessories. Product information, events, artists, videos and MP3s.", "topic": "Top/Arts/Music/Instruments/Percussion/Manufacturers", "url": "http://www.meinlpercussion.com/"} +{"d:Title": "Glass Music", "d:Description": "Profiles of Martin Hilmer and Beate F\u00fcrbache, their performance programs, historical development of glass music, and descriptions and photographs of musical glasses, the euphone, and the verrophone. [English/German/Japanese]", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass", "url": "http://glasmusik.com/"} +{"d:Title": "The World of Glass Music", "d:Description": "Profile of glass musician Clemens Hofinger, reviews, upcoming performances, and audio samples. Also includes history, photographs and descriptions of the armonica, glass harp, and verrophone. [English/German]", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass", "url": "http://www.glasharfe.de/"} +{"d:Title": "Brien Engel", "d:Description": "Biography, recordings, and a curriculum guide for school performances by the glass harpist.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass", "url": "http://www.glassharp.org/"} +{"d:Title": "Psyche Van Het Folk: Glass Instruments", "d:Description": "Explanations, by Thomas Bloch, of the differences between the seraphim, glass harp, verillon, glass armonica, and glass harmonica.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass", "url": "http://psychevanhetfolk.homestead.com/files/THOMAS_BLOCH.txt"} +{"d:Title": "Peter Bennett", "d:Description": "Article by a concert glass harpist and street entertainer.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass", "url": "http://www.performers.net/library/pb-uspitches.html"} +{"d:Title": "Glass Music", "d:Description": "Musician Lynn Drye's information on history, the glass armonica, musical bowls, glass harp, glass xylophone, as well as her profile, performances, and recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass", "url": "http://www.glassvirtuoso.us/"} +{"d:Title": "William Wilde Zeitler for the Glass Armonica", "d:Description": "Glass armonica performer, composer, and recording artist. Includes a history of the instrument, audio, video, news articles, bibliography, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass/Glass_Armonica", "url": "http://www.glassarmonica.com/"} +{"d:Title": "G. Finkenbeiner Inc.", "d:Description": "Glass harmonica maker. Includes history, audio samples, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass/Glass_Armonica", "url": "http://www.finkenbeiner.com/GLASSHARMONICA.htm"} +{"d:Title": "Dennis James Interview: Glass Armonica Project", "d:Description": "The Cristal: Glass Music Through the Ages CD he made with Linda Ronstadt, glass music history, and techniques.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass/Glass_Armonica", "url": "http://www.ronstadt-linda.com/djames.html"} +{"d:Title": "Yatri", "d:Description": "Glass armonica player. Profile, audio samples, CD ordering, and instrument information.", "topic": "Top/Arts/Music/Instruments/Percussion/Musical_Glass/Glass_Armonica", "url": "http://www.crystalmusic.com/"} +{"d:Title": "Percussive Arts Society", "d:Description": "Not-for-profit educational organization, promoting drums and percussion through network of performers, teachers, students, enthusiasts and sustaining members.", "topic": "Top/Arts/Music/Instruments/Percussion/Organizations", "url": "http://www.pas.org/"} +{"d:Title": "Bozzio, Terry", "d:Description": "Includes biography, video and audio samples.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/B", "url": "http://www.terrybozzio.com/"} +{"d:Title": "Briggs, Frank", "d:Description": "Personal news, drum lessons, and sound and video clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/B", "url": "http://www.frankbriggs.com/"} +{"d:Title": "Burke, Patrick J., and Stickbag Productions", "d:Description": "Vibraphone, marimba, steel drums, and drums. Biography, performance information, photos, and calendar.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/B", "url": "http://www.stickbag.com/"} +{"d:Title": "Britt, Danny", "d:Description": "Biography, dates, drum writing, and philosophy of the New Jersey drummer.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/B", "url": "http://www.dannybritt.com/"} +{"d:Title": "Baker, Dale", "d:Description": "Includes biography, sounds, hiring information, touring schedule, and guestbook.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/B", "url": "http://www.dalebakerdrummer.com/"} +{"d:Title": "Butner, Rex", "d:Description": "Professional percussionist in the Atlanta Georgia area.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/B", "url": "http://www.rexbmusic.com/"} +{"d:Title": "Crawford, Wes", "d:Description": "Includes online drums and samples from a CD catalog.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/C", "url": "http://www.wescrawford.com/"} +{"d:Title": "Cully, Dick", "d:Description": "Biography and audio clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/C", "url": "http://www.worldsgreatestdrummer.com/"} +{"d:Title": "Cotto, Orlando", "d:Description": "Puerto Rican marimbist and conguero. Biography, pictures, performance dates, and recording information.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/C", "url": "http://www.orlandocotto.com/"} +{"d:Title": "Coskun, Murat", "d:Description": "Arabian rhythms. Member of bands like: fisf?ner Four One, Freiburger Spielleyt ...", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/C", "url": "http://www.murat-coskun.com/index_e.php"} +{"d:Title": "Daudelin, Sean", "d:Description": "offers pictures and a personal take on his musical influences.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/D", "url": "http://www.seandaudelin.com/"} +{"d:Title": "DeRosa, Chris", "d:Description": "Includes biography, sound clips, and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/D", "url": "http://www.chrisderosa.com/"} +{"d:Title": "Donati, Virgil", "d:Description": "News, biography, discography, sound files, tour dates, and pictures for the drummer.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/D", "url": "http://www.virgildonati.com/"} +{"d:Title": "Drummers in a Jam", "d:Description": "Hand percussion ensemble.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/D", "url": "http://www.bornn.com/diaj.htm"} +{"d:Title": "Elliott, Bart", "d:Description": "Drummer, percussionist, educator and clinician. Biography, itinerary, discography, and online lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/E", "url": "http://www.bartelliott.com/"} +{"d:Title": "Erskine, Peter", "d:Description": "Biography and photo.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/E", "url": "http://www.petererskine.com/"} +{"d:Title": "Eisenstadt, Harris", "d:Description": "Jazz and creative music drummer / percussionist / composer.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/E", "url": "http://www.harriseisenstadt.com/"} +{"d:Title": "Eitan, Ziv", "d:Description": "Marimba soloist, Bach to modern. Information, video clips, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/E", "url": "http://www.ziveitan.com/"} +{"d:Title": "Freeman, Derek \"Redfootz\"", "d:Description": "Biographical information and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/F", "url": "http://www.redfootz.com/"} +{"d:Title": "Favicchia, John", "d:Description": "Biography, sound clips, and reviews.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/F", "url": "http://www.johnfavicchia.com/"} +{"d:Title": "Graham, Andy", "d:Description": "Plays the drums and the didgeridu as a primal one-man band.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.andygraham.net/"} +{"d:Title": "Gramley, Joseph", "d:Description": "Performance and workshop schedule, biography, photo gallery, and reviews.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.josephgramley.com/"} +{"d:Title": "Gopal, Sam", "d:Description": "Fusing tablas with western instruments.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.samgopal.com/"} +{"d:Title": "Gordon, Ingrid", "d:Description": "Specializes in contemporary classical chamber music and marimba.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.ingridgordon.com/"} +{"d:Title": "Gottry, Josh", "d:Description": "Biography, sheet music, sound clips, online lessons, tips, and recommendations.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.gottrypercussion.com/"} +{"d:Title": "Glennie, Evelyn", "d:Description": "Includes virtual masterclasses, biography, touring schedule, and catalog.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.evelyn.co.uk/"} +{"d:Title": "Ginn, Stan", "d:Description": "San Francisco Bay Area artist specializing in Latin percussion and steel drums. Includes schedule, resume, and lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.stanginn.com/"} +{"d:Title": "Greenhill, Simon", "d:Description": "Musician, drum tutor and drummer with Bournemouth band The Birdtribe. Lessons for drums, bongos, and congas.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.daddyfunk.com/"} +{"d:Title": "Gibbons, Buddy", "d:Description": "Biography, photo gallery, tour schedule and audio samples of Nashville-based drummer.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.buddygibbons.com/"} +{"d:Title": "Dave Cantwell", "d:Description": "Professional musician and tutor located in Scotland, specialising in rock, funk, folk, and pipe band. Brief biography, sample tracks, and photographs.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.davecantwell.com/"} +{"d:Title": "Gottfried, Rob", "d:Description": "Offers pro-arts, pro-sports, anti-substance abuse and self-esteem programs for schools or organizations. Also available for band tours.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/G", "url": "http://www.robthedrummer.com/"} +{"d:Title": "Hernandez, Horacio \"El Negro\"", "d:Description": "Cuban drummer: biography, discography, photographs, reviews, and current projects.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/H", "url": "http://www.elnegro.com/"} +{"d:Title": "Harrison, Rodney", "d:Description": "Photos, sound samples, guestbook, links and gear (equipment used).", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/H", "url": "http://www.angelfire.com/ny3/rhythm/"} +{"d:Title": "Hawn, Billy", "d:Description": "Freelance drummer. Contains biography and discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/H", "url": "http://www.billyhawn.com/"} +{"d:Title": "Hudson, Robert", "d:Description": "Musical styles include folk, rock, and country.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/H", "url": "http://www.roberthudson.org/"} +{"d:Title": "Hinton, Michael", "d:Description": "Drummer who has performed on Broadway, in movies, with pop stars, and in concert halls. Biography, gallery, and lesson information.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/H", "url": "http://drumlessonsnj.com/"} +{"d:Title": "James, Richard", "d:Description": "Percussion teacher in Scotland. Equipment, rudiments, and clinics.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/J", "url": "http://www.angelfire.com/music2/richardjames/"} +{"d:Title": "June, Wayne", "d:Description": "Freelance vocalist and drummer. Information and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/J", "url": "http://www.waynejune.com/music.html"} +{"d:Title": "Junk Music", "d:Description": "The Junkman is a Conservatory-trained percussionist Donald Knaack who performs and composes music on junk and recycled materials.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/K", "url": "http://www.junkmusic.org/"} +{"d:Title": "Kau\u00e8i\u00e8, Zlatko", "d:Description": "Official website. Slovenian Drummer and Percussionist.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/K", "url": "http://www.kaucic-zk.si/"} +{"d:Title": "Kunzli, Mathius", "d:Description": "Includes biography, pictures, upcoming events, lessons, and contact details.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/K", "url": "http://mathiaskunzli.com/"} +{"d:Title": "Katch\u00e9, Manu", "d:Description": "Includes biography, drum diagrams, and discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/K", "url": "http://www.members.tele2.nl/j.chong/"} +{"d:Title": "Lockett, Pete", "d:Description": "Multi-percussionist performing on world instruments.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/L", "url": "http://www.petelockett.com/"} +{"d:Title": "Lash, Jeff", "d:Description": "Jazz vibraphonist. Schedule, booking information, and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/L", "url": "http://www.jefflash.com/"} +{"d:Title": "Lewis, John", "d:Description": "Los Angeles-based studio/touring drummer. Discography, biography, studio services, and appearances.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/L", "url": "http://www.jrldrums.com/"} +{"d:Title": "Lewis, Brent", "d:Description": "CD information, biography, and sound clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/L", "url": "http://www.brentlewis.com/"} +{"d:Title": "Litwin, Joel", "d:Description": "West Coast drummer. Biography, recordings, and contact.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/L", "url": "http://www.joellitwin.com/"} +{"d:Title": "Morgenstein, Rod", "d:Description": "Biography, discography, and drum tips and equipment.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.rodmorgenstein.com/"} +{"d:Title": "McGrath, Jim", "d:Description": "Pro percussionist based in Los Angeles. Biography, pictures, reviews, interview, discography, and links page.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.talkingdrumrecords.com/JimMcGrath/"} +{"d:Title": "Morris, Joe", "d:Description": "Features a resume, a discography, and movie credits.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.joemorris.net/"} +{"d:Title": "Mangini, Mike", "d:Description": "Awards, discography, equipment, and clinic program.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.mikemangini.com/"} +{"d:Title": "Murphy, Don", "d:Description": "Official Site. Guinness Book of World Records record for marathon Drumming. Includes biography and information.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://donmurphyonline.com/"} +{"d:Title": "Magadini, Peter", "d:Description": "Lessons, biography, and recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.petermagadini.com/"} +{"d:Title": "McDowell, John", "d:Description": "A composer, musician and leader of Mamma Tongue, which performs world beat and african music.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.johnmcdowell.net/"} +{"d:Title": "Mattox, Jon", "d:Description": "Official site for the Los Angeles based musician and drummer featuring sights, sounds, performance dates, and GRIDS.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.jonmattox.com/"} +{"d:Title": "Melena", "d:Description": "Cuban percussionist whose range includes the Afro/Cuban, jazz, and pop genres.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.melena.com/"} +{"d:Title": "Morales, Tony", "d:Description": "Los Angeles-based drummer who has worked with David Benoit. Biography and discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.tonymorales.com/"} +{"d:Title": "Miller, Russ", "d:Description": "The official site with setup, audio clips, and endorsments.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.russmiller.com/"} +{"d:Title": "Mercer, Speedy", "d:Description": "Blues/Funk drummer from Louisiana now living in Northwest Arkansas.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.herohog.com/music.html"} +{"d:Title": "Moutin, Louis", "d:Description": "Jazz drummer and session musician. Includes biography and photo.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.moutin.com/Louis.html"} +{"d:Title": "MacMillan, Jaqui", "d:Description": "Washington DC percussionist, performer, teacher. Personal press kit, workshop calendar and performance information.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://users.erols.com/jaqui/"} +{"d:Title": "Mackrel, Dennis", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.dennismackrelmusic.com/"} +{"d:Title": "Moore, Graydon James", "d:Description": "Downloadable beats, loops, and samples.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.beatnikbeats.com/"} +{"d:Title": "Marinissen, Arnold", "d:Description": "Dutch percussionist in solo, ensemble and orchestral context. Curriculum vitae, repertoire, calendar, recordings, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.arnoldmarinissen.com/"} +{"d:Title": "Michaels, Kevin", "d:Description": "Styles include rock, pop, and jazz. Sound clips, biography, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://kevinmichaels.8m.net/"} +{"d:Title": "Merante, Fran", "d:Description": "Biography, articles, personal instruction, transcription and WAV sound clips of fills, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.cidrumming.com/"} +{"d:Title": "McLean, Mark", "d:Description": "Jazz drummer, musician and composer", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.markmclean.com/"} +{"d:Title": "McMahon, Ken", "d:Description": "Includes news, biography, discography, videos, photos, and contact details.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.kenmcmahon.com/"} +{"d:Title": "Mativetsky, Shawn", "d:Description": "Includes concert schedule, and recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.shawnmativetsky.com/"} +{"d:Title": "Mohn, Dave", "d:Description": "Biography, equipment, links page, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/M", "url": "http://www.jdmdrums.com/"} +{"d:Title": "Nuclear Percussion Ensemble", "d:Description": "World music, improvisation, and original compositions.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/N", "url": "http://www.rubright.com/percussion/npe.html"} +{"d:Title": "Nemer, Mark", "d:Description": "Touring/session drummer and composer.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/N", "url": "http://www.marknemer.com/"} +{"d:Title": "Norwich Samba", "d:Description": "Plays Brazilian rhythms at carnivals and festivals. Pictures, information, and member list.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/N", "url": "http://www.norwichsamba.org/"} +{"d:Title": "Napper, Calvin", "d:Description": "Includes biography, discography, music, photos, and contact details.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/N", "url": "http://calvinnapper.com/"} +{"d:Title": "Pathak, Kalyan", "d:Description": "features concert information, photographs, and biography.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/P", "url": "http://www.kalyanpathak.com/"} +{"d:Title": "Percussion Group Cincinnati", "d:Description": "Concerts and reviews.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/P", "url": "http://www.pgcinfo.com/"} +{"d:Title": "Paulinho Da Costa", "d:Description": "Includes his music, instruments, recording projects, film and television contributions, photos, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/P", "url": "http://www.paulinho.com/"} +{"d:Title": "Purdie, Bernard", "d:Description": "Official site, with discography, photos, sound clips, tour dates, and merchandise.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/P", "url": "http://www.bernardpurdie.com/"} +{"d:Title": "Pav's Percussion", "d:Description": "Plays backing to dance music, has played at Manumission and MTV Ibiza.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/P", "url": "http://pavpercussion.com/"} +{"d:Title": "Riley, John", "d:Description": "Official site including discography and discussion forum.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/R", "url": "http://www.johnriley.org/"} +{"d:Title": "Robinson, N. Scott", "d:Description": "Resume, schedule, and recordings.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/R", "url": "http://www.nscottrobinson.com/"} +{"d:Title": "Rader, Abbey", "d:Description": "Biography, discography, and links.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/R", "url": "http://www.abbeyrader.com/"} +{"d:Title": "Raval, Sandeep", "d:Description": "World music percussionist. Audio clips, instruction information, world grooves, and new age.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/R", "url": "http://www.sandeepraval.com/"} +{"d:Title": "Ramerman, Matt", "d:Description": "Features biography, lessons, pictures, tour information, and contact details.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/R", "url": "http://www.freewebs.com/mattramerman/"} +{"d:Title": "STOMP", "d:Description": "Combination of percussion, movement and visual comedy.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.stomponline.com/"} +{"d:Title": "SMASH", "d:Description": "Alternative percussion group composed of New York and Pennsylvania students.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://smash.20fr.com/"} +{"d:Title": "Santos, John", "d:Description": "includes biography, recordings, and schedule of appearances.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.johnsantos.com/"} +{"d:Title": "Sadlo, Peter", "d:Description": "Information about his recent activities, sound clips and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.sadlo-percussion.de/en/"} +{"d:Title": "Steele, Clarence", "d:Description": "Recording producer and drummer. Includes biography, guestbook, MP3s, discography, and news.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.clarence-steele.com/"} +{"d:Title": "Spurkel, Alex", "d:Description": "Los Angeles based doumbek player, world percussionist and electronic drummer. Biography and audio clips.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.alexspurkel.com/"} +{"d:Title": "Seymour, Matt", "d:Description": "Educational information and contact information for private lessons.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.seymictrading.com/"} +{"d:Title": "Soucy, Mathieu", "d:Description": "Drummer/percussionist and multi-instrumentist. Provides a profile of the artist.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.drumsoloartist.com/Site/Drummers2/Mathieu_Soucy.html"} +{"d:Title": "Speich, Christopher", "d:Description": "New York City Metro Area. Styles include classical, rock/pop, Broadway, jazz, and world. Discography and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.christopherspeich.com/"} +{"d:Title": "Samba Celtica", "d:Description": "An ensemble playing Brazilian samba at festivals, fairs and other events in the Cornwall, England area. Photos, videos and audio available.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/S", "url": "http://www.sambaceltica.co.uk/"} +{"d:Title": "Thomas, Kenne", "d:Description": "Author, percussionist, and educator. His \"Hand Drumming Independence\" is featured. Site also includes workshops, drum circle information, photos and online quizzes.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/T", "url": "http://www.kennethomas.com/"} +{"d:Title": "Ughi, Federico", "d:Description": "Biography, releases, links, events and more about improvised music.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/U", "url": "http://www.federicoughi.com/"} +{"d:Title": "Unnikrishnan, Kalamandalam", "d:Description": "An artist who plays a percussion instrument called Chenda famous in Southern India.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/U", "url": "http://www.cyberkerala.com/kathakali/artists/unnikrishnan.htm"} +{"d:Title": "Vartan, Lynn", "d:Description": "Biography, concert listings and current repertoire and reviews.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/V", "url": "http://www.lynnvartan.com/"} +{"d:Title": "Wackerman, Chad", "d:Description": "Biography, discography, photo gallery, and FAQ.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/W", "url": "http://www.chadwackerman.com/"} +{"d:Title": "Ward, Billy", "d:Description": "Including the CD Two Hands Clapping, guest artist information, a BBS, and audio samples.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/W", "url": "http://www.billyward.com/"} +{"d:Title": "Warden, Nolan", "d:Description": "Boston-based percussionist. Styles include Afro-Cuban, West African, Shona, Brazilian, and orchestral. Resume, photos, and sounds.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/W", "url": "http://www.nolanwarden.com/"} +{"d:Title": "Walter, Adrian", "d:Description": "(Houston, Texas) Includes participation in dramatic and musical areas, projects, work with Celtic bands, teaching, schedule, booking, photographs, and contact information.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/W", "url": "http://www.adrianwalter.com/"} +{"d:Title": "Whitehead, Ian", "d:Description": "Includes biography, current schedule, useful links, kit list, and contact details.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/W", "url": "http://www.ianwhitehead.com/"} +{"d:Title": "Walker, James", "d:Description": "Percussionist specializing in jazz vibraphone, marimba, and steel drums. Discography, sound files, guest book, lessons on jazz and mallet instrument performance.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/W", "url": "http://www.malletjazz.com/walker/"} +{"d:Title": "Young, Dennis", "d:Description": "Plays marimba, keyboards, drums, and roto toms. Discography and audio.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/Y", "url": "http://www.dennisyoungmusic.com/"} +{"d:Title": "Zoro", "d:Description": "Official Site. Rhythm and blues and session master. Includes online lessons, audio and video samples, merchandise and information.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/Z", "url": "http://www.zorothedrummer.com/"} +{"d:Title": "Zalac, Dan", "d:Description": "Samples, videos, and pictures.", "topic": "Top/Arts/Music/Instruments/Percussion/Percussionists/Z", "url": "http://www.groovedrumming.com/"} +{"d:Title": "Rhythmweb", "d:Description": "Information and links, browsable by cultures and instruments.", "topic": "Top/Arts/Music/Instruments/Percussion/Regional_and_Ethnic", "url": "http://www.rhythmweb.com/"} +{"d:Title": "African Drumming", "d:Description": "Traditional West African drumming, African music, drum lessons, instructional movies, texts, and manuscripts.", "topic": "Top/Arts/Music/Instruments/Percussion/Regional_and_Ethnic", "url": "http://www.dancedrummer.com/"} +{"d:Title": "Black Bear Moon", "d:Description": "Organization that promotes the study of traditional African drumming and dance. Also presents original music, artwork, and prints related to cultural traditions and world music.", "topic": "Top/Arts/Music/Instruments/Percussion/Regional_and_Ethnic", "url": "http://www.blackbearmoon.com/"} +{"d:Title": "Bongomania", "d:Description": "Articles and lessons on playing the bongos, as well as bongo-related art, photos, and links. [English and Spanish]", "topic": "Top/Arts/Music/Instruments/Percussion/Regional_and_Ethnic", "url": "http://www.bongomania.com/"} +{"d:Title": "African Drumming with Ray Pereira", "d:Description": "Conducts regular djembe and African drumming classes in Melbourne and throughout Australia.", "topic": "Top/Arts/Music/Instruments/Percussion/Regional_and_Ethnic", "url": "http://www.raypereira.com/"} +{"d:Title": "Dunum World Music", "d:Description": "Shop in Switzerland specializing in world musical instruments, and workshops with didgeridoo and djemb\u00e9. Offers a wide variety of instruments from different continents, for children and for professional musicians.", "topic": "Top/Arts/Music/Instruments/Percussion/Regional_and_Ethnic", "url": "http://www.dunum.ch/"} +{"d:Title": "CongaPlace", "d:Description": "Latin instrument, particularly conga and bongo drum, forum, sheet music, techniques, rhythms, exercises, and merchandise.", "topic": "Top/Arts/Music/Instruments/Percussion/Regional_and_Ethnic", "url": "http://www.mycongaplace.com/"} +{"d:Title": "Key of Z Rubboards", "d:Description": "Tee Don, player and maker of the frottoir, offers history, tutorial videos, and online sales.", "topic": "Top/Arts/Music/Instruments/Percussion/Rubboard", "url": "http://www.keyofzrubboards.com/"} +{"d:Title": "Washboards International", "d:Description": "Players, upcoming concerts, photo galleries, videos for sale, and resources.", "topic": "Top/Arts/Music/Instruments/Percussion/Rubboard", "url": "http://www.washboards.com/"} +{"d:Title": "Yahoo Groups: Rubboard", "d:Description": "Mailing list for players of washboards, rubboards, frottoirs, stainless steel shirts, and corrugated abs.", "topic": "Top/Arts/Music/Instruments/Percussion/Rubboard", "url": "http://launch.groups.yahoo.com/group/rubboard/"} +{"d:Title": "Shekere: Make and Shake", "d:Description": "History, construction, and how to play the shekere.", "topic": "Top/Arts/Music/Instruments/Percussion/Shekere", "url": "http://www.ibiblio.org/musicians/botsford/educators/shekere/"} +{"d:Title": "Australia Adlib: Spoons Solo", "d:Description": "Tribute to Nancy Terdrik, a vaudeville performer and spoon player known as Fancy Nancy. Includes how to play the spoons, audio, and video.", "topic": "Top/Arts/Music/Instruments/Percussion/Spoons", "url": "http://www.abc.net.au/arts/adlib/stories/s861291.htm"} +{"d:Title": "David Holt: How To Play The Spoons", "d:Description": "Introduction with photographs.", "topic": "Top/Arts/Music/Instruments/Percussion/Spoons", "url": "http://www.davidholt.com/music/playspoons.htm"} +{"d:Title": "Oddmusic.com: Waterphone", "d:Description": "Photograph, description, and audio sample.", "topic": "Top/Arts/Music/Instruments/Percussion/Waterphone", "url": "http://www.oddmusic.com/gallery/om33000.html"} +{"d:Title": "Size Music Repairs", "d:Description": "Musical instrument hire, repair and sales in Australia. Specialising in brass and woodwind.", "topic": "Top/Arts/Music/Instruments/Repair", "url": "http://www.size.com.au/"} +{"d:Title": "Naylor's Custom Wind Repair", "d:Description": "Instrument repair services and information on effects of moisture on wood instruments.", "topic": "Top/Arts/Music/Instruments/Repair", "url": "http://www.naylors-woodwind-repair.com/"} +{"d:Title": "Patterson Hornworks", "d:Description": "Makers of custom French horns and natural horns. Mouthpieces, lead pipes and complete restoration also available as well as repair. Also a distributor for Hans Hoyer Horns and Marcus Bonna Cases.", "topic": "Top/Arts/Music/Instruments/Repair", "url": "http://www.hornworks.com/"} +{"d:Title": "Professional Band Instrument Repair Technician Resource Site", "d:Description": "The Professional Band Instrument Repair Technician resource site contains articles, videos, tips, forums and more for those interested in learning the trade.", "topic": "Top/Arts/Music/Instruments/Repair", "url": "http://www.probirt.com/"} +{"d:Title": "John C Kleinbauer's Unique Plans", "d:Description": "Build a simple monkey style crank organ using harmonica reeds.", "topic": "Top/Arts/Music/Instruments/Squeezebox", "url": "http://www.crankorgan.com/"} +{"d:Title": "Squeezeboxes on the Silver Screen", "d:Description": "List of films in which an accordion, bandoneon, concertina, button box or melodeon is seen and/or heard, started by movie fan members of the American Accordionists' Association in 1996, and crediting emailed additions to the list.", "topic": "Top/Arts/Music/Instruments/Squeezebox", "url": "http://www.mediarare.com/MRFilmSq.html"} +{"d:Title": "Squeezebox Links", "d:Description": "Links to a newsgroup, an annual festival, organizations, vendors, informational sites and performers.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Squeezebox", "url": "http://www.mediarare.com/MRSqueez.html"} +{"d:Title": "Diatonic button accordion B. Loffet", "d:Description": "Musical instrument and diatonic button accordion maker in Caudan, Brittany, France.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://diato.org/"} +{"d:Title": "Alaska Button Box Gang", "d:Description": "A social and recreational group dedicated to promoting the history and enjoyment of button box accordion music.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.alaskabuttonboxgang.org/"} +{"d:Title": "Domenic's Accordion Beatles Page", "d:Description": "Sound clips and photos .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.fab-4.com/"} +{"d:Title": "Accordion Links", "d:Description": "Searchable database of links for free reed instruments, musical styles, history and clubs.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.accordionlinks.com/"} +{"d:Title": "Accordion Online", "d:Description": "Information on German composers and their pieces, free accordion sheet music and MP3 downloads.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.accordion-online.de/"} +{"d:Title": "Accordion Repair and Tuning", "d:Description": "Information on tuning and basic repairs of the instrument.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://accordiondoc.home.mindspring.com/"} +{"d:Title": "Accordion Rose", "d:Description": "Offers sales, repair and tuning.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://accordions.com/rose/"} +{"d:Title": "Accordion USA", "d:Description": "National news publication.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.accordionusa.com/news.htm"} +{"d:Title": "Accordions Worldwide", "d:Description": "Focuses on all free-reed bellows instruments. Offers listings of accordionists, articles, organisations, weekly news, general information, internet site design and hosting.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.accordions.com/"} +{"d:Title": "All Things Accordion", "d:Description": "Accordion related products and services, as well as booking information for San Francisco Bay Area artists The Squeegees, Roma 59, The Big Squeeze, and Bella Ciao. Includes photos and related links.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.ladyofspain.com/"} +{"d:Title": "Anderson's Accordion Service", "d:Description": "Repair and service, Borsini dealer, new and used accordions. Located in London, Ontario, Canada", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.angelfire.com/biz2/accordion/index.html"} +{"d:Title": "Cajun Accordion", "d:Description": "The history of the Cajun (diatonic) accordion, builder recommendations, photos and information about various performers.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.meloche.net/accordio.htm"} +{"d:Title": "Dr. William Schimmel", "d:Description": "Featuring accordion news, music, events, and discussion.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.billschimmel.com/"} +{"d:Title": "East European News for accordion", "d:Description": "For accordion and all bellows instruments such as bayan, piano accordion, button accordion, concertina, bandoneum, harmonium .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.accordions.com/east/index.shtml"} +{"d:Title": "Hans Palm's Accordion Page", "d:Description": "Accordion's , compositions, useful information, and composition contest. Includes accordion gallery, directory to inside an accordion, and accordion links.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.accordionpage.com/"} +{"d:Title": "Jane Christison", "d:Description": "Music With A Smile Productions offer accordion videos and accordion workshops in addition to live accordion music performances.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.musicwithasmile.com/"} +{"d:Title": "Musical Instruments of Canada", "d:Description": "New and used accordions, accessories, and repair services.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.accordionscanada.com/"} +{"d:Title": "Accordion Freedom Forum", "d:Description": "Discussion group. Offers free sheet music and mp3 files from members, and accordion MVP's contributions.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://launch.groups.yahoo.com/group/AccordionFreedomForum/"} +{"d:Title": "UK Accordions", "d:Description": "UK information about accordions, events, articles, forum and reviews.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion", "url": "http://www.ukaccordions.co.uk/"} +{"d:Title": "Colville, John: Accordionist", "d:Description": "Concert performer, dance musician, recording artist, workshop presenter and public speaker. Includes biography, quotes, photos, and CD information, samples and sales.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://johncolville.com/"} +{"d:Title": "Eugenia Marini Italian Accordion and Bandoneon Concertist", "d:Description": "Esteemed classical accordion and bandoneon performer .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://accordions.com/eugeniamarini/"} +{"d:Title": "G Belavilas Web Site", "d:Description": "Composer, accordion, keyboards and piano .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://pireas.free.fr/"} +{"d:Title": "Honore Pesenti", "d:Description": "Honor\u00e9 Pesenti's life and career as a composer, accordionist, pianist; awarded for Numerous International Prices and Europa Cups of Accordion. 28 extracts of his compositions in RealAudio streaming.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://www.splaisirs.com/"} +{"d:Title": "Jimmy Compoli Accordion Entertainer", "d:Description": "Creative accordion music techniques , sound clips and video from latest Cd release.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://accordingtojimmy.com/"} +{"d:Title": "Johns Accordion Pages", "d:Description": "Homepage with music clips of own compositions .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://accordionorkney.tripod.com/"} +{"d:Title": "Orchestra Liberina", "d:Description": "Accordion Orchestra from Italy .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://www.accordions.com/orchestraliberina"} +{"d:Title": "Stefan Hussong", "d:Description": "Accordion player with international reputation, records, audio clips, programs, reviews, and photographs.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://www.stefan-hussong.de/"} +{"d:Title": "Victor Santos", "d:Description": "International accordionist.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://vitors1.tripod.com/accord/"} +{"d:Title": "Bone, Ponty", "d:Description": "Austin, Texas. Includes lyrics, show schedule, and a newsletter.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Accordion/Accordionists", "url": "http://www.pontybone.com/"} +{"d:Title": "Concertina FAQ", "d:Description": "Covers history, types, makes, repair and dealers.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Concertina", "url": "http://www.concertina.info/"} +{"d:Title": "Concertina Library", "d:Description": "Documents for the study of English, Anglo, and Duet concertinas: history, instruction books, sheet music, patents, technical papers, rare periodicals, and new research by many leading scholars. Full texts to read, download, and print.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Concertina", "url": "http://www.concertina.com/"} +{"d:Title": "Concertina.net", "d:Description": "A complete resource for the anglo concertina enthusiast .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Concertina", "url": "http://www.concertina.net/"} +{"d:Title": "Difficult Chords for Concertina Players", "d:Description": "A guide to various chords, listed by type.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Concertina", "url": "http://home.clara.net/gmatkin/chords.htm"} +{"d:Title": "Wheatstone Concertina Ledgers", "d:Description": "Colour scans of C. Wheatstone and Co. production and sales ledgers, from the concertina document archives of the Horniman Museum in London.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Concertina", "url": "http://www.horniman.info/"} +{"d:Title": "The MacCann Duet Concertina", "d:Description": "Authoritative source for the instrument patented in 1884 by John Hill MacCann. Offers complete scanned instructional booklet published by the inventor in 1902.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Concertina", "url": "http://www.maccann-duet.com/"} +{"d:Title": "Cicero Concertina Circle", "d:Description": "Resources for novice players of the American Chemnitzer Concertina .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Concertina", "url": "http://ciceroconcertina.weebly.com/"} +{"d:Title": "The Accordion Festival at RootsWorld", "d:Description": "About the festival, recent recording reviews, and links.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Events", "url": "http://www.rootsworld.com/freereed/"} +{"d:Title": "The Button Box: Northeast Squeeze-In Festival", "d:Description": "In-depth reviews of past events by attendees, and information on upcoming events.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Events", "url": "http://www.buttonbox.com/s-i.html"} +{"d:Title": "Cotati Accordion Festival", "d:Description": "Accordion Festival in Cotati, California", "topic": "Top/Arts/Music/Instruments/Squeezebox/Events", "url": "http://www.cotatifest.com/"} +{"d:Title": "Kimberley International Old Time Accordion Championships", "d:Description": "Annual competition held in Canada. Features news, schedule, rules and information about featured guests, bursary and local solutions.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Events", "url": "http://www.kiotac.ca/"} +{"d:Title": "Harmonika Strasser", "d:Description": "Accordions, standard models and made to order. Manufacturing details and model list. Graz-Seiersberg, Austria. (English/German)", "topic": "Top/Arts/Music/Instruments/Squeezebox/Makers", "url": "http://www.strasser-harmonikas.com/"} +{"d:Title": "All About Accordians", "d:Description": "Build a variety of accordians, concertinas and accessories. Includes profile, photos, and instrument details. Located in Dublin, Ireland.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Makers", "url": "http://www.allaboutaccordions.com/"} +{"d:Title": "Accordiola", "d:Description": "Belgian manufacturer. Photographs of products. [English/German/Dutch]", "topic": "Top/Arts/Music/Instruments/Squeezebox/Manufacturers", "url": "http://www.accordiola-davidts.com/"} +{"d:Title": "Ballone Burini", "d:Description": "Piano and chromatic button accordions. Product photographs and specifications. Castelfidardo, Italy. [English/Italian/French]", "topic": "Top/Arts/Music/Instruments/Squeezebox/Manufacturers", "url": "http://www.balloneburini.com/"} +{"d:Title": "Ha-vent", "d:Description": "Manufacturer of valves for accordions, chromaticas, and harps. [English/German]", "topic": "Top/Arts/Music/Instruments/Squeezebox/Manufacturers", "url": "http://www.ha-vent.de/"} +{"d:Title": "Pietro Accordions", "d:Description": "Accordion manufacturers. Includes instrument details and company profile.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Manufacturers", "url": "http://www.pietroaccordions.com/"} +{"d:Title": "National Accordion Organization of the United Kingdom", "d:Description": "Caters for the main body of British accordionists and numbers almost every well-known name in the accordion world among its members.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://accordions.com/nao/"} +{"d:Title": "Accordion Teachers Association of Massachusetts", "d:Description": "Established in 1960, it provides annual competitions for accordionists and other instrumentalists.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://accordions.com/atam/"} +{"d:Title": "Milwaukee Accordion Club", "d:Description": "Our club's purpose is to stimulate a positive attitude toward the performance of music on the accordion .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://www.accordions.com/mac"} +{"d:Title": "Florida Accordion Association", "d:Description": "Organization for all accordionists and or accordion music enthusiasts.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://accordions.com/florida/"} +{"d:Title": "American Accordionists Association", "d:Description": "A non-profit organisation founded in 1938 , to provide and stimulate an increasing awareness of, knowledge about, and appreciation for the accordion .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://www.ameraccord.com/"} +{"d:Title": "Home of the International Concertina Association", "d:Description": "Founded over 40 years ago , works to promote the music and playing of the various forms of concertina.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://concertina.org/"} +{"d:Title": "Michigan Accordion Society", "d:Description": "Established June 1996 , an association for promoting and sharing the music of the accordion .", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://www.michiganaccordion.org/"} +{"d:Title": "The Ythan Fiddlers", "d:Description": "Local fiddle and accordion club, whose goal is to raise money for local charities, whilst promoting Scot's traditional music to young people. The Ythan Fiddlers are a registered charity.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://ythanfiddlers.net/"} +{"d:Title": "Annual Las Vegas International Accordion Convention", "d:Description": "Information on accordion convention held annually.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://www.accordionstars.com/"} +{"d:Title": "Leyland Accordion Club", "d:Description": "UK accordion club news, newsletter archives, recordings, and information on concerts, festivals, and events.", "topic": "Top/Arts/Music/Instruments/Squeezebox/Organizations", "url": "http://www.accordionclub.co.uk/"} +{"d:Title": "Indian Stringed Instruments", "d:Description": "Pictures and descriptions of the stringed instruments of India.", "topic": "Top/Arts/Music/Instruments/Stringed", "url": "http://chandrakantha.com/string/"} +{"d:Title": "Traditional Chinese String Instruments", "d:Description": "Profile of Chinese traditional string instruments, including plucked strings, bowed strings, and hammered strings.", "topic": "Top/Arts/Music/Instruments/Stringed", "url": "http://www.philmultic.com/home/instruments/"} +{"d:Title": "Frets.com", "d:Description": "Includes large collection of articles about maintenance, repair, instrument making, and accessories for stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed", "url": "http://www.frets.com/"} +{"d:Title": "John Thompson on the Guqin Silk String Zither", "d:Description": "Introduction to this Chinese instrument, with pictures, song lyrics and information on its place in Chinese culture.", "topic": "Top/Arts/Music/Instruments/Stringed", "url": "http://www.silkqin.com/"} +{"d:Title": "C\u00fcmb\u00fc\u015f Means Fun", "d:Description": "A history of a Turkish folk instrument, now more popular in America and Europe. It combined features of the oud and the banjo; unusually, it has interchangeable necks.", "topic": "Top/Arts/Music/Instruments/Stringed", "url": "http://www.rootsworld.com/turkey/cumbus.html"} +{"d:Title": "Susso, Seikou", "d:Description": "Gambian kora player, now based in Leeds, UK; includes his profile, performances, educational activities, and background on the griot traditions of West Africa.", "topic": "Top/Arts/Music/Instruments/Stringed", "url": "http://www.seikoususso.freeuk.com/"} +{"d:Title": "Todd Green", "d:Description": "One musician playing over 30 instruments from many cultures. Instrument photos and descriptions, quotes about music, links, reviews and references, and used instruments and pro audio gear for sale.", "topic": "Top/Arts/Music/Instruments/Stringed/Artists", "url": "http://www.toddgreen.com/"} +{"d:Title": "The Autoharp Quarterly", "d:Description": "International magazine for the enthusiast.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp", "url": "http://www.daigleharp.com/autoharpquarterly.html"} +{"d:Title": "The True History of the Autoharp", "d:Description": "An article by Ivan Stiles, giving an alternate explanation about the origin of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp", "url": "http://www.ivanstiles.com/history.html"} +{"d:Title": "Autoharp Music Tips", "d:Description": "Information about playing and buying autoharps and chromaharps by Mark Gunn. Includes autoharp music on internet radio, and MP3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp", "url": "http://www.autoharpmusic.com/"} +{"d:Title": "The Autoharp WebRing", "d:Description": "Join or list sites in the ring.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp", "url": "http://hub.webring.org/hub/autoharp"} +{"d:Title": "United Kingdom Autoharp Society", "d:Description": "Membership organisation promotes autoharp music. History of the autoharp, forthcoming events, membership benefits, photo album, audio files.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp", "url": "http://www.ukautoharps.org.uk/"} +{"d:Title": "Timbre Line Music", "d:Description": "Randy Barnes and Mitch Pingel. Handcrafted TimbreHarps (autoharps) and hammered dulcimers. Features, custom orders, string and accessories. Located in Colorado, United States.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://www.timbreline.com/"} +{"d:Title": "Lumbert Mountain Music", "d:Description": "Handcrafted autoharps and quilts. Custom made chord bar sets, repairs, modifications, and conversions. Traverse City, Missouri, United States.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://www.lumbert.net/"} +{"d:Title": "AutoharpX", "d:Description": "Chuck Daniels provides information on do-it-yourself repairs and improvements. Also provides a repair and conversion service and sells accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://minpin.com/autoharp.html"} +{"d:Title": "d'Aigle Autoharps", "d:Description": "Built by Pete d'Aigle. Also sells Oscar Schmidt brand, Evo Bluestein's Sparrowharp, and accessories. Located in Washington, United States.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://www.daigleharp.com/"} +{"d:Title": "Orthey Instruments", "d:Description": "Autoharps handcrafted by Dr. George F. Orthey. Features, descriptions and prices. Newport, Pennsylvania.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://www.ortheyautoharps.com/"} +{"d:Title": "Blue Ridge Autoharps", "d:Description": "Handcrafted from select spruce and hand-picked hardwoods, custom outfitted to the buyer's choice of woods and chord arrangements. Located in Christiansburg, Virginia, United States.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://www.blueridgeautoharps.com/"} +{"d:Title": "Fladmark Woodworks", "d:Description": "Custom-built autoharps by Tom Fladmark, who also makes his own strings for autoharp and zither. Can make individual strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://www.fladmarkautoharps.com/"} +{"d:Title": "Schreiber Autoharps", "d:Description": "Greg Schreiber builds custom hand-crafted autoharps, chromatic or diatonic. How he got into this business, best ways to contact him. Millerstown, Pennsylvania.", "topic": "Top/Arts/Music/Instruments/Stringed/Autoharp/Makers", "url": "http://www.schreiberautoharps.com/"} +{"d:Title": "Banjo Ben's", "d:Description": "Free on-line beginning bluegrass banjo lessons, tab collection and list of international teachers.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.banjoben.com/"} +{"d:Title": "Banjo Mandolin Ukulele Chord Generator", "d:Description": "Diagram any chord in various position along the neck.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.gootar.com/folder/ukulele.html"} +{"d:Title": "Classic Banjo Home Page", "d:Description": "Devoted to a little-known style of five-string banjo that flourished in the 19th century. The site contains information on history, instruments, composers, recordings, performers, publications and organizations.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.classicbanjo.com/"} +{"d:Title": "5-String Banjo Links", "d:Description": "Basic list of annotated links. Books, history, magazines, mailing list, and webrings.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.trussel.com/f_banj1.htm"} +{"d:Title": "Banjo Newsletter Online", "d:Description": "Monthly magazine devoted to the 5-string banjo. Ordering and sample information. Tabs, selected articles, back issues, and MP3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.banjonews.com/"} +{"d:Title": "Banjo Hangout, The", "d:Description": "Interactive on-line resource for all things banjo with user-driven forums covering banjo styles, playing advice, tablature, lessons, instruments, mp3 and video links, and product reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.banjohangout.org/"} +{"d:Title": "Banjo Don", "d:Description": "Banjo tablature, old-time music sound files, instrument building information, bouzouki information, and personal views on religion and politics.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://members.tripod.com/~banjodon/"} +{"d:Title": "Friends of Old Time Banjo", "d:Description": "A resource for students of old time banjo. Festivals, jams, resources, mentors, photos and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.oldtimebanjo.com/"} +{"d:Title": "Fancy Banjo", "d:Description": "Selling old-time, vintage 5-String, tenor, ukulele and mandolin banjos, as well as new banjos and banjo kits.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://fancybanjo.com/"} +{"d:Title": "Five Strings Inside: Celtic and Old Time Session Banjo", "d:Description": "Basic banjo information on the instrument, songs, performers, tunes, player links and galleries.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.multimage.fr/sessionbanjo/"} +{"d:Title": "Banjo Shrink: Banjo Counseling&Therapy", "d:Description": "Banjo instruction, set-up, workshops and advice by Rolf Sieker.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.banjoshrink.com/"} +{"d:Title": "DanGem Bluegrass", "d:Description": "Bluegrass instruments and replacement parts. Fixing all that is five-string banjo. Left-hand banjos, accessories, bumper stickers and hard-to-find goods.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.dangem.co.uk/"} +{"d:Title": "LittleRockBanjo.com", "d:Description": "Bill Nesbitt offers private banjo lessons in Little Rock, Ark. His site offers tabs, videos, photos, setup hints, and other resources for those interested in 5-string banjo.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.littlerockbanjo.com/"} +{"d:Title": "Ripley Banjo Works", "d:Description": "Offers beginning and advanced banjo and guitar instruction, and is the home of acoustic music duo, Gunpowder Creek.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.ripleybanjoworks.com/"} +{"d:Title": "All Things Banjo", "d:Description": "Pictures of the webmaster's personal banjo collection, Real Audio clips, books and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://clawhammer44.tripod.com/"} +{"d:Title": "Paul Hawthorne", "d:Description": "Approaches to learning 5-string banjo, including Gestalt Banjo, software, links to banjo sources from manufacturers, collectors and luthiers.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://hawthorne.fastie.com/"} +{"d:Title": "AcuTab Publications", "d:Description": "AcuTab publishes authorized tab transcription books and instructional DVDs for bluegrass musicians, including students of the banjo, mandolin, guitar, fiddle and dobro.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.acutab.com/"} +{"d:Title": "Banjo Holler", "d:Description": "Resource center, online forum, and social networking for banjo enthusiasts. Twitter and facebook links.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://banjoholler.com/"} +{"d:Title": "Banjo For Beginners", "d:Description": "Banjo for beginners is a free resource of banjo lessons, guides, and exercises for those just starting to play banjo.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://banjoforbeginners.com/"} +{"d:Title": "World of Banjo and Harmonica, The", "d:Description": "A blog by Fred Hissink about the five-string banjo and diatonic mouth organs.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://banjoandmouthharp.blogspot.com/"} +{"d:Title": "Bluegrass Banjo, Sheldon Friesen", "d:Description": "Bluegrass banjo discussion, tablature, sound files, and links offered by a picker from the lower mainland, Surrey, British Columbia, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "https://sheldonfriesen.wordpress.com/"} +{"d:Title": "Online Banjo School with Tony Trischka", "d:Description": "Interactive online banjo lessons taught by Tony Trischka. Students receive video feedback when they submit videos. Students have unlimited access to all online banjo lessons plus banjo tabs, backing tracks, and other study materials.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://artistworks.com/banjo-lessons-tony-trischka"} +{"d:Title": "Banjo Scale and Chord Finder", "d:Description": "A chord and scale chart generator for 5-string banjos. Works with all tunings.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.banjoscalefinder.com/"} +{"d:Title": "John Boulding Repair and Restoration", "d:Description": "Boulding has been an active recording and touring musician for over 25 years and maintains a full banjo repair and restoration shop at his King, NC residence. John is well-known in the banjo world, being a poster of advice, lessons, and instructional materials.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://johnboulding.com/"} +{"d:Title": "Banjo Glossary Project, The", "d:Description": "A user-driven information site for all things banjo related, from history to parts and components, people, places and a how-to-guide for submissions. Anyone with an interest in the banjo is invited to become a content contributor.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "https://www.banjo-glossary.org/"} +{"d:Title": "Dr. Banjo", "d:Description": "Dr. Banjo is Pete Wernick of Hot Rize. He teaches banjo music lessons and organizes bluegrass and jam camps in the United States and abroad. Ask Dr. Banjo section, calendar, instructional materials, biography, bands, history, store, forum, audio and video clips. and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.drbanjo.com/"} +{"d:Title": "Five String Banjo Tablatures", "d:Description": "Discussions about banjo-related subjects and jam etiquette.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://haruteq.com/index.html"} +{"d:Title": "Pat Cloud", "d:Description": "Discover and learn the music of banjoist Pat Cloud, including bluegrass and jazz banjo styles. Instruction, recordings, Mel Bay books, videos, articles, email and online lessons through Skype.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.patcloud.com/"} +{"d:Title": "Hatfield Music Banjo Supplies", "d:Description": "Banjo one-stop shopping for the five-string banjo player. Links to books and recordings, DVDs, banjos and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo", "url": "http://www.hatfieldmusic.com/"} +{"d:Title": "Johnson, Mark", "d:Description": "Clawhammer and progressive bluegrass performer. Biography, performance dates, reviews, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://clawgrass.com/"} +{"d:Title": "Tom Adams and Adams County Banjo", "d:Description": "Tom Adams, IBMA 2002 Banjo Player of the Year, news, biography, banjo tablature books, bluegrass CDs, contact information, workshop and tour schedule, information on the Banjo NewsLetter column Adams County Banjo, plus mp3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.adamscountybanjo.com/"} +{"d:Title": "Miles, Michael J.", "d:Description": "Banjo recordings, performances, publications, classes, workshops, school programs, and itinerary.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://magicbanjo.com/"} +{"d:Title": "Robertson, Hunter", "d:Description": "Robertson's work, featuring, a collection of recordings made of clawhammer and picked banjo, various guitars, and self-built instruments. Music, news, press, shows, video, mail and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.hunterrobertson.com/"} +{"d:Title": "Schepps, Jake", "d:Description": "New album \"Expedition\" travels the spectrum of bluegrass to newgrass, ragtime to jazz, and old-time to classical counterpoint.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.jakeschepps.com/"} +{"d:Title": "Toronto Banjo band", "d:Description": "This band plays old toe-tapping favorites at fairs, parties, parades, retirement homes, Legions and Lodges, concerts and grand openings in the Toronto Canada region. Samples, photos, history and links", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://torontobanjoband.com/"} +{"d:Title": "Doc Ferrara's Banjo Music", "d:Description": "Americana music played on the 4-string plectrum banjo by Dr. Richard J. Ferrara. History, CD ordering and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.docbanjo.com/"} +{"d:Title": "Diller, Dwight", "d:Description": "West Virginia traditional banjo player and teacher. Includes tablature, sound files, contact information and a schedule of workshops.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.dwightdiller.com/"} +{"d:Title": "Patek Style Tenor Banjo", "d:Description": "Official homepage of Mirek Patek, from Prague, Czech Republic, featuring new tenor banjo playing styles, including frailing, Scruggs rolling, Travis picking, syncopated strums, standard and original modified tunings. Tablature and samples, video, and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.mirekpatek.com/"} +{"d:Title": "Henry, Casey", "d:Description": "Official website of Casey Henry, banjo player, teacher, and freelance writer. Blog, schedule, photos, biography, store and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.caseyhenry.net/"} +{"d:Title": "San Francisco Banjo Band", "d:Description": "The Bay area band is in its fortieth year of entertaining the Bay Area, with residencies at Molloy's Tavern and the Oyster Point Yacht Club. Photos, archives, videos, venues and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://sfbanjoband.com/"} +{"d:Title": "My Banjo Teacher", "d:Description": "Nev Jackson has over thirty years experiencing teaching the five-string banjo. He offers video playing instruction for novice to intermediate players and shares tips on how to practice and build the list of songs one knows.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.mybanjoteacher.com/"} +{"d:Title": "Iverson, Mike", "d:Description": "Featuring music and materials from Mike Iverson and the Blue Sage Trio who specialize in the folk songs of the American West. Free downloads of Mike Iverson's clawhammer banjo tablature and instruction.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.banjoutah.com/"} +{"d:Title": "Palomo, Robert", "d:Description": "American of Hispanic descent living in Russia, creates original Americana, folk and bluegrass music for the banjo. He offers free MP3 downloads, with all optional donations going to charity.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.robertpalomo.com/"} +{"d:Title": "Peninsula Banjo Band", "d:Description": "Traditional banjo band located in San Jose, California. Offering scholarships to learn the 4-string banjo, with CD and cassettes available. Links, recordings, coming events and announcements.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://www.peninsulabanjoband.com/"} +{"d:Title": "Bill Evans and Native and Fine Music", "d:Description": "Official site for bluegrass banjo player, teacher, writer and ethnomusicologist Bill Evans. News, photos, schedule, store, instruction and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Bands_and_Artists", "url": "http://billevansbanjo.com/"} +{"d:Title": "Davidson Instruments", "d:Description": "A small builder of custom 5-string open-back and resonator banjos. They also do custom inlay work and sell a \"travel\" banjo.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.davidsoninstruments.com/"} +{"d:Title": "Deering Banjo Company", "d:Description": "Pictures, product descriptions, accessories, audio samples, custom work, FAQ, and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.deeringbanjos.com/"} +{"d:Title": "Ome Banjos", "d:Description": "Manufacturer of bluegrass, jazz, and folk banjos. Specifications, pictures, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.omebanjos.com/"} +{"d:Title": "Nechville Musical Products", "d:Description": "Manufacturers of the \"Heli-mount\" acoustic banjos and several electric ones. Photos, download, testimonials, accessories, pictures, and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.nechville.com/"} +{"d:Title": "Stelling Banjoworks", "d:Description": "Handcrafted banjos made in Afton, Virginia. Pictures, details, pricing, ordering and dealer information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.stellingbanjo.com/"} +{"d:Title": "Romero banjos", "d:Description": "handmade, open-back banjos using a new banjo rim design", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.romerobanjos.com/"} +{"d:Title": "Enoch Instruments", "d:Description": "Creating an instrument designed for clawhammer playing, embracing the aesthetics of the great banjo makers of the early 20th century, with options for custom mother-of-pearl inlays and heel carving.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.enochbanjos.com/"} +{"d:Title": "Wildwood Banjos", "d:Description": "Models in both open-back and bluegrass banjos. Pictures, descriptions, and construction details.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.wildwoodbanjos.com/"} +{"d:Title": "RM Anderson Banjos", "d:Description": "Artisan hand-carved, custom-made banjos created by Bob Anderson who works from original sketches, photos, or an idea. Biography, photos, friends and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.rmandersonbanjos.com/"} +{"d:Title": "Winckler, Immo", "d:Description": "Maker, restoration, parts and repairs. Includes maker biography, instrument description, service details, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.banjo-schmiede.de/bsb-3-e/"} +{"d:Title": "John Steven Foster", "d:Description": "Interview with a builder-player of nineteenth-century-style gourd and minstrel banjos. Biographical information, photos, prices, ordering and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.tomifobia.com/just_folks/john_foster.html"} +{"d:Title": "Hartel Minstrel Banjos", "d:Description": "Reproductions of nineteenth-century fretless minstrel banjos such as those made by Sweeny, Boucher, Stichter and Ashborn. Includes biography of maker, instrument details, history, videos and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.minstrelbanjo.com/"} +{"d:Title": "Desert Rose Banjos", "d:Description": "Makers of professional bluegrass banjos and the Z bridge. Pioneers in the use of submerged old growth timber in stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.desertrosebanjo.com/"} +{"d:Title": "Kat Eyz Custom Made Banjo Bridges", "d:Description": "These banjo bridges are handmade by Mike Smith from hard rock maple recovered from the bottom of Lake Superior and surrounding rivers. Also, bridges, capos, ordering and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.kateyzbb.com/"} +{"d:Title": "PensonStringWerks", "d:Description": "Custom banjos built to order, specializing in 5-string bluegrass resonator banjos and open back 5-string dowel banjos. Tips on banjo set-up, getting started, installing 5th string railroad spikes, plus links.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.pensonstringwerks.com/"} +{"d:Title": "Florida Banjo", "d:Description": "Handmade clawhammer and Irish banjos by Marty Spencer. Product descriptions, photographs and audio clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.floridabanjo.com/"} +{"d:Title": "Geigerbanjo", "d:Description": "Old-time handmade fretless five-string banjos. Ralph Geiger makes banjos in the folk tradition using traditional methods and materials.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://home.earthlink.net/~geigerbanjo/"} +{"d:Title": "Broken Heart Woodworks", "d:Description": "Hand-made banjo and unique wood works by Matt Jones, operating out of Ft. Collins, Colorado. Photos, contact information and a skateboard.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.brokenheartwoodworks.com/"} +{"d:Title": "Curtis Carlisle Bourterse: Banjers and Fretless Banjos", "d:Description": "Builder of traditional instruments. History, etymology, historical photos, links and banjers.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://home.earthlink.net/~curtis.carlisle.bouterse/banjers"} +{"d:Title": "Clareen Banjos", "d:Description": "Handmade banjos by Tom Cussen in Ireland. Information on instruments, prices and tuition.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.banjo.ie/"} +{"d:Title": "Banjo Tone Rings by Blaylock", "d:Description": "The source for the tone rings endorsed by J.D. Crowe and traditional bluegrass banjo pickers. Product, photos, history, testimonials, music, links, ordering and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://www.blaylocktonering.com/"} +{"d:Title": "Gourd Banjos by Patrick Loafman", "d:Description": "Handmade, fretless gourd banjos made by Patrick Loafman.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://gourdbanjos.weebly.com/"} +{"d:Title": "xray banjo", "d:Description": "Description and pictures of a homemade banjo made from spare parts and xray film. Music videos using this banjo.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "http://xraybanjo.blogspot.com/"} +{"d:Title": "Gold Tone Banjos", "d:Description": "Titusville, Florida-based business. Hand crafted banjos. Pictures, descriptions, and prices.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Makers", "url": "https://goldtonemusicgroup.com/goldtone/"} +{"d:Title": "Banjo Tablatures and Bluegrass Information", "d:Description": "Information site offering banjo tabs, history, jokes, links, and backup MIDI files.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Tablature", "url": "http://www.bluegrassbanjo.org/"} +{"d:Title": "Clawhammer Banjo", "d:Description": "This site is dedicated to banjo tablature in various genres. The playing technique emphasized is clawhammer or frailing.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Tablature", "url": "http://clawhammerbanjo.wordpress.com/"} +{"d:Title": "Charles Kelly's Tunes for Clawhammer Banjo", "d:Description": "Resource with 217 free tablatures in manuscript from various traditions.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Tablature", "url": "http://www.manythings.org/banjo/tunes/"} +{"d:Title": "Old Time Banjo Page", "d:Description": "Information about playing the old-time banjo. Email address and links to related pages with charts, tab books and general information.", "topic": "Top/Arts/Music/Instruments/Stringed/Banjo/Tablature", "url": "http://woodsdomain.org/index.html"} +{"d:Title": "Arni's Lefthand Basses", "d:Description": "Site for left handed bass players. International lefty list includes general information and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://www.leftybass.com/"} +{"d:Title": "Bass Gear Review Page", "d:Description": "A collection of electric bass-related user reviews: strings, basses, pickups, amps, and cabinets.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://www.bgra.net/"} +{"d:Title": "Uncle Mike's Crazy Bass Site", "d:Description": "Personal page of bass guitar enthusiast. Includes photos, history of the instrument, and related links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://members.tripod.com/heymoe54/"} +{"d:Title": "Ashbory Internet Resource", "d:Description": "Information about the Ashbory fretless bass guitar. FAQ, descriptions, links, and pictures of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://www.steamroller.com/ashbory"} +{"d:Title": "Lefty Bass Page", "d:Description": "Dedicated to left-handed bassists. Listing of basses and manufacturers, pictures and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://www.die-linke-bass-saite.de/english/index.html"} +{"d:Title": "Bottom Feeder", "d:Description": "Site for bass players of heavy rock, with user submitted pictures, equipment information and bass tablature.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://members.tripod.com/bottom-feeder/"} +{"d:Title": "FretlessBass.com", "d:Description": "User submitted archive and gallery of fretless basses; also offers interviews with players and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://www.fretlessbass.com/"} +{"d:Title": "Electric Upright Bass Database", "d:Description": "Information about upright electric basses, with news, reviews, discussion forum and a luthier directory.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass", "url": "http://dvoi.com/proj/eubdb"} +{"d:Title": "Caprani, Klaus", "d:Description": "Danish bassist. Features English or Danish versions. Contains biography, discography, audio clips, equipment, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.klauscaprani.com/"} +{"d:Title": "The Z Zone", "d:Description": "French and English Versions. Contains movies, sound files, bass techniques and other resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.zzz.ch/"} +{"d:Title": "To, Shirley", "d:Description": "Female bass player from Hong Kong. She now lives in Los Angeles and explores her music horizon. Also get information about Music Transcription.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.toonyun.com/"} +{"d:Title": "Levin, Tony", "d:Description": "Personal home page and road diary. Discography, writings, biography, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.tonylevin.com/"} +{"d:Title": "Mastick, David", "d:Description": "Online bass lessons, MP3 samples, show schedule, biography, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.davidmastick.com/"} +{"d:Title": "Miller, Marcus", "d:Description": "Features an extensive discography (more than 350 titles) and a news section that's updated by the man himself.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.marcusmiller.com/"} +{"d:Title": "Smith, Rhonda", "d:Description": "Official site of bassist and vocalist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.rhondasmith.com/"} +{"d:Title": "Wimbish, Doug", "d:Description": "Official website offers information including pictures, music, news and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.dougwimbish.com/"} +{"d:Title": "Kaye, Carol", "d:Description": "The Official Website. Legendary studio musician, writer, publisher and educator of famous bassists. Well known for her tutorial bass books.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.carolkaye.com/"} +{"d:Title": "Terson Harlan Lee", "d:Description": "A familiar figure on Chicago's musical landscape for more than thirty years, Harlan Terson has recorded and toured internationally with some of Chicago's great blues artists.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.harlanterson.com/"} +{"d:Title": "Guerin, Roland", "d:Description": "Grove Swing and Harmony. Biography, fact sheet, tour dates, music, and news about the jazz bassist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.rolandguerin.com/"} +{"d:Title": "Scott, Tim", "d:Description": "Bassist, singer, songwriter. Member of Jack Mack and the Heart Attack. Features a calendar, photos, music, links and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.timscott.com/"} +{"d:Title": "Simons, Brett", "d:Description": "Bassist, producer and composer.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.brettsimons.com/"} +{"d:Title": "Wires, Brendan", "d:Description": "Bass soloist. Contains news, tour dates, bio, photos, mailing list, contact and booking information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.brendanwires.com/"} +{"d:Title": "Ball, Denny", "d:Description": "Bassist, song writer and music producer. Contains news, events, and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://members.tripod.com/dennyball/"} +{"d:Title": "Dimin, Michael", "d:Description": "Bassist, Educator, Bass Frontiers columnist and author of \"The Chordal Approach.\" Includes lessons, notation and real audio.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.michaeldimin.com/"} +{"d:Title": "Moore, Joseph Patrick", "d:Description": "Acoustic, Electric and Fretless Bassist and Composer. Contains news, live, JPM, views, audio, video, ecard, gallery, store, discography, inspiration, contact information, and mailing list.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.josephpatrickmoore.com/"} +{"d:Title": "Barard, David", "d:Description": "New Orleans based bassist. Includes discography, news and reviews, contacts and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.angelfire.com/space/4dave/"} +{"d:Title": "Reese, Rick", "d:Description": "Official Rick Reese website. Includes sound clips, videos, news, and biographical information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.rickreese.com/"} +{"d:Title": "Shannon, Tommy", "d:Description": "Official site. Primary bass player for both Johnny Winter and Stevie Ray Vaughan. Features news, photograph album, biography, merchandise, and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.tommyshannon.com/"} +{"d:Title": "York, Steve", "d:Description": "Features biography, discography, photographs, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.steveyork.com/"} +{"d:Title": "Gosselin, Leo", "d:Description": "Master of the two-hand tapping technique on the 12-string Chapman Stick Touchboard, has released five CDs with styles from New Age instrumentals to Celtic ballads to Latin Jazz.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://www.leogosselin.com/"} +{"d:Title": "Donna, Fabio", "d:Description": "Home page of Bass and Chapman Stick player. Includes biography, photographs and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://xoomer.virgilio.it/fdonna/"} +{"d:Title": "Duffy, Uriah", "d:Description": "Bassist in Berkeley, formerly with Whitesnake, currently with Points North and the Uriah Duffy Band.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Bassists", "url": "http://udawggy.wixsite.com/uriah"} +{"d:Title": "TalkBass.com", "d:Description": "Bass guitar and double bass community forums. Gear reviews, expert advice from all corners of the bass world.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Chats_and_Forums", "url": "http://www.talkbass.com/"} +{"d:Title": "ActiveBass.com", "d:Description": "Interactive lessons with tablature and music playback, tab search, tracks, reviews, forums, and learning aids.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Education", "url": "http://www.activebass.com/"} +{"d:Title": "StudyBass.com", "d:Description": "Bass lessons covering theory and technique. Includes audio examples, photographs, video, and printable fretboard diagrams.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Education", "url": "http://www.studybass.com/"} +{"d:Title": "Bass Player Online", "d:Description": "Online magazine featuring articles and tips. Upcoming articles, print copies, and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Magazines_and_E-zines", "url": "http://www.bassplayer.com/"} +{"d:Title": "Bassics", "d:Description": "Magazine for bass players. Back issues, articles, subscription and contributor information, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Magazines_and_E-zines", "url": "http://bassics.com/"} +{"d:Title": "Global Bass Magazine", "d:Description": "Online archive of a magazine for bass players. Includes articles, features and coverwork.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Magazines_and_E-zines", "url": "http://www.globalbass.com/"} +{"d:Title": "BassLab Guitars", "d:Description": "Sleek, custom electric basses and guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.basslab.de/"} +{"d:Title": "Atlansia Basses", "d:Description": "Japanese custom electric art basses; 1 to 6 string models; highly original in design and technology.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.atlansia.jp/"} +{"d:Title": "Pensa", "d:Description": "4 and 5 string bass guitars available with custom electronics.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.pensaguitars.com/"} +{"d:Title": "Citron", "d:Description": "Handcrafted 4 and 5 string electric and acoustic/electric bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.citron-guitars.com/"} +{"d:Title": "Sadowsky Guitars", "d:Description": "Luthier Roger Sadowsky's custom classic 4 and 5 string bolt-on electric basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.sadowsky.com/"} +{"d:Title": "Stevens Guitars", "d:Description": "Solid body electric bass guitars. 4, 5, and 6 string.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.stevensguitars.com/"} +{"d:Title": "Conklin", "d:Description": "Custom 4, 5, 6, 7 and 8 string electric bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.conklinguitars.com/"} +{"d:Title": "Landing Guitars", "d:Description": "Solid body electric bass guitars; product specifications, prices, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.landingbass.com/"} +{"d:Title": "Phantom Guitarworks", "d:Description": "Company builds close versions of the long-discontinued vintage Vox electric bass guitars: the Phantom and Teardrop solid-bodies, and the hollow-body teardrop.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.phantomguitars.com/"} +{"d:Title": "M\u00f8rch Guitars", "d:Description": "Danish handcrafted basses and guitars. Products, artists, ordering information, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.morch-guitars.dk/"} +{"d:Title": "Renaissance Guitars", "d:Description": "Guitars and basses designed by Rick Turner. Detailed specifications, prices, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.renaissanceguitars.com/"} +{"d:Title": "Electric Upright Bass", "d:Description": "Hand-carved basses. Picture and description.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.abasses.com/upright.html"} +{"d:Title": "Messenger Upright Electric Bass", "d:Description": "Designed to make the transition between acoustic and upright electric as natural and rewarding as possible. Specifications, prices, photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://messengerbass.com/"} +{"d:Title": "Johnson's Extremely Strange Musical Instrument Co.", "d:Description": "New and restored Ampeg Scroll basses. Extensive information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.xstrange.com/"} +{"d:Title": "Alembic Basses", "d:Description": "Home of the \"Hippie Sandwich,\" high-end custom builder of laminated, through- and set-neck electric bass guitars with active filtering electronics; also preamps and pickups.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.alembic.com/"} +{"d:Title": "A Basses", "d:Description": "Custom \"basses made by a bass player,\" modeled on the vintage J sound and feel.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.abasses.com/"} +{"d:Title": "Luthiers Access Group", "d:Description": "Builders' collaborative. Luthier and product information, used basses, photo gallery, and sales.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.luthiersaccessgroup.com/"} +{"d:Title": "Ken Smith Basses", "d:Description": "Factory tour, products, dealers, model options, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.kensmithbasses.com/"} +{"d:Title": "Shank's String Instruments", "d:Description": "Pennsylvania-located acoustic, upright, double bass shop. Repair, restoration, sales and service.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.shankstrings.com/"} +{"d:Title": "M.V. Pedulla Guitars, Inc.", "d:Description": "Fretted and fretless basses. Product information, prices, and famous owners.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.pedulla.com/"} +{"d:Title": "Warwick Bass Guitars", "d:Description": "Product line and descriptions, accessories, dealers, and prices. In English and German.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.warwickbass.com/"} +{"d:Title": "Zon Guitars", "d:Description": "Graphite-neck 4, 5, 6, and 8 string electric bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.zonguitars.com/"} +{"d:Title": "Clevinger Electric Double Basses", "d:Description": "Full scale electric upright portable basses. Pictures, sound clips and an article on the evolution of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.clevinger.com/"} +{"d:Title": "Yamaha Guitars and Basses", "d:Description": "Product line information, pictures, dealer search, and sound samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.yamaha.com/guitars/"} +{"d:Title": "Merchant Vertical Bass Company", "d:Description": "Electric upright basses designed to sound like an acoustic model. Bass restoration and conservation.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.merchantbass.com/"} +{"d:Title": "Carl Thompson Basses", "d:Description": "Instrument information and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.ctbasses.com/"} +{"d:Title": "Dammann Basses", "d:Description": "Pictures, product descriptions and details, prices, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.dammannbasses.com/"} +{"d:Title": "Eminence Bass", "d:Description": "Acoustic portable upright bass.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.gelbass.com/"} +{"d:Title": "Concord Group", "d:Description": "Double basses, cellos, cases, and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.concordgroup.com/"} +{"d:Title": "Brubaker Guitars", "d:Description": "Hand crafted 4, 5, and 6 string basses, upright electric, and guitars as well.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.brubakerguitars.com/"} +{"d:Title": "Fodera", "d:Description": "Bass guitars with custom active/passive electronics.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.fodera.com/"} +{"d:Title": "G Gould", "d:Description": "Graphite reinforced neck 4 and 5 string bass guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.ggould.com/"} +{"d:Title": "GR Basses", "d:Description": "P style 4 and 5 string bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.grbasses.com/"} +{"d:Title": "Kubicki", "d:Description": "Ex Factor and Factor 4 bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.kubicki.com/"} +{"d:Title": "Lakland Basses", "d:Description": "4 and 5 five string electric bass guitars, hollow-body and classic bolt-on solid body designs.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.lakland.com/"} +{"d:Title": "Roscoe Bass Guitars", "d:Description": "4, 5, 6 and 7 string electric bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.roscoeguitars.com/"} +{"d:Title": "DP Custom Basses", "d:Description": "Luthier Dave Pushic's economical through-neck 4, 5, and 6 string electric bass guitars; one-on-one custom design.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.dpcustom.com/"} +{"d:Title": "Dingwall Designer Basses", "d:Description": "4, 5, and 6 string Voodoo electric bass guitars featuring the radical Novax fanned-fret system.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.dingwallguitars.com/"} +{"d:Title": "Devon", "d:Description": "Custom four and five string bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.devonguitars.com/"} +{"d:Title": "Spector Basses", "d:Description": "Official site showcases the full line of instruments manufactured by Stuart Spector.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.spectorbass.com/"} +{"d:Title": "Rob Allen Guitars", "d:Description": "Semi-acoustic baritone and bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.roballenguitars.com/"} +{"d:Title": "KYDD Bass", "d:Description": "Bruce Kaminsky's compact electric upright basses: a 30\" scale travel model and a new 35\" model.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.kyddbass.com/"} +{"d:Title": "Overwater", "d:Description": "Bass Guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.overwaterbasses.com/"} +{"d:Title": "Music Man", "d:Description": "Maker of bolt-on 4 and 5 string electric guitars and basses, a line established by Leo Fender.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.music-man.com/"} +{"d:Title": "Michael Tobias Luthier", "d:Description": "Handmade basses: specifications, dealers, artists, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Manufacturers", "url": "http://www.mtdguitars.com/"} +{"d:Title": "Rush Bass Tablature Project", "d:Description": "An archive of Rush bass tabs, arranged alphabetically by album.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Tablature", "url": "http://www.cygnusproductions.com/rtp/bass/bass.asp"} +{"d:Title": "Big Bass Tabs", "d:Description": "A collection of bass tablature categorized by artist. Tab requests and submissions by visitors are welcome.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Tablature", "url": "http://www.bigbasstabs.com/"} +{"d:Title": "Songsterr", "d:Description": "Offers a legally licensed selection of bass tablature arranged alphabetically by artist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bass/Tablature", "url": "http://www.songsterr.com/?inst=bass"} +{"d:Title": "Bouzouki", "d:Description": "Wikipedia article covers the history and development of the instrument in Greek and Irish traditions of the 20th century.", "topic": "Top/Arts/Music/Instruments/Stringed/Bouzouki", "url": "http://en.wikipedia.org/wiki/Bouzouki"} +{"d:Title": "Bouzouki FAQ", "d:Description": "From Hobgoblin music, giving advice on tuning, care and maintenance, and information on makers.", "topic": "Top/Arts/Music/Instruments/Stringed/Bouzouki", "url": "http://www.hobgoblin.com/info/faqbouzouki.htm"} +{"d:Title": "The Fabulous Trio Bel Canto", "d:Description": "A bouzouki trio, now based in the US but playing since 1948; includes their history, discography and performance schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bouzouki/Bands_and_Artists", "url": "http://www.triobelcanto.com/"} +{"d:Title": "Scordilis, Spiros", "d:Description": "A singer and bouzouki player, now retired and resident in the US; his biography, information about the instrument and Greek styles of music, discography, audio samples, and a FAQ for learners.", "topic": "Top/Arts/Music/Instruments/Stringed/Bouzouki/Bands_and_Artists", "url": "http://www.greekmusicus.com/"} +{"d:Title": "Erhu", "d:Description": "Chinese two stringed bowed instrument. Includes description, pictures and MP3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.philmultic.com/home/instruments/erhu.html"} +{"d:Title": "All Things Strings", "d:Description": "Profiles, reviews, news, market updates, discussion forums about bowed instruments, players, and makers. Searchable dealer database.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.stringsmagazine.com/"} +{"d:Title": "The Strad Magazine", "d:Description": "Monthly print magazine for bowed string players, teachers, students, makers and dealers. Read sample contents, browse for books, CDs and posters. Strings business and education directories.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.thestrad.com/"} +{"d:Title": "Maestronet Home Page", "d:Description": "Online magazine about bowed string instruments. Offers stolen instruments registry, database of instruments for sale, dealers, archives and discussion groups.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.maestronet.com/"} +{"d:Title": "Catgut Acoustical Society", "d:Description": "Provides information about the application of scientific principles to the construction of instruments in the violin family. Includes journal, research articles, conference schedules and membership details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.catgutacoustical.org/"} +{"d:Title": "Violinandviola.co.uk", "d:Description": "Contains database of bowed string teachers and performers in the UK, free sheet music downloads, forum and events noticeboard.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.violinandviola.co.uk/"} +{"d:Title": "Violinbridges", "d:Description": "Online photographic archive of bridges from violin instrument family with weights and measurements of bridges displayed.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.violinbridges.co.uk/"} +{"d:Title": "Erhu Music", "d:Description": "By George Gao, instruction videos, music albums, and general information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.ggao.com/"} +{"d:Title": "Fiddle and Alternative Strings Forum", "d:Description": "Lots of submissions of questions and responses about fiddle playing and styles and dealing with acoustic and electric bowed stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "http://www.fiddleforum.com/"} +{"d:Title": "Bowed Strings", "d:Description": "Scientific acoustic descriptions show the physics of bowed string instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings", "url": "https://ccrma.stanford.edu/~jos/smith-nam/Bowed_Strings.html"} +{"d:Title": "Joelle Morton's Historical Bass Website", "d:Description": "Viola da gamba, violone, double bass and performance practices.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass", "url": "http://www.greatbassviol.com/"} +{"d:Title": "International Society of Bassists", "d:Description": "Forum, newsletter, schedule of meetings and conventions, articles, e-mail directory, and links. [English, Spanish, French and German]", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass", "url": "http://www.isbworldoffice.com/"} +{"d:Title": "International Bassist Union", "d:Description": "Discussion group for players of the upright/double bass.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass", "url": "http://launch.groups.yahoo.com/group/bassunion/"} +{"d:Title": "Quarrington, Joel", "d:Description": "Principal double bass player of the Toronto Symphony Orchestra. Includes a weblog and an informative article that discusses tuning, i.e., an alternate tuning (in fifths) and the extension devices that lower pitch sf the lowest string.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass/Bassists", "url": "http://joelquarrington.com/"} +{"d:Title": "Egilsson, Arni", "d:Description": "Icelandic bassist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass/Bassists", "url": "http://www.arnaeus-music.com/"} +{"d:Title": "Nix, Daniel", "d:Description": "Student of Gary Karr currently living in British Columbia, Canada", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass/Bassists", "url": "http://www.danielnix.com/"} +{"d:Title": "NPR : Musicians in Their Own Words: Edgar Meyer", "d:Description": "The award-winning double-bassist and composer describes his music. [Short text page plus audio clip.]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass/Bassists/Meyer,_Edgar", "url": "http://www.npr.org/templates/story/story.php?storyId=1497066"} +{"d:Title": "Edgar Meyer - Topix", "d:Description": "News items continually updated from thousands of sources around the net.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bass/Bassists/Meyer,_Edgar", "url": "http://www.topix.com/who/edgar-meyer"} +{"d:Title": "Bowed Psaltery", "d:Description": "Wikipedia article on the bowed psaltery. Includes history, a general description of the instrument, method of playing, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bowed_Psaltery", "url": "http://en.wikipedia.org/wiki/Bowed_psaltery"} +{"d:Title": "Yahoo! Groups: Bowed Psaltery List", "d:Description": "Discussion group devoted to the bowed psaltery.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bowed_Psaltery", "url": "http://launch.groups.yahoo.com/group/bowedpsaltery/"} +{"d:Title": "Opera Omnia Bowed Psalteries", "d:Description": "Personal details and history of building bowed psalteries from retired luthier Dwight Newton.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bowed_Psaltery", "url": "http://www.oriscus.com/dn/opera/psalt.htm"} +{"d:Title": "A Psimple Psaltery", "d:Description": "Provides detailed instructions on how to make a bowed psaltery.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bowed_Psaltery", "url": "http://www.apsimplepsaltery.com/"} +{"d:Title": "Ringing Strings Bowed Psalteries", "d:Description": "Features playing tips, recordings, and instruments for sale. Private lessons are also available.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bowed_Psaltery", "url": "http://www.bowedpsaltery.com/"} +{"d:Title": "Berg Bows", "d:Description": "High-end custom crafted violin, viola and cello bows. Ships internationally.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bows", "url": "http://www.bergbows.com/"} +{"d:Title": "Coda Bow", "d:Description": "Company that produces graphite fiber bows. Retailer locations, news, reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Bows/Manufacturers", "url": "http://www.codabow.com/"} +{"d:Title": "Internet Cello Society", "d:Description": "Portal offering newsletter, forums, classifieds, scheduled festivals and competitions, links to cellists, instruments for sale, luthiers, stores, and clip art.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello", "url": "http://www.cello.org/"} +{"d:Title": "CelloProfessor.com", "d:Description": "A site devoted to cello technique and cello pedagogy. Short essays and demonstration video clips by Jamie Fiste, Associate Professor of Cello at Central Michigan University, provide thoughtful and informed discussion and instructional material.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello", "url": "http://www.celloprofessor.com/"} +{"d:Title": "Kindler Cello Society of Washington, D.C.", "d:Description": "Nonprofit organization named for Hans Kindler, conductor and founder of the National Symphony Orchestra. Cello news and extensive links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello", "url": "http://kindlercellosociety.weebly.com/"} +{"d:Title": "Bobowitsch, Igor", "d:Description": "Russian cellist. Features biography, repertoire, and downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://igorbobowitsch.de/"} +{"d:Title": "Diezig, Sebastian", "d:Description": "Official site for Swiss concert/recording artist includes audio clips. (English, German, French).", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.sebastiandiezig.com/"} +{"d:Title": "Lorenz, Matthias", "d:Description": "Cellist from Dresden, Germany, with focus on contemporary music. Curriculum vitae, repertoire, current and past concerts, contact information, articles, and links. [English and German]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.matlorenz.de/"} +{"d:Title": "Mueller-Schott, Daniel", "d:Description": "Interview, repertoire, biography, and reviews. In German and English.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.daniel-mueller-schott.com/"} +{"d:Title": "Hochmuth, Reiner", "d:Description": "German cellist. Biography, discography, MP3 samples, and information on his equipment.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.hochmuth.com/"} +{"d:Title": "Cassado, Gaspar", "d:Description": "Brief biography from the International Cello Society.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.cello.org/cnc/cassado.htm"} +{"d:Title": "Harnoy, Ofra", "d:Description": "Biography, sound clip, and interview.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.cello.org/Newsletter/Articles/harnoy.htm"} +{"d:Title": "Feuermann, Emanuel", "d:Description": "Great resource about the premiere cellist of the 20th century and perhaps the greatest cellist ever. Detailed biographical information, performance analysis, and interviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.cello.org/theses/smith/thesis.htm"} +{"d:Title": "Marinescu, Ovidiu", "d:Description": "Romanian-born cellist. Biography, concert calendar, reviews, and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.marinescu.com/"} +{"d:Title": "Meints, Catharinas", "d:Description": "Faculty member at Oberlin. Background, performances, and experience.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.oberlin.edu/con/faculty/meints_catharina.html"} +{"d:Title": "Jones, Michael Kevin", "d:Description": "Includes biography, recordings with audio clips. Also information about the Jones/Maruri cello guitar duo.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.cellojones.com/"} +{"d:Title": "Harrell, Lynn", "d:Description": "\"Conversations with Lynn Harrell\" by Tim Janof for the Internet Cello Society.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.cello.org/Newsletter/Articles/harrell.html"} +{"d:Title": "Harwood, Richard", "d:Description": "Official website includes biography, and concerts.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.richardharwood.com/"} +{"d:Title": "Great Cellists of the Past", "d:Description": "Directory of historic master concert cellists. Biographies and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.cello.org/cnc/cellold.htm"} +{"d:Title": "Georgian, Karine", "d:Description": "Biography, audio and video clips, discography, repertoire, reviews, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.karinegeorgian.com/"} +{"d:Title": "Barston, Amy Sue", "d:Description": "Classical cellist and teacher based in New York City", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://amybarston.com/"} +{"d:Title": "Steven Isserlis - Cellist", "d:Description": "Information about the celebrated concert cellist, including details on recordings, forthcoming concerts and his book. (Need Flash 5 plug-in to view).", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.stevenisserlis.com/"} +{"d:Title": "Linden, Jaap ter", "d:Description": "News, Biographies, Concert schedule, Discography and Background Information about Jaap ter Linden, cellist, gambist and conductor, Managed by Moens Artist Management.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.moens-artists.nl/moens_artists/homeLin.html"} +{"d:Title": "Fukacova, Michaela", "d:Description": "Czech cellist now living in Denmark. Contains biography discography, reviews, repertoire, photos, news, booking information and contact information. Short video and MP3 clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.fukacova.com/"} +{"d:Title": "Shao, Sophie", "d:Description": "Manhattan, USA based cellist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.sophieshao.com/"} +{"d:Title": "Wallfisch, Raphael", "d:Description": "British solo cellist/rcording artist. Bio, discography, reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.raphaelwallfisch.com/"} +{"d:Title": "Wimmer, Harry", "d:Description": "Features a detailed biography, lessons, humor, FAQ, and details of appearances.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.wimmercello.com/"} +{"d:Title": "Brikcius, Franti\u0161ek", "d:Description": "Czech cellist. Includes biography, photographs, repertoire, and projects. [Czech, German, French, Italian and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.brikcius.com/"} +{"d:Title": "Satinsky, Adam", "d:Description": "Principal cellist of the Naples Philharmonic Orchestra in Naples, Florida; performer of orchestral, chamber and solo works for cello. Includes a schedule of upcoming performances, repertoire, photographs, biography and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.adamsatinsky.com/"} +{"d:Title": "Lee, Trey", "d:Description": "International performer. Includes biography, photographs, audio downloads, and announcements.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.trey-lee.com/"} +{"d:Title": "Schlaffer, Oliver", "d:Description": "New York born, Florida-based soloist and member of the New World Symphony Orchestra, and former principal cellist with the Chicago Civic Orchestra. Audio samples, list of concerto and chamber repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://s93713918.onlinehome.us/"} +{"d:Title": "Huber, Matthew", "d:Description": "Includes news, concert schedules, biography, photographs, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.matthewhuber.co.uk/"} +{"d:Title": "Bathgate, Ashley", "d:Description": "The official website of the American cellist includes video clips and personal blog.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.ashleybathgate.net/"} +{"d:Title": "Guti\u00e9rrez Arenas, Adolfo", "d:Description": "German-born concert/recording artist, trained and now based in Spain. Discography, news, andlinks to videos.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists", "url": "http://www.harrisonparrott.com/artist/profile/adolfo-gutierrez-arenas"} +{"d:Title": "Casals, Pablo", "d:Description": "Biographical information, photos, essays and books about the famous Catalonian cellist. Compiled by Marshall C. St. John.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists/Casals,_Pablo", "url": "http://www.cello.org/casals/casals.htm"} +{"d:Title": "Jacqueline du Pr\u00e9", "d:Description": "Biography, articles and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Cello/Cellists/Du_Pr\u00e9,_Jacqueline", "url": "http://www.jose-sanchez-penzo.net/jdupre.html"} +{"d:Title": "Crwth", "d:Description": "An account of the historical roots and development of the Welsh bowed lyre.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Crwth", "url": "http://www.sedayne.co.uk/crwth.html"} +{"d:Title": "Crwth", "d:Description": "Wikipedia article on the bowed lyre, associated particularly with Wales. Includes a history, information on tuning and playing techniques, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Crwth", "url": "http://en.wikipedia.org/wiki/Crwth"} +{"d:Title": "The Welsh Crwth, its History and Genealogy", "d:Description": "A history of the instrument and its development.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Crwth", "url": "http://home.earthlink.net/~llywarch/cth01.html.htm"} +{"d:Title": "Some Observations Regarding Crwth Performance", "d:Description": "Abstract of a paper describing the modern instrument and its history.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Crwth", "url": "http://home.earthlink.net/~llywarch/cth03.html"} +{"d:Title": "Mallion", "d:Description": "Electric violins, violas, cellos, and double basses. Created and designed by Erich Meatleg. History, pictures, artist's statement, and prices listed.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.meatleg.com/"} +{"d:Title": "Violectra", "d:Description": "Features electric violins, cellos, and viola's custom made piezo-electric skeletal frame electric string instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.violectra.co.uk/"} +{"d:Title": "Alter Ego", "d:Description": "Compact electricacoustic string instruments made in Italy.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.alter-ego.it/"} +{"d:Title": "Wood Electric Violins", "d:Description": "Custom built fretless and fretted 4, 5, 6 and 7-string electric violins, violas and cellos designed by violinist Mark Wood.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.woodviolins.com/"} +{"d:Title": "Ruby Instruments", "d:Description": "Maker of a solid body 7 string electrical version of the traditional viola da gamba. Includes sound clips. Purchase of instrument with a bank transfer, or through PayPal payment.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.ruby-gamba.com/"} +{"d:Title": "Guscott Quiet Electric Stringed Instruments", "d:Description": "Australian manufacturer of acoustic electric and non-acoustic electric violins, violas, \"chin cellos\" and double basses. Good detailed descriptions. Sound clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.guscott.com.au/"} +{"d:Title": "Electric Violin Shop", "d:Description": "A wide variety of electric violins, cellos and basses in stock made by a number of electric bowed string instrument makers. Links to makers' sites. Order and pay online.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.electricviolinshop.com/"} +{"d:Title": "Musik Lab", "d:Description": "Manufacturer of a pickup system for stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Electric", "url": "http://www.musiklab.dk/"} +{"d:Title": "Hardanger Fiddle Association of America", "d:Description": "Organization preserving and promoting traditional Norwegian folk music and dance. Membership information, mailing lists, calendar, and FAQ.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Hardanger_Fiddle", "url": "http://www.hfaa.org/"} +{"d:Title": "Twin Cities Hardingfelelag", "d:Description": "A Hardanger fiddle group keeping the tradition alive; information about their activities and contact details. [Minneapolis, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Hardanger_Fiddle", "url": "http://www.tchardingfelelag.org/"} +{"d:Title": "Wulffenstejn Hardanger Fiddle and Mandolin Works", "d:Description": "Luthier firm specializing Norwegian hardanger fiddles offers information about the instrument, how it is made; also offer a repair service, sales of fiddle accessories, and audio clips. [Utah, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Hardanger_Fiddle", "url": "http://www.hardingfele.com/"} +{"d:Title": "Een, Andrea", "d:Description": "Performs on the Hardanger fiddle, and teaches violin and viola; based in Minnesota, US. Includes her biography, discography, performance schedule, audio samples, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Hardanger_Fiddle", "url": "http://www.andreaeen.com/"} +{"d:Title": "Buen, Knut", "d:Description": "A review of the fiddler's CD, \"Hardanger Fiddle Music of Norway\".", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Hardanger_Fiddle", "url": "http://www.mustrad.org.uk/reviews/buen.htm"} +{"d:Title": "Hardingfele", "d:Description": "Otherwise known as the Hardanger fiddle, Norway's national instrument; Wikipedia article describes its construction, tuning, and playing style.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Hardanger_Fiddle", "url": "http://en.wikipedia.org/wiki/Hardanger_fiddle"} +{"d:Title": "Sandro Asinari", "d:Description": "Making and repairing violins, violas, cellos and double basses in Cremona, Italy. In English and Italian.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.asinari.it/"} +{"d:Title": "Fabrizio Portanti", "d:Description": "Maker of violins, violas and cellos. Photos, a brief bio and contact information in Italian and English. (Cremona, Italy)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.portanti.com/"} +{"d:Title": "Urs W. Maechler Master Violin- and Bowmaker", "d:Description": "Cremona-trained maker of violins, violas, cellos and bows, located in Speyer am Rhein, Germany. Bio, instruments and contact information in German and English.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.maechler-violins.com/"} +{"d:Title": "Ternovec, Marco", "d:Description": "Maker of renaissance and baroque viola da gamba, lirone, lira da braccio, and violone. Information on the maker, catalog, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.liuteria-antica.com/"} +{"d:Title": "Thurmond Knight, Violin Maker", "d:Description": "Handmade violins, violas and cellos in Vermont's Northeast Kingdom. Information on the maker, his instruments, and performers.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.violinviolacello.com/"} +{"d:Title": "Voltini, Alessandro", "d:Description": "Luthier. Includes biography, instruments gallery and contact information. (Cremona, Italy)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.voltini.it/"} +{"d:Title": "Wulffenstejn Hardanger Fiddle and Mandolin Works", "d:Description": "Traditional Norwegian hardanger fiddle sales. Information about hardanger fiddles, sound clips. Also, sales of fiddle supplies.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.hardingfele.com/"} +{"d:Title": "Luthier Resources - Leif Louis Luscombe", "d:Description": "Includes 'Violin Making and Restoration Forum', 'Varnish Recipes' and 'Varnish Glossary' pages, along with brief illustrated article about setting soundposts by the violin maker and restorer in Ontario, Canada. Set a Sound Post violin maker and dealer in Ontario, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.violins.on.ca/luthier/"} +{"d:Title": "David Tseng Violin", "d:Description": "Handmade violins. Biography, works in progress, information on acoustics, and instruments for sale. (Winnipeg, Canada)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://violini.tripod.com/"} +{"d:Title": "Gliga Companies", "d:Description": "Maker of stringed instruments, handmade, professional, and student models. Pictures and descriptions. (Reghin, Romania)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.gliga.ro/"} +{"d:Title": "Violadagamba.com", "d:Description": "Charlie Ogle's handmade and imported violas da gamba, viol strings, bows, and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.violadagamba.com/"} +{"d:Title": "James Beatley", "d:Description": "String instrument maker. Service details, prices, and contact information. (Dublin, Ireland)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.beatley.ie/"} +{"d:Title": "Stefano Trabucchi", "d:Description": "Maker of violins, violas and cellos, as well as baroque instruments. Trained and based in Cremona, Italy. In Italian, English and German.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.trabucchi.com/"} +{"d:Title": "Van Zandt, David T.", "d:Description": "Photos of instruments for sale and links to other violin maker resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.vanzandtviolins.com/"} +{"d:Title": "Online String Instrument&Bows Classifieds", "d:Description": "New and used luthier-made violins, violas, cellos, basses, bows, and other musical items for sale online. Repair also available.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.luthier.net/"} +{"d:Title": "Luscombe Violins Inc.", "d:Description": "Leif Luscombe is a violin maker and dealer in Canada, with a large on-line catalog of products for violinists and violin makers.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.violins.ca/"} +{"d:Title": "Violin Makers Masa Inokuchi&Miki Inokuchi", "d:Description": "Makers of modern and Baroque violins, violas, cellos and contra-basses. Also offer sales of student instruments. (Toronto, Canada)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.inokuchiviolin.com/"} +{"d:Title": "Philippe Devanneaux, Violin Maker in Cremona", "d:Description": "Details about violin materials, choosing a violin, maintenance and restoration. In English, Italian and French.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.infoviolin.com/"} +{"d:Title": "Peter Prier and Sons Violins", "d:Description": "Salt Lake City founder of the Violin Making School and the Bow Making School of America. Information on choosing a violin and about appraisals, repairs and restorations.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.prierviolins.com/"} +{"d:Title": "The Violin Collector", "d:Description": "A forum for featured makers and instruments. Includes information about violin consignments and sales.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.theviolincollector.com/"} +{"d:Title": "William Bartruff - Violin Maker", "d:Description": "Reproductions of 17th and 18th century violins, violas and cellos offered for sale online.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.bartruff.com/"} +{"d:Title": "Jacob von der Lippe, Violinmaker", "d:Description": "Maker of violins, violas and cellos, trained in Cremona. (Oslo, Norway)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.vonderlippe.com/"} +{"d:Title": "Joseph Curtain Studios", "d:Description": "Maker of concert violins and violas. Photos and information about the maker and his instruments. (Ann Arbor, Michigan)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.josephcurtinstudios.com/"} +{"d:Title": "Michael Klein - Violin Maker", "d:Description": "Violin, viola and cello maker in Murphy, Oregon. Background and contact info.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.kauffmanwood.com/gallery/klein/"} +{"d:Title": "K.C. Strings Violin Shop", "d:Description": "Violin, viola, cello and contrabass makers. (St. Louis and Springfield Missouri)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.kcstrings.com/"} +{"d:Title": "Proviolins.com", "d:Description": "Jonathan S. Franke, maker of violins, violas and cellos.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.proviolins.com/"} +{"d:Title": "Moroz Violins", "d:Description": "John Paul Moroz, maker of handmade violins, violas and cellos for professionals, talented students and serious amateurs. Contact information, pictures and dealer list.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.morozviolins.com/"} +{"d:Title": "Gert Schrijvers - Violin Making", "d:Description": "Maker and restorer of fine violins, cellos, basses and their bows. Adjustments, rentals, accessories, valuations and insurance. In English, French and Dutch. (Hasselt, Begium)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.belgianviolinshop.com/"} +{"d:Title": "Luthier Patrick Charton", "d:Description": "French Luthier of violins, cellos, violas and double basses. Includes instrument details, maker biography, photos and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://didacool.free.fr/charton/"} +{"d:Title": "Carlo Nicolo Loso", "d:Description": "Maker and dealer of violins, violas, cellos, and basses. (Ottawa, Canada)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.losoviolins.ca/"} +{"d:Title": "Anthony Lane Violin Maker", "d:Description": "Handmade violins, violas and cellos; restoration of fine older instruments; consultation and appraisals. Photos and information about maker's instruments, clients and background. (Petaluma, CA)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.laneviolins.com/"} +{"d:Title": "Gwyneth Wilbur, Luthier", "d:Description": "Outlines steps used to create violins. Portfolio of instruments and resources for violin makers are included. (Elmsville, New Brunswick, Canada)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.gwynethwilbur.ca/"} +{"d:Title": "Andrew Carruthers", "d:Description": "Violinmaker and restorer in the San Francisco Bay area.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.andrewcarruthers.com/"} +{"d:Title": "H.Dodel", "d:Description": "Maker of violins and cellos. (Cremona, Italy)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.dodelviolins.com/"} +{"d:Title": "Helen Michetschl\u00e4ger", "d:Description": "Maker of violins, violas and cellos, from small-sized violins and violas for children to instruments used by professional musicians worldwide. (Manchester, England)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.helenviolinmaker.com/"} +{"d:Title": "The Hexham Violin Shop", "d:Description": "Pre 1920's violins, cellos and violas for sale. Commissions, repairs and restorations. (Hexham. Northumberland, UK)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.hexham-violins.co.uk/"} +{"d:Title": "Anthony Nickolds Violins", "d:Description": "Violin maker, dealer, and restorer. (West Midlands, UK)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.anthonynickoldsviolins.co.uk/"} +{"d:Title": "Tim Phillips Violin Maker", "d:Description": "Violins, octave violins, and 5-string violins and violas. Traditional and modern models, including acoustic/electric violins.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.timsviolins.co.uk/"} +{"d:Title": "Restalls Ltd The Violin Shop", "d:Description": "UK. Makers and restorers of and dealers in violins, violas, cellos, and bows, and retailers of accessories. Includes profile, information on services and instrument care, product catalogues, history, opening times, map, directions, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.restallsviolins.com/"} +{"d:Title": "Oxford Violins", "d:Description": "Makers, dealers, restorers, of violin family string instruments. Instrument information, contact details, and links. Oxford, United Kingdom.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Makers", "url": "http://www.oxfordviolins.com/"} +{"d:Title": "NPR : Mouthbow Music from John Palmes", "d:Description": "Folk musician John Palmes plays everything from Bach to James Brown. What is unusual is his instrument, the mouthbow. Marika Partridge reviews his new CD, \"Mouthbow: Small Voices.\"", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Mouthbow", "url": "http://www.npr.org/templates/story/story.php?storyId=4534703"} +{"d:Title": "How to Play the MouthBow", "d:Description": "Somewhat technical explanation of how to play and why certain actions lead to certain sounds.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Mouthbow", "url": "http://www.jewsharpguild.org/whmo9.html"} +{"d:Title": "European Nyckelharpa Training", "d:Description": "Details of course offerings that deal with a wide variety and various aspects of Nyckelharpa performance, history, etc. available through an impressive European consortium. Training is provided in various Nyckelharpa-related subjects, including, performance, history, related dance, etc.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.nyckelharpa.eu/"} +{"d:Title": "Hedlund, Peter", "d:Description": "Swedish nyckelharpa player. Site contains a biography, discography, and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.peterhedlund.com/"} +{"d:Title": "The American Nyckelharpa Association (ANA)", "d:Description": "Resources, instrument description, history, sound samples, photos, events, and newsletter.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.nyckelharpa.org/"} +{"d:Title": "Early Patches - Nyckelharpa", "d:Description": "Information about the Swedish stringed instrument. Description and MP3.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.sumerauer.de/early_patches/dokumentation/english/nyckelha.htm"} +{"d:Title": "How to Build a Nyckelharpa", "d:Description": "Page by S\u00f6ren \u00c5hkers. Photographs, drawings, description, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.sorenahker.com/"} +{"d:Title": "Francois, Didier", "d:Description": "Features, artist profile, discography, compositions, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.didierfrancois.be/"} +{"d:Title": "Nyckelharpa", "d:Description": "Articles, sales of books, and DVDs of performances and about building and learning to play the traditional Swedish bowed string instrument. DVDs can be purchased using credit cards and PayPal,", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.ritaleydon.com/home.html"} +{"d:Title": "Yahoo! Groups - Nyckelharpa", "d:Description": "A list for all who play or listen to the nyckelharpa. Discuss everything from how to play the nyckelharpa to dates for st\u00e4mmor. Both Swedish and English.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://launch.groups.yahoo.com/group/nyckelharpa/"} +{"d:Title": "The Dissemination of the Nyckelharpa", "d:Description": "Excellent well-cited article that covers a great deal, including the use of the instrument outside of Sweden. Includes instrument history, classifications, and different playing styles.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Nyckelharpa", "url": "http://www.musikforskning.se/stmonline/vol_2/Bost_Tern/index.php?menu=3"} +{"d:Title": "Sangi Rangi", "d:Description": "Provides instrument information and history, player archive, and research article. By Nicolas Magriel, performer, teacher and researcher.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Sarangi", "url": "http://www.sarangi.net/"} +{"d:Title": "The Viola Web Site", "d:Description": "Links, sheet music, mailing lists, events, information about performers, articles, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola", "url": "http://www.viola.com/"} +{"d:Title": "Viola For Children", "d:Description": "Pictures, recordings, links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola", "url": "http://viola4children.tripod.com/"} +{"d:Title": "Primrose International Viola Archive", "d:Description": "Exhaustive resource on Primrose, the viola and its repertoire. Biography, photos, library, archives, sound and video clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola", "url": "http://music.lib.byu.edu/PIVA/"} +{"d:Title": "Braconi, Simonide", "d:Description": "Biography, discography [English and Italian]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.simonidebraconi.it/"} +{"d:Title": "Francesca", "d:Description": "Sound clips, requires Shockwave plugin [English and Italian]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://web.tiscali.it/franc3sca/"} +{"d:Title": "Kam, Ori", "d:Description": "Includes biography, repertoire, concert reviews and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.orikam.com/"} +{"d:Title": "Mallow, Jeanne", "d:Description": "Biography, reviews, performances, discography, contact information, and links to other interesting websites.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.jeannemallow.com/"} +{"d:Title": "Rozanna Music", "d:Description": "Music excerpts, biography, repertoire and reviews", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.rozannamusic.com/"} +{"d:Title": "Zaslav, Bernard", "d:Description": "Biography, discography, reviews, sound clips", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.viola.com/zaslav/"} +{"d:Title": "Paciorkiewicz, Artur", "d:Description": "Viola and viola d'amore player. Biography, repertoire, photo gallery. [English and Polish]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.artur.paciorkiewicz.pl/"} +{"d:Title": "Lyngstad, Sven Olav", "d:Description": "Player of viola and Hardanger fiddle. Biography/CV, engagements. [English and Norwegian]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.solyngstad.no/"} +{"d:Title": "Chiang, Victoria", "d:Description": "Discography, mp3 samples, reviews, articles, by violist, concert/recording artist, and educator.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.victoriachiang.com/"} +{"d:Title": "Ion Voicu International Foundation", "d:Description": "Official web site for Roumanian violist Ion Voicu.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.ionvoicu.org/"} +{"d:Title": "Wilson, Evan", "d:Description": "Biography, recordings, photographs, and playing tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.evanwilson.com/"} +{"d:Title": "Vargas, Luis Enrique", "d:Description": "Chamber musician and member of the \"Euclid Quartet.\" Includes biography and photographs.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.vargasviolist.com/"} +{"d:Title": "Zlatarova, Milena", "d:Description": "Principal violist of Sofia Philharmonic, Bulgaria. Biography, repertoire, links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://milenazlatarova.atspace.com/"} +{"d:Title": "Georgi, Thomas - Viola D'Amore", "d:Description": "Concert and recording artist, member of early music group, Tafelmusik, performs on the Viola D'Amore, precurser of the modern viola.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola/Violists", "url": "http://www.violadamore.com/"} +{"d:Title": "Viola da Gamba Society of America", "d:Description": "Society information and history, membership details, events, publications, recordings, and member links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola_da_Gamba", "url": "http://vdgsa.org/"} +{"d:Title": "Viola da Gamba Society", "d:Description": "UK-based society. Includes information about the society, membership, publications and performers.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola_da_Gamba", "url": "http://www.vdgs.org.uk/"} +{"d:Title": "Perl, Hille", "d:Description": "Biography, projects, CDs, concert information, downloads and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola_da_Gamba/Gambists", "url": "http://www.hillenet.net/"} +{"d:Title": "Pandolfo, Paolo", "d:Description": "Includes a biography, information about recordings, and concert programs.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Viola_da_Gamba/Gambists", "url": "http://www.paolopandolfo.com/"} +{"d:Title": "Violin Acoustics", "d:Description": "Application of acoustical physics to the violin. From the School of Physics, University of New South Wales.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin", "url": "http://www.phys.unsw.edu.au/music/violin/"} +{"d:Title": "Violinist.com", "d:Description": "Audition notices, directory for violinists, links to orchestras and musician unions, online shopping for violinists.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin", "url": "http://www.violinist.com/"} +{"d:Title": "Sheila's Corner", "d:Description": "Resources, bulletin board, performance information, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin", "url": "http://www.sheilascorner.com/"} +{"d:Title": "The Strad", "d:Description": "Violin magazine. Current and archived articles from the print version of the magazine. Subscription information and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin", "url": "http://www.thestrad.com/"} +{"d:Title": "The Violin Site", "d:Description": "Providing history, articles, biographies and techniques for artists, students and teachers. Includes free sheet music and audition tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin", "url": "http://www.theviolinsite.com/"} +{"d:Title": "The Violin Tutor", "d:Description": "Downloadable practice software for beginner violinists and includes instruction for tuning and music theory. This program is not intended as a substitute for a teacher. Trial version offered.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin", "url": "http://www.theviolintutor.com/"} +{"d:Title": "Encyclopedia Smithsonian: Appraisal of Violins", "d:Description": "Source for violin appraisal and related references. Bibliography and information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin", "url": "http://www.si.edu/Encyclopedia_SI/nmah/violappr.htm"} +{"d:Title": "Fiddler's Corner", "d:Description": "Information about caring for your fiddle, profiles of famous fiddlers and related links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling", "url": "http://www.fiddlerscorner.s5.com/"} +{"d:Title": "FiddleFork Online", "d:Description": "Fiddle player website made by fiddle players for fiddle players. Includes a forum, directory of resources, and events listing. [Requires registration]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Chats_and_Forums", "url": "http://fiddlefork.com/"} +{"d:Title": "Fiddle Crazy", "d:Description": "A Yahoo! group for fiddle players.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/FIDDLE_CRAZY/"} +{"d:Title": "Fiddle-L", "d:Description": "A fiddle players' discussion list; includes an archive.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Chats_and_Forums", "url": "http://listserv.brown.edu/archives/fiddle-l.html"} +{"d:Title": "Boston Harbor Scottish Fiddle School", "d:Description": "Annual week-long school in New England to foster playing the Scots fiddle. Online brochure, program, and application details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://scottishfiddle.org/bh/"} +{"d:Title": "Hockley Valley Music Camp", "d:Description": "Annual camp passing on the traditions of the practitioners of all types of Canadian fiddle music. Includes a history, practical details for visitors, and registration information. [Ontario, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.fiddlecamp.ca/"} +{"d:Title": "Sunshine Coast Summer School of Celtic Music", "d:Description": "Annual summer fiddle school; information about program, instructors, and registration. [British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.coaststringfiddlers.com/index.php?page=campinfo"} +{"d:Title": "Rocky Mountain Fiddle Camp", "d:Description": "Summer camp offering instruction in various fiddling styles, and other instruments. Includes information about instructors, program, fees, and facilities. Located in Estes Park, Colorado.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.rmfiddle.com/"} +{"d:Title": "Blazin in Beauly", "d:Description": "A combination of week-long fiddle school and festival. Information about tutors, program, and practical details. [nr Inverness, Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.blazininbeauly.com/"} +{"d:Title": "The Valley of the Moon Scottish Fiddling School", "d:Description": "A week long immersion in Scottish traditional fiddle music; information about program, instructors, facilities and contact details. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.valleyofthemoon.org/"} +{"d:Title": "Fiddlers Retreat", "d:Description": "A school for Traditional Irish Music and Culture for fiddlers and violinists; includes information on program and activities. [County Tipperary, Ireland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.fiddlersretreat.com/"} +{"d:Title": "Kerry Fiddles", "d:Description": "Offer Irish Fiddle holidays; information about tuition, levels, the tutor, local accommodation, and contact details. [Kerry, Ireland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.kerryfiddles.com/"} +{"d:Title": "Ashokan", "d:Description": "Organize a series of themed fiddle and dance camps; information about schedules, instructors, application, and contact details. [New York, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://www.ashokan.org/"} +{"d:Title": "The North Ayrshire Fiddle Workshop", "d:Description": "Club that offers classes in traditional Scottish and general fiddling with class schedules and costs. [South West Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Education", "url": "http://nafw.org/"} +{"d:Title": "Ontario Open Fiddle and Step Dance Contest", "d:Description": "Annual event; includes program, results, history, rules and contact details. [Bobcaygeon, Ontario, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.fiddlecontest.ca/"} +{"d:Title": "Southwestern Ontario Fiddle and Stepdance Contest", "d:Description": "A weekend of fiddling and stepping in the town of Tavistock; program, information for visitors and competitors, and contact details. [Ontario, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www2.cyg.net/~huitema/"} +{"d:Title": "Shetland Accordion and Fiddle Festival", "d:Description": "Brings a wide range of fiddle and accordion musicians to the Shetland Islands. Information about programme, artists and events.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.shetlandaccordionandfiddle.com/"} +{"d:Title": "Shetland Fiddle Frenzy", "d:Description": "Annual fiddle school, and fiddle and cultural festival. Information about program, facilities and booking. [Shetland Islands]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.shetlandfiddlefrenzy.com/"} +{"d:Title": "The Rollo Bay Fiddle Festival", "d:Description": "Annual festival of music and dance; offers their program, archives of past years' events, and contact details. [Prince Edward Island, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.rollobayfiddlefest.ca/"} +{"d:Title": "John Arcand Fiddle Fest", "d:Description": "Annual celebration of music and dance; includes program, competition details, information for visitors, and contacts. [Saskatchewan, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.johnarcandfiddlefest.com/"} +{"d:Title": "Western Open Fiddle", "d:Description": "Annual competition and festival; includes information for entrants and visitors, schedule and contact details. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.westernopenfiddle.com/"} +{"d:Title": "Baltimore Fiddle Fair", "d:Description": "Annual event since 1992 for traditional Irish music and also other styles such as bluegrass and Cajun. History, line-up and ticket reservations. [Ireland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.fiddlefair.com/"} +{"d:Title": "The Scots Fiddle Festival", "d:Description": "Annual fiddle festival in Edinburgh, in November. Programme details for concerts, ceilidhs, recitals, workshops and talks. Also contact and ticket information. [Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://www.scotsfiddlefestival.com/"} +{"d:Title": "Canadian Grand Masters Fiddling Championship", "d:Description": "An annual event to preserve Canadian Old Time Fiddling and recognize fiddlers found across the country. Information about their schedule, publications, membership, news, and a directory of links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Events", "url": "http://canadiangrandmasters.ca/"} +{"d:Title": "Fiddle Artists", "d:Description": "An alphabetic listing of traditional fiddler profiles on World Music Central.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers", "url": "http://worldmusiccentral.org/artists/instrument_index.php?instrument=Fiddle"} +{"d:Title": "Pomianowska, Maria", "d:Description": "A musician, who plays both old Polish and Asian string instruments. Includes her profile, performance diary, description of her instruments, and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers", "url": "http://www.pomianowska.art.pl/"} +{"d:Title": "Angor, Darol", "d:Description": "Bluegrass fiddler, also playing in classical, folk, and jazz idioms; includes his profile, accounts of his many collaborations, concert schedule, audio samples, and his education activities.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Bluegrass", "url": "http://www.darolanger.com/"} +{"d:Title": "Clements, Vassar (1928-2005)", "d:Description": "Official website maintained as a memorial for a versatile fiddle player, who combined bluegrass, country and jazz into his own Hillbilly Jazz. Includes his biography, discography, details of his fiddle, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Bluegrass", "url": "http://www.vassarclements.com/"} +{"d:Title": "Fiddletrax.com", "d:Description": "Offers fiddle and violin tracks for studio recording sessions; information about his service, equipment, and rates.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Country", "url": "http://www.fiddletrax.com/"} +{"d:Title": "Beer, Phil", "d:Description": "Multi instrumentalist, fiddle player and songwriter. Gig guide and information on bands in which he plays.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/English", "url": "http://www.philbeer.co.uk/"} +{"d:Title": "Knight, Peter", "d:Description": "Traditional fiddle player and vocalist (ex-Steeleye Span). Discography, gig dates, accounts of bands in which he has played, photos, and information on recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/English", "url": "http://www.peterknight.net/"} +{"d:Title": "Wyke, Nick; and Driscoll, Becki", "d:Description": "A fiddle duo playing traditional and contemporary music from South West England; profiles, discography, gig list, information about workshops, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/English", "url": "http://www.englishfiddle.com/"} +{"d:Title": "Ian Cutler", "d:Description": "Fiddle player; played on cult film, \"The Wicker Man\". Biography, discography, photos, performances, sound clips, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/English", "url": "http://www.iancutler.com/"} +{"d:Title": "Feast of Fiddles", "d:Description": "An annual tour, where several of the finest fiddle players in the country play gigs with a backing band; details of current schedule, and archive of past events.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/English", "url": "http://www.feastoffiddles.com/"} +{"d:Title": "Cooper, Pete", "d:Description": "Teaches, plays, composes and writes about fiddle music; information about his gigs, projects, workshops, biography and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/English", "url": "http://www.petecooper.com/"} +{"d:Title": "McConville, Tom", "d:Description": "Professional folk fiddler and singer from Newcastle-upon-Tyne, England. Tour dates, biography, reviews, audio clips, discography, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/English", "url": "http://www.tommcconville.co.uk/"} +{"d:Title": "Carroll, Liz", "d:Description": "Irish fiddle player. Include a biography, discography, audio samples, reviews, and events schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://www.lizcarroll.com/"} +{"d:Title": "Reavy, Joe", "d:Description": "Son of famous Irish traditional composer and fiddler Ed Reavy, also a fiddler who sets classic Irish poetry to music. Profile and details of recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://reavymusic.com/"} +{"d:Title": "Kelly, James", "d:Description": "Irish traditional fiddler; includes a profile, gig and tour dates, and information on recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://www.jameskellymusic.com/"} +{"d:Title": "Conway, Brian", "d:Description": "New York-based Sligo-style fiddler playing traditional Irish tunes. Biography, album and song information, and calendar.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://www.brianconway.com/"} +{"d:Title": "Burke, Kevin", "d:Description": "Irish fiddler, formerly of Bothy Band and Patrick Street, presents forthcoming shows, concert notes, lessons, discography, biography, equipment, music samples and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://www.kevinburke.com/"} +{"d:Title": "O'Connor, Gerry", "d:Description": "Traditional fiddle player presents his biography, tour calendar, discography, photos and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://www.gerryoconnor.net/"} +{"d:Title": "O Raghallaigh, Caoimhin", "d:Description": "Traditional Irish fiddler offers his biography, discography, news, audio samples, and his various projects.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://www.stateofchassis.com/"} +{"d:Title": "Hayes, Martin", "d:Description": "Official web site for Irish fiddle virtuoso, who is now performing with American guitarist Dennis Cahill. Includes biographies, touring schedule, and album information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Irish", "url": "http://www.martinhayes.com/"} +{"d:Title": "Svigals, Alicia", "d:Description": "Violinist, composer, and founder of the Klezmatics and of the all-women band Mikveh; includes her biography, albums, news, show list, and background on Klezmer fiddle.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Klezmer", "url": "http://www.aliciasvigals.com/"} +{"d:Title": "Solomon, Sophie", "d:Description": "Official site of a British-born violinist playing classical music, and a fusion of Russian, Klezmer, East European and Gypsystyles; biography, gig list, discography, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Klezmer/Solomon,_Sophie", "url": "http://www.sophiesolomon.com/"} +{"d:Title": "World on Your Street - Musicians' Stories", "d:Description": "Sophie Solomon describes her musical development and heritage for BBC Radio 3.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Klezmer/Solomon,_Sophie", "url": "http://www.bbc.co.uk/radio3/world/onyourstreet/mssophie1.shtml"} +{"d:Title": "Roy, Donny", "d:Description": "Franco-American fiddler and craftsman luthier. Information about his playing career, with a discography; also information about his violin-making. [Maine, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American", "url": "http://www.donroyonline.com/"} +{"d:Title": "McCamy, Ian", "d:Description": "New York-born fiddler playing music from his Scots and Irish heritage; biography, gig listing, discography, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American", "url": "http://www.ianmccamy.com/"} +{"d:Title": "Molsky, Bruce", "d:Description": "Most identified with traditional American old-time music, but master of many others; profile, discography, booking information, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American", "url": "http://www.brucemolsky.com/"} +{"d:Title": "Davenport, Clyde", "d:Description": "Biography of an old-time fiddler and banjo player, born 1921, and raised on the Cumberland Plateau, south-central Kentucky. Includes some of his tunes.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Appalachian", "url": "http://www.stg.brown.edu/projects/davenport/CLYDE_DAVENPORT.html"} +{"d:Title": "Jarrel, Tommy (1901-1985)", "d:Description": "A memorial to the old-time fiddler, banjo player, and singer from the North Carolina Appalachians.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Appalachian", "url": "http://www.well.com/user/dmsml/tommy.html"} +{"d:Title": "Reed Island Rounders", "d:Description": "Offer old-time fiddle and banjo music from the Appalachians. Profiles, discography, gig guide, information about workshops, and booking details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Appalachian", "url": "http://www.reedisland.com/RIR/rounders.htm"} +{"d:Title": "Stamper, Art", "d:Description": "Plays and teaches the Appalachian fiddle style he learned from his father, Hiram, in turn learned from old-time fiddlers years earlier. Biography, discography, audio and video clips, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Appalachian", "url": "http://www.artstamper.com/"} +{"d:Title": "Cape Cod Fiddlers", "d:Description": "Ensemble playing tunes from the Irish, Scottish, Shetland Isles, Scandinavian, Cape Breton, French Canadian, and American fiddle traditions; performance schedule, photos, recordings, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/New_England", "url": "http://capecodfiddlers.com/"} +{"d:Title": "Hardy, Billy", "d:Description": "Fiddle player based in Cape Cod, Massachusetts. Includes a schedule, contact information, news, streaming audio, related links, and an automated slideshow.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/New_England", "url": "http://www.billyhardy.com/"} +{"d:Title": "Laufman, Jacqueline and Dudley", "d:Description": "Known as Two Fiddles, and play traditional New England barn dancing music for dances and events. Fiddle and caller lessons available. Appearance schedule, booking information, reviews, and teacher resource guide.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/New_England", "url": "http://www.laufman.org/"} +{"d:Title": "Franklin, Larry", "d:Description": "Biography, discography, reviews and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Western", "url": "http://www.larry-franklin.com/"} +{"d:Title": "Gimble, Johnny", "d:Description": "Fiddler known for his Western Swing style. Includes discography, press cuttings, and schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Western", "url": "http://www.johnnygimble.com/"} +{"d:Title": "Morris, Dale and Tobi", "d:Description": "Texan fiddlers offer their profiles, discography, and details of their teaching activities (including distance learning).", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Western", "url": "http://www.texasfiddlemusic.com/"} +{"d:Title": "The Quebe Sisters Band", "d:Description": "A trio of sisters playing a variety of traditional styles, especially western swing, jazz and swing standards, vintage country and bluegrass. Includes profile, presskit, schedule, disography, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Western", "url": "http://www.quebesistersband.com/"} +{"d:Title": "Daring, Chris", "d:Description": "1996 National Adult Fiddling Champion. Texas Style Fiddling instruction done over the internet, performance, CDs and books.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_American/Western", "url": "http://www.texasfiddle.pro/"} +{"d:Title": "Trottiers, Kelli", "d:Description": "Canadian fiddle player and vocalist. Biography, photo album, and tour dates. [Ontario]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian", "url": "http://www.kellitrottier.com/"} +{"d:Title": "Grobb, Mitchell", "d:Description": "Award-winning fiddler touring widely; includes his biography, discography, show schedule, and audio samples. [Alberta]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian", "url": "http://www.mitchellgrobb.com/"} +{"d:Title": "Lederman, Anne", "d:Description": "Canadian fiddler (in a range of styles), composer, and singer, who performa solo and with a band; profile, discography, and performance schedule. [Manitoba]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian", "url": "http://www.annelederman.com/"} +{"d:Title": "Sullivan, Mark", "d:Description": "Award winning competitive fiddler on the Canadian contest circuit; biography, schedule, audio samples, press kit, and contact details. [Ontario]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian", "url": "http://www.marksullivan.ca/"} +{"d:Title": "Verch, April", "d:Description": "Biography, photos, and news of the fiddler and songwriter. Includes tour dates, reviews, diary, discography, audio clips, and contacts. [Ontario]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian", "url": "http://www.aprilverch.com/"} +{"d:Title": "Cook, Shane", "d:Description": "Plays several fiddle styles including Irish, Scottish, French-Canadian, Texan, and Bluegrass, and Canadian and US Fiddle Champion winner. Biography, discography, performance schedule, and press kit.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian", "url": "http://www.odyssey.on.ca/~shanecook/"} +{"d:Title": "MacIsaac, Wendy", "d:Description": "A fiddler, piano player, and stepdancer from Inverness county, Nova Scotia. Biography, discography, audio samples, and touring schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.wendymacisaac.com/"} +{"d:Title": "Dunn, Jackie", "d:Description": "Fiddler from Lower South River, Nova Scotia. Includes a biography, discography, photos, articles, tour dates and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.islandviewcreations.com/jackiedunn/"} +{"d:Title": "Ambassadors of Cape Breton Fiddling", "d:Description": "An overview of the style, with profiles of prominent exponents.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.sfcelticmusic.com/Capebret/cbfiddlr.htm"} +{"d:Title": "Cape Breton Fiddling", "d:Description": "Overview from Wikipedia of the area's traditional fiddling, composers, players, and repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://en.wikipedia.org/wiki/Cape_Breton_fiddling"} +{"d:Title": "CBFiddle.com", "d:Description": "An online index of Cape Breton fiddle recordings, searchable by artist and album; also offers a collection of tunes in notation and midi formats.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.cbfiddle.com/"} +{"d:Title": "Holland, Jerry", "d:Description": "Fiddler and composer. Presents a biography, discography, tunes, guest book and touring schedule. Lists contact information for fans, bookings and catalogue requests.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.jerryholland.com/"} +{"d:Title": "MacGillivray, Kendra", "d:Description": "Plays the traditional fiddling style from Eastern Nova Scotia; also a composer. Includes a profile, discography, awards, schedule, news, details of her teaching, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.kendramacgillivray.com/"} +{"d:Title": "MacMaster, Buddy", "d:Description": "Biography of an artist recognized as a leading exponent of the Cape Breton Celtic fiddle style, and uncle of Natalie Macmaster.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.atlanticartists.com/buddy/buddybio.html"} +{"d:Title": "MacIntyre, Sandy", "d:Description": "Prominent player and teacher of Cape Breton fiddling; also a composer; includes biography, gig and workshop schedule, and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.sandymacintyre.com/"} +{"d:Title": "Cape Breton Fiddlers' Association", "d:Description": "Formed to preserve and develop the area's traditional music, derived from its Scottish heritage. Includes a history, details of events and performances, newsletter, audio samples, and merchandise.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Cape_Breton", "url": "http://www.capebretonfiddlers.com/"} +{"d:Title": "Dupuis, Dominique", "d:Description": "Fiddler, native of Memramcook, New Brunswick, and performing worldwide in Celtic festivals and concerts. Biography, discography, audio samples, schedule, and contact details. [French and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/French_Canadian", "url": "http://dominiquedupuis.com/"} +{"d:Title": "Robichaud, Samantha", "d:Description": "Maritime area fiddler with Acadian roots. Biography, training, awards, events, and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/French_Canadian", "url": "http://www.samantharobichaud.ca/"} +{"d:Title": "M\u00e9th\u00e9, Claude", "d:Description": "A self-taught fiddler and singer, performing traditional Qu\u00e9b\u00e9cois songs and music, influential in the rebirth of \"folklorique\" music in the area. Biography, discography and schedule. [Quebec, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/French_Canadian", "url": "http://www.claudemethe.com/"} +{"d:Title": "Johnstone, Roy", "d:Description": "Prominent in the musical community of East Coast Canada; includes his biography, news, discography, and gig-list.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Maritime", "url": "http://www.royjohnstone.com/"} +{"d:Title": "MacInnis, Billy", "d:Description": "A third generation Prince Edward Island fiddler; biography,discography, gig-guide, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Maritime", "url": "http://www.billymacinnis.homestead.com/"} +{"d:Title": "MacLeod, Cynthia", "d:Description": "Prince Edward Island fiddler offers her performance schedule, discography, biography and details of her teaching.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Maritime", "url": "http://cynthiamacleod.com/"} +{"d:Title": "Messer, Don (1909-1973)", "d:Description": "Wikipedia profile of the New Brunswick fiddler and folk icon of the 1960s.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Maritime", "url": "http://en.wikipedia.org/wiki/Donald_Charles_Frederick_Messer"} +{"d:Title": "Ross, Keith", "d:Description": "Fiddler presents his profile, discography and teaching activities.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/Maritime", "url": "http://www.keithross.net/"} +{"d:Title": "Arcand, John", "d:Description": "Fiddle player from Saskatchewan, Canada, offers personal biography, contact details and product information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/M\u00e9tis", "url": "http://www.johnarcand.com/"} +{"d:Title": "Vollrath, Calvin", "d:Description": "Fiddler, composer and teacher; includes his biography, tour dates, discography, audio samples, and contact details. [Alberta, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/M\u00e9tis", "url": "http://www.calvinvollrath.com/"} +{"d:Title": "How the Fiddle Flows", "d:Description": "Review of a film by M\u00e9tis filmmaker, Gregory Coyes, about the history, development, and Renaissance of Metis fiddling and stepdancing.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Old_Time_-_Canadian/M\u00e9tis", "url": "http://www.rambles.net/how_fiddle02.html"} +{"d:Title": "Fraser, Alasdair", "d:Description": "Profile, discography, tour dates, reviews, newsletters and booking information. Also includes information about his fiddle camps and schools in the US and Scotland.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.alasdairfraser.com/"} +{"d:Title": "Scottish Fiddlers", "d:Description": "Listing of Scottish and Shetland fiddlers, with profiles and discographies.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.nigelgatherer.com/perf/fiddl.html"} +{"d:Title": "Aberdeen Strathspey and Reel Society", "d:Description": "Information about concerts and practices, with details of their restoration of the J Scott Skinner memorial. [Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.aberdeenstrathspeyandreel.co.uk/"} +{"d:Title": "Banchory Strathspey and Reel Society", "d:Description": "Society formed in the birthplace of the legendary James Scott Skinner; information about their history, recordings, concerts and annual festival. [Aberdeenshire, Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.banchoryfiddlers.co.uk/"} +{"d:Title": "Peninsula Scottish Fiddlers", "d:Description": "A California-based group of Scottish fiddlers; details of their gigs, profiles, and contact details. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.thefiddlers.com/"} +{"d:Title": "San Francisco Scottish Fiddlers", "d:Description": "Group playing traditional Scottish fiddle music, directed by Alasdair Fraser. Information about meetings, performances, activities, and background on the tradition. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.sfscottishfiddlers.org/"} +{"d:Title": "Scottish Fiddle Orchestra", "d:Description": "A traditional music ensemble, with origins in Fiddlers' Rallies. Details the history of the orchestra along with its forthcoming concerts. Information on the personnel and recordings also included.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.sfo.org.uk/"} +{"d:Title": "Strathspey and Reel Society of New Hampshire", "d:Description": "Devoted to learning and performing Scottish music. Information about membership, performances, activities, newsletters, and contact details. [New Hampshire, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.srsnh.org/"} +{"d:Title": "Grant, Aonghas", "d:Description": "A West Highland fiddler, well known for playing left-handed. Includes his biography, and details of his tune collection.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://scottishfiddle.org/angusgrant/"} +{"d:Title": "Baker, Elke", "d:Description": "Profile of the 1995 US National Scottish Fiddle Champion, her performance and recordings. Includes details of the Potomac Valley Scottish Fiddle Club. Located in Glenelg, Maryland, USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.elkebaker.com/"} +{"d:Title": "Fiddles and Fiddlers", "d:Description": "Biographies of fiddlers of North East Scotland, with audio samples of modern players playing their tunes. [from J. Murdoch Henderson\u2019s \u201cFlowers of Scottish Melody\u201d, 1935]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.nefa.net/archive/songmusicdance/fiddles/musicians.htm"} +{"d:Title": "Gordon Gunn Band, The", "d:Description": "A three piece band from Orkney and Wick. Includes biographies, reviews, album information, MP3s, and gig-listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.gordongunn.co.uk/"} +{"d:Title": "Chisholm, Duncan", "d:Description": "Inverness-based traditional fiddler; includes biography, gig and tour dates, press coverage, and contact.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://www.duncanchisholm.co.uk/"} +{"d:Title": "Ythan Fiddlers", "d:Description": "A group preserving and promoting Scottish traditional music to the younger generations; information about membership, practices, and events, with contact details. [Aberdeenshire, Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://rdacky.net/"} +{"d:Title": "Border Strathspey and Reel Society", "d:Description": "Dedicated to keeping traditional Scottish music alive. Lists group information, news, concerts, members and associated links. [Langholm, Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish", "url": "http://borderstrathspey.photium.com/"} +{"d:Title": "Gow, Niel", "d:Description": "A selection of Gow's tunes in gif and midi formats; also offers a tunebook to download in PDF format.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Gow,_Niel", "url": "http://tonyupton.tripod.com/gow.html"} +{"d:Title": "Gow, Niel", "d:Description": "Hyperlinked profile of the fiddler. [Wikipedia]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Gow,_Niel", "url": "http://en.wikipedia.org/wiki/Niel_Gow"} +{"d:Title": "Gow, Niel (1727-1807)", "d:Description": "A biography of the fiddler, to whom there is a memorial in Dunkeld Cathedral.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Gow,_Niel", "url": "http://www.folkmusic.net/htmfiles/inart441.htm"} +{"d:Title": "Niel Gow's Oak", "d:Description": "According to local folklore, many of Niel Gow's tunes were composed and played under this tree, near which he lived. Visitor information. [Dunkeld, Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Gow,_Niel", "url": "http://perthshirebigtreecountry.co.uk/index.asp?llm=20&check=1&Flash=2"} +{"d:Title": "Bain, Aly and Cunningham, Phil", "d:Description": "Biography of a fiddler who has led the revival ofShetland fiddle playing, and his accordionist collaborator. Includes biographies, tour calendar, news, and press and media files.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Shetland", "url": "http://www.philandaly.com/"} +{"d:Title": "Henderson, Kevin", "d:Description": "Biography, tour and gig-guide, discography, reviews and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Shetland", "url": "http://www.kevinhenderson.co.uk/"} +{"d:Title": "The Music of James Scott Skinner", "d:Description": "Information about a collection of manuscript and printed materials, images, videos and archive audio clips, covering the life, music and influence of \"The Strathspey King\". [University of Aberdeen]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Skinner,_James_Scott", "url": "http://www.abdn.ac.uk/scottskinner/"} +{"d:Title": "Skinner, James Scott (1843-1927)", "d:Description": "An article reflecting on the life, music and influence of one of Scotland's greatest fiddle composers.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Fiddlers/Scottish/Skinner,_James_Scott", "url": "http://www.mustrad.org.uk/articles/skinner.htm"} +{"d:Title": "Fiddler Magazine", "d:Description": "A resource for folk/country fiddlers. Includes subscription information, upcoming events, announcements and advertising information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Magazines_and_E-zines", "url": "http://www.fiddle.com/"} +{"d:Title": "Santa Clara Valley Fiddlers' Association", "d:Description": "Non-profit organization dedicated to preserving old time, bluegrass and gospel music as played on the fiddle and other acoustic stringed instruments. Includes event calendar and membership information. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.scvfa.org/"} +{"d:Title": "Association of North Country Fiddlers, Inc.", "d:Description": "Promoting and preserving country, acoustic and old-time fiddle music. Includes organization overview, events, links, and musical classified ads. [New York, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.fiddlers.org/"} +{"d:Title": "Montana State Old-Time Fiddlers Association", "d:Description": "Supports and develops fiddling in the state; information about their camp, competition, and membership.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.montanafiddlers.org/"} +{"d:Title": "California State Old Time Fiddlers' Association, District 9", "d:Description": "Information about membership, news, activities and events.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.csotfa9.org/"} +{"d:Title": "Washington Old Time Fiddlers' Association", "d:Description": "Founded to preserve and promote old time fiddling and related arts in the state; information about organization, activities, membership, newsletter and publications. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.wotfa.org/"} +{"d:Title": "Wyoming Old Time Fiddle Association", "d:Description": "Information about contests and festivals, membership, and local fiddling news. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://wyomingfiddle.org/"} +{"d:Title": "Northeast Fiddlers Association", "d:Description": "Information about fiddling in Vermont; schedule and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.nefiddlers.org/"} +{"d:Title": "Fiddlers of the Genesee", "d:Description": "Devoted to the preservation of the \"old-time\" traditional music of the Genesee Valley in upstate New York; details of performances, jam sessions, and membership.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.fiddlersofthegenesee.org/"} +{"d:Title": "Coast String Fiddlers Association", "d:Description": "A group of musicians in Roberts Creek, supporting fiddle playing in the area; details of performances, fiddle camp, newsletter, and contact. [British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.coaststringfiddlers.com/"} +{"d:Title": "Wild Rose Old Tyme Fiddlers' Association", "d:Description": "Exists to encourage, foster and develop an appreciation of Old Tyme Fiddle Music in and around Edmonton. Information about membership, contests, and for contact. [Alberta, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.wildrosefiddlers.org/"} +{"d:Title": "The Vankleek Hill Fiddle and Dance Association", "d:Description": "Information about membership, events, and activities. [Ontario]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.hawk.igs.net/~fiddleanddance/"} +{"d:Title": "Original Michigan Fiddlers' Association", "d:Description": "Fosters and encourages old-time fiddling in the state; includes a history, jamboree schedule, news, and membership details. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.onagocag.com/omfa/"} +{"d:Title": "Florida State Fiddlers Association", "d:Description": "Hold a yearly convention and fiddle contest, and encourage communication among fiddlers. Membership, news and contact details. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.nettally.com/fiddler/"} +{"d:Title": "New York State Old Tyme Fiddlers' Association", "d:Description": "Information about events, membership, and their chapters in different parts of the state. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.nysotfa.homestead.com/"} +{"d:Title": "The Friends Of American Old-Time Music and Dance", "d:Description": "Run an annual old time festival, spring and summer camps and instructional workshops; details of their newsletter, downloadable resources, membership and merchandise. [United Kingdom]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.foaotmad.org.uk/"} +{"d:Title": "Southern Wisconsin Old Time Fiddlers' Association", "d:Description": "Plays \"old time\" dance music. Details of activities, membership, and venues. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.ragtime88.com/swotfa/"} +{"d:Title": "Colorado Old Time Fiddlers' Association", "d:Description": "Formed to promote Texas style fiddling; sponsors the Colorado Rocky Mountain Fiddle Championships. Information about organization, membership, activities and events. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.coloradofiddlers.org/"} +{"d:Title": "Fiddle-icious", "d:Description": "A diverse group of fiddlers preserving the tunes and musical heritage of Maine, passed on from their Scottish, Irish, Quebecois, and Acadian ancestors. Information about membership, rehearsals, concerts, and downloadable tunes. [Maine, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.fiddleicious.com/"} +{"d:Title": "Oregon Old Time Fiddlers Association", "d:Description": "A history, with information about membership, branch network, and an events calendar. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://www.oregonoldtimefiddling.org/"} +{"d:Title": "Utah Old Time Fiddlers", "d:Description": "Promotes and perpetuates old-time fiddling and country music. Membership information, gig schedule and announcements.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations", "url": "http://utaholdtimefiddlers.net/"} +{"d:Title": "Maritime Fiddlers Association", "d:Description": "Society of fiddlers committed to promoting and preserving old time fiddling. Information about membership, newsletter, and fiddling events in the Canadian Maritimes.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations/Maritime", "url": "http://www.maritimefiddler.ca/"} +{"d:Title": "Prince Edward Island Fiddlers Society", "d:Description": "Set up to preserve and develop one of the oldest and most vibrant traditional fiddling cultures in North America. Information about their heritage, membership, events and festival, newsletter and contact details. [Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations/Maritime", "url": "http://web.295.ca/~peifiddlers/"} +{"d:Title": "Boston Scottish Fiddle Club", "d:Description": "Dedicated to the Scottish fiddle music tradition; details of membership, meetings, instruction, and contact details. [Maryland, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Organizations/Scottish", "url": "http://bsfc.org/"} +{"d:Title": "Definitions Of Old Time Fiddle Styles", "d:Description": "A listing and brief introduction to old time fiddle styles.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Styles", "url": "http://www.traditionalmusic.co.uk/sfo/Instruments/fiddlestyles.htm"} +{"d:Title": "Fiddling around the World", "d:Description": "A comparison of traditional fiddle styles from all around the world, with descriptions of instruments, playing styles, types of tune, history of the style, and most influential players.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Styles", "url": "http://www.fiddlingaround.co.uk/"} +{"d:Title": "Instrumental Folk Music of Quebec", "d:Description": "Article offering an overview of the history and repertoire of fiddle music and folk dance in Quebec.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Styles", "url": "http://cjtm.icaap.org/content/10/v10art2.html"} +{"d:Title": "Old Indian and Metis Fiddling in Manitoba", "d:Description": "An ethnomusicology paper tracking the roots of the style, and analysing elements particular to M\u00e9tis fiddle-playing. [Canadian Journal for Traditional Music, 1991]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Styles/M\u00e9tis", "url": "http://cjtm.icaap.org/content/19/v19art7.html"} +{"d:Title": "The Session", "d:Description": "ABCs, discussions, and recording index for listed tunes, mostly traditional Irish music. Site contains thousands of tunes in a searchable database. Create your own personal tune book.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.thesession.org/"} +{"d:Title": "Ceolas: The Fiddler's Companion", "d:Description": "An online database of over 50,000 fiddle tunes, in abc format, from the Celtic, British and American traditions, by Andrew Kuntz.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.ceolas.org/tunes/fc/"} +{"d:Title": "Hetzler's Fakebook", "d:Description": "A collection of over 500 Celtic and old-time fiddle tunes in midi format for people learning fiddle or other instruments; includes historical notes and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://hetzler.homestead.com/"} +{"d:Title": "Allan's Irish Fiddler", "d:Description": "A collection of tunes in a range of formats, and notation, transcribed from the book by Hugh McDermott.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.oldmusicproject.com/allans.html"} +{"d:Title": "Stephen Klein's Fiddle Web Page", "d:Description": "Offers profiles of well-known Cape Breton fiddlers, and a collection of fiddle tunes (in abc format).", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://members.tripod.com/~Rosin_the_bow/index-2.html"} +{"d:Title": "Ed Haley Fiddle Tune Transcriptions", "d:Description": "Some transcriptions from the fiddle playing of Ed Haley, in standard notation.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://home.hiwaay.net/~eabaggot/EdHaley/haley.htm"} +{"d:Title": "Sample Tunes", "d:Description": "A substantial collection of fiddle tunes mainly from the traditions and players of Cape Breton; in notation (gif format) and/or abc formats.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.cranfordpub.com/tunes/sample_tunes.htm"} +{"d:Title": "Fiddle Tunes From The American Revolution", "d:Description": "A collection of fiddle tunes from the American Revolution period in MIDI music format, from the personal notebook of a certain Captain George Bush, officer in George Washington's army.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.halcyondaysmusic.com/colonialmusic/"} +{"d:Title": "The Fiddler's Companion", "d:Description": "Andrew Kuntz's descriptive index of North American and British Isles Music for the fiddle. Includes tunes in abc format, historical notes, biographies of fiddlers, and a collection of articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.ibiblio.org/fiddlers/"} +{"d:Title": "Fiddle Tunes of the Old Frontier", "d:Description": "The Henry Reed Collection (Library of Congress); a multi-format online field collection of traditional fiddle tunes recorded in 1966-67. Includes an essay about Reed's life, art, and influence.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://memory.loc.gov/ammem/collections/reed/"} +{"d:Title": "Highland Music Trust", "d:Description": "Charitable trust established to encourage Scottish national and traditional music, and reprint collections of fiddle tunes; includes ordering instructions.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.heallan.com/"} +{"d:Title": "The John Murdoch Henderson Collection", "d:Description": "Information about a collection of fiddle and bagpipe music entrusted to the North East Folklore Archive; includes an introduction, catalog, biography, excerpts from Henderson's writings, and archive audio samples. [Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://sites.scran.ac.uk/jmhenderson/"} +{"d:Title": "Gow, Niel", "d:Description": "A selection of Niel Gow's tunes in gif and midi formats; also offers a tunebook to download in PDF format.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://tonyupton.tripod.com/gow.html"} +{"d:Title": "Northumbrian, Tyneside and other Traditional Tunes for the Fiddle", "d:Description": "Offers a collection of fiddle tunes in notation (gif format), and information about ordering his book.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Fiddling/Tune_Collections", "url": "http://www.peterloud.co.uk/tunebook/"} +{"d:Title": "Consortium of Violin and Bow Makers", "d:Description": "Listing of over seventy Cremonese violin makers. In Italian and English.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.cremonaliuteria.it/"} +{"d:Title": "Gusset, David", "d:Description": "Provides a portfolio, awards, history, design and varnish secrets. Also includes information on purchasing and appraisals.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.gussetviolins.com/"} +{"d:Title": "Southern California Association of Violin Makers", "d:Description": "Nonprofit organization in San Diego devoted to advancing the art of violin making. Includes meeting and membership information as well as resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.scavm.com/"} +{"d:Title": "Violin Making", "d:Description": "Books and tapes available from H.S. Wake Publishing.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.violinmaking.com/"} +{"d:Title": "Rampini, Claudio", "d:Description": "Includes photos of violins, biography and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.claudiorampini.com/"} +{"d:Title": "Gregory J. Eckes", "d:Description": "Violin and viola maker. (Visalia, California)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.eckesviolins.com/"} +{"d:Title": "Importuno Liutaio", "d:Description": "Violin and bow maker. Includes virtual tour, product photos and description, prices and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.netace.com/importuno"} +{"d:Title": "Arturo Virgoletti, Violinmaker", "d:Description": "Italian craftsman associated with Francesco Bissolotti sells instruments in Italy, Japan, the US and Canada. Offers detail photos. In English, Italian, French, German and Spanish.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.arturovirgoletti.it/"} +{"d:Title": "Andrius J. Faruolo", "d:Description": "New York based concert violin maker. Provides family history, work process, testimonials and experience.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.andriusviolins.com/"} +{"d:Title": "Violin Making: A Guide for the Amateur", "d:Description": "A book, available online, intended to help the beginning violin maker. Includes illustrations and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.violin-making.com/"} +{"d:Title": "Howard Needham Violins", "d:Description": "Includes biography, gallery, and commissioning information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.howardneedham.com/"} +{"d:Title": "Baxters Database of Violin&Bow Makers", "d:Description": "A CDRom Database for PCs - listing over 20,000 Violin&Bow Makers. Names, Dates, Places, and where available, fathers, teachers, values, and comments", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers", "url": "http://www.violindata.com/web401.htm"} +{"d:Title": "Heys", "d:Description": "Manufacturer of four-string and five-string electric violins with single piezo, Bowtronics PU and Zeta Midi Bridge Pickup options.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers/Electric_Violins", "url": "http://www.heys.com/"} +{"d:Title": "Ted Brewer Violins", "d:Description": "Maker of acrylic electric violins.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers/Electric_Violins", "url": "http://www.tedbrewerviolins.com/"} +{"d:Title": "DBCV Electric Violins", "d:Description": "Offers custom electric violins by David Burham; includes history of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers/Electric_Violins", "url": "http://www.dbcv.com/"} +{"d:Title": "Five String Acoustic Electric Violins", "d:Description": "Violins with two pickups per string and mini volume/tone control in tailpiece. Pictures and description.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers/Electric_Violins", "url": "http://www.barberatransducers.com/Electric_Violins.htm"} +{"d:Title": "Electric Violin Lutherie", "d:Description": "Makers and sellers of four and five string electric and acoustic electric violins.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Makers/Electric_Violins", "url": "http://www.electricviolinlutherie.com/"} +{"d:Title": "Ragsdale, David", "d:Description": "Biography, projects, pictures, sound files, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.davidragsdale.com/"} +{"d:Title": "Markov, Alexander", "d:Description": "Russian-born American classical solo violinist. Biography, reviews, discography, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.alexandermarkov.com/"} +{"d:Title": "Markov, Albert", "d:Description": "Violinist, composer, conductor, and teacher. Biography, concert schedule, reviews, photographs, recordings, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.albertmarkov.com/"} +{"d:Title": "Tyson, Dr. Larry", "d:Description": "Available for functions in Florida. Events, biography, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.aweddingviolinist.com/"} +{"d:Title": "Oliveira, Elmar", "d:Description": "Biography, concert schedule, repertoire, and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.elmaroliveira.com/"} +{"d:Title": "Skwortsow, Alexander", "d:Description": "The concert violinist's official website. Booking and repertory information; biography and sound samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.skwortsow.com/"} +{"d:Title": "Wood, Jasper", "d:Description": "Young Canadian violinist's biography, bookings, downloadable MP3 and RealAudio files.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.jasperwood.net/"} +{"d:Title": "Vengerov, Maxim", "d:Description": "Biography, picture, and concert review.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.cosmopolis.ch/english/cosmo5/vengerov.htm"} +{"d:Title": "Steiner, Diana", "d:Description": "Concert violinist, teacher, and recording artist. Links to recordings and publications.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.debussytrio.com/ds/ds.html"} +{"d:Title": "Milenkovich, Stefan", "d:Description": "Includes concert schedule, biography, discography, image gallery, sound clips, reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.milenkovich.com/"} +{"d:Title": "Breen, Benjamin", "d:Description": "Australian concert violinist based in Manhattan, New York performs recitals, concertos, and chamber music. Includes biography, audio clips, repertoire and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.aussifiddler.com/"} +{"d:Title": "Mutter, Anne-Sophie", "d:Description": "Official homepage of German concert violinist contains biography, discography, tour information, and press releases. [English and German]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.anne-sophie-mutter.de/me_index.php"} +{"d:Title": "Karen, Shakhgaldyan", "d:Description": "Official site of one of the new generation of Russian classical violinists contains biography, photos, a numerous sound clips, and a repertoire list.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.shakh-music.com/en/index.html"} +{"d:Title": "The World Violinist Links", "d:Description": "Catalogues classical violinists, historic and contemporary, with links to sites featuring violinists listed. Very good resource.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www2.osk.3web.ne.jp/~wistaria/violinists.htm"} +{"d:Title": "Shilakowsky, Harris", "d:Description": "Concert Violinist. Including teaching lessons in violin and piano, concert and booking Information for musical groups, and work as a recording engineer and producer, song writer.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.shilakowskyarts.com/"} +{"d:Title": "Korsakova, Natasha", "d:Description": "Biography, repertoire, concerts, recordings, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.natashakorsakova.com/index.html"} +{"d:Title": "Little, Tasmin", "d:Description": "British recording/performing artist. mp3 clips and video files(Windows Media and Quicktime)", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.tasminlittle.net/"} +{"d:Title": "Steiner, Oliver", "d:Description": "The U.S. based concert violinist is best known as recitalist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://oliversteiner.com/"} +{"d:Title": "Henkel, Susanna Yoko", "d:Description": "German-Japanese concert/recording artist. mp3 clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.susannayokohenkel.com/"} +{"d:Title": "Yuzefovich, Igor", "d:Description": "Concertmaster of the Lancaster Symphony Orchestra (2005)also performs concerts and records. mp3 clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.igory.net/"} +{"d:Title": "Jansen, Janine", "d:Description": "Official site for the young Dutch classical violin concert and recording artist. Includes audio clips in flash format.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.janinejansen.com/"} +{"d:Title": "O'Connor, Mark", "d:Description": "Prominent American classical and multi genre violinist and composer; biography, discography, tour schedule, details of his annual fiddle camp, tunes to download, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.markoconnor.com/"} +{"d:Title": "Hagmann, Cordelia", "d:Description": "Native of Switzerland and living in the U.S., this chamber musician, recitalist, and orchestral player performs throughout Europe and the US. Video clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.cordeliahagmann.com/"} +{"d:Title": "Kim, Ariana", "d:Description": "This concert and recording artist performed her New York recital debut at Carnegie's Weill Hall in March of 2008 as the recipient of Artists International Award. In 2008, she completed an interim season as acting concertmaster of the Louisiana Philharmonic Orchestra in New Orleans.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.arianakim.com/"} +{"d:Title": "Violinists on the Web", "d:Description": "Extensive listings compiled by Connie Sunday.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://beststudentviolins.com/violinistsontheweb.html"} +{"d:Title": "Schmid, Benjamin", "d:Description": "Official website for the European-based comcert/recording artist [Flash]", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.benjaminschmid.com/"} +{"d:Title": "Sterling, Lindsey", "d:Description": "Hip hop violinist quarterfinalist from 2010 America's Got Talent. Includes videos, blog, and fan information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.lindseystirlingviolin.com/"} +{"d:Title": "BBC Desert Island Discs: Nicola Benedetti", "d:Description": "Kirsty Young talks to violinist Nicola Benedetti.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/62867fa5#b03pdfp7"} +{"d:Title": "Baraldi, Roberto", "d:Description": "Italian violinist. Concert master of Fenice Theatre. Biography, discography, information on his violin, reviews, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://web.tiscali.it/rbarald-wolit/"} +{"d:Title": "Cernoch Vitezslav", "d:Description": "Czech instrumentalist: biography, repertoire, discography, photos, and concert schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.cernoch.com/"} +{"d:Title": "Gluzman, Vadim", "d:Description": "Biography, concert schedule, and quotes from reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://vadimgluzman.com/"} +{"d:Title": "Hope, Daniel", "d:Description": "Official site. Discography, reviews, calendar, repertoire, and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.danielhope.com/"} +{"d:Title": "Khitruk, Anastasia", "d:Description": "Russian trained concert violinist. Biography, reviews, repertoire, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://anastasiakhitruk.com/"} +{"d:Title": "Tschopp, Mirjam", "d:Description": "Official site of the Swiss-born violinist. Concert dates, curriculum vitae, references, press reports, repertoire, concert highlights, productions and discography, and contact information in English and German.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.mirjamtschopp.com/"} +{"d:Title": "Zlatarov, Pavel", "d:Description": "Leader of the Sofia Philharmonic Orchestra. Biography, concerts, and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://pavelzlatarov.tripod.com/"} +{"d:Title": "Friedman, Erick", "d:Description": "Official site of the American violinist and professor. Biography, critical acclaim, discography, teaching, news, and contact information with RAM and MP3 audio.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.erickfriedman.com/"} +{"d:Title": "Sushansky, Leonid", "d:Description": "Biography, audio clips, review quotes and a photo gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.sushansky.com/"} +{"d:Title": "Briner, Isabelle", "d:Description": "Swiss-born violinist. Features biography and information about concert and duo activities.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://isabellebriner.com/"} +{"d:Title": "Cottin-Rack, Myriam", "d:Description": "Chamber musician and faculty member of the San Joaquin Delta College in Stockton, California and California State University in Stanislaus. Includes resume and performance schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.cottin-rack.com/"} +{"d:Title": "Langdon, Sophie", "d:Description": "British solo violinist; heads the Fourth Dimension String Quartet. Discography, biography, and booking information.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.naxos.com/artistinfo/1050.htm"} +{"d:Title": "Chee-Yun", "d:Description": "Biography, reviews, interview, discography, itinerary, and repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.chee-yun.net/"} +{"d:Title": "Shaw Nathalie", "d:Description": "The official website of Nathalie Shaw.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.nathalieshaw.com/"} +{"d:Title": "Tchakerian, Sonig", "d:Description": "Italian violinist. Audio clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.sonigtchakerian.it/en/"} +{"d:Title": "Barton, Fenella", "d:Description": "British based violinist, chamber musician and solo recitalist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.fenellabarton.com/"} +{"d:Title": "Fain, Tim", "d:Description": "Official website of the American violinist.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://timfain.com/"} +{"d:Title": "Berlinsky, Dmitri", "d:Description": "Audio and video clips included on the official website for the Russian born concert violinist/recording artist based in the U.S.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.dmitriberlinsky.com/"} +{"d:Title": "Rachel Barton", "d:Description": "Official site. Biography, critical comments, discography, news and upcoming performances, booking information, and contact e-mail.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical", "url": "http://www.rachelbartonpine.com/"} +{"d:Title": "\"Violin Virtuoso\"", "d:Description": "National Public Radio interview with Hahn. Article and RealAudio transcripts of the interview are available.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical/Hahn,_Hilary", "url": "http://www.npr.org/programs/wesun/features/2001/dec/hahn/011216.hahn.html"} +{"d:Title": "\"Hilary Hahn - Violinist with a vision\"", "d:Description": "A feature article from the Pittsburgh Post-Gazette where Hahn describes her ideals as a classical musician.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical/Hahn,_Hilary", "url": "http://www.post-gazette.com/magazine/20000915pso1.asp"} +{"d:Title": "Hilary Hahn", "d:Description": "Official site. Music samples, online journal, biography, tour schedule, news, discography and photographs are offered.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical/Hahn,_Hilary", "url": "http://www.hilaryhahn.com/"} +{"d:Title": "Hilary Hahn on Saint Paul Sunday", "d:Description": "Hahn describes her performances of the works of J.S. Bach on the syndicated radio program \"Saint Paul Sunday.\"", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical/Hahn,_Hilary", "url": "http://saintpaulsunday.publicradio.org/featured_artists/hahn.html"} +{"d:Title": "Deutsche Grammophon Gesellschaft - Hilary Hahn", "d:Description": "A feature site from the record offers a photos, interviews, and tracks.", "topic": "Top/Arts/Music/Instruments/Stringed/Bowed_Strings/Violin/Violinists/Classical/Hahn,_Hilary", "url": "http://www.deutschegrammophon.com/us/cat/single?PRODUCT_NR=4741992"} +{"d:Title": "The Charango: Small South American Lute", "d:Description": "History and tuning of this instrument, a small lute from South America.", "topic": "Top/Arts/Music/Instruments/Stringed/Charango", "url": "http://www.ancient-future.com/guitar/charango.html"} +{"d:Title": "Charangos", "d:Description": "Chords, strumming and picking.", "topic": "Top/Arts/Music/Instruments/Stringed/Charango", "url": "http://www.bobbrozman.com/charangos.html"} +{"d:Title": "The Renaissance Cittern Site", "d:Description": "A brief description, information about tunings, and listings of composers and their works.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern", "url": "http://www.cittern.theaterofmusic.com/"} +{"d:Title": "Cittern", "d:Description": "Article describing the instrument and its history; includes modern usage of the name to describe instruments of the mandolin family. [Wikipedia]", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern", "url": "http://en.wikipedia.org/wiki/Cittern"} +{"d:Title": "Arthur Robb", "d:Description": "A website by a British luthier. Contains illustrated description of Citterns and English Guitars, including deck springs, wood and frets.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern", "url": "http://www.art-robb.co.uk/"} +{"d:Title": "ATLAS of Plucked Instruments", "d:Description": "An online encyclopedia dedicated to Citterns, Lutes, Baroque Guitars, Banjo and Mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern", "url": "http://www.atlasofpluckedinstruments.com/"} +{"d:Title": "The Cipher for Viola da Gamba", "d:Description": "A web page about Vihuela, Viola da Gamba, early Lutes and Citterns, with chord tables and finger positions for each instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern", "url": "http://www.thecipher.com/viola_da_gamba_cipher.html"} +{"d:Title": "The 17th- and 18th-century citterns", "d:Description": "An article by Rob MacKillop, describes Diatonic and Chromatic Cittern, with pictures and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern", "url": "https://robmackillop.net/guitar/cittern/"} +{"d:Title": "Bandurria", "d:Description": "Wikipedia profile of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Bandurria", "url": "http://en.wikipedia.org/wiki/Bandurria"} +{"d:Title": "Bandurria Page", "d:Description": "An article by Dave Hynds. Short history of the instrument, string tuning, picture gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Bandurria", "url": "http://www.mandolinluthier.com/bandurria_page.htm"} +{"d:Title": "Zither US", "d:Description": "A website about Chord-Zither, a harp-like cittern that sounds like a dulcimer. History, news, learning courses and forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Chord-Zither", "url": "http://www.zither.us/"} +{"d:Title": "Zither Australia", "d:Description": "Contains recordings performed on the Zither, FAQ and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Chord-Zither", "url": "http://www.zither.com.au/"} +{"d:Title": "Zither USA", "d:Description": "A website dedicated to promote and preserve the heritage of the Concert Zither.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Chord-Zither", "url": "http://www.zithers-usa.com/"} +{"d:Title": "Zither Girl", "d:Description": "YouTube video channel with tutorials and guidelines. Tuning, chords, folk melodies.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Chord-Zither", "url": "http://www.youtube.com/user/zithergirl/"} +{"d:Title": "de Lavaulx, Etienne", "d:Description": "A Melbourne based guitarist who specializes in the art of the Chord-Zither. Website contains brief history of the instrument, photo gallery, and CD reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Chord-Zither/Bands_and_Artists", "url": "http://www.etiennedelavaulx.com.au/"} +{"d:Title": "Celtic Guitar", "d:Description": "Article on the use of guitar and bouzouki/cittern in Celtic music, by Cliff McGann (originally published in Celtic Heritage); includes notes on significant performers.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://www.ceolas.org/instruments/celtic_guitar.html"} +{"d:Title": "Cittern", "d:Description": "A Yahoo! group to discuss citterns, bouzoukis and related instruments; covers the instruments, makers, and playing techniques.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://launch.groups.yahoo.com/group/cittern/"} +{"d:Title": "Citterns 'n Stuff", "d:Description": "An enthusiast for citterns, bouzoukis, other mandolin-like instruments, and their music; maintains a database of categorised links.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://www.xs4all.nl/~cittern/"} +{"d:Title": "Irish Bouzouki", "d:Description": "An article on the instrument in Irish music; includes tunings, tabs, practical tips, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://banwarth.free.fr/engbouz.htm"} +{"d:Title": "Kennaquhair Stringed Instruments", "d:Description": "Luthier specializing in cittern, bouzouki, and other mandolin family instruments; also make acoustic guitars. Includes information on instruments, items in stock and pricing.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://www.kennaquhair.com/"} +{"d:Title": "How to Tell Mandolins, Citterns, Mandolas, Bouzoukis, etc. Apart", "d:Description": "Describes the differences in construction and tuning in this group of instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://www.ceolas.org/instruments/cittern.html"} +{"d:Title": "Han's Irish Bouzouki Homepage", "d:Description": "Resource for learners and players. Includes information on tuning, tablature, pictures, recommended books and listening.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://www.xs4all.nl/~hspeek/bouzouki/"} +{"d:Title": "The instruments of celtic music", "d:Description": "An article about the Irish Bouzouki and its history and related music.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki", "url": "http://www.ceolas.org/instruments/"} +{"d:Title": "Landes, Roger", "d:Description": "Accomplished player of the Irish Bouzouki; biography, discography, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki/Bands_and_Artists", "url": "http://www.rogerlandes.com/"} +{"d:Title": "Lunny, Donal", "d:Description": "Bouzouki player at the cutting edge of the evolution of Irish music for almost thirty years, now also a composer and producer; designed the first Irish Bouzouki.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki/Bands_and_Artists", "url": "http://donallunny.weebly.com/"} +{"d:Title": "Rivi\u00e8re, Dominique", "d:Description": "French singer and composer. The website contains tablatures for Irish Bouzouki, DADGAD guitar and Citter.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki/Bands_and_Artists", "url": "http://riviere.auzeau.org/"} +{"d:Title": "Thompson, Daniel", "d:Description": "Microtonal music composer and Bouzouki player. Case studios for 17- and 19-tone temperaments.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Irish_Bouzouki/Bands_and_Artists", "url": "http://danielthompson.blogspot.com/"} +{"d:Title": "Portuguese Guitar History", "d:Description": "A historical survey of possible origins for the guitarra; the author argues that its roots lie in the Renaissance cittern and 18th century English guitar; also explores ths instrument's use in Fado.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Portuguese_Guitar", "url": "http://www.guitarsite.com/newsletters/010423/17.shtml"} +{"d:Title": "Playing the Lisbon Portuguese Guitarra", "d:Description": "An introduction to playing techniques; includes information on construction, tuning, tablature, techniques and exercises.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Portuguese_Guitar", "url": "http://www.fernandezmusic.com/PortugueseGuitarMethod.html"} +{"d:Title": "How To Fake A Normal Guitar Into A Portuguese guitar", "d:Description": "Suggests techniques for achieving the sound of the guitarra on a \"normal\" steel-string acoustic guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Portuguese_Guitar", "url": "http://rudhar.com/musica/tuneguit/portguit.htm"} +{"d:Title": "Fado - Guitar", "d:Description": "The origins, construction, tuning and playing techniques for the guitarra.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Portuguese_Guitar", "url": "http://paginas.fe.up.pt/~fado/eng/guitarra1.html"} +{"d:Title": "Portuguese guitar", "d:Description": "An article in Wikipedia, the free encyclopedia. Contains brief history, string tuning, external links.", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Portuguese_Guitar", "url": "http://en.wikipedia.org/wiki/Portuguese_guitar"} +{"d:Title": "Baptis, Luis", "d:Description": "Guitarist, trained classically, now demonstrating the diversity of 14 Portuguese variants of the instrument; includes a biography, discography, and a description of his instruments (including construction and tuning).", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Portuguese_Guitar/Bands_and_Artists", "url": "http://www.musiclbm.com/"} +{"d:Title": "da Silva, Pedro Henriques", "d:Description": "Composer and guitarist, playing classical and Portuguese guitar. Includes a biography, and information about his compositions, recordings and performances (with audio samples).", "topic": "Top/Arts/Music/Instruments/Stringed/Cittern/Portuguese_Guitar/Bands_and_Artists", "url": "http://www.pedrodasilva.com/"} +{"d:Title": "EverythingDulcimer.Com", "d:Description": "A website for those interested in the hammered dulcimer or the mountain dulcimer. Includes discussion groups, tips for beginners, event listings, a marketplace of products, tablature, chat room, teacher and club listings, and a contest offering dulcimer-related prizes.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer", "url": "http://www.everythingdulcimer.com/"} +{"d:Title": "Dulcimer Player News", "d:Description": "Journal devoted to both hammered and fretted dulcimer with tunes, tips for beginners, reviews, instrument care and repair, interviews, festival information, and dulcimer history.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer", "url": "http://www.dpnews.com/"} +{"d:Title": "Dulcimers - from David Kettlewell's Thesis", "d:Description": "Photos of English hammered and trapezoid-plucked dulcimer players. Playing traditions, history, structure, and use.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer", "url": "http://dulcimer.new-renaissance.com/"} +{"d:Title": "Susan Vinson Sherlock", "d:Description": "Folk musician based in Rock Hill, South Carolina. Includes biography, recordings, schedule of appearances, and information on hammered dulcimer lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer", "url": "http://www.susansherlock.com/"} +{"d:Title": "Chris Peterson Hammered Dulcimer", "d:Description": "Informational page discusses the set-up of the instrument and how it is played.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered", "url": "http://www.cpmusic.com/hdulc.html"} +{"d:Title": "Hammered Dulcimers and Other Acoustic Instruments", "d:Description": "MIDI music, performers, workshops, festivals, mailing lists, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered", "url": "http://www.dulcimers.com/"} +{"d:Title": "The Kitchen Musician's Hammered Dulcimer Site", "d:Description": "Instrument tips, history, CDs, articles, books, shopping, downloads, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered", "url": "http://www.kitchenmusician.net/"} +{"d:Title": "Maggie's Music", "d:Description": "Music catalogue with information on artists. Biographies, discographies, and performance schedules.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.maggiesmusic.com/"} +{"d:Title": "Bonnie Carol", "d:Description": "Teacher, performer and author in the Boulder, Colorado area. Biography, schedule, instrument information, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.bonniecarol.com/"} +{"d:Title": "Geoff Smith", "d:Description": "British dulcimer virtuoso and composer. Biography, news, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.dulcimer.co.uk/"} +{"d:Title": "Joshua Messick", "d:Description": "Joshua Messick, the eighteen-year-old 2003 National Hammer Dulcimer Champion, resides in Houston, Texas, where he continues to broaden his repertoire with fresh, original compositions and innovate adaptations of favorite melodies from every musical genre. He has recently completed his new album \"Stillness\".", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.joshuamessick.com/"} +{"d:Title": "Peggy Carter", "d:Description": "Peggy Carter is a musician and a teacher, as well as an entertainer. She plays traditional music on the hammer dulcimer.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.peggycarter.com/"} +{"d:Title": "John McCutcheon", "d:Description": "Instrumentalist, singer, songwriter, folklorist, artist, producer, writer, activist, teacher, and storyteller. John McCutcheon is all of these.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.folkmusic.com/"} +{"d:Title": "Barolk Folk Music", "d:Description": "A dulcimer player, Carrie Crompton sells her books and recordings online. The site also includes information about lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.carriecrompton.com/"} +{"d:Title": "Dan Landrum", "d:Description": "Dan Landrum is a hammer dulcimer performer from Signal Mountain, Tennessee. He has two recordings and is a touring member of Yanni's core band.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.danlandrum.com/"} +{"d:Title": "Esperance Productions", "d:Description": "Hammered dulcimer music, recording studio, educational programs, and handcrafted hammered dulcimers.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.esperanceproductions.com/"} +{"d:Title": "Hammered Dulcimer Music by Dennis Lee", "d:Description": "Arkansas-based Dennis Lee is an accomplished musician with several recordings featuring the Hammered Dulcimer. His discography includes Celtic, old-timey, gospel, and Christmas recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.dennisleemusic.com/"} +{"d:Title": "No Strings Attached", "d:Description": "Award-winning quartet based in Roanoke/Blacksburg, Virginia, with Randy Marchany on Dulcimer. Biography, discography, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.enessay.com/"} +{"d:Title": "Dulcimer Dan and The Blue Sky Band", "d:Description": "Includes biography, discography, and online ordering of Dulcimer Dan's CDs and Cassettes.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.dulcimerdan.com/"} +{"d:Title": "Hunter, Brenda", "d:Description": "Hammered dulcimer player, who plays with the band Banshee in the Kitchen, and follows a solo career. Includes details of her recordings, performances, and teaching activities.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://brendahunter.bizland.com/"} +{"d:Title": "Marcy Prochaska:", "d:Description": "General information about the hammer dulcimer. How to contact Marcy Prochaska for performance or instruction. Includes photos, profile, links, and a list of current repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://mp-dulcimer.com/"} +{"d:Title": "Marshall, Jody", "d:Description": "Performs and teaches hammered dulcimer; also plays with Celtic bands MoonFire and Connemara. Includes a biography, gig-guide, and details of her classes.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.jodymarshall.net/"} +{"d:Title": "Linda Thomas and Dan DeLancey", "d:Description": "Performers available for concerts, festivals, private parties and instructional workshops for hammered dulcimer and flatpicked guitar. Includes biographies, a tour calendar, photographs and a merchandise catalog.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.lindadan.com/"} +{"d:Title": "Cute Dog Music", "d:Description": "Performer Rick Davis offers workshops, lessons and community school programs. Includes photographs, a biography, current repertoire, online store and newsletters.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.cutedogmusic.com/"} +{"d:Title": "Kattywompus String Band", "d:Description": "Family folk band based in Monrovia, California includes hammered dulcimer, mountain dulcimer, fiddle, guitar, concertina, bowed psaltry, washboard, spoons, and bodhran. Includes instructional folk videos and CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.sokattywompus.com/"} +{"d:Title": "Donna Germano", "d:Description": "Includes recordings of harp and hammered dulimer, biography, photos and performance schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Bands_and_Artists", "url": "http://www.classictouchmusic.net/"} +{"d:Title": "Cimbalom World Association (CWA)", "d:Description": "Information about the group, news, pictures, membership details, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Cimbalom", "url": "http://www.cimbalom.org/"} +{"d:Title": "Somsak Cimbaloms", "d:Description": "Includes instrument description, sound files, photos and tone layout.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Cimbalom", "url": "http://www.cimbalom.com/"} +{"d:Title": "Cimbalom - Pavel Vsiansky", "d:Description": "Makes and repairs a variety of cimbaloms, including a light version.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Cimbalom", "url": "http://www.cimbaly.cz/en/main.htm"} +{"d:Title": "Griffin Music Hammered Dulcimers", "d:Description": "Used instruments for sale, FAQ, message board, purchasing guide, and teacher listings.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Makers", "url": "http://www.dulcimer.com/"} +{"d:Title": "James Jones - Musical Instruments", "d:Description": "Handcrafted stringed musical instruments. Pictures, descriptions, reviews, featured musicians, and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Makers", "url": "http://www.jamesjonesinstruments.com/"} +{"d:Title": "Tuck-A-See Strings", "d:Description": "Specializing in handcrafted hammered dulcimers and bowed psalteries. Includes photographs and pricing.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Makers", "url": "http://tuckasee.com/"} +{"d:Title": "Snyders Dusty Dulcimer", "d:Description": "Handcrafted hammered dulcimers. Simple and inexpensive 12 course instruments to full size 12/11 models.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Makers", "url": "http://www.dustydulcimer.com/"} +{"d:Title": "Grassroots - Hammered Dulcimers", "d:Description": "About the instrument, product descriptions with photographs, recommended reading, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Makers", "url": "http://www.grassrootsdulcimers.com/"} +{"d:Title": "Fred Woodley's Dulcimer Den", "d:Description": "Hammered dulcimer builder.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Makers", "url": "http://www.fred-woodley.org.uk/"} +{"d:Title": "Nonsuch Dulcimer Club", "d:Description": "UK club for players of the hammered and mountain dulcimer. Membership information, events, articles, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Organizations", "url": "http://dulcimer.org.uk/"} +{"d:Title": "WebIndia123 - Santoor", "d:Description": "Brief description of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Santour", "url": "http://www.webindia123.com/music/instru/santoor.htm"} +{"d:Title": "Santur (Santoor) - Indian Hammered Dulcimer", "d:Description": "Description, playing positions, pictures and origin of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Santour", "url": "http://chandrakantha.com/articles/indian_music/santur.html"} +{"d:Title": "Santour", "d:Description": "Description, music and video files.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Hammered/Santour", "url": "http://www.farhangsara.com/santour.htm"} +{"d:Title": "Cedar Creek Dulcimers", "d:Description": "Featuring mountain and hammered dulcimers, psaltries, lap and folk harps. Includes information about how to play the instruments and photos of building process.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Makers", "url": "http://cedarcreekdulcimers.com/"} +{"d:Title": "Australian Dulcimer Page", "d:Description": "Maker Gillian Alcock offers a variety of dulcimers including cimbaloms, santours, and hackbretts. Also includes maintenance and playing tips, newsletter, publications and CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Makers", "url": "http://www.austdulcimer.com/"} +{"d:Title": "Susan Trump", "d:Description": "Mountain dulcimer player, guitarist, banjo player, vocalist, songwriter, performer, teacher and Arts in Education Specialist.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain", "url": "http://www.susantrump.com/"} +{"d:Title": "Tindle Music Company", "d:Description": "TMC features the music of 2-time National Mountain Dulcimer Champion Mark Tindle. Free tablatures for beginner to intermediate players.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain", "url": "http://www.tindlemusic.com/"} +{"d:Title": "Tom and Missy Strothers' Website", "d:Description": "Teaching, performing, festivals and information about mountain dulcimers in particular and music in general.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain", "url": "http://www.strothers.com/"} +{"d:Title": "Red Dog Jam", "d:Description": "General information and contact details for the traveling dulcimer duo.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain", "url": "http://www.angelfire.com/music2/reddogjam/"} +{"d:Title": "Evans, Dan", "d:Description": "English finger-style dulcimer performer and teacher plays traditional songs and airs from the British Isles as well as his original compositions, with CD sales.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain", "url": "http://www.english-dulcimer.com/"} +{"d:Title": "Wood 'N Strings Dulcimer Shop", "d:Description": "Mike Clemmer offers handcrafted mountain dulcimers, lap harps, and bowed psaltries, as well as accessories, instruction and music. Includes biography, calendar of events and photographs.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.clemmerdulcimer.com/"} +{"d:Title": "Blue Lion Dulcimers and Guitars", "d:Description": "Mountain dulcimers, acoustic steel string guitars and accessories. Includes FAQs, product details and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.bluelioninstruments.com/"} +{"d:Title": "Jenny Wiley Dulcimers and Kits", "d:Description": "Handmade in the hills of Eastern Kentucky - the Jenny Wiley Dulcimer and the famous \"ACKit\" (Almost Complete Kit).", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.jennywileydulcimers.com/"} +{"d:Title": "Mountain Dulcimers by Black Mountain Instruments", "d:Description": "Hand built mountain dulcimers and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.blackmtninstruments.com/"} +{"d:Title": "Ogeechee Woodworks", "d:Description": "Handmade lap dulcimers, accessories, books, workshops, lessons, regional events; e-mail ordering.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.angelfire.com/ga2/ogeecheewoodworks/"} +{"d:Title": "McSpadden Dulcimers", "d:Description": "Mountain dulcimers handcrafted in the Ozark Mountains. Guide to the crafting of the instrument and techniques for sizing and shaping. Product guide, accessories, and books available.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.mcspaddendulcimers.com/"} +{"d:Title": "Bear Meadow Appalachian Dulcimers", "d:Description": "Hand-made mountain dulcimers with information on their design, construction, finishes, materials, and FlexiFrets (a patented removable fret system). Also audio samples of Bear Meadow dulcimers.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.bearmeadow.com/"} +{"d:Title": "Joe Collins' Mountain Dulcimers", "d:Description": "Features free tablature, tab books, recordings, dulcimers, dulcimer links, and dulcimerist Joe Collins.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.jcdulcimer.com/"} +{"d:Title": "Archie Smith Instruments", "d:Description": "Handcrafted psalteries and dulcimers. All instruments are personally handmade, numbered, dated and signed by the artist. Includes artist statement, company history, and art fair schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://www.archiesmithinstruments.com/"} +{"d:Title": "Ron Gibson Mountain Dulcimers", "d:Description": "Offers handcrafted Appalachian dulcimers and accessories. Includes a brief history, audio files, product descriptions and order information.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://gibsondulcimers.com/"} +{"d:Title": "Laurel Mountain Instruments", "d:Description": "Connecticut maker of handcrafted mountain dulcimers offers choice of woods, toneholes and fingerboards, with photo galleries and detailed price list.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Builders", "url": "http://laurelmountaininstruments.com/"} +{"d:Title": "Wilderness Road Dulcimer Club", "d:Description": "Group for beginning and advanced players. Includes its history, a meeting schedule, photographs and tablature. Located in Rose Hill, Virginia.", "topic": "Top/Arts/Music/Instruments/Stringed/Dulcimer/Mountain/Organizations", "url": "http://wildernessroaddulcimerclub.50megs.com/"} +{"d:Title": "Gootar", "d:Description": "Includes chord charts and chord generators for 4/6/7 stringed instruments (including guitar, bass, banjo, mandolin, and ukelele), in standard and alternative tunings. Also offers some theory.", "topic": "Top/Arts/Music/Instruments/Stringed/Education", "url": "http://www.gootar.com/"} +{"d:Title": "GuitarSite.com", "d:Description": "A resource for guitar enthusiasts. Includes newsletter, guitar history, interviews, tablature, directory, MP3s and chat.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.guitarsite.com/"} +{"d:Title": "Vintage Guitars Info", "d:Description": "A collector provides an illustrated guide to vintage guitars (acoustic, electric, resonator and steel). Includes related articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.provide.net/~cfh/"} +{"d:Title": "GuitarNation.com", "d:Description": "A virtual gallery featuring a range of custom and hand-made guitars - nylon/steel string, acoustic/electric, archtop, bass, and historic recreations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.guitarnation.com/"} +{"d:Title": "How Stuff Works: Guitar", "d:Description": "Graphic seven-part tutorial on how acoustic and electric guitars work; includes sounds and a page of related links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.howstuffworks.com/guitar.htm"} +{"d:Title": "Harmony Database", "d:Description": "For enthusiasts of Harmony guitars, a database of specifications and photos; includes acoustic and electric models, listed by model number, brand name and family.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://demont.net/harmony/"} +{"d:Title": "Guitar", "d:Description": "Wikipedia article describes the guitar family and its history; also links to related articles and external resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://en.wikipedia.org/wiki/Guitar"} +{"d:Title": "VintAxe.com", "d:Description": "Collection of some lesser known vintage guitars produced from the 1950's to the 1990's with catalog scans, pictures and a message board.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.vintaxe.com/"} +{"d:Title": "MoMI", "d:Description": "The virtual Museum of Musical Instruments focuses on old guitars showing collections and exhibitions with different themes.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.themomi.org/"} +{"d:Title": "Vintage Guitars and Basses", "d:Description": "Information and photos of instruments by major companies like Fender, Gibson and Rickenbacker, as well as many smaller brands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.vintageguitars.org.uk/"} +{"d:Title": "Vintage Guitars and Basses", "d:Description": "Information and photos of instruments by major companies like Fender, Gibson and Rickenbacker, as well as many smaller brands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar", "url": "http://www.vintageguitarandbass.com/"} +{"d:Title": "Guitar Man Acoustic Guitar Tips", "d:Description": "Advice on care and preservation, playing, and tuning. Includes photos, chords, and audio files of various guitarists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic", "url": "http://www.guitartips.addr.com/"} +{"d:Title": "Dansm's Acoustic Guitar", "d:Description": "Online acoustic guitar tuition. Industry links and song tablatures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic", "url": "http://www.scenicnewengland.net/guitar/"} +{"d:Title": "TheGuitarSuite.com", "d:Description": "Acoustic guitar lessons, PDF worksheets, exercises, tablature, tips, and techniques. Composition and theory are also covered.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic", "url": "http://www.theguitarsuite.com/"} +{"d:Title": "Acoustic Guitarists' Annotated Guide to the Internet", "d:Description": "A categorized collection of resources of interest to players of the acoustic guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic", "url": "http://www.museweb.com/ag/"} +{"d:Title": "Paragon and Haida Gwaii Guitar Enthusiasts Group", "d:Description": "Discussion group dedicated to Paragon Guitar and Haida Gwaii Guitar enthusiasts. Includes forum and gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic", "url": "http://launch.groups.yahoo.com/group/paragonguitars/"} +{"d:Title": "Learn-Acoustic-Guitar.com", "d:Description": "Beginner acoustic guitar lessons, instructions, and tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic", "url": "http://www.learn-acoustic-guitar.com/"} +{"d:Title": "Tama Guitars", "d:Description": "An unofficial appreciation of Tama acoustic guitars. Includes an illustrated history of the company, brochures, test reports, and a listing of players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic", "url": "http://www.hufschlag.info/html/tama_main.html"} +{"d:Title": "Acoustic Guitar Forum", "d:Description": "A discussion forum, mainly on acoustic guitars and playing; also includes a gallery of acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic/Chats_and_Forums", "url": "http://www.acousticguitarforum.com/"} +{"d:Title": "Acoustic Guitarist Guild", "d:Description": "A Yahoo! group for acoustic guitar enthusiasts, covering the instrument and guitar playing.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/acousticguitaristguild/"} +{"d:Title": "The Unofficial Martin Guitar Forum", "d:Description": "Forum for guitar enthusiasts to discuss Martin guitars and other guitar-related topics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic/Chats_and_Forums", "url": "http://www.theunofficialmartinguitarforum.yuku.com/"} +{"d:Title": "Larrivee Guitar Forum", "d:Description": "Technical and general discussion about Larrivee acoustic guitars and other guitar makers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic/Chats_and_Forums", "url": "http://www.larriveeforum.com/"} +{"d:Title": "Flatpicking Guitar Magazine", "d:Description": "Covers all aspects of flatpicking the acoustic guitar. Site features online articles, news and reviews, information on contributors, subscription information, and list of instructors in the U.S.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic/Magazines_and_E-zines", "url": "http://www.flatpick.com/"} +{"d:Title": "Acoustic Magazine", "d:Description": "UK magazine dedicated to the acoustic guitar, the acoustic guitar market, and artists. Includes interviews, product tests, features, and tutorials. Other instruments such as mandolin, ukulele, and banjo are also discussed.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic/Magazines_and_E-zines", "url": "http://www.acousticmagazine.com/"} +{"d:Title": "Acoustic Guitar Magazine", "d:Description": "Monthly magazine for all styles and interests, from beginner to performer. Features events, reviews, information on guitars used by different artists, and subscription details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Acoustic/Magazines_and_E-zines", "url": "http://www.acousticguitar.com/"} +{"d:Title": "Les Paul Forum", "d:Description": "The LPF is an unofficial site dedicated to Gibson Les Paul and other Gibson guitars, also offers knowledgeable articles about vintage instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.lespaulforum.com/"} +{"d:Title": "Guitar Forum", "d:Description": "Guitarists' forum with \"Gear Acquisition Syndrome\" categorised links for instruments and amplification.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://guitarforum.net/"} +{"d:Title": "Fender Discussion Page", "d:Description": "The FDP is a private site offering many different boards for all things related to the company.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.fenderforum.com/"} +{"d:Title": "Telecaster Discussion Page Reissue", "d:Description": "The TDPRI is a forum for guitar players who appreciate the Telecaster and Fender's other great guitars, also offers free picture uploads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.tdpri.com/"} +{"d:Title": "Tokai Forum", "d:Description": "Fan community of this Japanese manufacturer with different boards like \"Vintage\" or \"Ebay alerts\", also about other Japanese brands like Burny, Orville or Greco.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.tokaiforum.com/"} +{"d:Title": "Seymour Duncan User Group Forums", "d:Description": "The pickup manufacturer provides an open discussion place not restricted to their own products.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.seymourduncan.com/forum/"} +{"d:Title": "The Gear Page", "d:Description": "TGP is one of the biggest internet communities about guitars and related gear, e.g. luthiers or effect boards.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://thegearpage.net/"} +{"d:Title": "Club McNaught", "d:Description": "Forums for discussing guitars built by David Thomas McNaught.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.clubmcnaught.com/"} +{"d:Title": "Boogie Board", "d:Description": "Discussing all Mesa Boogie amps, with an amp setting database for most of the models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://forum.grailtone.com/"} +{"d:Title": "Ibanez Collectors World", "d:Description": "Discussion board, gallery and further links for fans of this Japanese manufacturer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.ibanezcollectors.com/"} +{"d:Title": "Guitar Gallery Forums", "d:Description": "About the different brands coming from the Japanese manufacturer Matsumoku like Aria, Westone, Electra and others.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.matsumoku.org/ggboard/"} +{"d:Title": "Jackson / Charvel Forum", "d:Description": "Discussion site for these manufacturers with chat and gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.jcfonline.com/"} +{"d:Title": "Vintage Amps Bulletin Board", "d:Description": "Formerly known as the Plexi Palace, offers many boards for different amp manufacturers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.vintageamps.com/plexiboard/"} +{"d:Title": "BeatGear Cavern", "d:Description": "The BGC is about 1960s music, guitars, amplifiers, drums and other gear as well as pop culture including the Beatles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.beatgearcavern.com/"} +{"d:Title": "LetsTalkGuild", "d:Description": "A place for Guild enthusiasts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://letstalkguild.com/"} +{"d:Title": "Ovation Fan Club", "d:Description": "Online home for fans of Ovation acoustic and electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.ovationfanclub.com/"} +{"d:Title": "WestoneClassics", "d:Description": "Yahoo! group for owners and collectors of these instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/WestoneClassics/"} +{"d:Title": "Ultimate-Guitar", "d:Description": "Forums dedicated to guitar enthusiasts. Cover electric, acoustic, guitar gear and accessories topics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.ultimate-guitar.com/forum/"} +{"d:Title": "Gibson-Talk.com", "d:Description": "Offering discussion boards for the complete Gibson guitar range including Epiphone models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://gibson-talk.com/"} +{"d:Title": "Robin Lovers", "d:Description": "Fans discussing the different models of the guitar manufacturer from Houston, Texas.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.robinlovers.com/"} +{"d:Title": "Carvin Museum Forum", "d:Description": "About old and new guitars, basses and amps from this manufacturer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://forums.carvinmuseum.com/"} +{"d:Title": "GeekChat", "d:Description": "Discussions about guitar and bass rigs of users and favorite artists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://guitargeek.com/chat/"} +{"d:Title": "Kramer Guitar Forum", "d:Description": "For fans of these typical hardrock guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.kramerforum.com/forum/"} +{"d:Title": "Steinberger World", "d:Description": "Yahoo! group for fans and players of the innovative Steinberger guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/steinberger_world/"} +{"d:Title": "Online-Discussion Network", "d:Description": "The ODN is a place for guitar aficionados to find discussions about artists, gear, and music. Hosts many different forums.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.online-discussion.com/"} +{"d:Title": "The Fret.Net", "d:Description": "A friendly forum for guitar and bass players of all ages and abilities, also offers free user uploads within a reasonable file size.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.thefret.net/"} +{"d:Title": "Suhr Guitar Talk", "d:Description": "ODN forum about Suhr guitars and amps.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.online-discussion.com/Suhr/rss.php"} +{"d:Title": "Strat-Talk", "d:Description": "Discussing the Stratocaster with free user blogs, galleries, links and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.strat-talk.com/"} +{"d:Title": "Guitar For Beginners&Beyond", "d:Description": "For all guitarists whether they are just beginners or have been playing for a long time.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.guitarforbeginners.com/forum/"} +{"d:Title": "Music Electronics Forum", "d:Description": "The new \"ampage\" discussion site about building, modifying and maintaining musical electronic devices such as guitar amps and effects as well as pickups.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://music-electronics-forum.com/"} +{"d:Title": "VintAxe Guitar Forum", "d:Description": "About unusual vintage instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.vintaxe.com/boards/"} +{"d:Title": "Sputnik Music", "d:Description": "Discuss music and gear, write your own reviews, and rate your albums. Very large board with emphasis on guitar topics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.sputnikmusic.com/"} +{"d:Title": "Rig-Talk", "d:Description": "Discussions and free classified ads about guitars, amps, and effects. Official forums of Cameron and Diezel amps as well as Gutierrez guitars and Homebrew Electronics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.rig-talk.com/"} +{"d:Title": "Project Guitar Forum", "d:Description": "General discussions about working on guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://projectguitar.ibforums.com/"} +{"d:Title": "Guitar Player Magazine Forums", "d:Description": "An open forum for guitar players to share their opinions and ask questions about guitars, guitar-related gear, tips for maintenance, and on learning how to play.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://forums.musicplayer.com/ubbthreads.php/ubb/postlist/Board/19/page/1?PHPSESSID=2b85e187499465fcc71fec183b5321ab"} +{"d:Title": "Gibson Forums", "d:Description": "For customers and enthusiasts to share opinions and information about all of the brands in the Gibson family.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://forums.gibson.com/"} +{"d:Title": "Guitars Canada", "d:Description": "Forum covering all types of guitars and accessories, as well as theory and techniques.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.guitarscanada.com/"} +{"d:Title": "Epifriends", "d:Description": "Unofficial forum for Epiphone and Gibson guitar players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://epifriends.proboards.com/"} +{"d:Title": "DiMarzio pickups forum", "d:Description": "An online community for guitar pickup enthusiasts specializing in DiMarzios. Learn, share and spread the love for your favorite guitar pickup.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.dimarzioforum.com/forum/"} +{"d:Title": "MyLesPaul", "d:Description": "Offers Les Paul related forums, news, photos and advice.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Chats_and_Forums", "url": "http://www.mylespaul.com/"} +{"d:Title": "Delcamp.net", "d:Description": "Resource center and meeting place for classical guitarists from around the world. Includes classical guitar sheet music, classified by order of difficulty, MP3s, tablature, videos and on-line guitar instruction.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.delcamp.net/"} +{"d:Title": "The Guitar School - Iceland", "d:Description": "Sheet music for classical guitar in Adobe Acrobat format. Guitar primer, studies and pieces by Eythor Thorlaksson and Sveinn Eythorsson.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.eythorsson.com/"} +{"d:Title": "Classical Guitar Tablature", "d:Description": "Tablature, MIDIs, and standard notation for beginning to intermediate guitarists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://members.tripod.com/~Braumeister/index.htm"} +{"d:Title": "Iznaola Guitar Works", "d:Description": "Offers recordings, books and other resources by guitarist Ricardo Iznaola.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.iznaolaguitarworks.com/"} +{"d:Title": "New Millennium Guitar Publishing Co.", "d:Description": "Online sheet music samples, catalog, magazine and technique guide.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.newmillguitar.com/"} +{"d:Title": "Classical Guitar Illustrated History", "d:Description": "History of classical guitar, composers, makers and performers. Includes images of ancient guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.classicalguitarmidi.com/history/"} +{"d:Title": "Classical Guitar Midi Archives", "d:Description": "Includes a wide variety of classical guitar music files. History of Midi and explanation of tablature notation are included.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.classicalguitarmidi.com/"} +{"d:Title": "Granary Guitars", "d:Description": "A museum of classical guitars available for inspection and playing. Information about instruments, open days and visiting arrangements. [Hertfordshire, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.granary-guitars.com/"} +{"d:Title": "Stalking the Oldest Six-String Guitar", "d:Description": "An article by Thomas F. Heck about the origins of the modern six-string classical guitar, and how it differed from its antecedents (first published 1972).", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www3.uakron.edu/gfaa/stalking.html"} +{"d:Title": "Classical Guitar", "d:Description": "Information on construction, repair, and background. MIDI files and examples of guitar chords.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://home.kpn.nl/piete117/"} +{"d:Title": "Guitarist.com: Classical Guitar", "d:Description": "Manuscript and MIDI files; links to music, lessons, and articles; information and resources for beginners.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.guitarist.com/classical/"} +{"d:Title": "International Guitar Research Archive", "d:Description": "Extensive database archives includes articles, lists of musical pieces, and composer biographies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://library.csun.edu/SCA/IGRA"} +{"d:Title": "Classical Guitar Internet Resource Site", "d:Description": "Links to classical guitar mailing lists and message boards.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical", "url": "http://www.ga-usa.com/classical-guitar/"} +{"d:Title": "Classical Guitar Forum", "d:Description": "Discussions focusing on the classical guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Chats_and_Forums", "url": "http://www.delcamp.net/forum/en/"} +{"d:Title": "Classical Guitar Composers List", "d:Description": "A list of composers (with links) who have composed original scores for, or have been transcribed for, solo classical guitar. Organized alphabetically, by nationality, and in chronological order", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Composers", "url": "http://www.musicated.com/CGCL/"} +{"d:Title": "Raillard, Georges", "d:Description": "Offers original compositions for solo guitar with midi clips and scores in pdf format.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Composers", "url": "http://www.georges-raillard.com/"} +{"d:Title": "Niibori Guitar World", "d:Description": "A music academy founded by Dr. Hiroki Niibori with history, courses, Niibori orchestra concerts and recordings, publishers of Monthly Harmony, and International links. [Japanese/English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Education", "url": "http://www.niibori.com/"} +{"d:Title": "Derek Hasted's Guitar Ensemble Pages", "d:Description": "Lessons, hints, tips, music, sound clips, published music and other information for the classical guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Education", "url": "http://www.derek-hasted.co.uk/"} +{"d:Title": "Classical Guitar Introduction", "d:Description": "This is a fairly basic page for people wonder what classical guitar is and what makes it different from other types of guitar. Perfect for the average joe looking to start learning classical guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Education", "url": "http://www.guitarist.com/classical/"} +{"d:Title": "Classical Guitar Review", "d:Description": "A website run by professional guitarist Simon Powis, lots of articles on technique, musicianship.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Education", "url": "http://www.classicalguitarreview.com/"} +{"d:Title": "LAGA Online: Online Classical Guitar Lessons", "d:Description": "Online classical guitar lessons by the faculty of the Los Angeles Guitar Academy. LAGA Online also teaches online Flamenco, pop, children's guitar, pedagogy, and fingerboard theory.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Education", "url": "http://onlineguitaracademy.net/en/free-classical-guitar-lessons.html"} +{"d:Title": "Agustin Barrios International Guitar Competition", "d:Description": "Includes rules, online registration, details of winners, and visitor information. [Nuoro, Italy]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.barrioscompetition.com/"} +{"d:Title": "Rotenburg Guitar Festival", "d:Description": "International guitar-festival in Germany, taking place every year in summer. Featuring international artists, concerts, masterclasses, chamber music, exhibitions and lectures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.rotenburger-gitarrenwoche.de/"} +{"d:Title": "International Guitar Competition Ruggero Chiesa-Citt\u00e0 di Camogli", "d:Description": "October 98, Camogli, Genoa, Italy - program details, prizes, jury details", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.cyberg8t.com/gfa/chiesa.html"} +{"d:Title": "Concorso di Chitarra Classica Michele Pittaluga", "d:Description": "Information about an annual international classical guitar competitions for players and composers; includes history, news, and reviews. [Alessandria, Italy]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.pittaluga.org/"} +{"d:Title": "Heinsberg International Guitar Festival and Competition", "d:Description": "Biennial event for the international elite of young guitarists. Includes information for competitors, schedule, and practical details for visitors. [Heinsberg, Germany]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.guitar-festival.com/"} +{"d:Title": "International Guitar Competition Karl Scheit", "d:Description": "Organised by the Hochschule f\u00fcr Musik und darstellende Kunst for September, 2006, and dedicated to the memory of Karl Scheit; includes information for entrants and application details. [Vienna, Austria]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.mdw.ac.at/gitarrenwettbewerb/"} +{"d:Title": "Internationales Pfingstseminar Koblenz Guitar Festival", "d:Description": "Annual event; includes details of courses and teachers, competition, registration and concerts. [Koblenz, Germany]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.koblenzguitarfestival.de/"} +{"d:Title": "Parkening International Guitar Competition", "d:Description": "Organized by Pepperdine University; information about competitions and practical details for visitors. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.pepperdine.edu/arts/parkening/"} +{"d:Title": "Printemps de la Guitare", "d:Description": "Biennial competition promoting the guitar as one of the greatest solo instruments. Information for entrants and schedule. [Charleroi, Belgium]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.printemps-guitare.be/"} +{"d:Title": "Rust International Guitar Festival", "d:Description": "Annual festival with concerts by international artists, a competition, workshops and courses. Details of schedule, registration and history. [Rust, Austria]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.v4m.net/GuitarFestivalRust/"} +{"d:Title": "La Guitarra California Festival", "d:Description": "Biennial three-day guitar festival with performances by internationally renowned guitarists, master classes and lectures. Program, artist profiles, and visitor information. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.laguitarracalifornia.com/"} +{"d:Title": "Iserlohn International Guitar Competition", "d:Description": "Biennial classical guitar festival featuring a series of concerts, master classes for solo, duo and ensemble, and a series of lectures. Held in Iserlohn, North Rhine Westfalia, Germany.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.iserlohn-competition.com/"} +{"d:Title": "Guitar Art Festival", "d:Description": "Annual festival of classical guitar; includes program, competition details, and application form. [Belgrade, Yugoslavia]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Events", "url": "http://www.gaf.rs/"} +{"d:Title": "Classical Guitarist", "d:Description": "Directory of classical and Spanish guitarists in the UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists", "url": "http://www.classicalguitarist.co.uk/"} +{"d:Title": "Classical Guitarists", "d:Description": "A directory of Wikipedia articles on classical guitarists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists", "url": "http://en.wikipedia.org/wiki/Category:Classical_guitarists"} +{"d:Title": "Assad Family", "d:Description": "Fan page about Sergio, Odair and Badi Assad. Includes biographies, discographies, compositions and concert dates.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/A", "url": "http://net.indra.com/~jkenyon/assad.html"} +{"d:Title": "Almeida, Laurindo", "d:Description": "Brazilian classical and jazz guitarist (died 1995); includes a profile, discography, photo album, and ordering information for sheet music and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/A", "url": "http://www.laurindoalmeida.com/"} +{"d:Title": "Afshar, Lily", "d:Description": "Guitar performer, head at University of Memphis.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/A", "url": "https://umdrive.memphis.edu/lafshar/www/"} +{"d:Title": "Avers, Randall", "d:Description": "Profile, events calendar, biography, audio samples, discography, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/A", "url": "http://www.randallavers.com/"} +{"d:Title": "Abiton, Gerard", "d:Description": "French classical guitarist, biography, gallery, discography with sound samples, information about his international schedule and sheet music to download.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/A", "url": "http://www.gerardabiton.com/"} +{"d:Title": "Boswell, Stephen", "d:Description": "Vancouver, BC, Canada classical/flamenco guitarist. Concert artist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.stephenboswell.com/"} +{"d:Title": "Bell, Stephen", "d:Description": "Classical and Flamenco Guitarist. Promotional materials, CDs, sound clips, reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.bellarts.com/"} +{"d:Title": "Burgos, Francisco", "d:Description": "Profile, concerts, compositions, and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.burgosguitar.com/"} +{"d:Title": "Boyd, Liona", "d:Description": "Biography, discography, .wav files, concert schedule, arrangements and publications.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.lionaboyd.com/"} +{"d:Title": "Bellucci, Renato", "d:Description": "Performer, BA. Technique, suggestions, and clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.mangore.com/"} +{"d:Title": "Bonaguri , Piero", "d:Description": "Biography, reviews, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.bonaguri.com/"} +{"d:Title": "Bruni, Humberto", "d:Description": "Venezuelan-born guitarist; biography, discography, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.humbertobruni.com/"} +{"d:Title": "Boucher, R\u00e9mi", "d:Description": "Biography, reviews, discography, sound samples, and concert schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://remiboucher.freeservers.com/Boucher/"} +{"d:Title": "Breznikar, Joseph", "d:Description": "Performer, teacher, recording artist, composer and arranger. Includes biography, discography and CD sales.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B", "url": "http://www.breznikar.com/"} +{"d:Title": "Barrueco, Manuel", "d:Description": "Biography, concert schedule, discography, reviews, press releases, and interviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B/Barrueco,_Manuel", "url": "http://www.barrueco.com/"} +{"d:Title": "The Gospel According to Manuel", "d:Description": "An appreciation of the guitarist and his contribution to the development of classical guitar musicianship. (from The Johns Hopkins Magazine)", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B/Barrueco,_Manuel", "url": "http://pages.jh.edu/~jhumag/0901web/gospel.html"} +{"d:Title": "Bream, Julian", "d:Description": "Biography, with a listing of pieces written for him; article on Wikipedia.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/B/Bream,_Julian", "url": "http://en.wikipedia.org/wiki/Julian_Bream"} +{"d:Title": "Czech Guitar Duo", "d:Description": "A guitar duo from Prague with a repertoire covering classical and flamenco music. Brief profile and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://czech-guitar-duo.com/"} +{"d:Title": "Chapdelaine, Michael", "d:Description": "Classical and Crossover-steelstring guitarist. Booking and promotional information, CDs, and educational articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://michaelchapdelaine.com/"} +{"d:Title": "Cobo, Ricardo", "d:Description": "Guitar Chair at University of Nevada, USA. Classical, jazz, children's music recordings. Touring artist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://www.ricardocobo.com/"} +{"d:Title": "Chiandetti, Giulio", "d:Description": "Guitarist and teacher. Includes audio samples, events and biography. Based in Italy.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://www.giuliochiandetti.it/"} +{"d:Title": "Cucchi, Flavio", "d:Description": "Includes discography, biography, concert programs, and music downloads. Based in Florence, Italy.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://www.flaviocucchi.com/"} +{"d:Title": "Chasman, Paul", "d:Description": "Musical biography, discography and CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://www.guitarist.com/chasman/"} +{"d:Title": "Carter, Jason", "d:Description": "International performer of an eclectic mix of Flamenco, Jazz and Classical Guitar; includes biography, discography, and information on his current projects and collaborations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://www.jasoncarter.net/"} +{"d:Title": "Covarrubias, Alvaro", "d:Description": "Chilean born guitarist with an international career. Includes his profile, repertoire, discography and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/C", "url": "http://www.numerisson.com/alvaro/"} +{"d:Title": "Duo 46", "d:Description": "Performer information, reviews, commissions, recordings, and MP3 samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/D", "url": "http://www.duo46.com/"} +{"d:Title": "Del Forno, Anton", "d:Description": "Guitarist and composer. Biography, reviews and comments, discography, interviews, compositions, appearances, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/D", "url": "http://www.antondelforno.com/"} +{"d:Title": "Duruoz, Cem", "d:Description": "Biography, quotes, reviews, concert schedule, information about recordings, and sound samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/D", "url": "http://www.duruoz.com/"} +{"d:Title": "Durrant, Richard", "d:Description": "Guitarist and composer from the South Coast of England. Samples, biography, gigs and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/D", "url": "http://www.richard-durrant.com/"} +{"d:Title": "Dojcinovic, Uros", "d:Description": "Serbian guitarist, composer, musicologist and writer; offers a biography, discography, and information about his compositions and writings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/D", "url": "http://www.angelfire.com/music3/uros/"} +{"d:Title": "Einhorn, Craig", "d:Description": "Biography, pictures of performances, audio samples, discography, and a collection of short stories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/E", "url": "http://www.efn.org/~einhorn"} +{"d:Title": "E\u00f6tv\u00f6s, J\u00f3zsef", "d:Description": "Hungarian guitarist with an international career; includes biography, discography, his compositions and transcriptions, and reviews. [Hungarian and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/E", "url": "http://www.eotvos.net/"} +{"d:Title": "Farrell, Terrence", "d:Description": "Classical and Crossover guitarist and composer. Biography, CDs, sound clips, concert information and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/F", "url": "http://www.terrencefarrell.com/"} +{"d:Title": "Flanders, Chuck", "d:Description": "Classical guitarist in the White Mountains of New Hampshire. Real Audio examples on website.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/F", "url": "http://www.chuckflanders.com/"} +{"d:Title": "Fletcher, Peter", "d:Description": "Biography, schedule, recordings, press, image gallery and audio clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/F", "url": "http://www.peterfletcher.com/"} +{"d:Title": "Fampas, Eva", "d:Description": "Greek guitarist, with traditional, ethnic and contemporary influences. Includes biography, repertoire notes, discography, reviews, and news of her appearances.An on-line guitar magazine. Lot of news about the Greek guitar scene, music, concerts, cds and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/F", "url": "http://www.evafampas.gr/"} +{"d:Title": "Fisk, Eliot", "d:Description": "Photographs and information on the classical guitarist and his performances, recordings, guitar festival, and teaching.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/F/Fisk,_Elliot", "url": "http://www.eliotfisk.com/"} +{"d:Title": "Goya, Francis", "d:Description": "Guitarist specializing in classical guitar styles. Includes biography, discography, photos, press information, store, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.francisgoya.com/"} +{"d:Title": "Gonzalez, Nelson", "d:Description": "Photos, biography, news, interviews, and performance dates.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.mindspring.com/~marcsue/nelson/"} +{"d:Title": "Glise, Anthony", "d:Description": "Classical guitarist and composer. Biography, merchandise, tour dates, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.anthonyglise.com/"} +{"d:Title": "Ghezzi, William", "d:Description": "Includes concert schedules, MP3 files, publications and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.dartmouth.edu/~wghezzi/"} +{"d:Title": "Ginis, Peter", "d:Description": "Heavy metal guitarist performs on an acoustic CD. Picture and MP3 samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.angelfire.com/pe/peterginis/"} +{"d:Title": "Guitar Ensemble Graz", "d:Description": "Group information, concerts, CDs, scores, sound files, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.geg.at/index2.htm"} +{"d:Title": "Garcia, Gerald", "d:Description": "Classical guitar, music, sound clips, news, diary, photos, travel, and events.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.geraldgarcia.com/"} +{"d:Title": "Giuliani, Mauro", "d:Description": "Information on the life and music of the Italian guitar virtuoso and composer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.tecla.com/authors/giulianimusic.htm"} +{"d:Title": "Gallagher, Kevin R.", "d:Description": "Biography, discography, lessons, and essays.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.guitar69.com/"} +{"d:Title": "Grano, Giovanni", "d:Description": "Biography, discography and photos. Based in Italy.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.seicorde.it/Grano/"} +{"d:Title": "Ganjavi, Reza", "d:Description": "Guitarist, vocalist and producer. Performs music of 5 centuries, from Renaissance to romantic, from Bach to Beatles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://home.datacomm.ch/rezamusic/"} +{"d:Title": "Gyra, Varvara", "d:Description": "A Greek guitarist, now resident in Paris, offers her biography, performance schedule, reviews, information on her repertoire, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/G", "url": "http://www.varvaragyra.com/"} +{"d:Title": "Hii, Philip", "d:Description": "Biography, CDs, and articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/H", "url": "http://www.philiphii.com/"} +{"d:Title": "Harting-Ware, Lynn", "d:Description": "Classical Guitarist. MP3s, CDs, biography, reviews, compositions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/H", "url": "http://www.acoma-co.com/classical/guitarist/Harting-Ware.html"} +{"d:Title": "Hudson, Roger", "d:Description": "Biography of a Nashville-based guitarist, with music samples, information on compositions and recordings, and photo gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/H", "url": "http://www.rogerhudson.com/"} +{"d:Title": "Haussner, Gert", "d:Description": "Biography and discography of a guitarist/lutenist based in Austria. Also includes information on his instruments and compositions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/H", "url": "http://www.members.aon.at/haussner/"} +{"d:Title": "Harmon, Tony", "d:Description": "Biography, discography, audio samples, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/H", "url": "http://www.tonyharmon.com/"} +{"d:Title": "Hand, Frederic", "d:Description": "Guitarist and composer, serving as the (New York) Metropolitan Opera's guitarist and lutenist; includes biography, performance schedule, teaching positions, discography, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/H", "url": "http://frederichand.com/"} +{"d:Title": "Isbin, Sharon", "d:Description": "Pictures, biography, repertoire, discography, concert schedule, management information, and press releases.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/I", "url": "http://www.sharonisbin.com/"} +{"d:Title": "Infantino, Danny", "d:Description": "Classical, folk and rock guitarist. Sound samples and a form to order his CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/I", "url": "http://www.dannyinfantino.com/"} +{"d:Title": "Iwakura, Tomo", "d:Description": "Classical concert guitarist; contains a biography, discography, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/I", "url": "http://www.iwakura.de/"} +{"d:Title": "Illarionov, Dimitri", "d:Description": "Russian-born classical guitarist; includes profile, discography, performance schedule, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/I", "url": "http://www.illarionov.com/"} +{"d:Title": "Jarjour, Ayman", "d:Description": "Graduate of Madrid's Royal Conservatory and Juilliard. Biography, CD, performance and concert details, programs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/J", "url": "http://jarjour.8m.com/"} +{"d:Title": "Kowsoleea, Ivanildo", "d:Description": "Performer and teacher; includes his profile and discography. [German and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/K", "url": "http://www.kowsoleea.de/"} +{"d:Title": "Krstic, Branimir", "d:Description": "Audio files, biography, repertoire, review, and list of compositions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/K", "url": "http://www.branimir.net/"} +{"d:Title": "Kearney, Patrick", "d:Description": "Biography and discography of Canadian guitarist and performer; includes reviews and audio samples. [French and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/K", "url": "http://www.patrickkearney.ca/"} +{"d:Title": "Kavanagh, Dale", "d:Description": "Canadian-born concert classical guitarist offers her profile, concert and international program, discography and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/K", "url": "http://www.kavanagh.de/"} +{"d:Title": "Koch, Gareth", "d:Description": "Contains tour dates, CDs, sound samples, and links of the classical guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/K", "url": "http://www.garethkoch.com/"} +{"d:Title": "Leisner, David", "d:Description": "Classical guitar virtuoso and composer. Biography, discography, reviews, articles, and list of works.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/L", "url": "http://davidleisner.com/"} +{"d:Title": "Lagrutta, Aldo", "d:Description": "Concert guitarist with an international career; includes biography, dates, reviews and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/L", "url": "http://www.aldolagrutta.com/"} +{"d:Title": "Mantovani, Luiz", "d:Description": "Brazilian classical guitarist; includes biography, discography, and international concert schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.luizmantovani.com/"} +{"d:Title": "McFaden, Jeffrey", "d:Description": "Biography, sound samples, and program options for the Canadian guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.jeffreymcfadden.com/"} +{"d:Title": "Morris, Scott", "d:Description": "Information on a new CD, biography, links, downloads, concerts, and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.scottmorris.net/"} +{"d:Title": "Moschella, Alexandre", "d:Description": "Concert news, sound samples, essays about guitar and music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.alexandremoschella.com/main.htm"} +{"d:Title": "Manuel Dapena, Jos\u00e9", "d:Description": "Classical guitarist from Spain. International concert activity, repertoire, reviews, and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.manueldapena.com/"} +{"d:Title": "Alessio Monti", "d:Description": "Biography, reviews, discography, and demo programs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.alessiomonti.com/"} +{"d:Title": "M\u00e1rquez, Pablo", "d:Description": "Biography, discography, concert and master-class program of an Argentinian-born guitarist, now professor in Swiss music academy. [Spanish, French, and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://pablomarquez.free.fr/"} +{"d:Title": "Marchionda, Stephen", "d:Description": "Biography, discography, press reviews and performing schedule for a concert guitarist with a special interest in contemporary music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.stephenmarchionda.com/"} +{"d:Title": "Mann, Ross", "d:Description": "Classical guitarist, chamber musician, and teacher, based in Philadelphia, US. Includes profile, performance schedule, and MP3 tracks.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.rossmannguitar.com/"} +{"d:Title": "McClelland-Coust\u00e9 Duo", "d:Description": "Guitarist and lutenist duo. Includes profiles, reviews, articles, information about concert programs and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://pagesperso-orange.fr/mcclelland.couste/"} +{"d:Title": "Marsh, Steve", "d:Description": "Classical guitarist, composer and publisher. Includes profile, discography, and details of his compositions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/M", "url": "http://www.stevemarsh.uk.com/"} +{"d:Title": "Nicolella, Michael", "d:Description": "Biography, discography, concert schedule, repertoire and lessons", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/N", "url": "http://www.nicolella.com/"} +{"d:Title": "Navarro, Jos\u00e9 Angel", "d:Description": "Cuban-born concert guitarist and composer, playing classical, jazz and Afro-Cuban folk music. Includes biography, discography, audio samples, and concert listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/N", "url": "http://www.joseangelnavarro.com/"} +{"d:Title": "Orlandini, Luis", "d:Description": "Chilean classical guitarist. Offers his biography, discography, and details of his international touring and performance schedule. [English and Spanish]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/O", "url": "http://www.luisorlandini.cl/"} +{"d:Title": "Prague Guitar Quartet", "d:Description": "Information, reviews, repertoire, MP3 files, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/P", "url": "http://www.pgq.cz/"} +{"d:Title": "Platino, Franco", "d:Description": "Biography, press clips, news, discography, sound clips, and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/P", "url": "http://www.francoplatino.com/"} +{"d:Title": "Pugsley, Ben", "d:Description": "Biography, photos, MP3 samples, and repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/P", "url": "http://www.classical-guitarist.org.uk/"} +{"d:Title": "Palacios, Antonio L\u00f3pez", "d:Description": "Latin American concert artist who also plays an 8-string guitar; includes biography, discography, performance listing, and audio/video samples. [Spanish and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/P", "url": "http://www.antoniolopezmx.com/"} +{"d:Title": "Parkening, Christopher", "d:Description": "Biography, recordings, publications, forthcoming concerts and master classes.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/P/Parkening,_Christopher", "url": "http://www.parkening.com/"} +{"d:Title": "Romero, Angel", "d:Description": "Conductor and guitarist. Sound and video clips, plus photo galleries and upcoming performance schedules.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/R", "url": "http://www.angelromero.com/"} +{"d:Title": "Romero, Pepe", "d:Description": "Biography, articles, reviews, pictures, recordings, and mailing list.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/R", "url": "http://www.peperomero.com/"} +{"d:Title": "Ryan, Michael", "d:Description": "Claremont, CA, USA classical/new age guitarist. Bio, reviews, CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/R", "url": "http://www.michaelryanmusic.com/"} +{"d:Title": "Rattenbury, Jon", "d:Description": "Classical and Spanish guitar, arrangements of jazz songs, pop hits and songs from shows. London.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/R", "url": "http://www.classical-guitarist.biz/"} +{"d:Title": "Rudnev, Sergei", "d:Description": "Profile of a Russian guitarist-composer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/R", "url": "http://www.seicorde.it/Pocci/rudnev.htm"} +{"d:Title": "Ragossnig, Konrad", "d:Description": "Austrian-born guitarist and lutenist; biography, discography, press pack and list of his writings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/R", "url": "http://www.konradragossnig.com/"} +{"d:Title": "Stutz, Reiner", "d:Description": "Biography, discography, audio samples, and reviews of a German-born guitarist; includes information about his compositions, and ensembles with which he works.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://www.stutz-gitarre.de/"} +{"d:Title": "Steele, Jeffry Hamilton", "d:Description": "Performer and composer. CDs, audio samples, and sheet music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://www.jeffrysteele.com/"} +{"d:Title": "Smits, Raphaella", "d:Description": "Biography, calendar, discography, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://www.rsmits.com/"} +{"d:Title": "Scuderi, Agatino", "d:Description": "Italian classical guitarist, professor at Vincenzo Bellini Conservatory. Biography, photos, review, recording, and repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://www.agatinoscuderi.it/"} +{"d:Title": "Smith, Michael Cedric", "d:Description": "New York based. Biography, activities, and CD announcement.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://www.michaelcsmith.com/"} +{"d:Title": "Sardinha, Anibal Augusto", "d:Description": "Brazilian guitarist. Biography, pictures, and Real Audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://brazilianmusic.com/garoto/"} +{"d:Title": "Sundkvist, Joel", "d:Description": "Solo and ensemble performer, and teacher, from Sweden. Includes biographical notes, repertoire, performance schedule, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://www.joelsundkvist.com/"} +{"d:Title": "Sala, Flavio", "d:Description": "Italian classical guitarist offers his biography, discography, tour information, and an outline of his repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S", "url": "http://www.flaviosala.com/"} +{"d:Title": "Segovia, Andr\u00e9s", "d:Description": "A collection of articles about the great classical guitarist; includes a biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S/Segovia,_Andr\u00e9s", "url": "http://www.cumpiano.com/Home/Articles/Transcriptions/Segovia/Segovia.html"} +{"d:Title": "Segovia, Andr\u00e9s", "d:Description": "An obituary of Segovia, from the New York Times.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/S/Segovia,_Andr\u00e9s", "url": "http://www.nytimes.com/learning/general/onthisday/bday/0221.html"} +{"d:Title": "Tampalini, Giulio", "d:Description": "Italian classical guitarist. Tour dates, publications, biography, and downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/T", "url": "http://www.giuliotampalini.it/"} +{"d:Title": "Tortorelli, Luciano", "d:Description": "Performer and teacher. Biography, audio samples, reviews, repertoire and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/T", "url": "http://www.lucianotortorelli.com/"} +{"d:Title": "Temple, David", "d:Description": "Biography, bookings, events, and CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/T", "url": "http://www.davidtemple.com/"} +{"d:Title": "Thomas, Alan", "d:Description": "British guitarist and composer; includes biography, disco- and bibliography, reviews, performance schedule, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/T", "url": "http://www.guitarclassics.co.uk/"} +{"d:Title": "Interview with Oleg Timofeyev", "d:Description": "Audio files of an interview with the Russian musician and scholar first broadcast on Minnesota Public Radio; includes a biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/T/Timofeyev,_Oleg", "url": "http://music.minnesota.publicradio.org/features/0311_timofeyev/"} +{"d:Title": "Vinicius, Marcos", "d:Description": "Music downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/V", "url": "http://www.marcosvinicius.it/"} +{"d:Title": "Vondiziano, Paul", "d:Description": "Biography, performance schedule, and information about his recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/V", "url": "http://www.paulvondiziano.com/"} +{"d:Title": "Wayne, Sharon", "d:Description": "San Francisco based classical guitarist. List of recordings, concert announcements, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/W", "url": "http://www.sharonwayne.net/"} +{"d:Title": "Wangenheim, Andreas von", "d:Description": "Performer and professor for guitar at the Music Conservatory in Karlsruhe, Germany. Includes biography, discography, schedule, press reviews, and details of his repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/W", "url": "http://www.philmultic.com/artists/wangenheim/"} +{"d:Title": "Williams, John", "d:Description": "An enthusiast provides a biography, discography, FAQ and a list of quotes.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/W/Williams,_John", "url": "http://plum.cream.org/williams/"} +{"d:Title": "Williams, John", "d:Description": "Wikipedia article offers a biography of an Australian known primarily as a classical guitarist, who has explored many different musical traditions; also a composer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/W/Williams,_John", "url": "http://en.wikipedia.org/wiki/John_Williams_(guitarist)"} +{"d:Title": "Youth Guitar Orchestra", "d:Description": "Located in Baden-W\u00fcrttemberg, Germany. Performance dates, CD, repertoire, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/Y", "url": "http://jgo-bw.de/"} +{"d:Title": "Zanabili, Michael", "d:Description": "Biography, reviews, discography, and order form.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/Z", "url": "http://www.arrowrec.com/michael/"} +{"d:Title": "Zohourian, Jamal", "d:Description": "Iranian classical guitarist and composer; includes a biography, articles and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Guitarists/Z", "url": "http://www.zohourian.com/"} +{"d:Title": "Guitarra Magazine", "d:Description": "An online magazine and resource for classical guitar; includes articles on musicians, history, and playing techniques; also includes sheet music, an events listing, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Magazines_and_E-zines", "url": "http://www.guitarramagazine.com/"} +{"d:Title": "Classical and Flamenco Guitar MIDIs", "d:Description": "20 Studies by Fernando Sor (arranged by Ray Izumi), the famous 25 Studies by Carcassi (arranged by John Chiu), and others.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/MIDI", "url": "http://www.guitarist.com/midis/midis.htm"} +{"d:Title": "Perso Flamenco: Classic", "d:Description": "Links to 400+ MIDI files, many on the site.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/MIDI", "url": "http://perso.flamenco.free.fr/english/scores/classic.htm"} +{"d:Title": "Guitar Societies", "d:Description": "Lists contact details for various guitar societies internationally.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations", "url": "http://www.debone.com/guitsoc.html"} +{"d:Title": "Classical Guitar Society of Western Australia", "d:Description": "Membership, programmes and general information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations", "url": "http://www.guitarwa.com.au/"} +{"d:Title": "Guitar Foundation of America", "d:Description": "Offers a calendar of events, festivals, concerts, teachers' registry, extensive links to individual artists pages, directory of guitar societies, luthiers, list of publishers and guitar accessories suppliers, links to mailing lists and newsgroups.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations", "url": "http://www.guitarfoundation.org/"} +{"d:Title": "Bangkok Guitar Society", "d:Description": "Promotes and supports classical guitar in Thailand; details of festivals, concerts and other events.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/Asia", "url": "http://www.bangkokguitar.com/"} +{"d:Title": "The Classical Guitar Society (Malaysia)", "d:Description": "Promotes classical guitar playing in Malaysia; information about membership, activities, and a list of teachers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/Asia", "url": "http://www.cgs.net.my/"} +{"d:Title": "Edmonton Classical Guitar Society", "d:Description": "Concert season and master classes overview with calendar of events, newsletter, and articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/Canada", "url": "http://www.edmontonclassicalguitarsociety.org/"} +{"d:Title": "Regina Classical Guitar Society", "d:Description": "Members details, pictures and links to guitarists, societies, resources and local events.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/Canada", "url": "http://www.rcgs.ca/"} +{"d:Title": "Swedish Guitar and Lute Society - SGLS", "d:Description": "Provides a concert calendar, courses, quarterly magazine, and links. [Swedish/English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/Sweden", "url": "http://www.sgls.nu/"} +{"d:Title": "Southampton Classical Guitar Society", "d:Description": "Details of monthly meetings and performances. UK resources and local teachers list. Hampshire.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_Kingdom", "url": "http://www.scgs-guitar.org.uk/"} +{"d:Title": "Stafford Classical Guitar Centre", "d:Description": "This UK site is full of useful information on guitars, performers, concerts and competitions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_Kingdom", "url": "http://www.staffordguitar.com/"} +{"d:Title": "Dorset Guitar Society", "d:Description": "About the society, upcoming events, and meetings calendar. [Dorset]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_Kingdom", "url": "http://www.dorsetguitarsociety.org.uk/"} +{"d:Title": "The Berkshire Guitar Society", "d:Description": "Events, newsletter, reviews, gallery and schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_Kingdom", "url": "http://www.berkshireguitarsociety.org.uk/"} +{"d:Title": "Connecticut Classical Guitar Society", "d:Description": "The Society presents concerts of world famous classical guitarists, sponsors an ensemble, masterclasses, news letter, performing opportunities and teaching referrals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://www.ctguitar.org/"} +{"d:Title": "Baltimore Classical Guitar Society - BCGS", "d:Description": "Features news of concerts and festivals, with details of competitions, workshops, and open recitals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://www.bcgs.org/"} +{"d:Title": "Fort Worth Classic Guitar Society", "d:Description": "Concert season performers' profiles and photographs; Guitar-On-The-Go outreach program; master classes and instruction; links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://guitarsociety.org/"} +{"d:Title": "Seattle Classic Guitar Society", "d:Description": "Datebook, events calendar, articles, announcements and detailed concert reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://www.seattleguitar.org/"} +{"d:Title": "Guitar Houston", "d:Description": "Non profit classical guitar society covering the greater Houston, Texas area. Includes events, society profile, and directories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://www.guitarhouston.org/"} +{"d:Title": "Piedmont Classic Guitar Society", "d:Description": "(North Carolina) Concert schedule, past performers, guitar orchestra, membership information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://www.piedmontclassicguitarsociety.org/"} +{"d:Title": "Columbus Guitar Society", "d:Description": "promotes classical guitar education and performance in Central Ohio - monthly meetings - concert series", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://www.columbusguitarsociety.org/"} +{"d:Title": "South Bay Guitar Society", "d:Description": "Promotes classical and related guitar music to people of all cultures, ages, and abilities in the San Jose, California area. Includes an events calendar, an open mic schedule, membership information and a list of instructors.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Organizations/United_States", "url": "http://www.sbgs.org/"} +{"d:Title": "Valas, Horace", "d:Description": "A second beginner maintains a journal and video index for practice sessions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Personal_Pages", "url": "http://www.hav.com/junk/"} +{"d:Title": "Composition of the Season", "d:Description": "A collection of contemporary compositions for guitar; includes scores to download, and MP3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Personal_Pages", "url": "http://www.composition-of-the-season.de/"} +{"d:Title": "Sayles, Jon", "d:Description": "A collection of MP3 recordings of Early and Renaissance music for transcribed to classical guitar (solo and ensemble); also some Bach and Christmas carols.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Personal_Pages", "url": "http://www.jsayles.com/familypages/earlymusic.htm"} +{"d:Title": "Classical Guitar Sheet Music Scores Download", "d:Description": "Adobe Acrobat-formatted scores with corresponding RealAudio: 23 original compositions, 5 transcriptions; by Richard Miles Jackman.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://www.classical-guitar.net/"} +{"d:Title": "Scores and Tablatures for Flamenco and Classical guitars", "d:Description": "50 flamenco and 100 classical scores for guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://perso.flamenco.free.fr/english/indexe.htm"} +{"d:Title": "Classical Guitar", "d:Description": "Guitar and lute music arranged by Allan Alexander.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://home.earthlink.net/~guitarandlute/"} +{"d:Title": "Dirk's Guitar Page", "d:Description": "An archive of music for classical and latin guitar in TablEdit, MIDI and PDF format.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://dirk.meineke.free.fr/"} +{"d:Title": "Classical Guitar Sheet Music and Tablature", "d:Description": "Offers a range of classical pieces and transcriptions for the guitar, in score notation and tablature. Listed by title and composer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://www.cgsmusic.net/"} +{"d:Title": "Hochweber, J\u00fcrg", "d:Description": "A substantial collection of downloadable guitar music for beginners and professionals. (PDF files, GIFs, tablature and MIDI files).", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://www.hochweber.ch/guitar.htm"} +{"d:Title": "Hertzberg Guitar Book", "d:Description": "A brief description of book which belonged to Johanne Hertzberg of Denmark (1708-1801), with scans of sheet music for baroque guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://folk.uio.no/henninho/Gitar.html"} +{"d:Title": "Guitar Music", "d:Description": "A collection of compositions, arrangements, and transcriptions of music for guitar, in a range of formats.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://www.daisyfield.com/music/guitar.htm"} +{"d:Title": "David's Classical Guitar Site", "d:Description": "Offers sheet music in PDF and gif formats, with audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Sheet_Music", "url": "http://classicalguitar.homeip.net/"} +{"d:Title": "Marc sa guitare", "d:Description": "Free compositions and transcriptions for classical guitar and piano, with MIDI files. Includes FAQs, site map and related links. In French and English.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Transcriptions", "url": "http://www.guitares.org/"} +{"d:Title": "Classical Guitar Trancriptions", "d:Description": "Downloadable classical guitar music. Includes catalog of music for sale, free music, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Classical/Transcriptions", "url": "http://www.yatesguitar.com/"} +{"d:Title": "Guitar Notes", "d:Description": "A directory covering guitars, guitarists, tabs and mp3s, lessons and shopping.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Directories", "url": "http://www.guitarnotes.com/"} +{"d:Title": "Guitar Seek", "d:Description": "A directory of links related to guitars and guitar-playing, equipment, tabs and lyrics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Directories", "url": "http://www.guitarseek.com/"} +{"d:Title": "Guitar Manufacturers Guide", "d:Description": "Database for manufacturers of guitars, amplifiers and related products.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Directories", "url": "http://www.gitaarnet.nl/guitar/data/merken/manufacturers.html"} +{"d:Title": "The GuitarPort.com", "d:Description": "Categorised listings of links to guitar related information - about the instrument, players, playing styles, accessories, makers and repairs, and teachers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Directories", "url": "http://www.theguitarport.com/"} +{"d:Title": "NoteBoat: Tools for Guitarists", "d:Description": "A directory of guitar-related resources, including theory, book suppliers, players, chord and tab links, and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Directories", "url": "http://www.noteboat.com/"} +{"d:Title": "Guitar Lovers", "d:Description": "Teaching advice on many aspects of guitar playing including chords, arpeggios, rock licks, tunings amongst others. Features incorporate diagrams, tabs and audio backing tracks.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitar.ch/"} +{"d:Title": "Guitar Lesson World", "d:Description": "Resource for learning how to play, tune, and repair the guitar. Includes basics, lessons, tab, chords, solo-ing, and site download.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarlessonworld.com/"} +{"d:Title": "Tone Settings - Guitar and Bass Amps", "d:Description": "Growing collection of guitar/bass amplifier sound settings. Includes comments, sound clips, and submissions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.tonesettings.com/"} +{"d:Title": "WholeNote", "d:Description": "Guitar community where visitors can take or make on-line guitar lessons. Includes tab and music playback, links, forums, equipment, and ear training.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.wholenote.com/"} +{"d:Title": "Music and Guitar - Naftali Lahav", "d:Description": "Original courses for educators, guitar studio, and the webmaster's own compositions. In English and Hebrew.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.nl-guitar.com/"} +{"d:Title": "Cyberfret", "d:Description": "Free online guitar lessons using video, audio, midi and notation to make the learning experience both fun, and easy to understand.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.cyberfret.com/"} +{"d:Title": "Guitar Secrets", "d:Description": "A visual learning experience. Guitar chords, scales, private and free guitar lessons. Video, audio and colored illustrations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://guitarsecrets.com/"} +{"d:Title": "Chord House", "d:Description": "Easily get detailed finger settings for 1,000+ guitar chords, clearly displayed in the graphical manner familiar from music books. Selected chords can be printed.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.looknohands.com/chordhouse/"} +{"d:Title": "Guitar Tricks", "d:Description": "Learn guitar from the beginning. Learn to play with step by step online video guitar lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitartricks.com/"} +{"d:Title": "Guitarology.com", "d:Description": "Music theory applied to the guitar. A collection of scales, chords, arpeggios and chord progressions in graphic format and conventional music notation.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarology.com/"} +{"d:Title": "Zager", "d:Description": "Online guitar instruction combining lead line, bass, rhythm, chord structure and phrasing of the lead line.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.zagerguitar.com/"} +{"d:Title": "Guitar Principles", "d:Description": "Emphasizes playing techniques involving minimum strain. Also gives tips on motivation, choosing a teacher and developing a repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarprinciples.com/"} +{"d:Title": "About Guitar", "d:Description": "Featuring beginner and advanced guitar lessons, tablature and lyric resources, a question and answer forum, and links to guitar resources on the Web.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://guitar.about.com/"} +{"d:Title": "Guitar for Beginners", "d:Description": "Devoted to the basics of music and the guitar. Buying, tuning, reading music, chords, scales, and strumming tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarforbeginners.com/"} +{"d:Title": "Music V.I. Guitar and Piano Lessons", "d:Description": "Piano and guitar all audio lessons, including courses for the blind. Commercial site with free samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.musicvi.com/"} +{"d:Title": "Money Chords", "d:Description": "Popular chord progressions, chord substitutions, chord construction, guitar chord charts, and songwriting links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.angelfire.com/fl4/moneychords/"} +{"d:Title": "M.A.M.I. Music Scales Atlas", "d:Description": "Offers a guitar chord and scale reference text that includes extensive fingerboard note and interval scale charts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.mamimusic.com/"} +{"d:Title": "Andrew's Guitar Page", "d:Description": "This site covers in detail the rudiments of music, specifically for beginning guitar players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.angelfire.com/musicals/andrewsguitarpage/home.html"} +{"d:Title": "Guitar Lix Interactive", "d:Description": "Features licks, riffs and solos for lead guitar in streaming audio. Also includes information on scales and modes.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.gitlix.net/"} +{"d:Title": "Guitar Noise", "d:Description": "Guitar education site featuring free online lessons, musician columns, and theory pointers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarnoise.com/"} +{"d:Title": "Vision Music", "d:Description": "Online guitar and bass lessons by Mark Stefani.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.visionmusic.com/"} +{"d:Title": "Guitar Tutor Online", "d:Description": "Free online guitar lessons. Sample tunes are in MIDI and mp3 formats.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitartutoronline.com/"} +{"d:Title": "New Music Educational Project", "d:Description": "An educational program in which each pupil constructs their own instrument. Includes information, video and information on how to order a kit guitar. [English/Hebrew]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.angelfire.com/il/guitareduc/educ.html"} +{"d:Title": "OC Music", "d:Description": "Offers basic guitar lessons, a Shockwave treble and bass-clef note finder, an online tuner, and a theory-quiz game. Also includes Piano chord and scale finder.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.ocmusic.com/"} +{"d:Title": "Electric-Guitar.Co.Uk", "d:Description": "Free guitar lessons, tablature, chords, and basic theory. Offers a members area, search and submit tab feature, and forums.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.electric-guitar.co.uk/"} +{"d:Title": "Intimate Audio", "d:Description": "Free guitar lessons from Guitar One magazine's Dale Turner. Includes MP3 demo's, tab, and interviews with Paul Gilbert, Yngwie Malmsteen, Joe Satriani, and George Lynch.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.intimateaudio.com/"} +{"d:Title": "Internet Guitar Database", "d:Description": "A library of lessons for beginners and more advanced players, chord database, with information on guitar setup. Also includes reviews of equipment.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.igdb.co.uk/"} +{"d:Title": "Guitar Chords Magic", "d:Description": "Guitar chord lessons, charts, pictures, songs, music theory and chord finder.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarchordsmagic.com/"} +{"d:Title": "The WWW Guitar Advisor", "d:Description": "Resource for novice and aspiring novice guitarists. Choosing a guitar, care and feeding, and repairs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.merde.org/guitar/"} +{"d:Title": "Get Tuned", "d:Description": "Information on the various methods on tuning guitars; includes an on-line tuner.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.get-tuned.com/"} +{"d:Title": "Guitar KnowledgeNet", "d:Description": "Collection of tools and lessons designed to help guitarists of all levels and playing styles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarknowledgenet.com/"} +{"d:Title": "World Guitar", "d:Description": "Contains jamming software, scales, chords, riffs, lessons, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.worldguitar.com/"} +{"d:Title": "Joyce's Guitar Lessons", "d:Description": "Guitar lessons for beginners, making use of tabs, so no knowledge of reading music is necessary.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.joice.dds.nl/"} +{"d:Title": "Justinguitar", "d:Description": "Lessons, transcriptions, tab songs, sound files and chord charts, biographies of significant players, jokes, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.justinguitar.com/"} +{"d:Title": "My Guitar Workshop", "d:Description": "Provides guitar lessons, instruction, tips, tab finder, and music theory for all level guitar players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.myguitarworkshop.com/"} +{"d:Title": "Morphis.com Guitar Lessons", "d:Description": "Online guitar lessons with downloadable sound files that are geared toward beginner guitar player. Lessons are step by step offering advice, sound files, and exercises.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.morphis.com/"} +{"d:Title": "Visual Guitar", "d:Description": "Color diagrams of guitar scales, modes and theory. Complete guitar fretboard analysis and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://visualguitar.com/"} +{"d:Title": "Guitar Metal", "d:Description": "Metal style guitar lessons and discussion. Includes videos, articles, interactive examples, free guitar chords generator, Rhandy Rhoads specials, beginner and advanced music theory.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarmetal.com/"} +{"d:Title": "My Guitar Solo", "d:Description": "Varied guitar learning content. Includes scales, technique exercises, chops, licks, improvisation tips, backing track midi files, jazz and blues practice, bios of guitar players, buying tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.myguitarsolo.com/"} +{"d:Title": "Robin May / Guitar Tuition", "d:Description": "Offers online guitar tuition, with lessons, free MP3 backing tracks, and music theory for guitarists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.robinmay.co.uk/"} +{"d:Title": "How To Tune A Guitar", "d:Description": "Includes chord finder, guitar tuning methods, online guitar tuner, drop tuning guides, 10 tuning tips and secrets.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.howtotuneaguitar.org/"} +{"d:Title": "Start Playing Guitar", "d:Description": "Aimed at starter and beginner players. Includes first chords, reading music, easy songs, theory, changing strings, and choosing guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.start-playing-guitar.com/"} +{"d:Title": "Guitar Shred Show", "d:Description": "Animated guitar site. Mr. Fastfinger reveals the arts and secrets of heavy rock guitar to all. Includes mode lessons, demos, and presentation. [Requires Flash]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitarshredshow.com/"} +{"d:Title": "TCG's Guitar Page", "d:Description": "Guitar enthusiast's links and \"entertaining ramblings\". Includes tab, old classic and standard jam tunes, tablature bummers, and related links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://incolor.inebraska.com/tgannon/guit.html"} +{"d:Title": "Insane Guitar", "d:Description": "Dedicated to Shred-style guitar and playing, with audio samples, including some on video. Also contains master classes, jam tracks, archives, and a message board.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.insaneguitar.com/"} +{"d:Title": "Infinite Guitar Lessons", "d:Description": "Online video guitar lessons taught by professional instructors around the world.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.infiniteguitar.com/"} +{"d:Title": "Jim Sutton Institute of Guitar", "d:Description": "International correspondence guitar school. Jazz, blues, latin, country, all styles and levels of personalized studies available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education", "url": "http://www.guitar-jimsuttoninst.com/"} +{"d:Title": "International Guitar Seminars", "d:Description": "Provides acoustic blues and slide guitar workshops throughout North America.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Camps_and_Workshops", "url": "http://www.guitarseminars.com/"} +{"d:Title": "Guitar Weekends", "d:Description": "Contact and meeting point for organised guitar learning weekends. UK based, covers various styles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Camps_and_Workshops", "url": "http://www.guitarweekends.co.uk/"} +{"d:Title": "Guitar Chords Generator", "d:Description": "Online and downloadable guitar chords generator.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://chords.kytara.cz/"} +{"d:Title": "WS64 Guitar Chords", "d:Description": "Graphical display of chords, including 6ths, 9ths and other jazz chords. All chords available as MIDI-files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://www.chordfind.com/guitar/"} +{"d:Title": "Guitar Chord Generator", "d:Description": "Standard and alternative tunings. Design your own printable chord charts", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://www.gootar.com/folder/guitar.html"} +{"d:Title": "All Guitar Chords", "d:Description": "Chord finder, including split chords and chord variations. Also features standard and exotic guitar scales.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://www.all-guitar-chords.com/"} +{"d:Title": "Chord Book", "d:Description": "Virtual guitar and chordbook. Interactive, flash based reference tool for guitar players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://www.chordbook.com/"} +{"d:Title": "JGuitar", "d:Description": "Guitar chord generator and scale calculator. Calculate fingerings for any chord on any stringed instrument in any tuning. Includes other useful tools such as chord namer and tab mapper.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://jguitar.com/"} +{"d:Title": "Scalculator", "d:Description": "Online scale and mode calculator for guitar or bass.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://www.ushimitsudoki.com/scalculator/"} +{"d:Title": "Guitar Chord Finder", "d:Description": "Displays guitar chord diagrams on a real guitar. Listen to the chords played on either a clean channel or a distortion channel.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Education/Chord_Dictionaries", "url": "http://www.infiniteguitar.com/chord-finder.php"} +{"d:Title": "Fetish Guitars", "d:Description": "Collector site partly in Italian about Italian vintage guitars like Eko, but also about other rare European manufacturers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.fetishguitars.com/"} +{"d:Title": "Shergold Guitars", "d:Description": "A guide to these classic 70s British guitars, basses and double necks, made famous by Mike Rutherford of Genesis. Includes model specifications and dates, spare parts, gallery and press clippings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.shergold.co.uk/"} +{"d:Title": "Parker Fly Manual On-Line", "d:Description": "An HTML version of the Parker Fly guitar manual, complete with illustrations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://members.tripod.com/thepfly/"} +{"d:Title": "Tokai Guitar Registry", "d:Description": "Private site about this Japanese manufacturer with FAQs, reviews, user forum, serial number database with guitar descriptions and catalogs to determine the date of vintage Tokais.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.tokairegistry.com/"} +{"d:Title": "The Gretsch Pages", "d:Description": "Reviews, information and discussion on Gretsch guitars and amplifiers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.gretschpages.com/"} +{"d:Title": "GuitarGeek.com", "d:Description": "Guitar and bass player stage and studio rig diagrams. Includes a forum, interviews and gear reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.guitargeek.com/"} +{"d:Title": "San Dimas Charvel", "d:Description": "A resource for players, collectors, and fans of Charvel guitars manufactured in San Dimas, California from 1977-1986. Includes photos, details and specifics regarding design features.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.sandimascharvel.com/"} +{"d:Title": "Jeff Maguire's History of the Electric Guitar", "d:Description": "The development of the electric guitar from the perspectives of both the inventors and the most influential players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.angelfire.com/music2/myguitar/ggcov.html"} +{"d:Title": "Guitar Gear Information", "d:Description": "Lists instruments, amps and effects of well-known guitarists from the heavy department, with picture gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.angelfire.com/pa3/guitargear/"} +{"d:Title": "The Jackson Guitar Palace", "d:Description": "Dedicated to Jackson and Charvel guitars in Finland. Information about the instruments, pictures and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.jacksonguitarpalace.com/"} +{"d:Title": "Vintage Guitar Buyer.com", "d:Description": "A player who collects and trades old guitars and amps. Offers galleries and \"how to sell\" details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.vintageguitarbuyer.com/"} +{"d:Title": "Steve Russell's Vintage Hofner Site", "d:Description": "Featuring the vintage Hofner guitars of the 1950s and 60s, with photos and historical snippets of his own collection, and information on British amplifiers of the same period.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.vintagehofner.co.uk/"} +{"d:Title": "The Wolfgang Registry", "d:Description": "For enthusiasts of Eddie Van Halen (EVH) licensed guitars, amps and effects. Includes registry, technical information, and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.wolfgangregistry.com/"} +{"d:Title": "Electric Guitar Tone", "d:Description": "An article about how rock'n roll guitarists produce their tones, looking at guitars, amplifiers, the Fender Stratocaster, and boutique amps; from Derek Miller's weblog.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.penmachine.com/musicpages/guitartone.html"} +{"d:Title": "Cheesy Guitars", "d:Description": "Dedicated to guitars and effects produced behind the Iron Curtain; includes articles, photos and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.meatexz.com/cheesyguitars/"} +{"d:Title": "Hamer Fan Club", "d:Description": "Devoted to Hamer guitars and those who play them.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.hamerfanclub.com/"} +{"d:Title": "Guitars Galore", "d:Description": "Collector and author Hans Moust about Guild guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.guitarchives.nl/guitarsgalore/"} +{"d:Title": "The Fab Guitars of the Beatles", "d:Description": "Everything about the individual guitars of the Fab Four.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.thecanteen.com/fabguitars.html"} +{"d:Title": "Vintage Rocker", "d:Description": "Collector site describing several company instruments and their features like serial numbers, headstocks and logos, also offers a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.vintagerocker.com/"} +{"d:Title": "The JV Chronicles", "d:Description": "Offers Information about Fender Japan Squier and JV Stratocaster guitars and made in Japan Basses, with a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.21frets.com/"} +{"d:Title": "Ovation Electric Guitars", "d:Description": "Large collection of solid bodies, hardware and amps with specifications and pictures for many models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.baronaudio.com/collection/"} +{"d:Title": "Guitar Attack", "d:Description": "For aspiring builders and repairers trying to learn the trade, also helping players to adjust and repair their guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.guitarattack.com/"} +{"d:Title": "Dr. Ducks Dating Service", "d:Description": "Semi-complete list of brands of guitars and the years they were made in.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.ducksdeluxe.com/datesvc.html"} +{"d:Title": "Carvin Museum", "d:Description": "History of Carvin Musical Instruments including amplifiers, guitars and basses, offering galleries, catalogs, ID guides and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.carvinmuseum.com/"} +{"d:Title": "Watkins Guitar World", "d:Description": "Dedicated to Watkins, WEM, Wilson guitars as well as WEM amps and the Copicat echo effect.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.watkinsguitars.co.uk/"} +{"d:Title": "Ovation Breadwinner", "d:Description": "Fan site about this electric guitar model by Ovation.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.doremi.co.uk/breadwinner/"} +{"d:Title": "Legendary Tones", "d:Description": "Reviews and articles to help people out with information about tone.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.legendarytones.com/"} +{"d:Title": "The Vox Showroom", "d:Description": "Private site showing old and new Vox guitars and amps as well as other products.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.voxshowroom.com/equipment/"} +{"d:Title": "Mosrite guitars and the Ventures", "d:Description": "Japanese fan about these guitars, their copies and the band.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.ne.jp/asahi/mosrite/the-ventures/english/e_mosrite_ventures.html"} +{"d:Title": "Steinberger World", "d:Description": "Information about Steinberger guitars and basses for their enthusiasts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.steinbergerworld.com/"} +{"d:Title": "Vintage Kramer", "d:Description": "For fans of an 80's legend that started out in the 1970's as an aluminum guitar maker.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.vintagekramer.com/"} +{"d:Title": "Spector World", "d:Description": "Fan site about the instruments of this manufacturer with catalogs, galleries and free classified ads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.spectorworld.com/"} +{"d:Title": "Travis Bean Guitars", "d:Description": "Provides a platform for people interested in the various Travis Bean guitars/basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.travisbeanguitars.com/"} +{"d:Title": "Lag Fan Club", "d:Description": "For fans of this French manufacturer of guitars and basses with galleries, catalogs, articles and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://members.home.nl/denisweening/Lag%20Fanclub%202005/Lagfanclub2005.htm"} +{"d:Title": "Micro-Frets", "d:Description": "A register and gallery of vintage Micro-Frets guitars which were released in the mid '60s with unique features.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://microfrets.homestead.com/home.html"} +{"d:Title": "Wietsesguitars.nl", "d:Description": "Collection of unusual vintage instruments, part Asian and part European. In Dutch and English.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric", "url": "http://www.wietsesguitars.nl/"} +{"d:Title": "The Guitar Effects Oriented Web Page", "d:Description": "Information on effects, DIY projects, technical aspects, and tube amps.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.geofex.com/"} +{"d:Title": "AMZFX", "d:Description": "Effects and audio projects and schematics including a collection of vintage circuits. Features articles and a newsletter.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.muzique.com/"} +{"d:Title": "Guitar Wiring and Modification", "d:Description": "Guitar wiring and modification. Photos and wiring diagrams and links to modify a Fender Stratocaster to humbucking pickups.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.christianmusicweb.com/Guitar_wiring.html"} +{"d:Title": "Aron Nelson's Stompbox page", "d:Description": "An archive of schematics, links, resources, and a message board for subjects related to effects and electronics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.diystompboxes.com/"} +{"d:Title": "Runoff Groove", "d:Description": "Features DIY (do-it-yourself) guitar effect pedals, with articles on selected effects schematics; includes sample mp3 clip.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.runoffgroove.com/"} +{"d:Title": "Zoom 505 Central", "d:Description": "Unofficial resource; includes a library of patches, details of factory defaults, manual, and instructions on building an expression pedal.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.safaricomputers.com/505/"} +{"d:Title": "Tone Settings", "d:Description": "A database of amplifier settings to create a range of sounds.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.tonesettings.com/"} +{"d:Title": "Grail Tone", "d:Description": "A database of tone settings for a variety of amps and effects; also has articles, reviews and a forum about Mesa Boogie amps.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.grailtone.com/"} +{"d:Title": "G2D Guitar Pedals", "d:Description": "Handmade guitar pedals designed for blues, country, jazz, pop, rock, and metal from New Zealand.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.g2d.co.nz/"} +{"d:Title": "An Evaluation Of Effects And Pedals- Vox Wah Wah", "d:Description": "History of the Vox Wah Wah, interesting information, interviews, how to tell if you have a Classic Wah.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.analogman.com/kraft.htm"} +{"d:Title": "Guitarsite.com", "d:Description": "Information about effect pedals, effects explained, effects loop, building your own, Tone and Effects placement.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.guitarsite.com/effects.htm"} +{"d:Title": "Guitar Pedals- Buying Guide", "d:Description": "A buyers guide for those looking for information on buying the right pedal, multi effect pedals, racks, modeling, what to look for.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.sweetwater.com/shop/guitars/guitar-pedals/buying-guide.php"} +{"d:Title": "Carl Martin Effects", "d:Description": "Lists of products, reviews, and information on their effects pedals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.carlmartin.com/"} +{"d:Title": "Envelope Filters", "d:Description": "Gives detailed information on how Envelope Filters work.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.elliott-randall.com/ecf.htm"} +{"d:Title": "Foxrox Electronics", "d:Description": "Shows the different types of FoxRox Effect Pedals, as well as offering information and sound clips for each Pedal.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.foxroxelectronics.com/"} +{"d:Title": "Ibanez Tube Screamers", "d:Description": "Information about the Ibanez Tube Screamer effects pedal, mods, sound samples, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.analogman.com/ts9.htm"} +{"d:Title": "Keeley Effects", "d:Description": "Modded Pedals, custom pedals, and their own pedals. Keeley Effects offers modded pedals that give a little more than the originals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.robertkeeley.com/home.php"} +{"d:Title": "Lehle Switching", "d:Description": "Lehle Switching Effect Pedals that have increased stability, and switches that provide excellent signals. Also has information on their Pedals and how the switches effectively increase the signal.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.lehle.com/"} +{"d:Title": "Mode Zero Catalog of Effects with Sound Files", "d:Description": "Offers Sound Files for a large number of different Effects Pedals and makes it easier for a person to choose between the different types of Effects Pedals available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.modezero.com/"} +{"d:Title": "Mu-Tron", "d:Description": "History of the Mu-Tron Effects Pedals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.beitec.com/stomp.htm"} +{"d:Title": "Jacques Stomp Boxes", "d:Description": "Host's a variety of Stomp Boxes and information on each of the offered products.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.jacquesstompboxes.com/"} +{"d:Title": "MJM Guitar FX", "d:Description": "Handbuilt quality effect pedals, and information on each different pedal.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.mjmguitarfx.com/"} +{"d:Title": "Loco Box", "d:Description": "Information on the Loco Box Effects Pedals of the 70's and 80's.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.locobox.com/"} +{"d:Title": "The Big Muff Museum", "d:Description": "Electro-harmonix, sovtek, modern and vintage. Guitar effects, pictures, links, and blog.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.big-muff.net/"} +{"d:Title": "Pedaldoc", "d:Description": "The site of a Spanish company selling mod kits for guitar effect on the net. Pedaldoc ships worldwide the same day that their kits are purchased and the shipping costs are included in the price of the kits.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.pedaldoc.com/"} +{"d:Title": "PedalHeaven.com", "d:Description": "Pictures of guitar effects and pedals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.pedalheaven.com/"} +{"d:Title": "DiscoFreq's Effects Database", "d:Description": "A library of effects; includes product listings, technical details, schematics, interviews and do-it-yourself projects.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Effects", "url": "http://www.effectsdatabase.com/"} +{"d:Title": "Guitars by Leo", "d:Description": "A tribute to the instruments made, and to the company's founder, the late Mr Leo Fender. Includes pictures, guitar and artist information, and owner registration.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://www.guitarsbyleo.com/"} +{"d:Title": "Jag-Stang Owners Club", "d:Description": "Information on the Fender Jag-stang, Jaguar, and Mustang guitars. Reviews, model descriptions, and discussion section.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://www.jag-stang.com/"} +{"d:Title": "Strat Central", "d:Description": "A homage to the Fender Stratocaster. Includes pictures of rare, vintage or striking Stratocasters, and other similar instruments; also a bibliography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://www.strat-central.com/"} +{"d:Title": "Jason's Mustang and Bronco Page", "d:Description": "For fans of less well known guitars, the Bronco and the Mustang; includes an archive on Garnet tube amplifiers. Offers pictures, specifications, and historical information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://www.fenderbronco.com/"} +{"d:Title": "Fender Bass VI", "d:Description": "Short summary on this unique six-string bass often used by guitarists as well.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://theband.hiof.no/articles/fender_bass_vi.html"} +{"d:Title": "Fender Jaguar and Jazzmaster", "d:Description": "A tribute to this \"higher evolution of off-set waist guitars\" with sound samples, gallery and a guest book.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://www.webrocker.de/jaguar/"} +{"d:Title": "Haywire Custom Guitars", "d:Description": "Adding value to Fender guitars with 8-point \"gig-ready\" process (rebuilding, changing, refurbishing and setting up).", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://www.haywirecustomguitars.com/"} +{"d:Title": "Fender Venus", "d:Description": "All about the Venus series of guitars co-designed by Courtney Love and the Fender Custom Shop.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Fender", "url": "http://www.tachyonlabs.com/venus.html"} +{"d:Title": "EverythingSG.com", "d:Description": "An appreciation of the SG guitars. Includes a catalogue of models, history, articles, reviews, and advice for owners/players as well as a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Gibson", "url": "http://www.everythingsg.com/"} +{"d:Title": "Vintage Gibson Guitars", "d:Description": "A brief history and price overview for some of their electric and acoustic models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Gibson", "url": "http://vintage-guitars.blogspot.com/"} +{"d:Title": "Gibson Flying V", "d:Description": "Its complete illustrated history from first 1957 prototype, with gallery and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Gibson", "url": "http://www.flying-v.ch/"} +{"d:Title": "Post-1977 Gibson Serial Numbers", "d:Description": "In 1977 Gibson introduced the serialization method that is in practice today.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Gibson", "url": "http://www.superiormusic.com/page202.htm"} +{"d:Title": "Hagstrom UK", "d:Description": "Appreciating the Swedish guitar manufacturer's instruments. Includes personal stories, pictures, specifications, a company history, and information about artists who have played Hagstroms.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Hagstrom", "url": "http://www.hagstrom.org.uk/"} +{"d:Title": "Haskin's Hagstroms", "d:Description": "Celebrating Hagstrom guitars, built in Sweden from 1958 to 1981. Information about the instruments, FAQ, photos and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Hagstrom", "url": "http://www.haskinshagstroms.com/"} +{"d:Title": "The Hagstrom Official Web Site", "d:Description": "About the history of Hagstr\u00f6m including a gallery and a guest book, not about new guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Hagstrom", "url": "http://www.albinhagstrom.se/"} +{"d:Title": "JS10th.com", "d:Description": "An enthusiast tracks where in the world the Ibanez \"Chrome Boy\" guitars are, who owns them, and what condition they're in. Includes a history.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://www.js10th.com/"} +{"d:Title": "Wikipedia", "d:Description": "Summary of the history of Ibanez guitars and effect pedals with further links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://en.wikipedia.org/wiki/Ibanez"} +{"d:Title": "Jemsite", "d:Description": "JEM, Universe and Ibanez 7-string guitars as played by Steve Vai, with forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://www.jemsite.com/"} +{"d:Title": "Ibanez Lawsuit", "d:Description": "Summary of the Hoshino Gakki history and background facts on the infamous \"lawsuit\" guitars of Ibanez and other Japanese manufacturers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://www.guitarattack.com/destroyer/lawsuit.htm"} +{"d:Title": "Vintage Ibanez Museum", "d:Description": "Private collector from Germany shows instruments from 1969 to 1982, also low budget Cimar models and expensive Tama acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://www.vintage-ibanez-museum.de/pimeng.de/"} +{"d:Title": "Ibanez Rules", "d:Description": "Collector and dealer provides info about dating your model, fakes, setups as well as a gallery, catalogs and price lists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://www.ibanezrules.com/catalogs/reference/index.htm"} +{"d:Title": "Vintage Ibanez Guitar Site", "d:Description": "For fans, collectors, sellers and buyers with many pictures and information mainly on special models like the Iceman, Destroyer and some Greco copies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://vintageibanez.tripod.com/"} +{"d:Title": "Mr-Ibanez", "d:Description": "Collector of vintage Ibanez guitars offering pictures of rare models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Ibanez", "url": "http://www.mr-ibanez.com/"} +{"d:Title": "MJ Guitar Engineering", "d:Description": "Hollow and solidbody electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mjguitar.com/"} +{"d:Title": "Pensa Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.pensaguitars.com/"} +{"d:Title": "HipShotProducts", "d:Description": "Custom guitar parts, bridges, and benders.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.hipshotproducts.com/"} +{"d:Title": "McNaught Guitars", "d:Description": "Handmade custom guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mcnaughtguitars.com/"} +{"d:Title": "RS Guitars", "d:Description": "Custom Brian May red special style guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.rsguitars.com/"} +{"d:Title": "Starr Labs", "d:Description": "MIDI guitars and custom MIDI designs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.starrlabs.com/"} +{"d:Title": "Brian Moore Custom Guitars", "d:Description": "Solid body electric guitars. Includes audio samples and dealer finder.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.brianmooreguitars.com/"} +{"d:Title": "Kelly Guitars", "d:Description": "Custom hand built guitars from vintage tone woods and light nitro finishes. New York, NY.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.kellyguitars.com/"} +{"d:Title": "Mammoth Guitars, LLC", "d:Description": "Produces handmade, custom built, classic style guitars. Located in Lawrenceville, Georgia USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mammothguitars.com/"} +{"d:Title": "Phillips Guitars Ltd.", "d:Description": "Produces handcrafted archtops and bass guitars. Includes prices and specifications. Located in Columbus, Ohio.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.phillipsguitars.com/"} +{"d:Title": "Mike Vanden Guitars", "d:Description": "Bespoke maker of guitars and mandolins. Scotland.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.vanden.co.uk/"} +{"d:Title": "Moll Custom Instruments", "d:Description": "Custom builder of archtop, jazz, flat top, nylon stringed, and bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mollinst.com/"} +{"d:Title": "Art Guitars by Phil Sylvester", "d:Description": "Unique electric guitars and amplifiers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.artguitars.com/"} +{"d:Title": "Burnstone Custom Guitars", "d:Description": "Workhorse guitars for working guitarists by Daniel Burns.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://burnstone.homestead.com/"} +{"d:Title": "Davis Guitar Works", "d:Description": "Davis Guitar Works. Custom electric guitars and basses. Also restoration work.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.davisguitarworks.com/"} +{"d:Title": "Dramm Guitars", "d:Description": "Thomas Dramm Luthier. Home of the Botar. Custom electric guitars, made to be played with a bow.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.dramm.de/"} +{"d:Title": "D-Scott Guitars", "d:Description": "Violin - archtop styled electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.dscottguitars.com/"} +{"d:Title": "Galeon Guitars", "d:Description": "Custom Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.galeon.com/guitart/guitars.htm"} +{"d:Title": "Haze Guitars", "d:Description": "Custom made, electric guitars and basses. Based in Dublin, Ireland.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.hazeguitars.com/"} +{"d:Title": "Hertel Guitars", "d:Description": "Ralf Hertel Luthier. Electric guitar construction and repairs. English, French, German site.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://hertelguitars.free.fr/"} +{"d:Title": "Jersey Girl Homemade Guitars", "d:Description": "Composing electric guitars and basses and effect pedals since 1991.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.geocities.jp/jerseygirlhg/"} +{"d:Title": "Kinal Guitars", "d:Description": "Archtop and solid body electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.kinal.com/"} +{"d:Title": "Langcaster Guitars and Pickups", "d:Description": "Langcaster electric guitars made from 35,000 year old Kauri wood.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.langcaster.com/"} +{"d:Title": "Lieber Guitars", "d:Description": "Custom made electric guitars and basses since 1971.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.lieberguitars.com/"} +{"d:Title": "Lull Custom Guitars", "d:Description": "Electric guitars and bass.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mikelull.com/"} +{"d:Title": "Melancon Guitars", "d:Description": "Solid body electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.melanconguitars.com/"} +{"d:Title": "Predaina Custom Basses", "d:Description": "Custom basses and guitars available in any scale length. Also offering setup and intonation tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.custombasses.8m.com/"} +{"d:Title": "Rhinehart Guitars", "d:Description": "Hand-carved, hand-painted, sculptural picture elements on electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.fishguitar.com/"} +{"d:Title": "Ruokangas Guitars", "d:Description": "Hand-crafted electric guitars by Finnish luthier Juha Ruokangas. Original design Duke -series of carved top electrics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.ruokangas.com/"} +{"d:Title": "Sadowsky Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.sadowsky.com/"} +{"d:Title": "Stevens Guitars", "d:Description": "Semi-hollow and solid body electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.stevensguitars.com/"} +{"d:Title": "STHAC Guitars", "d:Description": "Hand-made and handcrafted electric guitars and basses. Custom Shop Services. (USA)", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.sthacguitars.com/"} +{"d:Title": "Sweetwood Guitar Company", "d:Description": "Handmade guitars featuring a laminated neck and a unique seemless tenon, also selling effect pedals and amp kits.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.sweetwoodguitars.com/"} +{"d:Title": "Timtone Custom Guitars", "d:Description": "Chambered and solid body electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.timtone.com/"} +{"d:Title": "Tony Rockett Guitars", "d:Description": "Custom made guitars, repairs, set-ups and restorations of many stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.tonyrockettguitars.co.uk/"} +{"d:Title": "Tym Guitars", "d:Description": "Custom built mosrite style guitars and effects pedals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.tymguitars.com.au/"} +{"d:Title": "Veillette Guitars", "d:Description": "Electric guitars, baritone guitars, and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.veilletteguitars.com/"} +{"d:Title": "Wilkat Guitars", "d:Description": "Custom handmade electric guitars and basses; also offer parts. Online catalogue, and a collection of tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://members.shaw.ca/wilkatguitars/"} +{"d:Title": "Shamray Guitars", "d:Description": "Hand-made custom guitars and basses from Moscow, Russia. Provides world-wide shipping.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.shamray.ru/index.php?lang=en"} +{"d:Title": "Specimen Products", "d:Description": "Makes custom guitars and basses from exotic materials, aluminum, and traditional hardwoods. Chicago, Illinois.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.specimenproducts.com/"} +{"d:Title": "Orlando Musical Instruments", "d:Description": "Giuseppe Orlando builds custom electric guitar and bass in Italy, electronics too. Also known as Nocive Labs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.orlando-guitars.com/"} +{"d:Title": "R.R. Gadow Luthiery", "d:Description": "Custom handmade electric guitars created by North Carolina based luthier Ryan Gadow.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.gadowguitars.com/"} +{"d:Title": "Dommenget Custom Guitars", "d:Description": "Located in northern Germany, specialized in archtop acoustic and electric guitars, played e.g. by Stephen Stills or Neil Schon. Boutique pickup winder as well.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.dommengetguitars.com/"} +{"d:Title": "Jacobacci Guitars", "d:Description": "Dedicated to the French instruments built from 1952 to 1994 by Andr\u00e9 and Roger Jacobacci with pictures, catalogs, specifications and interviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.lesguitaresjacobacci.net/"} +{"d:Title": "Peekamoose Guitars", "d:Description": "Custom guitars built in NYC by Paul Schwartz and Hawley Waldman, made to order or in stock, also repairing and restoring used instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.peekamoose.com/"} +{"d:Title": "Wendler electroCoustic", "d:Description": "Chambered electro-acoustic guitars from solid wood, also offers an amp and sound clips of some models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.electrocoustic.com/"} +{"d:Title": "Chafin Custom Guitars", "d:Description": "Handmade instruments unique to the buyers' specifications and needs. Located in Tampa, Florida.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.chafincustom.com/"} +{"d:Title": "Kamil Greben Guitars", "d:Description": "Hand-crafted guitars and basses manufactured according to specific requirements, located in Bratislava, Slovakia.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.kamilgreben.sk/"} +{"d:Title": "Soller&Sivcak Guitars", "d:Description": "Handmade in Slovakia, also bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.sollerguitars.com/"} +{"d:Title": "Guitar Factory", "d:Description": "Guitars with feel and performance of electric models combined with the sound of an acoustic guitar, located in Orlando, Florida.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.guitarfactory.us/"} +{"d:Title": "Soloway Guitars", "d:Description": "Long necks (27 inch scale) and big bottoms (semi-acoustic body).", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.solowayguitars.com/"} +{"d:Title": "Gus Guitars", "d:Description": "Unusual instruments made of metal and carbon fibre, some equipped with MIDI.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.gusguitars.com/"} +{"d:Title": "Ronson Guitars", "d:Description": "Fully custom made with trademarked neck.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.ronsonguitars.com/"} +{"d:Title": "Briggs Guitars", "d:Description": "Handbuilt in very limited quantities with the best tonewoods available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.briggsguitars.com/"} +{"d:Title": "Born To Rock Design", "d:Description": "The F4 guitar and bass have a hollow aluminum framework body producing superior attack and overtones.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.borntorock.com/"} +{"d:Title": "M. Campellone Guitars", "d:Description": "Building different archtop models with optional floating pickup system. [Greenville, RI]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mcampellone.com/"} +{"d:Title": "Manson Guitars", "d:Description": "Handmade custom guitars and basses, classic and concept instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mansonguitars.co.uk/"} +{"d:Title": "Manne Guitars", "d:Description": "Italian basses and guitars based on original design concepts by Andrea Ballarin. With tone guide and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.manne.com/"} +{"d:Title": "GMW Guitarworks", "d:Description": "Building custom guitars and basses and refinishing, repairs or custom modifications. Limited production line of Empire Guitars on a per-order basis only.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.gmwguitars.com/"} +{"d:Title": "Witkowski Custom Guitars", "d:Description": "Hand-crafted instruments from Poland, very often with unique constructions and built on order. Offering sound samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.witkowskiguitars.com/"} +{"d:Title": "McSwain Guitars", "d:Description": "With hand-carved or metal bodies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.mcswainguitars.com/"} +{"d:Title": "Crook Custom Guitars", "d:Description": "Small shop building a select amount of guitars and basses per year based on classic designs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.crookcustomguitars.com/"} +{"d:Title": "Minarik Guitars", "d:Description": "Instruments in classic tradition and innovative design. With forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.minarikguitars.com/"} +{"d:Title": "DeTemple Guitars", "d:Description": "High end Fender copies and guitar parts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.detempleguitars.com/"} +{"d:Title": "Stark Guitars", "d:Description": "Custom built on order with chambered bodies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.starkguitars.com/"} +{"d:Title": "Michael Dolan Custom Guitars", "d:Description": "Building custom basses and guitars in Sonoma County, California since 1977.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.dolanguitars.com/"} +{"d:Title": "James Trussart Custom Guitars", "d:Description": "Hollow bodies completely made of steel, several models and options available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.jamestrussart.com/"} +{"d:Title": "Auerswald Instruments", "d:Description": "Guitars and basses made in Germany with unique design features, e.g. the sustain bow and resonance chambers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.auerswald-instruments.com/"} +{"d:Title": "Nik Huber Guitars", "d:Description": "Made in Germany based on a 100 year old family tradition.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.nikhuber-guitars.com/"} +{"d:Title": "Benedetto Guitars", "d:Description": "Famous archtop maker, not affiliated with Fender anymore since 2006.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://benedettoguitars.com/"} +{"d:Title": "Freddy's Frets", "d:Description": "Freddy Gabrsek's handmade guitars custom built to any specification. [Niagara region]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.freddysfrets.com/"} +{"d:Title": "Teuffel Guitars", "d:Description": "German maker building \"post-modern\" designed guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.teuffel.com/"} +{"d:Title": "Rahbek Guitars", "d:Description": "3 basic guitar models with a wide range of custom options. [Denmark]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.rahbekguitars.com/"} +{"d:Title": "Phiga Guitars", "d:Description": "Phil Gawen offers exclusive through-neck and multiple pieces set neck models with natural finishes and detailed inlays.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.philgawen.com/phigaguitars.htm"} +{"d:Title": "Alan Cringean Guitars", "d:Description": "Handcrafted guitars and basses; includes instrument sepcifications and gallery, with contact details. [Moffat, Scotland]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://acguitars.co.uk/"} +{"d:Title": "Legend Guitars", "d:Description": "Custom made as well as imported guitars and basses from Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.legendguitars.com/"} +{"d:Title": "Old Moon Guitars", "d:Description": "Custom designed with specifications for the individual guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.oldmoonguitars.com/"} +{"d:Title": "Gordon Smith Guitars", "d:Description": "Handcrafted guitars made in Partington near Manchester, established for more than 25 years.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.gordonsmithguitars.com/"} +{"d:Title": "Black Machine", "d:Description": "With super thin body delivering quick response and harmonic richness and solid rosewood neck providing clarity, fatness and sustain. Paint substituted with oil finished to maximise tone.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Makers", "url": "http://www.blackmachine.net/"} +{"d:Title": "Frudua Guitar Works", "d:Description": "Italian electric guitars with unlimited options.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.frudua.com/"} +{"d:Title": "L.R. Baggs", "d:Description": "Advanced pickups and electronics for guitar and other stringed instruments", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.lrbaggs.com/"} +{"d:Title": "Vox", "d:Description": "Guitars, amps, pedals and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.voxamps.co.uk/"} +{"d:Title": "Sadowsky Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.sadowsky.com/"} +{"d:Title": "BC Rich Guitars", "d:Description": "Manufacturer and distributor of solid body electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.bcrich.com/"} +{"d:Title": "Birdsong Guitars", "d:Description": "Manufacturers of hand built short scale and medium scale basses and electric guitars for the professional player. Wimberley, Texas.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.birdsongguitars.com/"} +{"d:Title": "Burns London Ltd", "d:Description": "Manufactures custom and updated club series guitars and accessories. Previous models available. Includes reviews and distributor search", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.burnsguitars.com/"} +{"d:Title": "Callaham Guitars", "d:Description": "Vintage 50's and 60's reproduction electric guitars and hand-built hand-wired tube amps.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://callahamguitars.com/"} +{"d:Title": "Deakon Roads Guitars", "d:Description": "Designed by Canadian maker Glenn McDougall, offer rich tone and easy playability at competitive prices.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.deakonroads.com/"} +{"d:Title": "Dean Guitars", "d:Description": "V-notched winged headstock electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.deanguitars.com/"} +{"d:Title": "Driskill Guitars", "d:Description": "Handcrafted electric guitars. Quilted or flamed maple tops, unusual finishes.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.driskillguitars.com/"} +{"d:Title": "Duesenberg Guitars", "d:Description": "German electric guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.duesenberg.de/"} +{"d:Title": "ES Guitars", "d:Description": "Builds custom handmade guitars for rock and metal music. Located in West Haven, Connecticut", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.esguitars.com/"} +{"d:Title": "ESP Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.espguitars.com/"} +{"d:Title": "Fano Guitars", "d:Description": "Electric guitars and basses", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.fanoguitars.com/"} +{"d:Title": "Fernandes Guitars", "d:Description": "Guitars available with a sustainer system built in that is similar to an E-Bow.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.fernandesguitars.com/"} +{"d:Title": "Fret-King", "d:Description": "Retro inspired electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.fret-king.com/"} +{"d:Title": "G and L Guitars", "d:Description": "Electric guitars and basses. Factory tour.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.glguitars.com/"} +{"d:Title": "Gretsch Guitars", "d:Description": "The Classic Country and Rock-a-billy archtop electrics", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.gretsch.com/"} +{"d:Title": "Jackson Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.jacksonguitars.com/"} +{"d:Title": "Jerry Jones Guitars", "d:Description": "Vintage electric guitar reproductions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.jerryjonesguitars.com/"} +{"d:Title": "Parker Guitars", "d:Description": "Models and features of the Parker Fly guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.parkerguitars.com/"} +{"d:Title": "Patrick Eggle Guitars", "d:Description": "Manufacturer of a range of electric guitars. Includes product information, news, forums, and downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.patrickeggleguitars.com/"} +{"d:Title": "Phantom Guitarworks", "d:Description": "Vox style electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.phantomguitars.com/"} +{"d:Title": "Schecter Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.schecterguitars.com/"} +{"d:Title": "Tyler Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.tylerguitars.com/"} +{"d:Title": "Vigier Guitars", "d:Description": "Electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.vigierguitars.com/"} +{"d:Title": "Warr Guitars", "d:Description": "Touch-style guitars. Lead, bass and rhythm simultaneously.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.warrguitars.com/"} +{"d:Title": "Wayne Guitars", "d:Description": "Solid body electric guitars by Wayne Charvel.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.wayneguitars.com/"} +{"d:Title": "Wilde Guitars", "d:Description": "Guitars by Bill Lawrence the pickup manufacturer", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.billlawrence.com/"} +{"d:Title": "Zachary Handcrafted Guitars", "d:Description": "Handcrafted, uniquely designed, electric guitars and bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.zacharyguitars.com/"} +{"d:Title": "Zion Guitars", "d:Description": "Electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.zionguitars.com/"} +{"d:Title": "Tom Anderson Guitarworks", "d:Description": "Instrument specifications and gallery, dealer listing, and information on artists who play their guitars. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.andersonguitars.com/"} +{"d:Title": "Floyd Rose", "d:Description": "Introducing the Locking Tremolo in 1977, Floyd Rose now also sells guitars and pickups.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.floydrose.com/"} +{"d:Title": "Paul Reed Smith Guitars", "d:Description": "Builder of guitars and basses. Includes an accessory store and dealer list.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.prsguitars.com/"} +{"d:Title": "Bolin Guitars, LLC", "d:Description": "Manufacturer of guitars and basses. Provides gallery of models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.bolinguitars.com/"} +{"d:Title": "Levinson Ltd.", "d:Description": "Switzerland based manufacturer of the Blade guitar line.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.bladeguitars.com/"} +{"d:Title": "Mayones Guitars&Basses", "d:Description": "Two separate handmade lines of models, available worldwide, also distributor for quality guitar parts and accessories. [Poland]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.mayones.com/eng/"} +{"d:Title": "Italia Guitars", "d:Description": "Brand of an Asian manufacturer building unusual original guitars as well as copies of standard models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.italiaguitars.com/"} +{"d:Title": "Rees Electric Guitars", "d:Description": "Hand-made chambered electric guitars built from mahogany and figured maples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.rees-electric-guitars.com/"} +{"d:Title": "Saint Blues", "d:Description": "Memphis Tennessee manufacturer of custom guitars and basses with vintage look and tonal variety.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.saintblues.com/"} +{"d:Title": "Blackdog Guitars", "d:Description": "Makers of acoustic, electric, and bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Manufacturers", "url": "http://www.blackdogguitars.com/"} +{"d:Title": "The Guitar Gallery", "d:Description": "Information, specifications, pictures, and forum about the different brands of the Japanese manufacturer Matsumoku.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Matsumoku", "url": "http://www.matsumoku.org/guitars.html"} +{"d:Title": "The Electra Page", "d:Description": "Guide to the Electra brand guitars sold throughout the '70s and '80s by Saint Louis Music (SLM) with features like onboard electronic modules.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Matsumoku", "url": "http://www.rivercityamps.com/electra/"} +{"d:Title": "Westone Guitars and Basses", "d:Description": "About one of the brands produced by Matsumoko of Japan in the 1980s. Detailed reports with pictures of the different models and catalogs as well as company history and a guestbook.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Matsumoku", "url": "http://www.westone.info/"} +{"d:Title": "Bjorn Eriksson's Rickenbacker Page", "d:Description": "Dedicated to RIC guitars and basses. RIC models library and gallery, links to/profiles of artists, video and sound clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Rickenbacker", "url": "http://www.rickbeat.com/"} +{"d:Title": "The Rickenbacker Registration Page", "d:Description": "A historical and technical resource for Rickenbacker instruments; includes articles, details of artists who played them, news, photos, and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Rickenbacker", "url": "http://www.rickresource.com/"} +{"d:Title": "Vintage Rocker - Rickenbacker", "d:Description": "Short overview of the company's history.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Rickenbacker", "url": "http://www.vintagerocker.com/ric/"} +{"d:Title": "Rickenbacker", "d:Description": "Electric guitars and basses [California].", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Electric/Rickenbacker", "url": "http://www.rickenbacker.com/"} +{"d:Title": "International Gypsy Guitar Festival", "d:Description": "Annual event dedicated to Gypsy jazz guitar performances. Information about program, details for visitors, and reports from previous years. [Gloucestershire, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.iggf.co.uk/"} +{"d:Title": "The Canadian Guitar Festival", "d:Description": "Annual festival offers information about schedule, performers, competition, and practical details for visitors. [Ontario, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://comevisit.com/vezco/festival/"} +{"d:Title": "International Guitar Festival of Great Britain", "d:Description": "Annual November event featuring all styles of guitar playing. Information about the artists, reviews and accommodation contacts. [Wirral, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.bestguitarfest.com/"} +{"d:Title": "International Guitar Festival Of Vend\u00f4me", "d:Description": "An annual festival of classical and acoustic guitar; includes program, practical information, press releases, and reportage of previous festivals. [Vend\u00f4me, France]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.vendomeguitarfest.com/"} +{"d:Title": "New York Guitar Festival", "d:Description": "An annual series of multi-genre concerts and radio broadcasts; information about schedule, outreach programs in public schools, recordings, and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.newyorkguitarfestival.org/"} +{"d:Title": "Ullapool Guitar Festival", "d:Description": "Annual event in October; includes program of concerts and ceilidhs, and practical advice for visitors. [Ullapool, Scotland, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.ullapoolguitarfestival.com/"} +{"d:Title": "GuitarShowCalendar", "d:Description": "A listing of guitar show dates, promoters, and locations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.guitarshowcalendar.com/"} +{"d:Title": "Zevener Guitar Festival", "d:Description": "Annual guitar festival with concerts, lectures and masterclasses for classic and electric guitar, covering all styles of music. Schedule, instructor profiles and registration details. [Zeven, Germany]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.zevener-gitarrenwoche.de/"} +{"d:Title": "Zihuatanejo International Guitar Festival", "d:Description": "A yearly musical event to benefit the Escuela de Musica de Zihuatanejo and featuring international guitarists. Festival schedule, and information for particpants and visitors. [Mexico]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Events", "url": "http://www.zihuafest.info/"} +{"d:Title": "Padovani, Lelio", "d:Description": "Includes a full biography with pictures, free lessons, downloadable guitar schemes, and excerpts from his latest instrumental CD.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.leliopadovani.com/"} +{"d:Title": "Powell, Baden", "d:Description": "Guitarist specializing in Brazilian styles. Includes tablature, MIDI samples, gallery, biography, and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.brazil-on-guitar.de/"} +{"d:Title": "Perger, Andreas Paolo", "d:Description": "Contains recent works, sound files, pictures, and texts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.andreas-paolo-perger.at/"} +{"d:Title": "Brozman, Bob", "d:Description": "A guitarist/composer drawing on influences (among others) from Delta Blues, Hawaiian, West African, Indian, Okinawan, Caribbean, Gypsy and Django-style Jazz. Biography, discography, tour information, reviews, press-kit, his instruments, and details of his teaching.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.bobbrozman.com/"} +{"d:Title": "Sholle, Jon", "d:Description": "Guitarist performing in a variety of styles. Includes his profile, discography, and details of artists with whom he's played.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.jonsholle.com/"} +{"d:Title": "McKone, John", "d:Description": "Information about the acoustic guitarist from Minnesota.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.johnmckone.com/"} +{"d:Title": "Mursalim, Indrasani", "d:Description": "Contains information, lessons, performances, news, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.soloacousticguitar.com/"} +{"d:Title": "Greisch, Cary", "d:Description": "Contains biographical information, CDs, tour schedule, Real Audio sound samples, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://guitar.lu/"} +{"d:Title": "Kinslow, Greg", "d:Description": "Contains biographical information, contact, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.gregkinslow.com/"} +{"d:Title": "Cohan, David", "d:Description": "Classical and flamenco guitar, Chinese pipa and oud. Contains programs, and information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.guitarpoint.net/"} +{"d:Title": "Drax, Bernhard", "d:Description": "Contains biography, gallery, work in progress sound files, videos, and an online journal.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.bernharddrax.com/"} +{"d:Title": "Gibb, Steve", "d:Description": "Includes recordings, audio clips, biography, news, live schedule, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.stevegibb.com/"} +{"d:Title": "Braswell, Wilson", "d:Description": "Includes concert dates, photos of shows, and links to other musicians sites.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.wilsonbraswell.com/"} +{"d:Title": "Ferguson, Hugh", "d:Description": "Biography, discography, pictures, and information about his instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.hughferguson.net/"} +{"d:Title": "Cravero, Marco", "d:Description": "Biography, music samples, equipment, and links. In English and Italian.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.marcocravero.com/"} +{"d:Title": "Mordy Ferber", "d:Description": "Contains biography, reviews, recording, education, tv/film credits, and gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://mordyferber.com/"} +{"d:Title": "Abbasi, Rez", "d:Description": "Includes audio samples, tour dates, CDs, biographical information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.reztone.com/"} +{"d:Title": "FelderFans.com", "d:Description": "Fan site in appreciation of guitarist Don Felder. Includes information on promotional events, book excerpt and slideshows.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.felderfans.com/"} +{"d:Title": "Siewert, Martin", "d:Description": "Contains biography, links, images, and event information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://siewert.klingt.org/"} +{"d:Title": "Feder, Janet", "d:Description": "Denver-based guitarist, playing Dobro and exploring unusual techniques on acoustic guitar. Includes MP3s, press and upcoming gigs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.janetfeder.com/"} +{"d:Title": "Hickman, Johnny", "d:Description": "Guitarist and film score composer; includes biography, discography, details of bands in which he plays, tour dates and a weblog.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://johnnyhickman.com/"} +{"d:Title": "Bellas, George", "d:Description": "UK-born guitarist and teacher. Includes bio, music and video files, guitar lessons, interviews and available CDs and software for sale.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://georgebellas.com/"} +{"d:Title": "DeTone, Ray", "d:Description": "Professional guitarist and teacher based in New York. Includes profile, sound clips, available CDs, lessons, photos, reviews, and gig dates.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.raydetone.com/"} +{"d:Title": "Gomez, Ray", "d:Description": "Biography, discography, CDs, photographs, latest gigs and news of the Moroccan-born blues-jazz-fusion guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.raygomez.com/"} +{"d:Title": "Cantini, Emilio", "d:Description": "Italian guitarist, playing flamenco, jazz and Brazilian music. Includes his profile, his band, video and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.emiliocantini.com/"} +{"d:Title": "Bhattacharya, Pandit Debashish", "d:Description": "Pioneer of Indian slide guitar, who also plays Hawaiian, Indo-Jazz, and Indo-Oceanic music; has also developed his own unique instruments. Profile and information on recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.debashishbhattacharya.com/"} +{"d:Title": "Diatchenko, Dimitri", "d:Description": "Guitarist and actor based in Los Angeles; includes his profile, reviews, discography, credits, and samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.dimitrid.com/"} +{"d:Title": "Piha, Sam", "d:Description": "Trainied as a classical guitarist, now performing and recording in a variety of styles, including Latin, classical, blues, jazz and folk. Profile, concert listing, reviews and information about his collaborations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.sampiha.com/"} +{"d:Title": "Forcione, Antonio", "d:Description": "Award-winning Italian acoustic guitarist. Biography, clips, world touring schedules, merchandise and video information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.antonioforcione.com/"} +{"d:Title": "Gaudious, Dominic", "d:Description": "Specialized in classical and world beat styles. Tour schedules, biography, album information, reviews, sound samples, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.dominicgaudious.com/"} +{"d:Title": "Heuser, Andreas", "d:Description": "German acoustic guitarist and composer with classical, jazz, Oriental, and Latin American influences. Biography, song samples, and tour schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.andreasheuser.com/"} +{"d:Title": "Laliberte, Kevin", "d:Description": "Acoustic guitarist specializing in flamenco, bossa-nova, pop, and jazz. Biography, recording information, reviews, schedule, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.kevinlaliberte.com/"} +{"d:Title": "Stonehill, Lou", "d:Description": "Acoustic guitarist playing a variety of styles. Album information, photos, news, schedule, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.loustonehill.com/"} +{"d:Title": "Biedermann, Peter", "d:Description": "Guitarist specializing in a style combining acoustic guitar and electronic elements. Includes his biography, schedule, cd information, gear list, details of tunings, photos, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.peterbiedermann.com/"} +{"d:Title": "Van Overmeire, Mark", "d:Description": "Composer, guitar and percussion performer. Features biography, CD, MP3 downloads and photographs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.markvanovermeire.com/"} +{"d:Title": "Sharp, Elliott", "d:Description": "A conversation with the guitarist, improviser, and composer by with Frank J. Oteri. Includes also a twelve minute video in lecture quality. Recorded on March 22, 2006. From NewMusicBox, the Web Magazin from the American Music Center.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.newmusicbox.com/article.nmbx?id=4800"} +{"d:Title": "Krzywacki, Petri", "d:Description": "Contains sound clips, pictures and improvisation lessons of the Finnish guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.elisanet.fi/petri.krzywacki/"} +{"d:Title": "Brown, James", "d:Description": "Canadian composer and guitarist, influenced by jazz and classical traditions. Includes a catalogue of compositions, biography, recent recordings and concert appearances.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.jamesbrown.ca/"} +{"d:Title": "Grieshaber, Brant", "d:Description": "Boston-based guitarist and teacher offers jazz combos, classical guitar, and rock session playing. With audio by style as well as sales of CDs and instructional book.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.rbrantg.com/"} +{"d:Title": "Gammage, Phil", "d:Description": "New York City guitarist and his bands including Certain General, the Scarlet Dukes, the Corvairs, and Voodo Martini.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.prefabgroup.com/"} +{"d:Title": "Mark Hart Guitar", "d:Description": "Guitarist, teacher, and performer, fingerstyle, classical, and jazz Based in Abbotsford, British Columbia, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists", "url": "http://www.markhartguitar.com/"} +{"d:Title": "Totusek, Leif", "d:Description": "Guitarist and composer, playing pan-African, soukous, rumba and jazz music. Includes his biography, profile of his band, audio and video samples, and performance calendar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles", "url": "http://www.leiftotusek.com/"} +{"d:Title": "Eyre, Banning", "d:Description": "American world music journalist and guitarist; biography and information about his books, \"In Griot Time\" and \"Guitar Atlas:Africa\".", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles", "url": "http://www.banningeyre.com/"} +{"d:Title": "Traor\u00e9, Boubacar", "d:Description": "Profile and discography of a pioneer Malian guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles", "url": "http://www.worldmusiccentral.org/artists/artist_page.php?id=1098"} +{"d:Title": "Tounkara, Djelimady", "d:Description": "Profile of the Malian guitarist shortlisted for the BBC Radio 3 Awards for World Music (2002); includes a track of his music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles/Tounkara,_Djelimady", "url": "http://www.bbc.co.uk/radio3/world/awardsdjelimady.shtml"} +{"d:Title": "Tounkara, Djelimady", "d:Description": "Biography and appreciation of the Malian guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles/Tounkara,_Djelimady", "url": "http://www.coraconnection.com/pages/Tounkara1.html"} +{"d:Title": "Tounkara, Djelimady", "d:Description": "Profile and discography, from World Music Central.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles/Tounkara,_Djelimady", "url": "http://www.worldmusiccentral.org/artists/artist_page.php?id=1099"} +{"d:Title": "Tour\u00e9, Ali Farka", "d:Description": "Biography and discography, from World Music Central.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles/Tour\u00e9,_Ali_Farka", "url": "http://www.worldmusiccentral.org/artists/artist_page.php?id=1093"} +{"d:Title": "African Star", "d:Description": "News item from the BBC, reporting the death of Ali Farka Toure, with an appreciation of his contribution to music, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/African_Styles/Tour\u00e9,_Ali_Farka", "url": "http://news.bbc.co.uk/1/hi/world/africa/4782176.stm"} +{"d:Title": "Cosgrove, Mark", "d:Description": "Flatpicking guitarist, one-time winner of the U.S. National Flatpicking Guitar Championship. Biography, discography, tour guide, merchandise and forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Bluegrass", "url": "http://www.mcosgrove.com/"} +{"d:Title": "Crary, Dan", "d:Description": "Flatpicker; includes his biography, events listing, discography and awards, media kit and merchandise.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Bluegrass", "url": "http://www.dancrary.com/"} +{"d:Title": "Davis, Brad", "d:Description": "Biography, tour dates, discography, audio and video samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Bluegrass", "url": "http://www.braddavismusic.com/"} +{"d:Title": "Sutton, Bryan", "d:Description": "Bluegrass guitarist selected \"Guitarist of the Year\" by IBMA in 2000, 2003, and 2004. Biography, photos, gig schedule and forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Bluegrass", "url": "http://www.bryansutton.com/"} +{"d:Title": "Troxel, Jeff", "d:Description": "The 2003 National Flatpicking Guitar Champion; focuses on styles of bluegrass, folk and jazz. Included are music samples, biography, schedule, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Bluegrass", "url": "http://www.jefftroxel.com/"} +{"d:Title": "Vecchia, Roberto", "d:Description": "Italian guitarist playing bluegrass/folk styles. Includes news, schedule, reviews, recording and book information, newsletter, tablature, guitar information, links, message board, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Bluegrass", "url": "http://www.robertodallavecchia.com/"} +{"d:Title": "Earl, Ronnie", "d:Description": "Modern electric blues guitarist based in Boston, Massachusetts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.ronnieearl.com/"} +{"d:Title": "Collins, Albert", "d:Description": "Albert Collins talks about his music, guitar style and career on Blues For Peace.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.bluesforpeace.com/acollins.htm"} +{"d:Title": "Catfish Keith", "d:Description": "Blues singer, songwriter and bottleneck slide guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://catfishkeith.com/"} +{"d:Title": "FolkLib Index for Robert Johnson", "d:Description": "Page of links to information on the legendary Delta bluesman.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.folklib.net/index/j/johnson_robert.shtml"} +{"d:Title": "Bj\u00f6rn Berge", "d:Description": "Official site of the blues guitar player. Includes tour dates, a biography, news, and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.bjorn-berge.com/"} +{"d:Title": "Bookbinder, Roy", "d:Description": "Biography, pictures, booking information, tour dates, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.roybookbinder.com/"} +{"d:Title": "Eric Noden - American Roots Music", "d:Description": "Eric Noden, Chicago based singer, guitar player and piano player specializing in blues, ragtime and original material. Old-time blues players like Rev. Gary Davis, Jimmy Yancey and Big Bill Broonzy greatly influenced Eric's sound. Eric's record 55 Highway is available from Diving Duck Records.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.ericnoden.com/"} +{"d:Title": "Heroes of the Blues", "d:Description": "1980 trading card set by R. Crumb. Portrays 36 country blues guitarists and jug bands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.celticguitarmusic.com/crumb.htm"} +{"d:Title": "Little Toby Walker", "d:Description": "Little Toby Walker is a premier blues/folk/ragtime guitarist who has traveled the south and learned his music from seasoned old time blues musicians.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.littletobywalker.com/"} +{"d:Title": "DuMont, Detroit Frank", "d:Description": "Blues slide guitarist; includes biography, reviews, and tour dates.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.detroitfrankdumont.com/"} +{"d:Title": "The King of Ragtime Guitar: Blind Blake&His Piano-Sounding Guitar", "d:Description": "Article about life and work of the ragtime blues fingerpicker. By Jas Obrecht.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Blues", "url": "http://www.gracyk.com/blake1.shtml"} +{"d:Title": "Baughman, Steve", "d:Description": "A San Francisco-based fingerstyle guitar player with a passion for Celtic music shares his thoughts on Celtic guitar, tunings, and composition. Includes a discography and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Celtic", "url": "http://www.celticguitar.com/"} +{"d:Title": "McManus, Tony", "d:Description": "Scottish-born virtuoso guitarist with a passion for traditional music and acoustic guitar. Includes his biography, concert and tour guide, and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Celtic", "url": "http://www.tonymcmanus.com/"} +{"d:Title": "Edom, Ben", "d:Description": "West Highland Scottish 7-string guitarist, developing a New Celtic playing style. Contains biography, discography, reviews, links, and workshops.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Celtic", "url": "http://www.benedom.com/"} +{"d:Title": "Martin, Grady", "d:Description": "Biography, pictures, and articles about the Nashville guitarist. Audio clips and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Country", "url": "http://www.nashvillesound.net/gradymartin.htm"} +{"d:Title": "Dykes, Doyle", "d:Description": "Contains a biographical section, news, tour information, a forum, and a gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Country", "url": "http://www.doyledykes.com/"} +{"d:Title": "Reschke, Achim", "d:Description": "Biography and audio samples. CD available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.achim-reschke.de/"} +{"d:Title": "Simpson, Martin", "d:Description": "Biography, reviews, schedule, stories, discography, and sound files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.watershed-arts.com/msimpson.html"} +{"d:Title": "Sparks, Tim", "d:Description": "Acoustic fingerstyle guitarist. Biography, sample songs, tablatures, reviews and merchandise ordering.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.timsparks.com/"} +{"d:Title": "Lacy, Ben", "d:Description": "Guitarist's official site with gig schedule, biography, and mp3 downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.benlacy.com/"} +{"d:Title": "Dykes, Doyle", "d:Description": "Contains a biographical section, news, tour information, a forum, and a gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.doyledykes.com/"} +{"d:Title": "McMeen, El", "d:Description": "Biography, discography, reviews, sound clips, tour dates, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://elmcmeen.com/"} +{"d:Title": "Austen, Seth", "d:Description": "Recordings, schedule, RealAudio and MP3 samples, biography, and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.sethausten.com/"} +{"d:Title": "Reed, Preston", "d:Description": "Tour dates, biography, links, photos, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.prestonreed.com/"} +{"d:Title": "Calogero, Antonio", "d:Description": "Italian-born guitarist/composer of classical and acoustic fingerstyle music in San Francisco Bay Area. Biography, information on recordings, tour schedule and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.antoniocalogero.com/"} +{"d:Title": "Niedt, Douglas", "d:Description": "His recordings, videos, printed music and his teaching program at the University of Missouri. He also gives tips on technique.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.douglasniedt.com/"} +{"d:Title": "Boyer, Bret", "d:Description": "Known for his jazz-tinged arrangements of hymns, American folk music and jazz standards.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://bretboyer.com/"} +{"d:Title": "Anderson, Muriel", "d:Description": "Guitarist and composer. Includes concert schedule, ordering information, sound clips, and articles with lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.murielanderson.com/"} +{"d:Title": "Fahey, John", "d:Description": "Contains discography, sheet music, reviews, and writings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.johnfahey.com/"} +{"d:Title": "Rose, Clarelynn", "d:Description": "Contains mp3 sound files, Real Audio clips, online ordering, tour schedule, and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.heartwoodmusic.com/"} +{"d:Title": "Morgan, John", "d:Description": "Contains information about life, educational work, CDs, tablature, reviews, stories, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://morganguitar.com/"} +{"d:Title": "Gulezian, Michael", "d:Description": "Contains concert schedule, contact information, biography, music, CD reviews, concert reviews and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://timbrelinemusic.com/"} +{"d:Title": "Pacheco, Tim", "d:Description": "Includes biography, discography, sound clips, tunings, ordering information, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.timpacheco.com/"} +{"d:Title": "Wodnicki, Dave", "d:Description": "Contains biography, discography, photos, links, and custom guitar information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.davewodnicki.com/"} +{"d:Title": "Dean, Christopher", "d:Description": "Contains biography, discography, reviews, sound clips, and tour dates.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.cairneyhill.com/"} +{"d:Title": "Towns, Rob", "d:Description": "Includes biographical information, teaching area, music downloads, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.robtowns.com/"} +{"d:Title": "Rasely, Tom", "d:Description": "Includes biography, discography, tour schedules and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.rasely.com/"} +{"d:Title": "FolkLib Index", "d:Description": "A list of current fingerpicking artists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.folklib.net/index/fingerstyle.shtml"} +{"d:Title": "Miserendino, Pete", "d:Description": "Includes media kit, details of recordings, photos and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.petemiserendino.com/"} +{"d:Title": "D'Agostino, Peppino", "d:Description": "Guitarist specializing in Mediterranean styles. Biography, discography, song samples, schedule, reviews, gallery, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.peppinodagostino.com/"} +{"d:Title": "de Grassi, Alex", "d:Description": "Site pertaining to acoustic guitar innovator, Grammy nominated recording artist, new acoustic music, and co-founder of Tropo Records.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.degrassi.com/"} +{"d:Title": "Hancoff, Steve", "d:Description": "Finger style guitarist. Reviews, biography and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.stevehancoff.com/"} +{"d:Title": "Polon, Andy", "d:Description": "Acoustic guitarist who plays American blues and ragtime using the fingerpicking method. Discography, reviews, tablature, and MP3 and MIDI downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://andypolon.com/andypolon/"} +{"d:Title": "Proctor, Chris", "d:Description": "Acoustic guitarist playing a blend of classical, blues, jazz, folk, Celtic and pop. Biography, concert schedule, and information on instructional material.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.chrisproctor.com/"} +{"d:Title": "Seume, Tristan", "d:Description": "Guitarist and composer for fingerstyle guitar. Performances, tuition, testimonials, album information, song samples, schedule, gallery, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.tristanseume.co.uk/"} +{"d:Title": "Thompson, Nick", "d:Description": "Fingerstyle acoustic guitarist Nick Thompson. Includes song downloads (WMA, MP3, or RealAudio) and e-mail updates.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.nickthompson.com/"} +{"d:Title": "Gomm, Jon", "d:Description": "Guitarist and singer/songwriter rooted in styles of classical, blues, jazz, and rock. Includes latest news, gig schedule, photos, biography, reviews, and MP3 samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.jongomm.com/"} +{"d:Title": "Bensusan, Pierre", "d:Description": "Algerian guitarist, best known for his use of the DADGAD tuning. Biography, discography, reviews, performances, and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.pierrebensusan.com/"} +{"d:Title": "Blatt, Lawrence", "d:Description": "San Francisco based guitarist and recording artist. Includes biography, audio samples, news and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.lawrenceblatt.com/"} +{"d:Title": "Hallawell, Todd", "d:Description": "Classically trained fingerstyle guitarist, music engineer and producer; includes biography, press pack, gig-listing, discography, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://toddhallawell.com/"} +{"d:Title": "Tetreault, Buddy", "d:Description": "Plays various styles including country, classical, Celtic, oldies and Flamenco. Sound clips, tour dates, photos and profile.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.buddyguitar.com/"} +{"d:Title": "Buckingham, David", "d:Description": "English guitarist and composer combining flamenco with DADGAD, contemporary classical and latin jazz. Includes audio samples of latest release \"The View\".", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.worldguitarist.com/"} +{"d:Title": "McKee, Andy", "d:Description": "Official Website for Guitarist Andy McKee", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.andymckee.com/"} +{"d:Title": "Pacetti, Sam", "d:Description": "Fingerstylist from St. Augustine, Florida. Recording artist with Waterbug Records.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.sampacetti.com/"} +{"d:Title": "Roche, Eric", "d:Description": "Fingerstylist Eric Roche. Workshops, performances, MP3.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://ericroche.com/"} +{"d:Title": "Filkins, James", "d:Description": "James Filkins- Fingerstyle Acoustic Guitar. Information, musical samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://jamesfilkins.com/"} +{"d:Title": "Hausmann, Jens", "d:Description": "The German based acoustic fingerstyle guitarist, journalist and guitar teacher Jens Hausmann.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://jenshausmann.com/"} +{"d:Title": "T-cophony", "d:Description": "Discography, music videos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.t-cophony.com/"} +{"d:Title": "Ferris, Michael", "d:Description": "Information resource page, reading, pictures, recordings, forum links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.ferrisguitar.com/"} +{"d:Title": "Mize, Bill", "d:Description": "Fingerstyle guitarist and composer", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.billmize.com/"} +{"d:Title": "FingerstyleGuitarists.com", "d:Description": "Premier fingerstyle guitar players. Videos and interviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.fingerstyleguitarists.com/"} +{"d:Title": "Campani, Gabriele", "d:Description": "Acoustic blues, jazz, country, European and American folk tunes, and original compositions,", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.guitarman.co.nz/"} +{"d:Title": "Hopper, Shaun", "d:Description": "Fingerstyle: New Age Classical Jazz Folk music videos easy listening", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.shaunhopper.com/"} +{"d:Title": "Thurber, Gordon", "d:Description": "Improvised guitar music by Gordon Thurber.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.gordonthurberguitar.webs.com/"} +{"d:Title": "Pilotto, Larry", "d:Description": "American fingerstyle acoustic guitarist and composer. Includes sound clips, concert schedule, and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://larrypilotto.com/"} +{"d:Title": "Georgiades, Mike", "d:Description": "Guitarist&Composer from London. Session guitarist and film&TV.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.guitaristcomposer.co.uk/"} +{"d:Title": "Basho, Robbie", "d:Description": "Archives about acoustic guitar pioneer, composer, singer Robbie Basho, father of the American Raga, with biography, complete discography, visions, info, links", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.bluemomentarts.de/bma/rbasho_archives/robbie-basho_index.html"} +{"d:Title": "Ryan, Stuart", "d:Description": "Fingerstyle guitarist Stuart Ryan. Acoustic and Electric Guitar lessons. Guitar Books and CDs. Tour Schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.stuartryanmusic.com/"} +{"d:Title": "Gerhard, Ed", "d:Description": "Official site of this fingerstyle acoustic guitar performer. Recordings, books, videos, tour dates, information and articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.virtuerecords.com/"} +{"d:Title": "D'Andrea, Craig", "d:Description": "Craig D'Andrea, fingerstyle guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.craigdandrea.com/"} +{"d:Title": "Dufour, Antoine", "d:Description": "Official website, online store, music, live shows.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.antoinedufourmusic.com/"} +{"d:Title": "Dobson, Ewan", "d:Description": "", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://ewandobson.com/"} +{"d:Title": "Isbin, Gilbert", "d:Description": "Biography, discography, reviews, soundclips, lessons, sheet music, links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.gilbertisbin.com/"} +{"d:Title": "Melrose, Ian", "d:Description": "Contains audio clips, reviews, discography, and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.ianmelrose.com/"} +{"d:Title": "Meinen, S\u00f6nke", "d:Description": "Fingerstyle guitarist from Aurich, Lower-saxony in Germany. Bio, tour dates, store.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://www.soenkemeinen.com/"} +{"d:Title": "Arnito", "d:Description": "Guitar player and composer influenced by flamenco, jazz, classical, world-music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle", "url": "http://arfillion.wixsite.com/arnito"} +{"d:Title": "Emmanuel, Tommy", "d:Description": "Official site of the Australian fingerstyle guitarist. Features biography, international touring schedule, discography, forum, multimedia, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle/Emmanuel,_Tommy", "url": "http://www.tommyemmanuel.com/"} +{"d:Title": "Emmanuel, Tommy", "d:Description": "Includes biography and discography. [From Wikipedia]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle/Emmanuel,_Tommy", "url": "http://en.wikipedia.org/wiki/Tommy_Emmanuel"} +{"d:Title": "Kottke, Leo", "d:Description": "Fan site. Interviews, reviews, recordings, performances, schedule, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle/Kottke,_Leo", "url": "http://www.guitarmusic.org/"} +{"d:Title": "Kottke, Leo", "d:Description": "Biography, FAQ, tour dates, events, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle/Kottke,_Leo", "url": "http://www.leokottke.com/"} +{"d:Title": "Don Ross Online", "d:Description": "Official site of the artist. Includes biography, tours, archive, CDs, DVDs and tablatures.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle/Ross,_Don", "url": "http://www.donrossonline.com/"} +{"d:Title": "Wikipedia.org: Don Ross", "d:Description": "Encyclopedia entry featuring biography and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Fingerstyle/Ross,_Don", "url": "http://en.wikipedia.org/wiki/Don_Ross_(guitarist)"} +{"d:Title": "McCormick, John", "d:Description": "Guitarist and singer. Includes sound clips, biography, discography, contact information, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Folk", "url": "http://www.mccormickjohn.com/"} +{"d:Title": "McGuinn, Roger", "d:Description": "Guitarist, songwriter and former member of The Byrds. Includes a biography and appreciation.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Folk", "url": "http://www.ibiblio.org/jimmy/mcguinn/"} +{"d:Title": "Alexander, Greg", "d:Description": "Singer/songwriter specializing in folk and acoustic blues. Includes biography, tour dates, music, merchandise, and booking information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Folk", "url": "http://www.gregalexander.com/"} +{"d:Title": "Ambler, Tim", "d:Description": "Guitarist/songwriter active in the Irish live music scene. Includes the biography, news, discography, schedule, gallery, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Folk", "url": "http://www.timambler.com/"} +{"d:Title": "Hinchliffe, Keith", "d:Description": "Concerts, recordings, books, reviews, workshops, tuition, contact details, downloadable sample tracks.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Folk", "url": "http://www.keithhinchliffe.com/"} +{"d:Title": "Cifarelli, Gigi", "d:Description": "Contains biography, pictures, sheet music, discography, tour dates and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.gigicifarelli.net/"} +{"d:Title": "Autschbach, Peter", "d:Description": "Includes biography, discography, tour dates, mp3s, interviews and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.autschbach.com/"} +{"d:Title": "Hausmann, Jens", "d:Description": "Singer/songwriter and guitarist focusing on blues and jazz. Biography, album information, media, press reviews, schedule, gallery, news, and contact information. [English/German]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.jenshausmann.de/"} +{"d:Title": "Hunter, Charlie", "d:Description": "Official site for guitarist Hunter features news, articles, biography, discography, itinerary, setlists, audio and video clips, and details on his guitars and amps.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.charliehunter.com/"} +{"d:Title": "Moore, Clay", "d:Description": "Official site, includes sound clips and a section of advice and resources of interest to jazz guitar enthusiasts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.claymoore.com/"} +{"d:Title": "Bruno, Jimmy", "d:Description": "All about jazz guitarist Jimmy Bruno. Includes biography, touring information, photos, and tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.jimmybruno.com/"} +{"d:Title": "Proper, Doug", "d:Description": "New York area seven-string jazz guitarist Proper's website includes upcoming gigs, online lessons, audio samples, and a biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.dougproper.com/"} +{"d:Title": "Cheshire, Andrew", "d:Description": "The jazz guitarist's site features pictures, reviews, and sound files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.angelfire.com/ga2/cheshire/index.html"} +{"d:Title": "Martino, Pat", "d:Description": "Modern jazz guitarist. Contains news, biography, itinerary, discography, video clips, photos and transcriptions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.patmartino.com/"} +{"d:Title": "Sauter, Tomas", "d:Description": "Guitarist and composer from Switzerland working with loops. Education, projects, discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.tomassauter.com/"} +{"d:Title": "Lunder, Igor", "d:Description": "Contains biography, concert schedule, MP3 sound files, and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.igorlunder.com/"} +{"d:Title": "Cardozo, Marcelo", "d:Description": "Contains biography, audio samples, and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.marcelocardozo.com/"} +{"d:Title": "Mackstaller, Ryan", "d:Description": "Contains performance schedule, press materials, reviews, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://ryanmackstaller.com/"} +{"d:Title": "Zvonimir, Tot", "d:Description": "Contains biography, audio samples, details of CDs and sheet music, and touring schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.zt-music.com/"} +{"d:Title": "Magraw, Dean", "d:Description": "Includes gig calendar, biography, reviews, free MP3s, and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.deanmagraw.com/"} +{"d:Title": "Samaurez, Victor", "d:Description": "UK-born artist working out of Los Angeles offers discography, reviews, tutorials, booking form, and resources for players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.myjazzguitar.com/"} +{"d:Title": "Taylor , Martin", "d:Description": "Acoustic guitarist from the UK plays dates in North America, Europe, Asia and Australia, and writes and records music for films and television.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.martintaylor.com/"} +{"d:Title": "Leventopoulos, Apostolos", "d:Description": "Includes music downloads, tour info, biography, and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.leventopoulos.com/"} +{"d:Title": "Renz, Paul", "d:Description": "Includes performance dates, publications, reviews and CDs available for sale.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.paulrenz.com/"} +{"d:Title": "Ito, Shinobu", "d:Description": "Contains biography, discography, photography, and music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.shinobuito.com/"} +{"d:Title": "Nova, Brian", "d:Description": "Includes performances, history, and a listen and purchase section.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.briannova.com/"} +{"d:Title": "Carter, Joe", "d:Description": "Features biography, itinerary, reviews, sound bites, and recordings for Brazilian and Jazz guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.joecartermusic.com/"} +{"d:Title": "Saluto, Saluto", "d:Description": "Italian jazz guitarist; includes profile, discography, tour dates, news and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.stevesaluto.com/"} +{"d:Title": "Hewer, Jeffrey", "d:Description": "Jazz Guitarist located in Toronto, Canada. Contains bio, sounds, booking, lessons, gear, photos, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.jeffreyhewer.com/"} +{"d:Title": "Khan, Steve", "d:Description": "A veteran modern jazz guitarist, fusion pioneer, and author. Includes a biography, discography, equipment list and hand-written transcriptions, lead sheets, and mp3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://stevekhan.com/"} +{"d:Title": "Jordan, Stanley", "d:Description": "Biography, discography, images and sound files, news and tour dates of the American guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.stanleyjordan.com/"} +{"d:Title": "Strazzullo, Nico", "d:Description": "Jazz guitarist/composer. Biography, music, reviews, CD, and sample downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.dotuscomus.com/nicostrazzullo"} +{"d:Title": "Lanson, Sebastien", "d:Description": "French jazz guitarist/composer who works in San Francisco and Spain. Discography, tour dates, profile, news and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.seblanson.com/"} +{"d:Title": "Storm, Hakon", "d:Description": "Norwegian jazz guitarist and composer. Offers biography, discography, reviews, photos and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.stormjazz.com/"} +{"d:Title": "Dorman, Randy", "d:Description": "Jazz guitarist with Kenny Rogers for over 27 years; offers his profile, gig-dates, discography, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.randydorman.com/"} +{"d:Title": "Stein, John", "d:Description": "Kansas-born jazz guitarist; offers details of recordings and tour dates, with a biography and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.johnstein.com/"} +{"d:Title": "Burr, Jeffrey", "d:Description": "Biography, performance calendar, reviews, audio samples and CD ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.jeffreyburrjazz.com/"} +{"d:Title": "Hostfalt, Sten", "d:Description": "Guitarist, composer and live electronica performer. Offers biography, discography, information on projects and microtonal guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.stenhostfalt.com/"} +{"d:Title": "Singer, Frank", "d:Description": "Guitarist, composer and music educator; includes profile, mp3s, sheet music, articles on jazz history and music theory, discography, and gig-guide.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.franksinger.com/"} +{"d:Title": "Cetkar, Vladimir Vasileski", "d:Description": "Guitarist, composer and arranger. Includes news, biography, discography, tour dates, photo gallery, audio clips, and contact info.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.vladimircetkar.com/"} +{"d:Title": "Augustin, Richard", "d:Description": "Jazz guitarist based in Haiti. Biography, discography, articles, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://richardaugustin.com/"} +{"d:Title": "Oreshko, Tony", "d:Description": "Includes gig listings, biography, mp3s and free jazz guitar lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.oreshko.co.uk/"} +{"d:Title": "Glotzer, Steve", "d:Description": "Instrumental jazz guitarist. Includes schedule, cd information, reviews and contact information. Audio samples are also available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.picklehead.com/glotzer/glotzer.html"} +{"d:Title": "Lang, Eddie", "d:Description": "Biography, discography and film appearances of 1920s/30s jazz guitar pioneer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.redhotjazz.com/lang.html"} +{"d:Title": "Rosenwinkel, Kurt", "d:Description": "Tour dates, music clips, photo gallery and contact details for modern jazz guitarist and composer.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.kurtrosenwinkel.com/"} +{"d:Title": "Ritenour, Lee", "d:Description": "Smooth contemporary jazz guitarist and studio musician. Includes biography, tour dates, photos and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.leeritenour.com/"} +{"d:Title": "Outram, Mike", "d:Description": "UK modern jazz performer and professor of guitar. Contains biography, discography, sound clips, gig listings, press quotes and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.mikeoutram.com/"} +{"d:Title": "Etheridge, John", "d:Description": "Includes reviews, biography, discography and performance details of multi-faceted UK guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.johnetheridge.com/"} +{"d:Title": "Roberts, Howard", "d:Description": "Jazz guitarist, studio musician and educator. Contains discography, articles, interviews, photos, audio samples and details of transcriptions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.utstat.toronto.edu/mikevans/hroberts.html"} +{"d:Title": "Wilkins, Jack", "d:Description": "Biography, gigs, reviews, recordings, music clips and contact details of mainstream jazz guitarist from New York.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.jackwilkins.com/"} +{"d:Title": "Seidman, Mitch", "d:Description": "Jazz guitarist. Details of performances, articles, teaching, and cd sales.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.mitchseidman.com/"} +{"d:Title": "Roetker, Bob", "d:Description": "Biography, information about his Jazz Guitar Chord book (endorsed by Joe Pass) and also about his CDs and ordering information for both.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.bobroetker.com/"} +{"d:Title": "Bartosik, Bill", "d:Description": "A site devoted to jazz guitar, featuring original music by Bartosik from his latest CD \"The Summoning.\" Audio, transcriptions, photos, recommended listening. Also featuring his 1998 Himalayan Journal.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.angelfire.com/jazz/jazz/"} +{"d:Title": "Ben-Hur, Roni", "d:Description": "Features reviews, sound samples, biography and itinerary for guitarist Ben-Hur.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.ronibenhur.com/"} +{"d:Title": "Bryant, Freddie", "d:Description": "Features biography, activities, reviews, sound bites, CDs for sale, recordings, itinerary, and transcriptions for guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.freddiebryant.com/"} +{"d:Title": "Birch, Alisdair MacRae", "d:Description": "British jazz guitarist currently residing in New York. Site has biography, music, lessons, transcriptions, photos, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.alisdair.com/"} +{"d:Title": "Antoine, Marc", "d:Description": "Biography, tour dates, audio samples and booking information for French guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.marcantoine.com/"} +{"d:Title": "Eubanks, Kevin", "d:Description": "Features photos, event dates, reader questions, photos of his guitars, and music downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.kevineubanks.com/"} +{"d:Title": "Forman, Bruce", "d:Description": "Home page for Forman, the bebop and beyond jazz guitarist, who has worked with Freddie Hubbard, Joe Henderson, Ray Brown, Bill Watrous, Stanley Turrentine, and Bobby Hutcherson. Photo gallery, books and videos and guitar instruction information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.bruceforman.com/"} +{"d:Title": "Goldstein, Joey", "d:Description": "Canadian jazz guitarist's activities as a recording artist, sideman, and teacher. Free audio clips and PDF guitar lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.joeygoldstein.com/"} +{"d:Title": "Holdsworth, Allan", "d:Description": "Discography, biography and performance information for jazz guitarist Holdsworth.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://ofeuillerat.free.fr/"} +{"d:Title": "Negri, Joe", "d:Description": "Official website of Pittsburgh jazz guitarist includes biography, pictures and a jazz for juniors section.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.joenegri.com/"} +{"d:Title": "Pancrazi, Pete", "d:Description": "Information about Arizona guitarist Pancrazi's straight-ahead and Latin jazz performance and instruction. Biography, reviews, schedule, CD-ROM and booking information and links to other guitar sites.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.petepancrazi.com/"} +{"d:Title": "Scott, Flora", "d:Description": "A jazz guitarist and vocalist from Victoria B.C., Canada. This site contains a biography, reviews, pictures, upcoming events and MP3 samples of her music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.florascott.com/"} +{"d:Title": "Standring, Chris", "d:Description": "Official home page of jazz guitarist and Instinct recording artist Standring includes tour schedule, records, reviews, secrets and jazz links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.chrisstandring.com/"} +{"d:Title": "Tokunaga, Hideaki", "d:Description": "Biography, resume, reviews, and CDs from a Japanese jazz guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://japaneseguitarist.com/"} +{"d:Title": "Isbin, Gilbert", "d:Description": "Jazz guitarist. Biography, discography, sound clips, reviews, lessons, sheet music, gallery, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz", "url": "http://www.gilbertisbin.com/"} +{"d:Title": "Gallery 41 Presents John Abercrombie", "d:Description": "Interview in RealAudio format.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Abercrombie,_John", "url": "http://www.gallery41.com/JazzArtists/JohnAbercrombie.htm"} +{"d:Title": "All Music Guide: John Abercrombie", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Abercrombie,_John", "url": "http://www.allmusic.com/artist/p5975"} +{"d:Title": "Alden, Howard", "d:Description": "Official site of the guitarist, known for Concord Records releases as leader as well as discs recorded with Flip Phillips and Scott Hamilton.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Alden,_Howard", "url": "http://www.howardalden.com/"} +{"d:Title": "ExploreDance.com: Guitar Double Bill", "d:Description": "January, 2003 review by Roberta Zlokower of Alden's performance with fellow guitarists Bucky Pizzarelli and Gene Bertoncini and a second set by the Pat Martino Quartet at the Iridium Jazz Club in New York.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Alden,_Howard", "url": "http://www.exploredance.com/musicreview12503.html"} +{"d:Title": "Derek Bailey", "d:Description": "Includes career summary and partial discography from Wikipedia.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Bailey,_Derek", "url": "http://en.wikipedia.org/wiki/Derek_Bailey"} +{"d:Title": "Carlton, Larry", "d:Description": "Contains tourdates, mp3, tablature, biography, and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Carlton,_Larry", "url": "http://www.larrycarlton.com/"} +{"d:Title": "Wikipedia : Larry Carlton", "d:Description": "The free encyclopedia article, contains details on his career and a discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Carlton,_Larry", "url": "http://en.wikipedia.org/wiki/Larry_Carlton"} +{"d:Title": "Charlie Christian", "d:Description": "Fan site features history, photos, and sound files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Christian,_Charlie", "url": "http://www.duke.edu/~tnp/"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Christian,_Charlie", "url": "http://rockhall.com/inductees/charlie-christian/"} +{"d:Title": "Larry Coryell", "d:Description": "Official website includes biography, calendar, CD samples, video clips, discography, forum, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Coryell,_Larry", "url": "http://www.larrycoryell.net/"} +{"d:Title": "Wikipedia : Larry Coryell", "d:Description": "The free encyclopedia article, contains a bio and a selected discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Coryell,_Larry", "url": "https://en.wikipedia.org/wiki/Larry_Coryell"} +{"d:Title": "Larry Coryell", "d:Description": "Biography, discography and videos from a booking agency.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Coryell,_Larry", "url": "http://www.novaconcerts.com/larrycoryell.html"} +{"d:Title": "Al Di Meola", "d:Description": "The official site of the guitarist. Biography, tour schedule, Shockwave streaming audio, releases, guitar information, and store.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Di_Meola,_Al", "url": "http://www.aldimeola.com/"} +{"d:Title": "SoundStage - Jim Hall - Grand Slam", "d:Description": "Positive review of the live CD.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Hall,_Jim", "url": "http://www.soundstage.com/music/reviews/rev271.htm"} +{"d:Title": "Horvitz, Bill", "d:Description": "Includes article about \"The Public Servants (NYC, 1979-1981), by Dave Mandl. Previously published in \"The Wire\".", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Horvitz,_Bill", "url": "http://wfmu.org/~davem/docs/servants.html"} +{"d:Title": "Horvitz, Bill", "d:Description": "Includes information on projects, audio samples, biography, and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Horvitz,_Bill", "url": "http://billhorvitz.com/"} +{"d:Title": "Horvitz, Bill", "d:Description": "Includes a list of solo guitar and ensemble pieces, recorded 1986/ 1989.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Horvitz,_Bill", "url": "http://riad.usk.pk.edu.pl/~pmj/previte/solo.txt"} +{"d:Title": "SwingMusic.net: Barney Kessel", "d:Description": "Lengthy biography describes the guitarist's work with Billie Holiday, Lester Young, Artie Shaw and Oscar Peterson.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Kessel,_Barney", "url": "http://www.swingmusic.net/Kessel_Barney_Biography_Jazz_Guitar.html"} +{"d:Title": "Lewis, Vince", "d:Description": "Virginia-based jazz guitarist, reviewer for jazz magazines, and university music instructor playing hollow-body solos influenced by Wes Montgomery. CD sales.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Lewis,_Vince", "url": "http://www.vincelewis.com/"} +{"d:Title": "All About Jazz: Two In The Pocket", "d:Description": "Review of 2004 CD on the Noteworthy label featuring Lewis with fellow guitarist Steve Abshire. Cover photo, track list, personnel, recommendation.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Lewis,_Vince", "url": "http://www.allaboutjazz.com/php/article.php?id=14504"} +{"d:Title": "Just Jazz: interview", "d:Description": "Bluegrass fiddler and \"Music of the Mountains\" radio host Joe Dobbs interviews Lewis for the August 2004 issue of the magazine, a transcription with studio photo.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Lewis,_Vince", "url": "http://www.fretnfiddle.com/joe/pages/pdfs/vincelewis.pdf"} +{"d:Title": "Vince Lewis Trio Photo Gallery", "d:Description": "More than a dozen photos of the guitarist and his trio in performance by photographer Melanie Kipps at pbase.com.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Lewis,_Vince", "url": "http://www.pbase.com/melaniekipp/vince"} +{"d:Title": "John McLaughlin", "d:Description": "An extensive discography and analysis of McLaughlin's style.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/McLaughlin,_John", "url": "http://alexbunard.freeservers.com/music/jazz/mclaughlin/mclaughlinmain.html"} +{"d:Title": "Sons of Miles: John McLaughlin", "d:Description": "Part of Mike Zwerin's series about Miles Davis sidemen.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/McLaughlin,_John", "url": "http://www.culturekiosque.com/jazz/miles/rhemile20.htm"} +{"d:Title": "When Blue Turns Gold", "d:Description": "A fan site with articles, photos, equipment information, MP3 audio samples, reviews, tablature, discography and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/McLaughlin,_John", "url": "http://www.italway.it/morrone/WBTG.htm"} +{"d:Title": "John McLaughlin - Official Web Site", "d:Description": "Features news, discography, photos, videos, and a time-line biography.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/McLaughlin,_John", "url": "http://www.johnmclaughlin.com/"} +{"d:Title": "John McLaughlin Archive", "d:Description": "A resource for Jazz guitar and John McLaughlin including new releases, reviews, music, videos, photos, posters, and album covers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/McLaughlin,_John", "url": "http://www.angelfire.com/jazz/jmweb/"} +{"d:Title": "Pat Metheny - Cosmopolis", "d:Description": "Biography of the guitarist, with all his Grammy winning albums.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Metheny,_Pat", "url": "http://www.cosmopolis.ch/english/cosmo8/patmetheny.htm"} +{"d:Title": "Pat Metheny Group Listener Network", "d:Description": "Official site with tour dates, audio and video, street team, news, and merchandise.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Metheny,_Pat", "url": "http://www.patmetheny.com/"} +{"d:Title": "All Music Guide: Pat Metheny", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Metheny,_Pat", "url": "http://www.allmusic.com/artist/p7121"} +{"d:Title": "Mike Moreno", "d:Description": "Official site of the guitarist originally from Houston Texas, includes MP3s, biography, shows, photos, reviews and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Moreno,_Mike", "url": "http://www.mikemoreno.com/"} +{"d:Title": "Muthspiel, Wolfgang", "d:Description": "The Age review about Muthspiel's Australian debut by Jessica Nicholas.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Muthspiel,_Wolfgang", "url": "http://www.theage.com.au/articles/2004/07/09/1089000345386.html?from=storyrhs&oneclick=true"} +{"d:Title": "Muthspiel, Wolfgang", "d:Description": "Streamed two-hour BBC Radio 3 broadcast from a trio gig at North London's Vortex Jazz Club, with track list and an interview.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Muthspiel,_Wolfgang", "url": "http://www.bbc.co.uk/radio3/jazzon3/pip/v8iv5/index.shtml"} +{"d:Title": "Muthspiel, Wolfgang", "d:Description": "Concert review by John Fordham in the Guardian.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Muthspiel,_Wolfgang", "url": "http://www.theguardian.com/music/2004/may/12/jazz.johnfordham"} +{"d:Title": "Muthspiel, Wolfgang", "d:Description": "CD review by John Fordham in the Guardian.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Muthspiel,_Wolfgang", "url": "http://www.theguardian.com/music/2003/jan/10/jazz.artsfeatures2"} +{"d:Title": "Tribute to Joe Pass Trio", "d:Description": "Transcriptions, photos, brief biography, 1979 interview, and tour schedule for group headed by guitarist Peter Autschbach.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Pass,_Joe", "url": "http://www.joepass.de/"} +{"d:Title": "John Pizzarelli", "d:Description": "Official site offers biography with photos and videos, chat forum, performance schedule, and Radio Deluxe, a 1940s-style program hosted by the guitarist on the air and by podcast.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Pizzarelli,_John", "url": "http://www.johnpizzarelli.com/"} +{"d:Title": "Reinhardt, Django", "d:Description": "Profile of the guitarist on Wikipedia, with discography and further links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Reinhardt,_Django", "url": "http://en.wikipedia.org/wiki/Django_Reinhardt"} +{"d:Title": "Howard Roberts - Jazz Guitarist", "d:Description": "Discography including collaborations and soundtracks, audio interviews, audio of his eulogy on NPR, music education including bibliography and audio of a lecture, detailed equipment list, influences, photographs, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Roberts,_Howard", "url": "http://www.utstat.utoronto.ca/mikevans/hroberts.html"} +{"d:Title": "John Scofield", "d:Description": "The official site of the jazz guitarist. Includes news, biography, tour and equipment information, discography, photos, and RealAudio clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Scofield,_John", "url": "http://johnscofield.com/"} +{"d:Title": "Verve Music Group: John Scofield", "d:Description": "Biography and information, tour dates, photos, and sound and video files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Scofield,_John", "url": "http://www.vervemusicgroup.com/johnscofield"} +{"d:Title": "Stern, Mike", "d:Description": "Includes, biography, discography, interviews, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Jazz/Stern,_Mike", "url": "http://www.mikestern.org/"} +{"d:Title": "Samengo, Andrea", "d:Description": "Contains biography, music downloads, performance schedule, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.andreasamengo.com/"} +{"d:Title": "Watson, Wah Wah", "d:Description": "Official site of the session guitarist, writer, producer, and artist. Details about his career, discography, and audio clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.wahwah.com/"} +{"d:Title": "Munkhoff, Dave", "d:Description": "Classic rock style guitarist. Includes photos, biography, calendar and downloadable music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.munkmusic.com/"} +{"d:Title": "Fuson, Michael", "d:Description": "Contains information about the rock/cross-over guitarist. Gear, biography, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.michaelfuson.com/"} +{"d:Title": "Godding, Brian", "d:Description": "Founding member of Blossom Toes, and other UK bands. Includes autobiography, gear list and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.lotsawatts.co.uk/"} +{"d:Title": "The Great Kat", "d:Description": "Performer updating classical music with speed metal, and transcribing violin solos to the guitar. Includes discography, reviews and merchandise.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.greatkat.com/"} +{"d:Title": "Cooley, Rusty", "d:Description": "Includes biography, discography, reviews, music and video files, and links to guitar lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.rustycooley.com/"} +{"d:Title": "Gatton, Danny", "d:Description": "Includes news, discography, photo collection, and Mp3 sound files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.dannygatton.com/"} +{"d:Title": "Hayakawa, Koichi", "d:Description": "Includes mp3 audio clips, news, and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Guitarists/Rock", "url": "http://www.koichi-hayakawa.com/"} +{"d:Title": "Vatroslav Sabolovic", "d:Description": "Vatroslav Sabolovic, luthier, creates handmade carved archtop guitars. Original creations on command.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.sabolovic.com/"} +{"d:Title": "Foster Guitars", "d:Description": "Archtop and electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.fosterguitars.com/"} +{"d:Title": "Moll Custom Instruments", "d:Description": "Archtop guitars and bass.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.mollinst.com/"} +{"d:Title": "Ellis Guitars", "d:Description": "Andrew Ellis, Luthier. Perth, Western Australia. Fine, hand crafted six and seven string steel acoustic guitars..", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.ellisguitars.com/"} +{"d:Title": "Cumpiano String Instruments", "d:Description": "Custom guitars and Latin American instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.cumpiano.com/"} +{"d:Title": "Manzer Guitars", "d:Description": "Luthier Linda Manzer. Archtop, classical and flat top acoustic guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.manzer.com/"} +{"d:Title": "Schneider Guitars", "d:Description": "Custom made guitars and Indian instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.schneiderguitars.com/"} +{"d:Title": "Neely Custom Guitars", "d:Description": "Flat top and electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.neelyguitars.com/"} +{"d:Title": "Abyss Guitar Company", "d:Description": "Handmade custom-built 6 and 7 string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.abyssguitars.com/"} +{"d:Title": "Mapson Archtop Guitars", "d:Description": "James L. Mapson Luthier. Southern California builder of fine archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.archtops.com/"} +{"d:Title": "Comins Guitars", "d:Description": "Luthier Bill Comins. Archtop Guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.cominsguitars.com/"} +{"d:Title": "Siegmund Guitars", "d:Description": "Archtop, resophonic and electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.siegmundguitars.com/"} +{"d:Title": "Koll Guitars", "d:Description": "Archtop and electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.kollguitars.com/"} +{"d:Title": "Lacey Guitars", "d:Description": "Mark Lacey Luthier. Custom electric Archtop and Flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.laceyguitars.com/"} +{"d:Title": "Gerrit van Bergeijk", "d:Description": "Dutch guitarmaker: Custom Selmer style \"Hot Club\" guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.gitaarbouw.nl/"} +{"d:Title": "Shelley D. Park Guitars", "d:Description": "Classic Selmer/Maccaferri design guitars. Vancouver Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.parkguitars.com/"} +{"d:Title": "Lehmann Stringed Instruments", "d:Description": "Classical and flat top guitars, lutes, and mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.lehmannstrings.com/"} +{"d:Title": "Schaefer Guitars", "d:Description": "Archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.schaeferguitars.com/"} +{"d:Title": "Everett Acoustic Guitars", "d:Description": "Steel String and Classical Acoustic guitars handmade by Luthier Kent Everett.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.everettguitars.com/"} +{"d:Title": "John F. Mello", "d:Description": "Classical and steel string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.johnfmello.com/"} +{"d:Title": "McCurdy Guitars", "d:Description": "Archtop and one-off custom guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.mccurdyguitars.com/"} +{"d:Title": "KGB Musical Instruments", "d:Description": "UK luthier offering custom-made guitars and repair and customising service.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.kgb-music.co.uk/"} +{"d:Title": "Eduardo Alan Moreno Moore.", "d:Description": "Chilean maker of modern nylon 6 and 8 string guitars, charangos and tiples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.morenomoore.cl/"} +{"d:Title": "J. White Guitar Workshops", "d:Description": "Custom acoustic and electric guitars and mandolins. UK", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.jwhite-guitarworkshops.co.uk/"} +{"d:Title": "Perlman Guitars", "d:Description": "Luthier Alan Perlman. Quality custom made steel string guitars, classical guitars, 11 string and other models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.perlmanguitars.com/"} +{"d:Title": "Zimnicki Guitars", "d:Description": "Archtop, flat top and classical guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.zimnicki.com/"} +{"d:Title": "Stefan Sonntag", "d:Description": "Archtop jazz guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.sonntag-guitars.com/english"} +{"d:Title": "Larkin Custom Guitars", "d:Description": "Archtop and flat top guitars, mandolins and other musical instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.chrislarkinguitars.com/"} +{"d:Title": "Petillo Guitars", "d:Description": "Flat top acoustic guitars. Carved top, archtop, solid and semi-solid electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.petilloguitars.com/"} +{"d:Title": "Tom Bills Guitars", "d:Description": "Handcrafted archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://tbguitars.com/"} +{"d:Title": "Lucas Guitars", "d:Description": "A. J. Lucas Luthier. Classical and steel string, acoustic and electric custom instruments, made in the UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.lucasguitars.co.uk/"} +{"d:Title": "M\u00f8rch Guitars", "d:Description": "Danish handcrafted basses and guitars. Products, artists, ordering information, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.morch-guitars.dk/"} +{"d:Title": "S.B. MacDonald Custom Instruments", "d:Description": "Custom made guitars, repair and vintage restoration. Authorized repair center for Martin, Taylor, and Gibson guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.customguitars.com/"} +{"d:Title": "Megas Guitars", "d:Description": "Acoustic and electric archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.megasguitars.com/"} +{"d:Title": "Gregory Furan Lutherie", "d:Description": "Maker of handmade concert classical and acoustic steel string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.furanguitars.com/"} +{"d:Title": "Cronin Archtops", "d:Description": "Custom built archtop and jazz guitars by Luthier Kevin Cronin.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.croninarchtops.com/"} +{"d:Title": "Rick Turner Guitars", "d:Description": "Steel and nylon string guitars and basses", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.renaissanceguitars.com/"} +{"d:Title": "Cucculelli, Rodolfo", "d:Description": "Argentinian luthier. Biography, guitar gallery, contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://rodolfocucculelli.8m.com/html_docs/ing/inicio.html"} +{"d:Title": "Triggs Guitars", "d:Description": "Electric solidbody, archtop and flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.triggsguitars.com/"} +{"d:Title": "Kathy Wingert Guitars", "d:Description": "Kathy Wingert Luthier. Custom built acoustic steel string and classical guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.wingertguitars.com/"} +{"d:Title": "Buscarino Archtop Guitars", "d:Description": "Master luthier producing quality archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.buscarino.com/"} +{"d:Title": "Lollar Guitars", "d:Description": "Archtops, acoustics and solid body electric guitars and pickups.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.lollarguitars.com/"} +{"d:Title": "Haxty Guitars", "d:Description": "Custom archtop guitars designed and built by luthier Greg Haxty.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.haxtyguitars.com/"} +{"d:Title": "Trevor Semple Guitars", "d:Description": "Luthier. Guitar making, products, articles, lectures and resources relating to guitar-making.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.sempleguitars.com/"} +{"d:Title": "Arne Jensen Guitars", "d:Description": "Arne Jensen Luthier. High quality classical and steel string guitars handmade of the finest woods. Denmark.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.guitarbyggeren.dk/"} +{"d:Title": "German Guitars", "d:Description": "Luthier Greg German. Maker of jazz archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.germanguitars.com/"} +{"d:Title": "Greg Back Guitars", "d:Description": "Greg Back custom guitar builder and co-creator of the Buzz Feiten Tuning System.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.gregbackguitars.com/"} +{"d:Title": "Usher Guitars", "d:Description": "Frank Usher Luthier. Electric guitars, basses, mandolins and lapsteels, made in the Scottish Borders and in use worldwide.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.frankusherguitars.co.uk/"} +{"d:Title": "Laurie Williams Guitars", "d:Description": "New Zealand Luthier Laurie Williams. Custom guitars and other instruments handmade using ancient Kauri and other exotic tonewoods.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.guitars.co.nz/"} +{"d:Title": "Buscarini Guitars", "d:Description": "Luciano Buscarini Luthier. Maker of classical acoustic and Electric guitars. (Italy)", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.liuteriabuscarini.it/html/homepage.html"} +{"d:Title": "John Osthoff Guitars", "d:Description": "Luthier John Osthoff, Becket MA. Custom handbuilt guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.osthoffguitars.com/"} +{"d:Title": "Hancock Guitars", "d:Description": "Custom archtop instruments by Australian luthier Kim Hancock.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.hancockguitars.com/"} +{"d:Title": "Paragon Guitars", "d:Description": "Robert Bustos and Mark Vantaa, Luthiers. Hand built custom guitars, proudly produced in Vancouver, British Columbia, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.paragonguitars.com/"} +{"d:Title": "ADR Guitars", "d:Description": "A. Dominguez Romero Luthier. Handmade guitars with 6, 8 and 10 strings, Guitarrons with 4-6-8 strings. Stockholm Sweden.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://netinstruments.com/adr-guitars/"} +{"d:Title": "Langdon Guitars", "d:Description": "Acoustic, Archtop and Electric instruments from salvaged and recycled woods.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.reninet.com/~langdon/"} +{"d:Title": "Knutson Luthiery", "d:Description": "John Knutson Luthier. handbuilt custom acoustic, electric, and archtop guitars, mandolins, and basses for 25 years", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.knutsonluthiery.com/"} +{"d:Title": "Harrison Guitars", "d:Description": "Doug Harrison, Luthier. Custom built arch top jazz guitars. Based in Toronto, Canada", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.harrisonguitars.com/"} +{"d:Title": "Pete Woodman Guitars", "d:Description": "Pete Woodman Luthier. Custom acoustic and electric guitars, Resonator instruments and basses. Isle of Man, Great Britain.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.petewoodmanguitars.com/"} +{"d:Title": "Peter Barton Guitars", "d:Description": "Beautiful, sought after classical and acoustic guitars from this fine English luthier", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.peterbartonguitars.co.uk/"} +{"d:Title": "Roger Williams Guitars", "d:Description": "Roger Williams Luthier. Makes and restores guitars for professional and amateur musicians. England.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.rogerwilliamsguitars.com/"} +{"d:Title": "American Archtop Guitars", "d:Description": "Custom archtops handcrafted by Luthier Dale Unger.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.americanarchtop.com/"} +{"d:Title": "Kif Guitars", "d:Description": "Kif Luthier. Handmade electric and acoustic guitars and other instruments for 30 years. England.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.kifguitars.com/"} +{"d:Title": "Sedgwick Guitars", "d:Description": "Stephen Sedgwick Luthier. Custom classical and flat top guitars. Also specializing in Harp Guitars. Britain", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.stephensedgwick.co.uk/"} +{"d:Title": "Sheppard Guitars", "d:Description": "Gerald Sheppard Luthier. Fine custom build flat top guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.sheppardguitars.com/"} +{"d:Title": "James Fry Guitars", "d:Description": "Custom handmade acoustic and electric guitars. Taylor warranty center for Western Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.jamesfryguitars.com/"} +{"d:Title": "McIntyre Guitars", "d:Description": "Chris McIntyre luthier. Craftsman of fine electric guitars, acoustic guitars and basses. London, England.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.mcintyreguitars.com/"} +{"d:Title": "Vinny Colletti Stringed Instruments", "d:Description": "Vinny Colletti Luthier. Custom Archtop Guitars, 6 and 7 string and Archtop Basses. Handmade to order.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.vinnycollettiguitars.com/"} +{"d:Title": "Pavel Musical Instruments", "d:Description": "Pavel de la Fuente Luthier. Custom electric, and acoustic guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.pavelmi.com/"} +{"d:Title": "Foldable Guitar", "d:Description": "A new invention of a foldable and collapsible guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.fredzon.com/"} +{"d:Title": "Royal Jazz Guitars", "d:Description": "Roy Eneas Luthier. Fine Handcrafted, Selmer style, Gypsy Jazz Guitars from the South Coast of England. UK", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.royaljazzguitars.co.uk/"} +{"d:Title": "Ervin Somogyi - Luthier", "d:Description": "Builds a range of acoustic and classical guitars; includes instrument specifications, a biography, and a collection of his articles on luthiery. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.esomogyi.com/"} +{"d:Title": "Romance Guitars", "d:Description": "Jose Luis Diaz R. Luthier. Exotic guitars made in Paracho, Mexico.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.romanceguitars.com/"} +{"d:Title": "Kellaway Classical Guitars", "d:Description": "Dan Kellaway Luthier. Classical guitars produced with a particular sound in mind. New South Wales Australia.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.kellawayguitars.com/"} +{"d:Title": "Freilicher Guitars", "d:Description": "Louis Freilicher builds custom guitars and banjo necks in Belchertown, Massachusetts. Also repairs and restorations of all stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.freilicherguitars.com/"} +{"d:Title": "Guitar Master Works", "d:Description": "Dr. John A. Decker, Jr. Founder of RainSong Graphite Guitars and luthier of handcrafted classical guitars made from exotic woods.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.guitarmasterworks.com/"} +{"d:Title": "John Marlow Stringed Instruments", "d:Description": "West Yorkshire luthier, hand-crafting guitars, mandolins, violins and other stringed instruments; also includes details of his luthiery courses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.jmarlowstringedinstruments.co.uk/"} +{"d:Title": "Andrew White Guitars", "d:Description": "Andrew White, luthier; offers a gallery of his acoustic and classical instruments, testimonials, dealer listing, ordering information and contact details. [West Virginia, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.whiteguitars.net/"} +{"d:Title": "Arnie Gamble Guitars", "d:Description": "Luthier making a range of acoustic instruments, mainly guitars; includes gallery, FAQ, and contact details. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.arniegamble.com/"} +{"d:Title": "Greenfield Guitars", "d:Description": "Michael Greenfield crafts acoustic, archtop, and classical instruments; includes information about instruments, construction, and ordering details. [Montreal, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.greenfieldguitars.com/"} +{"d:Title": "McGill Guitars", "d:Description": "Offers a wide range of steel-string, classical, resophonic and acoustic-electric guitarsstage instruments; includes profile, instrument galleries and ordering instructions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.mcgillguitars.com/"} +{"d:Title": "Nava Hand Made Guitars", "d:Description": "Acoustic steel-string and classical guitars handbuilt by Gary Nava; includes a gallery and details on commissioning an instrument. [London, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.navaguitars.co.uk/"} +{"d:Title": "Vintage Acoustic", "d:Description": "A builder of custom made acoustic and resonator guitars, as well as bluegrass musicians.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.vintageacoustic.cc/"} +{"d:Title": "Trinity Guitars", "d:Description": "Luthier Jim Holler specializes in making classical guitars; also offers sales of acoustic guitars and mandolins. Information about prices, ordering instructions, and contact details. [New York, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.trinityguitars.com/"} +{"d:Title": "Vogel Guitars", "d:Description": "Makes acoustic, classical, electric and bass guitars. [Quito, Ecuador - site requires Flash]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.vogelguitars.com/"} +{"d:Title": "Moonstone Guitars", "d:Description": "Hand-crafted acoustic, electric and bass guitars since 1972 using highly figured exotic tone woods.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.moonstoneguitars.com/"} +{"d:Title": "Grellier, Christophe", "d:Description": "Guitarmaker specializing in handcrafted steel-string guitars (flat-top, archtop, Weissenborn, and lap-steel). Includes details of instruments, workshop and for contact. [Nantes, France]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.grellier.fr/index.php?lang=en"} +{"d:Title": "Jimi Glenister", "d:Description": "Offers custom built guitars and mandolins, also repair and restoration of all stringed instruments and bows. [Kommetjie, South Africa]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.jglen.co.za/"} +{"d:Title": "William C Kelday", "d:Description": "Flat top and classical guitars and bouzoukis.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.keldayguitars.com/"} +{"d:Title": "Charis Acoustic", "d:Description": "Flat top acoustic and classical guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.charisacoustic.com/"} +{"d:Title": "James R. Baker Archtop Guitars", "d:Description": "Handmade Archtop Jazz guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://www.jrbakerstudios.com/"} +{"d:Title": "Maingard Guitars", "d:Description": "Hand-crafted acoustic and classical guitars; includes profile, gallery, artist recommendations, ordering instructions and contact details. [Cape Town, South Africa]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://maingardguitars.com/"} +{"d:Title": "Curran Guitars", "d:Description": "Steel string acoustic guitars, handbuilt by Luthier Buck R. Curran. Maine. USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers", "url": "http://curranguitars.blogspot.com/"} +{"d:Title": "Colfax Guitar Shop", "d:Description": "Scott Baxendale acoustic guitars. Repairs and restorations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.colfaxguitarshop.com/"} +{"d:Title": "Allen Guitars", "d:Description": "Randy Allen Luthier. Flat top and resophonic acoustic guitars and mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.allenguitar.com/"} +{"d:Title": "Augustino LoPrinzi Guitars", "d:Description": "Ukuleles, classical guitars and steel string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.augustinoloprinzi.com/"} +{"d:Title": "Avalon Guitars", "d:Description": "Handcrafted acoustic guitars produced a team of luthiers. Includes company history and philosophy, with details of instruments they produce. (Newtownards, N Ireland)", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.avalonguitars.com/"} +{"d:Title": "Baranik Guitars", "d:Description": "Mike Baranik Luthier. Handcrafted, custom acoustic steel string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.baranikguitars.com/"} +{"d:Title": "Bascetta Stringed Instruments", "d:Description": "Matthew John Bascetta Luthier. Hand-made acoustic stringed instruments. Port Sunlight, Merseyside, UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.mjbluthier.co.uk/"} +{"d:Title": "Ben Rayner Guitars", "d:Description": "Offers custom made guitars, modifications and repairs. Located in Cambridge, UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.benrayner.co.uk/"} +{"d:Title": "Benjamin Guitars", "d:Description": "Handmade acoustic, baritone, terz and nylon-string guitars by Nick Benjamin, Lewes, UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.benjaminguitars.co.uk/"} +{"d:Title": "Coobs Guitars", "d:Description": "Jack Coobs, luthier. Fine Handmade Instruments. Acoustic guitars, mandolins and bouzoukis.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.coobsguitars.com/"} +{"d:Title": "Craig Anderson Guitars", "d:Description": "Acoustic steel string guitars. Traditional techniques and innovative new concepts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://craigandersonguitars.com/"} +{"d:Title": "Davidson Instruments", "d:Description": "Acoustic guitars, banjos, mandolins and bouzoukis by Luthier Phil Davidson.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.davidsoninstruments.com/"} +{"d:Title": "Doolin Guitars", "d:Description": "Acoustic instruments featuring a double-cutaway design.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.doolinguitars.com/"} +{"d:Title": "Eleferink Guitars", "d:Description": "Acoustic archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.elferinkguitars.com/"} +{"d:Title": "Froggy Bottom Guitars", "d:Description": "Acoustic steel string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.froggybottomguitars.com/"} +{"d:Title": "Gallagher Guitars", "d:Description": "Flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.gallagherguitar.com/"} +{"d:Title": "Goodall Guitars", "d:Description": "Flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.goodallguitars.com/"} +{"d:Title": "Guitar Parlor", "d:Description": "Custom builders of fine, handmade acoustic guitars. Repairs on all stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.guitarparlor.net/"} +{"d:Title": "Guitar Repairs UK", "d:Description": "Nick Marchant Luthier. Specializing in steel string acoustic guitars, mandolins. and other stringed instruments. UK", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.guitarrepairsuk.com/"} +{"d:Title": "Hoffman Guitars", "d:Description": "Charles A. Hoffman Luthier. Over 30 years of handmade acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.hoffmanguitars.com/"} +{"d:Title": "Huss and Dalton", "d:Description": "Custom-made acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.hussanddalton.com/"} +{"d:Title": "Inteso Custom Guitars", "d:Description": "Custom Acoustic Guitars hand-crafted by Luthier Al Inteso. Wood sets and acoustic guitar kits available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.aiguitars.com/"} +{"d:Title": "J. T. Hargreaves Basses and Guitars", "d:Description": "Acoustic basses and guitars utilizing the Kasha bracing design.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.jthbass.com/"} +{"d:Title": "J. Thomas Davis Guitars", "d:Description": "J.Thomas Davis Luthier. Individually designed and handmade acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.jthomasdavis.com/"} +{"d:Title": "Jenkins Guitars", "d:Description": "Chris Jenkins, luthier. Builder of the Saddle Pal and Chameleon steel string acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.cjenkinsluthier.com/"} +{"d:Title": "Keller Guitars", "d:Description": "Michael Keller Luthier. Building acoustic guitars for thirty years. All guitars made to order from customer's specifications.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.kellerguitars.com/"} +{"d:Title": "Kevin Ryan Guitars", "d:Description": "Flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.ryanguitars.com/"} +{"d:Title": "Kinscherff Guitars", "d:Description": "Luthier Jamie Kinscherff. Custom hand built acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.kinscherff.com/"} +{"d:Title": "Lucas Custom Instruments", "d:Description": "Randy Lucas Luthier. Flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.lucasguitars.com/"} +{"d:Title": "Marler Guitars", "d:Description": "Standard cutaway, classic and dreadnought acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.marlerguitars.com/"} +{"d:Title": "Mayhew Custom Guitar and Repairs", "d:Description": "Acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://l.mayhew.tripod.com/mayhew_guitar.html"} +{"d:Title": "McAlister Guitars", "d:Description": "Luthier Roy McAlister. Custom acoustic flat top guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.mcalisterguitars.com/"} +{"d:Title": "McElroy Guitars", "d:Description": "Seattle Luthier Brent McElroy. Custom made, handcrafted Acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.mcelroyguitars.com/"} +{"d:Title": "McKnight Guitars", "d:Description": "Timothy McKnight Luthier. Handmade acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.mcknightguitars.com/"} +{"d:Title": "Melville Guitars", "d:Description": "Flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.melvilleguitars.com/"} +{"d:Title": "Mike Long Guitars", "d:Description": "Custom builder of handmade acoustic guitars seeking to emulate pre-WWII dreadnoughts. Includes specifications, instruments currently available, and artists who use his instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.mikelongguitars.com/"} +{"d:Title": "Mitchell Guitars", "d:Description": "Flat top acoustic guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.bilmitchell.com/"} +{"d:Title": "Monteleone Instruments", "d:Description": "Archtop and flat top acoustic guitars and mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.monteleone.net/"} +{"d:Title": "Morgan Guitars", "d:Description": "Luthier David Iannone. Morgan guitar line. Handcrafted flat top acoustic guitars. Vancouver, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.morganguitars.com/"} +{"d:Title": "Northworthy", "d:Description": "Guitars built by Luthier Alan Marshall in the UK. Specializing in Steel String Acoustics.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.northworthy.com/"} +{"d:Title": "Olson Guitars", "d:Description": "James A. Olson Luthier. Fine handmade Acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.olsonguitars.com/"} +{"d:Title": "Perretta Guitar Company", "d:Description": "Fine acoustic guitars, hand crafted in Dallas, Texas by luthier John Fitzgerald.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.perrettaguitars.com/"} +{"d:Title": "Petros Guitars", "d:Description": "Archtop, flat top and classical guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.petrosguitars.com/"} +{"d:Title": "Pickard Acoustics", "d:Description": "Ivor Pickard Luthier. Handbuilt acoustic guitars (six and twelve string), mandolins, octave mandolas, bouzoukis, citterns and banjos. England.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.pickardacoustics.com/"} +{"d:Title": "Ribbecke Guitars", "d:Description": "Archtop and flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.ribbecke.com/"} +{"d:Title": "Robert Anderson Guitars", "d:Description": "Robert Anderson Luthier. Hand built acoustic, steel and nylon string guitars. French polished, hide glue construction.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.robertandersonguitars.com/"} +{"d:Title": "Running Dog Guitars", "d:Description": "Rick Davis Luthier. Running Dog acoustic guitars are handmade custom built for the individual player.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.vtguitars.com/"} +{"d:Title": "Savage Guitars", "d:Description": "Ken Savage Luthier. 30 years of woodworking experience. Custom acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.savageguitars.com/"} +{"d:Title": "Threet Guitars", "d:Description": "Luthier Judy Threet. Fingerstyle acoustic guitars. Alberta, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.threetguitars.com/"} +{"d:Title": "Timeless Instruments", "d:Description": "David Freeman Luthier. Custom designed Acoustic Guitars, instrument kits, supplies, sales and Luthier training.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.timelessinstruments.com/"} +{"d:Title": "Tippin Guitar Company", "d:Description": "Flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.tippinguitar.com/"} +{"d:Title": "Tony Vines Guitars", "d:Description": "Handcrafted acoustic guitars particularly for fingerstyle playing. Includes specifications, biography of the luthier, pricing, and a photo gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.tonyvinesguitars.com/"} +{"d:Title": "VanDruff Guitar", "d:Description": "Stan VanDruff Luthier. Handmade acoustic steel-string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.vandruffguitar.com/"} +{"d:Title": "Windy Hill GuitarWorks", "d:Description": "Mike Mears Luthier. Maker of acoustic, steel-string guitars in the northern Virginia area.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.windyhillguitarworks.com/"} +{"d:Title": "Arrenbieguitars", "d:Description": "Ralph Bonte, Luthier. Handcrafted steel-string guitars. Belgium.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.arrenbieguitars.be/"} +{"d:Title": "Galloup Guitars", "d:Description": "Handcrafted acoustic guitars; also includes details of lutherie school and repair facility. [Michigan, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.galloupguitars.com/"} +{"d:Title": "Alberico Guitars", "d:Description": "Fabrizio Alberico, luthier; specialises in instruments for fingerstyle players. Information about instruments, prices, and contact details; also offers a virtual tour of his workshop. [BC, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.albericoguitar.com/"} +{"d:Title": "Bashkin Guitars", "d:Description": "Information about his instruments and their features, his workshop, and profile. [Colorado, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.bashkinguitars.com/"} +{"d:Title": "Bischoff Guitars", "d:Description": "Offers handcrafted guitars since 1975. Includes details of instruments and workshop, and ordering instructions. Located in Eau Clair, Wisconsin.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.bischoffguitars.com/"} +{"d:Title": "Boucher Guitars", "d:Description": "Information about their 6-string acoustic instruments, history, and workshop tour; also includes listing of dealers. [Quebec, Canada - French and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.boucherguitars.com/"} +{"d:Title": "Brook Guitars", "d:Description": "Produces a range of acoustic guitars; includes specifications, gallery, information about workshop, reviews and contact details. [Exeter, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.brookguitars.com/"} +{"d:Title": "Caldwell Guitars", "d:Description": "Offers hand-crafted acoustic guitars; information about his instruments and their features, current inventory, pricing, and contact details. [Texas, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.caldwellguitars.com/"} +{"d:Title": "Berkowitz Guitars", "d:Description": "Profile of the luthier, David Berkowitz, and his acoustic 6-string, baritone and bass instruments. Information about ordering and contact details. [Washington DC, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.berkowitzguitars.com/"} +{"d:Title": "Webber Guitars", "d:Description": "Produces a range of acoustic guitars; offers a gallery, dealer listing, prices, and workshop tour. [British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.webberguitars.com/"} +{"d:Title": "Dubois Guitars", "d:Description": "David Dubois, luthier; specifications of his instruments, gallery, illustrations of construction, and ordering details. [New Hampshire, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.duboisguitars.com/"} +{"d:Title": "Dunwell Guitar", "d:Description": "Luthier specialising in acoustic instruments, particularly double-topped guitars; information on specifications, current inventory, features and pricing. [Colorado, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.dunwellguitar.com/"} +{"d:Title": "Foley Guitars", "d:Description": "Ed Foley, luthier; information on his acoustic instruments, testimonials, ordering instructions , and contact details. [New Jersey, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.foleyguitars.com/"} +{"d:Title": "Flammang Guitars", "d:Description": "Information about models, prices, stockists, and contact details. [Iowa, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.flammangguitars.com/"} +{"d:Title": "Beyond the Trees", "d:Description": "Luthier Fred Carlson, specializing in 6-string acoustic instruments with additional sympathetic strings; guitar gallery, audio samples, pricing and ordering information, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.beyondthetrees.com/"} +{"d:Title": "Geiger Guitars", "d:Description": "Builds a range of acoustic guitars; information about construction, gallery, and price list.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.geigerguitars.com/"} +{"d:Title": "Dave King Acoustics", "d:Description": "Small bodied, flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.daveking-acoustics.co.uk/"} +{"d:Title": "Karol Custom Guitars", "d:Description": "Hand-crafted 6-string acoustic guitars; includes gallery of models, ordering details, and information on guitar-building courses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.karol-guitars.com/"} +{"d:Title": "De Faoite Stringed Instruments", "d:Description": "Makes a range of steel-string guitars; information on ordering, his models, profile, and contact details. [Bucks, England]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.defaoiteguitars.com/"} +{"d:Title": "Kinnaird Guitars", "d:Description": "Includes information about his construction techniques, selection of wood, with a gallery of his instruments, current projects, and ordering details. [Georgia, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.kinnairdguitars.com/"} +{"d:Title": "Julius Borges Guitars", "d:Description": "Catalog of instruments produced, profile, and ordering information. [Massachusetts, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.borgesguitars.com/"} +{"d:Title": "Beneteau Guitars", "d:Description": "Offers a gallery and specifications of instruments produced, with profile and contact details. [Ontario, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.beneteauguitars.com/"} +{"d:Title": "Michael Dunn", "d:Description": "Hand-crafted acoustic and electro-acoustic guitars in the styles of Maccaferri and Selmer. Instrument galleries and specifications, with contact details and luthier profile. [British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.michaeldunnguitars.com/"} +{"d:Title": "Northwood Guitars", "d:Description": "Specializes in hand-built acoustic guitars, and also builds electric instruments; includes information on construction and woods, and contact details. [British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.northwoodguitars.com/"} +{"d:Title": "Gilet Guitars", "d:Description": "Their history, with information about instruments, construction, and workshop. [New South Wales, Australia]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.giletguitars.com.au/"} +{"d:Title": "Wesley Acoustics", "d:Description": "Handmade 6 and 12-string acoustic guitars. Includes information about models and construction techniques. [Virginia, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.wesleyacoustics.com/"} +{"d:Title": "Joel Guitars", "d:Description": "Luthier Joel Knadler hand builds acoustic guitars; information about his instruments, profile, and ordering instructions. [Montana, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.joelguitars.com/"} +{"d:Title": "Sutter Guitars", "d:Description": "Specializes in custom made steel string flat top guitars, as well as building electric guitars. Information about techniques, instruments and ordering.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.sutterguitars.com/"} +{"d:Title": "Blanchard, John Michael", "d:Description": "Profile of an Oregon luthier, with ordering information and contact details. [Oregon, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.jmblanchardluthier.com/"} +{"d:Title": "Cornerstone Worship Guitars", "d:Description": "Handcrafted acoustic guitars; information about instruments and ordering. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.cornerstoneguitar.com/"} +{"d:Title": "Woolson Soundcraft", "d:Description": "Information about his instruments and their construction, reviews, and profile of the luthier. [Wisconsin, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.woolsonsoundcraft.com/"} +{"d:Title": "Griffin String Instruments", "d:Description": "Details of his acoustic and classical guitars, with player comments, ordering instructions, and luthier profile. [New York, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.kimgriffinguitars.com/"} +{"d:Title": "Prohaszka Guitars", "d:Description": "Hungarian luthier offering handcrafted classical and archtop guitars. Includes craftsman's profile, images and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.prohaszkaguitars.com/"} +{"d:Title": "AJL-Guitars", "d:Description": "Handcrafted Maccaferri-style jazz and archtop guitars by luthier Ari-Jukka Luomaranta. Includes product descriptions, photographs, prices, online catalog and dealer links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.ajl-guitars.com/"} +{"d:Title": "Abita Springs Guitar Company", "d:Description": "Makers of acoustic guitars recalling vintage pre-war instruments of the 1920's and 1930's; information about their instruments, reviews, prices and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.abitaguitar.com/"} +{"d:Title": "Rich Guitars", "d:Description": "Rich Pi\u00e9lou builds handmade acoustic guitars; information about his models, a workshop tour, and contact details. [British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.richguitars.com/"} +{"d:Title": "David Worthy Guitars", "d:Description": "Details the range of 6 string acoustic guitars and a gallery of custom inlay work, along with construction philosophy, pricing, availability and ordering. [Australia]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.worthyguitars.com/"} +{"d:Title": "Moffa Guitars", "d:Description": "Handcrafted semi-acoustic guitars; includes gallery, sound samples, construction details, and a photoblog. [Palermo, Italy]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Acoustic", "url": "http://www.moffaguitars.it/"} +{"d:Title": "Hofmann, Erik Pierre", "d:Description": "Hand crafts a range of instruments, including 9- and 10-string models. Also offers restoration of antique instruments. [Burgundy, France]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.fine-antique-and-classical-guitars.com/"} +{"d:Title": "Stenzel Guitars", "d:Description": "Sebastian Stenzel Luthier. Classical Master Guitars. Made in Germany.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.stenzel-guitars.de/"} +{"d:Title": "Aaron Green Guitars", "d:Description": "Aaron Green luthier. Maker of concert classical and flamenco guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.aarongreenguitars.com/"} +{"d:Title": "Aram Guitars", "d:Description": "Classical Guitars, hand-made by Kevin Aram in England.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.aramguitars.co.uk/"} +{"d:Title": "Bernhard Kresse -Guitarmaker", "d:Description": "Maker of concert guitars. Cologne, Germany. Classical 19th century guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.kresse-gitarren.de/"} +{"d:Title": "Brune Guitars", "d:Description": "Classical and flamenco guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.rebrune.com/"} +{"d:Title": "Byers Guitars", "d:Description": "Gregory Byers Luthier. Classical and flamenco guitars. Also descriptions of research projects.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.byersguitars.com/"} +{"d:Title": "Candelas Guitars", "d:Description": "Classical and flamenco guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.candelas.com/"} +{"d:Title": "Collins Guitars", "d:Description": "Michael Collins Luthier. Custom classical Flamenco guitars. Finger picking steel strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.collinsguitars.com/"} +{"d:Title": "Daniele Chiesa", "d:Description": "Daniele Chiesa Luthier: Maker of fine concert classical guitars. Spain.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.danielechiesa.com/"} +{"d:Title": "Desmond Guitars", "d:Description": "Provides craftsman's biography, model specifications, photographs, prices and dealer list. Located in Orlando, Florida.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.desmondguitars.com/"} +{"d:Title": "Edward B Jones Instruments", "d:Description": "Edward B. Jones. Luthier. Constructor of Classical Guitars and Lutes.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.edwardbjones.com/"} +{"d:Title": "Hancock Classical Guitars", "d:Description": "Classical Guitars by Australia's Hancock Family.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.masterguitars.com/"} +{"d:Title": "Hill Guitar Company", "d:Description": "Kenny Hill Luthier. Classical and flamenco guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.hillguitar.com/"} +{"d:Title": "Jeroen Hilhorst", "d:Description": "Classical concert guitarmaker; includes specifications and features of his instruments, profiles of artists who use them, and price and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.hilhorst.demon.nl/"} +{"d:Title": "John Ainsworth Classical Guitars", "d:Description": "Hand-crafted classical guitars by luthier John Ainsworth. An introduction to the luthier and his work.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.ainsworth-guitars.co.uk/"} +{"d:Title": "Kirli Guitars", "d:Description": "Ibrahim Kirli Luthier. Hand Crafted Quality Classical Guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.kirliguitars.com/"} +{"d:Title": "Miguel Acevedo Guitars", "d:Description": "Miguel Acevedo Luthier. Handmade classical acoustic guitars. Puerto Rico.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.miguelacevedoluthier.com/"} +{"d:Title": "Mueller Guitars", "d:Description": "Fritz Mueller Luthier. Handmade classical guitars. Specializing in double-tops.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.classicalguitars.ca/"} +{"d:Title": "Oribe Guitars", "d:Description": "Classical and flamenco guitars and cases.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.oribeguitars.com/index.html"} +{"d:Title": "Paolo Busato Instruments", "d:Description": "Paolo Busato Luthier. Renaissance and Baroque Lutes, Archlutes, Theorboes and Baroque Guitars. (Italy)", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.busatolutes.com/"} +{"d:Title": "Paul Fischer- Luthier", "d:Description": "Handmade classical guitars. (UK)", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.paulfischerguitars.com/"} +{"d:Title": "Pavin Guitars", "d:Description": "Tom Prisloe Luthier. Economically priced, Spanish and Classical Guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.pavanguitars.com/"} +{"d:Title": "Robert Guitars", "d:Description": "Mikhail Robert, Luthier. Award winning, custom hand built classical guitars. Standard and short scale. BC, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.robertguitars.com/"} +{"d:Title": "Rodriguez Guitars", "d:Description": "Classical and flamenco guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.rodriguezguitars.com/"} +{"d:Title": "Sand", "d:Description": "Electric classical guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.sandguitars.com/"} +{"d:Title": "Smith Guitars", "d:Description": "Lawrence K, Smith Luthier. Handmade classical lattice braced guitars and steel string acoustic guitars. Australia.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.smithguitars.com/"} +{"d:Title": "Stansell Guitars", "d:Description": "Les Stansell Luthier. Custom handmade Classical guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.stansellguitars.com/"} +{"d:Title": "Stephen Hill Spanish guitars", "d:Description": "Classical and flamenco guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.spanishguitars.co.uk/"} +{"d:Title": "Stuart Mewburn Guitars", "d:Description": "Stuart Mewburn, Luthier. Building Classical and Flamenco guitars for over 25 years. UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.mewburnguitars.com/"} +{"d:Title": "Thames Classical Guitars", "d:Description": "Classical and flamenco guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.thamesclassicalguitars.com/"} +{"d:Title": "Thomas Prisloe Classical Guitars", "d:Description": "Classical guitars crafted for each player. Performer references, Luthier bio and models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://classicalguitarbuilder.com/"} +{"d:Title": "Whiteman Guitars", "d:Description": "David Whiteman Luthier. Maker of Fine Classical Guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.whitemanguitars.co.uk/"} +{"d:Title": "Joshia de Jonge", "d:Description": "Luthier Joshia de Jonge. Handmade classical guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.joshiadejonge.com/"} +{"d:Title": "Pablo Requena", "d:Description": "Pablo Requena Luthier. Fine handmade classical and flamenco guitars. Spanish Luthier based in UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.spanishguitar.org.uk/"} +{"d:Title": "Thomas Beltran's Guitars", "d:Description": "Californian luthier, specialising in classical guitars; includes a description of the process, the tools used, and a gallery of instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.beltranguitars.com/"} +{"d:Title": "Lutherie van Gool", "d:Description": "Dutch luthier; also restores and makes vihuelas and lutes. guitars and lutes. Online catalogue and information about services.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.lutherie-van-gool.nl/"} +{"d:Title": "James Lister Guitars", "d:Description": "Fine handbuilt classical guitars by Luthier James Lister in Sheffield, England.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.jameslisterguitars.co.uk/"} +{"d:Title": "Fontanilla Guitars", "d:Description": "Specialises in classical guitars; offers specifications, sound samples, photos, information about repairs and his biography. [San Francisco, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.fontanilla.com/"} +{"d:Title": "Eric Monrad", "d:Description": "Luthier building classical and flamenco guitars; includes his biography, gallery of instruments, FAQ, pricing and ordering instructions. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.monradguitars.com/"} +{"d:Title": "Oscar Trezzini", "d:Description": "Luthier making classical guitars; includes his profile, testimonials, contact details, and information about his instruments. [Geneva, Switzerland]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.trezziniluthier.com/"} +{"d:Title": "Michael Cone Classical Guitars", "d:Description": "Classical guitars based primarily on the patterns of Torres; includes profile of the luthier, images, MP3 files, and links to luthier tools and supplies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.coneguitar.com/"} +{"d:Title": "Philip Woodfield", "d:Description": "Specializes in concert guitars; includes his philosophy on guitar making, a gallery, and audio samples. [East Sussex, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.woodfieldguitars.com/"} +{"d:Title": "Ganz Guitars", "d:Description": "Classical guitar luthier; includes his profile, information about his instruments, ordering details, and testimonials. [Washington, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.ganzguitars.com/"} +{"d:Title": "Scott, Nicholas", "d:Description": "Classical guitars utilizing the Smallman principles of construction. Details of instruments, ordering instructions, and a gallery. [Derbyshire, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.nicholas-scott-guitars.co.uk/"} +{"d:Title": "Rohan Lowe Spanish Guitars", "d:Description": "Rohan Lowe Luthier. English maker of fine classical and flamenco guitars in the Torres/Hauser tradition.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.loweguitars.co.uk/"} +{"d:Title": "DeVoe, Lester", "d:Description": "Specializes in classical and flamenco instruments; includes profile, ordering guidelines, and galleries. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.devoeguitars.com/"} +{"d:Title": "J S Cooper", "d:Description": "Includes luthier's profile, a photo gallery, specifications, prices and contact details. [Arizona, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://jscooperluthier.com/"} +{"d:Title": "Arthur Robb - Luthier", "d:Description": "Maker of custom instruments. Includes information on repairs and restoration services with history of instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://www.art-robb.co.uk/"} +{"d:Title": "Hawkes Guitars", "d:Description": "Classical guitars and Classic Guitar Society of Western Australia.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Classical", "url": "http://members.iinet.net.au/~maggra/"} +{"d:Title": "Clinesmith Resonator Guitars", "d:Description": "Todd Clinesmith solid-wood, custom built, resonator guitars. (Dobros)", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Resonator", "url": "http://www.clinesmithinstruments.com/"} +{"d:Title": "Forbidden Fruit Guitars", "d:Description": "Paul Norman, Luthier. Maker of fine custom wooden-bodied resonator guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Resonator", "url": "http://www.forbiddenguitars.com/"} +{"d:Title": "Rayco Resophonics", "d:Description": "Mark Thibeault and Jason Friesen Luthiers. Producers of high-end hand built resophonic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Resonator", "url": "http://www.rayco.ca/"} +{"d:Title": "Simmons Pedal Steel Guitars", "d:Description": "Maker of pedal steels; also offers a repair/rebuilding service. Offers details of instruments and a biography. [Alabama, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://simmonspedalsteel.com/"} +{"d:Title": "Rex Blattenberger", "d:Description": "Offers custom-built lap-steels; information about instruments and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://www.weneedthemoneyguitars.com/"} +{"d:Title": "Asher Guitars", "d:Description": "One of the most famous and well known lap steel guitar makers in the world. Ben Harper plays one.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://www.asherguitars.com/"} +{"d:Title": "Gosden Guitars", "d:Description": "One of only a few luthiers in the UK making lap steel guitars. Peter Gosden has built a reputation on fine instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://www.gosdenguitars.co.uk/"} +{"d:Title": "David Porthouse Lap Steels", "d:Description": "Hand-built lap steels crafted from the selected materials.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://davidporthouselapsteelguitar.blogspot.com/"} +{"d:Title": "Tony Francis Instruments", "d:Description": "New Zealand based builder of exact replicas and repairs of original Weissenborn lap steel guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://tonyfrancisinstruments.blogspot.co.uk/"} +{"d:Title": "Anderwood Guitars", "d:Description": "Weissenborn, Hawaiian, and acoustic slide lap steel guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://www.anderwoodguitars.com/"} +{"d:Title": "Celtic Cross Instruments", "d:Description": "This a Canadian luthier that specialises in making a style of lap steel called a Weissenborn. A number of top lap steel players use a Celtic cross guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Luthiers/Steel", "url": "http://www.celticcrossinstruments.com/"} +{"d:Title": "Guitar Player Online", "d:Description": "Magazine covering acoustic and electric guitar, in a variety of styles. Online articles, equipment reviews, lessons, sound samples, and artist interviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Magazines_and_E-zines", "url": "http://www.guitarplayer.com/"} +{"d:Title": "Guitar World Online", "d:Description": "A family of magazines from Future Network USA, for acoustic, electric and bass guitarists. Includes online features, reviews, lessons, and interviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Magazines_and_E-zines", "url": "http://www.guitarworld.com/"} +{"d:Title": "Vintage Guitar", "d:Description": "Magazine for vintage guitar enthusiasts with classifieds, bulletin boards, chat rooms, and excerpts from articles and books.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Magazines_and_E-zines", "url": "http://www.vguitar.com/"} +{"d:Title": "Guitar.com", "d:Description": "E-magazine on guitar and guitar-playing; includes reviews, interviews, a forum, MP3s, and links to education sources.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Magazines_and_E-zines", "url": "http://www.guitar.com/"} +{"d:Title": "The Fretboard Journal", "d:Description": "Quarterly magazine celebrating fretted stringed instruments and their players. Include information about current issue, and details for subscription and advertisers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Magazines_and_E-zines", "url": "http://www.fretboardjournal.com/"} +{"d:Title": "Guitarras Alhambra", "d:Description": "Manufacture acoustic, classic, and flamenco guitars, and bandurrias; details of instruments, distributors, and news. [Alicante, Spain]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.alhambrasl.com/"} +{"d:Title": "Collings Guitars, Inc", "d:Description": "Builder of custom handmade acoustic, electric, and archtop guitars, mandolins, and ukuleles. Based in Austin, Texas.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.collingsguitars.com/"} +{"d:Title": "Musikraft", "d:Description": "Provides service and replacement of guitar necks and bodies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.musikraft.com/"} +{"d:Title": "Fender World", "d:Description": "Fender instruments and equipment", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.fender.com/"} +{"d:Title": "Ibanez", "d:Description": "Guitars, strings, and pedals.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.ibanez.com/"} +{"d:Title": "Washburn Guitars", "d:Description": "Guitar manufacturer with details on guitars, amps, accessories and endorsees.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.washburn.com/"} +{"d:Title": "Yamaha", "d:Description": "Yamaha guitars and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.yamaha.com/"} +{"d:Title": "Chapman Stick", "d:Description": "Fretboard tapping instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.stick.com/"} +{"d:Title": "Epiphone", "d:Description": "Acoustic and electric guitars and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.epiphone.com/"} +{"d:Title": "Guild Guitars", "d:Description": "Now a division of Fender. Quality acoustics and Hollow body electrics", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.guildguitars.com/"} +{"d:Title": "Carvin Guitars", "d:Description": "Factory direct guitars, basses, amps, P.A. systems and sound reinforcement equipment.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.carvin.com/"} +{"d:Title": "Peavey Electronics", "d:Description": "Amps and musical instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.peavey.com/"} +{"d:Title": "Robin Guitars", "d:Description": "Includes products, dealer list, and company history.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.robinguitars.com/"} +{"d:Title": "Tacoma Guitars", "d:Description": "Some models have the unique paisley sound hole.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.tacomaguitars.com/"} +{"d:Title": "Heritage", "d:Description": "Archtop, flat top and electric guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.heritageguitar.com/"} +{"d:Title": "D'Angelico Guitars", "d:Description": "Re-issue archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.dangelicoguitars.com/"} +{"d:Title": "Go Guitars", "d:Description": "Travel Guitars", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.go-guitars.com/"} +{"d:Title": "Daisy Rock Girl Guitars", "d:Description": "Daisy Rock guitars are acoustic and electric instruments made for girls.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.daisyrock.com/"} +{"d:Title": "S.R.A. Axefactory", "d:Description": "Handmade Italian guitars and basses. Customs and repairs available upon request.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://web.tiscali.it/axefactory/"} +{"d:Title": "Godin Guitars", "d:Description": "Company history, model details and dealer list. Located in Qu\u00e9bec, Canada; manufacturing also in New Hampshire. [English/French]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.godinguitars.com/"} +{"d:Title": "Hamer Guitars", "d:Description": "Construction details, history, workshop tour, catalog, news, mailing list. Based in Connecticut, United States.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.hamerguitars.com/"} +{"d:Title": "Takamine", "d:Description": "Acoustic and electric guitars. Manufacturing details, history, news and dealer search. Based in Sakashita, Japan; offices in Connecticut, United States.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.takamine.com/"} +{"d:Title": "E.A.G.International", "d:Description": "Produces wood and ivory components for the manufacture of guitars and other instruments. Located in Spain.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://eaginternational.gestionaweb.com/"} +{"d:Title": "Mirage Guitars", "d:Description": "Handcrafted high end acoustic and electric guitars by Rick Somboretz in Cincinnati, Ohio. Includes product and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.mirageguitars.com/"} +{"d:Title": "Sale Custom Guitars", "d:Description": "Handmade electric and acoustic guitars and basses. Specifications and options available on request. Finland.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.kolumbus.fi/sale.custom"} +{"d:Title": "Earvana, LLC.", "d:Description": "Manufactures and distributes guitar intonation technology.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.earvana.com/"} +{"d:Title": "Ellis Guitars", "d:Description": "Manufacturers of hand crafted steel string acoustic, resonator, and classical guitars. Information about instruments and methods used. [Perth, Western Australia]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.ellisguitars.com/"} +{"d:Title": "Kona Guitars", "d:Description": "Manufacturers of acoustic and electric guitars, basses, banjos and amplifiers. Includes online catalog, contact details, and a dealer listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.konaguitars.com/"} +{"d:Title": "Ayers Guitars", "d:Description": "Manufacture a range of acoustic and classical guitars; gallery, news, and international listing of dealers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.ayersguitar.com/"} +{"d:Title": "TransPerformance", "d:Description": "The Self-Tuning Guitar", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://transperformance.com/"} +{"d:Title": "Givson", "d:Description": "Manufacturers of acoustic, electric and bass guitars; includes listing of products and contact details. [Kolkata, India]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.givson.com/"} +{"d:Title": "Maton Guitars", "d:Description": "Acoustic and electric guitars from Australia, founded in 1946, with custom shop, offering pickup systems for acoustic models.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.maton.com.au/"} +{"d:Title": "Murat Sezen Guitars", "d:Description": "Manufactures acoustic, electric, classical and bass guitars; information on their range, and contact details. [Istanbul, Turkey]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.muratsezenguitars.com/"} +{"d:Title": "Zemaitis Guitars Owners Club", "d:Description": "Fan site about the guitars of this British luthier with history, gallery and a list of players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.zemaitisclub.com/"} +{"d:Title": "Vintage Goya Guitars", "d:Description": "Fan site about the acoustic and electric guitars of this brand owned and produced by several companies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://goyaguitars.tripod.com/"} +{"d:Title": "Guvnor", "d:Description": "Offers acoustic and electric guitars. Offers pictures and specifications.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.guvnor.com/"} +{"d:Title": "Alvarez-Yairi Guitars", "d:Description": "Acoustic and electric guitars. Banjos and mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.alvarezguitars.com/"} +{"d:Title": "KMC Music Inc.", "d:Description": "Makers of Ovation, Hamer and Takemine guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers", "url": "http://www.kmcmusicorp.com/"} +{"d:Title": "Seymour Duncan", "d:Description": "Pickup manufacturer. Includes product information, support, FAQs, installation instructions, dealer list, and forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.seymourduncan.com/"} +{"d:Title": "Dava Inc", "d:Description": "Multi-gauge pick to help control tension. Includes product information, technical tips, and distribution information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.davapick.com/"} +{"d:Title": "Dean Markley", "d:Description": "Manufacturer and distributor of strings, pickups, and accessories. Includes product information, catalog, reviews, FAQs, and tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.deanmarkley.com/"} +{"d:Title": "DR", "d:Description": "Handmade strings for electric, acoustic and bass guitars as well as other acoustic instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.drstrings.com/"} +{"d:Title": "EBow", "d:Description": "Hand-held electronic bow. Includes FAQ, audio clips, and a list of distributors.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.ebow.com/"} +{"d:Title": "Elixir Strings", "d:Description": "Polyweb guitar and bass strings. Includes a dealer locator.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.elixirstrings.com/"} +{"d:Title": "Everly Music Co.", "d:Description": "Acoustic, classical, electric guitar and bass strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.everlymusic.com/"} +{"d:Title": "Evidence Audio", "d:Description": "Manufactures technology-driven guitar and instrument cables.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.evidenceaudio.com/"} +{"d:Title": "GHS", "d:Description": "Acoustic, electric, classical and bass guitar strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.ghsstrings.com/"} +{"d:Title": "Jim Dunlop", "d:Description": "Picks, capos, slides and other accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.jimdunlop.com/"} +{"d:Title": "PickWorld", "d:Description": "Custom printed celluloid, Delrin and PVC picks sold by the gross.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.pickworld.com/"} +{"d:Title": "ColoRiffic Pickguards", "d:Description": "Manufactures photographic dye print imaging on the guard that is guaranteed no to crack, chip, or peel. Hemet, California.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.coloriffic.com/"} +{"d:Title": "Augustine", "d:Description": "Manufacturer of classical guitar strings. Includes history and a list of international distributors.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.albertaugustine.com/"} +{"d:Title": "S.I.T. Strings", "d:Description": "Acoustic, electric, bass and pedal steel guitar strings. Includes worldwide dealer locator, dealer order form, and mailing list.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.sitstrings.com/"} +{"d:Title": "Steve Clayton, Inc", "d:Description": "Manufacturer of picks, straps, slides, and polish.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.steveclayton.com/"} +{"d:Title": "Mighty Mite", "d:Description": "Necks, bodies, tremolos, accessories, and repair kits.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.mightymite.com/"} +{"d:Title": "Richard Cocco Strings", "d:Description": "Manufacturing acoustic, electric, classical, and bass guitar strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.coccostrings.com/"} +{"d:Title": "La Bella", "d:Description": "Manufacturer of acoustic, electric, flamenco, classical, bass and custom guitar strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.labella.com/"} +{"d:Title": "John Pearse Strings", "d:Description": "Manufacturer of strings for various instruments. Information about prices, descriptions of new products, and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.jpstrings.com/"} +{"d:Title": "Rotosound", "d:Description": "Manufacturing acoustic, electric, and bass guitar strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.rotosound.com/"} +{"d:Title": "Sfarzo Strings", "d:Description": "Manufacturing electric guitar and bass strings. Includes testimonials, catalog, company information, dealer locator, and order form.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.sfarzo.us/"} +{"d:Title": "Luthier Music Corp.", "d:Description": "Manufacturer of classical and flamenco guitar strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.luthiermusic.com/"} +{"d:Title": "Pirastro", "d:Description": "Manufacturing a variety of strings for stringed instruments including acoustic, electric and classic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.pirastro.com/"} +{"d:Title": "Rio Grande Pickups", "d:Description": "Manufacture and distribute a range of single coil and humbucking pickups, for guitars, basses, and acoustic instruments. Includes product descriptions, wiring guides, and dealer listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.riograndepickups.com/"} +{"d:Title": "Savarez", "d:Description": "Manufacturing classical guitar strings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.savarez.fr/"} +{"d:Title": "Mosby Guitars", "d:Description": "Makes and supplies custom guitar and banjo straps, inlays and covers. Product and contact details. [South Carolina, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://www.mosbyguitars.com/"} +{"d:Title": "Ritter USA", "d:Description": "Madarozzo, L\u00f8rz, and Herget brand guitar cases.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories", "url": "http://ritterusa.com/"} +{"d:Title": "Barber Electronics", "d:Description": "Produce hand-made guitar effects pedals. Information on products, reviews, audio samples, and dealer listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.barberelectronics.com/"} +{"d:Title": "Blackstone Appliances", "d:Description": "Handcrafted guitar distortion devices; includes reviews, specifications, mp3s, and ordering details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.mindspring.com/~j.blackstone"} +{"d:Title": "Catalinbread", "d:Description": "Hand build guitar instrument effect pedals and gadgets; product details, manuals and sound samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.catalinbread.com/"} +{"d:Title": "Digital Music Corp.", "d:Description": "Designs and manufactures electronics for professional recording and touring musicians. Makers of the Voodoo Lab pedal.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.voodoolab.com/"} +{"d:Title": "Digitech", "d:Description": "Manufacturer of guitar electronics; includes product details, on-line manuals, FAQ and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.digitech.com/"} +{"d:Title": "Effectrode", "d:Description": "Design and produce custom pedals based on tube technology; product details, FAQ and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.effectrode.com/"} +{"d:Title": "Frantone Electronics", "d:Description": "Handcrafted pedals, effects and accessories. Includes details of current product range, related merchandise, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.frantone.com/"} +{"d:Title": "Fulltone Custom Effects", "d:Description": "Manufactures and sells custom guitar effects and equipment. Includes product details and artist profiles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.fulltone.com/"} +{"d:Title": "Morley", "d:Description": "Manufacturer of distortion, echo, volume and wah pedals. Product and dealer details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.morleypedals.com/"} +{"d:Title": "Mu-tron", "d:Description": "Envelope controlled effects pedal tuned for bass and guitar. Includes specifications and manual.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.mu-tron.com/"} +{"d:Title": "Sound Sculpture Inc.", "d:Description": "Manufacturers of effect and audio routing systems. Includes product details, technical support, list of dealers and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.soundsculpture.com/"} +{"d:Title": "Stompin Ground", "d:Description": "Manufacturer of pedalboard effects systems and shock-mount rack cases. Includes product specifications, FAQs, manuals, and online shopping.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.stompin-ground.com/"} +{"d:Title": "Tonepad", "d:Description": "Provides layouts, schematics and components for effects and amplifier projects for the do-it-yourself music community.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.tonepad.com/"} +{"d:Title": "NYC Pedalboards", "d:Description": "Build and supply custom made pedal boards; offer information on their range and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.nycpedalboards.com/"} +{"d:Title": "Blackstone Appliances", "d:Description": "Handcrafted guitar distortion devices that generate low-order harmonics, and are responsive to dynamics. Product information and specifications, with reviwes and mp3 clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://blackstoneappliances.com/"} +{"d:Title": "Accutronics Spring Reverb", "d:Description": "Manufacture and supply reverberation effects; includes specifications, history, and ordering information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.accutronicsreverb.com/"} +{"d:Title": "Real McCoy Custom", "d:Description": "Handmade wah-wah pedals since 1993 by Geoffrey R. Teese. Product, dealer, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.realmccoycustom.com/"} +{"d:Title": "Orion Effekte", "d:Description": "Guitar effects handmade in Germany; product details, mp3s, reviews, and dealer listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://www.orion-fx.com/"} +{"d:Title": "Cusack Music", "d:Description": "Design and manufacture pedals; includes specifications, artist endorsements, dealer listing, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Accessories/Effects", "url": "http://cusackmusic.com/"} +{"d:Title": "Taylor Guitars", "d:Description": "News, artists, history, dealers, model details, and catalog.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.taylorguitars.com/"} +{"d:Title": "Larriv\u00e9e Guitars Online", "d:Description": "Acoustic guitars made in Vancouver, B.C. Canada. List of dealers, models and suggested retail prices; virtual tour of the factory; FAQs; and company history.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.larrivee.com/"} +{"d:Title": "RainSong Graphite Guitars", "d:Description": "List of models, dealers, and endorsements.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.rainsong.com/"} +{"d:Title": "Dave Maize", "d:Description": "Handbuilt acoustic steel string guitars and acoustic bass guitars using earth-friendly materials.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.maizeguitars.com/"} +{"d:Title": "Worland Guitars", "d:Description": "Handmade custom acoustic guitars. About Worland Guitars standard features, models and rices, design and wood options and ordering.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://worlandguitars.com/"} +{"d:Title": "BSG Musical Instruments", "d:Description": "Homepage of quality handmade acoustic guitars manufacturer. The guitars are suited for wide range of use. Site contains the range of models with detailed descriptions and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.bsguitars.cz/"} +{"d:Title": "Seagull Guitars", "d:Description": "Acoustic guitars with solid top and lacquered finish. Features description of models, specifications, string chart, company history, press releases and dealer listings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.seagullguitars.com/"} +{"d:Title": "Adamas Guitars", "d:Description": "Manufacturer of carbon fiber acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.adamasguitars.com/"} +{"d:Title": "C.F. Martin and Company", "d:Description": "Acoustic guitars, strings and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.mguitar.com/"} +{"d:Title": "Jasmine Guitars", "d:Description": "Manufacturers of acoustic guitars and basses. Includes a dealer search and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.jasmineguitars.com/"} +{"d:Title": "Santa Cruz", "d:Description": "Archtop and flat top acoustic guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.santacruzguitar.com/"} +{"d:Title": "McPherson Guitars", "d:Description": "Manufacturer of custom guitars featuring an offset soundhole and cantilevered neck. Specifications, customer quotes, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.mcphersonguitars.com/"} +{"d:Title": "Pantheon", "d:Description": "Information about instruments produced, the workshop and head luthier, players of Bourgeois guitars, with retailer list and contact details. [Maine, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.pantheonguitars.com/"} +{"d:Title": "DeMars Guitars", "d:Description": "Manufactures acoustic/electric guitars and bass guitars; includes instrument details, news and dealer listing. [Vermont, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.demarsguitars.com/"} +{"d:Title": "John David Scott Guitar Company", "d:Description": "Manufactures acoustic guitars; information about models and dealer network. (British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.johndavidscott.com/"} +{"d:Title": "Breedlove Guitar Co.", "d:Description": "Acoustic guitars and mandolins. Product, price, and dealer lists; custom work; and links to artists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Acoustic", "url": "http://www.breedlovemusic.com/"} +{"d:Title": "Beltona", "d:Description": "Metal-body resonator guitars, mandolins and ukuleles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Resonator", "url": "http://www.beltona.net/"} +{"d:Title": "Beard Guitars", "d:Description": "Resophonic guitars and supplies.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Resonator", "url": "http://www.beardguitars.com/"} +{"d:Title": "MSA Pedal Steel Guitars", "d:Description": "Manufacturers of instruments and accessories; product and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Steel", "url": "http://www.msapedalsteels.com/"} +{"d:Title": "Pedalmaster", "d:Description": "Custom pedal steel guitars. Left hand models available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Steel", "url": "http://www.pedalmastersteelguitar.com/"} +{"d:Title": "Harmos Guitars", "d:Description": "Manufacture lap steel and electric guitars; includes information on the range offered, construction, and players. [Minnesota, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Steel", "url": "http://www.harmosmusic.com/"} +{"d:Title": "Carter Steel Guitars", "d:Description": "Factory-direct steel guitars. Tour, accessories, and reference. Located in Mesquite, Texas.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Manufacturers/Steel", "url": "http://www.steelguitar.com/"} +{"d:Title": "Starr Labs", "d:Description": "MIDI guitars, custom controllers, guitar electronics and accessories.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/MIDI", "url": "http://www.starrlabs.com/"} +{"d:Title": "MIDI Guitar Unofficial Home Page", "d:Description": "Introduction to MIDI guitar, equipment needed, FAQ, and mailing list.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/MIDI", "url": "http://home.epix.net/~joelc/midi_git.html"} +{"d:Title": "Guitars not Guns", "d:Description": "A charitable non-profit organization that delivers guitars and lessons to needy kids. Volunteers are needed to help deliver and teach.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Organizations", "url": "http://www.guitarsnotguns.org/"} +{"d:Title": "Soci\u00e9t\u00e9 de Guitare de Montr\u00e9al", "d:Description": "Listing of events and open-mic nights; English and French.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Organizations", "url": "http://www.societedeguitaredemontreal.org/"} +{"d:Title": "The Seacoast Guitar Society", "d:Description": "Dedicated to promoting and preserving guitar music in Maine, US. Details of events and ticketing arrangements, with directories of guitarists and resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Organizations", "url": "http://www.seacoastguitar.org/"} +{"d:Title": "Pfeiffer, Hans-Friedrich", "d:Description": "A German guitarist, playing Brazilian-style solo guitar; includes his biography, mp3s, and sheet music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.hans-friedrich-pfeiffer.de/"} +{"d:Title": "Country, Rock and Blues Guitar", "d:Description": "A personal collection of guitar licks, with audio samples and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.angelfire.com/country/countryguitar/"} +{"d:Title": "My Guitars", "d:Description": "Illustrated account of Tan\u00e9 Tachyon's guitars, with links to her experience of guitar as a female tradition.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.tachyonlabs.com/guitar.html"} +{"d:Title": "Lefthand Guitarist", "d:Description": "Information about left-handed guitarists, and manufacturers of left-handed guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://lefthand.freeservers.com/"} +{"d:Title": "Basho-Junghans, Steffen", "d:Description": "Guitarist, composer, author and artist from Germany. Includes biography, discography, reviews, samples, and an archive on his influences.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.bluemomentarts.de/"} +{"d:Title": "HPCrazy", "d:Description": "Swiss composer, guitarist, and pianist; includes profile, philosophy, and achievements.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.hpcrazy.com/"} +{"d:Title": "My Guitar Page by Fixxxer", "d:Description": "Technical details and reviews of his guitars and gear, with notes on modifications.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://myguitarpage.narod.ru/"} +{"d:Title": "Belly Jelly Music", "d:Description": "An account by Bill Jehle of building his own guitars, and recording and selling his own CDs. Includes discography and software downloads.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://home.hiwaay.net/~jehle"} +{"d:Title": "Scott's Guitar Site", "d:Description": "A collector's showcase of his favourite guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.angelfire.com/me4/ksdaddy/"} +{"d:Title": "Guitar Gear News", "d:Description": "Guitar and related musical gear news releases, new amps, guitars, effects, musical instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://guitargearnews.blogspot.com/"} +{"d:Title": "Headley, Clive", "d:Description": "Introduces his recordings of solo guitar music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://outofadream.com/"} +{"d:Title": "Obbidiah's Emporium", "d:Description": "An English guitarist shares his playing; includes mp3s and midi files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://obbidiah.tripod.com/Obbidiahs_Emporium.html"} +{"d:Title": "Davis, John", "d:Description": "Pedal steel player in the UK; includes his biography, instrument gallery, and mp3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.pedalsteelguitar.co.uk/"} +{"d:Title": "Light, Jon", "d:Description": "A New York pedal steel guitarist; his profile, with a gallery of his instruments, and ones he has built; also includes an advice page for beginners on pedal steel.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.angelfire.com/ny/lightsound/"} +{"d:Title": "Wallace, John Bruce", "d:Description": "A composer, improvisational jazz guitarist, and painter; includes his profile, art gallery and sound samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.johnbrucewallace.com/"} +{"d:Title": "Reed, Nick", "d:Description": "Country steel guitarist presents his musical career and his instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.nickreedsteelguitar.iwarp.com/"} +{"d:Title": "Butler, Andy", "d:Description": "Guitar sounds transformed, looped and edited in a live performance using Digital Echoplex and Lexicon Vortex.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.andybutler.com/"} +{"d:Title": "Sabuncuoglu, Emre", "d:Description": "Provides biography, reviews, music, contact information for a Turkish classical guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://emresabuncuoglu.com/"} +{"d:Title": "Nothing Else to Lose's Page", "d:Description": "Some Metallica and other tabs, and a gallery of guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.angelfire.com/music3/yeah2/"} +{"d:Title": "Vencil, Bill", "d:Description": "Mainly acoustic guitarist offers information about his instruments, artistic thoughts, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.billvencil.com/"} +{"d:Title": "The Guitar Channel", "d:Description": "News, reviews, and information from the world of jazz rock fusion guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.guitar-channel.com/"} +{"d:Title": "Tony Smotherman", "d:Description": "All about 22 year old virutoso guitarist Tony Smotherman. Biography, photos, reviews, lessons and mp3.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://www.tonysmotherman.com/"} +{"d:Title": "Truth in Shredding", "d:Description": "Latest guitar news, videos, albums, and guitar resources", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Personal_Pages", "url": "http://truthinshredding.com/"} +{"d:Title": "Vintage Corner", "d:Description": "Offers qualified help in guitar and bass maintenance, set-up, restoration and custom modification. Includes information on vintage guitars. [Florence, Italy]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.vintage-corner.it/"} +{"d:Title": "Pickguard Heaven", "d:Description": "Pickguards available for every guitar. Updated price list, material options, largest selection.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.pickguards.us/"} +{"d:Title": "MJ Guitar Engineering", "d:Description": "Electric guitar refinishing and repair. Offering custom ChromaFlair finishes as well as their own line of handmade custom electric guitars. Located in Rohnert Park, CA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.mjguitar.com/"} +{"d:Title": "Tim's Guitar Workshop", "d:Description": "Guitar repair and refinishing with authorized warranty service for CF Martin, Gibson, and Fender. Headstock repair and fret work is their specialty. Located in Baton Rouge, LA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.timsguitar.com/"} +{"d:Title": "Colfax Guitar Shop", "d:Description": "Full service guitar repair shop catering to working/traveling musicians. Custom inlaying services available. Located in Denver, CO.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.colfaxguitarshop.com/"} +{"d:Title": "Fret Not Guitar Repair", "d:Description": "Authorized warranty repair center for C.F. Martin, Gibson and Fender guitars. Repairing acoustic and electric vintage guitars, basses, and mandolins. Located in Newport News, VA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.fretnotguitarrepair.com/Repairs.htm"} +{"d:Title": "Alfieri Guitars", "d:Description": "Guitar repairs and restorations. Authorized C.F. Martin and Gibson warranty repairs. Located in Long Island, N.Y.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://members.tripod.com/~ag2132/index-1.htm"} +{"d:Title": "Guitar Specialist", "d:Description": "Lutherie services for all fretted instruments. Guitar and Bass repair and restoration. Located in South Salem, NY.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.guitarspecialist.com/"} +{"d:Title": "Axe Haven Guitar Repair and Restoration", "d:Description": "Offering complete repair and restoration services including top replacements, neck resets, custom built necks, and refinishing. Located in Westminster, CO.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.axehaven.com/index.html"} +{"d:Title": "Frets.com", "d:Description": "Large, informative resource providing information and pictorials of instrument repair techniques, tool selection, and helpful links created by Frank Ford.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.frets.com/"} +{"d:Title": "John Wescott Guitar Repair", "d:Description": "Repair shop offers a complete line of expert, affordable and prompt guitar repair, restoration and custom work. Located in North Hollywood, CA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.wescottguitar.com/"} +{"d:Title": "SonFather Guitars", "d:Description": "Family owned and operated repair business offering a full line of repair services and hand built instruments. Located in Rocklin, CA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.sonfatherguitars.com/"} +{"d:Title": "Raritan Bay Guitar Repair", "d:Description": "Repair shop offers complete restoration services as well as repair and adjustments. Located in Adelphia, NJ.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.repairguitar.com/"} +{"d:Title": "Mobile Guitar Support", "d:Description": "Guitar repairs and modifications done in their shop or they can come to you. Shop has 20 years of experience and offers repair apprenticeships, online information and warranty repair services for several manufacturers. Located in Milford, MA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.guitarrepair.com/"} +{"d:Title": "The Ultimate Guitar Repair Shop", "d:Description": "Over 25 years of full time repairing and building of custom guitars and basses in Northern IL.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.guitarfix.com/index.htm"} +{"d:Title": "Sommatone Guitar and Tube Amp Repair", "d:Description": "Guitar, tube amp repair and boutique amplification. Located in Somerville, NJ. Guitar and amp repairs with a musicians perspective. Site features repairs, modifications and custom building details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://sommatone.com/"} +{"d:Title": "Rauen Guitars", "d:Description": "Acoustic and electric guitar repair and restorations. Located in Milwaukee, WI.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://rauenguitars.com/index.html"} +{"d:Title": "Guitar Doctor", "d:Description": "Guitar repair, restoration and customizing shop for all stringed instruments, catering to working musicians. Located in Whitman, MA.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.guitardoctor.com/"} +{"d:Title": "The Guitar Doctor", "d:Description": "Offering a wide range of repair services including set-ups, repairs, refrets and custom-built guitars. Located in Cheshire, UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.theguitardoctor.co.uk/"} +{"d:Title": "Burning River Guitars", "d:Description": "Complete guitar restoration and repair. They specialize in vintage guitar restoration, refinishing and the aging process. Located in OH.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.burningriverguitars.com/"} +{"d:Title": "About.com Guitar Repair Shop", "d:Description": "Online guide offers help to diagnose and fix problems with your acoustic or electric guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://guitar.about.com/library/blguitarrepairshop.htm"} +{"d:Title": "Mark Simon Guitar", "d:Description": "Guitar repair and restoration of stringed musical instruments.In business for 29 years.Located in Bridgewater,NJ.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://marksimonguitars.com/"} +{"d:Title": "Gary Brawer Guitar and Bass Repair", "d:Description": "Modifications, restorations and repairs on guitars and basses with warranty repair services available for many manufacturers. Located in San Francisco, California.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.brawer.com/"} +{"d:Title": "Guitarworkshop Kurt Decorte", "d:Description": "Specializing in the restoration and conservation of historical 19th century guitars, classical guitars and mandolins. Located in Belgium.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.the-guitarworkshop.com/"} +{"d:Title": "Wilkins Guitar Finishes", "d:Description": "Professional instrument finishing and refinishing services. Located in California.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.wilkinsguitars.com/"} +{"d:Title": "Manchester Guitar Tech", "d:Description": "Electric guitar and bass repairs in Northwest England, UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.manchesterguitartech.co.uk/"} +{"d:Title": "GuitarRepairShop.com", "d:Description": "Guitar repair site which has some articles and tutorials.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.guitarrepairshop.com/"} +{"d:Title": "Guitarelectronics.com", "d:Description": "Everything you need to know about wiring a guitar!", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.guitarelectronics.com/"} +{"d:Title": "North American Instruments", "d:Description": "Guitar customizing, repair and restoration. Finish work, restorative gold plating and custom built electric guitars are among their specialities. Located in Largo, FL.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.northamericanguitars.com/"} +{"d:Title": "Painted Axe", "d:Description": "Revitalize and transform neglected but musically sound guitars. Information about their services, photo gallery, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.paintedaxe.com/"} +{"d:Title": "Mundo's Guitar Repair Shop", "d:Description": "Repair, trade, modifications, vintage and used gear. Located in Puerto Rico.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.prtc.net/~mundo/"} +{"d:Title": "Philip Porter Guitars", "d:Description": "Offer a range of repair, maintenance and customizations services. [Stockport, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.philipporterguitars.co.uk/"} +{"d:Title": "Henry Nurdin", "d:Description": "Offers set-up, repair, maintenance and customization services. Pricing information and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.guitar-repairs.co.uk/"} +{"d:Title": "Pace Guitar Repair", "d:Description": "Guitar and bass repair and setup services. [Houston, Texas, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.paceguitarrepair.com/"} +{"d:Title": "South Jersey Guitar Repair", "d:Description": "Specialize in custom building and repair of guitars, and other stringed instruments for the Southern New Jersey and Philadelphia areas. Details of services and for contact. [New Jersey, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.southjerseyguitarrepair.com/"} +{"d:Title": "Ed Clark Guitars", "d:Description": "Offers repair, vintage restoration, and customization services for guitars and basses. [Long Island, New York, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.edclarkguitars.com/"} +{"d:Title": "Allfrets", "d:Description": "Undertakes repair and set-up for electric and acoustic guitars, bass guitars and mandolins. Also offers tuition. Price-list and contact details. Located in Northampton, UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.allfrets.co.uk/"} +{"d:Title": "Fretworks", "d:Description": "Offers a range of guitar repair and set-up services; also runs courses in guitar repair. Contact details. [Alberta, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.fretwork.com/"} +{"d:Title": "Plek", "d:Description": "Suppliers of a computer controlled guitar setup and fret leveling system; information about the technique, references, and international availability of the service.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.plek.com/"} +{"d:Title": "Stringed Instrument Division", "d:Description": "Offering all repairs from the most complex structural problems, complete restorations and refinishes, to pickup installations and instrument set-up. Located in Missoula, Montana.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.netguitar.com/"} +{"d:Title": "Dalmedo Custom Guitars", "d:Description": "Repairs to all types of guitars and fretted instruments. Located in Preston, England.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Repair", "url": "http://www.dalmedoguitars.co.uk/"} +{"d:Title": "The Dobro/Resonator Guitar Website", "d:Description": "Player profiles, information about instruments, vintage catalogues, collection of tablature, and articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Resonator", "url": "http://www.resoguit.com/"} +{"d:Title": "Taylor, Tut", "d:Description": "Guitarist who developed a unique flat-picking style for the Dobro, well-known for his contribution to bluegrass, old-time, and country music; includes his biography, photos and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Resonator", "url": "http://webpages.charter.net/tutbro/"} +{"d:Title": "The Slide Machine", "d:Description": "An article about the history, construction and development of resonator guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Resonator", "url": "http://www.bigroadblues.com/slide/guitstuff/inst.shtml"} +{"d:Title": "ResoUK", "d:Description": "A Yahoo! group for players of Dobro/resonator guitars in the UK and Europe.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Resonator", "url": "http://launch.groups.yahoo.com/group/ResoUK/"} +{"d:Title": "Brad's Page of Steel", "d:Description": "Resources for learning to play steel guitar, with information on makers, accessories, and profiles of significant players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel", "url": "http://www.well.com/user/wellvis/"} +{"d:Title": "The Pedal Steel Pages", "d:Description": "Players forum, tuning information, and articles; provided by musician, Bobby Lee.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel", "url": "http://www.b0b.com/"} +{"d:Title": "The Steel Guitar Forum", "d:Description": "A discussion site for pedal steel, lap steel, and related musical instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel", "url": "http://www.steelguitarforum.com/"} +{"d:Title": "Steel Radio", "d:Description": "An Internet radio station featuring steel guitar players and music. Information on playlist and programming.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel", "url": "http://www.steelradio.com/"} +{"d:Title": "Scotty's Music", "d:Description": "Retailer of steel guitar and accessories; includes information about the instrument, tunings and tuition. Also organize an international convention, and maintain a Steel Guitar Hall of Fame. [Missouri, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel", "url": "http://www.scottysmusic.com/"} +{"d:Title": "Bill Chaviers' Non-Pedal Steel Guitar Tab Site", "d:Description": "Offers to provide tabs for {non-pedal} steel guitar players; includes Old Time Bluegrass, Country and Western, Gospel, Hawaiian, and Western Swing.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel", "url": "http://www.billchaviers.com/"} +{"d:Title": "Weissenborn Slide Guitars", "d:Description": "Slide guitar shop with emphasis on Weissenborn slide guitars. Forum about slide guitars and provides historical information on Weissenborn guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel", "url": "http://www.weissenborn.es/"} +{"d:Title": "Steiner, Herb", "d:Description": "Noted Texas steel guitarist discusses pedal steel, lap steel, dobro, other related topics; offers links, available albums and courses, photo gallery, biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.herbsteinermusic.com/"} +{"d:Title": "Ayres, Roy", "d:Description": "Former steel guitarist with Pee Wee King, recalls his career; includes a discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.roysfootprints.com/"} +{"d:Title": "Bell, Larry", "d:Description": "Information on tuning, playing and maintaining the pedal steel guitar; also includes his biography, and details of his recordings and gigs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.larrybell.org/"} +{"d:Title": "Cole, BJ", "d:Description": "UK-based pedal steel guitar player, composer and artist. Includes profile, listing of session credits, discography, and information on current projects.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://bjcole.co.uk/"} +{"d:Title": "Flanz, Neil", "d:Description": "Feelance steel guitar player, based in Texas. Profile, details of recordings, and audio clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.ambrec.com/Homepages/neil/neil.htm"} +{"d:Title": "Franklin, Paul", "d:Description": "Session steel guitarist, based in Nashville, who has backed many significant bands and artists. Biography, audio clips, and information on his instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.paul-franklin.com/"} +{"d:Title": "Green, Lloyd", "d:Description": "Session steel guitarist based in Nashville; includes biography, photos, discography, audio samples and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.lloydgreentribute.com/"} +{"d:Title": "Hughey, John", "d:Description": "Country steel guitarist. Offers a biography, discography, listing of credits, and merchandise.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.johnhughey.com/"} +{"d:Title": "Jeffreys, Hugh", "d:Description": "Steel guitarist in an orchestral setting. Profile, reviews, details of recordings, and mp3 clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.steelguitarbyhughjeffreys.com/"} +{"d:Title": "Cohen, Jim", "d:Description": "A pedal-steel guitarist who plays jazz, swing, country, and pop and is leader of a western swing band. Biography, gig-list, photos and CD information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.jimcohen.com/"} +{"d:Title": "Curtis, Sonny", "d:Description": "Steel guitarist for George Jones and Tammy Wynette for 17 years. Includes biography, details of recordings, photos, and merchandise.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.sonnycurtis.net/"} +{"d:Title": "Women of the Steel Guitar", "d:Description": "A gallery of female steel guitar players, with brief details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.newslinkassociates.com/womenofsteel/"} +{"d:Title": "Laskowski, Leszek", "d:Description": "Polish steel guitarist offers his profile, discography, and information about appearances.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.leszek-laskowski.com/"} +{"d:Title": "Sand, Morten", "d:Description": "Norwegian steel guitarist presents his profile, credits listing, and photo galleries.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.morten-sand.com/"} +{"d:Title": "Vest, Jim", "d:Description": "Steel guitarist presents a profile, discography, and film and video credits.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.jimvest.com/"} +{"d:Title": "Amazing Grace Praise Band", "d:Description": "Steel guitar in the Jewell Dominion style. Their mission, background on the musical style, biographies. Information on CD, with samples in MP3 format.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.sacredstrings.com/"} +{"d:Title": "Carver, Jody", "d:Description": "Biography, discography and some of his writings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://jodycarver.com/"} +{"d:Title": "Byrd, Jerry", "d:Description": "A fan's memorial to the steel guitarist; includes a biography, photos, an appreciation of his musical career, and details of his guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://jerrybyrd-fanclub.com/"} +{"d:Title": "Zala, Nick", "d:Description": "British pedal steel guitarist; includes discography, reviews, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Guitarists", "url": "http://www.pedal-steel.co.uk/"} +{"d:Title": "Hawaiian Steel Guitar Association", "d:Description": "Global non-profit organization. Events, performances, instruction, recordings, photographs, articles, and membership information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.hsga.org/"} +{"d:Title": "East Texas Steel Guitar Association", "d:Description": "Promotes the pedal steel guitar. Information about events, membership, and photo galleries.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.etsga.org/"} +{"d:Title": "Mid Atlantic Steel Guitar Association", "d:Description": "A forum for steel guitarists to exchange ideas and techniques, to encourage younger players, and to continue the tradition; includes an events listing. [North Carolina, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.masga.org/"} +{"d:Title": "The Pedal Steel Guitar Association", "d:Description": "An organization of steel players; includes information about events and activities, membership, newsletter, and their history.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.psga.org/"} +{"d:Title": "Southwestern Steel Guitar Association (SWSGA)", "d:Description": "Events listing, membership and contact details, photos, and player calendars. [Arizona, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.swsteelguitar.com/"} +{"d:Title": "Texas Steel Guitar Association", "d:Description": "Promoting steel guitar music, performance, and education in Texas. Details of events, membership, with merchandise and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.texassteelguitar.org/"} +{"d:Title": "Florida Steel Guitar Club", "d:Description": "Formed to promote the instrument and provide an exchange between steel guitarists. Details and reports of meetings/jams and events.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.floridasteelguitarclub.com/"} +{"d:Title": "Irish Steel Guitar Association", "d:Description": "A group of professional players and enthusiasts from North and South aim to promote the instrument and its capabilities. Includes a historical background, and details of their annual festival.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Steel/Organizations", "url": "http://www.steelguitarireland.com/"} +{"d:Title": "Guitar DownUnder", "d:Description": "Free classical and fingerstyle guitar music in html and pdf. Information on left and right hand techniques, nail care and tuning.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles", "url": "http://www.guitardownunder.com/"} +{"d:Title": "The Rockabilly Guitar Page", "d:Description": "Professional advice on amplifiers, guitars, and effects for rockabilly guitar. Written by Vince Gordon of The Jime.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles", "url": "http://www.the-jime.dk/Rockabilly_Guitar.htm"} +{"d:Title": "Bluesblast", "d:Description": "A collection of guitar practice tracks for rock, blues and jazz guitarists to jam along to; offers MIDI and MP3 files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles", "url": "http://www.bluesblast.com/"} +{"d:Title": "A Brief Introduction to African Guitar", "d:Description": "History, tunings and samples of Soukous guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/African", "url": "http://www.alisdair.com/africanpages/africanguitar.html"} +{"d:Title": "Bluegrass Guitar", "d:Description": "A source of information about the acoustic flat-top guitar and the playing styles used in bluegrass music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Bluegrass", "url": "http://bluegrassguitar.com/"} +{"d:Title": "Celtic Guitar", "d:Description": "Article on the use of guitar in Celtic music, by Cliff McGann (originally published in Celtic Heritage); includes notes on significant performers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Celtic", "url": "http://www.ceolas.org/instruments/celtic_guitar.html"} +{"d:Title": "Alfredo De Pietra - Celtic Fingerstyle Guitar", "d:Description": "In Italian and English about Celtic music and Celtic fingerstyle guitar. Includes links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Celtic", "url": "http://digilander.libero.it/alfstone/celtic_fingerstyle_2.htm"} +{"d:Title": "Glenn Weiser's Home Page", "d:Description": "Information on Celtic fingerstyle guitar from a New York guitarist; includes tablature, articles, discographies, and details of books and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Celtic", "url": "http://www.celticguitarmusic.com/"} +{"d:Title": "Irish Guitar", "d:Description": "The guitar in traditional Irish music; includes tabs, scores, tips and listing of resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Celtic", "url": "http://banwarth.free.fr/engguitare.htm"} +{"d:Title": "Tallstrom, Martin", "d:Description": "A collection of licks, tricks and transcriptions with tablature and RealAudio.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Country", "url": "http://www.tallstrom.com/"} +{"d:Title": "Acoustic Fingerstyle Guitar", "d:Description": "A collection of articles and resources on playing American fingerstyle guitar; also includes a forum, FAQ, reviews and interviews, tablature, and a section on alternative tunings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle", "url": "http://www.acousticfingerstyle.com/"} +{"d:Title": "Fingerpicking Guitar", "d:Description": "An article on the history and background of fingerstyle guitar. Includes links to, and a listing of guitarists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle", "url": "http://www.nwfolk.com/fsg.html"} +{"d:Title": "Fingerstyle-l", "d:Description": "A Yahoo! group on fingerstyle/fingerpicking acoustic guitar music and performance; covers technique, equipment, and artists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle", "url": "http://launch.groups.yahoo.com/group/fingerstyle-l/"} +{"d:Title": "Steve McWilliam's Guitar Pages", "d:Description": "A collection of resources for acoustic fingerpicked guitar; includes tablature arrangements and compositions, with midi format files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle", "url": "http://www.stevemcwilliam.co.uk/guitar/"} +{"d:Title": "Eastern Woods Music", "d:Description": "Solo guitar artist record label. Contains details about artists, albums for sale, and news items.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle", "url": "http://www.ewmrecords.com/"} +{"d:Title": "Fingerstyle Guitar Magazine", "d:Description": "For players at all levels, covering all styles. Interview excerpts, FAQs, books for sale, subscription information, and links to dealers in the US.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle/Magazines_and_E-zines", "url": "http://fingerstyleguitar.com/"} +{"d:Title": "Association of Fingerstyle Guitarists", "d:Description": "Dedicated to promoting fingerstyle guitar. Information about membership, events and activities. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle/Organizations", "url": "http://www.afg.org/"} +{"d:Title": "Ohio Fingerstyle Guitar Club", "d:Description": "Promotes and supports thumb-picking and finger style guitar; details of membership, meetings and events, with a collection of articles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle/Organizations", "url": "http://ofgc.bizland.com/"} +{"d:Title": "Georgia Fingerstyle Guitar Association", "d:Description": "A non-profit organization dedicated to the pursuit and promotion of fingerstyle guitar music. Details of events, news, and a scrap book.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Fingerstyle/Organizations", "url": "http://www.gfga.org/"} +{"d:Title": "Flamenco Guitar", "d:Description": "Information for the learner, FAQ, and transcriptions of music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Flamenco", "url": "http://www.guitarist.com/fg/fg.htm"} +{"d:Title": "Flamenco Guitar Online Lessons", "d:Description": "Streaming video lessons, transcriptions, articles, discussion forum, and a searchable archive of 30,000 previous discussions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Flamenco", "url": "http://www.flamenco-teacher.com/"} +{"d:Title": "Backup Folk Guitar", "d:Description": "Chord progressions for hundreds of old-time fiddle tunes as well as some instruction.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Folk", "url": "http://www.folkguitar.us/"} +{"d:Title": "Ralph Patt's Jazz Web Page", "d:Description": "Contains basic chord changes to over 400 standard tunes; also offers information on major-third tuning, and chord progressions.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz", "url": "http://www.ralphpatt.com/"} +{"d:Title": "Jazz Guitar Online", "d:Description": "Offers Jazz licks, solo transcriptions, chords, MP3s, forum and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz", "url": "http://www.jazzguitar.be/"} +{"d:Title": "Mike Dodge's Lessons", "d:Description": "Lessons and transcriptions for jazz guitar. Emphasis on modal and melodic minor concepts.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz", "url": "http://lessons.mikedodge.com/"} +{"d:Title": "Sheets of Sound Lessons", "d:Description": "Free lessons from the author of a popular jazz guitar book.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz", "url": "http://www.sheetsofsound.net/examples.html"} +{"d:Title": "starglasses.net", "d:Description": "Large list of high quality chord melody arrangements in PDF format", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz", "url": "http://www.starglasses.net/tabs-in-pdf-format/"} +{"d:Title": "Gypsy Jazz Guitar", "d:Description": "Yahoo! group for Djangofest fans and Gypsy jazz musicians.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz/Gypsy_Jazz_Guitar", "url": "http://launch.groups.yahoo.com/group/gypsyjazzguitar/"} +{"d:Title": "Djangobooks", "d:Description": "Hard to find books and online information about gypsy jazz, and Django Reinhardt.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz/Gypsy_Jazz_Guitar", "url": "http://www.djangobooks.com/"} +{"d:Title": "Tony Oreshko Gypsy Jazz Lessons", "d:Description": "Lessons on topics such as improvisation, chords and arpeggios, and gypsy voicings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz/Gypsy_Jazz_Guitar", "url": "http://www.oreshko.co.uk/lessons.htm"} +{"d:Title": "Jazz Guitar Online", "d:Description": "News, feature articles and reviews, lessons, and questions answered.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Jazz/Magazines_and_E-zines", "url": "http://www.jazzguitar.com/"} +{"d:Title": "Guitar Stuff", "d:Description": "Online electric guitar e-zine. Includes lessons, reviews, and classified adverts. [UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Rock/Magazines_and_E-zines", "url": "http://www.guitarstuff.com/"} +{"d:Title": "The 7-String Russian Guitar", "d:Description": "An article by Fran\u00e7ois Gregoire about D-GBD-GBD tuning as well as related musical styles.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Russian", "url": "http://lutheriefg-reparations.blogspot.ru/2016/02/scriptie-7-string-russian-guitar-or.html"} +{"d:Title": "The Rough Guide To The Music Of Russian Gypsies", "d:Description": "CD compilation at World Music Network with audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Russian", "url": "http://www.worldmusic.net/store/format/RGNET1214DD/"} +{"d:Title": "The Russian Seven String Guitar: Celebration of an Anniversary", "d:Description": "A lecture by By Matanya Ophee, with audio samples in MP3.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Russian", "url": "http://www.guitarandluteissues.com/rmcg/merida.htm"} +{"d:Title": "The Guitar of the Czars", "d:Description": "An article by Marco Bazzotti. The history of the musical instrument including the earlier ages. \"At the beginning there was the Domra\".", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Russian", "url": "http://www.seicorde.it/articles/guitczar.htm"} +{"d:Title": "Schneiderman, John", "d:Description": "A virtuoso of plucked instruments, specializing in the performance practice and repertoire of eighteenth-century lutes and nineteenth-century guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Russian/Guitarists", "url": "http://www.johnschneiderman.com/"} +{"d:Title": "Zingaresca", "d:Description": "Oleg Timofeyev and Vadim Kolpakov performs guitar music in a Gypsy style. The website contains biography and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Russian/Guitarists", "url": "http://www.vadimkolpakov.com/zingaresca"} +{"d:Title": "Bottleneck Guitar", "d:Description": "Kirk Lorange's Slide Guitar Web Ring, linking slide and bottleneck guitar sites around the World. Take the tour.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Slide", "url": "http://www.bottleneckguitar.com/"} +{"d:Title": "How to Play Slide Guitar - eHow.com", "d:Description": "How to Play Slide GuitarSliding is an effective technique for creating a very vocal, wailing sound on your guitar. It is popular with many lead guitarists, especially in blues.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Slide", "url": "http://www.ehow.com/how_7023_play-slide-guitar.html"} +{"d:Title": "Slide guitar for beginners", "d:Description": "Easily learnt basic building blocks for blues slide playing, with examples in MP3 format.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Slide", "url": "http://slide.8k.com/"} +{"d:Title": "Bottleneck Guitar Lesson from Acoustic Guitar Magazine", "d:Description": "A complete lesson in slide guitar from the Nov. 1991 Acoustic Guitar magazine, by Glenn Weiser.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Slide", "url": "http://www.celticguitarmusic.com/bluesbottleneck.htm"} +{"d:Title": "Planet Dobro", "d:Description": "A directory of links to artists, music, recording labels and playing resources for slide guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Slide", "url": "http://www.mphase.com/planetd2.htm"} +{"d:Title": "Slide guitar", "d:Description": "A Wikipedia article describes the development of this playing style.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Styles/Slide", "url": "http://en.wikipedia.org/wiki/Slide_guitar"} +{"d:Title": "Adam Schneider's Guitar Files", "d:Description": "Chords and tablature for songs by varied artists. Includes guitar files, links and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature", "url": "http://adamschneider.net/music/"} +{"d:Title": "Ultimate Guitar Archive", "d:Description": "A sizeable archive of tabs. Also has a forum, lessons and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature", "url": "http://www.ultimate-guitar.com/"} +{"d:Title": "Fretplay.com", "d:Description": "A substantial collection of tabs for guitar (and some for bass), with discographies and CD reviews, and a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature", "url": "http://www.fretplay.com/"} +{"d:Title": "Video Game Jam", "d:Description": "Guitar tablature for video game music; also includes MIDI files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature", "url": "http://videogamejam.com/"} +{"d:Title": "Banwarth's Irish Guitar and Bouzouki", "d:Description": "Guitar and Bouzouki in Irish traditional music. TablEdit tabs, scores, MIDI, and tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Celtic", "url": "http://banwarth.free.fr/"} +{"d:Title": "Doc's Workshop", "d:Description": "A collection of Celtic tunes arranged for guitar (especially that of Turlough O'Carolan) in a variety of formats.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Celtic", "url": "http://www.docsworkshop.force9.co.uk/music.htm"} +{"d:Title": "Christian Guitar Resources", "d:Description": "Several thousand guitar tabs, with artist profiles, forums, lessons and a newsletter.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Christian", "url": "http://www.christianguitar.org/"} +{"d:Title": "The Christian Music Web", "d:Description": "Contemporary Christian song archive arranged in simple directory by band.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Christian", "url": "http://www.christianmusicweb.com/song_archives.html"} +{"d:Title": "Lute Page for Guitarists", "d:Description": "General information on lute tablature, moving from guitar to lute, and links to other lute tab sites.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Classical", "url": "http://yoyo.cc.monash.edu.au/~mongoose/lute.html"} +{"d:Title": "Classical Guitar Tablature", "d:Description": "Tabs in plain text format; includes original transcriptions and arrangements of baroque, South American and flamenco music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Classical", "url": "http://www.classtab.org/"} +{"d:Title": "Classical Guitar Tabs", "d:Description": "A collection of classical pieces by various composers in tablature format; also includes a forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Classical", "url": "http://www.classicalguitartabs.org/"} +{"d:Title": "Tab Country", "d:Description": "Tablature collection organized by artist and song name. Forum, requests and links also available.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Country", "url": "http://www.tabcountry.com/"} +{"d:Title": "Guitartabs.net", "d:Description": "A directory of links to tablature sites and guitar-related sites.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Directories", "url": "http://www.guitartabs.net/"} +{"d:Title": "Len's Music Pages", "d:Description": "Fingerpicking guitar files in TablEdit, Desktop Guitarist, Tablature and MIDI Formats.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Fingerstyle", "url": "http://www.lensmusicpages.plus.com/"} +{"d:Title": "Acoustic Power", "d:Description": "A collection of tabs in a range of genres, for fingerstyle guitar, in PowerTab (PTB) format.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Fingerstyle", "url": "http://acousticpower.com/"} +{"d:Title": "Sal's Flamenco Soapbox - Tabs and Midis", "d:Description": "A collection of tablature files in different formats, with mp3 files.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Flamenco", "url": "http://herso.freeservers.com/tabs_and_midis.html"} +{"d:Title": "The Manny-Black Home Page", "d:Description": "A small collection of hip-hop guitar tabs - includes Eminem, Xzibit, Tupac and others.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Hip_Hop", "url": "http://manny_black.tripod.com/hp.htm"} +{"d:Title": "Punk Rock Tabs", "d:Description": "A modest collection of guitar and bass punk rock tabs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.angelfire.com/tx4/porky135/index.html"} +{"d:Title": "Tab World Online", "d:Description": "Alternative and hard rock guitar tabs for 200 bands such as 311, Rage Against the Machine, Metallica, Korn, Kid Rock, and Limp Bizkit.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.tabworldonline.com/"} +{"d:Title": "Sick 1 Tabs", "d:Description": "Guitar and Bass Tabs for Deftones, Filter, KoRn, Limp Bizkit, Rage Against The Machine and other various bands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.angelfire.com/rock/sick1tabs/"} +{"d:Title": "Adam's Guitar Tab Page", "d:Description": "Tabs created by Adam for a wide range of bands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.angelfire.com/mi/Grenfell/guitar.html"} +{"d:Title": "Dave's Guitar World", "d:Description": "A few dozen tabs for AC/DC, Black Sabbath, Collective Soul, Staind, Survivor, Tantric, and others.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://davesguitarworld.tripod.com/home.html"} +{"d:Title": "Global Tabs", "d:Description": "Tabs for Red Hot Chili Peppers, Foo Fighters, Travis, Stereophonics and related bands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.globaltabs.50megs.com/"} +{"d:Title": "LaRace's Guitar Tabs", "d:Description": "Guitar tabs for Eric Clapton, Santana, Stevie Ray Vaughan.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.angelfire.com/music/LaRace/"} +{"d:Title": "Absolute Tabs", "d:Description": "An archive of tablature, with a special focus on South African bands and artists.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.tabs.co.za/"} +{"d:Title": "A-Z Guitar Tabs", "d:Description": "Guitar tablature for many different rock and pop bands from A to Z.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.guitaretab.com/"} +{"d:Title": "Sola - New Zealand Tabs", "d:Description": "A collection of tabs for over 50 New Zealand bands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://sola.peterland.net.nz/"} +{"d:Title": "Tabs 4 Acoustic", "d:Description": "Tablature for songs from several bands (many French) for acoustic players.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.tabs4acoustic.com/uk/"} +{"d:Title": "Ogregod's Tablature Page", "d:Description": "Tablature featuring primarily heavy metal style. Also has lessons and a guitar forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.ogregod.com/"} +{"d:Title": "Stetina.com - Guitar Supersite!", "d:Description": "A collection of over 40 tabs on well-known rock and metal bands. Such as Rush, Jimi Hendrix, Pantera, and Black Sabbath.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop", "url": "http://www.stetina.com/"} +{"d:Title": "The Demons Lair - Metal Tabs", "d:Description": "Large collection of metal guitar tabs arranged by band.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop/Heavy_Metal", "url": "http://www.thedemonslair.com/metal-tabs/"} +{"d:Title": "Tigroo's Home of Noisy Colors", "d:Description": "Tablature by album for Kilgore, Korn, Machine Head, Metallica, Paparoach, Rage Against the Machine and others in zip format.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Rock_and_Pop/Heavy_Metal", "url": "http://thonc.chez.com/"} +{"d:Title": "Chordie", "d:Description": "Search engine for finding guitar chords, guitar tabs and lyrics; also includes facilities for transposition, and for developing personal songbooks.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Search_Engines", "url": "http://www.chordie.com/"} +{"d:Title": "Sliding Zone", "d:Description": "Kay-Uwe Graw's collection of his tablatures for bottleneck and slide guitar; includes audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/Slide", "url": "http://www.slidingzone.de/"} +{"d:Title": "OPM Tunes", "d:Description": "Filipino songs lyrics with guitar chords.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Tablature/World_Music", "url": "http://www.opmtunes.com/"} +{"d:Title": "Tiple", "d:Description": "Wikipedia article describing the different forms and constructions of the Tiple family.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants", "url": "http://en.wikipedia.org/wiki/Tiple"} +{"d:Title": "The Requinto Guitar", "d:Description": "A brief profile of the instrument with tips for playing \"small scale guitars\".", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants", "url": "http://www.hago.org.uk/guitars/requinto/"} +{"d:Title": "Busker Guitar", "d:Description": "Describes a guitar designed to be compact and lightweight. Includes photos and sound samples for guitar in various configurations.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants", "url": "http://www.s279313254.websitehome.co.uk/busker/"} +{"d:Title": "Francis, John", "d:Description": "Brief profile of a composer/guitarist, with discography and sample of his sheet music.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Alto_Guitar", "url": "http://sologuitarist.net/johnfrancis.html"} +{"d:Title": "Altgitarren : The Alto Guitar Group", "d:Description": "A Yahoo! forum for enthusiasts of the 11-stringed alto-guitar, and other guitars of its kin, to exchange knowledge, information, music and scores.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Alto_Guitar", "url": "http://launch.groups.yahoo.com/group/altgitarren/"} +{"d:Title": "Kline, James", "d:Description": "Plays an eleven-string guitar in a variety of styles, from Renaissance lute music through to Celtic and jazz. Includes an interview and details of his recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Alto_Guitar", "url": "http://www.elevenstrings.com/"} +{"d:Title": "S\u00f6llscher, G\u00f6ran", "d:Description": "Brief profile and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Alto_Guitar", "url": "http://www.andersmanagement.com/soloists/gsollscher.php"} +{"d:Title": "Cigar Box Guitars", "d:Description": "A museum for the instrument offering history, artist profiles, instrument construction details and workshops, photos and forums.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Cigar-Box", "url": "http://cigarboxguitars.com/"} +{"d:Title": "Cigar Box Guitars", "d:Description": "A Yahoo! group for the cigar box guitar community and the Prim-Rock (primitive rock) movement. Includes plans to download.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Cigar-Box", "url": "http://launch.groups.yahoo.com/group/cigarboxguitars/"} +{"d:Title": "Cuatro", "d:Description": "Article from Wikipedia on the history and development of this family of guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Cuatro", "url": "http://en.wikipedia.org/wiki/Cuatro"} +{"d:Title": "Hunter, Charlie", "d:Description": "Official site for a jazz guitarist who specialises in 8 string electric guitars; features news, articles, biography, discography, itinerary, setlists, audio and video clips, and details on his guitars and amps.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Eight_String", "url": "http://www.charliehunter.com/"} +{"d:Title": "Smits, Raphaella", "d:Description": "Classical guitarist who plays on guitars with 6, 7 and 8 strings. Biography, calendar, discography, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Eight_String", "url": "http://www.rsmits.com/"} +{"d:Title": "Vynograd, Alexander", "d:Description": "A Ukrainian eight-string classical player, developing a repertoire for the instrument. Biography, repertoire, performance dates, and details of recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Eight_String", "url": "http://www.vynograd.net/"} +{"d:Title": "Canzanella, Brian", "d:Description": "Classical guitarist, playing eight-string guitar, and creating a repertoire of works from Baroque to the twentieth century.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Eight_String", "url": "http://www.briancanzanella.com/"} +{"d:Title": "History of Flamenco", "d:Description": "A brief history of the art-form, including a description of the modern Flamenco Guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Flamenco", "url": "http://www.classicalguitarmidi.com/history/flamenco.html"} +{"d:Title": "An Introduction to Fretless Guitar", "d:Description": "An overview with playing tips on the fretless guitar with tips on converting a guitar to fretless.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Fretless", "url": "http://nedevett.com/"} +{"d:Title": "Unfretted", "d:Description": "Information on unfretted guitars, the people who make them, play them, and associated resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Fretless", "url": "http://www.unfretted.com/"} +{"d:Title": "Latin American Large Guitars", "d:Description": "A profile of the guitarron (acoustic bass guitar) and its different forms.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Guitarron", "url": "http://www.cumpiano.com/Home/Articles/Special%20interest/Acbass/guitarron.html"} +{"d:Title": "The Guitarron", "d:Description": "Profile of the acoustic bass guitar which came to replace the harp in Mexican Mariachi bands.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Guitarron", "url": "http://www.hago.org.uk/guitars/guitarron/"} +{"d:Title": "Harpguitars.net", "d:Description": "Information about the instrument and its history, players, resources, and luthiers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Harp_Guitar", "url": "http://www.harpguitars.net/"} +{"d:Title": "Doan, John", "d:Description": "Best known for his mastery of the rare twenty-string harp guitar and Celtic music. Includes biography, performance dates, details of recordings, with information and advice about the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Harp_Guitar", "url": "http://www.johndoan.com/"} +{"d:Title": "Bennett, Stephen", "d:Description": "A multi-guitarist, known for his skill on harp guitar and six-string guitar. Includes biography, gig calendar, and details of recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Harp_Guitar", "url": "http://www.harpguitar.com/"} +{"d:Title": "Harp Guitar", "d:Description": "A description of the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Harp_Guitar", "url": "http://www.oddmusic.com/gallery/om17000.html"} +{"d:Title": "Leeds Guitarmakers' School", "d:Description": "An illustrated account of building a harp guitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Harp_Guitar", "url": "http://www.leedsguitar.com/pages/harpguitar.html"} +{"d:Title": "Sevenstring.org", "d:Description": "A web community and forum devoted to seven string guitars; includes artist profiles, interviews and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String", "url": "http://www.sevenstring.org/"} +{"d:Title": "Gootar", "d:Description": "Online chord generator specifically for seven string guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String", "url": "http://www.gootar.com/folder/guitar7.html"} +{"d:Title": "Breau, Lenny", "d:Description": "Biographical information and album history of Canada's 7-string finger-style jazz guitar master", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.lennybreau.com/"} +{"d:Title": "Bruno, Jimmy", "d:Description": "Biography, press releases, calendar and photo album of legendary Philadelphia-based jazz guitarist.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.jimmybruno.com/"} +{"d:Title": "Proper, Doug", "d:Description": "New York area seven-string jazz guitarist; includes upcoming gigs, online lessons, audio samples, and a biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.dougproper.com/"} +{"d:Title": "Eschet\u00e9, Ron", "d:Description": "Seven string jazz guitarists; includes discography, biography, reviews, and gig-list.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.roneschete.com/"} +{"d:Title": "Pizzarelli, John", "d:Description": "7-string archtop guitarist John Pizzarelli's official site features show calendar, discography, videos, photos, and his Radio Deluxe weekly radio show", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.johnpizzarelli.com/"} +{"d:Title": "Van Eps, George", "d:Description": "Videos and information about the man who pioneered the 7-string jazz guitar in 1938", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://georgevaneps.blogspot.com/"} +{"d:Title": "Morgen, Howard", "d:Description": "Six and seven-string guitarist, clinician and arranger. Site features audio, videos, reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.howardmorgen.com/"} +{"d:Title": "Foster, Jimmy", "d:Description": "7-string guitarist and archtop guitar luthier based in New Orleans, Louisiana", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.fosterguitars.com/"} +{"d:Title": "Lamb, Neil", "d:Description": "7-string jazz guitarist, composer and singer based in Brunswick, Maine", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.neillambmusic.com/"} +{"d:Title": "Fried, Fred", "d:Description": "7-string and 8-string jazz guitarist's website features biography, audio, pictures, lessons and gig information", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.fredfried.com/"} +{"d:Title": "Dowling, Dan", "d:Description": "Seven-string jazz and blue guitarist based in Albuquerque, New Mexico.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.dandowling.com/"} +{"d:Title": "Burnett, Bob", "d:Description": "Site features upcoming gigs, pictures, albums, biographical information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.bobburnettmusic.com/"} +{"d:Title": "Herberman, Steve", "d:Description": "Jazz guitarist and masterclass educator based in Washington, DC", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://reachmusicjazz.com/bio.html"} +{"d:Title": "Nieberle, Helmut", "d:Description": "Sontagg Guitars' 7-string guitarist and ukulele player based in Germany; site features albums, audio and biographical information", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.helmutnieberle.de/"} +{"d:Title": "Hintz, Paul", "d:Description": "7-string guitarist in St. Paul, MN. Site has calendar, biography and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.paulhintz.com/"} +{"d:Title": "MacKenzie, Andy", "d:Description": "7-string recording artist and jazz guitarist from Manchester, England", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.andymackenzie.com/"} +{"d:Title": "Kolpakov, Vadim", "d:Description": "Features calendar, media, and biography of Russian Roma Gypsy 7-string guitar player, singer and dancer", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Electric", "url": "http://www.vadimkolpakov.com/"} +{"d:Title": "Wikipedia - Russian Guitar", "d:Description": "Information about the Russian guitar, an acoustic seven-string guitar that was developed in Russia toward the end of the 18th century.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Russian", "url": "https://en.wikipedia.org/wiki/Russian_guitar"} +{"d:Title": "Russian 7-String Guitar", "d:Description": "An article by Ian Watchorn about guitar internals: decks, wood braces, and neck.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Russian", "url": "http://ianwatchorn.com.au/Russian7-stringguitar.htm"} +{"d:Title": "Russian Seven-Stringed Guitar", "d:Description": "An article by Igor Varfolomeev, with a brief history of the musical instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Seven_String/Russian", "url": "http://www.lute.ru/russian_guitar_eng.htm"} +{"d:Title": "Tenor Guitar", "d:Description": "A description of the instrument and a history, with information about manufacturers, chords and songs, and instructional materials.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Tenor", "url": "http://www.tenorguitar.com/"} +{"d:Title": "Tenor Guitar Registry", "d:Description": "Yahoo! group bringing together tenor guitar enthusiasts to exchange information, stories and songs.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Tenor", "url": "http://launch.groups.yahoo.com/group/tenorguitarregistry/"} +{"d:Title": "The International Ten-String Guitar Society", "d:Description": "Offers a newsletter, information about the instrument and players, events, and listing of luthiers.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Ten_String", "url": "http://www.tenstringguitar.com/"} +{"d:Title": "International Ten-String Festival", "d:Description": "Focuses on the evolution of the modern ten-string classical guitar. Details of master classes, workshops, discussions and concerts open to the public. [Connecticut, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Ten_String", "url": "http://www.guitarramagazine.com/TenStringFestival"} +{"d:Title": "Laudarra or 10-string guitar", "d:Description": "An enthusiast's view on tuning, playing and construction of 10 string classical guitars. Includes articles and a gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Ten_String", "url": "http://www.angelfire.com/music4/tscg/aboutme.htm"} +{"d:Title": "A Little-known Fretted Instrument :: The Tres", "d:Description": "A historical account of the development of the instrument; includes details of tuning and discography.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Tres", "url": "http://www.cumpiano.com/Home/Articles/Special%20interest/tres.html"} +{"d:Title": "Jacobs, Neil", "d:Description": "Plays music as diverse as Gypsy, World, Balkan folk, jazz, modern classical and fingerstyle on a twelve-string guitar. Includes gig-guide, discography, and profile.", "topic": "Top/Arts/Music/Instruments/Stringed/Guitar/Variants/Twelve_String", "url": "http://www.neiljacobs.com/"} +{"d:Title": "The Ap Huw Manuscript", "d:Description": "Facsimile and other information about this Welsh collection of harp tablature dating from the late 16th and early 17th century.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp", "url": "http://www.pbm.com/~lindahl/ap_huw/"} +{"d:Title": "The Celtic Harp Page", "d:Description": "FAQ, harp tips, lists of recordings, lessons, links, and webrings.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp", "url": "http://www.celticharper.com/"} +{"d:Title": "Harp Spectrum", "d:Description": "Information about all types of harps, music, history, contemporary harp, building and resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp", "url": "http://www.harpspectrum.org/"} +{"d:Title": "Harp Column Community", "d:Description": "Harping news, FAQ, articles, classified ads, events, and discussion groups.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp", "url": "http://www.harpcolumn.com/"} +{"d:Title": "The Harp Haven", "d:Description": "Introduction to the harp, getting started, buying tips, harpist biographies, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp", "url": "http://www.harphaven.com/"} +{"d:Title": "Harp Mall", "d:Description": "A directory of internet links for all matters concerning the harp.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp", "url": "http://www.harpmall.com/"} +{"d:Title": "The Harp Ring", "d:Description": "Links to harpist and harp music websites.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp", "url": "http://www.webring.org/hub?ring=harpring"} +{"d:Title": "David Kortier", "d:Description": "Pictures and descriptions of lever harps, ordering information, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.kortier.com/"} +{"d:Title": "Stanley and Stanley Harp Makers", "d:Description": "Celtic, folk and lever harp makers in Kingston, Ontario. Pictures, descriptions, and prices.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.stanleyharp.on.ca/"} +{"d:Title": "Triplett Harps", "d:Description": "Information about the company, pictures, accessories, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.triplettharps.com/"} +{"d:Title": "Harps of the South by Keith Harrison", "d:Description": "Folk harps of different levels, styles, sizes, and decorations. Contains information on the maker and his products.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.harps.co.nz/"} +{"d:Title": "Denwar Harps", "d:Description": "Company based in Australia, making Celtic, Lever, Biblical and Concert harps. Includes specifications, help section including lessons and workshop image gallery.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.harpsales.com/"} +{"d:Title": "Thurau-Harps", "d:Description": "Manufacturer: reconstructions, restorations: from modern concert harps to antique pedal harps.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.thurau-harps.com/"} +{"d:Title": "Sandpiper Instruments", "d:Description": "Paraguayan, Irish, Gothic, Celtic and folk harps; harp guitars. Product information, news, FAQ, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.piperharp.com/"} +{"d:Title": "Magus Harps", "d:Description": "German builder of folk harps and historical instruments. Information about the artist and his work, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.magus-harps.de/"} +{"d:Title": "Argent Fox Music", "d:Description": "Maker of Celtic lap and floor harps in wire or nylon, with or without levers. Also makes double-strung and cross-strung harps.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.argentfox.com/"} +{"d:Title": "Horngacher Concert Harps", "d:Description": "Located in Germany. News, descriptions, prices, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.horngacher-harps.de/english/"} +{"d:Title": "Heartland Harps", "d:Description": "History, pictures and descriptions of harp models, features, ordering information, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.heartlandharps.com/"} +{"d:Title": "G\u00e4rtner-Lyres", "d:Description": "Workshop information, catalogue, news, pictures, and prices.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.leier.de/English/inhalt.htm"} +{"d:Title": "Soundscapes International", "d:Description": "Custom wind harps and Aeolian harps. Pictures, CDs, concepts, ordering information, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.soundscapesinternational.com/"} +{"d:Title": "House of Harrari - Biblical Harp Makers", "d:Description": "King David Harps and Biblical Harps made in Israel as musical and spiritual instruments by Micha and Shoshana Harrari.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.harrariharps.com/"} +{"d:Title": "Dahl Harps and Langeleiks", "d:Description": "Information on buying Celtic Harps and Norwegian Langeleiks.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.dahlharps.com/"} +{"d:Title": "Venus Harps: W&W Musical Instrument Company", "d:Description": "Makers of Venus brand pedal harps: student, concert and grand concert size pedal harps. Site features including pricing, care and maintenance and distributor listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.venusharps.com/"} +{"d:Title": "Harps and Harps", "d:Description": "Australian custom harp maker: all types of harps made, sold and repaired.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.harps.com.au/"} +{"d:Title": "Dusty Strings Co.", "d:Description": "Dusty Strings is a manufacturer of lever harps and hammered dulcimers. The site contains pictures, specifications, prices, and accessory information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.dustystrings.com/"} +{"d:Title": "Dreamsinger Harps", "d:Description": "Handmade Celtic harps, doorharps, dulcimers and psalteries. Photos, descriptions, prices, schedule, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://dreamsingerharps.com/"} +{"d:Title": "John Kovac", "d:Description": "Personal information, recordings, videos, and ordering instructions.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.johnkovac.com/"} +{"d:Title": "Roger Muma Musical Instruments", "d:Description": "Handmade, custom harp designs. Includes catalog, photographs and information about lessons, music and history. Based in London, Ontario, Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://mumaharps.com/"} +{"d:Title": "Harps by Robert Cunningham", "d:Description": "Produces handcrafted lever harps such as Celtic and folk harps, and a variety of ethnic and early musical instruments. Includes construction details and photographs.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://cunninghamharps.com/"} +{"d:Title": "Another Era Lutherie", "d:Description": "Craig Pierpont offers handcrafted French, Celtic, Irish, lap, clarsach and studio model harps. Includes pictures, prices, and descriptions.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.anotherera.com/"} +{"d:Title": "Jubilee Harps", "d:Description": "Maker of King David harps: 10-string Biblical instruments, endorsed and played by Greg Buchanan. Accessories available.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Builders", "url": "http://www.jubileeharps.com/"} +{"d:Title": "Jo Morrison, Celtic Harper", "d:Description": "Provides details of performances, repertoire, booking information, sound samples, recordings and clarsach resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.triharpskel.com/"} +{"d:Title": "Phamie Gow", "d:Description": "Performer, composer and graduate of the Royal Scottish Academy of Music and Drama. Information about workshops, commissions, discography and awards.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.phamiegow.com/"} +{"d:Title": "Commun na Clarsach : The Clarsach Society", "d:Description": "Overview with details of events and services to teachers, students and players.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.clarsachsociety.co.uk/"} +{"d:Title": "The Clarsach", "d:Description": "Brief description and history of the clarsach in Scotland. Includes an audio excerpt.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://website.lineone.net/~trotternish/clarsach.html"} +{"d:Title": "Midsummer and Music in Scotland's Outer Hebrides", "d:Description": "Information about the Loch Roag tuition workshops with Alison Kinnaird and Wendy Stewart.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.lochroag.co.uk/"} +{"d:Title": "Ardival Harps", "d:Description": "Makers of wire-strung clarsachs following the Scottish and Irish traditions. Also offer harp playing holidays in Strathpeffer in the Highlands of Scotland. Portfolio and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.ardival.com/"} +{"d:Title": "Scottish Harp Society of America", "d:Description": "A non-profit educational organization dedicated to the clarsach and its music both ancient and modern. Membership information and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.shsa.org/"} +{"d:Title": "Timothy Harps", "d:Description": "Offers handcrafted Celtic harps. Provides information about models and options available, including sharping levers and custom carving.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.timothyharps.ca/"} +{"d:Title": "Gaelic Harps and Harpers in Ireland and Scotland", "d:Description": "A history of the clarsach. Developments, tradition, bibliography, and pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.silcom.com/~vikman/isles/scriptorium/harps/harps.html"} +{"d:Title": "Cynthia Cathcart", "d:Description": "Gaelic harper. Biography with details of books, recordings, concerts, articles and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Clarsach", "url": "http://www.cynthiacathcart.com/"} +{"d:Title": "Lubello, Lurana", "d:Description": "biography, her music ensembles,repertoire,link,harp lesson, harp entertainment and her other activities. Milano, Italy", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.luranalubello.it/"} +{"d:Title": "Sacchi, Floraleda", "d:Description": "Biography, diary, concert program, MP3s, repertoire, publications and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.floraledasacchi.com/"} +{"d:Title": "Euron, Enrico", "d:Description": "Celtic harp musician. Includes his biography, reviews, discography, and links. [Italian and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.enricoeuron.com/"} +{"d:Title": "Moreton-Achsel, Isabel", "d:Description": "German orchestra and concert musician and teacher. Includes profile, repertoire, upcoming performances, discography, and auto-biography of Nicanor Zabaleta. [English and German]", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.moreton.de/"} +{"d:Title": "H\u00f6gl, Christine", "d:Description": "German harpist's site lists recordings and provides information about performances in planetariums and galleries. Available in German, English, and French.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.christinehoegl.com/"} +{"d:Title": "Schlaffer, Yumiko Endo", "d:Description": "Describes professional harpist Yumiko Endo Schlaffer biography, provides audio samples and reviews, in addition to performance booking and repertoire information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.yumiharp.com/"} +{"d:Title": "Ramos, Monica", "d:Description": "She is breaking traditional barriers as she is creating pioneering modern music with the classical harp in focus, an instrument seldom seen before in this environment.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.monicaramos.com/"} +{"d:Title": "Gross, Olga", "d:Description": "Montreal harpist performs music for all occasions. Also flute and harp duo.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://pages.videotron.com/duolyra/"} +{"d:Title": "O'Donnell, Aideen - Irish Harpist and Singer", "d:Description": "Music clips, schedule of performances, repertoire, reviews, her biography and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.aideenodonnell.com/"} +{"d:Title": "Tourin, Tina", "d:Description": "Harpist and musical therapist", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.emeraldharp.com/"} +{"d:Title": "Wireharp Productions", "d:Description": "Features Robert Mouland, player of traditional Irish music and storyteller. Includes biography, information about instruments and programs, partial list of appearances and recordings. Located in Geigertown, Pennsylvania, USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.wireharp.com/"} +{"d:Title": "Gahagan, Kathleen", "d:Description": "London, Ontario area. Performing for special occasions. Contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.ualberta.ca/~tjosvold/Harp_Brochure.htm"} +{"d:Title": "Hurst, Paul", "d:Description": "Classical and popular harp recordings and sheet music.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpmusic.com/"} +{"d:Title": "Drake, Victoria", "d:Description": "Classical harpist; professional information, discography, biography, and calendar.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.victoriadrake.com/"} +{"d:Title": "DeRosa, Kim Davidson", "d:Description": "Professional harpist, Kim Davidson DeRosa, performs in the northern Virginia, Washington DC, and southern Maryland areas for weddings, cocktail hours, receptions, corporate and special events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.kimharpist.com/"} +{"d:Title": "Harpeggio Music", "d:Description": "San Francisco Bay area artists. Stephanie Janowski and Heather Paschoal. Music for special occasions, lessons, events, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpeggio.com/"} +{"d:Title": "Taylor Sparks, Phyllis", "d:Description": "CDs, biography, and booking information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://jazical.com/"} +{"d:Title": "LeBus, Mary", "d:Description": "Plays for events and teaches lessons. Quotes, performances, compositions, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://harpjoy.com/"} +{"d:Title": "Walts, Amy", "d:Description": "Specializes in Celtic music; available in upstate and western New York. Personal details, booking information, FAQ, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpchick.com/"} +{"d:Title": "Glass, Jenny", "d:Description": "Information about wedding harpist in the Dallas and Forth Worth area.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.texasharp.com/"} +{"d:Title": "Robinson, Toni - Cleo Productions", "d:Description": "Instructor and performer in Conejo, CA. Personal information and CD details.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.tonirobinson.com/"} +{"d:Title": "Fann, Sandra", "d:Description": "Toronto-based harpist; performs solo or in an ensemble. Biography, recordings, and repertoire.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.sandrafann.com/"} +{"d:Title": "Nielsch, Astrid", "d:Description": "Specialist on medieval and baroque harps. Includes biography, discography with audio samples, concert schedule, and information about early harps.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.asni.net/"} +{"d:Title": "Dickstein, Marcia", "d:Description": "Harpist of the Debussy Trio, recording artist, and harp soloist. Reviews, repertoire, recordings, and credits.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.debussytrio.com/md/md3.html"} +{"d:Title": "Harp Music UK", "d:Description": "A selection of the UK's top harpists, complete with photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpists.co.uk/"} +{"d:Title": "Hart, Robert", "d:Description": "Performer, composer, and maker of Paraguayan and Celtic harps. Biography, CDs, schedule, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpsatsang.com/"} +{"d:Title": "Handman, Lisa", "d:Description": "Based in Atlanta, Georgia, USA. Offering performances with electric or acoustic harps. Includes biography, repertoire and information about lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpnotes.com/"} +{"d:Title": "Finnegan, Dearbhail", "d:Description": "Internationally acclaimed Irish harper available for special occasions, including concerts, workshops, weddings, funerals, parties, and corporate functions.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.dearbhailfinnegan.com/"} +{"d:Title": "Morgan, Rachel Ann", "d:Description": "Harpist and mezzo-soprano. Includes career biography, concert calendar, discography, contact form, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.xs4all.nl/~morgan/index.html"} +{"d:Title": "Mahoney, Polly - Celtic Harpist", "d:Description": "For over twelve years, Polly Mahoney and her handcrafted Celtic harp have soothed, uplifted, and inspired at weddings, funerals and corporate events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.celticharpist.com/"} +{"d:Title": "Sato, Tomoko - harpist", "d:Description": "A professional harpist, Tomoko Sato plays for weddings, reception,and other events in Los Angeles, Orange, and Riverside County. She is also available for recitals and lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.tomokosato.com/"} +{"d:Title": "Westgate, Karen Svanoe - harpist", "d:Description": "Harpist in North Central Ohio, available for weddings, corporate functions and churches.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpgate.com/"} +{"d:Title": "McDonald, Dania", "d:Description": "Active orchestral performer and free-lance artist. Prices, repertoire, and short biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.southernharp.com/"} +{"d:Title": "Ramsdale, Emma", "d:Description": "Extensive repertoire, contact details, and ensemble information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpist-emma-ramsdale.co.uk/"} +{"d:Title": "Metodieva, Amira - A Harpist's World", "d:Description": "A professional harpist and teacher. Performs different chamber and solo recitals. Based in Antwerp, Belgium. Offers biography, repertoire, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.amiraharp.com/"} +{"d:Title": "Monger, Tom", "d:Description": "Music, background, recordings, and contact information for the London-based harpist.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.tomtheharpist.com/"} +{"d:Title": "Seager-Scott, Julia", "d:Description": "Freelance harpist specializing in classical and Celtic music. Biography, CD, performances, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpmusic.ca/"} +{"d:Title": "Stratton Norris, Leslie", "d:Description": "Harpist and maker of music stands. Recordings, upcoming concerts, biography, and merchandise.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.misterstandman.com/"} +{"d:Title": "Nielsen, Mike", "d:Description": "The home page of Mike Nielsen, a harpist who plays in Delaware and Eastern Shore Maryland for weddings, parties and other festive occasions.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.weddingharper.com/"} +{"d:Title": "Vardy, Alison", "d:Description": "Western Canadian Celtic and Paraguayan harpist performing as a solo instrumentalist for concerts, conferences, receptions and weddings.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.alisonvardy.com/"} +{"d:Title": "Robertson, Kim - Celtic Harp&Voice - Official Website", "d:Description": "Kim Robertson Celtic Harp and Voice Performer.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.kimrobertson.net/"} +{"d:Title": "Henson-Conant, Deborah", "d:Description": "Includes FAQ, tour information, photo galleries, and publicity materials.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://hipharp.com/"} +{"d:Title": "Koll\u00e9, Beth", "d:Description": "Harp, flute, and vocals from this innovative Celtic-Nordic harper. CD recordings, harp music books", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.bethkolle.com/"} +{"d:Title": "Ball, Patrick", "d:Description": "Storyteller and player of the Celtic harp. Features biography, news, discography, photos, reviews, recordings and information about the instrument.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.patrickball.com/"} +{"d:Title": "Castlebay", "d:Description": "Features traditional and original Celtic recordings. Booking, schedule, news, reviews, and information about ordering music available. Located in Bristol, Maine.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.castlebay.net/"} +{"d:Title": "Scheckler, Rolliana - Harpsinger", "d:Description": "Harpist and vocalist in Madison, Wisconsin.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpsinger.com/"} +{"d:Title": "Satter, Marlene - Harp's Desire", "d:Description": "Marlene Satter, a hospital-certified harp practitioner trained at Robert Wood Johnson Hospital in Hamilton, NJ, plays at the bedside in hospitals, nursing homes, and hospices, and also for more joyous occasions, such as parties, weddings, and formal or corporate events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpsdesire.net/"} +{"d:Title": "Williams, Gretchen - Harpist", "d:Description": "Free-lance harpist in the Killeen/Ft. Hood, Texas area who plays for weddings, dinners, receptions and also teaches Suzuki harp.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.centexharpist.com/"} +{"d:Title": "Fletcher, Sally", "d:Description": "Sally Fletcher, master harpist from Marin County California, is available for weddings, receptions and special events in the San Francisco Bay Area and northern California.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.heavenlyharpist.com/"} +{"d:Title": "Hosford, Fiona", "d:Description": "Contact details and clips of her music.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpist.uk.com/"} +{"d:Title": "Lynne, Lisa", "d:Description": "Celtic Harpist, Composer and Recording Artist.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.lisalynne.com/"} +{"d:Title": "Grupp-Verbon, Denise", "d:Description": "Toledo, Ohio and Southeast Michigan instructor and harpist for your special event", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.queenofharps.com/"} +{"d:Title": "Jordan, Joanna", "d:Description": "Toronto Harpist Joanna Jordan performs for weddings, corporate events, trade shows, clubs, festivals and concerts.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "https://www.joannajordan.com/"} +{"d:Title": "Mell, Joanna - Pennsylvania Harpist", "d:Description": "Joanna Mell is a harpist in Bucks County.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.joannamell.com/"} +{"d:Title": "Morrison, Jo", "d:Description": "Celtic harpist's site offers performances, repertoire, booking info, sound samples, recordings and harp resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.triharpskel.com/"} +{"d:Title": "Ortiz, Alfredo Rolando", "d:Description": "Paraguayan Harp", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.alfredo-rolando-ortiz.com/"} +{"d:Title": "Schermer, Verlene", "d:Description": "Harp, vocals, CDs, music books, workshops, private instruction.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.verlene.com/"} +{"d:Title": "Doyle, Dennis", "d:Description": "Harpist, vocalist and liturgical composer playing for concerts, celebrations and other special events. Includes highlights from gigs, media clips, upcoming events and discography. Located in Glendale, California.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://english.glendale.cc.ca.us/doyle.a.html"} +{"d:Title": "Wallace, Sharlene", "d:Description": "Canadian harpist", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.sharlenewallace.com/"} +{"d:Title": "Stockert, Odarka Polanskyj", "d:Description": "Odarka Polanskyj Stockert plays world music, folk and Celtic harp, classical pedal harp for concerts, weddings, and special events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.odarka.com/"} +{"d:Title": "Hurrell, Nancy - Harpist", "d:Description": "Nancy Hurrell performs on classical, Celtic and historical harps. She presents harp workshops around the country.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.hurrellharp.com/"} +{"d:Title": "Dechario, Barbara", "d:Description": "Concert harpist for the Rochester Philharmonic Orchestra, is available for weddings, events, and private parties in upstate and western New York State area. Jewish music a specialty.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.barbaraharp.com/"} +{"d:Title": "Waardenburg, Erika", "d:Description": "Worldwide performer, as well as master teacher. Includes biography, discography, concert calendar and courses. Located in Amsterdam, The Netherlands.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.erikawaardenburg.com/"} +{"d:Title": "Schwartz, Kristal", "d:Description": "Professional harpist serving the San Francisco South Bay Area. Biography and event planning tips.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://angelic-harp.com/"} +{"d:Title": "Smith, Kate - London Harpist - (UK)", "d:Description": "Kate's repertoire spans light jazz, baroque, classical, folk, show tunes and popular hits. She often performs at weddings and corporate events around London.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.london-harpist.co.uk/"} +{"d:Title": "Correa, Reuben", "d:Description": "Playing a variety of music styles for weddings. Includes biography, samples, photographs, discography, booking, and contact information. Golden Valley, Minnesota.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.reubencorrea.com/"} +{"d:Title": "Lipman, Sebastian", "d:Description": "French Australian harpist,", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.sebastien-lipman.com/"} +{"d:Title": "Michalsky, Alyssa", "d:Description": "Professional Toronto harpist- weddings, parties, private events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.divineharp.com/"} +{"d:Title": "Murphy, Peter", "d:Description": "Peter Murphy plays in London and elsewhere.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://justharp.co.uk/"} +{"d:Title": "Watts, Sheila", "d:Description": "Plays popular music from shows and films, classical pieces and folk tunes at weddings, birthdays, corporate events, promotions, anniversaries, dinners and funerals. London, UK", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://harp4u.co.uk/"} +{"d:Title": "Rowan, Diana", "d:Description": "Available for weddings and events in the San Francisco Bay Area. Find contact information, sample material and rates on this site.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.sirenharp.com/"} +{"d:Title": "Lawhn, Courtney", "d:Description": "A full-time professional Chicago harpist and harp teacher. In addition to being a Chicago wedding musician, she has experience with other special events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.chicago-harpist.com/"} +{"d:Title": "Ciavolino, Elizabeth", "d:Description": "A personal site for Elizabeth Ciavolino, harpist in the Baltimore Metro region of the United State.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpgirl.com/"} +{"d:Title": "Sell, Michelle", "d:Description": "A professional harpist available for weddings, events, theater, and lessons in the San Francisco Bay Area.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.michellesell.com/"} +{"d:Title": "Renee, Alison", "d:Description": "Miami-based harpist available for wedding ceremonies, cocktail hours or other special occasion.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.alisonharpist.com/"} +{"d:Title": "Haupt, Devon", "d:Description": "Indiana harpist with information on bookings, rates, upcoming performances, repertoire and harp lessons.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.theclassicharpist.com/"} +{"d:Title": "Manno, John", "d:Description": "John Manno specializes in classical music, is proficient on both the pedal (or concert) harp, as well as the lever (or folk or Irish) harp.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.johnmannoharp.com/"} +{"d:Title": "Williams, Melba and Verneeta", "d:Description": "Melba and Verneeta Williams are available to provide live harp music for your wedding or other function in central or eastern Virginia", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://harpsisters.com/"} +{"d:Title": "Walton, Kela", "d:Description": "Kela Walton is an Austin Texas area professional Harpist and Harp Teacher available to give harp lessons as well as provide harp music for weddings and other events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.kelaharp.com/"} +{"d:Title": "Howe, Alys", "d:Description": "Canadian harp player Alys Howe is the recipient of numerous awards. Specializing in repertoire for the Celtic harp , Alys has studied and performed throughout the United Kingdom and Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.alyshowe.com/"} +{"d:Title": "Williams, Marguerite Lynn", "d:Description": "Elegant harp music for any occasion. Marguerite is a professional harpist in Chicago, IL performing at wedding ceremonies and private events with over 12 years of performing experience.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.mlwharp.com/"} +{"d:Title": "Watson, Margaret", "d:Description": "Performs at weddings, funerals,civic dinners,charity events,lectures and recitals. Wears period costume for themed events such as medieval banquets. Kent, UK", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpmusic.co.uk/index.htm"} +{"d:Title": "Delibero, Kim", "d:Description": "Premier Harpist in the Las Vegas Valley, Social Events, Weddings, Teaching classical and modern.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harpistlasvegas.com/"} +{"d:Title": "Stewart, Wendy", "d:Description": "Biography and discography of Scottish harpist. Also includes a guide to her gigs and teaching activities, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://wendystewart.co.uk/"} +{"d:Title": "Earis, Harriet", "d:Description": "British harpist who plays traditional Irish and Scottish music on the Celtic harp. Includes biography, tour dates, details of her recordings, and audio samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harrietearis.com/"} +{"d:Title": "Pumehana Davis", "d:Description": "Professional harpist providing musical services for weddings and special events; includes rates, testimonials, and audio clips. Oahu, Hawaii.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.harphawaii.com/"} +{"d:Title": "Fox, Leah", "d:Description": "Leah Fox, a music graduate from Leeds University, is an extremely experienced harpist has toured Italy, The Netherlands, Wales and Northern England.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.yourharpist.co.uk/"} +{"d:Title": "Manning, Sarah Anne", "d:Description": "Boston Area Harpist. Performs for weddings and other special events. Includes repertoire, and upcoming events.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.sarahmanning.com/"} +{"d:Title": "Loucks, RoJean", "d:Description": "Harp music for healing. Solo harp CD - Tapestry.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.roharps.com/"} +{"d:Title": "Kleinstuber, Cindy", "d:Description": "Information about the composer and her original music for harp.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.cindykleinstuber.com/"} +{"d:Title": "Minogue, Aine", "d:Description": "Harpist and singer from Ireland now residing in America. Sings in Irish and English. Recording artist and producer.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://minogue.com/"} +{"d:Title": "Riley, Analynn", "d:Description": "Harpist and singer. Features biography, music samples, photos, online and CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://singer_analynn.tripod.com/"} +{"d:Title": "Brown, Janelle K", "d:Description": "Provides live harp performance for weddings and other events in the greater San Diego area. Biography and information about harps.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.sandiegoharpist.com/"} +{"d:Title": "Gow, Phamie", "d:Description": "Harpist, composer, and teacher. Features discography, touring schedule, biography, and photographs.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.phamiegow.com/"} +{"d:Title": "Sunita", "d:Description": "Celtic, Jewish, and Classical harpist based in Israel.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.sunitaharp.com/"} +{"d:Title": "Grean, Lorin", "d:Description": "Celtic harpist, vocalist, composer, and recording artist. Biography, discography, and upcoming performance schedule.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.loringrean.com/"} +{"d:Title": "Kenyon, Ruth", "d:Description": "Harpist located in Bath, UK performing for wedding ceremonies and receptions. Includes biography, repertoire and sound clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.ruthkenyon.com/"} +{"d:Title": "Rhydderch, Llio", "d:Description": "Welsh triple harpist. Biography, reviews, details of recordings, audio samples, and photographs. [Cymraeg and English]", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.lliorhydderch.com/"} +{"d:Title": "Waddy, Calista Anne", "d:Description": "Now performing in middle Georgia and available for weddings, receptions, religious events, or any occasion that needs a special touch. Includes sample music list.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.maconharpist.com/"} +{"d:Title": "Fitzpatrick, Stephen", "d:Description": "Principle harpist with the Deutsche Staatsoper in Berlin, Germany. Information on the artist, performance dates and locations, as well as articles written by the artist.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.stephenfitzpatrick.com/"} +{"d:Title": "Hebbelinck, Marc Fran\u00e7ois Marcel", "d:Description": "Describes performances, with sound track and CD for purchase.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://users.skynet.be/marc.hebbelinck/"} +{"d:Title": "Hambly, Gr\u00e1inne", "d:Description": "Gr\u00e1inne from County Mayo in the west of Ireland plays the Concertina and Harp and travels widely giving workshops and concerts in the harp", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://grainnehambly.com/"} +{"d:Title": "The Harp School, Inc.", "d:Description": "Carol McClure is a virtuoso harpist and concert artist, a respected and widely published composer and arranger, as well a nationally recognized teacher. Burns, TN.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.summerharpacademy.com/"} +{"d:Title": "Germano, Donna", "d:Description": "Performs with hammered dulcimer and Celtic harp. Includes links to recordings, information related to harps and hammered dulcimers, and music files for download and streaming.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.classictouchmusic.net/"} +{"d:Title": "Dvorak, Melissa Tardiff", "d:Description": "Site of Harpist Melissa Tardiff Dvorak. Melissa provides harp music and lessons throughout the metro Washington DC area.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://melissadvorak.com/"} +{"d:Title": "Roos, Anne", "d:Description": "Personal information, musical samples, calendar, recordings, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://harpistanneroos.com/Home.shtml"} +{"d:Title": "McKennitt, Lorenna", "d:Description": "Canadian harpist and vocalist. Celtic music.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://loreenamckennitt.com/"} +{"d:Title": "Leonardelli, Caroline", "d:Description": "Classical concert harpist based in Ottawa, Canada. Soloist, chamber musician, and teacher.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.carolineleonardelli.com/"} +{"d:Title": "Leschied, Anita", "d:Description": "Services include solo harp performance, harp instruction and harp therapy. Upcoming performances and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://leschied.mnsi.net/"} +{"d:Title": "Mannyng, Kathryn", "d:Description": "Traditional Irish music played on the Celtic harp.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.cdbaby.com/Artist/KathrynMannyng"} +{"d:Title": "Riley, Laurie", "d:Description": "Long-time harpist, teacher and author of books on harp.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "https://laurierileymusic.com/"} +{"d:Title": "Roche, Claire", "d:Description": "Claire Roche, harpist living in Ireland.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.clairerochemusic.com/"} +{"d:Title": "Boldachev, Sasha", "d:Description": "Russian harpist and composer. Features international concert schedules, biography, photos and videos.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.boldachev.info/"} +{"d:Title": "Spero, Patricia", "d:Description": "Classically trained harpist. Biography, recordings, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Harpists", "url": "http://www.impulse-music.co.uk/patriciaspero/"} +{"d:Title": "Associazione Turlough O'Carolan", "d:Description": "Promotes Celtic music and culture. Information about the ensemble, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Organizations", "url": "http://www.arpnet.it/~carolan/"} +{"d:Title": "The American Harp Society", "d:Description": "For performers, teachers and students. Information on local chapters, membership form, resources, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Organizations", "url": "http://harpsociety.org/"} +{"d:Title": "World Harp Congress", "d:Description": "Promotes the performing arts with special emphasis on harp composition, education, appreciation and performance.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Organizations", "url": "http://worldharpcongress.org/"} +{"d:Title": "International Society of Folk Harpers and Craftsmen", "d:Description": "The ISFHC is a nonprofit organization dedicated to the art and craft of the folk harp in all its forms and traditions. The ISFHC was designed to meet the need of the individual folk harper and builder for communication with his or her fellow artists, and has over 1600 members worldwide.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Organizations", "url": "http://www.folkharpsociety.org/"} +{"d:Title": "Reigning Harps", "d:Description": "Nonprofit organization dedicated to promoting the folk harp in the Pacific Northwest. Includes event calendar, harps for sale, and links to area harpists.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Organizations", "url": "http://www.reigningharps.com/"} +{"d:Title": "Toronto Harp Society", "d:Description": "The Toronto Harp Society works to promote and foster the appreciation of the harp as a musical instrument in Toronto and surrounding areas. Site includes Toronto area harp news and links to members' websites.", "topic": "Top/Arts/Music/Instruments/Stringed/Harp/Organizations", "url": "http://www.torontoharp.org/"} +{"d:Title": "Kantelemusic.com", "d:Description": "Resources for the Kantele. Online lessons, downloads, sheet music, chord charts, articles and reviews and artist biography of site owner.", "topic": "Top/Arts/Music/Instruments/Stringed/Kantele", "url": "http://www.kantelemusic.com/"} +{"d:Title": "Kantele", "d:Description": "Description, illustration, history, and methods of playing from World Music Tradition.", "topic": "Top/Arts/Music/Instruments/Stringed/Kantele", "url": "http://wmt.chez.com/instr/kantele/"} +{"d:Title": "KotoWorld", "d:Description": "Includes introduction, tuning, popular performers, discography, mailing list, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto", "url": "http://www.fsinet.or.jp/~rslavin/koto/"} +{"d:Title": "O-Koto", "d:Description": "Introduction to the instrument, Sakura Sakura, and a virtual koto [Flash required].", "topic": "Top/Arts/Music/Instruments/Stringed/Koto", "url": "http://www.genkienglish.net/genkijapan/koto.htm"} +{"d:Title": "Koto Scores", "d:Description": "Scores for learning the koto, using Hiro, Nogi, Gaku, and Western tunings. Includes MIDI samples, sound dictionary, and a score generator.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto", "url": "http://koto.sapp.org/"} +{"d:Title": "Washington Toho Koto Society", "d:Description": "Washington, DC group of players and friends. Includes calendar of events, photo gallery, and CD ordering.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto", "url": "http://www.kotosociety.org/"} +{"d:Title": "Koto: Japanese Traditional Musical Instrument", "d:Description": "Koto information, sound clips, and instruction.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto", "url": "http://www.asahi-net.or.jp/~NP5Y-HRUC/kt-koto.html"} +{"d:Title": "Kids Web Japan: Koto", "d:Description": "Brief history of traditional instruments, parts of the koto with photographs, and an interactive virtual koto.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto", "url": "http://web-japan.org/kidsweb/virtual/koto/"} +{"d:Title": "Marimo-kai", "d:Description": "Japanese koto group performing in the San Francisco Bay Area. Contains news, pictures, and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto/Performers", "url": "http://www.asahi-net.or.jp/~mm9y-skgc/"} +{"d:Title": "Caplan, Linda", "d:Description": "Master-level performer and teacher based in Toronto, Canada. Includes her credentials, performances and classes, recordings, construction of the koto, biography of Chikushi Katsuko, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto/Performers", "url": "http://www.lindacaplan.com/"} +{"d:Title": "Obata, Reiko", "d:Description": "Southern California-based traditional and contemporary koto musician. Includes profile, concert and storytelling performance schedules, recording samples and ordering, instrument descriptions and sound clips, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Koto/Performers", "url": "http://reikoobata.com/"} +{"d:Title": "Lutherie Info", "d:Description": "A collection of technical resources on designing and building plucked stringed musical instruments, mainly electric and acoustic guitars, and basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie", "url": "http://www.liutaiomottola.com/"} +{"d:Title": "Famous Guitarmaker Internet World Headquarters", "d:Description": "Information and links for players, repairers, builders, collectors and guitar enthusiasts.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie", "url": "http://www.cybozone.com/fg/"} +{"d:Title": "Formentera Guitars", "d:Description": "Provides courses in electric guitar building. Features course pricing, dates, and accommodations. Spain.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.formentera-guitars.com/"} +{"d:Title": "American School of Lutherie", "d:Description": "California school offering hands-on training in guitar making and repair.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.americanschooloflutherie.com/"} +{"d:Title": "Roberto Venn - School of Luthiery", "d:Description": "Phoenix based school of luthiery specializing in guitar making and repair.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.roberto-venn.com/"} +{"d:Title": "Summit School of Guitar Building and Repair", "d:Description": "Offers training courses in repair and construction, covering acoustic, electric and bass guitars. Information about services and courses. [British Columbia, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.luthiers-international.com/"} +{"d:Title": "Leeds Guitarmakers' School", "d:Description": "Offer a range of basic and advanced courses and seminars in guitar construction techniques. Course catalog and contact details. [Massachusetts, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.leedsguitar.com/"} +{"d:Title": "Luthier Online", "d:Description": "Offers an online guitarmaking apprenticeship.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.cybozone.com/luthier"} +{"d:Title": "Totnes School of Guitarmaking", "d:Description": "Details a thrice-yearly 12-week guitar-making course in Totnes. Contains information and pictures of types of guitar that can be made. [Devon, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.totnesschoolofguitarmaking.co.uk/"} +{"d:Title": "Midwest Guitar Repair School", "d:Description": "Luthiers school teaches repair and building skills and shop offers repair and customizing of stringed instruments. Located in Kirkwood, MO.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://midwestguitar.com/"} +{"d:Title": "Atlanta Guitar Works", "d:Description": "Custom guitar building and repair school. Includes schedule, costs and application information. [Georgia, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Education", "url": "http://www.atlantaguitarworks.com/"} +{"d:Title": "American Lutherie", "d:Description": "Quarterly magazine by the Guild of American Luthiers. Guitar making and repair. Back issues, instrument plans, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Magazines_and_E-zines", "url": "http://www.luth.org/"} +{"d:Title": "Project Guitar", "d:Description": "Guitar resource dedicated to lutherie, mainly for solid body electrics. Includes over 190 tutorials, references, links to suppliers, gallery, article archive, and forums.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Magazines_and_E-zines", "url": "http://www.projectguitar.com/"} +{"d:Title": "Guitarmaker", "d:Description": "Quarterly journal of the Association of Stringed Instrument Artisans (ASIA), providing a sense of community and professionalism to the field of stringed instrument making and repair. Details of membership, subscription, and a discussion forum.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Magazines_and_E-zines", "url": "http://www.guitarmaker.org/"} +{"d:Title": "Guild of American Luthiers", "d:Description": "A non-profit educational membership organization, formed to advance the craft of string instrument making and repair. Includes details of membership, events and of their quarterly magazine.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Organizations", "url": "http://www.luth.org/"} +{"d:Title": "Luthiers Interactive of North Texas (LINT)", "d:Description": "A group of luthiers and repair people who meet every two months. Offer articles, tips, a gallery and meeting notes.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Organizations", "url": "http://www.lint.org/"} +{"d:Title": "Seattle Luthiers' Group", "d:Description": "An informal group of stringed-instrument builders in the Seattle area, meeting to share experience and skills. Includes schedule and members directory. [US]", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Organizations", "url": "http://www.seattleluthiers.org/"} +{"d:Title": "Guitar Building Books and Resources", "d:Description": "A photographic record of solid body guitar construction. Fret position calculator. Books on construction.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Personal_Pages", "url": "http://www.buildyourguitar.com/"} +{"d:Title": "Kalantarian, Artak", "d:Description": "An illustrated account of building a classical guitar, with drawings, tables and calculators.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Personal_Pages", "url": "http://kalantarian.org/artak/gm/"} +{"d:Title": "Crane", "d:Description": "Makoto Tsuruta is an amateur luthier in Japan; offers information on guitar making (especially Baroque instruments), with plans and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Lutherie/Personal_Pages", "url": "http://www.crane.gr.jp/indexE.html"} +{"d:Title": "The Saxon Lyre", "d:Description": "History, construction, and playing techniques.", "topic": "Top/Arts/Music/Instruments/Stringed/Lyre", "url": "http://www.cs.vassar.edu/~priestdo/lyre.html"} +{"d:Title": "Lyre of Ur", "d:Description": "A project to reconstruct a lyre - 4,750 years old (predating the Great Pyramid by 750 years). Includes technical information about construction, with details of the project.", "topic": "Top/Arts/Music/Instruments/Stringed/Lyre", "url": "http://www.lyre-of-ur.com/"} +{"d:Title": "Sumerian Bull Lyre", "d:Description": "An account of a reconstruction (for Smith College Museum of Ancient Inventions, Massachusetts) of a lyre, developed by the Sumerians of ancient Iraq around 3200 BCE.", "topic": "Top/Arts/Music/Instruments/Stringed/Lyre", "url": "http://www.smith.edu/hsc/museum/ancient_inventions/hsc02b.htm"} +{"d:Title": "The Sumerian Gold Lyre", "d:Description": "An account of one of the Sumerian stringed instruments discovered at the ancient site of Ur in 1927 by Sir Leonard Woolley. Includes a history, details of construction, and information about music of the time.", "topic": "Top/Arts/Music/Instruments/Stringed/Lyre", "url": "http://www.williamsound.com/gold_lyre_intro.html"} +{"d:Title": "Claudio Ricca Instruments", "d:Description": "Claudio Ricca Luthier. Violins, violas, cellos and other classical instruments plus restoration services. (Rome, Italy)", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.claudioricca.com/"} +{"d:Title": "Lorenzo Frignani, Liutaio", "d:Description": "Luthier building instruments in the guitar, mandolin and violin families; also offers restoration of historical instruments, and appraisal services. Online gallery and contact details. [Modena, Italy; English and Italian]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.frignanilorenzo.com/"} +{"d:Title": "Earnest Uncommon Musical Instruments", "d:Description": "Mandolins, tenor guitars, ukuleles and custom instruments. Models, prices, ukulele workshop, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.earnestinstruments.com/"} +{"d:Title": "Coog Instruments", "d:Description": "Makes, restores and repairs American and European stringed folk instruments. Includes gallery and newsletter.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.cooginstruments.com/"} +{"d:Title": "Smokey Mountain Dulcimer Works", "d:Description": "Featuring hand-made dulcimers, strum sticks, flutes, mandolins and banjos.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.smokeymountaindulcimer.com/"} +{"d:Title": "Unicorn Strings Music Company", "d:Description": "Bowed psalteries, dulcimers, harps, bodhrans and accessories. Includes FAQs, psaltery information, and company profile.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.unicornstrings.com/"} +{"d:Title": "Deatherage Music Company", "d:Description": "Texas craftsman Math Deatherage makes and repairs violins, violas, cellos, guitars, banjos and bows. Shop photos, price ranges, woods available.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.deatherage-violins.com/"} +{"d:Title": "Flatbush Stringed Instruments", "d:Description": "Victor Smith. Handmade custom mandolins and guitars, includes contact information. Vejby, Denmark.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.flatbush.dk/"} +{"d:Title": "Holst Custom Stringed Instruments", "d:Description": "Stephen Holst. Huitars and F-style mandolins. Features construction detail photos, audio samples. Creswell, Oregon USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.pacinfo.com/~sholst/"} +{"d:Title": "Smart Musical Instruments", "d:Description": "A. Lawrence Smart. Guitars and mandolin family instruments including bluegrass, octave and classic mandocellos. Testimonials, articles, prices and specifications. McCall, Idaho USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.smart-instruments.com/"} +{"d:Title": "Jordan Electric Violins", "d:Description": "Electric guitars, basses, violins, and cellos.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.jordanmusic.com/"} +{"d:Title": "Pegasus Guitars and Ukeleles", "d:Description": "Luthier Bob Gleason builds classical and steel guitars and ukuleles in Hilo, Hawaii. Includes photographs of his work, instructions for luthiers, and supplies.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.pegasusguitars.com/"} +{"d:Title": "Tim Kill Custom", "d:Description": "Builds custom stringed instruments (electric, acoustic, lapsteel and resonator guitars, basses, and upright basses; includes a gallery and artist listing. [Victoria, Australia]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://timkillcustom.com/"} +{"d:Title": "Kevin Almy", "d:Description": "A wide range of stringed instruments: from violins to archtop guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.angelfire.com/music/luthier/index.html"} +{"d:Title": "Bacorn Guitars", "d:Description": "Archtop, flat top and electric guitars and mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.bacornguitars.com/"} +{"d:Title": "Davy Stuart, Luthier", "d:Description": "Builds mandolins, mandolas, citterns, bouzoukis, guitars and Celtic percussion instruments in New Zealand. Includes craftsman's biography, specifications, pricelist and partial list of clients.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.stuart.co.nz/"} +{"d:Title": "Dearstone Mandolin Works", "d:Description": "Archtop and flat top acoustic guitars and mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.dearstone.com/"} +{"d:Title": "Decava Instruments", "d:Description": "James R. DeCava Luthier. Custom made guitars, banjos, mandolins, ukeleles and other fretted string instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.decava.com/"} +{"d:Title": "Hugo Valcke Stringed Instruments", "d:Description": "Hugo Valcke, Luthier. Handmade custom flat top guitars, bluegrass banjos, mandolins, bouzoukis and citterns, resonator guitars and dulcimers. Belgium.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.hugovalcke.be/"} +{"d:Title": "Jack Spira Guitars", "d:Description": "Offers guitars, Irish bouzoukis, and mandolins. Includes craftsman's profile, photographs, descriptions and prices. Located in Victoria, Australia.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.jackspiraguitars.com/"} +{"d:Title": "Moon Guitars", "d:Description": "Acoustic and electric guitars, mandolins, bouzoukis, mandolas, and citterns.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.moonguitars.co.uk/"} +{"d:Title": "P. W. Crump Co.", "d:Description": "(Arcata, CA, USA) Irish bouzoukis, citterns, Celtic mandolins and guitars - built, restored.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.pwcrumpco.com/"} +{"d:Title": "Sheba Musical Instruments", "d:Description": "Gavin Baird Luthier. Handcrafted guitars and mandolins made to individual specifications.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.sheba.ca/"} +{"d:Title": "Stoneman Guitars", "d:Description": "Custom Guitars and Bass Guitars since the 1960's", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.angelfire.com/pa2/STONEMANGUITARS/index.html"} +{"d:Title": "Wreck and Roll", "d:Description": "Custom bass guitars, double basses, electric guitars and other instruments made from motorcycle and classic car parts.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.wrecknroll.com/"} +{"d:Title": "Matthias Wagner", "d:Description": "Reconstruction, building, restoring and repairing of historical plucked instruments. Also supplies strings. [Badenweiler, Germany]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.lutes-strings.de/"} +{"d:Title": "Alan Carruth, Luthier", "d:Description": "Builds acoustic and classical guitars, violins, violas, harps and dulcimers. Includes photographs, prices, and information on lutherie classes.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.alcarruthluthier.com/"} +{"d:Title": "Barry Dudley", "d:Description": "Luthier creating guitars and violins; gallery of instruments, with information about his woods, workshop, and techniques. [Georgia, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.barrydudley.com/"} +{"d:Title": "Bruce Sexauer", "d:Description": "Luthier producing flat- and arch-top guitars, violins and string basses; information about instruments, current inventory, and contact details. [California, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://sexauerluthier.com/"} +{"d:Title": "CB Guitars", "d:Description": "Chris Bozung, luthier; information about his acoustic guitars and banjos, with specifications and options, testimonials, articles, and price list. [Tennessee, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.cbguitars.com/"} +{"d:Title": "Ken Miller Guitars", "d:Description": "Hand-crafted guitars (acoustic, classical and flamenco), mandolins, and ukeleles. Information about instruments, current stock, with advice about care and maintenance.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.kenmillerguitars.com/"} +{"d:Title": "Proulx Guitars and Mandolins", "d:Description": "Offers a gallery of hand-crafted and custom instruments, with an account of guitar construction, contact and oredering details. [Ontario, Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.proulxguitars.com/"} +{"d:Title": "Anahola Stringed Instruments", "d:Description": "Luthier making acoustic guitars and violins from koa wood; includes details of instruments, testimonials, and ordering instructions. [Hawaii, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.anaholastringedinstruments.com/"} +{"d:Title": "Oriskany Stringed Instruments", "d:Description": "Hand-crafted acoustic guitars and bouzoukis, and repair services; includes instrument galleries, luthier profiles, and contact details. [Pennsylvania, US]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.oriskanyguitars.com/"} +{"d:Title": "Sawchyn Guitars", "d:Description": "A Saskatchewan maker of guitars and mandolins; includes information about his instruments, pricing and ordering instructions. [Canada]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.sawchyn.com/"} +{"d:Title": "George's Bouzouki Services", "d:Description": "Custom Greek bouzoukis, repairs and restorations. Based in Australia.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.bouzoukimaker.com/"} +{"d:Title": "George Stevens", "d:Description": "Maker of handcrafted instruments such as lutes, historical harps, guitars, citterns and gitterns. Includes maker biography and details about wood used.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.gstevensluthier.co.uk/"} +{"d:Title": "Chris Allen and Sabina Kormylo", "d:Description": "Hurdy-Gurdies, lutes, mandolins and viols. Includes photos, prices and articles about the Hurdy-Gurdy.", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://www.hurdygurdy.org/"} +{"d:Title": "Sebasti\u00e1n N\u00fa\u00f1ez and Ver\u00f3nica Estevez", "d:Description": "Makers of Early Music instruments (lutes, vihuelas, early guitars and harpsichords); also offer restoration services. [Utrecht, Netherlands]", "topic": "Top/Arts/Music/Instruments/Stringed/Makers", "url": "http://home.kpn.nl/sebas-vero/"} +{"d:Title": "Mandolin Melodies Museum", "d:Description": "Exhibiting instruments and recordings from around the world. Nagoya, Japan.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www5d.biglobe.ne.jp/~mandolin/"} +{"d:Title": "MandoZine", "d:Description": "Online journal for mandolin players and fans with workshops, news, music, resources, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.mandozine.com/"} +{"d:Title": "Mandolin Chord Generator", "d:Description": "Display or print chords from user-defined settings, including left and right-handed views.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.gootar.com/folder/ukulele.html"} +{"d:Title": "Mandolin Cafe", "d:Description": "Mandolin lessons, tablature, builders, links and archives.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.mandolincafe.com/"} +{"d:Title": "Simple Gifts", "d:Description": "Collection of mandolin tablature, instructions for reading tab, and MIDI's of the songs.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.users.csbsju.edu/~eknuth/mandotab/index.html"} +{"d:Title": "Fret Not Repair", "d:Description": "Vintage mandolin repairs on Gibson and other A-Style, F-Style, Bowlback and Flatback mandolins. Newport News, Virginia USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.fretnotguitarrepair.com/Mandolin_Repairs.htm"} +{"d:Title": "Emando.com", "d:Description": "A resource for electric mandolin. Players, builders, resources, reviews, store and discussion.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.emando.com/"} +{"d:Title": "The Mandolin Archive", "d:Description": "Written and photo archive, instruments of mandolin family produced by Gibson Corporation over the years.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.mandolinarchive.com/"} +{"d:Title": "Mandolinking", "d:Description": "Links to mandolin sites, music reviews and tips for beginners.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.mandolinking.org.uk/"} +{"d:Title": "Jazzmando", "d:Description": "Jazz mandolin theory, exercises, technique, instruments and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.jazzmando.com/"} +{"d:Title": "The F5 Journal", "d:Description": "Documentation about Gibson F5 mandolins and related Lloyd Loar instruments. Reproduction parts, instrument search and editor contact.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.f5journal.com/"} +{"d:Title": "Cittern Bouzouki Octave Mandolin", "d:Description": "Comparison of these mandolin family instruments. Tunings, video, mp3s and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://cbom.free.fr/ie"} +{"d:Title": "Mandolin.org.uk", "d:Description": "Mandolin forum, artist interviews, links, reviews of luthiers and mandolin books. Events in and around the UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.mandolin.org.uk/"} +{"d:Title": "The Mandolin Page", "d:Description": "History and information about mandolin family instruments including playing instructions, photos, chords, modes, and tunings.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.banjolin.co.uk/mandolin/"} +{"d:Title": "Old Mandolin.com", "d:Description": "Vintage mandolins including Calace, Vinaccia and Embergher. Restorations by luthier Carlo Mazzaccara. Photos and prices. Naples, Italy.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.oldmandolin.com/"} +{"d:Title": "Bluegrass Mandolin", "d:Description": "Learn to play bluegrass mandolin with Tab, pictures, FAQ, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.users.waitrose.com/~john.baldry/mando/"} +{"d:Title": "Building a Mandolin", "d:Description": "Provides step-by-step instructions for a flat top acoustic mandolin project. Download plans.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.thewidgetforge.com/projects/building_mandolin_001/"} +{"d:Title": "Federation of Australasian Mandolin Ensembles", "d:Description": "Organization supporting mandolin ensembles in New Zealand and Australia. Members, tour information, ensemble reviews and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www.fame.asn.au/"} +{"d:Title": "Lower Mainland Mandolin Society", "d:Description": "Continuing the art of mandolin playing and bringing music to the community through its orchestra, the Melodious Mandolins. Burnaby, BC Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://melodiousmandolins.com/"} +{"d:Title": "Gibson Mandolins", "d:Description": "Official home page of Gibson Mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin", "url": "http://www2.gibson.com/Products/Acoustic-Instruments/Mandolin.aspx"} +{"d:Title": "Bocina, Aco", "d:Description": "Official site for performer composer with Mediterranean culture influences, based in Italy. Includes music, photos, news and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.acobocina.it/"} +{"d:Title": "Machado, Fabio", "d:Description": "Classical mandolinist. Biography, photos, repertoire, video sample downloads. Madeira, Portugal.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.fabiomachado.com/"} +{"d:Title": "Grisman, David", "d:Description": "Official site for mandolinist/composer with wide range of styles. David Grisman Quartet, biography, photos, tour dates and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.dawgnet.com/dgq_splash.html"} +{"d:Title": "Montana Mandolin Society", "d:Description": "Ensemble playing classical, old time and jazz styles, based in Bozeman, Montana USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.montanamando.com/"} +{"d:Title": "Stiernberg, Don", "d:Description": "Jazz artist based in Chicago, IL USA. Biography, concert dates, photos and sound clips.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.donstiernberg.com/"} +{"d:Title": "The Providence Mandolin Orchestra", "d:Description": "Information about the orchestra, CDs, performances, repertoire, articles, and MP3 samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.mandolin-orchestra.org/"} +{"d:Title": "The Baltimore Mandolin Orchestra", "d:Description": "Information about the orchestra and its history, CDs, mp3 samples, concert schedule, mailing list. Maryland USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.baltimoremandolinorchestra.org/"} +{"d:Title": "Mando Mafia", "d:Description": "Performing group playing Appalachian old-time, jazz, bluegrass and world music. Reviews, recordings, concert schedule and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.mandomafia.com/"} +{"d:Title": "Gladd, Neil", "d:Description": "Classical artist and composer. Includes biography, schedule, recordings, reviews, photos and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.neilgladd.com/"} +{"d:Title": "Marshall, Mike", "d:Description": "Artist and composer in jazz, classical, bluegrass and Latin styles. Biography, discography, concert schedule and photos.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.mikemarshall.net/"} +{"d:Title": "Mandolin Express", "d:Description": "Quartet featuring mandolin music from around the world. Member biographies, concert schedule, CD sample downloads. Bristol, Great Britain UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.mandolinexpress.co.uk/"} +{"d:Title": "Taylor, Frances", "d:Description": "Classical mandolin soloist and teacher.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.taylor-mandolin.com/"} +{"d:Title": "Stephens, Alison", "d:Description": "Classical mandolinist and teacher. Biography, collaborations, CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.alisonstephens.com/"} +{"d:Title": "Baldassari, Butch", "d:Description": "Performer and teacher in various styles, founder of Nashville Mandolin Ensemble. Biography, concert schedule, CDs and instruction resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.soundartrecordings.com/"} +{"d:Title": "Seattle Mandolin Orchestra", "d:Description": "Styles encompassing baroque, classical, jazz and folk traditions. History, concert schedule and joining information. Washington USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.seattlemandolin.org/"} +{"d:Title": "Zenkl, Radim", "d:Description": "Performer, composer and teacher in variety of styles. Biography, schedule, recordings and booking information.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.zenkl.com/"} +{"d:Title": "Mair, Marilynn", "d:Description": "Classical mandolinist. Biography, concert dates, articles, reviews, sheet music and CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.marilynnmair.com/"} +{"d:Title": "Simon Mayor's Mandolin Pages", "d:Description": "Performer with Hilary James and Mandolinquents. Tours, sound clips, children's music, and UK mandolin resources.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.mandolin.co.uk/"} +{"d:Title": "Norman, Matt", "d:Description": "Performer, teacher and composer specializing in traditional English music. Biography, recordings and contact information. Devon, England UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.mattnorman.org/"} +{"d:Title": "Ostroushko, Peter", "d:Description": "Official website for mandolin and fiddle player, composer. Biography, schedule, CDs, musical recipes and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.peterostroushko.com/"} +{"d:Title": "Smith, Becky", "d:Description": "Performer and teacher in various styles. Jam session pictures, biography. Treasure Valley, Idaho, USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.smithfowler.org/music/"} +{"d:Title": "Rozum, Tom", "d:Description": "Mandolinist and vocalist. Biography, discography and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.tomrozum.com/"} +{"d:Title": "Amsterdam Mandolin Chamber Orchestra", "d:Description": "Repertory, reviews, schedule, CDs, photographs, booking information. Netherlands.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.amtg.nl/"} +{"d:Title": "The Fretful Federation", "d:Description": "Classical mandolin orchestra. History, concert schedule, rehearsal information, links. Brighton, England UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Bands_and_Artists", "url": "http://www.fretful-federation.co.uk/"} +{"d:Title": "Mowry Stringed Instruments", "d:Description": "Andrew Mowry. F5 and A-style mandolins, flat-top Irish bouzoukis. Bend, Oregon, USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://mowrystrings.com/"} +{"d:Title": "Manndolins", "d:Description": "Jonathan Mann. Acoustic A and F-style crafted in Appalachian mountain tradition, electric solid body, includes photos. Nashville, Tennessee USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.manndolins.com/"} +{"d:Title": "Phoenix Mandolins", "d:Description": "Rolfe Gerhardt. Models for bluegrass, classical, jazz, and Celtic music. South Thomaston, Maine USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.phoenixmandolins.com/"} +{"d:Title": "Red Diamond Mandolins", "d:Description": "Don MacRostie. A and F-style mandolins with Buzz Feiten tuning system. Construction details, building techniques, shop photos. Athens, Ohio USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.reddiamondmandolins.com/"} +{"d:Title": "Paul Shippey", "d:Description": "Builds oval and f-hole mandolins, octave mandolins and bouzoukis. Includes photos and prices. Bristol, England UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.paulshippey.co.uk/"} +{"d:Title": "Daley's Instruments and Repair", "d:Description": "Sim Daley. Custom mandolins and guitars. Includes photos, prices, and musician endorsements. Nashville, Tennessee USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.simdaley.com/"} +{"d:Title": "Ratliff Mandolins", "d:Description": "Audey Ratliff. Handcrafted in Southern tradition. Includes photos, artist reviews and ordering information. Church Hill, Tennessee USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.ratliffmandolins.com/"} +{"d:Title": "Macica Mandolins", "d:Description": "Martin Macica. Violin maker who also builds custom hand carved F-style mandolins. Photos, sound clips, video. Schuylerville, New York USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.macica.com/"} +{"d:Title": "Moon Beam Mandolins", "d:Description": "Robertson family. Custom mandolins in the tradition of Stradivarius graduation carving. Two Point, A and F-Styles. Ozarks region, USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.moonbeammandolins.com/"} +{"d:Title": "Smith Creek Mandolin and Tonewood", "d:Description": "David Smith. F-style bluegrass mandolins. Photos, artists, Adirondack red spruce tonewood. Westerly, Rhode Island USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.smithcreekmandolin.com/"} +{"d:Title": "Pomeroy Instruments", "d:Description": "Don Paine. Custom built Gibson mandolin replicas and mandolas. Photo gallery, specifications and testimonials. Glenwood Springs, Colorado USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.pomeroyinstruments.com/"} +{"d:Title": "Brentrup Mandolins", "d:Description": "Johann D. Brentrup. Model descriptions, player reviews, photos. Minneapolis, Minnesota USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.brentrup.com/"} +{"d:Title": "Apitius Mandolins", "d:Description": "Oliver Apitius. Models, prices, construction photos, artist discography. Shelburne, Ontario Canada.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.apitiusmandolins.com/"} +{"d:Title": "Thomas Buchanan Instruments", "d:Description": "Thomas Buchanan Luthier Maker of mandolin family instruments. Based in Inverness, Scotland.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.folkmandolin.co.uk/"} +{"d:Title": "Hill Mandolins", "d:Description": "Bradley Hill. Custom built to musician's tone and aesthetic preferences. Assembly and finishing photos, prices, Ralph Stanley endorsement. Haysi, Virginia USA.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.hillmandolins.com/"} +{"d:Title": "Weber Fine Acoustic Instruments", "d:Description": "Montana USA. Offers hand-built mandolins, mandolas, octave mandolins, mandocellos, arch top guitars, resophonic guitars and custom instruments. Includes profile, product details, accessories, store locator, and contact details.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.soundtoearth.com/"} +{"d:Title": "Clark Mandolins", "d:Description": "Austin Clark. Handcrafted F5 and 2-point mandolins. Includes descriptions, photographs and price list. Boise, Idaho.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.clarkmandolins.com/"} +{"d:Title": "Brian N. Dean Luthier", "d:Description": "Independent Luthier. Historically influenced method and design. Handcrafted in Cape Breton, Nova Scotia.", "topic": "Top/Arts/Music/Instruments/Stringed/Mandolin/Luthiers", "url": "http://www.labraid.ca/"} +{"d:Title": "Saiteninstrumente Salem", "d:Description": "Maker of fine plucked stringed instruments and strings based in Germany.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.leierbau.de/"} +{"d:Title": "Hora Musical Instruments", "d:Description": "Offers a complete line of bowed stringed and plucked instruments. Based in Romania.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.hora.ro/"} +{"d:Title": "Gretsch", "d:Description": "Guitars and basses. Models and price list, warranty details, history and dealer finder. Located in Scottsdale, Arizona, United States.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.gretschguitars.com/"} +{"d:Title": "Ovation", "d:Description": "Guitars, basses and mandolins. Product list, dealer search, warranty, news, history.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.ovationguitars.com/"} +{"d:Title": "Ned Steinberger", "d:Description": "Electric stringed instruments. Basses, cellos, violins, and violas. Includes FAQs, prices, dealer details, instructions and news.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.nedsteinberger.com/"} +{"d:Title": "B-Band, Inc.", "d:Description": "Manufacturer of pickups for acoustic guitar, double bass and violin. Includes product information, news, reviews, forum, dealer list and company profile.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.b-band.com/"} +{"d:Title": "D'Addario", "d:Description": "Manufacturer of instrument strings. Includes company profile and history, FAQs, catalogs, dealer lists, forums, news and job opportunities.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.daddario.com/"} +{"d:Title": "National Reso-Phonic Guitars", "d:Description": "Single and tri-cone wood and metal body resonator guitars, ukeleles, and mandolins.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.nationalguitars.com/"} +{"d:Title": "Price Korea Music Co.", "d:Description": "Parts and accessories for stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.yhim.co.kr/"} +{"d:Title": "Moses Graphite", "d:Description": "Graphite stringed instrument products including electric guitar and bass necks, EUBs, classical stringed instrument components, acoustic guitar parts and graphite re-enforcement. Product catalog, pictures, descriptions, dealer listings, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.mosesgraphite.com/"} +{"d:Title": "Fylde Guitars", "d:Description": "Makes instruments from the guitar, mandolin, bouzouki and cittern families, for the professional and serious amateur. Details, prices, press reviews, and history of the company. [Penrith, UK]", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.fyldeguitars.com/"} +{"d:Title": "Johnson Musical Instruments", "d:Description": "Manufacture resonator, acoustic, electric, and steel guitars, folk stringed instruments, and amplifiers. Details of products, artist profiles, and dealer listing.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.johnsongtr.com/"} +{"d:Title": "Luis and Clark Carbon Fibre Instruments", "d:Description": "Manufacturer of professional carbon fibre stringed instruments located in the US.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.luisandclark.com/"} +{"d:Title": "Golden Star Musical Instruments Co Ltd", "d:Description": "Manufacturer of all types of fretted musical instruments, both acoustic and electric. Located in China.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.golden-star.com.cn/"} +{"d:Title": "Elite Music Brands.", "d:Description": "Elite Music Brands - Parent company of Michael Kelly Guitars, Chafin Custom Guitars, Custom Shop Parts and Traben Basses.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.elitemb.com/"} +{"d:Title": "Michael Kelly Guitar Company", "d:Description": "The Michael Kelly Guitar Company manufactures and sells a complete line of stringed instruments direct to musicians. Includes acoustic basses, mandolins, acoustic guitars, jazz guitars and resonators.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.michaelkellyguitars.com/"} +{"d:Title": "Herb Meistergitarren", "d:Description": "Fine hand crafted guitars from Bavaria, Germany", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.herb-gitarren.de/"} +{"d:Title": "Vector Instruments", "d:Description": "Nova Scotian luthier making electric violins, electric cellos and electric upright basses integrating state of the art electronics with select hardwoods.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.vectorinstruments.com/"} +{"d:Title": "Ray Cooper Guitars", "d:Description": "Custom builder of Acoustic and Electric Guitars, Bass Guitars, Banjos, Mandolins and Dulcimers. Located in Hertfordshire, UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.raycooperguitars.com/"} +{"d:Title": "Halo Guitars", "d:Description": "Halo Guitars of California creates standard and custom designed electric guitars and bass guitars.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.haloguitars.com/"} +{"d:Title": "Osborne Guitars and Mandolins", "d:Description": "Maker of fine guitars and mandolins. Also runs international guitar making courses based in the UK.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.osborneguitars.co.uk/"} +{"d:Title": "Milan Ciz Guitars", "d:Description": "Custom guitar manufacturer of acoustic and electric instruments located in Slovakia.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.cizguitars.com/"} +{"d:Title": "Tanglewood Guitars", "d:Description": "British manufacturer of acoustic and electric guitars and basses as well as other stringed instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.tanglewoodguitars.co.uk/"} +{"d:Title": "Tae Sung Precision", "d:Description": "Manufacturers of bass and guitar parts. China.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://i-taesung.com/"} +{"d:Title": "Oscar Schmidt", "d:Description": "Division of Washburn manufactures guitars (mostly acoustic), autoharps, and ukuleles. Current models, dealer locator, price list.", "topic": "Top/Arts/Music/Instruments/Stringed/Manufacturers", "url": "http://www.oscarschmidt.com/"} +{"d:Title": "Faruk T\u00fcr\u00fcnz Oud Shop", "d:Description": "Oud maker whose shop is located in Istanbul, Turkey. Includes information on the mathematical formulas for calculating oud brace resonances. In Turkish and English.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.oudmaster.com/"} +{"d:Title": "Al 'Ud", "d:Description": "Performance tips and music theory.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.brianprunka.com/oud/"} +{"d:Title": "Al 'Ud", "d:Description": "The early history of the oud, leading up to the development of the European lute.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://easyweb.easynet.co.uk/~orpheus/page0c.htm"} +{"d:Title": "Mike's Ouds", "d:Description": "An Arabic oud website with pictures, RealAudio and MP3 samples of compositions and taqsims, discussion forum, and links to other oud information.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.mikeouds.com/"} +{"d:Title": "Oud - Nikos Dimitriadis", "d:Description": "Information on Greek ouds and playing traditions, with Windows Media samples of taksims. In English and Greek.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.oud.gr/"} +{"d:Title": "Pablo's Oud Page", "d:Description": "An informative oud site for beginner players, as well as anyone else interested in ouds.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://oudpage.tripod.com/"} +{"d:Title": "Oud Cafe", "d:Description": "Basic information about the oud and Ottoman music, including tuning systems, modes, hand positions, and exercises.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.oudcafe.com/"} +{"d:Title": "Peter Kyvelos Receives National Heritage Fellowship", "d:Description": "Hellenic Communication Service profile of Kyvelos, oud maker based in Boston, Mass.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.helleniccomserve.com/kyvelos.html"} +{"d:Title": "Dimitris Rapakousios: Luthier/ Oud Maker", "d:Description": "Luthier who specializes in ouds, lavtas, and sazes. Based in Athens, Greece. Includes pictures and sound files of his instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.dimitrisouds.com/"} +{"d:Title": "The Oud", "d:Description": "Extensive introduction to playing the makams (maqams) on the oud, by David Parfitt. Contains musical notation of many popular makams, both in Arabic and Turkish systems.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.oud.eclipse.co.uk/"} +{"d:Title": "Oudmaker Dincer Dalkilic", "d:Description": "Basic information about the oud and makam, as well as an oud making photo show.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.oudmaker.com/"} +{"d:Title": "Ain Arab (The Spring)", "d:Description": "A journal of Jameel Abraham's experiments with making Turkish-style ouds. Includes step-by-step pictures and description.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.khalafoud.com/Jameel_OudConstruction.htm"} +{"d:Title": "Quick Intro to the Oud", "d:Description": "This article, by D. Glenn Arthur Jr., is geared for guitarists. Includes oud pictures.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.dglenn.org/chords/oud-for-guitarists.html"} +{"d:Title": "Dr. Oud", "d:Description": "Information on oud repair and construction, by Richard Hankey.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud", "url": "http://www.droud.com/"} +{"d:Title": "Mukhtar, Ahmed", "d:Description": "An Iraqi oud player and composer who has studied numerous traditional Arabic music styles in depth. With profile, audio, press, instrument information, and CDs.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists", "url": "http://www.amukhtar.com/"} +{"d:Title": "Issa Boulos", "d:Description": "Official site for this Palestinian composer and 'ud player. Includes biography, sound and scores downloads and schedule of events.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists", "url": "http://www.issaboulos.com/"} +{"d:Title": "Muhssin, Saed", "d:Description": "San Francisco oud performer and Arabic music teacher. Contains background information on the oud, course information, and biography.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists", "url": "http://www.saedmuhssin.com/"} +{"d:Title": "Armenian Oud Makers", "d:Description": "Provides some biographical information on Armenian luthiers of the past 200 years. With photographs.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists", "url": "http://www.varjouds.com/"} +{"d:Title": "Mehmet Polat", "d:Description": "Biographical and release information, video clips, and performance schedule for this Amsterdam-based oud performer.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists", "url": "http://www.mehmetpolat.net/"} +{"d:Title": "All Music Guide: Hamza el Din", "d:Description": "Includes discography and brief biography, as well as influences and collaborations.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists", "url": "http://www.allmusic.com/artist/hamza-el-din-p3267"} +{"d:Title": "Tahar, Samir", "d:Description": "Algerian oud player, singer, and composer. With biography, album information and sound samples.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists", "url": "http://samir.tahar.pagesperso-orange.fr/index_en.html"} +{"d:Title": "All Music Guide: Anouar Brahem", "d:Description": "Contains a brief biography, discography, and photo.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists/Brahem,_Anouar", "url": "http://www.allmusic.com/artist/anouar-brahem-p3225"} +{"d:Title": "All Movie Guide: Anouar Brahem", "d:Description": "Listing of films Brahem has composed scores for.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists/Brahem,_Anouar", "url": "http://www.allmovie.com/artist/anouar-brahem-p188732"} +{"d:Title": "Yair Dalal", "d:Description": "Israeli composer, oudist, and violinist. Includes biography, discography, concert schedule, mailing list, and reviews. [English and French]", "priority": "1", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists/Dalal,_Yair", "url": "http://www.yairdalal.com/"} +{"d:Title": "All Music Guide: Yair Dalal", "d:Description": "Contains biography, discography, and links to his Israeli and world music collaborations.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists/Dalal,_Yair", "url": "http://www.allmusic.com/artist/yair-dalal-p211798"} +{"d:Title": "Marcel Khalife - Nagam Cultural Project", "d:Description": "Includes selections of Khalife's work, picture album and critical reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Oud/Oudists/Khalife,_Marcel", "url": "http://www.marcelkhalife.com/"} +{"d:Title": "Sitar", "d:Description": "Includes links, artists, book recommendations, streaming audio, and a brief description about the Indian sitar.", "topic": "Top/Arts/Music/Instruments/Stringed/Sitar", "url": "http://chandrakantha.com/articles/indian_music/sitar.html"} +{"d:Title": "Rock That Uke", "d:Description": "Official website for the documentary. Production news, image gallery, and filmmaker biographies.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://rockthatuke.com/"} +{"d:Title": "The Uke", "d:Description": "Instructional resource for the ukulele, includes lessons, tuning tips, sheet music and mp3s.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.theuke.com/"} +{"d:Title": "Ukulele Hall of Fame Museum", "d:Description": "Duxbury, Massachusetts organization dedicated to preserving ukulele history. Events, artists, membership information, and online shop.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://ukulele.org/"} +{"d:Title": "The Ukulele Diner", "d:Description": "Articles, pictures, history, resources, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://ukediner.ukulele.org/"} +{"d:Title": "'Ukuleles", "d:Description": "Sam Nihipali and Daniel Yuen's detailed instructions on making your own ukulele.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://makeaukulele.tripod.com/"} +{"d:Title": "Bounty Music Hawaii Ukulele Guide", "d:Description": "Links to related sites. Also Hawaiian Koa ukuleles, hula implements, and a chord chart.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.ukes.com/"} +{"d:Title": "Tiki King's Uke Stuff", "d:Description": "Tiki King's online home of his ukulele obsession.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.tikiking.com/uke_stuff.html"} +{"d:Title": "Ukulelestrummers.com", "d:Description": "Chords and positions, Hawaiian songs, and fretboard layout.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.ukulelestrummers.com/"} +{"d:Title": "Ukulele Boogaloo", "d:Description": "Alligator Boogaloo's ukulele fun site. Songs, tabs, photos, games, and cartoon drawings by artist Jerrold Connors.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.alligatorboogaloo.com/uke"} +{"d:Title": "Catfish Carl's Closet", "d:Description": "Community photo album, plus galleries of plastic ukes, and oddities.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://catfish1952.com/index.html"} +{"d:Title": "Ukuleles for Sanity", "d:Description": "Ukulele-related political action organization.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.ukesanity.org/"} +{"d:Title": "Online Ukulele Chord Generator", "d:Description": "Over 1 million chords in an easy to read format. Display or print a list of chords in any tuning.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.gootar.com/folder/ukulele.html"} +{"d:Title": "Ukulele Guide", "d:Description": "Detailing the history, types, performers, and suitable music, with free downloadable mp3 files of public domain songs from the early twenties.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://ukuleleguide.com/"} +{"d:Title": "Uke101", "d:Description": "Songs, tabs, vintage sheet music, and ukulele tutorials. Plus uke shopping, classifieds, and free email.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.ezfolk.com/uke/"} +{"d:Title": "UKE.EXE", "d:Description": "Download site for IBM compatible program which reads ASCII files of lyrics and chords for guitar and generates uke chords.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.jerrydallal.com/UKE.HTM"} +{"d:Title": "Roy Sakuma Productions", "d:Description": "Record label features ukulele talent. History, calendar, store, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.roysakuma.net/"} +{"d:Title": "The Fluke Museum", "d:Description": "A fan-site compendium of all the incredible styles and designs of the best little ukulele in the whole wide world, the Fluke!", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://flukemuseum.blogspot.com/"} +{"d:Title": "Ukulele Review", "d:Description": "Instrument, accessory, CD, DVD and Book reviews relating to the ukulele", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://ukulelereview.com/"} +{"d:Title": "Ukulele Porn", "d:Description": "A ukulele blog site with pictures, news, songs and anything else ukulele related.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.ukuleleporn.com/"} +{"d:Title": "All About Ukuleles", "d:Description": "If you wonder how the ukulele came into being, and why it has such a funny name, you are not alone. Learn some fun facts about ukuleles here.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.easyukulele.com/"} +{"d:Title": "The Banjo Ukulele Haven", "d:Description": "Includes a wealth of information concerning the history of banjo ukuleles, the companies that made them, and the various types.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.banjoukes.com/"} +{"d:Title": "Ukulele Hunt", "d:Description": "Tabs, chords, songs, videos, lessons, buying tips, ebooks, news and performers.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://ukulelehunt.com/"} +{"d:Title": "Combative Ukulele", "d:Description": "Punk ukulele chords, tablature and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://zobrien.tripod.com/uke.html"} +{"d:Title": "Flea Market Music", "d:Description": "Community for ukulele players, informative books, CDs, and ukulele songbooks.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.fleamarketmusic.com/"} +{"d:Title": "Live 'Ukulele", "d:Description": "Tabs, lessons, and info for all levels of 'ukulele enthusiasts", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://liveukulele.com/"} +{"d:Title": "Ukulele Tabs", "d:Description": "User contributed source of ukulele tabs", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele", "url": "http://www.ukulele-tabs.com/"} +{"d:Title": "Rock That Uke", "d:Description": "A documentary about (and website directory for) post-punk ukulele performers.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://rockthatuke.com/"} +{"d:Title": "Whitcomb, Ian", "d:Description": "Singer, songwriter, and performer. Biography, photo gallery, song samples, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.picklehead.com/ian.html"} +{"d:Title": "Ukulele Orchestra of Great Britain", "d:Description": "Internationally known all-ukulele touring chamber ensemble. Member biographies, discography, reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.ukuleleorchestra.com/"} +{"d:Title": "Humuhumunukunukuapua'a", "d:Description": "All ukulele band. Member biographies, past engagements, and recordings.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://ukediner.ukulele.org/humu2.html"} +{"d:Title": "Harker, Tom. \"Ukulele Man\"", "d:Description": "Featuring his CD, Sumonjimelele.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.ukuleleman.8m.com/about.html"} +{"d:Title": "Klein, Janet", "d:Description": "Songs from the early 1900's. Pictures, CD track listing, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.janetklein.com/"} +{"d:Title": "Uke Til U Puke", "d:Description": "Power pop, speed metal, and punk rock ukulele band. History, pictures, song list, merchandise, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://mycomputerhatesme.com/utup/index.htm"} +{"d:Title": "Jackson, Victoria", "d:Description": "Official site of this former Saturday Night Live cast member and uke fan. Listen to her songs in RealAudio.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.victoriajackson.com/"} +{"d:Title": "Cheap Suit Serenaders", "d:Description": "Information on Robert Crumb and his jazz band. Recordings, pictures, history, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.timshome.com/css/"} +{"d:Title": "Nalu Music", "d:Description": "Ukulele virtuoso John King, author and historian.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.nalu-music.com/"} +{"d:Title": "The George Formby Society", "d:Description": "Information on the group, membership information, discography, history, archives, and links.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.georgeformby.co.uk/"} +{"d:Title": "Capello, Phyllis - Ukulele Lady", "d:Description": "Locate in Brooklyn, New York. Personal information, resume, pictures, publications, and reviews.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://ukulelelady.com/"} +{"d:Title": "Shaw, Ralph", "d:Description": "King of the Ukulele, a crooning musical and comedy entertainer in the British Musical-Hall tradition. Songs of the 30s and 40s sung with unique flair and humour. Vancouver, BC.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.ralphshaw.ca/"} +{"d:Title": "Eastwood, Andy", "d:Description": "Ukulele-banjo musician and entertainer.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.andyeastwood.com/"} +{"d:Title": "Hill, James", "d:Description": "Performer from Langley, British Columbia. Includes biography, photographs, CD information, and a performance calendar.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.ukulelejames.com/"} +{"d:Title": "Langley Ukulele Ensemble", "d:Description": "For over 20 years, this internationally known youth ensemble has been performing. CD info and calendar.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.langleyukes.com/"} +{"d:Title": "BC Ukulele", "d:Description": "Community site for students, teachers, and uke enthusiasts in British Columbia, Canada", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.bcukulele.org/"} +{"d:Title": "Herb Ohta, Junior", "d:Description": "Official site of teacher, composer, entertainer, producer, and award-winning recording artist. (In English and Japanese)", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.herbohtajr.com/"} +{"d:Title": "Tony Penultimate", "d:Description": "Ukulele Orchestra Performer and Composer", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.tonypenultimate.com/"} +{"d:Title": "Soft Charisma", "d:Description": "Lo-Fi Folk music group started by Irving musician Scott Kingsley Clark. The primary instrument used is the Baritone Ukulele.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.softcharisma.com/"} +{"d:Title": "Gardener, Taimane", "d:Description": "Photos, videos, events, store, and bio for this Hawaiian ukulele artist.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://taimane.com/"} +{"d:Title": "Bishops Stortford Ukulele Society", "d:Description": "Ukulele group based in Hertfordshire, UK. Includes profile, sound clips, videos, gig dates and the regional ukulele scene.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.bsus.co.uk/"} +{"d:Title": "Harvey, Clive", "d:Description": "Musician, Songwriter, Writer, experienced player and teacher of ukulele and guitar. He teaches private lessons at home in Weybridge but runs workshops and plays gigs all over southern England.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://www.cliveharvey.net/"} +{"d:Title": "Petawawa Ukulele", "d:Description": "Petawawa Ukulele Circle is a place for enthusiasts to meet monthly to strum, sing&learn. Announcements, song lists. Petawawa, Ontario.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Bands_and_Artists", "url": "http://petawawaukulele.wordpress.com/"} +{"d:Title": "Hana Lima 'Ia", "d:Description": "Teaches ukulele making. Schedule, roster, pictures, and contact information.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Builders", "url": "http://www.hanalima.com/"} +{"d:Title": "Kanile'a 'Ukulele", "d:Description": "Handcrafted standard to professional level ukuleles.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Builders", "url": "http://www.kanileaukulele.com/"} +{"d:Title": "Ukuleles by Kawika", "d:Description": "Color photos, sound files, information on acoustics, wood, and Hawaiian instruments.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Builders", "url": "http://www.ukuleles.com/"} +{"d:Title": "Glyph Custom Ukuleles", "d:Description": "Fine, custom-built ukuleles with your choice of woods, trim and features.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Builders", "url": "http://www.glyphukulele.com/"} +{"d:Title": "The Ukulele Guild of Hawaii", "d:Description": "Dedicated to the advancement and sharing of ukulele making techniques.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Builders", "url": "http://www.ukuleleguild.org/"} +{"d:Title": "U for Ukulele: a classroom method for young children", "d:Description": "12-week study unit designed for 6-8 year-olds, with 24 sequenced lessons for soprano (Low \"A\") ukulele.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Education", "url": "http://ssdsbstaff.ednet.ns.ca/wdobson"} +{"d:Title": "Ukulele Chord Finder - Sheep Entertainment", "d:Description": "Marcel van der Zwet has created an interactive page that will give you the tabs for any chord, for any standard tuning of ukulele.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Education", "url": "http://www.sheep-entertainment.nl/ukulele/chordfinder.html"} +{"d:Title": "San Francisco Bay Area Hawaiian Music Instruction", "d:Description": "Contact information for ukulele lessons in Northern California.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Education", "url": "http://pw1.netcom.com/~halkop/instruct.html"} +{"d:Title": "Ukulele Beatles Fun!", "d:Description": "Ukulele chords to 63 Beatles songs.", "topic": "Top/Arts/Music/Instruments/Stringed/Ukulele/Education", "url": "http://beatlesite.info/index.html"} +{"d:Title": "Carnatic Music Instrument: Veena", "d:Description": "Description, photograph, and music samples by Sujana Vadlamani.", "topic": "Top/Arts/Music/Instruments/Stringed/Veena", "url": "http://www.angelfire.com/sd/sarma/veena.html"} +{"d:Title": "Pariti, Smt. Rajeswari", "d:Description": "Indian veena artist and teacher based in the US. Includes profile, performance listings, reviews, and audio sample.", "topic": "Top/Arts/Music/Instruments/Stringed/Veena/Artists", "url": "http://rpariti.tripod.com/"} +{"d:Title": "Contrabass Mania", "d:Description": "Gateway to rare and unusual deep-voiced instruments including the contra-bassoon and contra-bass saxophone as well as the sarrusophone and serpent. Includes pictures, sounds, discography, and free classified ads.", "topic": "Top/Arts/Music/Instruments/Winds", "url": "http://www.contrabass.com/"} +{"d:Title": "Piper and Drummer Magazine", "d:Description": "Online version of the quarterly international publication on the Scottish arts published by the Pipers and Pipe Band Society of Ontario, Canada. With news, reviews, features and profiles.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.pipesdrums.com/"} +{"d:Title": "Caber Records", "d:Description": "Record label dedicated to bagpipe music. Features a glossary, media downloads, and news.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://caber-records.com/"} +{"d:Title": "Uillean Pipes References", "d:Description": "Listing of Uillean Pipes supplies, tips, music and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.daye1.com/"} +{"d:Title": "Pibroch.net", "d:Description": "Promoting classical Gaelic piping to a global audience. Articles, CD recommendations, and links for piobaireachd.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://pibroch.net/"} +{"d:Title": "Bagpipe FAQ and Resources", "d:Description": "Questions and answers for common bagpiping questions for the rec.music.makers.bagpipe newsgroup.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.xmission.com/~redbeard/rmmb_fom/cache/1.html"} +{"d:Title": "The Bagpipe Web Directory", "d:Description": "A guide to bagpiping on the web.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.bobdunsire.com/bagpipeweb/"} +{"d:Title": "The Universe of Bagpipes", "d:Description": "Photos and descriptions of over 30 kinds of bagpipes from many countries, history, sound samples, and construction information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.hotpipes.com/"} +{"d:Title": "Ross Bagpipe Reeds", "d:Description": "Bagpipe reeds, zipped and clamped canister pipe bags.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.rossbagpipereeds.com/"} +{"d:Title": "Northumbrian Smallpipes Encyclopaedia", "d:Description": "Historical and technical articles on Northumberland's traditional instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.nspipes.co.uk/nsp/"} +{"d:Title": "Bagpipe Journey", "d:Description": "Reference material for pipers of all levels. Covers care of the instrument, history, competition tips, and information on bands and artists.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.bagpipejourney.com/"} +{"d:Title": "Scottish Piper", "d:Description": "Free online bagpipe lessons, with free help and support from a qualified tutor. Lessons go from the basics to full tunes, including some musical theory.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.scottishpiper.net/"} +{"d:Title": "Uilleann Pipes Obsession", "d:Description": "Information about the Uilleann Pipes, and the Southern California Pipers' Club. With news, calendar of events, biographies of Uilleann pipers, links and a shop.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.uilleannobsession.com/"} +{"d:Title": "Regimental Drum Major Association", "d:Description": "Information to current and aspiring Drum Majors at no cost.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.drummajor.net/"} +{"d:Title": "The VOICE Online", "d:Description": "The official online publication of the Eastern United States Pipe Band Association (EUSPBA).", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.euspba.org/"} +{"d:Title": "Introduction to Bagpipe Music", "d:Description": "An introduction to bagpipe music for the non-piper. How to listen to and appreciate bagpipe music.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.mcnabbs.org/andrew/comments/pipemusic/"} +{"d:Title": "Pitch Pipe Bagpipe Tuner", "d:Description": "Real-time pitch detection tuning software for the great Highland bagpipe.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.pitchpipetuner.com/"} +{"d:Title": "Swedish bagpipes", "d:Description": "Offers background, history and technical description of the Swedish bagpipes. Also includes tips on how to play, and music scores for traditional tunes.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://user.it.uu.se/~crwth/bagpipes/swedish/"} +{"d:Title": "The Bagpipes of Poitou", "d:Description": "History and background information on the bagpipes of Brittany, with links to Breton culture.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.meloche.net/bagpipes.htm"} +{"d:Title": "Canadian International Military Tattoo", "d:Description": "The former Hamilton Tattoo. Includes a list of performers and directors, ticket details, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.canadianmilitarytattoo.ca/"} +{"d:Title": "Drum Major", "d:Description": "Information and on-line tuition for Drum Majors all over the world. Includes drumming videos, and instructions on how to wear the drumming uniform.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.drummajor.co.uk/"} +{"d:Title": "March Strathspey and Reel Guide Tempo Calculator", "d:Description": "Allows the calculation of guide march, strathspey and reel tempos based upon data gathered from over 670 Grade 1 standard digitally recorded performances from 1987 to present. Also provided are data on MSR tempo trends over the years.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.ballarat.edu.au/associations/pipeband/msr/msrcalc.htm"} +{"d:Title": "De Nationale Doedeldag", "d:Description": "The Dutch Annual Bagpiper's day is the main event for bagpiping in the Netherlands. With information on events, news and bands, as well as a forum. [In Dutch and English]", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://home.online.nl/doedelzakken/"} +{"d:Title": "Pipes&Drums Webring", "d:Description": "Links the sites of pipers and pipe bands. World-wide membership.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe", "url": "http://www.webring.org/hub?ring=bagpipeband"} +{"d:Title": "Tickell, Kathryn", "d:Description": "Performer of Northumbrian folk music. Smallpipe and fiddle player. Information, tour dates, and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.kathryntickell.com/"} +{"d:Title": "Cato, Pauline - Northumbrian Smallpiper", "d:Description": "News, tour dates, reviews, discography, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.tomcatmusic.com/"} +{"d:Title": "Cotter, Bruce - Highland bagpiper and instructor", "d:Description": "(San Jose, Silicon Valley, San Francisco Bay) Bagpipe performer and teacher. Biography, discography, media and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.mantismusic.com/"} +{"d:Title": "Lawther, Ian - Scottish, Irish and Northumbrian Bagpiper", "d:Description": "(Silver Spring, Maryland) Professional piper and piping instructor, available for weddings, funerals, and other events. Read his biography, and learn about his cds, with mp3 available for download.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.travelingpiper.com/"} +{"d:Title": "Keith, Alan", "d:Description": "(California) Biography, performance information, pictures, and discography of the bagpiper.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.keithmusic.com/"} +{"d:Title": "Taylor, Becky - Irish Uilleann Piper and Folk Musician", "d:Description": "Well renowned female Irish piper in England. With biography, discography and media.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.beckytaylor.info/"} +{"d:Title": "Rickard, Leo - Uillean Bagpiper", "d:Description": "Uillean pipe player in Dublin, Ireland, playing the traditional Celtic music. With biography, discography, sounds samples and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.leopipinghot.com/"} +{"d:Title": "Rowsome, Kevin - Uilleann Bagpiper", "d:Description": "Features Kevin\u00b4s biography, including newspaper articles, pictures and information on his musical career and his recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.esatclear.ie/~rowsome/kevin.htm"} +{"d:Title": "Creager, John and Jeannie", "d:Description": "Personal information, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.sonic.net/~jcreager/"} +{"d:Title": "Sky, Patrick and Cathy", "d:Description": "Performers, book publishers and makers of Irish Uilleann pipes. With contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.patricksky.com/"} +{"d:Title": "Kean, Richard: Bagpiper at Large", "d:Description": "(Houston, Texas) Includes biography, calendar, teaching, projects, discography, photographs, links to those with whom he has performed, contacts, and booking information for performances throughout North America.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.richardkean.com/"} +{"d:Title": "Davidson, Lindsay - Highland bagpiper", "d:Description": "Dr Lindsay Davidson is a composer, solo bagpiper and teacher, both of instrumental music and music theory. The site contains a free course in counterpoint. Many examples of his music are available for free download.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.lindsaydavidson.co.uk/"} +{"d:Title": "Holmes, Ben", "d:Description": "(Arvada, Colorado) Professional open-grade bagpiper available for lessons, workshops, parties, weddings, films and other occasions. Provides pictures and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists", "url": "http://www.softwarewolves.com/holmes/"} +{"d:Title": "Monahan, Kevin", "d:Description": "(Paphos, Cyprus) Piper available for all occasions in Cyprus.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire", "url": "http://www.thecypruspiper.com/"} +{"d:Title": "Stewart, John", "d:Description": "(Spain) Experienced bagpipe available country-wide in Spain.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire", "url": "http://www.piperinspain.com/"} +{"d:Title": "Larsen, Soren", "d:Description": "(Denmark) Danish bagpipe musician for hire [English/Danish]", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire", "url": "http://selpiper.dk/"} +{"d:Title": "Gagan, Alan", "d:Description": "(Northwest England) Competition standard bagpiper, available for all occasions weddings, birthday partys.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.bagpipernorthwest.co.uk/"} +{"d:Title": "Nicholl, James A", "d:Description": "(Edinburgh, Scotland) Experienced piper, available to play for any occasion, in the UK or abroad.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.getapiper.com/"} +{"d:Title": "Chesman, Mark", "d:Description": "(Lincolnshire, England) Highland Piper available for bookings in Lincolnshire and the North of England. Contact information and overview of services.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.highland-piping.co.uk/"} +{"d:Title": "McCall, Ian", "d:Description": "(North East England) Scottish bagpipe music for weddings, funerals and special occasions.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.solopiper.co.uk/"} +{"d:Title": "McConnell, Phil", "d:Description": "(England) Traditional Highland bagpiper available to play at weddings and special occasions in the North West of England.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.bagpiper-uk.com/"} +{"d:Title": "Edinburgh Pipers", "d:Description": "(Edinburgh, Scotland) Professional piping service, offering bands and individual pipers for all kinds of events, available worldwide.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.edinburghpipers.com/"} +{"d:Title": "MacKinnon, Laurie", "d:Description": "(Glasgow, Scotland) Professional bagpipe player, available for all types of functions.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.lauriemackinnon.co.uk/"} +{"d:Title": "Paul Boness", "d:Description": "(Warwickshire, England) Bagpipe player since 1972. Available for events worldwide. Site available in English, French and German.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.paulthepiper.com/"} +{"d:Title": "Millmoor, Derek", "d:Description": "(Station Town, Co. Durham) Full time experienced piper, available for all kinds of occasions.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.asolohighlandpiper.co.uk/"} +{"d:Title": "Brown, Donald", "d:Description": "(Alfriston, Sussex, England) Piper playing traditional Scottish or Irish tunes, available for functions in Sussex, Surrey and Kent. Profile, biography and services.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://pages.123-reg.co.uk/montyandsammy-1090394/"} +{"d:Title": "Mackintosh, John", "d:Description": "(Edinburgh, Scotland) Retired policeman, that played with the Edinburgh City/Lothian and Borders Police Pipe Band during service. Now available to play at any event at short notice. Distance no object.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.highlandpiper.org/"} +{"d:Title": "Flewett, Will", "d:Description": "(Brighton) Uilleann piper available for weddings, ceilidhs, wakes and celebrations. With audio samples.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.flewett.com/"} +{"d:Title": "Waterton, David", "d:Description": "(North Yorkshire, England) Quality traditional Scottish highland bagpiper in full highland dress for weddings, and other occasions, with the assurance of extensive experience and a sense of both style and occasion to enhance the ceremonial aspects on your special day.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.pipingscot.co.uk/"} +{"d:Title": "Johnston, Barry", "d:Description": "(Fife) Traditional Scottish bagpiper for hire to play at any location. Lessons also offered.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.fifepiper.co.uk/"} +{"d:Title": "The Pickled Piper", "d:Description": "Whatever your event, The Pickled Piper provides quality bagpipe services at sensible prices throughout Central Scotland and beyond.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.thepickledpiper.co.uk/"} +{"d:Title": "Sutherland, Colin", "d:Description": "(North England) Contact information and videos of this English piper.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.quaysidepiper.com/"} +{"d:Title": "Ash, Bob", "d:Description": "(London, England) A professional highland piper who performs in full highland dress for special events in London and surrounding counties. Available for weddings, funerals and Burns suppers.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.highland-piper.co.uk/"} +{"d:Title": "McCulloch, Bryce", "d:Description": "(South and Central Scotland) A VOWS Nominee Scottish Highland bagpiper with 17 years of experience playing at weddings, funerals, and other events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://bryce-mcculloch.com/"} +{"d:Title": "Nelson, Ian", "d:Description": "North East Piper Ian Nelson, based in Newcastle Upon Tyne, has over 45 years of experience playing the Scottish bagpipes. Available for all kinds of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://www.northeastpiper.co.uk/"} +{"d:Title": "Porteous, Fraser", "d:Description": "(Hamilton, South Lanarkshire, Scotland) Young piper available to play at local events. Provides photos.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_Kingdom", "url": "http://fraser-porteous.co.uk/"} +{"d:Title": "Balderose, George", "d:Description": "(Pittsburgh, Pennsylvania) With 30 years experience, George offers his services for funerals, weddings, concerts, and instruction. Member of Road to the Isles Irish/Scottish musical ensemble.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.pittsburghpiper.com/"} +{"d:Title": "Bell, Michael", "d:Description": "(Hampton, Hunterdon, New Jersey) Professional bagpiper, available solo or with a group for weddings and special events in the eastern United States. General information and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.castledangerous.com/"} +{"d:Title": "Bondurant, Emmet", "d:Description": "(Tampa Bay, Florida) Available for weddings, funerals, and special events of all kinds in the greater Tampa Bay area. Features contact information and samples of the repertoire.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://home.sprintmail.com/~ejb/Piper.htm"} +{"d:Title": "Campbell, Jeff", "d:Description": "(San Francisco Bay) Available for weddings, parties, and funerals. Free audio samples and demo CD.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.thebagpiper.org/"} +{"d:Title": "Cincinnati Bagpipers", "d:Description": "(Cincinnati, Ohio) Bagpipers available for your event. Free auditions granted.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://cincypipers.tripod.com/"} +{"d:Title": "Flinn, Bob", "d:Description": "(New York) Competition-level bagpipe soloist available for all kinds of events in the central NY area.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.angelfire.com/ny5/bagpiper/index.html"} +{"d:Title": "Lancaster, Michael", "d:Description": "(Denver, Colorado) Biography, performance details, and booking and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://bagpipersinternational.com/"} +{"d:Title": "Oaks, Hope", "d:Description": "(Indiana) Offers bagpipe and trumpet music. Solo or group performances are available for weddings, funerals, golf outings, anniversaries, and other special events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.sormusic.com/"} +{"d:Title": "Palmer, P. Spencer", "d:Description": "(Chicago, Illinois) Personal information, prices, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.bagpipes4hire.com/"} +{"d:Title": "Quirk, Brian", "d:Description": "(Lawrence, Massachusetts) Available for weddings, funerals, parties, and special events. Contact information and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.quirk.ws/piper/"} +{"d:Title": "Whitelaw, Ian", "d:Description": "(Redondo Beach, California) Bagpiper for weddings, funerals, special occasions. He is also an instructor and a tunor for band sound.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.aceltictraveler.com/"} +{"d:Title": "The Cawthons", "d:Description": "(Michigan, Ohio) Family of five Highland bagpipers offering their services for events and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.highlandpiping.net/"} +{"d:Title": "MacLeod, Steve", "d:Description": "(Southwestern Michigan) Resume, pictures and information about services provided by the piper, as well as general information about issues involved hiring a piper.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://piper.themacleods.net/"} +{"d:Title": "Lannom, Les", "d:Description": "(Los Angeles) Available for events and special occasions throughout Southern California.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.hibernicopiper.com/"} +{"d:Title": "Mitchell, Jimmy", "d:Description": "(Dallas, Texas) Available for hire for weddings, funerals, parades and special events. Read FAQs, and hear music samples.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.texasbagpiper.com/"} +{"d:Title": "Wiley, Tom", "d:Description": "(Ohio) Providing performance and education of traditional and contemporary bagpipe music.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.wileybagpipes.com/"} +{"d:Title": "Kapp, Peter and Rowe, Wendy", "d:Description": "(California) Promotes bagpipers for hire Peter Kapp and Wendy Rowe. Includes sound samples and video clip of the above performers. Lists bagpipe CDs for sale.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.pibroch.com/"} +{"d:Title": "Salter, Jay", "d:Description": "(San Francisco Bay Area, CA) Piper available for weddings, funerals, parties and special events. Instructor and band pipe major.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.bayareabagpiper.com/"} +{"d:Title": "DelMain, Dan", "d:Description": "(Portland, Oregon) Dan has over 12 years experience of bagpiping. He is available for weddings, wakes and parties.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.portlandbagpiper.com/"} +{"d:Title": "Ruhf, James", "d:Description": "(Lehigh Valley, PA) Professional bagpiper since early 90's; Director of the City of Bethlehem Bagpipe Band. Offers bagpipe programs to suit every need: weddings, funerals, parties, lectures, etc.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.bagpipingbyjames.com/"} +{"d:Title": "Rutan, Charlie", "d:Description": "Performing on Scots Highland, Irish Uilleann, French Musette and Italian Zampogna Bagpipes in the Philadelphia area.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.charlierutan.com/"} +{"d:Title": "McElhiney, Brian", "d:Description": "(Northeast Ohio)Professional bagpiper available for weddings, formal dinners, funerals, memorial services, golf tournaments, birthday parties, and corporate events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.akronpiper.com/"} +{"d:Title": "McCarthy, John", "d:Description": "(New York, NY) Bagpiper available for hire.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.newyorkcitybagpiper.com/"} +{"d:Title": "Hubbard, Neil", "d:Description": "(Seattle) Provides bagpiping performances in the Seattle, Washington and Puget Sound area since 1990. Available for weddings, funerals, parties, and other events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.neilbagpiper.com/"} +{"d:Title": "Beach, Scott", "d:Description": "(Lakewood, Colorado) Offers bagpipe playing services for variety of special occasions. Features biography, repertoire and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.coloradobagpiper.com/"} +{"d:Title": "Roberts, Damion", "d:Description": "(Dallas/Ft. Worth, TX) Offering the sounds of the Great Highland Bagpipe for all kinds of events, as well as tuition. With a bio, pipe shop, and testimonials.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.lonestarpiper.com/"} +{"d:Title": "MacLeod, Scott", "d:Description": "(San Francisco Bay Area, CA) Scottish Highland Bagpiper, available for weddings or other special events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://scottmacleod.com/piping.htm"} +{"d:Title": "Kennedy, Thomas Martin", "d:Description": "(SE Michigan) Bagpiper available for weddings, parties, anniversaries, banquets, funerals, and other special occasions.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://wanderingpiper.com/"} +{"d:Title": "Linsky, Steve", "d:Description": "(Southeast Pennsylvania) Scottish bagpiping for all occasions. With resume and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.linskydesign.com/scottishbagpiping/Blog/"} +{"d:Title": "Bradley, John Martin", "d:Description": "(New York City) Offers bagpipe classes and shows. With pictures, reviews and shopping recommendations.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.nycbagpipe.com/"} +{"d:Title": "Zampognari di Filadelfia", "d:Description": "(PA, NJ) Italian bagpipers performing bagpipe music in the Philadelphia and New Jersey area.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.zampognaridifiladelfia.com/"} +{"d:Title": "Buffington, Beau", "d:Description": "(Springfield, MO) Beau plays highland bagpipes, Scottish smallpipes, Irish low whistle and the Irish uilleann bagpipes, and offers his skills and experience for special events and piping lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.jabuffington.com/"} +{"d:Title": "Douglas, Andrew", "d:Description": "(Capitol District, NY) World renowned piper, available for all occasions and offering tuition as well. With information on his services and music samples.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.cnaturalmusic.com/"} +{"d:Title": "Hudson, Nicholas", "d:Description": "(Pittsburgh, PA) Bagpiper available for weddings, funerals, and parties as well as individual and pipe band instruction.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.nicholashudson.net/"} +{"d:Title": "McDaniel, Chris", "d:Description": "Great highland bagpiper available for weddings, formal dinners, funerals, memorial services, golf tournaments, birthday parties, and corporate events within the Indianapolis metro and surrounding areas.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://indiana-bagpiper.com/"} +{"d:Title": "O'Donnell, Sean", "d:Description": "(Pittsburgh, PA) With more than 20 years, Sean offers his professional bagpiping experience with reasonable rates.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.seanodonnellbagpiper.com/"} +{"d:Title": "Cora, Paul", "d:Description": "(Baltimore, MD) Professional bagpipe player with over 20 years experience playing the bagpipes for weddings, funerals, and memorials.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.marylandbagpiper.com/"} +{"d:Title": "Thomas, Sam", "d:Description": "(Indianapolis, Indiana) Professional bagpiper available for weddings, funerals, parties, and any other occasions. Serves the central Indiana area.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://www.indypiper.com/"} +{"d:Title": "Winslow, James - Great Highland Bagpipe", "d:Description": "(Sylvania, OH) Offers Great Highland Bagpipe shows for weddings, funerals, family gatherings, and even advertising.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Artists/For_Hire/United_States", "url": "http://sites.google.com/site/bagpiperforalloccasions/"} +{"d:Title": "Pipe Bands Associated With Educational Institutions", "d:Description": "Directory of pipe bands associated to universities or high schools all over the world.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands", "url": "http://fraser.cc/pipes/bands.html"} +{"d:Title": "Guardians of the Irish Spirit", "d:Description": "(South Africa) Available for hire as solo players or as a ensemble for all types of functions and occasions. Read about history and members, see photographs.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Africa", "url": "http://www.sairish.co.za/"} +{"d:Title": "1 Medical Battalion Pipe Band", "d:Description": "(Durban, South Africa) Official site, including history, member profiles, news and calendar of events. Also features sounds and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Africa", "url": "http://1medpipeband.co.za/"} +{"d:Title": "Dubai Pipe Band", "d:Description": "(Dubai, United Arab Emirates) History and mission of this band, including roster and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Asia", "url": "http://www.dubaipipeband.com/"} +{"d:Title": "Shree Muktajeevan Pipe Band", "d:Description": "(India) Indian-Scottish pipe band, performing at festivals and charity events worldwide. With pictures and calendar of upcoming gigs.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Asia", "url": "http://www.swaminarayangadi.com/smpb/"} +{"d:Title": "Hong Kong Heilan Pipes and Drums", "d:Description": "(Hong Kong) Features general information about the band and its activities, including pictures. Content both in English and Chinese.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Asia", "url": "http://www.hkheilan.com/"} +{"d:Title": "Kuala Lumpur Pipes and Drums (KLPD)", "d:Description": "A Malaysian pipe band established in 2009, playing Scottish songs as well as Malaysian songs. Includes information about membership and bookings.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Asia", "url": "http://www.klpd.com.my/"} +{"d:Title": "The Murray Pipes&Drums of Gothenburg", "d:Description": "(Sweden) The centre of Scottish piping and drumming in Gothenburg. With information about the players and their performance, and the opportunity to hire band or soloists. In English and Swedish.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe", "url": "http://www.murrays.nu/"} +{"d:Title": "Paris and District Pipe Band", "d:Description": "(Paris, France) Pipes and drums playing traditional Scottish and Irish music. With information about their practices and shows, including pictures and news.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe", "url": "http://www.pipeband-paris.fr/"} +{"d:Title": "City of Amsterdam Pipe Band", "d:Description": "(Amsterdam, Netherlands) History, roster and calendar of events. Includes contact information for events and lessons. Available in English and Dutch.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe", "url": "http://www.cityofamsterdampipeband.nl/"} +{"d:Title": "Concord Pipe Band", "d:Description": "(Hengelo, Netherlands) Competition pipe band founded in 1975. Offers results and schedule of upcoming events, as well as pictures and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe", "url": "http://www.concordpipeband.nl/"} +{"d:Title": "Dutch Pipes and Drums", "d:Description": "(Tilburg, Netherlands) Highland bagpipe band. With history, roster, repertoire and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe", "url": "http://www.dutchpipesanddrums.nl/"} +{"d:Title": "St. Andrews Piping Society", "d:Description": "(Alkmaar) Band history and roster, including contact information. Available in English and Dutch.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe", "url": "http://www.doedelzak.nl/"} +{"d:Title": "48th Highlanders of Holland", "d:Description": "(Apeldoorn, Netherlands) Band honouring the Canadians who liberated Apeldoorn. Includes history, band roster, pictures and agenda. In English and Dutch.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe", "url": "http://www.48th-highlanders.nl/"} +{"d:Title": "Black Raven Pipe Band", "d:Description": "(Dublin) Official site including history, news and competition results.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/Ireland", "url": "http://www.blackravenpipeband.net/"} +{"d:Title": "Beverley and District Pipe Band", "d:Description": "(East Yorkshire, England) Grade 4 pipeband. Read about the history, members, repertoire, shows, and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.bevpipeband.com/"} +{"d:Title": "Nottinghamshire Police Pipe Band", "d:Description": "(Nottingham, England) History, photos and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.nottspolicepipeband.co.uk/"} +{"d:Title": "The Ringwood Pipe Band", "d:Description": "(Dorset, England) Diary, galleries, profile, repertoire, links and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.ringwoodpipeband.co.uk/"} +{"d:Title": "Surrey Pipe Band", "d:Description": "(Surrey, England) Info on the band and its members, including events and contact information for joining or booking the band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.surreypipeband.co.uk/"} +{"d:Title": "Milton Keynes District Pipe Band", "d:Description": "(Milton Keynes, England) Professional band available for events of all kinds. Includes history, pictures and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.mkpb.net/"} +{"d:Title": "Beeston&District Pipe Band", "d:Description": "(Beeston, Nottingham, England) Performance and competition band. History, pictures, competition results, and contact information available.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.pipeband.org.uk/"} +{"d:Title": "Rose and Thistle Pipe Band", "d:Description": "(Gosport, England) Band history, roster and news. Includes information for performances and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.rose-and-thistle.co.uk/"} +{"d:Title": "Eastbourne Scottish Pipe Band", "d:Description": "(Sussex, England) Band available to play Scottish bagpipe and drum music for Sussex fettes, parades, carnivals. Tuition on bagpipes and drums given.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://pages.123-reg.co.uk/dbrown1-14875/"} +{"d:Title": "RAF Halton Pipes and Drums", "d:Description": "(Aylesbury, Buckinghamshire, England) News, competitions, practises, appearances, repertoire, drum majors, archives, links, and contacts.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.rafhalton.com/"} +{"d:Title": "Wessex Highlanders", "d:Description": "(Gillingham, Dorset) Band news, tunes, and calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.wessexhighlanders.co.uk/"} +{"d:Title": "Harpenden Pipe Band", "d:Description": "(Hertfordshire, England) Founded in 1997, the band is available for gala days, parades, and events throughout the South East of England and beyond. Find out about the band's members, repertoire, and shows.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.harpendenpipeband.co.uk/"} +{"d:Title": "The Epping Forest Pipe Band", "d:Description": "(Chingford, England) A friendly, family orientated Highland Bagpipe Band, who perform and teach the art of The Great Highland Bagpipes&Drums.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.efpb.org/"} +{"d:Title": "Scots Guards Association Pipes&Drums - Manchester Branch", "d:Description": "(Manchester, England) Members, history, news, picture gallery, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.sgapipeband.com/"} +{"d:Title": "Scunthorpe and District Pipe Band&Highland Dancers", "d:Description": "(Scunthorpe, Lincolnshire, England) Non-profit organization available for hire. Check their history, roster and calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom", "url": "http://www.scunthorpepipeband.com/"} +{"d:Title": "Cavanaleck Brien Boru Pipe Band", "d:Description": "(Co. Fermanagh) Includes history, photos, engagements, music and repertoire.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.cavanaleck.plus.com/"} +{"d:Title": "Killadeas Pipe Band", "d:Description": "(Co. Fermanagh) History, photos, news and competition results.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.killadeaspb.plus.com/"} +{"d:Title": "Cullybackey Pipe Band", "d:Description": "(Co. Antrim) Includes news, calendar and repertoire.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://cullybackeypipeband.tripod.com/"} +{"d:Title": "Derryclavin Pipe Band", "d:Description": "(Co. Fermanagh) Includes photos, band engagements and mp3s.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.derryclavin.plus.com/"} +{"d:Title": "Field Marshal Montgomery Pipe Band", "d:Description": "(Borough of Lisburn) Grade 1 pipe band. With history, biographies of members, calendar of events, and membership and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.fmmpb.com/"} +{"d:Title": "Tullylagan Pipe Band", "d:Description": "(Co. Tyrone) History of the band, past achievements, photographs and details of current training and future events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.tullylaganpb.plus.com/"} +{"d:Title": "Upper Crossgare Pipe Band", "d:Description": "(Co. Down) Background on Upper Crossgare Pipe Band and information on what is going on in the piping world, including news, and results of competitions.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.uppercrossgare.plus.com/"} +{"d:Title": "Armagh Pipers Club", "d:Description": "(Armagh) Promotes traditional uilleann pipe music in the local area. Includes newsletter, repertoire, photos and information about classes.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.armaghpipers.org/"} +{"d:Title": "Boghall and Bathgate Caledonia Pipe Band", "d:Description": "(Edinburgh) Competitive organisation. Covers band history, roster, upcoming events and news.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.boghallandbathgate.com/"} +{"d:Title": "Dunoon Argyll Pipe Band", "d:Description": "(Argyll) Band history and achievements, as well as links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.freewebs.com/dunoonargyllpipeband/"} +{"d:Title": "Kinross&District Pipe Band", "d:Description": "(Kinross) Band formed in 1946, actively competing in all major, and many minor events. With history, pictures and calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.kinross-pipe-band.co.uk/"} +{"d:Title": "Maybole Pipe Band", "d:Description": "(Maybole) Scottish band, offering dancers, pipers and drummers for all kinds of events. See pictures, the band roster and their repertoire online.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.maybolepipeband.com/"} +{"d:Title": "ScottishPower Pipe Band", "d:Description": "(Glasgow) Regular and consistent prize winners, the band is constantly in demand. Read about their members, history and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.scottishpowerpipeband.com/"} +{"d:Title": "Duns Pipe Band", "d:Description": "(Duns) Self-funding, charity based in the Scottish Borders that competes in grades 3 and 4. Latest news, results, draws and active diary.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.dunspipeband.org/"} +{"d:Title": "Coldstream Pipe Band", "d:Description": "(Coldstream) Band blog, with pictures and reports of their activities.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://coldstreampipeband.blogspot.com/"} +{"d:Title": "Uddingston Strathclyde Pipe Band", "d:Description": "(South Lanarkshire) History, tour schedule, member profiles, merchandise, and details of vacancies.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.uddingstonpipeband.org/"} +{"d:Title": "Buchan Pipe Band", "d:Description": "(Peterhead) The official website of the Buchan Pipe Band. Contains details on band roster, upcoming events, news and band history.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.buchanpipeband.co.uk/"} +{"d:Title": "The House of Edgar Shotts&Dykehead Pipe Band", "d:Description": "(Shotts, North Lanarkshire) Official website, featuring band history, members, products for sale, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Europe/United_Kingdom/Scotland", "url": "http://www.shottspipeband.com/"} +{"d:Title": "Alliance of North American Pipe Band Associations", "d:Description": "Advancing development and quality of pipers, drummers, and bands across the continent. Pictures, summits, committees, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America", "url": "http://www.anapba.org/"} +{"d:Title": "The Western U.S. Pipe Band Association", "d:Description": "Lists of bands and officers, membership information, contest results, instruction, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States", "url": "http://www.wuspba.org/"} +{"d:Title": "The University of Iowa Scottish Highlanders", "d:Description": "Remembering the world famous University of Iowa Scottish Highlanders. Includes pictures and the history of the band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States", "url": "http://www.frontiernet.net/~mkisa/index.html"} +{"d:Title": "Maine St. Andrew's Pipes&Drums", "d:Description": "(Ellsworth, ME) This bagpipe band entertains audiences throughout Maine in parades and concerts, and competes in Highland Games in New England and Canada.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States", "url": "http://www.msapd.org/"} +{"d:Title": "Phoenix Scottish Pipe Band", "d:Description": "(Phoenix) Pipe and drum band. With history, current events, and how to hire the band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Arizona", "url": "http://phoenixscottish.tripod.com/"} +{"d:Title": "The Southwest Skye Pipes&Drums", "d:Description": "(Williams) A Northern Arizona-based bagpipe and drum band available for any type of performance needing bagpipes. They also offer instruction to individuals interested.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Arizona", "url": "http://www.freewebs.com/southwestskyepipesdrums/"} +{"d:Title": "Mesa Caledonian Pipe Band", "d:Description": "(Mesa) Scottish bagpipe and drum corps offers lessons, performances and competitions at the Grade 3 level. With information on events, cds, photographs and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Arizona", "url": "http://www.mcpb.org/"} +{"d:Title": "Seven Pipers Scottish Society", "d:Description": "(Tucson) Group dedicated to the performance and preservation of Scottish music and dance. Also has a Highland and Country dance group.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Arizona", "url": "http://7pipers.org/"} +{"d:Title": "Los Angeles Scottish (LA Scots) Pipe Band", "d:Description": "(Orange County) Grade 1 pipe band. History, news, member information, tunes and photographs.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.lascots.org/"} +{"d:Title": "Santa Cruz Pipes&Drums", "d:Description": "(Santa Cruz) A community bagpipe band that performs a unique repertoire of traditional and innovative music. Includes pictures and audio samples.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.santacruzpipeband.com/"} +{"d:Title": "MacIntosh Pipe Band", "d:Description": "(Larkspur) Parade band, also competing as Grade IV. Offers lessons, and also is available for shows or events. With pictures, band roster and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.macintoshpipeband.org/"} +{"d:Title": "Central Coast Pipe Band", "d:Description": "(San Luis Obispo) Information about the band, how to book them, their repertoire, and links to related websites.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.angelfire.com/folk/ccpb/"} +{"d:Title": "Prince Charles Pipe Band", "d:Description": "(San Rafael) Competition band founded in 1967. With information on past and current players, events and history.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.pcpb.org/"} +{"d:Title": "Stuart Highlanders", "d:Description": "(Menlo Park) Pipe band performing at parades and private events, also offering tuition for beginners.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.stanford.edu/~wrinnes/Stuart/Stuart.html"} +{"d:Title": "Stewart Tartan Pipe Band", "d:Description": "(San Francisco) Non-profit organization, playing in public and private performances, and offering instruction in piping and drumming.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.stewarttartan.com/"} +{"d:Title": "Monterey Bay Pipe Band", "d:Description": "(Monterey) Grade III competition band, and member of the Western US Pipe Band Association (WUSPBA).", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.montereybaypb.org/"} +{"d:Title": "Los Gatos/Monte Sereno Police Pipes and Drums", "d:Description": "(Los Gatos) Bagpipe band serving the San Francisco Bay Area for all types of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.losgatospipesanddrums.org/"} +{"d:Title": "Piedmont Highlanders Drums and Pipes Band", "d:Description": "(Oakland) History, repertoire, calendar of events and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.piedmonthighlanders.org/"} +{"d:Title": "Piedmont Youth Bagpipe Band", "d:Description": "(Piedmont) Formed in the Piedmont Unified School District. Events, roster, information about the band, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://www.piedmontbsa.org/venturing/highlanders.php"} +{"d:Title": "House of Scotland Pipe Band", "d:Description": "(San Diego) Grade IV competition pipe band (WUSPBA) and non-profit organization affiliated with the House of Scotland in Balboa Park.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/California", "url": "http://hospb.org/"} +{"d:Title": "Centennial State Pipes and Drums", "d:Description": "(Denver) Video, pictures, piping and drumming instruction, performances and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Colorado", "url": "http://www.bagpipe.org/"} +{"d:Title": "Connecticut Firefighters Pipes and Drums", "d:Description": "(Easton) Fraternal organization established to maintain and further the tradition of pipes and drums in the fire service. Information provided on events, members and instruction.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Connecticut", "url": "http://www.ctfirefighterspipesanddrums.com/"} +{"d:Title": "Manchester Pipe Band", "d:Description": "(Manchester) Performance and competition band. With history, roster, repertoire and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Connecticut", "url": "http://www.manchesterpb.org/"} +{"d:Title": "Manchester Regional Police and Fire Pipes&Drums", "d:Description": "(Manchester) Available for concerts, parades, special events, weddings. Also provides instruction of bagpipes and Scottish drumming.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Connecticut", "url": "http://www.mrpf.net/"} +{"d:Title": "Taggart Pipes&Drums", "d:Description": "(Deep River) Non-profit organization formed in 1990, promoting all phases of the Celtic music culture. With information about the band roster, calendar of events and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Connecticut", "url": "http://www.taggartpipesanddrums.com/"} +{"d:Title": "Scotch On The Rocks", "d:Description": "A 5 piece ensemble band consisting of 3 bagpipers and 2 drummers which is available for weddings, parties and festivals.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Connecticut", "url": "http://sotr.com/"} +{"d:Title": "Palm Beach Pipes&Drums", "d:Description": "(Palm Beach) More than 40 performances annually. Free instruction. Band and solo pipers available for hire.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Florida", "url": "http://www.palmbeachpipes.com/"} +{"d:Title": "University City Pipe Band", "d:Description": "(Gainesville) Features band biography and roster.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Florida", "url": "http://www.afn.org/~pipeband/"} +{"d:Title": "Santa Rosa Pipes and Drums", "d:Description": "(Santa Rosa County) Information about the band, pictures, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Florida", "url": "http://www.sonic.net/~jcreager/srpd/index.html"} +{"d:Title": "City of Melbourne Pipes and Drum Band", "d:Description": "(Central Brevard County) Award-winning pipe band, that won the coveted SUSPBA \"Supreme Champions\" award for the 2004 competition season. With activity information, pictures and band roster.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Florida", "url": "http://www.melbournepipeband.com/"} +{"d:Title": "City of Dunedin Pipe Band", "d:Description": "(Dunedin) Features band roster, calendar of events and awards won by the group.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Florida", "url": "http://www.cityofdunedinpipeband.com/"} +{"d:Title": "Atholl Highlanders Pipes&Drums", "d:Description": "(Stone Mountain) Pipe band available for lessons and ceremonies. News, history, roster, photos and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Georgia", "url": "http://www.usahpd.com/"} +{"d:Title": "John Mohr MacKintosh Pipes&Drums", "d:Description": "(Atlanta) Grade IV and Grade V competition and performance pipeband. With pictures, calendar of events and information on the educational program.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Georgia", "url": "http://www.jmmpd.com/"} +{"d:Title": "Atlanta Pipe Band", "d:Description": "(Atlanta) Pipe band with groups of all sizes available for performances, a Student Program for learning pipes and drums, and Grade 3, 4 and 5 competition units.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Georgia", "url": "http://www.atlantapipeband.com/"} +{"d:Title": "Kyilindi Pipes&Drums", "d:Description": "(Mt. Carmel) History, roster and photographs of this band that plays throughout Illinois, Indiana and Kentucky and offers bagipe and drumming lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Illinois", "url": "http://www.kyilindi.com/"} +{"d:Title": "Tunes of Glory Pipes and Drums", "d:Description": "(Warrenville) Grade V teaching band, available for hiring the band or individual pipers. Site has contact information, as well as the band\u00b4s repertoire and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Illinois", "url": "http://www.tunesofglory.org/"} +{"d:Title": "City of Rockford Pipe Band", "d:Description": "(Rockford) Performance and competition pipe band. Information about the band and its school of piping and drumming.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Illinois", "url": "http://www.cityofrockfordpipeband.com/"} +{"d:Title": "Louisville Pipe Band", "d:Description": "(Louisville) Competition and performance grade IV pipe band, with members from Kentucky and Indiana. With news, roster and history of the band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Kentucky", "url": "http://www.louisvillepipeband.org/"} +{"d:Title": "MacMillan Pipe Band", "d:Description": "(Rockville) Alumni band for the Peary and Rockville High School Pipe Bands, founded in 2001. With information about repertoire, roster and events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Maryland", "url": "http://macmillanpb.org/"} +{"d:Title": "Chesapeake Caledonian Pipe Band", "d:Description": "(Annapolis) With picture gallery, calendar of events and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Maryland", "url": "http://www.chesapeakecaledonian.net/"} +{"d:Title": "Quaboag Highlanders Pipes&Drums", "d:Description": "(Quaboag Hills) Band history, roster, and upcoming events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Massachusetts", "url": "http://www.quaboaghighlanders.org/"} +{"d:Title": "The White Pine Pipe and Drum Corps", "d:Description": "(Midland) Bagpipe humor, links, and membership information. Also has a large link selection to articles on bagpipes on the web.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Michigan", "url": "http://www.midlandpipeband.org/"} +{"d:Title": "Michigan Scottish Pipes and Drums", "d:Description": "(Detroit) Currently competing in Grade IV. Schedule, band information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Michigan", "url": "http://www.angelfire.com/mi/miscot/index.html"} +{"d:Title": "Kalamazoo Pipe Band", "d:Description": "(Kalamazoo) Scottish bagpipe and drum band, dedicated to learning, teaching and performing of the Scottish arts throughout Southwest Michigan. Covers repertoire, members, and events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Michigan", "url": "http://www.kalamazoopipeband.org/"} +{"d:Title": "Muskegon Police Pipes and Drums", "d:Description": "(Muskegon) Information on the band, schedule, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Michigan", "url": "http://www.mrppd.com/"} +{"d:Title": "Twin Cities Metro Pipe Band", "d:Description": "(Twin Cities) With info on the band, their repertoire and dates of classes and events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Minnesota", "url": "http://tcmpb.org/"} +{"d:Title": "Billings Caledonian Pipes and Drums", "d:Description": "(Billings) Non-profit 501 C3 corporation, offering free piping and drumming instruction. History, roster, pictures and calendar of events are available.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Montana", "url": "http://www.billingsbagpipe.us/"} +{"d:Title": "The Pipes and Drums of the Atlantic Watch", "d:Description": "(Red Bank) One of the largest organizations in the state. Events and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_Jersey", "url": "http://www.atlantic-watch.com/"} +{"d:Title": "Shamrock and Thistle Pipes and Drums", "d:Description": "(Ocean County) Band committed to promoting the Great Highland Bagpipes. With band roster, calendar of events, pictures and audio.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_Jersey", "url": "http://www.shamrockandthistle.com/"} +{"d:Title": "St. Columcille United Gaelic Pipe Band", "d:Description": "(Kearny) A competing pipe band dedicated to Gaelic pipe music and culture. History, booking information, members, pictures, and bagpiping tips.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_Jersey", "url": "http://www.stcolumcille.com/"} +{"d:Title": "MacKenzie Highlanders' Pipes and Drums", "d:Description": "(Niagara Falls) With roots dating back to 1913, the band carries on the tradition into the new millennium.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://macbagpipe.com/"} +{"d:Title": "Monaghan Pipe Band", "d:Description": "(New York City) Competitive band. Roster, schedule, news, photo album, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.monaghanpb.com/"} +{"d:Title": "Nassau County Firefighter's Pipes and Drums", "d:Description": "(Long Island) The first volunteer firefighter's bagpipe band in the nation. Links, and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.nassaufirepipes.com/"} +{"d:Title": "The Schenectady Pipe Band", "d:Description": "(Schenectady) We promote the public appreciation and playing of pipes and drums through fellowship, performance, competition, and continuous development of skills.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.schenectadypipeband.com/"} +{"d:Title": "Northport Pipe and Drum Band", "d:Description": "(Northport) Marching and competition band, offering training for beginners on the pipes and drums. With history of the band, member profiles and pictures of events and competitions.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.northport-bagpipes.org/"} +{"d:Title": "Broome County Celtic Pipes and Drums", "d:Description": "(Broome Co.) Piping, plans, practices, and party rental information for the Binghamton, NY organization focused on playing traditional Highland bagpipes and drums.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.bccpd.org/"} +{"d:Title": "Archbishop Molloy High School Pipe Band", "d:Description": "(Briarwood) Catholic high school pipe band. With history and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.angelfire.com/ny2/MolloyHSPipeBand/"} +{"d:Title": "New York Shields Pipes and Drums", "d:Description": "(New Hyde Park) History and diary of the band, also including pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.angelfire.com/ny2/newyorkshields/"} +{"d:Title": "FDNY Emerald Society Pipes&Drums", "d:Description": "(New York City) Fire department bagpipe band, with history, band roster, repertoire and video clips.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.fdnypipesanddrums.com/"} +{"d:Title": "Transit Pipe and Drum", "d:Description": "(New York City) History, pictures, announcements, membership information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/New_York", "url": "http://www.nyctransitpipesanddrums.net/"} +{"d:Title": "City of Oaks Pipe Band", "d:Description": "(Raleigh/Durham) Members, tune list, schedule, bagpipe music, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/North_Carolina", "url": "http://cityofoaks.home.netcom.com/"} +{"d:Title": "North Coast Pipe Band", "d:Description": "(Cleveland) Competition band, featuring history, pictures and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Ohio", "url": "http://www.ncpb.org/"} +{"d:Title": "Celtic Flame Pipe Band", "d:Description": "(Warren) Promoting Celtic culture, this bagpipe band features history, contact and membership information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Ohio", "url": "http://www.celticflamepipeband.com/"} +{"d:Title": "Cincinnati Caledonian Pipes and Drums", "d:Description": "(Cincinnati) Since 1912, one of the oldest Scottish Highland bands in the United States, with grade 3, 4 and 5 bands. Offers history, repertoire, and media coverage.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Ohio", "url": "http://www.ccpandd.com/"} +{"d:Title": "Pipes and Drums of the Highlanders of Oklahoma City", "d:Description": "(Oklahoma City) Repertoire, band roster and calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Oklahoma", "url": "http://www.highlandersokc.com/"} +{"d:Title": "The Oregon Trail Pipe Band", "d:Description": "(Portland) Band playing Brien Boru pipes from Northern Ireland. History, event calendar and repertoire.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Oregon", "url": "http://www.angelfire.com/music3/oregontrailpipeband/"} +{"d:Title": "Southern Oregon Scottish Bagpipe Band", "d:Description": "(Rogue Valley) Read about the history and current activities of the SOSPB, a pipeband that has been active since 1931. Also find information on joining the band or booking it.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Oregon", "url": "http://www.pipinglion.com/sospipeband.html"} +{"d:Title": "River City Pipe Band", "d:Description": "(Portland) Provides information about the band roster, history, classes and contact data.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Oregon", "url": "http://www.rivercitypipeband.com/"} +{"d:Title": "St Andrews Pipes and Drums", "d:Description": "(Portland) Family oriented group, featuring band history, roster and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Oregon", "url": "http://www.angelfire.com/or/standrews/"} +{"d:Title": "Clan MacLeay Pipe Band", "d:Description": "(Portland) Features contact information, and uniform description.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Oregon", "url": "http://www.clanmacleay.org/"} +{"d:Title": "Philadelphia Emerald Society Pipe Band", "d:Description": "(Philadelphia) Information, history, schedule, and booking information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Pennsylvania", "url": "http://www.emeraldpipers.com/"} +{"d:Title": "Quittapahilla Highlanders bagpipe and drum band", "d:Description": "(Annville) Military-style performance and parade bagpipe and drum band in South-Central Pennsylvania. With information about members, lessons and picture gallery.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Pennsylvania", "url": "http://www.qhpipeband.org/"} +{"d:Title": "Ce\u00f3l N\u00e9amh", "d:Description": "(Lancaster) Competition and performance pipe band. With news, history, performance schedule, and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Pennsylvania", "url": "http://www.ceolneamh.com/"} +{"d:Title": "Watson Highlanders Bagpipe Ensemble", "d:Description": "(Philadelphia) Available for weddings, funerals, and parades in the Eastern area. Member information, prior performances, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Pennsylvania", "url": "http://watsonhighlanders.pipeband.com/"} +{"d:Title": "City of Greenville Pipes&Drums", "d:Description": "(Greenville) Offers information about the band, its members, performance schedules, news and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/South_Carolina", "url": "http://www.cogpad.com/"} +{"d:Title": "Gallowglass Pipers", "d:Description": "(Memphis) Performs for weddings, funerals, and other special events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Tennessee", "url": "http://www.memphisbagpipes.com/"} +{"d:Title": "St. Andrews Pipeband of Vermont", "d:Description": "(Essex Jct.) Official site, covering the present and the past of the band, and its members. Includes pictures, the repertoire, and information about upcoming events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Vermont", "url": "http://www.standrewspipebandvt.org/"} +{"d:Title": "City of Alexandria Pipes and Drums", "d:Description": "(Alexandria) Features history and band roster, including information about upcoming events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Virginia", "url": "http://www.capd-online.com/"} +{"d:Title": "St. Andrew's Legion Pipes and Drums", "d:Description": "(Richmond) Bagpipe band dedicated to the performance and teaching of traditional Scottish bagpiping and rumming, with public presentation and proper highland attire. See band roster, pictures, and calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Virginia", "url": "http://www.salpd.com/"} +{"d:Title": "Keith Highlanders Pipe Band", "d:Description": "(Seattle) By the gracious permission of the Earl of Kintore, the Chief of the Clan Keith, the Keith Highlanders Pipe Band bears the Keith name and wears the Keith tartan.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington", "url": "http://www.khpb.org/"} +{"d:Title": "Northwest Junior Pipe Band", "d:Description": "(Shoreline) Competition and performance pipe band competing throughout the Northwest and BC. Find out about their shows, activities, competitions and tuition programs.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington", "url": "http://www.nwjpb.org/"} +{"d:Title": "City of Alexandria Pipes and Drums", "d:Description": "Appears for public performances and parades, as well as competition at Scottish games. Includes history, events, member biographies, booking information and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington,_DC", "url": "http://www.capd-online.com/"} +{"d:Title": "District of Columbia Fire Department Emerald Society Pipes&Drums", "d:Description": "Founded 2002 with a membership of active and retired D.C. firefighters. Includes history, members, events, photos, links, guestbook, and sponsor and memorial pages.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington,_DC", "url": "http://www.dcfdpipesanddrums.org/"} +{"d:Title": "Weaver, Norm", "d:Description": "Providing piping services in Northern Virginia, Washington and nearby Maryland and West Virginia. Includes booking information and suggested tunes.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington,_DC", "url": "http://va-md.bagpiper.com/"} +{"d:Title": "Mitchell, Robert", "d:Description": "Traditional bagpiper available for hire. Includes profile, wedding information, instruction, photos and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington,_DC", "url": "http://www.thereelpiper.com/"} +{"d:Title": "MacMillan Pipe Band", "d:Description": "Alumni pipe band for Robert E. Peary and Rockville High Schools, in Montgomery County, Md. Includes history, news, roster, competition results and events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington,_DC", "url": "http://macmillanpb.org/"} +{"d:Title": "Rockville High School Pipe Band", "d:Description": "Includes history, news, events, photos, membership information, mailing list and details of the annual National Capital Area Scottish Festival.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington,_DC", "url": "http://rhspipeband.org/"} +{"d:Title": "City of Washington Pipe Band", "d:Description": "Traditional Scottish bagpipe band's site offers history, roster, schedule, photos and video.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Washington,_DC", "url": "http://cityofwashingtonpipeband.org/"} +{"d:Title": "Celtic Nations Pipe Band", "d:Description": "(Milwaukee) News, photos, and events for this American pipe band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/North_America/United_States/Wisconsin", "url": "http://www.celticnationspipeband.com/"} +{"d:Title": "Cotters Bequest", "d:Description": "Pan-Celtic band playing original, contemporary Celtic music using Uilleann, Highland and Northumbrian pipes, whistles, samplers, string quartet and sung in the Celtic languages. With biographies, discography and calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.locrian.com.au/country/cotter98.html"} +{"d:Title": "St Andrew's Pipe Band", "d:Description": "(Brisbane, QLD) Provides information about this Australian pipe band and its members, including contact details and a regularly updated news section.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.stapb.com/"} +{"d:Title": "Queensland Irish Association Pipe Band", "d:Description": "(Brisbane, QLD) Represents a broad range of culture, and performs interstate and overseas. Located in Brisbane, Australia.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.qldirish.com/"} +{"d:Title": "Royal Australian Regiment Pipes and Drums", "d:Description": "(Darwin, NT) History, profiles of current and past members, and picture gallery.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.angelfire.com/nt/57pipesanddrums/"} +{"d:Title": "City of Blacktown Pipe Band", "d:Description": "(Blacktown, NSW) History, pictures, and contact information for this grade 1 band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.blacktownpipeband.com/"} +{"d:Title": "Clan MacLeod Pipe Band", "d:Description": "(Sydney) Features history and events calendar for the band, including pictures and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.clanmacleodpipeband.com/"} +{"d:Title": "Mt. Barker Caledonian Society Pipes and Drums", "d:Description": "(Mount Barker, SA) Information about the band and the society, with pictures. Offers shows and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.mbcs.s5.com/"} +{"d:Title": "Perth Highland Pipe Band", "d:Description": "(Perth, WA) Band history and roster. Includes news, and jokes.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.perthhighland.com/"} +{"d:Title": "Lockyer Valley Pipe Band", "d:Description": "(Lockyer Valley, QLD) History, band roster, calendar of events and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://www.lvcpb.com/"} +{"d:Title": "5th&6th Bn Royal Victoria Regiment Pipes and Drums", "d:Description": "(Melbourne, VIC) History, photos and contact information for this band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/Australia", "url": "http://intergon.net/rvrpd/"} +{"d:Title": "Auckland Police Highland Pipe Band", "d:Description": "(Auckland) Features band history, roster and event reports.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/New_Zealand", "url": "http://www.aklpolicepipeband.org.nz/"} +{"d:Title": "Canterbury Caledonian Society Pipe Band", "d:Description": "(Christchurch, Canterbury) Grade II competition band. Information, merchandise, and newsletter.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/New_Zealand", "url": "http://www.canterburycaledonian.org.nz/"} +{"d:Title": "City of Sails Pipeband", "d:Description": "(Auckland, New Zealand) Award winning pipe band. With history, member information, news and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/New_Zealand", "url": "http://members.tripod.com/city_of_sails_pb/"} +{"d:Title": "Cambridge and Districts Pipe Band Inc.", "d:Description": "(Cambridge) Grade 4, social pipe band serving Cambridge and the surrounding rural districts.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/New_Zealand", "url": "http://www.kilts.co.nz/cambpipe.htm"} +{"d:Title": "New Zealand Police Pipe Band", "d:Description": "Official site of the New Zealand Police Pipe Band. Six time winners of the NZ Grade One championships and based at the RNZPC near Wellington. With news, calendar of upcoming shows, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/Oceania/New_Zealand", "url": "http://www.nzpolicepipeband.com/"} +{"d:Title": "St. Andrews Society of the River Plate Pipe Band", "d:Description": "(Buenos Aires, Argentina) First pipe band formed beyond the British Commonwealth. Offers pictures, schedule of classes and events, and repertoire of the band.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Bands/South_America", "url": "http://www.sasrp.org/pb/"} +{"d:Title": "The Bagpipe Society", "d:Description": "International membership, quarterly newsletter. Events, membership details, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.bagpipesociety.org.uk/"} +{"d:Title": "Royal Scottish Pipe Band Association", "d:Description": "Official organism, gathering the bagpipe bands of the world. With institutional information, forums, a newsboard and competition dates and results. Also offers information on the magazine published by the RSPBA.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.rspba.org/"} +{"d:Title": "Royal New Zealand Pipe Bands' Association", "d:Description": "RNZPBA represents the pipe band movement in New Zealand. Offers resources for local and visiting band members, including links, publications, and competition results.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.nzpipebands.org.nz/"} +{"d:Title": "Irish Pipe Band Association", "d:Description": "Official site of the Irish Pipe Band Association (Cumamn na Buidean P\u00edobair\u00ed na h\u00c9ireann). Includes contest results for All-Ireland Pipe Band Championshps, events calendar, and bagpipe links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.ipba.ie/"} +{"d:Title": "The Piobaireachd Society", "d:Description": "Society with the aim of encouraging the study and playing of piobaireachd, the classical music of the Highland bagpipe. Offers information about the society, its publications, and competitions.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.piobaireachd.co.uk/"} +{"d:Title": "Lowland and Border Pipers' Society", "d:Description": "Enthusiasts of the Scottish smallpipes and other bellows blown bagpipes.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.lbps.net/"} +{"d:Title": "Scottish Piping Society of London", "d:Description": "The latest news, views and info from the home of the Great Highland Bagpipe in the London region.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.scottishpipingsocietyoflondon.com/"} +{"d:Title": "Royal Air Force Pipe Band Association", "d:Description": "Association grouping the five Scottish pipe bands of the Royal Air Force. With history, locations and dress code information, along with a calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.rafpba.com/"} +{"d:Title": "Sprague Bagpipe School", "d:Description": "Offers professional bagpipe lessons for Maryland, Virginia, and District of Columbia areas. Displays a brief biography of the tutors and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://spraguebagpipeschool.com/"} +{"d:Title": "Dutch Uilleann Pipers Club", "d:Description": "Home of the Nederlandse Vereniging van Uilleann Pipers (NVUP). With news, schedule, and calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Organizations", "url": "http://www.nvup.nl/"} +{"d:Title": "Paul Beekhuizen", "d:Description": "Dutch maker of early bagpipes and Renaissance woodwind instruments, with pictures and technical information on each piece.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.paulbeekhuizen.nl/"} +{"d:Title": "Making Scottish Small Pipes", "d:Description": "Instructions for making Scottish small pipes from CPVC and brass tubing. Includes diagrams, assembly instructions, and sound files.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www3.telus.net/ereiswig/ssp_make.htm"} +{"d:Title": "MacLellan Bagpipes", "d:Description": "Made to order highland bagpipes, made from Cocobolo, or African Blackwood. Also piping supplies, chanters and highland dress. Located in Lakewood, New Jersey, United States.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.highland-pipemaker.com/"} +{"d:Title": "Ray Sloan", "d:Description": "Pictures, descriptions, pricing, sound files, testimonials, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.raysloan.com/"} +{"d:Title": "Murray Reeds", "d:Description": "Offering professional cane bagpipe reeds, in Scotland. With product information and usage tips.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.murrayreeds.co.uk/"} +{"d:Title": "Celtworks", "d:Description": "Offers different kinds of bagpipes, chanters, reeds, and other accessories for pipers.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.celtworks.com/"} +{"d:Title": "Fletcher Bagpipes", "d:Description": "Maker of handcrafted bagpipes. Features a catalog of available models, and a list of shops selling their products.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.fletcherbagpipes.co.uk/"} +{"d:Title": "S.Z.B.E Whistle Japan", "d:Description": "Hand-made uillean pipes and tin whistles from Japan.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.szbe.net/"} +{"d:Title": "Duncan Soutar of St Andrews", "d:Description": "Scottish bagpipes, reeds, chanters and bagpipe music.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.soutarbagpipes.com/"} +{"d:Title": "UK Expo International", "d:Description": "Manufacturers and exporters of bagpipes, chanters, accessories and dress, also offering some other Celtic instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.uk-expo.biz/"} +{"d:Title": "D. MacPherson Bagpipes Ltd.", "d:Description": "Located in Angus, Scotland, these Scottish Bagpipes are hand-crafted by Doug MacPherson. With pictures, reviews and descriptions of bagpipes offered.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.macphersonbagpipes.co.uk/"} +{"d:Title": "Columbia Products: Bagpipes", "d:Description": "Manufacturer&wholesale distributors of Scottish Highland and Uileann Bagpipes, practice sets, and mini toy playable bagpipes.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://columbiamusic.homestead.com/bagpipe.html"} +{"d:Title": "Musica Inspirata", "d:Description": "Maker of hand-crafted bagpipes located in Italy.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.musicainspirata.it/"} +{"d:Title": "Alaskan Art and Bagpipes", "d:Description": "Photos and information about the building of unique Alaskan diamond willow bagpipes.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://www.coyclanart.com/new_bagpipes.html"} +{"d:Title": "PipesLand", "d:Description": "Bagpipes by Alexandre Anistratov for performance of ancient and modern music. Manufacture and sales of various bagpipes: Great Highland, Uilleann, Smallpipe, Spanish Gaita and accessories. Repairs, replacement parts, restorations.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://pipesland.com/"} +{"d:Title": "Colin Kyo Bagpipes", "d:Description": "Hand made, world class Highland Bagpipes custom made by Murray Huggins in Medford, Oregon. With information about available products and services, and a picture gallery of past custom works.", "topic": "Top/Arts/Music/Instruments/Winds/Bagpipe/Pipemaking", "url": "http://colinkyobagpipes.com/"} +{"d:Title": "The Internet Bandsman", "d:Description": "Brass band links and related sites for bandsmen and those who enjoy brass music.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.ibew.co.uk/"} +{"d:Title": "The Historic Brass Society", "d:Description": "History of brass instruments, journal information, publications", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.historicbrass.org/"} +{"d:Title": "Embouchures.com", "d:Description": "An informational site for brass players with embouchure problems or lip injuries.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.embouchures.com/"} +{"d:Title": "The Eb/F Pitched Brass Instrument Page", "d:Description": "Information about a wide variety of brass instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.angelfire.com/oh/EbAltoTenorFhorn/index.html"} +{"d:Title": "Music For Brass.com", "d:Description": "Articles on instrument performance, musicians' income tax, sheet music, and CDs. Maintained by Brad Howland.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.musicforbrass.com/"} +{"d:Title": "The Brass Herald", "d:Description": "Online magazine for Brass Musicians.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.thebrassherald.com/"} +{"d:Title": "Hosaphone Headquarters", "d:Description": "Homepage for the low-cost musical valveless trumpet-like brasswind instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://hosaphone.com/"} +{"d:Title": "BrassReview.com", "d:Description": "Player's reviews of brass instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.brassreview.com/"} +{"d:Title": "Bach Brass", "d:Description": "History of instruments and mouthpieces designed by Vincent Bach starting in 1918 in New York, now sold by Selmer, with drawings and technical information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.bachbrass.com/"} +{"d:Title": "Fingering Charts", "d:Description": "For trumpet, horn, trombone, euphonium, and BBb tuba.", "topic": "Top/Arts/Music/Instruments/Winds/Brass", "url": "http://www.cpp.edu/~dmgrasmick/mu330/BrassInstrumentFingerings.html"} +{"d:Title": "Historic Brass Society", "d:Description": "An international music organization concerned with the entire range of early brass music, from ancient antiquity and the Biblical period through to the 20th century.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Early_Instruments", "url": "http://www.historicbrass.org/"} +{"d:Title": "Historical Brass Instruments", "d:Description": "Guide to rotary valve trumpets, cornets, serpents, sackbuts, Renaissance and baroque trumpets. From Antique Sound Workshop, dealer in Plymouth, Massachusetts, USA, with online and mail order shopping available.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Early_Instruments", "url": "http://www.aswltd.com/brass.htm"} +{"d:Title": "The Cornet Compendium: The History and Development of the Nineteenth-Century Cornet", "d:Description": "Dissertation style document dealing with the early history, soloists, solos, tutors, manufacturers, links, and bibliography pertaining to the cornet of the nineteenth century.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Early_Instruments", "url": "http://www.angelfire.com/music2/thecornetcompendium/"} +{"d:Title": "Matthew Parker Trumpets", "d:Description": "Maker of all types of natural trumpets, in addition to providing a customizing service for modern ones.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Early_Instruments", "url": "http://www.matthewparkertrumpets.com/"} +{"d:Title": "Allegheny Brass Band", "d:Description": "Brass band from Pittsburgh, Pennsylvania featuring trombonists Vicki Casilli, Dave Molter, Bob Marshall, and John Weber.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles", "url": "http://www.alleghenybrassband.com/"} +{"d:Title": "Surrey Brass", "d:Description": "(Surrey, UK): Diary, member information, contact details and repertoire", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles", "url": "http://www.surreybrass.co.uk/"} +{"d:Title": "Bristol Brass Consort", "d:Description": "[United Kingdom] Ensemble of up to eighteen performers in various combinations, founded in 1985. Cites performances of ambitious repertoire by Mussourgsky and Leonoard Bernstein, with concert diary, pictures, and humours weblog.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles", "url": "http://www.bristolbrassconsort.com/"} +{"d:Title": "Jingle Brass", "d:Description": "Six Christmas carols as performed by Jingle Brass", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles", "url": "http://www.jinglebrass.co.uk/"} +{"d:Title": "Hannaford Street Silver Band", "d:Description": "Professional brass instrument group and resident ensemble of the St.Lawrence Centre for the Arts. Provides announcements, concert series details, and information about their youth organization.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles", "url": "http://www.hssb.ca/"} +{"d:Title": "River City Brass Band", "d:Description": "Based in Pittsburgh, Pennsylvania this brass ensemble features Carl Jackson and Jason Malloy on trombone, and Ronald Spang on bass trombone. Includes photographs, an online store, recordings, schedule, biographies, history, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles", "url": "https://rivercitybrass.org/"} +{"d:Title": "Vienna Brass", "d:Description": "(Vienna, Austria) - Calendar, Discography, Biographies, Reviews, Repertoire", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.viennabrass.com/"} +{"d:Title": "Arctic Brass", "d:Description": "In 1983 this brass quintet from Norway was originally called the North Norwegian Brass Ensemble. Features trombonist Gaute Vikdal. Includes history, photos, schedule, recordings, and reviews. Site is written in English and Norwegian.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.arcticbrass.no/"} +{"d:Title": "Equinox Chamber Players", "d:Description": "Professional nonprofit chamber music ensemble. History, festival details, events, biographies, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.equinoxchamberplayers.org/"} +{"d:Title": "Brass Act Brass Quintet", "d:Description": "Specializing in music for weddings, churches, and parties.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.brassact.com/"} +{"d:Title": "Boston Brass", "d:Description": "Includes photographs, biographies, tour schedule, and recording samples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.bostonbrass.com/"} +{"d:Title": "Manhattan Brass Quintet", "d:Description": "Recitals, recordings, international television and radio appearances, special events, and free-to-the-public educational and community concerts. Dedicated to advancing brass chamber music through performing, documenting and educating.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://manhattanbrassquintet.org/"} +{"d:Title": "Philadelphia Brass", "d:Description": "Brass quintet site with information regarding concert schedules as well as musical clips from recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.philadelphiabrass.com/"} +{"d:Title": "Westwind Brass", "d:Description": "California brass ensemble, in residence at San Diego State University, and San Diego Museum of Art.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.westwindbrass.org/"} +{"d:Title": "Chicago Classic Brass", "d:Description": "The Chicago Classic Brass performs a unique repertoire of light classical and popular selections including works by Bach, Bizet, Gershwin, Joplin, and Sousa.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.chicagoclassicbrass.com/"} +{"d:Title": "American Brass Quintet", "d:Description": "Quintet promotes brass chamber music as a serious chamber music medium. Site contains newsletter, events schedule, contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.americanbrassquintet.org/"} +{"d:Title": "Dallas Brass", "d:Description": "Group and contact information; discography with MP3 samples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.dallasbrass.com/"} +{"d:Title": "Rekkenze Brass", "d:Description": "(Germany) Diary, discography and contacts. English and German", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.rekkenze.de/"} +{"d:Title": "The Edison Brass", "d:Description": "(Fresno, CA, USA): Diary, booking and contact details, biographies and photo album", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://edisonbrass.tripod.com/"} +{"d:Title": "Trillium Brass Quintet Webhome", "d:Description": "(Kitchener-Waterloo, Ontario, Canada): Biographies, diary and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://webhome.idirect.com/~shcs"} +{"d:Title": "White Nights Brass Home Site", "d:Description": "(St. Petersburg, Russia): Membership information, history of the quintet, diary page and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://wnb.narod.ru/"} +{"d:Title": "Naters Brass Quintet (NBQ)", "d:Description": "(Switzerland): MP3, history, photographs", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.nbq.ch/"} +{"d:Title": "Long Beach Community Band", "d:Description": "Holiday music by the Boardwalk Brass. Membership details, contact information, and calendar.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.webconnections.com/LBCB/"} +{"d:Title": "True North Brass", "d:Description": "Canadian brass quintet: biographies, news and history", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.truenorthbrass.com/"} +{"d:Title": "Bay Street Brassworks", "d:Description": "(Baltimore, MD, US): Professional brass quintet. Biographies, photos, repertoire, audio clips, booking information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.baystreetbrassworks.com/"} +{"d:Title": "Fog City Brass", "d:Description": "(San Francisco, CA, US): Biographies, photo, concert information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.fogcitybrass.com/"} +{"d:Title": "Bala Brass", "d:Description": "(Boston, MA) The contemporary ensemble, Bala Brass, is a brass quintet winning over the hearts of audiences around the globe with its infectious spirit and enthusiastic performances.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.balabrass.org/"} +{"d:Title": "The Festival Brass of Dallas", "d:Description": "(Dallas, TX) Since 1979, the Festival Brass has provided music services for upscale weddings in the Dallas/Fort Worth metro area.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.festivalbrassofdallas.com/"} +{"d:Title": "Woodstock Brass Quintet", "d:Description": "Woodstock, New York based group offers links to gig calendar with photo and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.woodstockbrassquintet.com/"} +{"d:Title": "Brass Express", "d:Description": "Maryland-based professional brass quintet performing at weddings, graduations, parties and corporate events. Photos, artist biographies, repertoire and audio.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://brassexpress.com/"} +{"d:Title": "OnyxBrass", "d:Description": "(UK) Highly acclaimed brass quintet. Web site features biographies, recordings, repertoire, educational outreach programs, reviews, and news. Their repertoire focuses on newly commissioned works for the brass quintet and choir.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.onyxbrass.co.uk/"} +{"d:Title": "Texas Brass Ensemble", "d:Description": "(Woodlands, Texas) Sounds of the South are the hallmark of this ensemble. Web site features biographies, concerts, clinics, educational programs, festivals, weddings, and other events.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.texasbrass.com/"} +{"d:Title": "Occasional Brass Quintet (Boston, MA)", "d:Description": "History, biographies, songlist, samples, pricing, and contact information for this Boston-based, fun and vibrant brass quintet.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.occasionalbrass.com/"} +{"d:Title": "The Menlo Brass Quintet", "d:Description": "[San Francisco Bay, California, US] Lists concert performance schedule, wedding programs, popular programs, Christmas programs and recordings including commissioned original works.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.menlobrass.com/"} +{"d:Title": "Black Diamond Brass", "d:Description": "[Seattle, Washington, US] Group formed in 2001, available for weddings, commencements, and school programs. With history, audio, and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.blackdiamondbrass.com/"} +{"d:Title": "Gaudete Brass Quintet", "d:Description": "Midwest-based ensemble offers testimonials from clients from Chicago, Milwaukee, and across the US. With musician biographies, CD sales, and photo-illustrated weblog about a tour in a 15-passenger van.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.gaudetebrass.com/"} +{"d:Title": "Academy Brass Quintet", "d:Description": "Located in Toledo, Ohio and featuring Bruce Heuring on trombone. Includes photos, biographies, history, recordings, MP3 sound samples, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.academybrassquintet.com/"} +{"d:Title": "Majestic Brass Quintet", "d:Description": "Based in Boston, Massachusetts this quintet features trombonist David Begnoche. Includes RealAudio sound samples, history, schedule, photos, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.majesticbrass.com/"} +{"d:Title": "Foothills Brass Quintet", "d:Description": "(AB, Canada): Full time professional quintet. Concert schedules, educational resources, programs, recordings, biographies, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.foothillsbrass.ca/"} +{"d:Title": "The Canadian Brass", "d:Description": "Members, history of the ensemble, news, recordings, and merchandise.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Ensembles/Quintets", "url": "http://www.canadianbrass.com/"} +{"d:Title": "Bands in the Park", "d:Description": "Listing of free brass band concerts in West Midlands, England.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Events", "url": "http://www.bandsinthepark.org.uk/"} +{"d:Title": "WMC Festival", "d:Description": "Annual Dutch music festival.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Events", "url": "http://www.wmc.nl/"} +{"d:Title": "Glen's Horn World", "d:Description": "Events, classified ads, music, repair information, serial numbers, and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.hornworld.com/"} +{"d:Title": "Hornplanet.com", "d:Description": "Horn museum, trivia, study guides, artist profiles, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.hornplanet.com/"} +{"d:Title": "Thefrenchhorn.net", "d:Description": "Fingering chart, scales, jokes, pictures, help, maintenance tips, biographies, links, and horn history.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.thefrenchhorn.net/"} +{"d:Title": "Horn Articles Online", "d:Description": "An online book on the horn by Dr. John Q. Ericson.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www2.potsdam.edu/crane/ericsojq/articles_online.htm"} +{"d:Title": "The Horn Players' FAQ", "d:Description": "Playing tips, instrument help, performance details, links and an archive of answers to frequently asked questions.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.boerger.org/horn/"} +{"d:Title": "hornplayer.net", "d:Description": "Resources, classifieds, teachers database, and section listings.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.hornplayer.net/"} +{"d:Title": "Embouchures.com", "d:Description": "For players suffering with embouchure problems or lip injuries.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.embouchures.com/"} +{"d:Title": "Orchestral Horn Excerpts", "d:Description": "Excerpts accompanied by audio file performances of various orchestras.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.hornexcerpts.org/"} +{"d:Title": "French-horn.be", "d:Description": "Horn web site in English, French, and Dutch maintained by the members of the Cyprium Horn Quartet; contains links, jokes and a link to a physics web site explaining principles such as timbre and pitch.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.french-horn.be/"} +{"d:Title": "The Wagner Tuba", "d:Description": "Information on the Wagner tuba, its history, composers and works.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://www.wagner-tuba.com/"} +{"d:Title": "French Horn Fingerings", "d:Description": "Fingering charts for the French horn, in graphical format.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://boerger.org/horn/finger.shtml"} +{"d:Title": "Rudy Muck", "d:Description": "Dedicated to Rudy Muck, the person, the instruments he made and sold as well as the mouthpieces he produced.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn", "url": "http://rudymuck.info/"} +{"d:Title": "American Horn Quartet", "d:Description": "Information about upcoming concerts and master classes.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Ensembles", "url": "http://www.hornquartet.com/"} +{"d:Title": "Opera North Horn Club", "d:Description": "Music library, picture gallery, members list, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Ensembles", "url": "http://www.hornclub.co.uk/"} +{"d:Title": "Kumamoto Horn Ensemble", "d:Description": "Composer and manufacturer rankings, member list and concert information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Ensembles", "url": "http://www007.upp.so-net.ne.jp/frenchhorn/"} +{"d:Title": "Scottish Vienna Horns", "d:Description": "Scottish ensemble of Vienna horn players; information about the Vienna horn in F.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Ensembles", "url": "http://www.svh.org.uk/"} +{"d:Title": "The Four Hornsmen of the Apocolypse", "d:Description": "Horn quartet; stite lists repertoire, contact and player information, and other horn resources.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Ensembles", "url": "http://www.4hornsmen.com/"} +{"d:Title": "Patterson Hornworks", "d:Description": "Makers of custom French horns and natural horns. Mouthpieces, leadpipes and complete restoration also available.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.hornworks.com/"} +{"d:Title": "Yamaha", "d:Description": "Manufactures beginner, intermediate and professional/custom model horns.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.yamaha.com/yamahavgn/CDA/Home/YamahaHome/"} +{"d:Title": "The PHC System of Horn Mouthpieces", "d:Description": "All about the PHC System of French Horn screw-rim mouthpieces, including a forum section for horn players' messages.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.horncups.com/"} +{"d:Title": "TrumCor Mutes and Music", "d:Description": "Handmade mutes and stopping mutes, as well as some music for horn quartets and brass quintets.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.trumcor.com/"} +{"d:Title": "Thompson Edition, Inc.", "d:Description": "Horn cases, mouthpieces, mutes, sheet music, method books, and the \"Thompson Edition\": a collection of reprinted original orchestra parts.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.thompsonedition.com/"} +{"d:Title": "Osmun Music", "d:Description": "Specialize in selling brass instruments and accessories.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.osmun.com/"} +{"d:Title": "Rocky Mountain Alphorns", "d:Description": "Sells handcrafted alphorns, alphorn sheet music and CDs.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.alphorn.ca/"} +{"d:Title": "B.E.R.P", "d:Description": "Sells an invention called the Buzz Extension and Resistance Piece for mouthpiece buzzing/practicing.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.berp.com/"} +{"d:Title": "Alexander, Gebr.", "d:Description": "Horn makers. Model pictures and details, as well as general information about the family business.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.gebr-alexander.com/"} +{"d:Title": "Seraphinoff, Richard", "d:Description": "Manufactures historical reproductions of Baroque and Classical natural horns.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.seraphinoff.com/"} +{"d:Title": "Sorley's Horn Shop", "d:Description": "Maker of handcrafted custom F/Bb double horns, leadpipes and repair specialist.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.sorleyhorns.com/"} +{"d:Title": "Finke Horns", "d:Description": "Hand crafted single, double, triple and natural horns.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.finkehorns.de/English/Index.html"} +{"d:Title": "Atkinson Brass Company", "d:Description": "Specialisers in horn repair, customization and hand manufacturing", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.atkinsonhorns.com/"} +{"d:Title": "Schmid, Engelbert", "d:Description": "Handmade horns, bells and mouthpieces. [German, English, French and Spanish]", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Manufacturers", "url": "http://www.french-horn.com/schmid/"} +{"d:Title": "Antique Mellophones", "d:Description": "Information and pictures on various brands and types of antiques.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Mellophone", "url": "http://www.angelfire.com/oh/EbAltoTenorFhorn/mellphone.html"} +{"d:Title": "Cricket's Mellophone Fingering Chart", "d:Description": "For the standard marching mellophone.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Mellophone", "url": "http://www.seidata.com/~wroberts/horn/chart2.html"} +{"d:Title": "Kanstul", "d:Description": "Manufacturers marching brass instruments and mouthpieces.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Mellophone/Manufacturers", "url": "http://www.kanstul.com/"} +{"d:Title": "The Italian Horn Site", "d:Description": "Links, mp3s, news, articles, and a list of Italian horn sections.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Organizations", "url": "http://www.corno.it/"} +{"d:Title": "International Horn Society", "d:Description": "Founded in June of 1970, The International Horn Society [IHS] was created for the purpose of spreading knowledge about the Horn and its repertoire, and to nurture the good fellowship among its players.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Organizations", "url": "http://www.hornsociety.org/"} +{"d:Title": "Southeast Horn Workshop", "d:Description": "A regional workshop of the International Horn Society held yearly in the Southeast United States.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Organizations", "url": "http://www.southeasthornworkshop.org/"} +{"d:Title": "Nederlands Horn Society", "d:Description": "A society for both professional and amateur hornplayers.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Organizations", "url": "http://www.hoornistengenootschap.nl/"} +{"d:Title": "Brain, Dennis", "d:Description": "Genealogy, album collection, ensembles he performed in, and links. [English/Japanese]", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.geocities.co.jp/MusicHall/1921/"} +{"d:Title": "Baptist, David", "d:Description": "Biography, list of compositions and arrangements.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://users.sedona.net/~baptist/"} +{"d:Title": "Rider, Wendell", "d:Description": "Information about summer seminars, FAQs, links, and his book \"Real World Horn Playing.\"", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.wendellworld.com/"} +{"d:Title": "Pizka, Hans", "d:Description": "Contains information on horn playing, well known horn players biographies, links and CDs.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.pizka.de/"} +{"d:Title": "Feinstein, Harlan", "d:Description": "Links to his jazz horn page, music resume, a few photos, and some basic information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://feinsteins.net/harlan/"} +{"d:Title": "Matosinhos, Ricardo", "d:Description": "Biography, photo Album, musical jokes, and French horn playing techniques.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.ricardomatosinhos.com/"} +{"d:Title": "Damm, Peter", "d:Description": "Curriculum vitae, discography, and publications.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.hornistpeterdamm.de/"} +{"d:Title": "Becker, Konstantin", "d:Description": "Solo horn of the Venice Opera Gran Teatro La Fenice; contains bio and masterclass information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://users.libero.it/rienzi/"} +{"d:Title": "Lasthorn.com", "d:Description": "Web site of professional hornist Aaron Brask. Solo CDs for sale and biography information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.lasthorn.com/"} +{"d:Title": "Zempleni, Szabolcs", "d:Description": "Hungarian hornist Szabolcs Zempleni, solo horn of the Bamberger Symphoniker; biography, concert calendar, and other information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.zempleni.com/"} +{"d:Title": "Thompson, Michael", "d:Description": "Soloist and conductor. Includes detailed biography, discography, media, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players", "url": "http://www.michaelthompson.uk.com/"} +{"d:Title": "Chancey, Vincent", "d:Description": "Discography, upcoming events, biography, reviews, and pictures of his many trips around the world.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players/Jazz", "url": "http://www.vincentchancey.com/"} +{"d:Title": "Shilkloper, Arkady", "d:Description": "Biography and discography of this jazz horn player from Moscow.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players/Jazz", "url": "http://www.jazz.ru/eng/pages/shilkloper/default.htm"} +{"d:Title": "Clark, John", "d:Description": "A catalogue of his sheet music, CDs, books, plus resume and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Horn/Players/Jazz", "url": "http://www.hmmusic.com/"} +{"d:Title": "Thein", "d:Description": "Maker of trumpets, trombones, horns, tubas, triangles, mouthpieces, and historical instruments. Includes history, photographs of the workshop, articles, profiles and discography of Ben van Dijk, Matthias Hofs, and Hendrik-Jan Renes, and links. [English/German/Japanese]", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Makers", "url": "http://www.thein-brass.de/"} +{"d:Title": "Blechblas-Instrumentenbau Egger", "d:Description": "Brass instruments including replicas and adaptations of historical instruments, and historical trumpet mouthpieces.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Makers", "url": "http://www.eggerinstruments.ch/"} +{"d:Title": "Schilke Music Products", "d:Description": "Manufacturers of trumpets, trombones, cornets, and mouthpieces.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.schilkemusic.com/"} +{"d:Title": "Edwards Instruments", "d:Description": "Trumpets and trombones. History, pictures, descriptions, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.edwards-instruments.com/"} +{"d:Title": "Antoine Courtois", "d:Description": "Brass instruments by Antoine Courtois, Paris.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.courtois-paris.com/"} +{"d:Title": "Getzen Company", "d:Description": "FAQ, history, accessories, instrument specifics, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.getzen.com/"} +{"d:Title": "David G. Monette Corporation", "d:Description": "Manufacturer of trumpets and trumpet mouthpieces. View product line, sound clips, and order products.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.monette.net/"} +{"d:Title": "Edwards", "d:Description": "UK distributor for Edwards trombones and trumpets.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.edwards-instruments.co.uk/"} +{"d:Title": "Flip Oakes Wild Thing", "d:Description": "Wild Thing Custom Trumpet, a large bore trumpet designed for jazz trumpet players.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.flipoakes.com/"} +{"d:Title": "E.K. Blessing Co., Inc.", "d:Description": "Family-owned instrument manufacturer.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.ekblessing.com/"} +{"d:Title": "Musikhaus F.G. Loebner", "d:Description": "Designs and hand crafts trumpets and trombones.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.loebnerbrass.com/"} +{"d:Title": "Conn-Selmer", "d:Description": "Manufacturer of various brands of brass instruments, and distributor of imported woodwinds.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers", "url": "http://www.conn-selmer.com/"} +{"d:Title": "Buzz extension and retention piece", "d:Description": "Manufacturer of mouthpiece clamps.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.berp.com/"} +{"d:Title": "Bob Reeves Brass Mouthpieces", "d:Description": "Information on alterations, valve alignments and brass mouthpieces. Custom and stock mouthpieces are available.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.bobreeves.com/"} +{"d:Title": "Zaja Musical Products", "d:Description": "Valve oil, lubricants and accessories for brass instruments. FAQ and product descriptions.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.zajamusic.com/"} +{"d:Title": "Warburton Music Products", "d:Description": "Manufacturer of mouthpieces featuring a 2-piece design. One and three piece models and customization also available.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.warburton-usa.com/"} +{"d:Title": "Griego Mouthpieces", "d:Description": "Specializes in trombone mouthpieces and leather accessories.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.griegomouthpieces.com/"} +{"d:Title": "MMP Brasswind Mouthpieces", "d:Description": "Located in Luedenscheid, Germany. The site contains specifications, price lists, games, and warmup exercises.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.brasswind.org/"} +{"d:Title": "Stork Custom Mouthpieces", "d:Description": "Custom-made and stock mouthpieces. Located in Plainfield, Vermont, USA.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.storkcustom.com/"} +{"d:Title": "Fat Cat Instrument Co., Inc.", "d:Description": "Non-toxic music instrument oil and tuning slide grease. Product descriptions and list of dealers.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.fatcatoil.com/"} +{"d:Title": "Curry Precision Mouthpieces", "d:Description": "Mouthpieces and accessories for brass players. Includes dealer listing.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Manufacturers/Accessories", "url": "http://www.currympc.com/"} +{"d:Title": "Online Trombone Journal", "d:Description": "Journal in the field of trombone performance and pedagogy. Articles and reviews, a directory of trombonists, classified ads, and other resources for trombone players and teachers.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone", "url": "http://www.trombone.org/"} +{"d:Title": "Trombone Page of the World", "d:Description": "More than 4000 trombonists listed on this site with links - e-mail, phone, biography, university, orchestra, jazz clubs, Dutch Musicians, jazz labels, and bass trombonist Ren\u00e9 Laanen.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone", "url": "http://www.trombone-usa.com/"} +{"d:Title": "Trombone History", "d:Description": "Researched by trombonist Karl Backstrom in Sweden, this is a history of all different types of trombones.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone", "url": "http://www.lysator.liu.se/~backstrom/trombone.html"} +{"d:Title": "Trombone-L List", "d:Description": "This is the original listserv from Eric W. Nicklas at the University of Missouri in Columbia, Missouri for discussing anything and everything related to the trombone. Includes details about subscriptions and searching the archives.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone", "url": "http://www.trombone.org/trombone-l/"} +{"d:Title": "JazzTrombones.com", "d:Description": "Site dedicated to trombonists past and present and the art of playing.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone", "url": "http://www.jazztrombones.com/"} +{"d:Title": "Alto Trombone Home Page", "d:Description": "From trombonist and faculty member Robert Kehle at Pittsburg State University in Pittsburg, Kansas. Alto trombone, including position charts for alto trombones pitched in E-flat and F.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone", "url": "http://www.pittstate.edu/department/music/kehle/alto-trombone.dot"} +{"d:Title": "Trombone Lecture", "d:Description": "Description of the trombone with illustrations, slide position chart, and discussion of the F valve from Professor David Grasmick's brass fundamentals class at California State Polytechnic University in Pamona.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone", "url": "http://www.cpp.edu/~dmgrasmick/mu330/Trombonelecture.html"} +{"d:Title": "Florida State University Trombone Studio", "d:Description": "Led by Dr. John Drew and located in Tallahassee, Florida. Includes biography, news and events, photographs, list of students, gallery, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Academic_Departments", "url": "https://www.facebook.com/FloridaStateUniversityTromboneStudio/"} +{"d:Title": "Bass Trombone Pictures", "d:Description": "About two dozen photos show details of Torbj\u00f6rn Lundberg's horns including less common instruments such as the Greenhoe-Bach 42G/50 lt slide.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone", "url": "http://basstrombone.info/bassbone/bildere.php"} +{"d:Title": "Getzen Bass Trombones", "d:Description": "Getzen Company, located in Elkhorn, Wisconsin, describes models in their Eterna model line.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone", "url": "http://www.getzen.com/trombone/eternabass/"} +{"d:Title": "basstrombones.com", "d:Description": "Portal offers sections on bass and contra-bass trombones, technology, and mouthpieces, with links to dozens of manufacturers offering pictures, specifications, and estimated prices for each model. Maintained by Frank M\u00f6wes of N\u00fcrnberg, Germany.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone", "url": "http://www.basstrombones.com/"} +{"d:Title": "Brown, Joshua", "d:Description": "Dallas/Fort Worth bass trombonist offers weblog, and links to news, recordings, and resources.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://www.bassbone.com/"} +{"d:Title": "Taylor, David", "d:Description": "Bass trombonist and New York City recording artist. Offers a biography and information about the artist.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://www.davetaylor.net/"} +{"d:Title": "De Vos, Geert", "d:Description": "Bass trombonist in the Belgian National Opera. Includes photographs, biography, CD reviews, contact information, and details about his 12-piece Belgian Brass ensemble and also the Bone Project, a classical/jazz group.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://www.geertdevos.net/"} +{"d:Title": "Schmidt, Kevin", "d:Description": "Jazz bass trombonist and composer based in New York City includes profile, recordings, and audio samples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://bassboneman.com/"} +{"d:Title": "van Dijk, Ben", "d:Description": "Bass trombonist with the Rotterdam Philharmonic and professor at the Rotterdam Conservatory. Includes news, links, performance tips, and memorial to his father, noted symphonic trombonist Piet van Dijk.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://www.basstrombone.nl/"} +{"d:Title": "Yeo, Douglas", "d:Description": "Bass trombonist with the Boston Symphony Orchestra offers articles, teaching resources, literature recommendations, concert and master class calendar, photos, and extensive links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://www.yeodoug.com/"} +{"d:Title": "Hedges, Howard", "d:Description": "Obituary from the Homer News in Homer, Alaska mentions stints with Stan Kenton and Ray Charles bands, background in Florida and California, and positive attitude despite diabetes, stroke, and amputation.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://www.homernews.com/stories/101607/news_howd.shtml"} +{"d:Title": "Roberts, George", "d:Description": "Page from trombone-usa.com for the dean of bass trombonists offers photos and lengthy interview.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Bass_Trombone/Bass_Trombonists", "url": "http://www.trombone-usa.com/roberts_george.htm"} +{"d:Title": "BonesWest", "d:Description": "Big band style trombone choir, founded by George Roberts. Includes schedule, biographies, photos, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Ensembles", "url": "http://boneswest.org/"} +{"d:Title": "Trombone Page of the World: Ensembles", "d:Description": "Links to dozens of trombone ensembles from around the world, in many languages.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Ensembles", "url": "http://www.trombone-usa.com/ensembles.htm"} +{"d:Title": "Concerto Palatino", "d:Description": "History, programs, and biographies for Concerto Palatino, an ensemble for coronetti, trombones, and organ co-founded in 1987 by trombonist Charles Toet.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Ensembles", "url": "http://www.concertopalatino.com/"} +{"d:Title": "The Capitol Bones", "d:Description": "Trombones, piano, bass, and drums from Washington, DC featuring trombonists Matt Niess, Jim McFalls, Jay Gibble, Jerry Amoury, and Jeff Cortazzo. Includes schedules, photographs, recordings, MP3 sound samples, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Ensembles", "url": "https://www.facebook.com/The-Capitol-Bones-251941344951887/"} +{"d:Title": "The American Trombone Workshop", "d:Description": "Annual event of the United States Army Band held during March. Provides schedule and information about competitions, guest artists, and lodging.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Events", "url": "http://www.usarmyband.com/trombone/"} +{"d:Title": "Michael Rath Trombones", "d:Description": "Based in Huddersfield, West Yorkshire, England and run by trombonists Michael Rath, Andrew Hutchinson, Adrian Davison, and Christopher Beaumont. Famous for the R1 model, the Hagmann valve, as well as a family of sackbuts modelled on instruments made by Anton Schnitzer in the 16th century.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Manufacturers", "url": "http://www.rathtrombones.com/"} +{"d:Title": "Hagmann Free-Flow Rotary Valve", "d:Description": "From Rene Hagmann in Geneva, Switzerland. Famous for unique air flow path, and levers with saddle design.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Manufacturers", "url": "http://www.trombone.ch/"} +{"d:Title": "Aniello Sessa Trombone Compatto", "d:Description": "The Compact Trombone was created by a trombonist from Italy in 1993. Famous for the small size and double slide. This site is written in Italian and English, and requires Macromedia Flash Player.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Manufacturers", "url": "http://www.aniellosessa.com/"} +{"d:Title": "S. E. Shires Co.", "d:Description": "Custom trombone builder. Includes a gallery of instruments and production photographs, and a dealer listing.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Manufacturers", "url": "http://www.seshires.com/"} +{"d:Title": "Greenhoe Trombones", "d:Description": "From trombonist Gary Greenhoe in Jackson, Wisconsin. Famous for the modular Greenhoe Valve and Lever Section, customizing Conn trombones, the Rest Bar, Sonic Suspension, and an invention by trombonist Ralph Sauer called The Brace.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Manufacturers", "url": "http://www.greenhoe.com/"} +{"d:Title": "The Historic Brass Society", "d:Description": "History of brass instruments, journal information, publications.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Organizations", "url": "http://www.historicbrass.org/"} +{"d:Title": "International Trombone Association", "d:Description": "Dedicated to the advancement of trombone teaching, performance and literature.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Organizations", "url": "http://www.trombone.net/"} +{"d:Title": "British Trombone Society", "d:Description": "Details about the society, history, membership information, officers, classified ads, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Organizations", "url": "http://www.britishtrombonesociety.org/"} +{"d:Title": "Cepeda, William", "d:Description": "Trombonist, percussionist and composer from Puerto Rico offers photo, biography, discography and CD sales.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.williamcepeda.com/"} +{"d:Title": "Morrison, James", "d:Description": "Australian multi-instrumentalist. His primary instrument is trumpet but he also plays trombone, tuba, and euphonium. Biography, tour dates, and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jamesmorrison.com.au/"} +{"d:Title": "Bann, Stan", "d:Description": "Trombonist, composer, and leader of the Stan Bann Big Band in Minnesota. Includes photos, recordings, MP3 sound samples, gig listings, personnel roster, reviews, and ordering information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.stanbann.com/"} +{"d:Title": "Taylor, David", "d:Description": "Bass Trombonist and New York City recording artist. Offers a biography and information about the artist.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.davetaylor.net/"} +{"d:Title": "Buzzart\u00e9, Monique", "d:Description": "Biography, discography, commissions, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.buzzarte.org/"} +{"d:Title": "Lindberg, Christian", "d:Description": "Professional trombone soloist. Pictures, biography, reviews, and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.tarrodi.se/lindberg/index.html"} +{"d:Title": "Conant, Abbie and Osborne, William", "d:Description": "Biographies, recordings, press reviews, articles, performance schedule, repertoire, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.osborne-conant.org/"} +{"d:Title": "de Souza, Raul", "d:Description": "Brazilian trombonist. Biography, discography, CDs, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.brazilianmusic.com/raul/"} +{"d:Title": "McChesney, Bob", "d:Description": "Biographical information on trombonist Bob McChesney, as well as information on his method book, recordings, concerts and clinics.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.bobmcchesney.com/"} +{"d:Title": "Stark, Cliff", "d:Description": "Former trombonist in the Frank Sinatra Orchestra.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzmasters.nl/cliff_stark.htm"} +{"d:Title": "Boeren, Bert", "d:Description": "Dutch trombonist.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzmasters.nl/boeren.htm"} +{"d:Title": "Reichenbach, Bill", "d:Description": "Los Angeles freelance bass trombonist. Biography and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzmasters.nl/reichenbach.html"} +{"d:Title": "Malone, Tom", "d:Description": "\"Late Show with David Letterman\" trombonist. Biography and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzmasters.nl/malone_tom.htm"} +{"d:Title": "Kachnowski, John", "d:Description": "Michigan trombonist who is experienced in ska, rock, funk, and classical. You can read more about his discography, awards, performance credits, as well as upcoming projects.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://johnkachnowski.tripod.com/"} +{"d:Title": "Avitsur, Haim", "d:Description": "Israeli trombonist who is now performing and teaching in New York. Biography, World Premieres and New Music for Trombone, upcoming events, and MP3 samples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.haimavitsur.com/"} +{"d:Title": "Franke, Glenn", "d:Description": "Based in New York City, this trombonist is best known as the danceband leader for Glenn's NYC Metro Band. Performance credits, RealAudio samples, and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.glennfranke.com/"} +{"d:Title": "Kaplan, Alan", "d:Description": "Los Angeles studio musician. CD and booking information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://lonelytown.tripod.com/"} +{"d:Title": "Washburne, Chris", "d:Description": "Features a biography, itinerary, projects, discography, ethnomusicology, reviews, and musical examples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://chriswashburne.com/"} +{"d:Title": "Carelli, Gerard", "d:Description": "Trombonist and band leader in New York. Includes photographs, calendar, MP3 sound samples, recordings and reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.1800gcsings.com/"} +{"d:Title": "Martin, Glenn", "d:Description": "Professor of Trombone at Cumberland University in Lebanon, Tennessee. Includes photographs, pages of links, details about summer camps and music resources.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.softek.net/glenn.martin"} +{"d:Title": "Landgren, Nils", "d:Description": "Professional trombonist from Sweden. Includes pictures, biography, interviews, RealVideo and MPEG video samples, RealAudio sound samples, tour schedules, and list of works. Site is written in both English and Dutch.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.nilslandgren.com/"} +{"d:Title": "Kafalas, John", "d:Description": "Freelance trombonist from Flagstaff, Arizona. Includes a list of performance credits, and details about the Kafalas.com design firm.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.kafalas.com/music.htm"} +{"d:Title": "Fedchock, John", "d:Description": "Professional trombonist in New York City. Includes photos, biography, itinerary, discography, links, recordings, and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.johnfedchock.com/"} +{"d:Title": "De Meij, Johan", "d:Description": "Trombonist and composer from the Netherlands. Includes photographs, biography, discography, list of compositions, as well as ordering and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.euronet.nl/~amsmusic/"} +{"d:Title": "Regan, Dan", "d:Description": "Trombonist from the group Reel Big Fish in California. This is a 1996 interview first published in Drop-D Magazine.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://dropd.com/issue/37/ReelBigFish/"} +{"d:Title": "Wendelboe, Jens", "d:Description": "Trombonist, composer, and Crazy Energy Orchestra bandleader from Oslo, Norway. Includes biography, pictures, MP3 sound samples, performance credits, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.crazyenergy.com/"} +{"d:Title": "VerPlanck, J. \"Billy\"", "d:Description": "Trombonist, composer, and arranger from Clifton, New Jersey. Famous for scores and arrangements sung by his wife, Marlene.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.marleneverplanck.com/"} +{"d:Title": "Kay, Brian", "d:Description": "Bass trombonist from Natick, Massachusetts. Includes photographs, biography, RealAudio and MP3 sound samples, trombone teaching resources, and details about the brass quintet Apollo Brass.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.apollobrass.com/"} +{"d:Title": "Abraham, Phil", "d:Description": "Professional trombonist from Belgium. Includes photos, biography, schedule, discography, reviews, awards, MP3 sound samples, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.philabraham.com/"} +{"d:Title": "Begnoche, David", "d:Description": "Professional trombonist from Connecticut. Includes photograph and brief biography from the Majestic Brass Quintet.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.majesticbrass.com/quintet_dave.htm"} +{"d:Title": "Platt, Gary", "d:Description": "Trombonist, producer, and audio engineer in California. Includes photographs and brief biography from JazzMasters.nl.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzmasters.nl/platt_gary.htm"} +{"d:Title": "Kehle, Robert", "d:Description": "Trombonist and Professor of Music at Pittsburg State University in Pittsburg, Kansas. Includes photographs, biography, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.pittstate.edu/music/kehle/kehle.html"} +{"d:Title": "Jensen, John", "d:Description": "Professional trombonist from Washington, DC. Includes photographs, biography, RealAudio and MP3 sound samples, schedule, reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.dcjazz.com/johnjensen/index.htm"} +{"d:Title": "Jacobs, Mark Eliot", "d:Description": "Trombonist from Grants Pass, Oregon. Includes photographs, links, mouthpiece comparison chart, as well as details about the serpent and ophicleide.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://markejacobs.tripod.com/"} +{"d:Title": "Taylor, Dave", "d:Description": "Bass trombonist from Brooklyn, New York. Includes photographs and brief biography from JazzMasters.nl.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzmasters.nl/davidtaylor.htm"} +{"d:Title": "Cook, Steven", "d:Description": "Trombonist and High School teacher from Perry, Iowa. Better known as the Musicman. Music links, details about Perry High School and the South Central Iowa Bandmasters Association, as well as Lionel trains and other fun stuff.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://showcase.netins.net/web/music_man/"} +{"d:Title": "Good, Richard D.", "d:Description": "Trombonist and educator located in Auburn, Alabama. This page includes a photograph and curriculum vitae.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.auburn.edu/~goodric/"} +{"d:Title": "Raph, Alan", "d:Description": "Bass trombonist and composer in New York. Includes biography, publications, recordings, photographs, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://home.earthlink.net/~araph/"} +{"d:Title": "Jimenez, Generoso", "d:Description": "Celebrated Cuban trombonist and leader of the Benny More Big Band. This site from Bembe Records includes a review of \"The Dirty Trombone\" as well as a biography, RealAudio sound samples, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.bembe.com/generoso/index.html"} +{"d:Title": "McFalls, Jim", "d:Description": "Professional trombonist and educator from Columbia, Pennsylvania. Includes biography, calendar, MP3 sound samples, recordings, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jimmcfalls.com/"} +{"d:Title": "Turre, Steve", "d:Description": "Trombonist and seashellist from San Francisco, California. Best known as the trombonist on Saturday Night Live. Includes biography, itinerary, recordings, photos, discography, RealAudio sound samples, reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.steveturre.com/"} +{"d:Title": "Purviance, Douglas", "d:Description": "Bass trombonist born in Baltimore, Maryland and currently located in South Orange, New Jersey. Includes biography, performance credits, schedule, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.douglaspurviance.com/"} +{"d:Title": "Directory of Women Trombonists", "d:Description": "Alphabetical listing of professional female trombonists and e-mail links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.buzzarte.org/directory.html"} +{"d:Title": "Eshelman, David", "d:Description": "Professional trombonist in the San Francisco Bay Area of California. Includes biography, recordings, charts, details about the Jazz Garden Big Band, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzgarden.com/"} +{"d:Title": "Friedman, Jay", "d:Description": "Principal trombonist of the Chicago Symphony Orchestra and trombone professor at the Chicago College of Performing Arts at Roosevelt University. Includes photos, biography, equipment list, recordings, MP3 sound samples, articles from The Instrumentalist and ITA Journal, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jayfriedman.net/"} +{"d:Title": "Johnson, Kai", "d:Description": "Boston-based trombonist, with biography, concerts, and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.kaijohnson.org/"} +{"d:Title": "Lane, Nick", "d:Description": "Arranger and composer. Music samples, biography, and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://nicklanemusic.com/"} +{"d:Title": "Vavti, Mario", "d:Description": "News, schedule, project information, CDs, biography, and contact information about this Austrian-based trombonist.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.mariovavti.com/"} +{"d:Title": "Whitehead, Annie", "d:Description": "Professional trombonist born in Oldham, Lancashire. Includes biography, pictures, discography, MP3 sound samples, links, and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.earthmusic.com/annie.htm"} +{"d:Title": "Nakagawa, Eijiro", "d:Description": "Trombonist from Tokyo, Japan, born in 1975. Photograph and chronology. [English and Japanese]", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.eijiro.net/"} +{"d:Title": "McConnell, Mark", "d:Description": "Principal trombone with the Atlanta Opera Orchestra, the Gwinnett Philharmonic, the Columbus Symphony, and the Macon Symphony. Short biography and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.mindspring.com/~slideway/marks_site/index.html"} +{"d:Title": "Trombonis Australis", "d:Description": "Project initiated by Gregory van der Struik to develop a substantial contribution to trombone repertoire.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.trombonisaustralis.com/"} +{"d:Title": "Levy, Jeremy", "d:Description": "Los Angeles trombonist, composer/arranger, copyist. Includes score excerpts and MP3 demos of compositions.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jlevymusic.com/"} +{"d:Title": "Davis, Michael", "d:Description": "Trombonist, composer and arranger.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.hip-bonemusic.com/"} +{"d:Title": "Baker, Brett", "d:Description": "Trombone soloist, clinician and principal trombone of the Black Dyke Band", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.brettbaker.co.uk/"} +{"d:Title": "Bruchez, David", "d:Description": "Trombone soloist from Fully, Switzerland. His official homepage includes MP3 sound files, biography, photographs, repertoire, contact information, and features language translations for French, German, and English.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.davidbruchez.com/"} +{"d:Title": "Albert, Jeff", "d:Description": "New Orleans trombone player. Upcoming performances, resume, journal, sounds, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jeffalbert.com/"} +{"d:Title": "Barrett, Walter", "d:Description": "Freelance trombonist in the New York area. Includes photos, biography, performance credits, schedule, family, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://walterbarrett.com/"} +{"d:Title": "Clark, Eddie", "d:Description": "Freelance Bass Trombonist from New Jersey. Includes photographs, resume, and details about private lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.gregblackmouthpieces.com/gallery/eddieclark.htm"} +{"d:Title": "Mauldin, Mark", "d:Description": "Trombone instructor at Howard University in Washington, DC. Includes photographs, Blues for Ben MP3 sound samples, links to manufacturers, jazz trombonists, details about Howard University, and supplemental materials like the bebop scale and articulations.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://jzztbone.tripod.com/"} +{"d:Title": "Thomas, Denton", "d:Description": "Melbourne, Australia trombonist and educator Denton Thomas.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.dentonlt.com/"} +{"d:Title": "Larsson, Sven", "d:Description": "Professional trombonist from Sweden. Includes photographs, biography, recordings, MP3 sound samples from mp3.com, schedule, links, performance credits, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.wela.nu/"} +{"d:Title": "Vazquez, Papo", "d:Description": "Professional trombonist from Philadelphia, Pennsylvania. Performs with Pirates Troubadours. This site includes photographs, biography, discography, reviews, and interviews from the Latin Jazz Network.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.papovazquez.com/"} +{"d:Title": "van Lier, Bart", "d:Description": "Principal trombonist of the Metropole Orchestra, Netherlands. Biography, contact information, and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jazzmasters.nl/bartvanlier.htm"} +{"d:Title": "Alessi, Joseph", "d:Description": "Principal trombonist with the New York Philharmonic. Includes news, reviews and recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.josephalessi.com/"} +{"d:Title": "Jessome, Robin", "d:Description": "Trombonist studying at the University of Toronto. Includes a biography, events and news.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.robinjessome.com/"} +{"d:Title": "Herwig, Conrad", "d:Description": "New York based trombonist, composer and educator. Includes contact info and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.conradherwig.com/"} +{"d:Title": "Anderson, Clifton", "d:Description": "Official site. Includes a biography, gallery and itinerary.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.cliftonanderson.net/"} +{"d:Title": "Groves, John", "d:Description": "Professional trombonist from Seattle, Washington. Includes photographs, biography, Sackbut Links, details about the Didgeridoo, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.jgmp.com/"} +{"d:Title": "Woolf, Adam", "d:Description": "British trombonist based in Mechelen, Belgium. Site includes biography, discography, teaching early music \"Sackbut Solutions,\" links, photographs, .AU sound files, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://adamwoolf.com/"} +{"d:Title": "Posch, Carl A.", "d:Description": "Trombonist and Professor of Instrumental Music at Arizona Western College in Yuma, Arizona. Includes CAP Music online store, CAP Design for websites, photographs, composers list, musicians list, groups, forms, calendar, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.carlspages.com/"} +{"d:Title": "Hopkins, David", "d:Description": "Located in Cardiff, South Wales this musician is a performer on trombone, euphonium and piano, as well as a composer and arranger. Website includes biography, teaching information, links to photos, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.david-hopkins.webs.com/"} +{"d:Title": "Polster, Ian", "d:Description": "Trombonist and member of the music faculty at Wittenberg University in Springfield, Ohio. Includes biography, photographs, RealAudio, WAV and AIF sound samples, and performance credits.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://ianpolster.tripod.com/"} +{"d:Title": "McKenzie, Daryl", "d:Description": "Professional trombonist, bandleader, and composer from Melbourne, Australia. Includes photographs, performance credits, details about JAMM Productions, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://dmck.customer.netspace.net.au/"} +{"d:Title": "Isenhour, Justin", "d:Description": "Freelance trombonist and instructor in Fort Mill, South Carolina. Website features photographs, biography, contact details, and several sections about taking music lessons at the middle school and high school levels.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://sites.google.com/site/justinisenhour/"} +{"d:Title": "Miller, James", "d:Description": "Associate principal trombonist with the Los Angeles Philharmonic in California. This musician profile includes a photo and brief biography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.laphil.com/philpedia/artist-detail.cfm?id=379"} +{"d:Title": "Weeks, Douglas G.", "d:Description": "Trombonist and Administrator of Applied Music at Worcester Polytechnic Institute in Massachusetts.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists", "url": "http://www.wpi.edu/academics/facultydir/dgw.html"} +{"d:Title": "Wilson and Alroy: Willie Colon and Rruben Blades", "d:Description": "Detailed reviews of recordings made separately and together by the two Latin jazz stars.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists/Colon,_Willie", "url": "http://www.warr.org/colonblades.html"} +{"d:Title": "Colon, Willie", "d:Description": "Official site of the trombonist and band leader. Includes biography, photos, tour dates, press releases and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists/Colon,_Willie", "url": "http://www.williecolon.com/"} +{"d:Title": "JustSalsa.com : Willie Colon", "d:Description": "Extensive photo gallery and movie clip by photographer J. Fernando Lamadrid from nightclub appearance at the Copacabana in New York on November 2, 2002.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists/Colon,_Willie", "url": "http://www.justsalsa.com/newyork/clubs/copacabana/11-2-02/"} +{"d:Title": "Late Night with La Bamba", "d:Description": "Biographical article by Patrick Jones.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trombone/Trombonists/Rosenberg,_Richie_LaBamba", "url": "http://www.patrickjones.com/worksamples/LaBamba.pdf"} +{"d:Title": "Seeley Music Productions", "d:Description": "Information on trumpet players Bill Chase and Bud Brisbois, the Emerald City Jazz Orchestra, and trumpet pictures and recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.seeleymusic.com/"} +{"d:Title": "The Balanced Embouchure", "d:Description": "Information about a unique development system. Promises it is easy to learn and works for every trumpet player, irrespective of lip architecture or level of experience.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.trumpetteacher.net/"} +{"d:Title": "B-Flat Music Production", "d:Description": "Unique recorded music for the Trumpet. Music catalogs, sound files, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.bflatmusic.com/"} +{"d:Title": "Bob Odneal, The Trumpet Doctor", "d:Description": "A scrapbook of famous trumpet players that Odneal has been associated with and as well as information on his method \"Casual Double-High C\".", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://members.tripod.com/~BobOdneal/"} +{"d:Title": "Brasstactics: Strategies for Modern Trumpet Playing", "d:Description": "Information about Chase Sanborn's method book, jazz recordings, trumpet mouthpieces and other products of interest to brass players.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.brasstactics.net/"} +{"d:Title": "The Comeback Trumpeter's Guide", "d:Description": "Information for trumpeters returning to their music after an extended break. Tips, philosophy, equipment, and various methods.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://abel.hive.no/ctg/"} +{"d:Title": "Najoom Music Products, Incorporated", "d:Description": "Information about the products, calendar of events, pictures, and ordering information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.najoom.com/"} +{"d:Title": "8notes.com - Trumpet Resources", "d:Description": "Offers free sheet music, including popular riffs and a database of trumpet pieces. Also features trumpet lessons and articles.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.8notes.com/trumpet"} +{"d:Title": "O.J's Trumpet Page", "d:Description": "Interviews, TPIN archive, various resources and exercises, articles, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://abel.hive.no/oj/musikk/trompet/"} +{"d:Title": "Olds Central", "d:Description": "A source for historical information about trumpets and cornets made by F. E. Olds and Son 1928-1979.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://rouses.net/trumpet/olds.htm"} +{"d:Title": "The Trumpet Herald", "d:Description": "Announcements, classified ads, job opportunities, MP3s, and forum.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.trumpetherald.com/"} +{"d:Title": "Trumpet Lessons", "d:Description": "Educational resources, including embouchure techniques and learning based on facial structure.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.bbtrumpet.com/"} +{"d:Title": "Trumpet Player Online", "d:Description": "Sounds, pictures, video, and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.trumpetplayeronline.com/"} +{"d:Title": "TrumpetStudio.com", "d:Description": "Features instruction articles, recommended recordings, links, and books.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.trumpetstudio.com/"} +{"d:Title": "TrumpetMaster.com", "d:Description": "Includes forums, chat, articles, links, and trumpet job listings.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.trumpetmaster.com/"} +{"d:Title": "JazzTrumpetSolos.com", "d:Description": "Offers a selection of jazz trumpet sheet music.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.jazztrumpetsolos.com/"} +{"d:Title": "Trumpet Players' International Network", "d:Description": "A virtual meeting place for trumpet players from all over the world. Mailing list and archives.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.tpin.org/"} +{"d:Title": "Horntabs.net", "d:Description": "Tablature for trumpet, trombone, and sax, from ska to jazz. Includes articles, forum and on-line shop.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://horntabs.net/"} +{"d:Title": "The Bach Loyalist", "d:Description": "Dedicated to Bach trumpets. Reviews, timeline, interviews, articles, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.bachloyalist.com/"} +{"d:Title": "Trumpet Lecture", "d:Description": "History of the trumpet, list of trumpet tunings, and transposition information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet", "url": "http://www.cpp.edu/~dmgrasmick/mu330/Trumpetlecture.html"} +{"d:Title": "B&S Challenger Trumpet Line", "d:Description": "Company history, catalog, news.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Manufacturers", "url": "http://www.challenger-trumpets.com/"} +{"d:Title": "Meister Johannes Scherzer Trumpets", "d:Description": "Company information, online sales, and a factory tour.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Manufacturers", "url": "http://www.scherzer-trumpets.com/"} +{"d:Title": "Edwards Instrument Co.", "d:Description": "Offers custom instrument creation. Located in the United Kingdom.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Manufacturers", "url": "http://edwards-instruments.co.uk/trumpet/"} +{"d:Title": "Schilke Music Products", "d:Description": "Instrument and mouthpiece catalogues and the current conference schedule. \"The Schilke Brass Clinic\" by Renold O Schilke, clinician info and archive pictures", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Manufacturers", "url": "http://www.schilkemusic.com/"} +{"d:Title": "International Trumpet Guild", "d:Description": "Dedicated to promoting communication and improving performance. News, resources, membership information, and history of the ITG.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Organizations", "url": "http://www.trumpetguild.org/"} +{"d:Title": "The Trumpet of the Lord Ministries", "d:Description": "Praise and worship concerts comprised of Southern Gospel music and biblically-based preaching.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Organizations", "url": "http://www.trumpetofthelord.com/"} +{"d:Title": "Giuffredi, Andrea", "d:Description": "Site for Italian trumpet player includes biography, links, reviews, and a 'listening room' with MP3 files.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.andreagiuffredi.com/"} +{"d:Title": "Merrill, Bob", "d:Description": "New York-based trumpeter, vocalist, and bandleader. Includes CD, performance, biography, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://bobmerrill.net/"} +{"d:Title": "Zell, Johnny", "d:Description": "Lawrence Welk's star trumpeter. Biography, recordings, and coming events.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.jazell.com/"} +{"d:Title": "Douglas, Dave", "d:Description": "Information about the composer and trumpeter and his works; tour schedules, projects, biography, discography, and links to musicians, artists, and activists.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.davedouglas.com/"} +{"d:Title": "Fleming, Pamela", "d:Description": "New York trumpet player and composer. Includes image gallery, discography, sound files and her horn section, Burning Brass.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.fearlessdreamer.com/"} +{"d:Title": "Summer, David", "d:Description": "Over 25 years of trumpet and flute experience, specializing in church services within Massachusetts and Rhode Island.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.summersong.net/"} +{"d:Title": "Schmidt, Rev. Michael E", "d:Description": "Solo gospel instrumental music ministry. Biographies, ministry needs, and mission statement.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.trumpetofthelord.faithweb.com/"} +{"d:Title": "Stafford, Terell", "d:Description": "Official site. Biography, discography and tour schedule of the jazz artist.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.terellstafford.com/"} +{"d:Title": "Greene, Bill", "d:Description": "Classical and jazz trumpeter. Biography and resume.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://billgreene.net/"} +{"d:Title": "Droste, Michael", "d:Description": "A player-composer in the suburbs of Chicago. MP3 and RealAudio clips of his latest compact disc.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.michaeldroste.com/"} +{"d:Title": "Henly, Rick", "d:Description": "Lead trumpet on the Broadway musical \"Miss Saigon.\" Biography, reviews, equipment information, clinics, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.rickhenly.com/"} +{"d:Title": "Szabo, Rich", "d:Description": "Biography, clinics and lessons, reviews, recordings, equipment details, tips, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.richszabo.com/"} +{"d:Title": "Scriver, Brian", "d:Description": "High note guy from Toronto, Canada.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www3.sympatico.ca/hedge.hog"} +{"d:Title": "Hines, Shawn", "d:Description": "Information and sound clips for Shawn Hines, a freelance trumpet player, arranger, composer and teacher living in the Boston area.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.shawnhines.com/"} +{"d:Title": "Sch\u00e4rli, Peter", "d:Description": "Concert dates, discography, links, and streaming audio files.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.schaerlimusic.ch/"} +{"d:Title": "Rapp, Mark", "d:Description": "Information about the composer and trumpeter and his works. Includes tour schedules, projects, biography, discography, and links to musicians, artists, and activists.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.markrapp.com/"} +{"d:Title": "Fleming, Cynda", "d:Description": "Professional trumpeter in the Toronto area for weddings, church services, fanfares, and lessons. Biography and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.trumpetstudio.ca/"} +{"d:Title": "McCurdy, Ron", "d:Description": "Information about the Ron McCurdy Quintet, and the Langston Hughes project \"Ask your Mama.\"", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.ronmccurdy.com/"} +{"d:Title": "Waddell, Richard", "d:Description": "Trumpet soloist, music arranger and publisher, founder of Boston Brass and private instructor. Contains history of Boston Brass, solo sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.trumpetsoloist.com/"} +{"d:Title": "Van Cleave, Mark", "d:Description": "Biography, resume, articles, and Real Audio samples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.markvancleave.com/"} +{"d:Title": "Flegg, Mark", "d:Description": "Trumpet performance and private lessons in southeast Michigan. Includes a few sound clips.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.markflegg.com/"} +{"d:Title": "Anthony, Ryan", "d:Description": "Biography, reviews, news, discography, and booking and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.ryananthony.com/"} +{"d:Title": "Allemano, Lina", "d:Description": "News, photos, press, performance schedule, and information on latest albums.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.linaallemano.com/"} +{"d:Title": "Gaines, Jason", "d:Description": "Biography, clips, calendar and booking information on this New York City-based jazz trumpet player.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.jasongaines.com/"} +{"d:Title": "Lewis, Eddie \"Tiger\"", "d:Description": "Essays, book reviews, interviews, free music to download, sound files, biography, pictures and other more loosely associated materials.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.eddielewis.com/"} +{"d:Title": "Evans, Doc", "d:Description": "Devoted to the memory of the dixieland trumpet player Doc Evans.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.docevans.com/"} +{"d:Title": "Brantigan, Dan", "d:Description": "Discography and diary.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.danbrantigan.com/"} +{"d:Title": "Dokshizer, Tinofei", "d:Description": "Meet this Russian trumpeter who shares his biography, discography, programs, and books.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.russianresources.lt/dokshizer/"} +{"d:Title": "Nelson, Ray", "d:Description": "Contains a biography, concert information, links, audio clips, and a discography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.raynelson.net/"} +{"d:Title": "Jeff Purtle", "d:Description": "Articles for trumpeters including tips, practice suggestions, and resources.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.purtle.com/"} +{"d:Title": "Davenport, Jeremy", "d:Description": "Includes biography, recordings, resources, upcoming events, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.jeremydavenport.com/"} +{"d:Title": "Vogel, Rick", "d:Description": "Currently based in Tokyo, Rick has performed or recorded with Chicago, Bobby Caldwell, Ricky Martin, Matt Bianco, Jon Faddis, Bobby Shew, and Steve Smith. Biography, schedule, and sound files", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.include-music.jp/artist/rv.htm"} +{"d:Title": "Adam, Bill", "d:Description": "Tribute to the teacher includes pictures, biographical information, articles, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://everythingtrumpet.com/Bill-Adam/"} +{"d:Title": "Horton, Todd", "d:Description": "Biography, links, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.toddhorton.com/"} +{"d:Title": "Worley, John", "d:Description": "Biography, cd information, links, and contact details. Trumpet and flugelhorn player.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://johnworley.com/"} +{"d:Title": "Zuercher, David", "d:Description": "Trumpet instruction resources, links, biography, and upcoming events are shared by this symphony trumpeter.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.davidzuercher.com/"} +{"d:Title": "Zauss, Mark", "d:Description": "Trumpet virtuoso endorsed by the Leblanc Corporation, leads the Z Street Band in Orlando, Florida.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://bandsourceproductions.com/markzauss.htm"} +{"d:Title": "Vega, Ray", "d:Description": "Lead trumpet with Tito Puente and The Bronx Horns. Biography, discography, music and photographs.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.rayvegamusic.com/"} +{"d:Title": "Asper, Lynn K.", "d:Description": "At Grand Rapids Community College. Links to ensembles and trumpet method book.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.grcc.edu/musicFacultyLAsper"} +{"d:Title": "Tofanelli, Andrea", "d:Description": "Italian trumpet player. Biography, recordings, discography, and links. In English and Italian.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://www.andreatofanelli.it/"} +{"d:Title": "Lindemann, Jens", "d:Description": "Biography, reviews, and upcoming projects for Jens Lindemann.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players", "url": "http://trumpetjens.com/"} +{"d:Title": "Andr\u00e9, Maurice", "d:Description": "Biography, picture, and sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Andr\u00e9,_Maurice", "url": "http://abel.hive.no/trompet/andre/"} +{"d:Title": "Maurice Andre in Concert", "d:Description": "David A. Roth recalls a Maurice Andre concert he attended in Chicago, in 1978. Includes pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Andr\u00e9,_Maurice", "url": "http://everythingtrumpet.com/andre/"} +{"d:Title": "Bill Chase Tribute", "d:Description": "Feature article on Bill Chase, including a full discography, pictures, band member update, and personnel changes.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Chase,_Bill", "url": "http://www.seeleymusic.com/chase"} +{"d:Title": "Bill Chase @ JazzTrumpetSolos.com", "d:Description": "Biography, discography, and a transcribed solo for download.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Chase,_Bill", "url": "http://www.jazztrumpetsolos.com/Chase.htm"} +{"d:Title": "Ellis, Don", "d:Description": "Introduction, recordings, newsletter, writings, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Ellis,_Don", "url": "http://www.handofgord.com/donellis/"} +{"d:Title": "Nakariakov, Sergei", "d:Description": "Official website. Biography, repertoire list, recording samples, agent information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Nakariakov,_Sergei", "url": "http://www.nakariakov.com/"} +{"d:Title": "Doc Severinsen - Discography of Recordings", "d:Description": "Doc Severinsen discography offers a list of recordings in compact disc, cassette, LP, and broadcast format by the trumpeter, conductor and former Tonight Show Band leader.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Severinsen,_Doc", "url": "http://www.dwerden.com/doc/"} +{"d:Title": "Michael Patches Stewart", "d:Description": "Includes recording credits, CD sound samples, photos, and biography.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Trumpet/Players/Stewart,_Michael", "url": "http://www.patchesstewart.com/"} +{"d:Title": "The Serpent Website", "d:Description": "A collection of information about the serpent, a precursor to the tuba and euphonium.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://www.serpentwebsite.com/"} +{"d:Title": "David Werden Publications", "d:Description": "Publisher of books for euphonium and tuba. Listings of music and available downloads of ensemble music including the euphonium and tuba.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://www.dwerden.com/"} +{"d:Title": "International Tuba Euphonium Association", "d:Description": "Professional organization lists scheduled world and regional conferences, employment, links to scholarly journal articles, and pedagogical materials as PDF files.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://www.iteaonline.org/"} +{"d:Title": "Music of Kenneth D. Friedrich", "d:Description": "Original compositions for the tuba and euphonium.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://kfsbrasschamber.homestead.com/"} +{"d:Title": "Baritone Horn Page", "d:Description": "History, care and maintenance,and practice and playing tips.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://www.angelfire.com/band/horns/index.html"} +{"d:Title": "Kansas State University Tuba Euphonium Studio: Links", "d:Description": "Dozens of links divided into sections for solo performers, ensembles, professional organizations, festivals, competitions, and merchandise.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://www-personal.ksu.edu/~smaxwell/links.htm"} +{"d:Title": "Baritone or Euphonium", "d:Description": "Article on the differences, with a nice comparison chart, pictures, and dimensions of popular brands and models.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://www.dwerden.com/eu-articles-bareuph.cfm"} +{"d:Title": "Euphonium and Tuba Lecture", "d:Description": "Basic description of the euphonium and tuba, with information about the Wagner tuba. Includes a link to a fingering chart.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn", "url": "http://www.cpp.edu/~dmgrasmick/mu330/EuphoniumTubalect.html"} +{"d:Title": "Symphonia", "d:Description": "American tuba-euphonium ensemble. Information about the group, pictures, discography, Real Audio samples, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Ensembles", "url": "http://www.tubaeuph.com/symphonia/index.htm"} +{"d:Title": "Euphonium Music Guide", "d:Description": "Extensive directory of Euphonium sheet music.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium", "url": "http://www.dwerden.com/emg/index.htm"} +{"d:Title": "Baritone or Euphonium??", "d:Description": "Detailed article on the differences between the Baritone Horn and Euphonium. Includes charts and pictures contrasting the two in context to the definitions of various popular brands, including common bore size, bell size, and taper.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium", "url": "http://www.dwerden.com/bareuph.asp"} +{"d:Title": "Euphonium Studies from JAPAN", "d:Description": "Index of CDs made by Japanese players, information about the webmaster, links to euphonium players' sites", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium", "url": "http://www.euphstudy.com/international/"} +{"d:Title": "Euphonium Net", "d:Description": "Biographical information, media, recommended euphonium study, and educational resources.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium", "url": "http://www.euphonium.net/"} +{"d:Title": "Tuba-Euphonium Blog", "d:Description": "Discussion of practical aspects of playing double bell euphonium in church. Maintained by David Werden.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium", "url": "http://www.dwerden.com/blog3/index.cfm"} +{"d:Title": "Kipfer, Ueli", "d:Description": "Biography, pictures, guestbook, sounds, links, and activities.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium/Players", "url": "http://www.uelikipfer.ch/"} +{"d:Title": "Stuckemeyer, Pat", "d:Description": "Biography, calendar, programs, media, and contact information regarding this euphonium soloist.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium/Players", "url": "http://www.patstuckemeyer.com/"} +{"d:Title": "Ham, Jason D.", "d:Description": "Biography, events, media, and clinic and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium/Players", "url": "http://www.jasondham.com/"} +{"d:Title": "Frey, Adam", "d:Description": "Repertoire, biography, and media purchase info.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Euphonium/Players", "url": "http://www.euphonium.com/"} +{"d:Title": "TubaChristmas Los Angeles with Jim Self and Basset Hound Music", "d:Description": "TubaChristmas Los Angeles announcement and history. Organized by Jim Self. Map and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Events", "url": "http://bassethoundmusic.com/TubaChristmas.html"} +{"d:Title": "Leonard Falcone International Euphonium and Tuba Festival", "d:Description": "[Michigan, US] An annual festival and competition in early August for euphonium and tuba players.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Events", "url": "http://falconefestival.org/"} +{"d:Title": "Tuba Manufacturers", "d:Description": "Links to manufacturers worldwide.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Manufacturers", "url": "http://www.angelfire.com/ca7/loyaltubist/TubaMFG.html"} +{"d:Title": "Sean Chisham's TubeNet", "d:Description": "Tips on playing, lists of musicians and ensembles, links to recordings and an active chat forum.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba", "url": "http://www.chisham.com/"} +{"d:Title": "The LoyalTubist", "d:Description": "General tuba information, photos, and tuba playing. FAQ.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba", "url": "http://www.angelfire.com/ca7/loyaltubist/"} +{"d:Title": "Mr Tuba", "d:Description": "Tuba instrument and mouthpiece sales from Cardiff, UK.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba", "url": "http://www.netupandgo.com/mrtuba"} +{"d:Title": "Thoughts About the Tuba", "d:Description": "Article about different types of tubas, tips, and recommendations.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba", "url": "http://www.dwerden.com/tu-articles-thoughts.cfm"} +{"d:Title": "TubeNet BBS", "d:Description": "Active chat forum for tuba players tracks auditions, performances and recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba", "url": "http://forums.chisham.com/"} +{"d:Title": "Moore, John", "d:Description": "Short biography and picture.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.santhony.com/ggrm/moore.html"} +{"d:Title": "H\u00e4llerst\u00e5hl, Ingvar", "d:Description": "Swedish tubist, currently with the Symfoniorkestern NorrlandsOperan. Personal information, education, and performance history.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.blas-basen.se/ingvar.html"} +{"d:Title": "Self, Jim", "d:Description": "Freelance tuba player in Los Angeles, CA, USA.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.bassethoundmusic.com/"} +{"d:Title": "Feldman, Hank", "d:Description": "Composer and educator. Samples of music as well as program and clinic details.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.hankfeldman.com/"} +{"d:Title": "Hepola, Ralph", "d:Description": "Classical, jazz and contemporary musician. Profile and CDs available.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.ralphhepola.com/"} +{"d:Title": "Baadsvik, Oystein", "d:Description": "Tuba soloist; concerts, music, lectures, articles, practicing hints, schedules, and recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.baadsvik.com/"} +{"d:Title": "Pilafian, Sam", "d:Description": "Virtuoso soloist known for his work with the Empire Brass Quintet as well as Travelin' Light, a classical/jazz duo with guitarist Frank Vignola. Links to faculty page at Arizona State University and to recordings from Telarc International.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.pilafian.com/tuba/"} +{"d:Title": "Poore, Melvyn", "d:Description": "Tubist and composer. Biography and recording history.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://www.efi.group.shef.ac.uk/mpoore.html"} +{"d:Title": "Capet, Gabriel", "d:Description": "Biography of this Belgian tuba player. On-line order facility of his CD.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Tuba/Players", "url": "http://capet.gabriel.pagespro-orange.fr/"} +{"d:Title": "Tuba WebRing", "d:Description": "Descriptions and links to tuba sites.", "topic": "Top/Arts/Music/Instruments/Winds/Brass/Tuba,_Euphonium_and_Baritone_Horn/Web_Rings", "url": "http://www.webring.org/hub?ring=tuba"} +{"d:Title": "DidgeWeb", "d:Description": "Includes history, playing tips, CD and book listings, photographs, and links. [Dutch/English]", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.didgeweb.com/"} +{"d:Title": "DidgeWorld", "d:Description": "Directory of links worldwide, arranged by country.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.didgeworld.com/"} +{"d:Title": "Beginner's Heart", "d:Description": "Includes tutorials, newsletters, audio samples, playing styles, and resources.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.rdrop.com/~mulara/"} +{"d:Title": "Manikay.Com", "d:Description": "An online database of many commercially released albums and films containing traditional Aboriginal music from Arnhem Land. Includes music samples, cover photos, track listings and notes.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.manikay.com/"} +{"d:Title": "Aboriginal Art and Culture Centre: Didgeridoo", "d:Description": "Information about traditional music, history, playing tips, and purchasing information.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.aboriginalart.com.au/didgeridoo/"} +{"d:Title": "Wandering Didj", "d:Description": "Follows the trail of a didjeridu traveling the world from player to player.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.brandichase.com/didjeridu/wander.htm"} +{"d:Title": "iDIDJ Australia", "d:Description": "Instrument history, playing, and maintenance, exhibitions in Australia, events, and merchandise.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.ididj.com.au/"} +{"d:Title": "The Didgeridoo Page", "d:Description": "Artist profiles, partial discographies, and links, and resources.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.well.com/user/nhunter/didj/"} +{"d:Title": "MT-Yidaki", "d:Description": "Michiel Teijgeler's homepage. MT plays/teaches didgeridoo since 1993. Online mp3s.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.mt-yidaki.com/"} +{"d:Title": "Concrescence", "d:Description": "All things didgeridoo. Information, lessons. MP3s and photographs.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.concrescence.com/"} +{"d:Title": "Didgeridoo Sound Design and Crafting", "d:Description": "This website illustrates and documents new methods for the planning and crafting of Didgeridoos with freely definable sound characteristics.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.didgeridoo-physik.de/"} +{"d:Title": "Daily Idoo", "d:Description": "Information on players, playing tips, help and advice, tuition and maps, and UK didgeridoo clubs.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.idoo.info/"} +{"d:Title": "Didjeridu Acoustics", "d:Description": "Sound samples, spectrogram, audio samples, articles, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.phys.unsw.edu.au/jw/didjeridu.html"} +{"d:Title": "Aboriginal Arts Ltd", "d:Description": "Specialising in Aboriginal didgeridoo and yidaki. Includes music lessons, and artifacts. Located in the UK.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo", "url": "http://www.aboriginalarts.co.uk/"} +{"d:Title": "Didges Brew", "d:Description": "Offers concert performances, lessons and workshops for advanced and beginner Yidaki/Didgeridoo players in Berlin, Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.didgesbrew.de/"} +{"d:Title": "Chicago Didjeridu Chorus", "d:Description": "Tribal instrument ensemble. Member biographies, Real Audio samples, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://home.earthlink.net/~tporcelli/"} +{"d:Title": "Hudson, David", "d:Description": "Aboriginal musician, artist, and entertainer. Includes CDs, Hudson didgeridoos, artwork, mailing list, and online store.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.davidhudson.com.au/"} +{"d:Title": "Culturebank Productions", "d:Description": "Arc Dreaming, involves Aboriginal and contemporary music and dance combined. With reviews and soundfiles.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.culturebank.info/"} +{"d:Title": "Conyngham, Phil", "d:Description": "Underground didjeridu-crossover music. Includes discography, audio samples, performance schedule, and Aboriginal links.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.ozdidj.com/"} +{"d:Title": "Graves, Randy", "d:Description": "Player and teacher. Includes biography, discography, performances, instrument gallery, and interviews.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.gingerroot.com/artists/index.htm"} +{"d:Title": "McMahon, Charlie", "d:Description": "Information, tourdates and discography of the performer and developer of the Didjeribone and Face Bass.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.charliemcmahon.com/"} +{"d:Title": "5th Element Music", "d:Description": "Didjeridoo, Electronic, New Age and world music with artist Paradiso.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.5thelementmusic.com/"} +{"d:Title": "John Thorpe", "d:Description": "Didgeridoo player, maker and teacher who has been playing for over 10 years. Includes playing tips, recording and related articles.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://myweb.tiscali.co.uk/didgeridoos/"} +{"d:Title": "Sound For Health", "d:Description": "Site of Jonny Cope; a didgeridoo player and teacher available for performances, lessons, and workshops in the UK.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Bands_and_Artists", "url": "http://www.soundforhealth.com/"} +{"d:Title": "Didgeridoo Making", "d:Description": "Handcrafted instruments made by amateurs and professionals, step-by-step building instructions, forum, sound clips, and links. [English/German]", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Makers", "url": "http://www.yedaki.de/"} +{"d:Title": "Didgeridoos by Bruce Rogers", "d:Description": "Custom-made didgeridoos, tuition, performance and session work. Includes ordering information, gallery, FAQs, tour dates, and profile.", "topic": "Top/Arts/Music/Instruments/Winds/Didgeridoo/Makers", "url": "http://www.didgesbybruce.com.au/"} +{"d:Title": "Blues and Celtic Harmonica Page", "d:Description": "Blues and Celtic harmonica tab. Information about harmonica books and transcriptions, discographies, articles, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica", "url": "http://www.celticguitarmusic.com/harppage.htm"} +{"d:Title": "Harmonica Players Webring", "d:Description": "Webring for players and enthusiasts of the harmonica.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica", "url": "http://members.tripod.com/~muses/index.html"} +{"d:Title": "Blues Harp Master Jerry Portnoy", "d:Description": "Official site of Jerry Portnoy, now offering the Blues Harmonica Masterclass, a set of blues harmonica lessons on 3 CDs.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://www.harpmaster.com/"} +{"d:Title": "HarmonicaLessons.com", "d:Description": "Learn to play harmonica/blues harp. Lessons, instruction, beginner's hints, and tips. Download songs, riffs, and sounds.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://www.harmonicalessons.com/"} +{"d:Title": "Harmonica Instruction", "d:Description": "Online tips from Dave Gage with songs, techniques, methods of jamming, riffs, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://www.davegage.com/tips.html"} +{"d:Title": "Jon Gindick's Harmonica Instruction Catalog", "d:Description": "Books to aid beginners in learning blues and country harmonica. Product information, videos, and ordering details.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://www.gindick.com/"} +{"d:Title": "The Secret to Playing Blues Harmonica", "d:Description": "Online tutorial gives tips on learning how to start and what harmonica to choose. Sound samples and ideas.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://www.fetherbay.com/HarpTutorial.html"} +{"d:Title": "The Blue Tongue Harmonica School", "d:Description": "Sydney, Australia based school run by Doug Lyons. Events, CDs, lessons, workshops, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://www.bluetongueharmonica.com.au/"} +{"d:Title": "The Harmonica Exchange - Teachers Directory", "d:Description": "This site features a listing of harmonica teachers worldwide.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://members.tripod.com/Bruno_1_8_6/harpteach.htm"} +{"d:Title": "Harmonica Masterclass Company", "d:Description": "Organized by David Barrett, harmonica teacher and writer. Bi-annual seminars in California. Products, seminar information, and instructional information.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Education", "url": "http://www.harmonicamasterclass.com/"} +{"d:Title": "Harmonica Information Press", "d:Description": "Harmonica products, resources, and a writer's guide.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Magazines_and_E-zines", "url": "http://www.angelfire.com/music2/harmonicainfo/"} +{"d:Title": "Planet Harmonica", "d:Description": "Bilingual French e-zine offers detailed articles on playing techniques along with interviews and record reviews. Links to past issues.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Magazines_and_E-zines", "url": "http://www.planetharmonica.com/contents.htm"} +{"d:Title": "Lee Oskar Harmonicas", "d:Description": "Product information, and distributor list. Located in Washington, United States.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Makers", "url": "http://www.leeoskar.com/"} +{"d:Title": "Harmonica Manufacturers and Builders", "d:Description": "List of manufacturers, with addresses and email, including companies without web sites. Provided by Harmonica Information Press.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Makers", "url": "http://www.angelfire.com/music2/harmonicainfo/resource/resguide/resmfrs.htm"} +{"d:Title": "CustomHarmonicas.Com", "d:Description": "Customized diatonic harmonicas made by Joe Filisko, Richard Sleigh, and James Gordon, using the \"Filisko Method\" of upgrading harmonicas.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Makers", "url": "http://customharmonicas.com/"} +{"d:Title": "Neil Graham :Custom Harmonicas", "d:Description": "Custom made professional Harmonicas. Highly responsive modified Marine bands featuring radical reed modifications and improved air flow. As played by Australia's Jim Conway.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Makers", "url": "http://www.neilgraham.com.au/"} +{"d:Title": "Harmonix", "d:Description": "Manufacturers and designers of the first production electric harmonica.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Makers", "url": "http://www.harmsol.co.uk/"} +{"d:Title": "HarpTalk-Online Harmonica Discussion Group", "d:Description": "HarpTalk was formed to discuss harmonicas, harmonica playing, and related equipment, as well as to share tips, techniques, and experiences. This is a large group, approaching 400 members.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Newsgroups", "url": "http://groups.yahoo.com/group/harptalk/"} +{"d:Title": "Harp-L", "d:Description": "Online harmonica discussion group. Participants include everyone from rank beginners to professional players, and topics range from theory to gear to technique to everything in between.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Newsgroups", "url": "http://harp-l.com/"} +{"d:Title": "The National Harmonica League", "d:Description": "British organization. Support and resources for harmonica players. Harmonica needs, news, performers, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Organizations", "url": "http://harmonica.co.uk/index.htm"} +{"d:Title": "France Harmonica", "d:Description": "French harmonica organization. Links, news, boutique, and membership information. In English and French.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Organizations", "url": "http://franceharmonica.online.fr/home.html"} +{"d:Title": "HarmonicaClub.com", "d:Description": "An online community providing harmonica lessons, songs, tablature, message boards, and chat room. Some areas require membership.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Organizations", "url": "http://www.harmonicaclub.com/"} +{"d:Title": "Missin, Pat", "d:Description": "Professional musician, teacher, designer and researcher offers his knowledge. Includes biography, FAQ, harmonica history, image gallery of collection, audio samples including vintage recordings, playing and tuning techniques, articles, reviews, items for sale and resources.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers", "url": "http://www.patmissin.com/"} +{"d:Title": "McCoy, Charlie", "d:Description": "Nashville studio musician and Hohner harmonica endorser offers discography dating from 1968, world concert tour schedule, and weblog.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers", "url": "http://www.charliemccoy.com/"} +{"d:Title": "Read, Tulsa", "d:Description": "Kansas City performer and educator using harmonica in physical therapy for lung and heart disorders.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers", "url": "http://www.harmonicaonline.com/"} +{"d:Title": "Spain, Don", "d:Description": "Retired Army veteran from Kansas has performed at the Ozark Folk Center and at concert halls around the world. offers albums recorded with guitar, dobro and vocalists.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers", "url": "http://www.donspain.com/"} +{"d:Title": "Doucette, Sherman \"Tank\"", "d:Description": "Canadian blues harpist from Vancouver includes photos and biography along with a virtual harmonica museum, annotated images from a collection of more than 350 rare harmonicas.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers", "url": "http://www.shermandoucette.com/"} +{"d:Title": "Hunter, Richard", "d:Description": "UK blues player offers biography with audio and CD sales.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers", "url": "http://www.hunterharp.com/"} +{"d:Title": "Jerry Murad and the Harmonicats", "d:Description": "Trio founded in 1944, becoming stars with million-selling 1947 recording of \"Peg Of My Heart.\" With historic photos.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers/Ensembles", "url": "http://www.harmonicats.com/"} +{"d:Title": "The Adler Trio", "d:Description": "Concert and recording group founded in 1962 by Dror Adler of Israel. Photos, biography.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers/Ensembles", "url": "http://www.adlertrio.com/"} +{"d:Title": "Sv\u00e4ng", "d:Description": "The Finnish harmonica quartet provides a list of its gigs and available CDs. The members are presented as well as the different kinds of harmonicas they use.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Performers/Ensembles", "url": "http://www.svang.fi/"} +{"d:Title": "Suzuki Musical Instruments", "d:Description": "Pictures, descriptions, and ordering information for harmonicas.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.suzukimusic.co.uk/"} +{"d:Title": "Learn Harmonica", "d:Description": "Learn to play harmonica for free. Free instruction, songs, tabs, tips, sound files, CDs keyed, message board, chat room, and theory.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.learnharmonica.com/"} +{"d:Title": "Harp On! Harmonica Resources", "d:Description": "G Maj has assembled some good links here.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.angelfire.com/music/harmonica/"} +{"d:Title": "Ron Good's Harphouse", "d:Description": "Harmonica links and lessons and other information from a Canadian multi-style harmonica player from British Columbia. Some information on equipment, amps, mics.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.rongood.net/harphouse"} +{"d:Title": "Overblowing the Diatonic Harmonica", "d:Description": "Based on the techique popularized by Howard Levy. Offers practice routines and harp modifications, and recordings of overblow-heavy harmonica pieces.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.tenhole.com/"} +{"d:Title": "The Society for the Preservation and Advancement of the Harmonica", "d:Description": "SPAH offers online guide to harmonica events, noted performers, merchants, manufacturers, and publications.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.spah.org/"} +{"d:Title": "The Diatonic Harmonica Reference", "d:Description": "More than 100 pages of tips, techniques, diagrams, pictures, sound clips, music theory, improvisation, mail order price comparisons. Useful for beginner and expert player alike.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.angelfire.com/tx/myquill/"} +{"d:Title": "Harmonica Links", "d:Description": "Directory of harmonica players, vendors, manufacturers, and instructors.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.harmonicalinks.com/"} +{"d:Title": "Harp On!", "d:Description": "Chromatic harmonica reference, including techniques, chromatic harp information, music theory, reading music, and harp tablature.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://www.angelfire.com/music/HarpOn/"} +{"d:Title": "Diatonic Harmonica Tunings for Celtic Music", "d:Description": "Breton harmonica player Jean Sabot offers information on alternate tunings for Celtic harmonica. Site also includes some scores, a discography of his work, and his itinerary.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Resources", "url": "http://pagesperso-orange.fr/jsabot/accueilan.htm"} +{"d:Title": "Bluegrass Harmonica", "d:Description": "Bluegrass harmonica links and music to \"Goin' Down The Road Feelin Bad.\"", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Bluegrass", "url": "http://www.celticguitarmusic.com/bluegrassharpmain.htm"} +{"d:Title": "Stevens, Mike", "d:Description": "Biography, MP3 music files, documentary clips, recording information, pictures, reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Bluegrass/Performers", "url": "http://www.mikestevensmusic.com/"} +{"d:Title": "The BluesHarp Page", "d:Description": "A web site devoted to the history and lore of blues harmonica music. Legends, links, lessons and harmonica museum.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues", "url": "http://www.bluesharp.ca/"} +{"d:Title": "Harping MIDI Player Home", "d:Description": "MIDI software, files, harp tablature, beginners lessons, links, and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues", "url": "http://www.harpingmidi.com/"} +{"d:Title": "Nevling, Dave", "d:Description": "Harmonica player, vocalist and songwriter from Houston, Texas. Biographical information, recordings, schedule, press releases and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.davenevling.com/"} +{"d:Title": "The Rockin' Jake Band", "d:Description": "New Orleans based, harmonica-fronted band. Biography, audio samples, news, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.rockinjake.com/"} +{"d:Title": "Rishell, Paul and Annie Raines", "d:Description": "Biographies, show dates, recordings, and press quotes.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.paulandannie.com/"} +{"d:Title": "Paul Lamb&the Kingsnakes", "d:Description": "Britain's premier harmonica lead blues band.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.paullamb.com/"} +{"d:Title": "del Junco, Carlos", "d:Description": "Information on CDs, performances, bookings, reviews, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.carlosdeljunco.com/"} +{"d:Title": "Paparozzi, Rob", "d:Description": "Blues player who puts out the blues newsletter \"The Honeydripper.\" Plays with the Hudson River Rats. Events, picture gallery, band information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://home.earthlink.net/~chromboy/"} +{"d:Title": "deLay, Paul", "d:Description": "Band history, CD reviews, performances, and ordering information.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.europa.com/~damray/"} +{"d:Title": "Siegel, Corky", "d:Description": "Information on The Siegel-Schwall Blues Band and Siegel's solo and symphonic projects. Sound samples, CD and t-shirt sales, biographies, photos, poster art, and stories.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.chamberblues.com/"} +{"d:Title": "Kevin Duggan's Harmonica Page", "d:Description": "Site dedicated to Little Walter, Sonny Boy Williams, and Sonny Terry. Biographies and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://babel.massart.edu/~kevin/harp.html"} +{"d:Title": "Cotton, James", "d:Description": "Performed with Muddy Waters for several years. Performance schedule, biography, discography, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.jamescottonsuperharp.com/"} +{"d:Title": "Collard Greens and Gravy", "d:Description": "Australian blues trios. Music samples, links, biography, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.collardgreensandgravy.com/"} +{"d:Title": "Gage, Dave", "d:Description": "Includes information on the GAGE Band, information and tips for the harmonica, sound samples, and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.davegage.com/"} +{"d:Title": "Coleman, Wallace", "d:Description": "Biography, discography, sound samples, CD ordering, booking information, and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.wallacecoleman.com/"} +{"d:Title": "Stone, Rob, and the C-Notes", "d:Description": "Chicago based blues harp player. Forum for harmonica players, biographies, audio samples, photos, and booking information.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.robstone.com/"} +{"d:Title": "Cooper, Dennis", "d:Description": "Official site with links to other harmonica and music related sites, free email, MP3s, and streaming audio.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.dennis-cooper.com/"} +{"d:Title": "Lucky Van Blues Band with Big Red", "d:Description": "Big Red has himself a blues band. Clips, Mp3s, and you can list your link, too.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.angelfire.com/band/luckyvan/"} +{"d:Title": "Jay, Doug and the Blue Jays", "d:Description": "Veteran of the East and West Coast blues scenes in the USA, now based in Europe. Well-constructed and informative look at this harmonica player, singer and songwriter.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.dougjay.com/"} +{"d:Title": "Baker, Steve", "d:Description": "Steve Baker is an English harmonica master living in Germany and author of The Harmonica Handbook.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.stevebaker.de/"} +{"d:Title": "The Mighty Flyers", "d:Description": "Rod Piazza is a leading West Coast swingy blues player.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.themightyflyers.com/"} +{"d:Title": "Estrin, Rick - Little Charlie and the Nightcats", "d:Description": "One of the best harp players on the current scene, Rick Estrin plays with Alligator artists Little Charlie and the Nightcats. Tour dates, CDs, and a biography.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.alligator.com/artists/bio.cfm?ArtistID=014"} +{"d:Title": "Peloquin, Michael", "d:Description": "Michael is a stalwart of the Bay Area blues and rhythm and blues scene. He is also the winner of the 1997 Hohner Harmonica World Championship, held in Trossingen, Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.harpsax.com/"} +{"d:Title": "Guyger, Steve", "d:Description": "Blues harp players in the world. Information on CDs, performances, bookings, and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.steveguyger.com/"} +{"d:Title": "Butler, Lester - Tribute", "d:Description": "Biography, discography, articles, videos, tour dates, forum, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://members.home.nl/h.heikens/"} +{"d:Title": "Gruenling, Dennis", "d:Description": "Biography, photos, recordings and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.dennisgruenling.com/"} +{"d:Title": "Sonny Boy Terry", "d:Description": "Biography, discography, harmonica lessons, CD orders, photos, schedule, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://sonnyboyterry.com/"} +{"d:Title": "Portnoy, Jerry", "d:Description": "Performed with Muddy Waters and recorded with Eric Clapton. Biography, discography, pictures, and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.jerryportnoy.com/"} +{"d:Title": "Sugar Blue", "d:Description": "Official home page of the Grammy Award winner who has played with legends from Willie Dixon to The Rolling Stones", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers", "url": "http://www.sugar-blue.com/"} +{"d:Title": "Butterfield, Paul", "d:Description": "Blues/rock harmonica players. Short biography and picture.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers/Butterfield,_Paul", "url": "http://www.celticguitarmusic.com/harmbutterfield.htm"} +{"d:Title": "Paul Butterfield", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers/Butterfield,_Paul", "url": "http://www.imdb.com/name/nm0125298/"} +{"d:Title": "Horton, Big Walter", "d:Description": "Biographical note on Big Walter Horton, the King of Tone.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers/Horton,_Big_Walter", "url": "http://www.celticguitarmusic.com/harmbw.htm"} +{"d:Title": "Wells, Junior", "d:Description": "Delmark Records' Bob Koester remembers the late harpman.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers/Wells,_Junior", "url": "http://www.delmark.com/rhythm.junior.htm"} +{"d:Title": "Junior Wells", "d:Description": "Obituary, biography, and links from Fuller Up, Dead Musician Directory.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers/Wells,_Junior", "url": "http://elvispelvis.com/junior.htm"} +{"d:Title": "Junior Wells", "d:Description": "Profile by Nubar Alexaniun features 48 hours on the town with the bluesman.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers/Wells,_Junior", "url": "http://www.nubar.com/booksprints/wheremusic/JUNPAGE.HTM"} +{"d:Title": "Junior Wells", "d:Description": "Filmography at IMDb with acting credits.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Blues/Performers/Wells,_Junior", "url": "http://www.imdb.com/name/nm0920288/"} +{"d:Title": "Irish Harmonica by Rick Epping", "d:Description": "Article that gives an overview of Irish music for harmonica; links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Celtic", "url": "http://www.celticguitarmusic.com/irishharm.htm"} +{"d:Title": "Power, Brendan", "d:Description": "Official site. Information, pictures, music clips, and albums. Sound samples, instructional materials, and ordering information.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Celtic/Performers", "url": "http://www.brendan-power.com/"} +{"d:Title": "The Classical Free Reed, Inc.", "d:Description": "Organization history and information, news, online store, articles, reviews, directory, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Classical", "url": "http://www.ksanti.net/free-reed/"} +{"d:Title": "Franz Chmel - The Classic Harmonica Player", "d:Description": "Franz Chmel is a classical player who began at age 6.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Classical", "url": "http://www.chmel.at/"} +{"d:Title": "The Classical Free-Reed, Inc.: Interview with Larry Adler", "d:Description": "Larry Adler is one the greats of chromatic harmonica. He is interviewed here by the Journal for Free-Reed Instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Classical", "url": "http://www.ksanti.net/free-reed/essays/adler.html"} +{"d:Title": "Bonfiglio, Robert", "d:Description": "Classical chromatic harmonica player. Biography, repertoire, and reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Classical/Performers", "url": "http://www.robertbonfiglio.com/"} +{"d:Title": "Resonica from Singapore", "d:Description": "A group of harmonica enthusiasts. MP3s, songs, events and exchange.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Classical/Performers", "url": "http://resonica.tripod.com/"} +{"d:Title": "The Diatonic Harmonica Reference", "d:Description": "Reference includes tips for blues harp, techniques, music theory, improvisation, overblows, gapping, tuning, prices, and tab. Over 50 pages of tips and techniques.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Diatonic", "url": "http://diatonic.tripod.com/"} +{"d:Title": "Harmonica Note Layout Program", "d:Description": "Javascript program lets you specify harp and/or music key, position, or scale type. Determines harp; note layout is displayed with the notes in the scale highlighted. Other options available.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Diatonic", "url": "http://diatonic.tripod.com/HarpLayoutGenerator.html"} +{"d:Title": "Meurkens, Hendrik", "d:Description": "Discography, biography and performance schedule of the German jazz harmonica player and recording artist.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Jazz/Performers", "url": "http://www.hendrikmeurkens.com/"} +{"d:Title": "Bunge, Jens", "d:Description": "German jazz harmonica player and composer. Biography, discography, performances, and news. In German and English.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Jazz/Performers", "url": "http://www.jazzpages.com/JensBunge/index.htm"} +{"d:Title": "Turk, Mike", "d:Description": "Jazz and blues harmonica performer. CD ordering information, sound clips, performances, biography, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Jazz/Performers", "url": "http://www.aahome.com/turk/"} +{"d:Title": "Yonnet, Frederic", "d:Description": "Biography, schedule, video and audio files, news and booking information. [English/French]", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Jazz/Performers", "url": "http://www.fredyonnet.com/"} +{"d:Title": "Levy, Howard - Heavy World", "d:Description": "Discography, resources, upcoming events, song lyrics, and booking information for Howard Levy.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Jazz/Performers", "url": "http://www.levyland.com/"} +{"d:Title": "Toots Thielemans", "d:Description": "Official site offers biography, discography, merchandising and photo gallery.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Jazz/Performers/Thielemans,_Jean_Toots", "url": "http://www.tootsthielemans.com/"} +{"d:Title": "Toots Thielemans", "d:Description": "Biography, discography and videos from a booking agency.", "topic": "Top/Arts/Music/Instruments/Winds/Harmonica/Styles/Jazz/Performers/Thielemans,_Jean_Toots", "url": "http://www.novaconcerts.com/tootsthielemans.html"} +{"d:Title": "Hershberger Art Kazoos", "d:Description": "Images of custom-made polymer clay kazoos.", "topic": "Top/Arts/Music/Instruments/Winds/Kazoos", "url": "http://www.digiserve.com/kazoo/KAZOOS.HTM"} +{"d:Title": "Brimms Kazoo Co.", "d:Description": "Sole manufacturer of metal kazoos in the USA. Information about the history of the instrument, and their kazoo museum in Eden, New York.", "topic": "Top/Arts/Music/Instruments/Winds/Kazoos", "url": "http://www.edenkazoo.com/index.php"} +{"d:Title": "The Maui Xaphoon", "d:Description": "A bamboo Saxophone. Information about the maker, Brian Wittman, sound samples, fingering chart. Also manufacturing details of a plastic version.", "topic": "Top/Arts/Music/Instruments/Winds/Makers", "url": "http://www.xaphoon.com/"} +{"d:Title": "Marc Ecochard", "d:Description": "Maker of flutes, oboes, and traditional woodwind instruments. In English and French.", "topic": "Top/Arts/Music/Instruments/Winds/Makers", "url": "http://www.grandhautbois-flutes.com/"} +{"d:Title": "Amati - Denak", "d:Description": "Czech manufacturer of wind instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Manufacturers", "url": "http://www.amati.cz/"} +{"d:Title": "CH and DH Limited", "d:Description": "Sells woodwind and brass instruments. Pictures, prices, and descriptions.", "topic": "Top/Arts/Music/Instruments/Winds/Manufacturers", "url": "http://www.wonderway.com/"} +{"d:Title": "Yamaha Wind Instruments", "d:Description": "Details of Yamaha's brass and woodwind product lines.", "topic": "Top/Arts/Music/Instruments/Winds/Manufacturers", "url": "http://www.yamaha.com/yamahavgn/CDA/Catalog/Catalog_GSMOCX/0,6366,CTID%3D236800%26CNTYP%3DPRODUCT%26VNM%3DLIVE%26AFLG%3DY,00.html"} +{"d:Title": "Tribal Earth Sounds", "d:Description": "Didgeridoos, shaman drums, and Native American flutes. Products, company profile, and contacts. India based.", "topic": "Top/Arts/Music/Instruments/Winds/Manufacturers", "url": "http://www.tribalearth.com/"} +{"d:Title": "Ocarina di Budrio", "d:Description": "Ocarinas crafted by Fabio Menaglio. Includes history and museum, pictures, history, antiques, and online ordering. [English/Italian]", "topic": "Top/Arts/Music/Instruments/Winds/Ocarinas", "url": "http://www.ocarina.it/"} +{"d:Title": "The Ocarina Room", "d:Description": "Pictures, performance advice, fingering charts, history of the ocarina, and frequently asked questions.", "topic": "Top/Arts/Music/Instruments/Winds/Ocarinas", "url": "http://www.fl-oca.com/"} +{"d:Title": "Rawcliffe, Susan", "d:Description": "Personal information, sound samples, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Ocarinas", "url": "http://www.artawakening.com/soundworks/"} +{"d:Title": "Giorgio Pacchioni and His Ocarinas", "d:Description": "Composer for ocarina ensembles and maker of traditional Budrio style ocarinas. Sound files, history, and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Ocarinas", "url": "http://web.tiscali.it/contra/"} +{"d:Title": "Suimin's Ocarina Road", "d:Description": "Ensemble by multi-track recording, list of the instruments sorted by the sound range, links to sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Ocarinas", "url": "http://www.ne.jp/asahi/suimin/japan/index_e.htm"} +{"d:Title": "Ocarina Song List", "d:Description": "Links to songs that can be played on a five-hole ocarina, with a transposing guide.", "topic": "Top/Arts/Music/Instruments/Winds/Ocarinas", "url": "http://www.physics.uci.edu/~silverma/ocarina.html"} +{"d:Title": "Janie Rezner, M. A.", "d:Description": "A finely tuned, sculpted instrument that can play three part harmony. Front 2 chambers play a full scale. Large photos, building description.", "topic": "Top/Arts/Music/Instruments/Winds/Ocarinas", "url": "http://www.janierezner.com/ocarina.html"} +{"d:Title": "Pan Pipe Forum", "d:Description": "Multilingual discussion forum to exchange information, thoughts, creations and know-how about the pan flute and to meet fellow enthusiasts.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.panfluteforum.org/"} +{"d:Title": "Dinner Panflute", "d:Description": "Instrument maker, teacher, and publisher of sheet music for panflute. Photos of different versions of the instrument. Site in German and English.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.panfloete.ch/"} +{"d:Title": "Panex Panflutes", "d:Description": "Bamboo panflutes from Czech Republic. Pictures, history, prices, and tuning tips. [English/Czech]", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.1panflute.com/"} +{"d:Title": "Gibonus", "d:Description": "Manufacturer of pan flutes and pan pipes. Information about the various types, and the materials used in their production.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.gibonus.com/"} +{"d:Title": "Brad White's Pan-flute.com", "d:Description": "Hawaiian pan-flutist offers myths and history, links, QuickTime samples, and performance information.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://pan-flute.com/"} +{"d:Title": "Dajoeri Panpipe Craft and Schools", "d:Description": "Panpipes/panflutes for sale, courses, recordings, concerts, sound samples, and a list of musicians.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.dajoeri.com/"} +{"d:Title": "Tircolea, Catalin - Panflute in Jazz", "d:Description": "Biography, discography, MP3 files, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.tircolea.ro/"} +{"d:Title": "Kevin Budd", "d:Description": "Canadian musician plays and builds Romanian-style pan flutes. Music samples, photos and information about the instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://home.ica.net/~kbudd/"} +{"d:Title": "Yahoo Groups: Panflute-World", "d:Description": "Discussion forum for panflute enthusiasts, especially the Romanian variation of the instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://launch.groups.yahoo.com/group/panflute-world/"} +{"d:Title": "The Panflute of Douglas Bishop", "d:Description": "Pan flute musician based in Vermont. Free educational resources, audio samples, instrument information and lore.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.panflutejedi.com/"} +{"d:Title": "Jean-Claude Mara", "d:Description": "French musician. Biographical information, overview of recordings and accompanists.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.mara-productions.com/"} +{"d:Title": "The Enigmatic Panpipes", "d:Description": "English amateur player of the Romanian panflute David Pighills presents information on virtuosos, instrument makers, buying advice, make-it-yourself instructions, and CD recommendations.", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://www.stormpages.com/davepighills/"} +{"d:Title": "Pan Flute", "d:Description": "Amateur player Atsushi Nakamura describes his introduction to the instrument in Europe, and presents tips, history, general information, and an overview of his CD and book collection. Site in English and Japanese", "topic": "Top/Arts/Music/Instruments/Winds/Pan_Pipes", "url": "http://home.riise.hiroshima-u.ac.jp/~momoe/AN/"} +{"d:Title": "Atelier degli Ottoni", "d:Description": "[Quassolo, Turin, Italy] Brass store and repair, with online sales of trumpet simulator.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://digilander.libero.it/atelierottoni/"} +{"d:Title": "Fowler Music Service", "d:Description": "[Pasadena, Maryland, USA] Custom band instrument repair and sales, plating in silver and gold. Specializing in saxophone and clarinet.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.fowlermusic.com/"} +{"d:Title": "Marsh Woodwinds", "d:Description": "[Raleigh, North Carolina, USA] Musical instrument repair and sales. Service descriptions and prices.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.marshwoodwinds.com/"} +{"d:Title": "Music Aid", "d:Description": "[London, Ontario, Canada] Custom work, repair, lacquering of brass and woodwind instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.musicaid.com/"} +{"d:Title": "Lori Lee Flute Repair", "d:Description": "[San Francisco, California, USA] Repair and overhaul of all models. Contact information and links.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://lorilee.com/flute"} +{"d:Title": "Ferree's Tools, Inc.", "d:Description": "[Battle Creek, Michigan, USA] Manufacturer of instrument repair tools. FAQ, catalog, and company information.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.ferreestools.com/"} +{"d:Title": "The Slide Doctor", "d:Description": "[Dahlonega, Georgia, USA] Repair and set up of trombone slides. Provides online guide with photographs, and in-shop services. Information, tips, prices, and testimonials.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.slidedr.com/"} +{"d:Title": "Roger Price Brass and Woodwind Services", "d:Description": "[Wrexham, Wales, UK] Repair of all brass and woodwind instruments. Second-hand sales.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.rogerprice.co.uk/"} +{"d:Title": "Doctor Valve", "d:Description": "[La Grange, Illinois, USA] Specializes in overhauls, valve rebuilds, and valve alignment services for trumpets, cornets, and flugelhorns. Gallery of past projects.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.doctorvalve.com/"} +{"d:Title": "Marshall McGurk", "d:Description": "[Cumbria, UK] Service and repair for all woodwind and small brass instruments. Service descriptions and prices.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.marshallmcgurk.com/"} +{"d:Title": "Woodwind Tech", "d:Description": "[Cleveland, Ohio, USA] Repads and repairs for flutes, clarinets and saxophones. Repair specifics and prices.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://woodwindtech.biz/"} +{"d:Title": "Rodger Young Instrument Repair", "d:Description": "[Edgewater, Maryland, USA] Specializing in repairs, upkeep, and restoration of woodwinds. Handcrafted flute head joints. Repair details and prices.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.tonedesign.com/"} +{"d:Title": "Keith Bowen Bassoon Workshop", "d:Description": "[Seattle Washington, USA] Bassoon repair for professionals. Instrument repair tips, prices, and descriptions.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://fixbassoon.com/"} +{"d:Title": "Stephen Howard Woodwind", "d:Description": "[Petersfield, Hampshire, England] A collection of woodwind repair articles, independent technical reviews of instruments and humorous anecdotes relating to the world of woodwind.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.shwoodwind.co.uk/"} +{"d:Title": "Woodwind and Brass Workshop", "d:Description": "[Williamsburg, Massachusetts, USA] James Vogel, professional musical instrument repair service offering overhauls, repads and playing condition repairs for discerning professionals.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.wbworkshop.com/"} +{"d:Title": "Ian White Woodwind Repair", "d:Description": "[Wheatley, Oxford, England] Comprehensive service to repair flutes, oboes, clarinets, bassoons and saxophones. Also sells accessories and used instruments, and stocks Bonna bassoon cases.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.ianwhiteww.co.uk/"} +{"d:Title": "Henry Potter&Co Ltd", "d:Description": "[Aldershot, Hampshire, England] Repair and manufacture of percussion, brass and woodwinds.", "topic": "Top/Arts/Music/Instruments/Winds/Service_and_Repair", "url": "http://www.henrypotter.co.uk/"} +{"d:Title": "Chiff and Fipple", "d:Description": "A general site by Dale Wisely, the \"Undisputed King of Internet Tin Whistle Journalism\". Message board, newsletter archives, a tune of the month, whistle reviews, and information and links for both novice and experienced whistlers.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.chiffandfipple.com/"} +{"d:Title": "Ceolas Tin Whistle Guide", "d:Description": "Overview of the tin whistle by Gerard Manning. Introduction to the instrument, resources, recordings, and purchasing information. This document is rather old, so purchasing information is well out of date.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.ceolas.org/instruments/Tin.Whistle"} +{"d:Title": "Brother Steve's Tin-Whistle Pages", "d:Description": "One of the best online tin whistle tutorials. Packed with clear examples and insightful instruction, it's clearly the work of someone with a lot of teaching experience. The site is bilingual in English and French. Don't miss the excellent transcriptions of talented whistle players.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.rogermillington.com/siamsa/brosteve/index.html"} +{"d:Title": "The Scottish Whistle", "d:Description": "A collection of Scottish tunes, an essay on whistling in Scottish music, and information on taking tin whistle classes in Scotland.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.nigelgatherer.com/whist.html"} +{"d:Title": "The Flageolet Site", "d:Description": "The flageolet site deals with all aspects of the flageolet, an older instrument similar to the whistle.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.flageolets.com/"} +{"d:Title": "Learning to Whistle", "d:Description": "Useful tips, observations, and experiences gained while learning to play the tin whistle. Updated regularly.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://learningtowhistle.blogspot.com/"} +{"d:Title": "Tin Whistle", "d:Description": "Wikipedia article which gives a good introduction to the history and description of the tin whistle. A useful starting point for someone unfamiliar with the instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://en.wikipedia.org/wiki/Tin_whistle"} +{"d:Title": "Totally Turquoise Telford", "d:Description": "Includes a detailed fingering chart plus articles on scales and alternate fingerings, and a small but unique collection of tunes.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://fullbodyburn.com/"} +{"d:Title": "Praise Whistlers Abroad", "d:Description": "Community and message board for fellowship of Christian whistle players, offering mp3 files and related links.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.praisewhistlers.org/"} +{"d:Title": "Comparison Recordings of Flutes and Whistles", "d:Description": "James Peeples presents a number of MP3 files allowing the listener to compare the same tune played on different instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.flutesite.com/comparison_recordings.htm"} +{"d:Title": "The Kwela Project", "d:Description": "Pennywhistle Jive from South Africa - from playing kwela to learning about music and culture in township South Africa", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.kwela.co.uk/"} +{"d:Title": "Pipers Grip: Irish Low Whistle", "d:Description": "History, reviews, makers, players.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://pipersgrip.50webs.com/"} +{"d:Title": "WhistleAway", "d:Description": "Irish tin whistle website, with flash tutorial, FAQ, sheet music&MP3 downloads and classified ads.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle", "url": "http://www.whistleaway.com/"} +{"d:Title": "Jubilee Music Instrument Builders Resources", "d:Description": "Includes the free TWCalc software for Windows, which helps plan tone hole size and position for whistles and six hole flutes, plus Javascript calculators, and fipple design information.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Building_Instructions", "url": "http://www.tinwhistles.us/experiem.htm"} +{"d:Title": "The 'Low-Tech' Whistle", "d:Description": "Detailed instructions for making fine whistles out of cheap materials, using only simple tools. Includes photographs and technical drawings.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Building_Instructions", "url": "http://www.ggwhistles.com/howto/"} +{"d:Title": "Introduction to Flute Acoustics", "d:Description": "The physics of air-jet instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Building_Instructions", "url": "http://www.phys.unsw.edu.au/jw/fluteacoustics.html"} +{"d:Title": "TWZ - Whistle Zentrum Deutschland", "d:Description": "Wood and brass whistles made in Germany. Site in English and German.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.tinwhistle.de/"} +{"d:Title": "Jubilee Music Instrument Co.", "d:Description": "Makers of tin whistles and folk wind instruments. Includes unusual whistles such as keyed chromatic whistles, bass whistles, and ethnic/folk modes.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.tinwhistles.us/jubilee/index.php"} +{"d:Title": "Sweetheart Flute Company", "d:Description": "Ralph Sweet's whistles, flutes, and fifes, made from a variety of hardwoods. Features the redesigned Sweetheart Professional whistle made of laminated birch, with a new design for consistent response across the scale. Available in high D and C and low D.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.sweetheartflute.com/"} +{"d:Title": "S.Z.B.E Whistle Japan", "d:Description": "Hand-made low whistles and tin whistles from Japan.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.szbe.net/"} +{"d:Title": "Lambe, Ian", "d:Description": "Low whistles handmade of polished aluminum in County Clare, Ireland. Instrument details, maintenance, and ordering information.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://homepage.eircom.net/~mirian/ilambe"} +{"d:Title": "Alba Aerophonics", "d:Description": "Aluminium and tufnol whistles, flageolets and flutes. Handcrafted in Scotland. Secure online sales.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.albawhistles.com/"} +{"d:Title": "Tony Dixon Music", "d:Description": "Metal and polymer whistles including \"Duo-Head whistle,\" a single body with two heads: one flute and one whistle. Available in a wide range of keys and materials. Tony Dixon also makes Irish flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.tonydixonmusic.co.uk/"} +{"d:Title": "Kerrywhistles", "d:Description": "Kerry and Chieftain Irish whistles designed by Phil Hardy. Whistles are hand-made, constructed from either solid aluminum or aluminum with a plastic fipple, and available in a variety of keys in both tuneable and non-tuneable models. Contains many freely downloadable videos of Kerry and other whistles.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.kerrywhistles.com/"} +{"d:Title": "O'Brien Pennywhistles", "d:Description": "Copper pennywhistles handmade by David O'Brien of Chilliwack, BC.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://obrienwhistles.com/"} +{"d:Title": "Busman Whistles", "d:Description": "Hand-crafted pennywhistles in a variety of exotic hardwoods and polymers, with fittings of stainless steel and brass.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.busmanwhistles.com/"} +{"d:Title": "West Coast Whistle Company", "d:Description": "Custom-made, high D, C, E\u266d and E copper whistles made in Ladysmith, B.C. Canada.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.elfsongwhistles.com/"} +{"d:Title": "Howard Music", "d:Description": "Makers of metal body, ABS fipple, low whistles in D, C, C\u266f, and E\u266d. Howard also makes Uilleann pipes.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.howardmusic.co.uk/"} +{"d:Title": "Michael Burke Pennywhistle Co.", "d:Description": "Burke's uniquely designed, hand-made whistles are available in 19 different keys and a wide range of materials.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.burkewhistles.com/"} +{"d:Title": "Sassafrass Whistle Rolls", "d:Description": "Home of the Sassafrass Whistle Roll, and a variety of beautiful, handmade, carrying cases for all your favorite whistles and flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.angelfire.com/mo/sassafrassgrove/WhistleRoll/main.html"} +{"d:Title": "Shaw Whistles", "d:Description": "Hand-made, non-tuneable, conical bore, all-metal whistles in a wide range of keys from low D to high E. Dave Shaw also makes several types of bagpipes.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.daveshaw.co.uk/SHAW_Whistles/shaw_whistles.html"} +{"d:Title": "Kelhorn Corporation", "d:Description": "Produces Susato plastic whistles in a huge range of keys and styles, plus whistle stands, cases, and a large number of other contemporary and historical instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.susato.com/"} +{"d:Title": "Clare Tin Whistle", "d:Description": "These inexpensive (around US$10) whistles have plastic fipples and brass or nickel tubes, and are available in one-piece and two-piece versions. Clare makes only soprano D whistles.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.tin-whistle.com/"} +{"d:Title": "PG Bleazy Woodwinds", "d:Description": "Builds wood whistles from a variety of hardwoods with tapered bore and tuning slide, plus simple-system flutes and recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.bleazey.co.uk/"} +{"d:Title": "Merlin Music Home Page", "d:Description": "Builders of handmade, tuneable, polymer low D whistles and other instruments. Low D whistles and flutes sell for \u00a365.00.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.merlin-music.com/"} +{"d:Title": "Weston Whistles", "d:Description": "Hand-made whistles in chrome, copper, stainless steel, and wood. Keys range from low D to soprano D, although not all keys are available in each material.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.westonwhistles.co.uk/"} +{"d:Title": "Guido Gonzato's Whistles", "d:Description": "Handmade, non-tuneable, whistles made from PVC. They are available in a variety of keys.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.ggwhistles.com/"} +{"d:Title": "ParksWhistles", "d:Description": "Handmade whistles of PVC or clear cellulose tubing, in high D, C, and E\u266d. Includes option for just intonation and three-piece \"Walkabout\" model.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.parkswhistles.com/"} +{"d:Title": "Silkstone Whistles", "d:Description": "Hand-crafted in uPVC, aluminum, and alloy by Paul Hayward in Silkstone Common, Yorkshire, England. Product information, pictures, pricing and ordering details.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://myweb.tiscali.co.uk/silkstone/silkstone/"} +{"d:Title": "Impempe Whistles", "d:Description": "Aluminum whistles in D, C, E, and B\u266d, hand-made in South Africa, priced from $50-80.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://impempewhistles.co.za/"} +{"d:Title": "The Flute Maker", "d:Description": "Silver Fipple Irish Flutes,\u00a0 a\u00a0 precision hand crafted Simple System Key of \u201cD\u201d 6-hole flute with a clear sweet tone.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.theflutemaker.com/"} +{"d:Title": "Mk Whistles", "d:Description": "Makers of coloured aluminium low whistles, with everything from instruments for beginners to limited edition whistles and one-offs. Available in a range of keys with both tunable and non-tunable models. Online shop and informative blog.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://mkwhistles.com/"} +{"d:Title": "Fead\u00f3g", "d:Description": "Official site of the Fead\u00f3g Irish Whistle manufactured in Dublin, Ireland.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.feadog.ie/"} +{"d:Title": "Colin Goldie Whistles", "d:Description": "Fine handmade instruments from an aluminium alloy from high E (Soprano) down to Low G (Bass Baritone), including all flats and sharps, as well as special-fingering models.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.colingoldie.de/"} +{"d:Title": "Open Whistle", "d:Description": "A low whistle with a Quena-style notch.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.open-whistle.com/"} +{"d:Title": "Laughing Whistles", "d:Description": "Low D whistles as well as telescoping D and C whistles by Noah Herbison. Prices and sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://members.shaw.ca/noahherbison/music/"} +{"d:Title": "KelticDead_Music", "d:Description": "Hand-made all-copper whistles in soprano D.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.kelticdead.webs.com/"} +{"d:Title": "Reyburn Whistles", "d:Description": "Celtic low whistles, featuring precision machined wooden headjoints with brass bodies. Product information, endorsements, prices, and ordering.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://reyburnwhistles.com/"} +{"d:Title": "Faust, Alban", "d:Description": "Builder of whistles and Swedish bagpipes in a variety of exotic hardwoods. Click the UK flag for English.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Makers", "url": "http://www.albanfaust.se/"} +{"d:Title": "Cherish the Ladies", "d:Description": "Official site of the Irish traditional music and dance group led by whistle player and flutist Joanie Madden.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.cherishtheladies.com/"} +{"d:Title": "Breatnach, Cormac Juan", "d:Description": "Biography, discography, and CD information.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.cormacbreatnach.com/"} +{"d:Title": "Bauhan, Sarah", "d:Description": "Biography of a whistle and flute player from New Hampshire. Includes audio sample and reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.sarahbauhan.com/"} +{"d:Title": "McLoughlin, Tina", "d:Description": "Whistle and accordion player in Dublin, Ireland.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.tinamcloughlin.com/"} +{"d:Title": "Schott, Leo", "d:Description": "Performer who plays Uilleann pipes, tin whistle, pennywhistle, sax, flute, recorder, and medieval instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.leoschott.com/"} +{"d:Title": "Bergin, Mary", "d:Description": "Biography of the whistler who recorded the influential Fead\u00f3ga St\u00e1in and Fead\u00f3ga St\u00e1in 2 albums.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.iol.ie/~ronolan/bergin.html"} +{"d:Title": "Larsen, Grey", "d:Description": "Grey Larsen is a well-known Irish flutist who has written about the flute and whistle. Features \"The Irish Tune Bank,\" detailed transcriptions, commentary, and recordings of popular tunes.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.greylarsen.com/"} +{"d:Title": "Russell, Micho", "d:Description": "Micho Russell was one of Ireland\u2019s best-known traditional musicians in the latter half of the 20th century.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.michorussellweekend.ie/therussells.html"} +{"d:Title": "Duval, Jean", "d:Description": "Traditional musician and composer who produced a CD of his 12 suites in traditional style for one-handed tin whistle in 2004.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://rogermillington.com/jeanduval/"} +{"d:Title": "Hinnigan, Tony", "d:Description": "Have you heard the tin whistle in a Hollywood movie lately? If so, it was probably Tony Hinnigan's playing.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.tonyhinnigan.com/"} +{"d:Title": "Ochs, Bill", "d:Description": "Teacher, author, and performer living in the New York City area. Information on Bill's books, lessons, and tune transcriptions.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.pennywhistle.com/"} +{"d:Title": "Hart, Tim", "d:Description": "Tim Hart plays the Irish whistle and bodhr\u00e1n, teaching, recording and instructing students in the San Francisco Bay Area.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.tim-hart.com/"} +{"d:Title": "McCullough, L.E.", "d:Description": "Author, composer, performer and producer. Biography, reviews, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Recording_Artists", "url": "http://www.lemccullough.com/"} +{"d:Title": "The ABC Musical Notation Language", "d:Description": "If you're new to Internet tune collections and wonder what \"ABC\" means, or want to learn how to write ABC files, this is the place to start.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://abc.sourceforge.net/"} +{"d:Title": "Tunes at Ceolas", "d:Description": "A few PDF tunebooks plus links to other online tune archives.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.ceolas.org/tunes/"} +{"d:Title": "Henrik Norbeck's Abc Tunes", "d:Description": "Hundreds of tunes, mostly Irish, but also features a small collection of Swedish tunes. ABC format and searchable.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.norbeck.nu/abc/"} +{"d:Title": "JC's ABC Tune Finder", "d:Description": "Enter a tune title, a fragment of a title, or a perl5 pattern to find tunes. The files contain ABC files, MIDIs, and gifs of sheet music.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://trillian.mit.edu/~jc/cgi/abc/tunefind"} +{"d:Title": "Contemplations from the Marianas Trench", "d:Description": "Folk Music of Britain, Ireland and America. Lyrics, Midi, Origins, Historical Information and Background for traditional tunes from Britain, Ireland and America, circa 1650 to 1900. Includes Child ballads, Sea shanties and Turlough O'Carolan's music.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.contemplator.com/"} +{"d:Title": "TUNEdb", "d:Description": "Search 16000+ traditional tunes by name or by entering a fragment of the music.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://tunedb.woodenflute.com/"} +{"d:Title": "The Session", "d:Description": "ABCs, discussions, and recording index for listed tunes, mostly traditional Irish music. Site contains thousands of tunes in a searchable database. Create your own personal tune book.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.thesession.org/"} +{"d:Title": "Irish Traditional Music Tune Index", "d:Description": "Cross-referenced index of traditional Irish tunes on recordings and in published tune books. Includes all alternate titles, exact locations of the tune, and tune publication histories. Note that there are no scores or audio on this site.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.irishtune.info/"} +{"d:Title": "Wandering Whistler Music Archives", "d:Description": "Sheet music, MIDI files, and mp3s for the tin whistle. Songs sorted by name and type.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.tinwhistler.com/"} +{"d:Title": "Clips&Snips", "d:Description": "MP3s of tunes submitted by contributors. Features a tune of the week.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.tinwhistletunes.com/clipssnip/"} +{"d:Title": "Technique and style in Irish traditional music", "d:Description": "Recordings of master whistlers and flutists with detailed transcriptions and commentary.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.rogermillington.com/tunetoc/index.html"} +{"d:Title": "Concertina.net's Tune-O-Tron", "d:Description": "Has a few hundred tunes, but the unique feature of this site is the ABC Convert-A-Matic which allows you to easily produce a PDF score from any tune in ABC format, online and free.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.concertina.net/tunes.html"} +{"d:Title": "The OldMusic Project", "d:Description": "Tunes in ABC, MIDI, and GIF formats. Includes O'Neill's Music of Ireland, O'Carolan, and madrigals.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.oldmusicproject.com/"} +{"d:Title": "Digital Tradition", "d:Description": "A mirror of the Digital Tradition folk music database which includes scores in GIF and whistle tablature formats.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://sniff.numachi.com/~rickheit/dtrad/"} +{"d:Title": "Slow Sessions at The Whistle Shop", "d:Description": "This page includes a small collection of tunes with slow and full-speed audio recordings in MP3 format, plus score in JPG format.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.thewhistleshop.com/slow/session.htm"} +{"d:Title": "Mick's Virtual Whistle", "d:Description": "Dedicated to sharing the pleasures of the pennywhistle or tin whistle. Download and listen to a selection of mainly traditional Celtic music played on the whistle. Companion music notation is available for each tune.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://micksvirtualwhistle.net/"} +{"d:Title": "The Roots Music Listening Room", "d:Description": "Irish dance music from the 1920s - 1970s in MP3 format", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.juneberry78s.com/sounds/ListenToIrishDance.htm"} +{"d:Title": "Whistle and Squeak", "d:Description": "Whistle music includes melody, tablature, words and (usually) chords. Folksongs, Christmas Carols, children's music, and teaching songs organized by level of difficulty. All music is in PDF format.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://praisewhistlers.org/whistleandsqueak/"} +{"d:Title": "Toraigh: Slow Sessions", "d:Description": "Audio recordings of many tunes, played at moderate, slow, and even slower tempos.", "topic": "Top/Arts/Music/Instruments/Winds/Tin_Whistle/Tune_Collections", "url": "http://www.toraigh.com/slowsessions.html"} +{"d:Title": "Woodwind Forum", "d:Description": "A discussion community dedicated to all the major members of the woodwind family of instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds", "url": "http://www.woodwindforum.com/forums/"} +{"d:Title": "Bassoon.org", "d:Description": "Resources and information for bassoonists. Sources for instruments, reeds, accessories, sheet music, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon", "url": "http://www.bassoon.org/"} +{"d:Title": "British Double Reed Society", "d:Description": "Membership details, publications, contact information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon", "url": "http://www.bdrs.org.uk/"} +{"d:Title": "Bassoon, Reeds, and More", "d:Description": "Includes discography, forum, artwork, and sound files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon", "url": "http://www.canit.se/~chrisdav/"} +{"d:Title": "International Double Reed Society", "d:Description": "Worldwide organization of double reed (oboe and bassoon family) players, instrument manufacturers and enthusiasts. Includes stolen instrument registry, conference and competition information, archived IDRS email list server posts, and listings of their publications and journals.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon", "url": "http://www.idrs.org/"} +{"d:Title": "Bassoon, Music, Compositions", "d:Description": "Biography of the creator, MIDI files of original compositions, and general information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon", "url": "http://scoot0boy.tripod.com/"} +{"d:Title": "Yahoo! Groups: Contrabassoon", "d:Description": "Message board, pictures, and links dealing with the instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Contrabassoon", "url": "http://launch.groups.yahoo.com/group/contrabassoon/"} +{"d:Title": "Contrabassoon", "d:Description": "From the Contrabass Mania website. Includes description and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Contrabassoon", "url": "http://www.contrabass.com/pages/contra.html"} +{"d:Title": "The Bassoon-Family Fingering Companion", "d:Description": "Fingering chart for the Heckel-system instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Education", "url": "http://www.idrs.org/resources/BSNFING/finghome.htm"} +{"d:Title": "Fox Products", "d:Description": "Based in Indiana, U.S. Site contains information about both currently-manufactured and discontinued products.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Makers", "url": "http://www.foxproducts.com/"} +{"d:Title": "Heckel", "d:Description": "Located in Wiesbaden, Germany. Product and service information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Makers", "url": "http://www.heckel.de/en/"} +{"d:Title": "P\u00fcchner Woodwind Instruments", "d:Description": "Based in Nauheim, Germany. Historical background, news, maintenance instructions, product information, and photographs.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Makers", "url": "http://www.puchner.com/en/"} +{"d:Title": "Heckel Bassoon, Heckel Contrabassoon, Heckel CREST Bassoon and Heckelphone Serial Numbers", "d:Description": "Contains numeric listings often with ownership history and photographs. Also includes other related historic information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Makers", "url": "http://www.heckelbassoons.info/"} +{"d:Title": "Hanson, Paul", "d:Description": "Style includes jazz. Biography, recording information, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Players", "url": "http://www.jazzbassoon.com/"} +{"d:Title": "Nigro, Susan", "d:Description": "Emphasis on solo contrabassoon performance. Biography, list of solos, sound clips, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Players", "url": "http://www.bigbassoon.com/"} +{"d:Title": "Rabinowitz, Mike", "d:Description": "Style includes jazz. Biography, recording information, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Bassoon/Players", "url": "http://www.jazzbassoonist.com/"} +{"d:Title": "Jean Johnson's Clarinet Page", "d:Description": "Clarinet and orchestral links, reed information, biography and resume.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://members.tripod.com/~Jean_Johnson/index.html"} +{"d:Title": "The Clarinet Center", "d:Description": "Information on clarinets, accessories, repair, music and other aspects. Pictures, history, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://www.pspriggs.com/"} +{"d:Title": "Information About the Clarinet", "d:Description": "Short introduction to the clarinet and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://alcor.concordia.ca/~smw/kcb/english/instruments/clarinet.html"} +{"d:Title": "ET's Clarinet Studio", "d:Description": "Expert articles covering clarinet playing, study, and general music.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://www.tishkoff.com/"} +{"d:Title": "Clarinet Pages", "d:Description": "Organizations, classifieds, extensive databases and link lists, mailing list, tips, and equipment help.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://www.woodwind.org/clarinet/"} +{"d:Title": "The Klarinet Mailing List", "d:Description": "Information on the Klarinet mailing list, a group for anyone with an interest in the clarinet. Subscription information and list archives.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://www.woodwind.org/clarinet/Klarinet/"} +{"d:Title": "Brannen Woodwinds", "d:Description": "Expert clarinet overhauls and customization, serving some of the world's finest clarinetists since 1960.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://www.brannenwoodwinds.com/"} +{"d:Title": "ABC Index", "d:Description": "Clarinet and music links. Includes links to nearly all aspects of clarinet playing.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet", "url": "http://www.anne-bell.woodwind.org/"} +{"d:Title": "Ad-libitum", "d:Description": "Ensemble of clarinets and percussion. History, biographies, reviews, schedule, discography, and contact information. [English/Portuguese]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Ensembles", "url": "http://www.clarinetesadlibitum.net/"} +{"d:Title": "Ebony 5t", "d:Description": "Clarinet quintet,Information on members, repertoire, and contact details. [English\\French]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Ensembles", "url": "http://www.ebony-5t.com/"} +{"d:Title": "Gregory Smith", "d:Description": "Chicago Symphony clarinetist, maker of clarinet mouthpieces.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Manufacturers", "url": "http://www.gregory-smith.com/"} +{"d:Title": "Ripamonti Clarinets", "d:Description": "Italian manufacturer. Includes instrument catalog and company information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Manufacturers", "url": "http://www.laripamonti.com/"} +{"d:Title": "Bas de Jong", "d:Description": "European distributor of Viotto mouthpieces. [English/Dutch/German]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Manufacturers/Accessories", "url": "http://www.basdejong.com/"} +{"d:Title": "Capriccio Clarinet Choir", "d:Description": "Group based in Groningen, The Netherlands. Information, music library, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Organizations", "url": "http://www.capriccio.nl/"} +{"d:Title": "International Clarinet Association", "d:Description": "Journals, research, mailing list, contact information, calendar, articles, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Organizations", "url": "http://www.clarinet.org/"} +{"d:Title": "British Clarinet Ensemble", "d:Description": "Group information, repertoire, calendar, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Organizations", "url": "http://www.britishclarinetensemble.co.uk/"} +{"d:Title": "Queensland Clarinet And Saxophone Society", "d:Description": "Contact information, magazine articles, calendar, membership details, links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Organizations", "url": "http://www.clarinet-saxophone.asn.au/"} +{"d:Title": "Clarinet and Saxophone Society of Great Britain", "d:Description": "Group information, membership form, events, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Organizations", "url": "http://www.cassgb.org/"} +{"d:Title": "Chattanooga Clarinet Choir", "d:Description": "Non-profit volunteer organization of Chattanooga TN area clarinetists. Conducted by Jay Craven, retired, long-time clarinetist with the Chattanooga Symphony Orchestra.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Organizations", "url": "http://chattanoogaclarinetchoir.weebly.com/"} +{"d:Title": "Rosengren, Hakan", "d:Description": "Recording information, reviews, biography, discography, news, and performances.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.hakanrosengren.com/"} +{"d:Title": "Cohler, Jonathan", "d:Description": "British clarinetist. Biography, equipment details, and picture.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.ongaku-records.com/CAloneBio.html"} +{"d:Title": "Miller, Mark", "d:Description": "Clarinetist, conductor, and composer living in Massachusetts. Short biography, calendar, and resume.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.markmillermusic.com/"} +{"d:Title": "Vanoosthuyse, Eddy", "d:Description": "Biography, concerts, masterclasses, MP3s, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.eddyvanoosthuyse.be/"} +{"d:Title": "Charles Stier at Cherry Valley Music", "d:Description": "Cherry Valley Music is the website for classical musicians Charles Stier, clarinetist.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.cherryvalleymusic.com/"} +{"d:Title": "Kam, Sharon", "d:Description": "Performer Sharon Kam, clarinetist. Including promotion material, photos and audio files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.sharonkam.com/"} +{"d:Title": "Fr\u00f6st, Martin", "d:Description": "Swedish clarinetist Martin Fr\u00f6st.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.martinfrost.se/"} +{"d:Title": "Fantini, Alessandro", "d:Description": "Principal solo clarinet in the Orchestra of Gran Teatro in Venice. Biography, pictures, and links. In English and Italian.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.alessandrofantini.it/AFOWS/Home_Page_ENG.htm"} +{"d:Title": "Firth, Andews", "d:Description": "Australian clarinet and saxophone artist Andrew Firth.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Performers", "url": "http://www.andyfirthmusic.com/"} +{"d:Title": "David Blumberg's Offerings", "d:Description": "Midi files for clarinet chamber and solo music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Resources", "url": "http://www.woodwind.org/clarinet/Music/Blumberg.html"} +{"d:Title": "Belcastro, Luca - clarinet works", "d:Description": "Music for solo clarinet, clarinet quartet, wind quintet, clarinet in ensemble and with orchestra by the italian contemporary composer.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Clarinet/Resources", "url": "http://www.lucabelcastro.it/cat_clarinet.html"} +{"d:Title": "Fluteland.com", "d:Description": "Online flute lessons that include on-demand video, fingering charts, forums, video-conferencing lessons and live teacher responses to student questions.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Education", "url": "http://www.fluteland.com/"} +{"d:Title": "UT Flutes", "d:Description": "University of Tennessee Flute studio website with syllabi, links, information about scholarships, monthly calendar.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Education", "url": "http://www.music.utk.edu/utflutes/"} +{"d:Title": "Magic Flutes Flute Choir", "d:Description": "Based in the San Francisco Bay Area and conducted by Pamela Ravenelle.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Ensembles", "url": "http://magicflutes.org/"} +{"d:Title": "ASW Guide to Historical Woodwind Instruments", "d:Description": "Discusses makers and types of historic woodwind instruments, beginning with the Medieval period.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://www.aswltd.com/guideww.htm"} +{"d:Title": "Bamboo and Ethnic Flutes Around the World", "d:Description": "Descriptions and pictures of ethnic flutes from India, China, South America and North America. (Purchasing information provided.)", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://shakuhachi.com/TOC-WorldFlutes.html"} +{"d:Title": "Dayton C. Miller Flute Collection", "d:Description": "Pictures and audio files of some of the historic flutes in this collection, located at the library of congress.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://memory.loc.gov/ammem/dcmhtml/dmhome.html"} +{"d:Title": "My Historical Flutes", "d:Description": "Links to pictures and descriptions of the author's antique flutes and historical reproductions. Includes purchasing tips.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://webhome.idirect.com/~toot/flutes.html"} +{"d:Title": "Terry McGee Flutes", "d:Description": "Australian maker of wood flutes, offers links to historical flute studies, research, and fingering charts.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://www.mcgee-flutes.com/"} +{"d:Title": "The Beginnings of Music: The Opposites in the Flute", "d:Description": "History and philosophy behind the instrument. From a presentation by Barbara Allen.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://www.barbaraallen.org/The-Flute.html"} +{"d:Title": "Rick Wilson's Historical Flutes Page", "d:Description": "Presents photos, descriptions, and information about historical European and American flutes from the Renaissance to the 20th century. Performance practices are also discussed.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://www.oldflutes.com/"} +{"d:Title": "Build a PVC Flute", "d:Description": "Provides instructions for making a simple and inexpensive flute from PVC tubing.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://www.thewidgetforge.com/projects/flute/"} +{"d:Title": "Traverso Historical Flute Newsletter", "d:Description": "Quarterly newsletter of news and information about the flute and its music in the eighteenth and adjacent centuries. [Subscription required]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic", "url": "http://traversonewsletter.org/"} +{"d:Title": "Wardhan, Harsh", "d:Description": "Makes and plays the bansuri flute and teaches flute at Gandharva Mahavidhalay music college. Photos, audio samples and CD sales, brief biography. [French and English]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Bansuri/Artists", "url": "http://www.wardhan.com/"} +{"d:Title": "Gorn, Steve", "d:Description": "Bansuri master playing Indo-jazz. Profile, discography with audio samples, concert schedule, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Bansuri/Artists", "url": "http://www.stevegorn.com/"} +{"d:Title": "Seelig, Mark", "d:Description": "Profile, discography with audio samples, bansuri legend, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Bansuri/Artists", "url": "http://www.mark-seelig.com/"} +{"d:Title": "Lars' Baroque Flute Corner", "d:Description": "Information on the Baroque flute, including instrument care and fingering charts.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Baroque", "url": "http://www.gruk.net/lars/BaroqueFluteCorner.html"} +{"d:Title": "Simon Polak", "d:Description": "Baroque flute maker offers fingering charts and historical information, and discusses types of wood and tuning.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Baroque", "url": "http://www.earlyflute.com/"} +{"d:Title": "Acoustic Measurements of a Baroque Flute", "d:Description": "Acoustic spectrum and sound clips for a range of notes; can be compared to other types of flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Baroque", "url": "http://www.phys.unsw.edu.au/music/flute/baroque/results.html"} +{"d:Title": "Baroque Flutes", "d:Description": "Photos of the various parts of Baroque flutes, and information on fittings and keys. Also a description of tone quality, fingerings, tuning and trills.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Baroque", "url": "http://www.oldflutes.com/baroq.htm"} +{"d:Title": "The Wooden Flute", "d:Description": "Flute related information, focusing on the Irish flute. Links to flute makers, historical details, discography, fingering charts, and a list of teachers and performers.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Irish", "url": "http://www.woodenflute.com/"} +{"d:Title": "What is an Irish Flute?", "d:Description": "Describes the history and development of the flute with extensive illustrations, discussion of playing styles, vibrato and flute fingering charts. Provides related links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Irish", "url": "http://www.standingstones.com/irflute.html"} +{"d:Title": "Playing the Six Holed Flute", "d:Description": "Terry McGee provides basic instruction in care and learning to play the Irish flute.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Irish", "url": "http://www.mcgee-flutes.com/Learning.html"} +{"d:Title": "Guide to the Irish Flute", "d:Description": "Includes directory of makers, interviews, flute care, recommended players, and history.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Irish", "url": "http://www.firescribble.net/flute/"} +{"d:Title": "The Flow", "d:Description": "Irish traditional flute playing, with description of styles, a discography, and news.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Irish", "url": "http://www.theflow.org.uk/"} +{"d:Title": "Flute Forum", "d:Description": "Chiff and Fipple's discussion forum dedicated to the Irish flute.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Irish", "url": "http://forums.chiffandfipple.com/viewforum.php?f=2"} +{"d:Title": "Flutemaker Thomas Fehr", "d:Description": "Maker of historical flutes and recorders, based in Switzerland.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.floetenbau.ch/"} +{"d:Title": "Wendy Reed's Irish Flutes", "d:Description": "Handmade Irish flutes styled after wooden flutes of last century, made in polymer.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.angelfire.com/biz2/wjreed/"} +{"d:Title": "Simon Polak", "d:Description": "Copies of baroque flutes: pictures; brief history of the flute; information on tuning and temperaments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.earlyflute.com/"} +{"d:Title": "M and E Irish Flutes", "d:Description": "Traditional Irish flutes by Michael Cronnolly of County Mayo, Ireland.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://homepage.eircom.net/~mandeflutes/"} +{"d:Title": "Boaz Berney Historical Flutes", "d:Description": "Renaissance, Baroque and Romantic flutes modeled after originals in museums and in private collections.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://berneyflutes.com/"} +{"d:Title": "Mark Hoza's Kything Flutes", "d:Description": "Handmade wooden flutes and headjoints. Irish style keyless flutes and wooden headjoints for silver flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.woodenflutemaker.com/"} +{"d:Title": "Erik the Bamboo Flute Maker", "d:Description": "Bamboo flutes, whistles, saxophones, and other musical instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.eriktheflutemaker.com/"} +{"d:Title": "Side Blown Technologies", "d:Description": "Maker of bamboo flutes and headjoints. Maintenance guide, music, pictures, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.sideblown.com/"} +{"d:Title": "Historical Woodwinds", "d:Description": "Maker of historical flutes and recorders. Descriptions of models, care information and related links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.historicalwoodwinds.be/"} +{"d:Title": "Casey Burns Flutes", "d:Description": "American flutemaker offers Irish and historic flutes, specializing in ergonomic and small-handed flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.caseyburnsflutes.com/"} +{"d:Title": "Sweetheart Flute Company", "d:Description": "Ralph Sweet's instruments, including folk fifes, historic flutes, Irish flutes and whistles. Instrument details, prices, and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.sweetheartflute.com/"} +{"d:Title": "Forbes Flutes", "d:Description": "Rob Forbes, maker of polymer and wooden flutes for traditional Irish and folk music. Offers historical flute information, sound clips of Forbes flutes, and price list.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Makers", "url": "http://www.forbesflutes.com/"} +{"d:Title": "Tai Hei Shakuhachi", "d:Description": "Resources with playing guides, craft manuals, books, sheet music, recordings, teacher directory, buying guide. Manufacturer of cast bore bamboo flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://www.shakuhachi.com/"} +{"d:Title": "Michael A. Firman's Shakuhachi Page", "d:Description": "An American amateur player's site, with photos of contemporary Japanese masters from the 1998 Boulder, Colorado World Shakuhachi Festival.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://mlswebworks.com/shakuhachi.html"} +{"d:Title": "The Shakuhachi Mailing List Web Archive", "d:Description": "Descriptive articles, FAQs and other resources for shakuhachi enthusiasts, players, performers and listlurkers. Articles on Hochiku versus Shakuhachi, and mailing flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://communication.ucsd.edu/shaku/"} +{"d:Title": "Yahoo! Groups : sfbayshakuhachi", "d:Description": "San Francisco Bay Area mailing list.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://groups.yahoo.com/group/sfbayshakuhachi/"} +{"d:Title": "Yahoo! Groups : shakuhachisectofeasternusa", "d:Description": "Mailing list for experienced players and newcomers.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://groups.yahoo.com/group/shakuhachisectofeasternusa/"} +{"d:Title": "Yahoo! Groups : komuso", "d:Description": "Mailing list for all levels of experience.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://groups.yahoo.com/group/komuso/"} +{"d:Title": "Shakuhachi Summer Camp of the Rockies", "d:Description": "Summer camp in the Rockies for learning with masters of the tradition.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://www.shakucamp.com/"} +{"d:Title": "Fuke-Sh\u00fb Shakuhachi", "d:Description": "History and facts of the Fuke-Sh\u00fb sect, and the bamboo flute played by Zen Monks to attain enlightment. [English/French/Japanese]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi", "url": "http://www.fuke-shakuhachi.com/"} +{"d:Title": "Shakuhachi Player and Maker Kinya Sogawa", "d:Description": "Stories about shakuhachi, how to make one from PVC pipe, photographs of his flutes. (English/Japanese)", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Makers", "url": "http://www.fides.dti.ne.jp/~sogawa/englishpage1.html"} +{"d:Title": "Cloud Hands Music", "d:Description": "Peter Ross, artist and shakuhachi flute maker. Also sells other flutes from several makers.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Makers", "url": "http://www.cloudhandsmusic.com/"} +{"d:Title": "Japan Shakuhachi", "d:Description": "Crafted by Hoshi Bonchiku. Details on flutes for sale and the manufacturing process. Based in Kyoto, Japan and Vancouver, Canada.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Makers", "url": "http://www.japanshakuhachi.com/"} +{"d:Title": "Yung Flutes", "d:Description": "Made by Perry Yung. History, pictures of manufacturing, details of styles of available instruments. Plus Shak Talk: news and views on the crafting and playing of Shakuhachi. Based in New York, New York, United States.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Makers", "url": "http://www.yungflutes.com/"} +{"d:Title": "Kaito: The Dutch Shakuhachi Society", "d:Description": "Promoting the instrument in the Netherlands through workshops, concerts, and events. Includes membership information and brief profiles of several members.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Organizations", "url": "http://www.shakuhachi.com/G-Kaito.html"} +{"d:Title": "La Voie du Bambou", "d:Description": "Promoting the shakuhachi through concerts and individual, group, and master classes teaching traditional and contemporary styles. Includes contact information and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Organizations", "url": "http://www.shakuhachi.com/G-LaVoieDuBambou.html"} +{"d:Title": "The International Shakuhachi Society", "d:Description": "Organization bringing together players, schools, composers, ethnomusicologists, and hobbyists. Includes lineage charts, styles with information on artists and discographies, pieces organized by style, a chronological listing with profiles of artists from the early 1600s, glossary, and new and used CDs and sheet music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Organizations", "url": "http://www.komuso.com/"} +{"d:Title": "The Seattle Shakuhachi Society", "d:Description": "Upcoming events and mailing list.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Organizations", "url": "http://www.megaseattle.com/shakuhachi/"} +{"d:Title": "Matou\u009aek, Vlastislav", "d:Description": "Composer and performer. Biography, discography, and other information. [English/Czech]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.shakuhachi.cz/"} +{"d:Title": "Ross, Peter", "d:Description": "Cloud Hands Music. A Seattle, Washington artist and maker, and shakuhachi and other flutes from several makers. Online sales, history, calendar of events.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.cloudhandsmusic.com/"} +{"d:Title": "Lee, Riley", "d:Description": "A Grand Master based in Australia. Biography, discography, together with the flute's history and information about learning to play.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.rileylee.net/"} +{"d:Title": "Yoshizawa , Masakazu and Gumi, Kokin", "d:Description": "The artist and the performing group. Discography, performances and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.kokingumi.com/"} +{"d:Title": "Goro, Yamaguchi", "d:Description": "Announcement of the death of renowned musician. Links to tributes and newspaper obituaries.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.shakuhachi.com/H-Yamaguchi.html"} +{"d:Title": "Nakamura, Akikazu", "d:Description": "Biography, brief description of construction and playing techniques, and brief movie clip.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.j-music.com/aki/pro.html"} +{"d:Title": "Nyogetsu, Ronnie", "d:Description": "Ronnie Nyogetsu Reishin Seldin. Biography, discography, CDs for sale, and information about Ki Sui An Shakuhachi camp.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.nyogetsu.com/"} +{"d:Title": "Brandwein, Mary Lu", "d:Description": "The Bamboo Way. Player, teacher, zen student and artist. Biography, audio samples, and poetry.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.shakuhachi.org/"} +{"d:Title": "Soergel, Daniel Nyohaku", "d:Description": "General information, practise and playing notes, guide to making a PVC flute. Artist's biography, recordings, sound clips and concert schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.konkle.com/shakuhachi/"} +{"d:Title": "Rothenberg, Ned", "d:Description": "Composes and performs on saxophones, clarinets, flute and shakuhachi. Biography, recordings, concert schedule, press information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.nedrothenberg.com/"} +{"d:Title": "Yoshizawa, Masakazu", "d:Description": "Performances with various Japanese flutes (shakuhachi, shinobue, hichiriki, nokan, etc.) and percussion instruments (the various taiko, tsusumi drums and other percusives).", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://kokingumi.com/YoshiIndex.htm"} +{"d:Title": "Kokin-Gumi Group", "d:Description": "A trio of musicians: Masakazu Yoshizawa, Hiromi Hashibe, and Tateo Takahashi, blending traditional instruments with synthesizers to perform traditional, contemporary, and original compositions.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://kokingumi.com/KokinIndex.htm"} +{"d:Title": "Mizuno, Kohmei", "d:Description": "Biography, discography, downloadable CD samples. [English/Japanese]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://fluid.mech.kogakuin.ac.jp/~mizuno/shaku_e.html"} +{"d:Title": "Danbrook, Debbie", "d:Description": "Featuring music played on the Japanese Shakuhachi flute. Provides a catalogue of available music CDs and online ordering. Also includes events and a biography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.healingmusic.com/"} +{"d:Title": "The International Shakuhachi Society", "d:Description": "Index of performers.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.komuso.com/people/"} +{"d:Title": "Schlefer, James Nyoraku", "d:Description": "Performer and teacher. Recordings, workbooks, lectures and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.nyoraku.com/"} +{"d:Title": "Singer, John", "d:Description": "Master shakuhachi teacher, performer, recording artist specializing in Honkyoku. Includes the history of the Nezasa Ha Kinpu Ryu and a list of the pieces, photo gallery of flutes, CD ordering, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.zenflute.com/"} +{"d:Title": "Gould, Michael", "d:Description": "Chikuzen Studios. Performer and teacher. Lessons, performances, CDs, and scorebooks.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.chikuzenstudios.com/"} +{"d:Title": "Norman, Anne", "d:Description": "Composer and performer of shakuhachi and a variety of other flutes. Biography and profile, workshops and performances. Based in Australia.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://annenorman.com/"} +{"d:Title": "Yohmei (Blasdel), Christopher", "d:Description": "Profile, performance schedule, discography, books, articles and news. [English/Japanese]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Historical_and_Ethnic/Shakuhachi/Performers", "url": "http://www.yohmei.com/"} +{"d:Title": "Miyazawa Flutes", "d:Description": "Articles, model information, features, and enhancements. Information on Philip Hammig piccolos, Howel Roberts wood headjoints, and West Music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.miyazawa.com/"} +{"d:Title": "Verne Q. Powell Flutes", "d:Description": "Contact information, technical details, factory tour, catalog, and sound clips.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.powellflutes.com/"} +{"d:Title": "Lopatin Flute Company", "d:Description": "Handmade flutes and headjoints in precious metals, including the unique square tone hole flute and the classic round hole model.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.lopatinflutes.com/"} +{"d:Title": "Wm. S. Haynes Flutes", "d:Description": "History, products, news, and endorsements.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://wmshaynes.com/"} +{"d:Title": "Muramatsu America", "d:Description": "Distributor of Muramatsu flutes in North America. Instruments, price list, accessories, and ordering information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.muramatsu-america.com/"} +{"d:Title": "The Abell Flute Company", "d:Description": "Specializes in Boehm system wooden flutes. Pricing, pictures, and product information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.abellflute.com/"} +{"d:Title": "Burkart-Phelan, Inc.", "d:Description": "Handmade flutes made from precious metals. Handmade piccolos made from exotic hardwoods with sterling silver or gold mechanism.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.burkart.com/"} +{"d:Title": "Gemeinhardt Online", "d:Description": "Flute history, online shopping, factory tour, and product information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.gemeinhardt.com/"} +{"d:Title": "Tom Lacy Flutes", "d:Description": "Handmade instruments. Comments, prices, product information, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.tomlacyflutes.com/"} +{"d:Title": "John Lunn Flutes", "d:Description": "Information, photographs, biography of the maker, and designs.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.lunnflutes.com/"} +{"d:Title": "Williams Flutes Incorporated", "d:Description": "Information on the flute and headjoint, jazz audio samples, poetry inspired by the flute.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.williamsflutes.com/"} +{"d:Title": "Straubinger Flutes", "d:Description": "Handmade flutes and Straubinger pads for flutes, piccolos, and clarinets.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://straubingerflutes.net/"} +{"d:Title": "Gibonus- Panflutes&Panpipes", "d:Description": "Instrument manufacturer.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.gibonus.com/"} +{"d:Title": "Eva Kingma Flutes", "d:Description": "Maker of handmade bassflutes, altoflutes and Contrabassflutes; inventor of a patented quarter-tone and multiphonic system.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.kingmaflutes.com/"} +{"d:Title": "Pearl Flutes", "d:Description": "Handmade instruments in sterling, 10K, 14K, and 18K Gold and Platinum. Also maker of student and alto flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.pearlflutes.com/"} +{"d:Title": "Arista Flutes", "d:Description": "Handmade flutes and headjoints in wood, gold and silver.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.aristaflutes.com/"} +{"d:Title": "Hall Crystal Flutes", "d:Description": "Maker of Crystal Flutes", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.hallflutes.com/"} +{"d:Title": "Stephen Wessel Flutes", "d:Description": "Handmade silver flutes with stainless steel keywork. Product description, pictures, FAQ, endorsements, prices, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.wessel-flutes.co.uk/"} +{"d:Title": "Tom Green Flutes", "d:Description": "Custom handmade flutes built to order. Offers price-list with options, FAQs, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.tomgreenflutes.com/"} +{"d:Title": "Robert Bigio-Flute Maker", "d:Description": "Flutes and piccolos in wood, silver and gold.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Makers", "url": "http://www.bigio.com/"} +{"d:Title": "The Flute Network", "d:Description": "(Non-profit corporation) Free publication, including classified listings and a registry of stolen instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.flutenet.com/"} +{"d:Title": "Flute.com", "d:Description": "Source for locating flute-related information on the Internet. Collection of flute links in multiple categories.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.flute.com/"} +{"d:Title": "FluteInfo.com", "d:Description": "MP3s, articles, fingering charts, music, lessons, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.fluteinfo.com/"} +{"d:Title": "Flutes.tk", "d:Description": "Portal for flutists with links to many flute websites. Also includes a forum, bookshelf, marketplace and newsletter.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.flutes.tk/"} +{"d:Title": "Mark Shepard's Flute Page", "d:Description": "Playing the modern and simple folk flutes, making simple flutes, maintenance, tips on selecting an instrument, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.markshep.com/flute/"} +{"d:Title": "The Virtual Flute", "d:Description": "Predicts the entire musical response of the flute including alternate and multiphonic fingerings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.phys.unsw.edu.au/music/flute/virtual/main.html"} +{"d:Title": "Larry Krantz Flute Pages", "d:Description": "Information about all aspects of the flute including registry of lost and stolen instruments and links to other sites. Also home of the FLUTE email discussion group.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.larrykrantz.com/"} +{"d:Title": "Maria Ramey's Flute Corner", "d:Description": "Flute articles, links, mp3 clips, photos, and information on famous flutists.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.flutecorner.com/"} +{"d:Title": "Trevor Wye", "d:Description": "Offers flute articles, a list of publications, master classes, concert dates, and biographical information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.trevorwye.com/"} +{"d:Title": "Erich Graf, Flutist", "d:Description": "Biographical information, discography and related links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Modern_Orchestral", "url": "http://www.erichgraf.com/"} +{"d:Title": "British Flute Society", "d:Description": "Membership information, samples of Pan Magazine, links, and events.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Organizations", "url": "http://www.bfs.org.uk/"} +{"d:Title": "The National Flute Association", "d:Description": "Information about membership, flute events, masterclasses, clubs, and sample articles from the Flutist Quarterly newsletter.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Organizations", "url": "http://nfaonline.org/"} +{"d:Title": "Flute Society of NSW", "d:Description": "A non-profit organization for flute players, makers, and composers in Australia. Event information, news, message board, and an online membership form.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Organizations", "url": "http://www.flutesocietynsw.org.au/"} +{"d:Title": "Flute Society of Greater Philadelphia", "d:Description": "Promoting interest in the instrument, its music and excellence in performance. Located in Philadelphia, Pennsylvania.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Organizations", "url": "http://www.philaflutesociety.org/"} +{"d:Title": "Upper Midwest Flute Association", "d:Description": "Located in Minneapolis/St. Paul, Minnesota. Membership details, board members, competitions, and mission statement.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Organizations", "url": "http://umfaflutes.org/"} +{"d:Title": "Southern Illinois Flute Society", "d:Description": "Provides a time and place for flutists to meet, exchange ideas, gain performance experience, play in ensembles and generally promotes flute playing in the Southern Illinois area.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Organizations", "url": "http://siflutes.org/"} +{"d:Title": "Australian Flute Society", "d:Description": "Promoting interest in the instrument and its music and excellence in performance through its conventions and publications.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Organizations", "url": "http://www.australianflutesociety.org.au/"} +{"d:Title": "Bet, Stefano", "d:Description": "Italy-based musician playing Baroque, classical, and Renaissance flute and recorder. Includes discography, history, audio, and photo gallery. [English and Italian versions]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://digilander.libero.it/bestiofante/"} +{"d:Title": "Weinstein, Mark", "d:Description": "CD downloads, photos, and links. Post-bebop and latin jazz, world-class musicians, flute and guitar duets, world beat percussion, original compositions and standards.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.jazzfluteweinstein.com/"} +{"d:Title": "Palmer, Kris", "d:Description": "Solo classical flutist.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.krispalmer.com/"} +{"d:Title": "Swinchoski, Margaret", "d:Description": "News, discography, performance schedule, links, and audio clips.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.margaretswinchoski.com/"} +{"d:Title": "Newsom, Jim, and the PorchRockers", "d:Description": "Southeastern Virginia's eclectic and electric jazz band, led by dynamic flutist/guitarist Jim Newsom. Information about the band, schedule, news, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.jimnewsom.com/"} +{"d:Title": "Baxtresser, Jeanne", "d:Description": "Principal flutist with the New York Philharmonic. Biography, discography, reviews, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.jeannebaxtresser.com/"} +{"d:Title": "Stahl, Kenny", "d:Description": "Biography, audio clips, CD information, and appearances.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.kennystahl.com/"} +{"d:Title": "Khaner, Jeffrey", "d:Description": "Principal flutist with the Philadelphia Orchestra. Biography, press releases, schedule, recordings, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.iflute.com/"} +{"d:Title": "Zukerman, Eugenia", "d:Description": "Flutist, writer, and journalist. Biography, discography, and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.eugeniazukerman.com/"} +{"d:Title": "Grauwels, Marc", "d:Description": "Biography, discography, concert programs, master classes, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.marcgrauwels.be/"} +{"d:Title": "Don Bailey", "d:Description": "Biography, photograph and contact details of New York-based flutist.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://donbailey.net/"} +{"d:Title": "Porter, Amy", "d:Description": "Professor of flute at the University of Michigan. Biography, photograph and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.amyporter.com/"} +{"d:Title": "Williams, Dianne", "d:Description": "Ohio-based flutist. Includes discography, biography, audio, press, reviews, and bookings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.diannewilliams.com/"} +{"d:Title": "Faust, Michael", "d:Description": "Personal website containing his life, press and schedule", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.mfaust.de/"} +{"d:Title": "Beynon, Emily", "d:Description": "Welsh-born flautist; includes her biography, discography, information on her repertoire, and reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.emilybeynon.com/"} +{"d:Title": "Feller, Bart", "d:Description": "Principal flutist of the New Jersey Symphony. Sound samples, artist information, and schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.bartfeller.net/"} +{"d:Title": "Galway, Sir James", "d:Description": "Official site of the well-known flautist. Includes a discography, interviews, tours, classes and a photo gallery.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.jamesgalway.com/"} +{"d:Title": "Salcedo, Pablo", "d:Description": "Biography, discography, repertoire, master classes, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Performers", "url": "http://www.pablosalcedo.org/"} +{"d:Title": "Piccolo Flute", "d:Description": "Offers daily exercises for the piccolo, mp3 files, articles and biographical information about expert player Nicola Mazzanti.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Piccolo", "url": "http://www.piccoloflute.it/"} +{"d:Title": "Auxiliary Piccolo Fingerings", "d:Description": "Alternate fingerings provided by John Krell.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Piccolo", "url": "http://www.larrykrantz.com/krell.htm"} +{"d:Title": "Flute and Guitar", "d:Description": "Tips and information for performers, MP3s, music samples, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://www.fluteandguitar.com/"} +{"d:Title": "The Flute Connection", "d:Description": "Features information on contemporary flutists, composers, Flute Festival Mid South, and flute links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://www.fluteconnection.net/"} +{"d:Title": "University of Idaho Flute Studio", "d:Description": "Resources for flutists, including repertoire lists, original articles and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://www.webpages.uidaho.edu/~leonardg/index.html"} +{"d:Title": "Flute Articles by Jennifer Cluff", "d:Description": "Articles on all aspects of flute playing.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://www.jennifercluff.com/articles.htm"} +{"d:Title": "FluteTunes.com", "d:Description": "Free sheet music for flute, fingering charts, scales, an online metronome and an extensive music glossary. Updated everyday with new scores.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://www.flutetunes.com/"} +{"d:Title": "Flute List Pages", "d:Description": "Email discussion group about flutes and flute playing.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://www.larrykrantz.com/fluteweb/fluteweb.htm"} +{"d:Title": "Flute4All", "d:Description": "Gives many resources to the average flute player including scales, exercises, and lessons in theory.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://flute4all.com/index.html"} +{"d:Title": "Sarah's Flute Page", "d:Description": "Tips for playing the flute, buying an instrument, and playing the piccolo, plus a history of the flute.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://webspace.webring.com/people/hf/flutepower55/"} +{"d:Title": "Morissa's Flute Page", "d:Description": "A collection of links for flutists of all ages as well as music educators.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Flute/Resources", "url": "http://praetorius40.tripod.com/index-2.html"} +{"d:Title": "Edward Pillinger's Clarinet and Saxophone Mouthpieces", "d:Description": "Classic and new handmade designs based on recent acoustic university research. Product information and price list.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Makers", "url": "http://www.pillingermouthpieces.co.uk/"} +{"d:Title": "Benedikt Eppelsheim Wind Instruments", "d:Description": "Builds small series of specialised saxophones, bassoons and clarinets. Includes information on products with sound clips, terms and conditions, and contact information. [English, French, and German]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Manufacturers", "url": "http://www.eppelsheim.com/"} +{"d:Title": "Musik Josef", "d:Description": "Japanese manufacturer of oboes and English horns. Includes products, history and photo gallery.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Manufacturers", "url": "http://www.josef-oboe.com/"} +{"d:Title": "Rico International", "d:Description": "Clarinet and saxophone reeds and accessories. Includes news, trade shows, history, artists, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Manufacturers", "url": "http://www.ricoreeds.com/"} +{"d:Title": "A.M.Gigliotti, Inc.", "d:Description": "Manufacturer of mouthpieces and ligatures for clarinet and saxophone. Includes lists of products and online retailers, tributes to Anthony Gigliotti, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Manufacturers", "url": "http://www.clarinetworld.com/"} +{"d:Title": "Alexander Reeds", "d:Description": "Manufacturer of reeds for clarinet and saxophone. Products, news, artists and FAQs.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Manufacturers", "url": "http://www.superial.com/"} +{"d:Title": "Pomarico", "d:Description": "Manufacturer of mouthpieces for clarinet and saxophone in Italy. History and products.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Manufacturers", "url": "http://www.pomaricompieces.com/"} +{"d:Title": "Fratelli Patricola", "d:Description": "Manufacturer of oboes, English horns and clarinets. [English/Italian]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Manufacturers", "url": "http://www.patricola.com/"} +{"d:Title": "Arizona State University - ASU Oboe Page", "d:Description": "Information on oboe studies at the university, warm-up tips, reed guide, practice hints, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.public.asu.edu/~schuring/"} +{"d:Title": "The Oboe Fiend", "d:Description": "General information about the oboe, MIDIs of the author's compositions, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.angelfire.com/wi/fiendhome/"} +{"d:Title": "The Nissen Oboe Tube", "d:Description": "Enhanced version of the cork staple, which provides better stability and presence to any oboist.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.oboetube.com/"} +{"d:Title": "Oboe My Love for Young Oboist", "d:Description": "Information about exercises, reed making, multiphonics, and circular breathing", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://oboe.webseason.net/"} +{"d:Title": "Oboes for Idgets", "d:Description": "Gives advice on oboe playing for music educators, parents and students. Includes information about reeds, buying instruments and oboe repertoire.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.oboesforidgets.com/"} +{"d:Title": "Making Oboe Reeds", "d:Description": "Information about making American style long-scraped oboe reeds both for the beginning reedmaker and the professional.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.makingoboereeds.com/"} +{"d:Title": "Oboe Makers&Commentary", "d:Description": "A list of 20th and 21st century oboe makers along with commentary by Peter Hurd on their instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.oboes.us/resources/makers.html"} +{"d:Title": "Oboemotions.com", "d:Description": "Gives information on Stephen Caplan, oboe instructor at UNLV, other players and oboe activities in the area.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.oboemotions.com/"} +{"d:Title": "Reeds 'N Stuff", "d:Description": "German oboist Udo Heng offers cane, knives, machines and other supplies used to make oboe and bassoon reeds.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe", "url": "http://www.reedsnstuff.com/"} +{"d:Title": "Hinchliffe, Robert", "d:Description": "Composer, conductor, performer, and teacher. Biography, works, book and CD, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://www.hinchliffe-music.com/"} +{"d:Title": "Robinson, Joseph", "d:Description": "Principal Oboist for the New York Philharmonic. Biography, CD information, articles, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://www.oboejoe.net/"} +{"d:Title": "Clauter, Nancy", "d:Description": "Founding member of the Quintessence Wind Quintet, international performer and teacher.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://www.uky.edu/~oboenan/"} +{"d:Title": "Hunsinger, Robbie Lynn", "d:Description": "Oboist , Composer, Improvisor, Instrument Inventor.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://www.robbiehunsinger.com/"} +{"d:Title": "Izotov, Eugene", "d:Description": "Principal oboist of the Chicago Symphony Orchestra. Biography, sound files, press quotes, and photos.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://www.oboesolo.com/"} +{"d:Title": "Messiter, Malcolm", "d:Description": "Former oboe to the BBC Concert Orchestra, London Mozart Players, and others. Multi-media page.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://www.messiter.com/"} +{"d:Title": "Lande, Vladimir", "d:Description": "Born in St. Petersburg, Russia. Faculty member of the American University in Washington DC, and the Washington Conservatory of Music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://www.sonoraproductions.com/vlande.html"} +{"d:Title": "Atherholt, Robert", "d:Description": "Associate Professor of Oboe at Rice University and Principal of the Houston Symphony.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Oboe/Players", "url": "http://music.rice.edu/facultybios/atherholt.shtml"} +{"d:Title": "Aafab", "d:Description": "Aafab, the former Hans Coolsma workshop, offers recorders. Information about the care of a recorder.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.aafab.nl/"} +{"d:Title": "K\u00fcng Blockfl\u00f6ten", "d:Description": "Recorder maker and manufacturer from Switzerland presenting his production line.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.kueng-blockfloeten.ch/"} +{"d:Title": "Mollenhauer Recorders", "d:Description": "The manufacturer of recorder presents its production line and gives useful information. Cooperation with such recorder makers as Maarten Helder, Friedrich von Huene, Adriana Breukink, Nik Tarasov, Joachim Paetzold, and Ann Morgan.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.mollenhauer.com/"} +{"d:Title": "The Recorder Player's Page", "d:Description": "Information about the instrument, sheet music, MP3 files, and directory of players.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.saers.com/recorder/"} +{"d:Title": "Dr. Fred Kersten", "d:Description": "Visiting Assistant Professor at the Crane School of Music conducting postdoctoral research in chromostesia and working in music technology.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.fredkersten.com/"} +{"d:Title": "Malcolm Tattersall", "d:Description": "About music for recorders written or arranged by Malcolm Tattersall and published by Cootamundra Music and Orpheus Music. (Townsville, Queensland, Australia)", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://members.iinet.net.au/~mtattersall/"} +{"d:Title": "The Recorder Home Page", "d:Description": "Indexes pages concerning the recorder: articles, technique, source material, makers, composers, music, retailers, players, recordings, MIDI files, education, software, and societies.", "priority": "1", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.recorderhomepage.net/"} +{"d:Title": "Makoyan's Classical and Recorder MIDI", "d:Description": "MIDI files of recorder, guitar and classical music; Webring.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.senior.aichi-edu.ac.jp/mtahira/E-Recorder.html"} +{"d:Title": "RecorderFriends", "d:Description": "A discussion group for recorder players.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://launch.groups.yahoo.com/group/RecorderFriends/"} +{"d:Title": "The Pipers' Guild", "d:Description": "Making, playing and decorating bamboo pipes, a simple wind instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.pipersguild.org/"} +{"d:Title": "Single-handed Recorder", "d:Description": "Information about modifying a recorder to play single-handed.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.brandonfla.com/typingtutor/build.htm"} +{"d:Title": "Glen Shannon Music", "d:Description": "Composer focusing on music for recorder ensembles.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.glenshannonmusic.com/"} +{"d:Title": "Woodhouse Recorder Week", "d:Description": "Summer course for young recorder players in the UK. Includes information about the course, tutors, concerts and enrollment.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.woodhouserecorderweek.co.uk/"} +{"d:Title": "Dolmetsch", "d:Description": "Sales, restoration and repair of recorders and other early instruments, with articles, sheet music, advice and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.dolmetsch.com/"} +{"d:Title": "Von Huene Workshop, Inc.", "d:Description": "Maker and dealer of historical woodwinds. They also repair instruments and sell music, accessories and instruments by all makers of historical woodwinds, strings and keyboards.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.vonhuene.com/"} +{"d:Title": "Eugene Ilarionov", "d:Description": "Historical woodwinds, including recorders, bagpipes, tabor pipes and whistles.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://fontegara.narod.ru/"} +{"d:Title": "Music88 Recorders and Recorder Music", "d:Description": "Information about the recorder, including teaching resources, sheet music, and other literature about it.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.music88.com/"} +{"d:Title": "Sheet music for recorder", "d:Description": "List of archive libraries on the internet with free sheet music for recorder and flute. Download area with inexpensive recorder music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.floetennoten.net/en/"} +{"d:Title": "The Recorder - by Geoff Walker, UK", "d:Description": "Basic information about the recorder and thoughts on why playing the recorder and more like history, fingering, unusual recorders, MIDI files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://pteratunes.org.uk/Music/Recorder/"} +{"d:Title": "Frederick G. Morgan", "d:Description": "Obituary about worldknown Australian recorder maker Frederick G.Morgan.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://members.ozemail.com.au/~rodneywaterman/fredmorgan.html"} +{"d:Title": "Weald Music Services", "d:Description": "Composer Anthony Purnell gives details (and MIDI-files) of his \"Festival Series\" for recorder players of varied abilities.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder", "url": "http://www.anthonypurnell.gegahost.net/"} +{"d:Title": "The Dortmund Recorder Consort", "d:Description": "One of Germany's largest recorder orchestras, based in Dortmund. It consist of some 50 members playing instruments from Garklein to Sub-Contrabass in F.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://blockfloetenconsort.de/"} +{"d:Title": "Brisk Recorder Quartet", "d:Description": "Biography, discography, and booking information for the Netherlands-based quartet.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://brisk.nl/"} +{"d:Title": "Flutes of Fancy", "d:Description": "California based husband and wife recorder and violin duo.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.flutesoffancy.com/"} +{"d:Title": "Piers Adams and Red Priest", "d:Description": "Recorder virtuoso from the United Kingdom.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.piersadams.com/"} +{"d:Title": "Amsterdam Loeki Stardust Quartet", "d:Description": "A quartet from the Netherlands consisting of Karel van Steenhoven, Bertho Driever, Dani\u00ebl Br\u00fcggen and Daniel Koschitzki.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.loekistardust.nl/"} +{"d:Title": "Bennetts&Bowman Recorder Duo", "d:Description": "A duet from United Kingdom: Kathryn Bennetts and Peter Bowman.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.classical-artists.com/bennettsbowman/"} +{"d:Title": "Berkshire Recorder Consort", "d:Description": "A group from SE England specialising in early music on recorders and other early instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://recorderconsort.co.uk/"} +{"d:Title": "Diferencias", "d:Description": "Swiss ensemble that performs music from the Middle Ages and Renaissance.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.diferencias.ch/indexEnglish.html"} +{"d:Title": "Flanders Recorder Quartet", "d:Description": "Bart Spanhove, Han Tol, Joris van Goethem and Paul van Loey travel around the world with more than 150 recorders in tow performing for international audiences.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.flanders-recorder-quartet.be/"} +{"d:Title": "The Flautadors Recorder Quartet", "d:Description": "This group from the United Kingdom met while studying at the Guildhall School of Music and Drama and offer a repertoire ranging from medieval to modern.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.theflautadors.org/"} +{"d:Title": "The Schwanewede Recorder Consort", "d:Description": "A group of young amateur musicians who were members of the \"Klingende Runde f\u00fcr jung und alt, Schwanewede\".", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.grainger.de/music/rmperfs/src.html"} +{"d:Title": "Scottish Recorder Orchestra", "d:Description": "An orchestra with sections playing sopranino, descant, treble, tenor, bass, great bass, and contrabass recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.sro.org.uk/"} +{"d:Title": "The Woodland Consort", "d:Description": "Amateur recorder group from Seattle who play Renaissance and Baroque music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.hillinger.org/consort/"} +{"d:Title": "The Royal Wind Music", "d:Description": "A recorder consort from The Netherlands.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.royalwindmusic.org/"} +{"d:Title": "Piping Hot Recorder Consort", "d:Description": "All-female recorder consort based in Cumbria, UK, who play music from the Renaissance to the present day.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.marshallmcgurk.com/piping_hot/"} +{"d:Title": "Consortium5", "d:Description": "Recorder Consort founded by Kathryn Corrigan, Inga Maria Klaucke, Oonagh Lee, Gail Macleod and Roselyn Maynard while all were students at the Royal Academy of Music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.consortium5.com/"} +{"d:Title": "Christchurch Youth Recorder Ensemble", "d:Description": "A group of up to 25 young musicians.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://homepages.ihug.co.nz/~forclift/cyre.html"} +{"d:Title": "The Wireless Consort", "d:Description": "A performing ensemble based in North Texas specializing in music for recorder quartet and quintet.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.texasearlymusic.com/wc/"} +{"d:Title": "Ensemble Caprice", "d:Description": "Baroque recorder quartet based in Montreal.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.ensemblecaprice.com/"} +{"d:Title": "Tibia Recorder Duo", "d:Description": "The recorder duo of Letitia (Tish) Berlin and Frances Blaker of Albany, California", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://tibiaduo.com/"} +{"d:Title": "Los Angeles Recorder Orchestra", "d:Description": "LARO consists of thirty-six members performing a repertoire of varied periods and genres.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.larohome.org/"} +{"d:Title": "Colorado Recorder Orchestra", "d:Description": "Exploring the diverse styles of music through large ensemble playing, providing musical opportunities to area recorder players, and providing educational opportunities to the public that demonstrate the history and versatility of the recorder.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.coloradorecorderorchestra.org/"} +{"d:Title": "Fontanella", "d:Description": "Recorder quintet with a repertoire from medieval polyphony to contemporary jazz. Information about concerts, the players, educational work, and mp3 samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.fontanella.co.uk/"} +{"d:Title": "The Recorder Orchestra of New York (RONY)", "d:Description": "A non-profit, volunteer ensemble of recorder players.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.ronyonline.org/"} +{"d:Title": "Heart of England Recorder Orchestra", "d:Description": "HERO is a band of enthusiastic recorder players throughout the Midlands who meet in Coventry to play music from all eras, both arrangements and original recorder orchestra compositions.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.freewebs.com/heart-of-england-recorder-orchestra/"} +{"d:Title": "Rogue Valley Recorder Consort", "d:Description": "A group of recorder players of various degrees of proficiency from Medford, Oregon.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://webspace.webring.com/people/nr/rvrc/"} +{"d:Title": "Mid-Peninsula Recorder Orchestra", "d:Description": "Palo Alto, California. Membership, calendar, events, newsletter, resources, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.mpro-online.org/"} +{"d:Title": "Bassano Recorder Quartet", "d:Description": "Dutch Recorder Quartet playing on hand-built instruments by Adriana Breukink.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.bassano.nl/"} +{"d:Title": "National Youth Recorder Orchestra", "d:Description": "The Society of Recorder Players founded this fully-constituted, orchestrally-organised, professionally-conducted ensemble for advanced young recorder players.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.nyro.org.uk/"} +{"d:Title": "B-Five Recorder Consort", "d:Description": "European recorder quintett with musicians from Germany, Belgium, Austria, and Switzerland. Site with information about the members, their performances, and audio clips.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.b-five.eu/"} +{"d:Title": "Airlie Recorder Consort", "d:Description": "A group of both staff, students and local players at the University of Dundee.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.dundee.ac.uk/music/instrumentalists/recorder/"} +{"d:Title": "The Adirondack Baroque Consort (ABC)", "d:Description": "ABC is performing historically informed programs and concerts appropriate to the venue. Shown are upcoming events, CD'sby the consort, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://abconsort.org/"} +{"d:Title": "Phoenix Recorder Orchestra", "d:Description": "Based in Gloucestershire, they explore the recorder orchestra repertoire, from the 16th century Renaissance up to the present day. Conductor is Pam Smith.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.recorder.me.uk/wp/phoenix/"} +{"d:Title": "Exeter Recorder Orchestra", "d:Description": "Members from Devon and Cornwall play larger scale and more adventurous works specifically arranged or composed for the orchestral format.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.recorder.me.uk/wp/ero/"} +{"d:Title": "The North East Recorder Orchestra", "d:Description": "They hold monthly sessions in the Hall of Christchurch, Shieldfield, Newcastle upon Tyne.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Ensembles", "url": "http://www.nerco.heliohost.org/"} +{"d:Title": "Blocki's Recorder Fingerings", "d:Description": "Offers recorder fingering and trills for a variety of instrument styles and models.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://recorder-fingerings.com/"} +{"d:Title": "The Woodwind Fingering Guide", "d:Description": "Fingering charts for both English and German fingerings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://www.wfg.woodwind.org/recorder/"} +{"d:Title": "Recorder Fingering Chart", "d:Description": "For C instruments, downloadable. Handy format for beginners.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://fivejs.com/free-recorder-fingering-chart/"} +{"d:Title": "K8 KidTunes - Interactive Recorder Fingering Chart", "d:Description": "Kids can play this interactive game which helps them recognize and learn fingering for the soprano recorder.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://www.musick8kids.com/html/recorder_training.php"} +{"d:Title": "Philippe Bolton", "d:Description": "Fingering charts for recorders in F and C", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://www.flute-a-bec.com/tablaturgb.html"} +{"d:Title": "Dolmetsch Online", "d:Description": "Information about fingerings of historical and modern recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://www.dolmetsch.com/fingerings.htm"} +{"d:Title": "Tui St. George Tucker", "d:Description": "Showing alto recorder fingerings for quarter tones.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://www.tuistgeorgetucker.com/scores/alto%20recorder.pdf"} +{"d:Title": "Recorder fingering table by Wolfram-Asmund Sattler", "d:Description": "A pdf document with a consolidated fingering chart for the alto/treble recorder of the English (baroque) fingering system.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Fingering_Charts", "url": "http://www.saers.com/recorder/Recorder_Fingering_Table.pdf"} +{"d:Title": "Bodil Diesen, Norway", "d:Description": "Maker of Ganassi and Steenbergen style recorders and sj\u00f8fl\u00f8yte Norwegian sea flute.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.bodildiesen.no/"} +{"d:Title": "Ehlert recorders", "d:Description": "The recorders Ralf Ehlert, Germany, makes today are replicas or near copies of the original instrument played in the baroque era.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.ehlert-blockfloeten.de/"} +{"d:Title": "Heinz Ammann", "d:Description": "Swiss recorder maker.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.heinz-ammann.ch/"} +{"d:Title": "Rohmer Recorders", "d:Description": "Recorder maker Joachim Rohmer, Celle, Germany, presents his workshops and the instruments (with pricelist).", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.rohmer-recorders.de/"} +{"d:Title": "Stephan Blezinger Germany", "d:Description": "Handmade recorders following historical originals for professional use [Eisenach, Germany].", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.blezinger.de/"} +{"d:Title": "Martin Praetorius", "d:Description": "Recorder maker, workshop for historic woodwind instruments. Beedenbostel (near Celle), Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.martin-praetorius.de/"} +{"d:Title": "Kaus Scheele Blockfl\u00f6tenbau", "d:Description": "German maker of recorders, portativ organs and music stands. Offers information about the care of recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.flautodolce.de/"} +{"d:Title": "Guido M. Klemisch", "d:Description": "Recorder maker and player based in Berlin, Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.guido-m-klemisch.de/"} +{"d:Title": "Martin Wenner Flutes", "d:Description": "German maker of Renaissance, Baroque and modern flutes and recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.wennerfloeten.de/"} +{"d:Title": "Jean-Luc Boudreau Recorder Maker", "d:Description": "Maker of Aesth\u00e9 Renaissance and Baroque recorders. Based in Quebec Canada. Videoclip \"How a Recorder is made\" can be viewed.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.boudreau-flutes.ca/"} +{"d:Title": "Bruno Reinhard, Facteur de fl\u00fbtes \u00e0 bec", "d:Description": "French recorder maker.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.flutes-bruno-reinhard.com/"} +{"d:Title": "Philippe Bolton, Facteur de flute a bec", "d:Description": "Presents his handmade wooden recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.flute-a-bec.com/"} +{"d:Title": "Ture Bergstr\u00f8ms Instrumentbyggeri", "d:Description": "Historical musical instruments by Ture Bergstr\u00f8m, including technical drawings of some instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.bergstrom.dk/"} +{"d:Title": "Jo\u00ebl Arpin, Facteur de fl\u00fbtes \u00e0 bec", "d:Description": "A French maker of boxwood recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://joel.arpin.free.fr/"} +{"d:Title": "Prescott Workshop", "d:Description": "Devoted to making copies of historical woodwinds by master-craftsman Thomas M. Prescott.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://prescottworkshop.com/"} +{"d:Title": "Vincent Bernolin", "d:Description": "French maker of hand-made recorders using the anti-condensation system Allegro. Maker of a resin recorder after Thomas Stanesby.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.bernolin.fr/"} +{"d:Title": "Adrian Brown Recorders", "d:Description": "Recorder maker offering a Renaissance recorder data base.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.adrianbrown.org/"} +{"d:Title": "Tim Cranmore Recorders", "d:Description": "Offering medieval, Renaissance and Baroque recorders.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.fippleflute.co.uk/"} +{"d:Title": "Marcelo Gurovich Luthier", "d:Description": "Maker of Renaissance and Baroque recorders, and Baroque and Irish flutes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.mg-woodwinds.com.ar/"} +{"d:Title": "Jacqueline Sorel Recorders", "d:Description": "A recorder maker and a professional recorder player in The Hague, Holland.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.sorel-recorders.nl/"} +{"d:Title": "Blockfl\u00f6jtmakare Ragnar Arvidsson", "d:Description": "Handmade recorders by Ragnar Arvidsson from Sweden.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.recorder-arvidsson.se/"} +{"d:Title": "Craig Carmichael, Maker of SuperRecorder", "d:Description": "He is making keyed and Baroque fingered Alto Recorders going down to the Eb. All weaker forked fingerings are replaced.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.saers.com/recorder/craig/SuperRecorder.html"} +{"d:Title": "Francesco Li Virghi", "d:Description": "Italien recorder maker.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.livirghi.com/"} +{"d:Title": "Kulossa Blockfl\u00f6tenbau", "d:Description": "Maker of historical recorders located in Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://www.kulossa.com/"} +{"d:Title": "Ilarionov, Eugene", "d:Description": "Maker of recorders and other historical woodwinds instruments. Workshop is in the Ukraine.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Makers", "url": "http://fontegara.narod.ru/english.html"} +{"d:Title": "European Recorder Players Society", "d:Description": "Information about membership benefits, items for sale, and their biennial event.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.erps.info/"} +{"d:Title": "Society of Recorder Players", "d:Description": "Promoting recorder playing in the UK and Ireland", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.srp.org.uk/"} +{"d:Title": "Twin Cities Recorder Guild", "d:Description": "A chapter of the American Recorder Society based in Minneapolis-St. Paul, Minnesota.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://a415software.com/tcrg/"} +{"d:Title": "American Recorder Society", "d:Description": "Group promoting recorder playing through education and outreach. Membership, events.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.americanrecorder.org/"} +{"d:Title": "Boston Recorder Society", "d:Description": "Includes meeting information, class offerings, and membership details.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.bostonrecordersociety.org/"} +{"d:Title": "Highland Park Recorder Society, New Jersey", "d:Description": "A chapter of the American Recorder Society, is a non-profit organization dedicated to the recorder and to raising the level of proficiency in its performance.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.hprecorder.org/"} +{"d:Title": "Northern Recorder Course", "d:Description": "A recorder course held at University College Chester, UK, specialising in ensemble playing. Classes available for all abilities. All genres of music are studied from medieval to contemporary.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.northernrecordercourse.org/"} +{"d:Title": "Orange County Recorder Society", "d:Description": "Chapter of the American Recorder Society in Southern California.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://ocrs.freehosting.net/"} +{"d:Title": "Princeton Recorder Society", "d:Description": "Princeton NJ chapter of the American Recorder Society.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.princetonol.com/groups/princetonrecorder/"} +{"d:Title": "Recorders@ Plymouth", "d:Description": "They provide a regular programme of ensemble playing days for recorder players in and around Plymouth, Devon.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.recordersatplymouth.org.uk/"} +{"d:Title": "San Francisco Chapter of the American Recorder Society", "d:Description": "They welcome recorder players, at all levels, to play at their monthly meetings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.arssanfrancisco.org/"} +{"d:Title": "The Seattle Recorder Society", "d:Description": "Open to new and experienced recorder and viol players and other early musicians to monthly meetings for group playing, performances by local artists, and the Port Townsend Early Music Workshop.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.seattle-recorder.org/"} +{"d:Title": "Sydney Recorder Society", "d:Description": "Providing opportunities for recorder players in the Sydney Australia area to meet and play the recorder.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.sydneyrecorders.com.au/"} +{"d:Title": "Texas Toot, Inc.", "d:Description": "Formerly known as The Texas Early Music Festival, they are a non-profit devoted to early music education and performance, presenting two workshops each year.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.toot.org/"} +{"d:Title": "Canberra Recorder and Early Music Society", "d:Description": "Offering people of all ages and abilities in the Canberra region who share a love of this ancient instrument.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.rkmedia.net/crems/"} +{"d:Title": "Triangle Recorder Society", "d:Description": "A group of recorder players from the Triangle area of North Carolina.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.trianglerecorder.org/"} +{"d:Title": "Tidewater Recorder Society", "d:Description": "A group of recorder players from the Tidewater area of Southeastern Virginia; includes meeting schedule and workshop information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.desertpipes.org/"} +{"d:Title": "Aeolus Recorder Konsort", "d:Description": "A chapter of the American Recorder Society, located in Little Rock, Arkansas.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.ualr.edu/recorder"} +{"d:Title": "American Recorder Society, Redding Chapter", "d:Description": "Offering two ensembles for rehearsal and performance: the Castle Musicians (Novice Ensemble) and Willow Wind Consort (Intermediate Ensemble).", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://recorderandpianoworld.com/American_Recorder_Society_Redding_Chapter.htm"} +{"d:Title": "The Palm Beach Recorder and Early Music Society", "d:Description": "A chapter of the American Recorder Society, they are dedicated to the performance and support of early music with an emphasis on recorder performance.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.pbrecorder.org/"} +{"d:Title": "Atlanta Recorder Society", "d:Description": "Membership requirements, calendar of events, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://home.mindspring.com/~gillmorm/"} +{"d:Title": "Recorder Society of Long Island, Inc.", "d:Description": "An American Recorder Society affiliated chapter consisting of a group of music-loving recorder players of all ages and levels, ranging from beginner to advanced.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.rsli.info/"} +{"d:Title": "The Philadelphia Recorder Society", "d:Description": "A chapter of the American Recorder Society; a non-profit organization in the Philadelphia, Pennsylvania, area dedicated to the playing and learning of the recorder and associated early and modern music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.philadelphiarecordersociety.org/"} +{"d:Title": "Pittsburgh Chapter, American Recorder Society", "d:Description": "Calendar, directions and membership information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.andrew.cmu.edu/user/lukas/pcars/"} +{"d:Title": "Montreal Recorder Society", "d:Description": "A member of ARS who holds activities for amateur recorder players of all levels.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.fluteabecmtl.ca/"} +{"d:Title": "BC Recorder Society", "d:Description": "A non profit organization in British Columbia offering a yearly workshop, spring showcase, and monthly meetings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.bcrecordersociety.com/"} +{"d:Title": "European Recorder Teachers Association", "d:Description": "Members, aims and membership information for UK branch.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.erta.org.uk/"} +{"d:Title": "New York Recorder Guild", "d:Description": "The oldest chapter of the American Recorder Society.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.nyrg.org/"} +{"d:Title": "Rochester Chapter of the American Recorder Society", "d:Description": "A group of Rochester, New York, area recorder players who meet to participate in learning sessions, consorts, and performances.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.rochars.org/"} +{"d:Title": "Victorian Recorder Guild", "d:Description": "A network of recorder enthusiasts - players, performers and teachers. Includes news of activities, links and resources to support the study, playing and performance of recorder music across Victoria (Australia).", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.vrg.org.au/"} +{"d:Title": "Eastern Connecticut Recorder Society", "d:Description": "Offering monthly meetings, September through June, each conducted by a professional musician specializing in recorder music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.connrecorders.org/"} +{"d:Title": "Rio Grande Recorders", "d:Description": "The American Recorder Society El Paso/Las Cruces Chapter, covering the southern New Mexico and west Texas area, with information about meetings, rehearsals, and concerts.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.rgrecorders.org/"} +{"d:Title": "Dallas Recorder Society", "d:Description": "A chapter of the American Recorder Society that promotes interest in the recorder and recorder music while giving players an opportunity to meet other players to make music together.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.dallasrecordersociety.org/"} +{"d:Title": "Miami Chapter American Recorder Society", "d:Description": "Newsletter, schedule and directions.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://miamiars.org/"} +{"d:Title": "Greater Knoxville Recorder Society", "d:Description": "Promotes early music performance by exploring a diverse repertoire and performing concerts. Includes rehearsal schedule and scores. Chapter of the American Recorder Society.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://sites.google.com/site/knoxvillerecordersociety/"} +{"d:Title": "BellaVista Recorder Consort", "d:Description": "A chapter of The American Recorder Society located in Northwest Arkansas.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://sites.google.com/site/bellavistarecorderconsort/"} +{"d:Title": "Denver Recorder Society", "d:Description": "For people with interests in early music, and Baroque, Renaissance and medieval instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.denverrecordersociety.org/"} +{"d:Title": "Edmonton Recorder Society", "d:Description": "A welcoming group Edmonton, Alberta, Canada, who play all sizes of recorder from the tiny garklein to great bass, and all types of music from medieval to modern.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.edmontonrecordersociety.org/"} +{"d:Title": "Recorder Society of Connecticut", "d:Description": "An educational organization devoted to the enjoyment of recorder playing.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.recordersociety-connecticut.org/"} +{"d:Title": "Portland Recorder Society", "d:Description": "Sharing the joy of music among recorder players and friends of the recorder through monthly playing sessions, performance opportunities, an annual workshop, and outreach to the community", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://portlandrecordersociety.org/"} +{"d:Title": "Moss Bay Recorder Society", "d:Description": "A chapter of the American Recorder Society, based on the east side of Lake Washington in western Washington state. New players are welcome.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://mossbayrecorders.org/"} +{"d:Title": "San Diego County Recorder Society", "d:Description": "A chapter of the American Recorder Society, they supports the recreational playing and performance of recorders and other early music instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://www.sandiegocountyrecordersociety.org/"} +{"d:Title": "Chicago Chapter of the American Recorder Society", "d:Description": "Schedule, events and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://chicagorecorders.org/"} +{"d:Title": "Toronto Early Music Players Organization", "d:Description": "TEMPO is a registered non-profit group and a chapter of the American Recorder Society, whose members play recorders, viols, flutes, harp, and other early instruments.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Organizations", "url": "http://tempotoronto.net/"} +{"d:Title": "Roed, Bolette", "d:Description": "Recorder soloist from Denmark, her biography, concerts, and ensembles she is playing in.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.boletteroed.com/"} +{"d:Title": "Beisch, Katja", "d:Description": "The German recorder soloist performs regularly with music for recorder and orchestra. In addition she offers masterclasses and workshops for recorder and ensemble playing.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.katjabeisch.de/"} +{"d:Title": "Steger, Maurice", "d:Description": "Swiss recorder virtuoso focusing on \"early music\". He leads recorder master classes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.mauricesteger.com/"} +{"d:Title": "Oberlinger, Dorothee", "d:Description": "The soloist from Germany has given solo recitals at festivals all over Europe, in America, and Japan. She introduces her ensembles on the page.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.dorotheeoberlinger.de/"} +{"d:Title": "Temmingh, Stefan", "d:Description": "The recorder soloist comes from a Dutch-South-African family of musicians living now in Munich, Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.stefantemmingh.com/"} +{"d:Title": "Hensel, Antje", "d:Description": "Recorder player from Lepzig Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.antjehensel.de/"} +{"d:Title": "Schieffer, Eva Maria", "d:Description": "A recorder and baroque flute performer in Paris.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.schieffer-blockfloete.de/"} +{"d:Title": "Volkhardt, Ulrike", "d:Description": "Recorder player and professor for recorder and ensemble playing at the Meistersinger Conservatory Nuremberg, Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.ulrikevolkhardt.de/"} +{"d:Title": "Mense, Lucia", "d:Description": "She studied recorder and traverse flute in Cologne, Germany. Her repertoire encompasses works from the Medieval, Renaissance and Baroque periods, as well as the contemporary flute literature.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.luciamense.de/"} +{"d:Title": "Frey, Sabrina", "d:Description": "Swiss recorder performer. Site shows her portrait, and some audio files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.sabrinafrey.com/"} +{"d:Title": "Maute, Matthias", "d:Description": "German recorder player living in Canada, composer and orchestra leader. Biography, concert calender, and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.matthiasmaute.com/"} +{"d:Title": "Bellugi, David", "d:Description": "A performer, recording artist, and teacher from Florence, Italy; includes sound files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.davidbellugi.com/"} +{"d:Title": "Young, David", "d:Description": "Performs relaxing music on recorder and guitar. The site shows his CDs and DVDs.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.davidyoungmusic.com/"} +{"d:Title": "Melville, Alison", "d:Description": "Canadian performer of early-music on period instruments; includes biography, discography and concert programs.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://alisonmelville.com/"} +{"d:Title": "Petri, Michala", "d:Description": "This Danish performer has been performing since she was five and has toured extensively throughout Europa, North America, Israel, Australia and the Far East.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.michalapetri.com/"} +{"d:Title": "Kemp, Jill", "d:Description": "A musician from Yorkshire, England, available as a soloist and for ensemble work", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.jillkemp.com/"} +{"d:Title": "D'Avena, In\u00eas", "d:Description": "A recorder player from Brazil and the Netherlands.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.inesdavena.com/"} +{"d:Title": "Lacey, Geneveive", "d:Description": "Australian recorder virtuoso who performs music spanning nine centuries.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.genevievelacey.com/"} +{"d:Title": "Maynard, Roselyn", "d:Description": "Recorder tutor and performer in Central and North London.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://roselynmaynard.co.uk/"} +{"d:Title": "Hettich, Kay", "d:Description": "Recorder and piano player from Redding, California.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://recorderandpianoworld.com/"} +{"d:Title": "Nallen, Evelyn", "d:Description": "Recorder virtuoso based in the UK.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.evelyn-nallen.com/"} +{"d:Title": "Silcocks, Eileen", "d:Description": "Recorder and viol player, early music teacher, performer, conductor, composer, and arranger.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.eileensilcocks.co.uk/"} +{"d:Title": "Capirci, Paolo", "d:Description": "Italian recorder player and member of Ensemble La Mascherata.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://xoomer.virgilio.it/pcapirc/html/inglese/home_en.html"} +{"d:Title": "Bruck, Drora", "d:Description": "Israeli recorder player and member of Musica Intavolata; includes concert schedule and CD.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://drora.me/"} +{"d:Title": "Cavasanti, Lorenzo", "d:Description": "Italian recorder and Baroque flute player.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://cavasanti.tripod.com/"} +{"d:Title": "Boeckman, Vicki", "d:Description": "A recorder player living in Seattle, who performs with Opus 4 and Wood 'N' Flutes and is the Music Director for the Portland Recorder Society.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.vickiboeckman.com/"} +{"d:Title": "Linsenberg, Judith", "d:Description": "A performer from Palo Alto, CA. Biography, her calender and her recordings shown on the page.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.linsenberg.com/"} +{"d:Title": "Orton, Chris", "d:Description": "Recorder soloist from Birmingham, UK.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.chrisorton.com/"} +{"d:Title": "Verbruggen, Marion", "d:Description": "A short biography of the recorder soloist from The Netherlands. She performs with prestigious ensembles around the world.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.bach-cantatas.com/Bio/Verbruggen-Marion.htm"} +{"d:Title": "Holtslag, Peter", "d:Description": "Born in Amsterdam, NL he studied recorder and is today a recorder soloist and chamber musician, playing worldwide.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.hyperion-records.co.uk/a.asp?a=A257&name=holtslag"} +{"d:Title": "Bosgraaf, Erik", "d:Description": "Recorder soloist from The Netherlands with a past in a rock band and as an oboe player. Known for his CD recordings of music by Dutch composer Jacob van Eyck.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.erikbosgraaf.com/"} +{"d:Title": "Sauv\u00e9, Beno\u00eet", "d:Description": "One of the rare recorder players in Jazz. A self taught musician from France.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.benoitsauve.net/"} +{"d:Title": "Grau, Joseph", "d:Description": "Short biograpy about the soloist from Paris, France.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.joseph-grau.com/en/intro.htm"} +{"d:Title": "Van Hoecke, Jan", "d:Description": "Belgian recorder soloist, his biography, concert dates, and some videos from performances.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.janvanhoecke.com/"} +{"d:Title": "Moelker, Ronald", "d:Description": "Recorder virtuoso based in the Netherlands.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.ronaldmoelker.nl/"} +{"d:Title": "Anderson, Astrid", "d:Description": "Biography, concert dates, projects of the Denmark-born soloist and teacher living in Germany.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.astrid-andersson.de/"} +{"d:Title": "Cantor, Sarah", "d:Description": "Biography, concerts, teaching videos, and reviews of the Boston based recorder player. Additionally information about the Newton Baroque ensemble.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://cantornote.com/"} +{"d:Title": "Hufeisen, Hans-J\u00fcrgen", "d:Description": "Recorder soloist and composer playing mostly his own compositions.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.hufeisen.com/"} +{"d:Title": "Vosteen, Gabor", "d:Description": "The German recorder player and comedian is presented. Site shows his vita, references and a video.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Recorder/Players", "url": "http://www.gaborvosteen.com/"} +{"d:Title": "The International Saxophone Home Page", "d:Description": "ISHP news and notes. Saxophone magnetic poetry game.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.saxophone.org/"} +{"d:Title": "The Saxophone Shed", "d:Description": "Warm-up exercises, scale sheets, improvisational patterns, transcriptions, MIDI files, fingering charts, interviews, and lessons.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://saxshed.com/"} +{"d:Title": "Saxtet Publications", "d:Description": "On-line catalogue of sheet music for all saxophones. Composer information, news, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.saxtetpublications.com/"} +{"d:Title": "Sax on the Web", "d:Description": "Saxophone information covering the basics of the instrument to advanced playing tips. Original write-ups on improvisation and saxophone techniques, and discussion forum.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.saxontheweb.net/"} +{"d:Title": "Mule, Marcel", "d:Description": "Compilation of Saxophone Journal articles. Extensive biographical information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.dornpub.com/saxophonejournal/marcelmule.html"} +{"d:Title": "Jazz-O-Matic", "d:Description": "Contains playing advice. Includes book and music recommendations and forum.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.jazz-o-matic.com/"} +{"d:Title": "Dorn Publications", "d:Description": "Publishers of Saxophone Journal and Jazz Player magazines and vendor of related products.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.dornpub.com/"} +{"d:Title": "Wikipedia: Saxophone", "d:Description": "Article describing the history of the instrument, its construction, materials and reeds, the different types and writing for the saxophone.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://en.wikipedia.org/wiki/Saxophone"} +{"d:Title": "The Aulochrome", "d:Description": "Describes and gives the background of a newly-invented instrument which is similar to a twin pair of integrated soprano saxophones.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.aulochrome.com/"} +{"d:Title": "BassSax.com", "d:Description": "Site devoted to that voice of instrument. Includes photographs, sound files, history, and forums.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.basssax.com/"} +{"d:Title": "Soprillo", "d:Description": "Background and photographs of this instrument pitched one octave above the soprano.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.soprillo.com/"} +{"d:Title": "The Saxophone Guide", "d:Description": "Contains information on the instrument and its accessories.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.the-saxophone.com/"} +{"d:Title": "Vintage Saxophone Gallery", "d:Description": "Includes examples from throughout the history.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://www.saxpics.com/"} +{"d:Title": "Saxophone FAQ", "d:Description": "Answers to commonly asked questions about the instrument including books and performance experience, compiled by Charles Socci.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone", "url": "http://socci.com/blog/saxophone-faq-2/"} +{"d:Title": "Classic Saxophone On-Line", "d:Description": "Literature, recordings, videos, accessories, and information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical", "url": "http://classicsax.com/"} +{"d:Title": "The Rascher Saxophone Quartet", "d:Description": "Biographical information, recordings, reviews, and downloadable Promotional materials about the Rascher Quartet and the Rascher Saxophone Orchestra in both English and German.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.rsq-sax.com/"} +{"d:Title": "Pindakaas Saxophon Quartett", "d:Description": "Information about the ensemble and its musicians, concerts and recordings. In English, German, and French.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.pindakaas.de/"} +{"d:Title": "Les Quatre de 4uatre", "d:Description": "French saxophone quartet playing genres including tango, jazz, and classical. In English and French.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://4uatre.free.fr/"} +{"d:Title": "Apollo Saxophone Quartet", "d:Description": "Member biographies, discography, commissioned works, repertoire, performances, projects, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.apollosaxophonequartet.com/"} +{"d:Title": "The Ancia Saxophone Quartet", "d:Description": "The Minneapolis/St. Paul, MN (founded in 1990) performs classical, jazz, and newly-commissioned contemporary music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.anciaquartet.com/"} +{"d:Title": "Prism Saxophone Quartet", "d:Description": "Concert calendar, discography, reviews, and repertoire information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.prismquartet.com/"} +{"d:Title": "Ensemble Atmosph\u00e8re", "d:Description": "Sextet based in France which includes personnel of many nationalities. Sound samples, repertory, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.ensemble-atmosphere.com/"} +{"d:Title": "National Saxophone Choir of Britain", "d:Description": "Based in Birmingham. Information about guest conductors, new music and details on how to join.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.saxchoir.com/"} +{"d:Title": "Lenoir Saxophone Ensemble and Sax Fest", "d:Description": "Members' biographies, LSE's schedule, and information about Sax Fest, a one-day workshop for saxophonists held at Brevard College, Brevard, NC.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.lenoirsax.org/"} +{"d:Title": "San Francisco Saxophone Quartet: Main", "d:Description": "Four tuxedoed saxophonists bringing music to the ears of millions of listeners. Provides player biographies, photos and audio samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://sfsaxquartet.com/"} +{"d:Title": "The Elision Saxophone Quartet", "d:Description": "Performs stylistically diverse and engaging programs. E.S.Q. concerts celebrate the saxophone family with an entertaining mix of music.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.esqsaxes.org/"} +{"d:Title": "Aurelia Saxophone Quartet", "d:Description": "Official site. Includes biography, repertory list, and sound samples. In English and Dutch.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Ensembles", "url": "http://www.aureliasaxophonequartet.com/"} +{"d:Title": "McChrystal, Gerard", "d:Description": "Irish saxophonist. Discography, Real Audio samples, biography, reviews, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.saxsaxsax.com/"} +{"d:Title": "Ivanusic, Zdenko", "d:Description": "Croatian player and composer. Site contains biography, mp3 files, information about the Donna Lee Saxophone Quartet, samples of compositions for download, reviews and gallery.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.zdenkoivanusic.com/"} +{"d:Title": "Radnofsky, Kenneth", "d:Description": "Teacher and performer. Biography, scrapbook, performances, reviews, and recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.radnofsky.com/"} +{"d:Title": "Pittel, Harvey", "d:Description": "(born 1943)Information on his career, biography, music and passions. [Flash required]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.harveypittel.com/"} +{"d:Title": "Justeson, Jeremy", "d:Description": "Concert Saxophonist. Biography, real audio clips, CD ordering and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.jeremyjusteson.com/"} +{"d:Title": "Ibrahim, Michael", "d:Description": "Official website of the Canada-based player. Site includes biography, repertoire, sound files, and performance schedule. Site also includes funk page.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.michaelibrahim.com/"} +{"d:Title": "Durst, Alan", "d:Description": "Located at Fresno State University in California. Site contains biography, latest solo and ensemble performances, publications, and links. Also performs jazz.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.alandurst.com/"} +{"d:Title": "Steighner, Erik", "d:Description": "Site of the player born in 1981. Includes biography, repertoire, publications, teaching information, audio samples, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.eriksteighner.com/"} +{"d:Title": "Dickson, Amy", "d:Description": "Official website of the Australian player. Includes biography, sound archive, repertoire, program of upcoming events, and contact details.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.amydickson.com/"} +{"d:Title": "Robertson, Martin", "d:Description": "Official website. Includes sound samples, biography, credits page and a gallery.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.martinrobertson.co.uk/"} +{"d:Title": "Spaneas, Demetrius", "d:Description": "Based in Boston, U.S. Biography, recording information, performance list, and photographs.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.dspaneas.com/"} +{"d:Title": "Rosenberg, Timothy", "d:Description": "Based in New York State, U.S. Biography, calendar, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.timothyrosenberg.com/"} +{"d:Title": "Banaszak, Greg", "d:Description": "Frequently performs worldwide. Biography and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.gregbanaszak.com/"} +{"d:Title": "R\u00f6nmark, Erik", "d:Description": "Born in Sweden and educated in the U.S. Includes contact information, premiers of new works, curriculum vitae, and audio recordings for download.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.concertsaxophonist.com/"} +{"d:Title": "Sullivan, Taimur", "d:Description": "Classical saxophonist. Biography, performances, repertoire, RealAudio samples, and reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://www.taimursullivan.com/"} +{"d:Title": "Jamieson, Michael", "d:Description": "Based in the Netherlands. Biographical information, images, and audio samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://sites.google.com/site/sakonhon/"} +{"d:Title": "Watabe, Junichiro", "d:Description": "Colorado-based performer. Performance and recording information, biography and resume.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Classical/Performers", "url": "http://junwatabe.com/"} +{"d:Title": "Buffet Crampon", "d:Description": "Products, features and benefits, detailed technical information, manufacturing video clips, and fingering charts.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Manufacturers", "url": "http://www.buffet-crampon.com/"} +{"d:Title": "Benedikt Eppelsheim Wind Instruments", "d:Description": "Builds very large and very small mostly prototypical instruments. Includes contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Manufacturers", "url": "http://www.eppelsheim.com/bassax.php?lang=en"} +{"d:Title": "Santee Saxophones", "d:Description": "Based in Wisconsin, U.S. History and video demonstrations.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Manufacturers", "url": "http://www.aaronsantee.com/santeesaxophones.htm"} +{"d:Title": "Yamaha Corporation of America - Saxophones", "d:Description": "Lists instruments by size. Includes images and model features.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Manufacturers", "url": "http://usa.yamaha.com/products/musical-instruments/winds/sax/"} +{"d:Title": "Private Saxophone Mouthpiece Collection", "d:Description": "This private saxophone mouthpieces collection includes ancient and new mouthpieces for all type of saxophone.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://web.tiscali.it/saxmania/"} +{"d:Title": "Beechler Mouthpieces", "d:Description": "Modern clarinet and saxophone mouthpieces for the professional musician.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.beechler.com/"} +{"d:Title": "Phil Barone", "d:Description": "Manufacturing, customizing, and balancing of saxophone mouthpieces.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.philbarone.com/"} +{"d:Title": "Saxophone Mouthpieces by Peter Ponzol", "d:Description": "High end metal and hard rubber saxophone mouthpieces designed by one player for other players. Saxophone necks and reeds.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.peterponzol.com/"} +{"d:Title": "JodyJazz Saxophone And Clarinet Mouthpieces By Jody Espina", "d:Description": "Ease of blowing, great intonation and rich tone throughout the range of the instrument are some of the reasons why students and professionals alike love JodyJazz mouthpieces.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.jodyjazz.com/index.html"} +{"d:Title": "Saxquest", "d:Description": "The place to buy, sell, and trade saxophones and saxophone mouthpieces. This site also includes an interactive trading post and an active discussion forum.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.saxquest.com/"} +{"d:Title": "SR Technologies", "d:Description": "Manufactures a complete line of saxophone mouthpieces for soprano to bari sax. Description of each mouthpiece including the tonal response and sound quality.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.srtechnologies.com/"} +{"d:Title": "JJ Babbitt Company", "d:Description": "Product information for and the corporate history of the JJ Babbitt Company. Included is descriptions of some of the today's most popular saxophone and clarinet mouthpieces such as Otto Link, Meyer, Wolfe Tayne, and Guy Hawkins.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.jjbabbitt.com/"} +{"d:Title": "Johannes Gerber Saxophone Mouthpieces and Services", "d:Description": "Produces handmade mouthpieces in brass and bronze for soprano, alto, and tenor, as well as providing custom modifications to all types.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.jgerber.com/"} +{"d:Title": "Saxscape", "d:Description": "Manufacturer of custom mouthpieces. Product list with sound samples, photographs, and prices.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.saxscape.com/"} +{"d:Title": "Theo Wanne", "d:Description": "Retailer of modern and vintage models. Includes historical information on certain makers.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Mouthpieces_and_Ligatures", "url": "http://www.theowanne.com/"} +{"d:Title": "Adler, Lincoln", "d:Description": "Specializes in contemporary jazz. Includes biography, mp3 files, sound clips, and CDs for sale.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.lincolnadler.com/"} +{"d:Title": "Abair, Mindi", "d:Description": "Official site. Includes newsletter signup.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.mindiabair.com/"} +{"d:Title": "Ashu", "d:Description": "Official website. Includes biography, trivia, journal, photographs, audio clips, repertoire, and fan mail information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.ashuonline.com/"} +{"d:Title": "Allen, Harry", "d:Description": "Jazz performer. Discography, biography, and reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.harryallenjazz.com/"} +{"d:Title": "Actis Dato, Carlos", "d:Description": "Discography, solo and duet recitals, and information on his various groups.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.actisdato.it/English/actisdato.htm"} +{"d:Title": "Alaadeen, Ahmad", "d:Description": "Official website of Kansas City-area performer. Includes biography, recording samples, reviews, and performance information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.alaadeen.com/"} +{"d:Title": "Alexander, Ariel", "d:Description": "Based at Indiana University, U.S. Also includes information about the quintet Conspiracy Theory.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.arielalexander.com/"} +{"d:Title": "Albright, Gerald", "d:Description": "Based in Los Angeles, U.S. Biography, discography, and tour schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.geraldalbright.com/"} +{"d:Title": "Aaron, David / Short Memory", "d:Description": "Eclectic jazz group and its saxophonist. Recording purchase option, MP3 downloads, live dates and press page.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/A", "url": "http://www.shortmemory.org/"} +{"d:Title": "Borgmann, Thomas", "d:Description": "Includes brief biography, news, and mp3 files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.thomasborgmann.de/"} +{"d:Title": "Beecroft, David", "d:Description": "Canadian working in Germany. Jazz education aids including original compositions.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.beecroft.de/"} +{"d:Title": "Bergonzi, Jerry", "d:Description": "Official website. Tenor saxophonist, teacher, educator, recording artist and writer.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.jerrybergonzi.com/"} +{"d:Title": "B\u00e9ney, Jean-christophe", "d:Description": "Jazz saxophonist based in Paris, France. Biography, discography, and excerpts from albums.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.jeanchristophebeney.com/"} +{"d:Title": "Baldingen, Markus", "d:Description": "From Bonn, Germany. Jazz related. Band-In-A-Box files, sheet music and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.baldingen.de/"} +{"d:Title": "Beasley, Walter", "d:Description": "Official site with information on his music and book.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.walterbeasley.com/"} +{"d:Title": "Bogart, Ben", "d:Description": "From the Greater Boston area. Includes biography, lesson information, and related groups.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.benbogart.com/"} +{"d:Title": "Brough, Bob", "d:Description": "Jazz performer based in Toronto, Ontario, Canada. Performer profile, contact information, and audio and downloadable lead sheet samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.bobbrough.com/"} +{"d:Title": "Burns, Charles", "d:Description": "Los Angeles based performer of various styles. Biography and mp3 sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.charlesburns.net/"} +{"d:Title": "Buckingham, Katisse", "d:Description": "Saxophone/flute player, composer, producer, and programmer. Includes biography and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.katisse.com/"} +{"d:Title": "Bissell, Shelton", "d:Description": "Specializes in Country and Gospel. Biography and sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.sheltonbissell.com/"} +{"d:Title": "Butler, Johnny", "d:Description": "Official website. Contains downloadable music, biography, photographs, performance information, and press reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.johnnybutler.com/"} +{"d:Title": "Bywater, Phil", "d:Description": "Based in Australia. Background, link to performance listing page, and links to sites of related groups.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://people.aapt.net.au/~anitaandphil/philbywater/philindexpage.html"} +{"d:Title": "Bright, Robby", "d:Description": "Smooth jazz and pop saxophonist in Ohio. Includes sound clips and videos.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/B", "url": "http://www.robbybright.com/"} +{"d:Title": "Cutler, Jim", "d:Description": "Composer and performer in the Seattle, U.S. area. Includes information on his works and upcoming performances.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/C", "url": "http://www.jcutler.com/"} +{"d:Title": "Cunningham, Tim", "d:Description": "Jazz saxophonist. Includes biography, discography, message board, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/C", "url": "http://www.timcunningham.com/"} +{"d:Title": "Cole, Tommy", "d:Description": "Performer for hire who along with others performs as the multi-styled New England-based Beantown Project. Includes composition information, studio statistics, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/C", "url": "http://www.beantownproject.com/"} +{"d:Title": "Coleman, Steve", "d:Description": "Official site of the performer's band M-BASE. Includes background, schedule, album information, and sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/C", "url": "http://www.m-base.com/"} +{"d:Title": "Canter, Pete", "d:Description": "Modern jazz performer based in Devon, UK. Includes photographs, repertoire, gig diary, biography and information about recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/C", "url": "http://www.petecanter.com/"} +{"d:Title": "Christiane, Kelvin", "d:Description": "Based in the UK. Background and recording sales information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/C", "url": "http://www.kcmusic.co.uk/"} +{"d:Title": "Donna Lee Saxophone Quartet", "d:Description": "Jazz group in Croatia.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/D", "url": "http://www.donnaleesax4.net/"} +{"d:Title": "Darcy, Alan", "d:Description": "Includes biography, tour dates, audio and video clips, and images.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/D", "url": "http://www.alandarcy.com/"} +{"d:Title": "Dalton, Joseph X.", "d:Description": "Provides private lessons to youths in the St. Louis, Missouri, U.S. area.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/D", "url": "http://musicx4.tripod.com/"} +{"d:Title": "DeAngelis, Bob", "d:Description": "Based in Toronto. Biography, performance schedule, and bands.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/D", "url": "http://www.bobdeangelis.com/"} +{"d:Title": "Endemann, Katja", "d:Description": "Based in New York. Weblog which includes biographical and recording information in its entries.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/E", "url": "http://www.katjaendemann.blogspot.com/"} +{"d:Title": "Fraser, Ryan", "d:Description": "Performer and professor at the University of Western Ontario in Canada. Biography, audio samples, schedule, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/F", "url": "http://www.ryanfraser.com/"} +{"d:Title": "Fishman, Greg", "d:Description": "Chicago-based jazz musician, member of Two for Brazil duo. Performances, CDs, booking information, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/F", "url": "http://www.gregfishman.com/"} +{"d:Title": "Greene, Chris", "d:Description": "Jazz performer from the Chicago area. Biography, discography, CD sales, and performances.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.chrisgreenejazz.com/"} +{"d:Title": "Gordon, Jon", "d:Description": "Biography, CDs, endorsements, performances, and booking information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.jongordonmusic.com/"} +{"d:Title": "Geller, Herb", "d:Description": "Discography, biography, news, and MP3s.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.herbgeller.de/engl.html"} +{"d:Title": "Gillespie, Valerie", "d:Description": "Jazz performer based in Tampa, Florida, U.S. Official website. Includes touring information, discography, and biography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.valeriegillespie.com/"} +{"d:Title": "Garland, Tim", "d:Description": "Based in the UK. Includes discography, performance roster, and project page.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.timgarland.com/"} +{"d:Title": "Gairo, Tony", "d:Description": "Philadelphia-based performer. Background, discography, and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.tonygairo.com/"} +{"d:Title": "Greenfield, Hayes", "d:Description": "Based in New York, U.S. Includes biography, discography, press coverage, list of upcoming performances, and information about his live show Jazz-A-Ma-Tazz.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.hayesgreenfield.com/"} +{"d:Title": "Greco, Chris", "d:Description": "Woodwinds, composer and improviser. Biography, discography/reviews, news/essays, CD-ROMs, mp3, schedule, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://www.erjn.it/greco/"} +{"d:Title": "Glusac, Tom", "d:Description": "Based in New York, U.S. Jazz player and educator. Includes short biography, sound clips, pictures and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/G", "url": "http://home.earthlink.net/~pegajoso/"} +{"d:Title": "Hassay, Gary Joseph", "d:Description": "An improvisational musician. Resume, CD-ROM information and song samples, reviews, links, and a picture.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.garyhassay.com/"} +{"d:Title": "Heath, Jimmy", "d:Description": "Biography, audio, and video samples of the artist.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://members.tripod.com/~hardbop/jheath.html"} +{"d:Title": "Hanson, Nathan", "d:Description": "New York-based jazz musician. Soprano and tenor saxophone. Includes links to performances and recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.nathanhanson.com/"} +{"d:Title": "Handy, John", "d:Description": "San Francisco Bay Area performer. Page contains biography and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.saxworx.com/handybio.htm"} +{"d:Title": "Higgins, Dan", "d:Description": "Biography, discography, music tips, events, solo transcriptions, links, and MP3 downloads.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.danhiggins.net/"} +{"d:Title": "Hutchings, Thomas", "d:Description": "Biography, pictures, discography, contact information, and schedule. [Flash]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.thomashutchings.com/"} +{"d:Title": "Horswell, Joseph", "d:Description": "Offers mp3s, private lesson information, event calendar, contact info, tribute to Larry Nass, and resume.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://joehorswell.com/"} +{"d:Title": "Hayes, Brian", "d:Description": "Australian composer, recording artist and music educator. Includes news, FAQs, audio samples and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.brianhayes.biz/"} +{"d:Title": "Hornstein, Michael", "d:Description": "Europe-based jazz performer. Biography and reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://michaelhornstein.de/"} +{"d:Title": "Hadro, Andrew", "d:Description": "Based in New York, U.S. Specializes in baritone playing. Biography and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.andrewhadro.com/"} +{"d:Title": "Hemke, Frederick L.", "d:Description": "Performer and Northwestern University (U.S.) professor. Biography, photographs, and sound files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.fredericklhemke.com/"} +{"d:Title": "Honing, Yuri", "d:Description": "Dutch. News, biography, and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/H", "url": "http://www.yurihoning.com/"} +{"d:Title": "Ivanusic, Zdenko", "d:Description": "Features biography, mp3, downloads, transcriptions, sheet music, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/I", "url": "http://www.zdenkoivanusic.com/"} +{"d:Title": "Juritz, Robert", "d:Description": "Born in South Africa, now resident in the UK. Experience with many South African jazz musicians. Biography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/J", "url": "http://www.robertjuritz.com/"} +{"d:Title": "Johnsen, Brinck", "d:Description": "Norwegian jazz saxophone player (alto and baritone).", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/J", "url": "http://www.jazzactive.com/"} +{"d:Title": "Jason, Sonya", "d:Description": "Contemporary jazz player based in the San Francisco Bay Area of California. Full discography, music clips, biography, gig schedule, and photographs.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/J", "url": "http://www.sonyajason.com/"} +{"d:Title": "John Temmerman's Jazz Obsession Quartet", "d:Description": "Includes information on recordings, MP3 samples, reviews, gig updates, and product ordering information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/J", "url": "http://www.jazzobsession.com/"} +{"d:Title": "Kadoic, Miro", "d:Description": "Performer and composer based in Croatia and Slovenia. Includes biography, tour information, music clips, and projects.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/K", "url": "http://www.music.com.hr/"} +{"d:Title": "Keller, Steve", "d:Description": "Personal webpages of this saxophonist and computer programmer.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/K", "url": "http://www.bflat-systems.com/"} +{"d:Title": "Koppa, Eric", "d:Description": "Official website of the Chicago-based performer. Website includes biography, concert listings, discography, links, sound clips, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/K", "url": "http://www.erickoppa.com/"} +{"d:Title": "Lee, Amy", "d:Description": "Jazz saxophonist with two solo CDs. Includes sound clips in 3 formats, biography, photos, and news. Former member of Jimmy Buffett and the Coral Reefer Band.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/L", "url": "http://amyleesax.com/"} +{"d:Title": "Lacy, Steve", "d:Description": "Includes concert schedule, reviews, interactive discography, bibliography, news, scores, photographs and audio files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/L", "url": "http://senators.free.fr/"} +{"d:Title": "Lake, Oliver", "d:Description": "Official site. Biography, discography, interview, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/L", "url": "http://www.oliverlake.net/"} +{"d:Title": "Lamoureux, Paul", "d:Description": "Canadian Smooth Jazz saxophone and multi-woodwind recording artist.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/L", "url": "http://www.paullamoureux.com/"} +{"d:Title": "Lulloff, Joe", "d:Description": "Faculty at Michigan State University, U.S. Performer profile and performance schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/L", "url": "http://www.joelulloff.com/"} +{"d:Title": "McAllister, Timothy", "d:Description": "A classical concert saxophonist. Includes a biography and discography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/M", "url": "http://www.timothymcallister.com/"} +{"d:Title": "Morgan, Jeffrey", "d:Description": "An American player, composer, and improvisor based in Cologne, Germany. Information on performances, and recordings.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/M", "url": "http://jeffreymorgan.net/"} +{"d:Title": "Martin, Phillip", "d:Description": "Official site. Includes bio and sound clips.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/M", "url": "http://www.phillipmartin.net/"} +{"d:Title": "M\u00f8ller, Lars", "d:Description": "Danish saxophonist and composer. Biography, recordings, events and news.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/M", "url": "http://www.larsmoller.com/"} +{"d:Title": "Martin, Mel", "d:Description": "Jazz. Compact disc sales online, events page, schedule, interviews, tips, links, photos, audio clips, sheet music, recorded songs, and exercises.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/M", "url": "http://www.melmartin.com/"} +{"d:Title": "Mott, David", "d:Description": "Baritone performer. Biography, discography, and teaching page.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/M", "url": "http://www.davidmottmusic.com/"} +{"d:Title": "Margitza, Rick", "d:Description": "Biography of the French performer who is also involved with improvisational Moutin Reunion Quartet.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/M", "url": "http://www.moutin.com/rickm.html"} +{"d:Title": "Novick, Billy", "d:Description": "New England-based jazz saxophone and clarinet player. Upcoming events and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/N", "url": "http://www.angelfire.com/jazz/billynovick/"} +{"d:Title": "Nini, Enzo", "d:Description": "Official site of the jazz artist based in Italy. Includes background, discography, sound samples, and concert schedule.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/N", "url": "http://www.enzonini.it/homepagenglish.htm"} +{"d:Title": "Neff, Steve", "d:Description": "Based in the New England, U.S. Biography, photographs, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/N", "url": "http://www.neffmusic.com/"} +{"d:Title": "Oppenheim, Richard", "d:Description": "Official website for the jazz and world music duo which also includes singer Katharine Cartwright. Includes news, discography, related academic project citations, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/O", "url": "http://www.katchie.com/"} +{"d:Title": "Omicil, Jowee", "d:Description": "Official website of the jazz performer. Includes tour dates, biography, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/O", "url": "http://www.joweeomicil.com/"} +{"d:Title": "Perkoff, Ben \"King\"", "d:Description": "American based in Germany. Includes biography, photograph, recording purchase information and performance schedule. In English and German.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/P", "url": "http://www.kingperkoff.com/"} +{"d:Title": "Potts, Steve", "d:Description": "Official site. Includes concert schedule, discography, and mailing list.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/P", "url": "http://www.stevepotts.net/"} +{"d:Title": "Paulsson, Anders", "d:Description": "Page for the Swedish soprano player. Includes sound samples, photographs, reviews, discography, and a biography.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/P", "url": "http://anderspaulsson.se/"} +{"d:Title": "Penman, Alastair", "d:Description": "Young performer in Buckinghamshire, England. Includes service information, lesson information, and related ensembles.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/P", "url": "http://www.alastairpenman.co.uk/"} +{"d:Title": "Ries, Tim", "d:Description": "Information about his recordings, compositions, and performances, with audio samples as well.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/R", "url": "http://www.timries.com/"} +{"d:Title": "Rodriguez, Jay", "d:Description": "Musician and composer. Biography, sound files, news, upcoming events, photos, and links. [Uses Flash]", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/R", "url": "http://jayrodriguez.com/"} +{"d:Title": "Rovatti, Ada", "d:Description": "Saxophonist, composer and arranger. Resume, performances, pictures, and equipment.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/R", "url": "http://www.adarovatti.com/"} +{"d:Title": "Rossi, Rick", "d:Description": "Biography, discography, session demos, upcoming dates, and information on booking related ensembles.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/R", "url": "http://rickrossi.com/"} +{"d:Title": "Reid, Benny", "d:Description": "Based in New York City. Biography, performance schedule, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/R", "url": "http://www.bennyreid.com/"} +{"d:Title": "Scott, Andy", "d:Description": "Saxophonist and composer. Biography, projects, performances, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.andyscott.org.uk/"} +{"d:Title": "Snidero, Jim", "d:Description": "Alto saxophonist from New York. Biography, recordings, publications, and booking information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.jimsnidero.com/"} +{"d:Title": "Savage, Nick", "d:Description": "Official website. Includes concert information, scrapbook, and sound samples.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.nicksavage.com/"} +{"d:Title": "Spake, Jim", "d:Description": "Discography, bio, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.jimspake.com/"} +{"d:Title": "Socci, Charley", "d:Description": "Based in New York City, U.S. Discography, sound files, and experience notes.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.socci.com/"} +{"d:Title": "Sabbagh, Jerome", "d:Description": "Based in New York, U.S. Biography, sound files, and performance list.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.jeromesabbagh.com/"} +{"d:Title": "Sherrod, Art, Jr.", "d:Description": "Smooth jazz performer based in Maryland, U.S. Biography, performance calendar, and contact information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.artsherrodjr.com/"} +{"d:Title": "Selvaggio, Bobby", "d:Description": "Based in Northeast Ohio, U.S. Biography, performance list, and booking information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://www.bobbyselvaggio.com/"} +{"d:Title": "Stone, Tom", "d:Description": "Based in London. Biography and associated group information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/S", "url": "http://tomstonesax.co.uk/"} +{"d:Title": "Terry, \"Sweet\" Sue", "d:Description": "Official site. Includes new recording information, merchandise, biography, discography, publications, and itinerary.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/T", "url": "http://www.sueterry.net/"} +{"d:Title": "Thomas, Michael J.", "d:Description": "Smooth Jazz performer. Includes audio samples and pictures.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/T", "url": "http://www.michaeljthomas.net/"} +{"d:Title": "Tomko", "d:Description": "Includes performance information, discography, and mainling list.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/T", "url": "http://www.tomko-music.com/"} +{"d:Title": "Vail, Greg", "d:Description": "Contemporary Christian and contemporary jazz artist. Biography, reviews, schedule, photographs, CDs, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/V", "url": "http://www.gregvail.com/"} +{"d:Title": "Vivino, Jerry", "d:Description": "Official website. Includes biography (including history with the Max Weinberg 7), upcoming performances, discography, photographs, postings board, reviews, and recommendations.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/V", "url": "http://www.jerryvivino.com/"} +{"d:Title": "Ventrella, Rocco", "d:Description": "Official website of the performer based in Italy. Site includes biography, pictures, reviews, and mp3 files. In English and Italian.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/V", "url": "http://www.roccoventrella.com/"} +{"d:Title": "White, Glenn", "d:Description": "Jazz performer. Includes CD reviews, contact information, picture, short biography, and mp3 files.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/W", "url": "http://www.glennwhite.net/"} +{"d:Title": "Wettre, Petter", "d:Description": "Norwegian performer. Includes news and biographical information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/W", "url": "http://www.petterwettre.com/"} +{"d:Title": "Woods, Tony", "d:Description": "Jazz performer. Biographical information, related groups, audio samples, photo gallery, concert dates and reviews.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/W", "url": "http://tonywoods.org/"} +{"d:Title": "Winther, Christian", "d:Description": "Danish-born jazz performer based in New Orleans, U.S. Biography, sound samples, and performance information.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Players/W", "url": "http://www.christianwinther.com/"} +{"d:Title": "Jazz-O-Matic", "d:Description": "Includes playing tips contributed by various sources.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Technique", "url": "http://www.jazz-o-matic.com/"} +{"d:Title": "On Line Instruction for the Saxophone", "d:Description": "Lesson pages with sound files, music theory, and links.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Technique", "url": "http://www.saxlessons.com/"} +{"d:Title": "Online Saxophone Lessons by Ryan Fraser", "d:Description": "Lessons on embrochure, breathing, recommended equipment, and practicing tips.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Technique", "url": "http://www.ryanfraser.com/online.html"} +{"d:Title": "Saxophone Fingering Charts", "d:Description": "Includes a basic chart.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Technique", "url": "http://www.lightandmatter.com/music/saxfingering.html"} +{"d:Title": "FAQ for rec.music.makers.saxophone/alt.music.saxophone", "d:Description": "The file for the newsgroups.", "topic": "Top/Arts/Music/Instruments/Winds/Woodwinds/Saxophone/Usenet", "url": "http://www.bobrk.com/saxfaq/"} +{"d:Title": "All of Lyrics", "d:Description": "Search for lyrics by artist, title or album. Visitors may also submit or make requests. Available in Russian and English.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.alloflyrics.com/"} +{"d:Title": "Atlyrics", "d:Description": "Various lyrics from over 200 artists.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.atlyrics.com/"} +{"d:Title": "Sasslantis 2k", "d:Description": "Lyrics database organized alphabetically by artist. Includes searchengine, Eurotop 20, and request lyrics.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lap.ttu.ee/~muhw/lyrics.php"} +{"d:Title": "Lyrics Freak", "d:Description": "Alphabetically organized artists.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lyricsfreak.com/"} +{"d:Title": "Leo's Lyrics", "d:Description": "Collection of searchable lyrics. Search by artists or by title.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.leoslyrics.com/"} +{"d:Title": "A-Z Lyrics", "d:Description": "Lyrics collection organized by artists alphabetically. Search engine included.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.azlyrics.com/"} +{"d:Title": "eLyrics.net", "d:Description": "Lyrics for many songs organized by artist and title.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.elyrics.net/"} +{"d:Title": "LyricsNet", "d:Description": "Collection from many artists and genres. Search by name of artist, album, or song, or by lyrics phrase.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lyricsnet.net/"} +{"d:Title": "LyricsVault", "d:Description": "All greatest hits from 1956 up to the potential Golden Oldies from today. What is the story behind the artist and what was his score on the different charts.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lyricsvault.net/"} +{"d:Title": "Lyrics Hunt", "d:Description": "Large lyrics collection of all genres and ages.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lyricshunt.com/"} +{"d:Title": "LyricZZ", "d:Description": "UK, USA, The Netherlands charts. The alphabetical index of artists and songs.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lyriczz.com/"} +{"d:Title": "House Of Lyrics", "d:Description": "Database for pop and independent artists. Complete albums and discographies.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.houseoflyrics.com/"} +{"d:Title": "Smart Lyrics", "d:Description": "All songs organized by album, artist, and title.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.smartlyrics.com/"} +{"d:Title": "Lyrics Mania", "d:Description": "A large lyrics collection organized by artist and album. Daily updated.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lyricsmania.com/"} +{"d:Title": "Metro Lyrics", "d:Description": "Large lyrics database organized by artist and title alphabetically. Forum, search and requests available.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.metrolyrics.com/"} +{"d:Title": "Lyrics Depot", "d:Description": "Alphabetical listing of artists and albums. Search also available.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.lyricsdepot.com/"} +{"d:Title": "i-Lyrics", "d:Description": "Lyrics organized by artist alphabetically. Search also included.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.i-lyrics.net/"} +{"d:Title": "Straight Lyrics", "d:Description": "Lyrics database containing thousands of lyrics, for all genres and types of music.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.straightlyrics.com/"} +{"d:Title": "Sweets Lyrics", "d:Description": "Lyrics organized by artist alphabetically", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.sweetslyrics.com/"} +{"d:Title": "The Guitar Guy's Golden Classics", "d:Description": "A collection of words and chords for 1000 classic American songs from the 1920's to the 1980's, organized by title, artist, year, and key signature.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.theguitarguy.com/"} +{"d:Title": "Song Lyrics", "d:Description": "Song lyrics of popular music artists. Search alphabetically or by music style.", "topic": "Top/Arts/Music/Lyrics", "url": "http://www.thesonglyrics.com/"} +{"d:Title": "Mp3Lyrics", "d:Description": "Browse or search for any lyric based on artist name or song name. Database contains different language song texts, but mostly English.", "topic": "Top/Arts/Music/Lyrics", "url": "http://mp3lyrics.com/"} +{"d:Title": "Zel's 80's Lyrics", "d:Description": "A big database of musical lyrics from the era.", "topic": "Top/Arts/Music/Lyrics/1980s", "url": "http://www.angelfire.com/me2/zelsparkbench/80slyrics.html"} +{"d:Title": "80's Music Center", "d:Description": "Lyrics arranged alphabetically.", "topic": "Top/Arts/Music/Lyrics/1980s", "url": "http://music80s.tripod.com/"} +{"d:Title": "Ultimate '80s Songs", "d:Description": "List of over 400 popular songs, categorized by group name, by year, and by song title.", "topic": "Top/Arts/Music/Lyrics/1980s", "url": "http://www.ultimate80ssongs.com/"} +{"d:Title": "Driko's 80's Music and Nostalgia", "d:Description": "Many lyrics to 80's songs, with nostalgic recollections, as well as a large 80s tribute collage, essays, quizzes, and links.", "topic": "Top/Arts/Music/Lyrics/1980s", "url": "http://80s.driko.org/"} +{"d:Title": "Some of my Favourite Songs", "d:Description": "A personal voyage through the music of the 80's and 90's with accompanying lyrics.", "topic": "Top/Arts/Music/Lyrics/1980s", "url": "http://web.mit.edu/hrose/www/songs.html"} +{"d:Title": "All the Lyrics You Ever Wanted", "d:Description": "Alanis Morissette, Blind Guardian, Garbage, Iced Earth, Kiss, Live, Manowar, MeatLoaf, and Scanner.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.angelfire.com/mn/times/"} +{"d:Title": "Fred's lyrics", "d:Description": "Lyrics from Dexter Holland : Offspring, Kurt Cobain : Nirvana, Billie Joe : Green Day, Billy Corgan : Smashing Pumpkins, Red Hot Chili Peppers, Millencolin, Foo Fighters, Goldfinger", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://xxfred.tripod.com/"} +{"d:Title": "Lyrics Galore", "d:Description": "Lyrics for alternative, rock, rap, and hard rock bands.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.angelfire.com/rock/lotsoflyrics/index.html"} +{"d:Title": "Lyrics", "d:Description": "Lyrics and information about punk and grunge bands Nirvana, Hole, GreenDay, and Foo Fighters.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.angelfire.com/punk/evergleam/lyrics.html"} +{"d:Title": "Glamxpunk: lyrics", "d:Description": "Complete lyrics to such alternative bands as Fuel, Sum41, Bush, Creed, Incubus, 311, Good Charlotte.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.angelfire.com/grrl/glamxpunk/music.html"} +{"d:Title": "Black Burning Candles", "d:Description": "Lyrics to bands such as Rachel Stamp, Blink, [spunge], Sublime.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.angelfire.com/punk3/black_candle/"} +{"d:Title": "Songs", "d:Description": "Counting Crows, Dead Can Dance, Moby, Niel Young, Nine Inch Nails, Nirvana, Pearl Jam, Placebo, Primitive Radio Gods, R.E.M., Sloan, Stone Temple Pilots, U2, Van Morrison.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.angelfire.com/bc2/yuesedtoys/songs.html"} +{"d:Title": "UltimateAlternative 8m", "d:Description": "Such bands as Incubus, Korn, Linkin Park, MXPX, Rage Against The Machine, Limp Bizkit, Weezer, Red Hot Chili Peppers. The alphabetical order of artists.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.ultimatealternative.8m.com/lyrics.htm"} +{"d:Title": "pLyrics.com", "d:Description": "A large collection of punk lyrics. Alphabetical order of the artists. Site search. Request lyrics section.", "topic": "Top/Arts/Music/Lyrics/Alternative", "url": "http://www.plyrics.com/"} +{"d:Title": "Bluegrass Lyrics Home Page", "d:Description": "Provides bluegrass song lyrics and information for traditional bluegrass enthusiasts. The artists are: Bill Monroe, the Stanleys, Flatt and Scruggs, and others.", "topic": "Top/Arts/Music/Lyrics/Bluegrass", "url": "http://bluegrasslyrics.com/"} +{"d:Title": "Jarrod's Bluegrass Songbook", "d:Description": "Small alphabetical collection of bluegrass lyrics.", "topic": "Top/Arts/Music/Lyrics/Bluegrass", "url": "http://grasslyrics.freeservers.com/"} +{"d:Title": "RU Bluegrass Club", "d:Description": "Guitar tabs and lyrics to traditional and bluegrass songs.", "topic": "Top/Arts/Music/Lyrics/Bluegrass", "url": "http://www.radford.edu/~bluegrass/songlnk.html"} +{"d:Title": "Harry's Blues Lyrics Online", "d:Description": "More than 3,200 lyrics as well as tablature and chords and sound clips, MP3, blues terms and language defined and explained, history and essential CDs and books selections.", "topic": "Top/Arts/Music/Lyrics/Blues", "url": "http://blueslyrics.tripod.com/"} +{"d:Title": "Lucky Mojo: Blues Lyrics and Hoodoo", "d:Description": "Transcriptions of blues lyrics about African-American folk-magic. All lyrics are related to Hoodoo (Voodoo) magic.", "topic": "Top/Arts/Music/Lyrics/Blues", "url": "http://luckymojo.com/blues.html"} +{"d:Title": "Blues for Peace", "d:Description": "Blues, jazz and rhythm and blues songs played by popular artists and bands. The alphabetical order of titles.", "topic": "Top/Arts/Music/Lyrics/Blues", "url": "http://www.bluesforpeace.com/lyrics.htm"} +{"d:Title": "The Lied and Song Texts Page", "d:Description": "Free web archive of many texts (lyrics) to Lieder and other classical art songs in more than a dozen languages. Also translations to English included.", "topic": "Top/Arts/Music/Lyrics/Classical", "url": "http://www.recmusic.org/lieder/"} +{"d:Title": "Artsconvege Project", "d:Description": "Uses Flash to present art music videos with superimposed texts and translations.", "topic": "Top/Arts/Music/Lyrics/Classical", "url": "http://www.artsconverge.com/"} +{"d:Title": "British Imperialistic Anthems", "d:Description": "Lyrics, MIDI and Real Audio files.", "topic": "Top/Arts/Music/Lyrics/Classical", "url": "http://www.fordham.edu/halsall/mod/rulebritannia.asp"} +{"d:Title": "90 Pound Housewife Drivin' In Her SUV", "d:Description": "Official website of the song 90 Pound Housewife Drivin'.", "topic": "Top/Arts/Music/Lyrics/Country", "url": "http://www.90poundsuv.com/"} +{"d:Title": "Cowboy Song Lyrics", "d:Description": "Lyrics and chord changes to popular country songs, arranged alphabetically by artist and album or CD.", "topic": "Top/Arts/Music/Lyrics/Country", "url": "http://www.cowboylyrics.com/"} +{"d:Title": "Hot Country Hits", "d:Description": "Weekly top 10 hits and lyrics from top country artists.", "topic": "Top/Arts/Music/Lyrics/Country", "url": "http://www.angelfire.com/il2/hots/"} +{"d:Title": "Insurgent Country Homepage", "d:Description": "Large list of country artists and songs, many with guitar chords, lyrics, and album reviews.", "topic": "Top/Arts/Music/Lyrics/Country", "url": "http://www.insurgentcountry.net/"} +{"d:Title": "Songmaker's Salon", "d:Description": "Songs for the long road collection.", "topic": "Top/Arts/Music/Lyrics/Country", "url": "http://roadsong.tripod.com/SongLyrics.html"} +{"d:Title": "Lyrics Top", "d:Description": "Easily browsable source containing only up-to-date lyrics.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.lyricstop.com/"} +{"d:Title": "Meli's Lyrics Page", "d:Description": "From the Backstreet Boys to Limp Bizkit and from Mandy Moore to Puff Daddy all the lyrics you are looking for", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.angelfire.com/music/MZLyrics/"} +{"d:Title": "ONLine Lyricz Lounge", "d:Description": "Rhythm and blues and Pop Lyrics from artists such as 'N Sync, Pink, Britney Spears, Mariah Carey, Destiny's Child, and Christina Aguilera.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.angelfire.com/al2/Lyricz/"} +{"d:Title": "Lyrics Planet", "d:Description": "A site which contains lyrics to all types of music. Fully searchable, lists authors, and many other features.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.lyricsplanet.com/"} +{"d:Title": "Lets Sing It", "d:Description": "Searchable collection of lyrics, organized by artist name.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.letssingit.com/"} +{"d:Title": "Sing-A-Long", "d:Description": "Lyrics to hip-hop, rhythm and blues, and pop. Artists include Mariah Carey, Next, Eminem, and Britney Spears.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.angelfire.com/music2/favlyrics/index.html"} +{"d:Title": "Lyric Party", "d:Description": "Pop, country, alternative/punk, and soundtracks.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://naturalgirlny.tripod.com/lyricparty/"} +{"d:Title": "Popular Lyric", "d:Description": "Lyrics for popular songs in all genres.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://popularlyric.com/"} +{"d:Title": "Be Creative", "d:Description": "The lyrics for songs broadcast currently by radios in Europe.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://becreative.free.fr/"} +{"d:Title": "Hits Lyrics", "d:Description": "Song lyrics for current hits and charts.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.hitslyrics.com/"} +{"d:Title": "Lyred", "d:Description": "Searchable lyrics archive for current hits.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.lyred.com/"} +{"d:Title": "Dc's Global Lyrics", "d:Description": "Artists/singles collection. Full albums. Soundtracks. Compilation.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.angelfire.com/va3/destiny20020/home.htm"} +{"d:Title": "The Lyric Archive", "d:Description": "The lyrics to the hits performed by such stars as Britney Spears, N'sync, Nelly, Jay-Z, J.Lo and other popular artists.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://www.thelyricarchive.com/"} +{"d:Title": "The Lyrics Guild", "d:Description": "The songs from many popular albums. If the hit is on the radio, it's probably there. Lyrics organized A-Z.", "topic": "Top/Arts/Music/Lyrics/Current_Hits", "url": "http://lyricsguild.tripod.com/"} +{"d:Title": "Lyrics.com", "d:Description": "Includes large archive of lyrics.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://www.lyrics.com/"} +{"d:Title": "1st Spot", "d:Description": "Collections of reviewed links on lyrics, songs, music, lyric libraries, lyrics archives, oldies, folk music lyrics.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://1st-spot.net/topic_lyrics.html"} +{"d:Title": "Lyrics Top 20", "d:Description": "The pick of the most popular and highest-rating sites for lyrics on the Net.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://lyrics.nettop20.com/"} +{"d:Title": "Song Lyric Links", "d:Description": "A collection of links to country, gospel, oldies, and rock lyrics.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://www.angelfire.com/music2/wunderofyou/"} +{"d:Title": "The Top 100 Lyrics", "d:Description": "The most visited top 100 list of lyrics websites on the net.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://www.top100lyrics.com/"} +{"d:Title": "The Lyrics Ring", "d:Description": "Collection of web sites containing lyrics.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://home.iae.nl/users/kdv/en/ring.htm"} +{"d:Title": "Top Lyrics Sites", "d:Description": "A listing of the most popular music lyrics sites on the net.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://www.onetry.com/"} +{"d:Title": "A-Z Music Lyrics", "d:Description": "Rock, Pop, Country, Rap, Gospel, Italian, Disney songs.", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://www.a-z-music-lyrics.com/"} +{"d:Title": "SKDesigns Music Lyrics Resources", "d:Description": "Lyrics resources", "topic": "Top/Arts/Music/Lyrics/Directories", "url": "http://skdesigns.com/internet/music/lyrics/"} +{"d:Title": "Ingeb", "d:Description": "Text and tunes in 50 languages, and many notes.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://ingeb.org/"} +{"d:Title": "The Celtic Lyrics Collection", "d:Description": "A searchable collection of modern and traditional Celtic song lyrics, with the author credited where known.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://celtic-lyrics.com/"} +{"d:Title": "Spike's Music Collection", "d:Description": "Folk song sheets in .pdf format, so they can be printed and used by musicians or singers.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://spikesmusic.spike-jamie.com/folk/folkindex.html"} +{"d:Title": "Sicilian Folklore", "d:Description": "Lyrics, musical samples and information on some Sicilian folk songs.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://dieli.net/SicilyPage/Folksongs/folkmusic.html"} +{"d:Title": "Francis J. Child Ballads", "d:Description": "Includes a biography, list of ballads, lyrics, tune information, MIDI files and links.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.childballads.com/"} +{"d:Title": "Poets' Corner", "d:Description": "Index to traditional poems, songs, and ballads from the British Isles, Ireland, America, and the Native Americas.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.theotherpages.org/poems/poemtrad.html"} +{"d:Title": "A Bard's Celtic Lyric Directory", "d:Description": "List of traditional Irish and Scottish lyrics, chords, CDs and downloadable MP3s. Free monthly newsletter for more free MP3s.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.thebards.net/music/"} +{"d:Title": "Lyrics and Free Song Lyrics", "d:Description": "Small collection of lyrics to traditional Scottish songs.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://scottishparodies.tripod.com/lyricsandfreesonglyrics/"} +{"d:Title": "The Children's Music Archive", "d:Description": "Lyrics to new and traditional children's songs, some with sound clips, and actions.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://judyanddavid.com/cma.html"} +{"d:Title": "A Traditional Music Library", "d:Description": "Includes traditional music in songbooks, MIDI files, and sheet music, with lyrics.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.traditionalmusic.co.uk/"} +{"d:Title": "Liverpool Lyrics", "d:Description": "Small collection of lyrics to folk songs from or about Liverpool, England.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://myweb.tiscali.co.uk/gerry.jones/lpllyrics1.html"} +{"d:Title": "Digital Tradition Folk Song Database", "d:Description": "Mudcat discussion forums and information about how to download your own copy of the database.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.mudcat.org/threads.cfm"} +{"d:Title": "Middle English Lyrics: Texts", "d:Description": "A selection of lyrics from middle English from Luminarium.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.luminarium.org/medlit/mltexts.htm"} +{"d:Title": "Hawaiian Chants: Mele of Antiquity", "d:Description": "A study of the chant texts of the ancient Hawaiians.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.coffeetimes.com/sept97.htm"} +{"d:Title": "Quechua Songs", "d:Description": "Includes the lyrics in Quechua, along with their English and Spanish translations.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.andes.org/songs.html"} +{"d:Title": "Alan Sim's Traditional Lyrics", "d:Description": "A collection of traditional lyrics separated by country of origin, including some midi files.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.alansim.com/"} +{"d:Title": "Australian Folk Songs", "d:Description": "Collection of traditional and bush songs with words, music and information about each song.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://folkstream.com/"} +{"d:Title": "Union Songs", "d:Description": "A collection of lyrics to songs concerning the struggles of workers, repressed peoples, and the unions.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://unionsong.com/"} +{"d:Title": "Celtic Lyrics Corner", "d:Description": "Includes lyrics and translations for several contemporary Celtic artists and groups.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.celticlyricscorner.net/"} +{"d:Title": "Irish Songs Lyrics With Guitar Chords By Martin Dardis", "d:Description": "A collection of Irish folk songs and ballads, with guitar chords.", "topic": "Top/Arts/Music/Lyrics/Folk", "url": "http://www.martindardis.com/"} +{"d:Title": "The Original Hip-Hop Lyrics Archive", "d:Description": "Collection of lyrics, including some soundtracks and compilations.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://ohhla.com/"} +{"d:Title": "Lyrics Now", "d:Description": "Organized by artist. Also includes soundtracks and compilations.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://www.angelfire.com/or2/LyricsNow/"} +{"d:Title": "Nabil Q's Rap Page", "d:Description": "Lyrics by several rap artists.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://www.angelfire.com/nc/nabilq/"} +{"d:Title": "Jess's Hip Hop Lyricz", "d:Description": "Collection of hip hop singles.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://www.angelfire.com/pa3/hiphopspot/lyricz.html"} +{"d:Title": "Tha Lyric Spot", "d:Description": "Find the lyrics for songs by several artists.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://wwnet.fi/users/llcoolj/nlyricspot.htm"} +{"d:Title": "Victorian Rap", "d:Description": "The only place to find well known rap songs translated into Victorian English. Has a couple songs.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://www.angelfire.com/music5/victorianrap/"} +{"d:Title": "Hazeleyez Hip Hop&R&B Music", "d:Description": "Lyrics to hip hop and rhythm and blues music.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://hazeleyezmuzic.tripod.com/index/"} +{"d:Title": "Official Rap Quote of the Day", "d:Description": "The daily source for the finest rap quotes.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://www.rapquote.com/"} +{"d:Title": "The Hot Spot", "d:Description": "Rhythm and blues and hip hop lyrics along with sound files.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://ud3323.tripod.com/"} +{"d:Title": "Urban Lyrics", "d:Description": "Daily updates of topical song lyrics genres such as rap, hip-hop, R&B, soul, gospel and reggae.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://www.urbanlyrics.com/"} +{"d:Title": "The Lyric Docta", "d:Description": "Lyrics site with songs organized by artist.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://mccall-robert.tripod.com/id17.htm"} +{"d:Title": "Rap Genius", "d:Description": "Guide to the meaning of rap lyrics.", "topic": "Top/Arts/Music/Lyrics/Hip_Hop", "url": "http://rap.genius.com/"} +{"d:Title": "Am I Right?", "d:Description": "Misheard lyrics (mondegreens) spanning the last six decades of pop, country, Christian and Christmas music. Song parodies covering the last four decades, ranked by site visitors.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.amiright.com/"} +{"d:Title": "WordSync", "d:Description": "Original humorous lyrics, in a variety of musical styles.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.angelfire.com/ca5/wordsync/"} +{"d:Title": "The Ballad of Mary", "d:Description": "Humorous lyrics that probe deep into The Virgin Mary's mental state after giving birth to Jesus Christ.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.angelfire.com/indie/ballad/"} +{"d:Title": "Ye Olde' Page of Parodies", "d:Description": "Covers popular songs (mostly 90's) of MTV culture, and includes tv show parodies.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.angelfire.com/music/parodies/"} +{"d:Title": "Country Western Song Generator", "d:Description": "Creates random song lyrics for country-western songs.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.outofservice.com/country/"} +{"d:Title": "AnalyticalQ's Parodies", "d:Description": "AnalyticalQ's collection of parodies and other works.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.analyticalq.com/music/parodies/default.htm"} +{"d:Title": "Bubbalicious Lyrics", "d:Description": "Bubbalicious lyrics, MIDIs, poetry, quotes from the realm of bubbles.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.scopelink.com/i_love_lyrics.htm"} +{"d:Title": "The Taliban Surrender", "d:Description": "This site contains new lyrics for the Cheap Trick song \"Surrender\", altered to fit some events in Afghanistan.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://surrender.freehosting.net/"} +{"d:Title": "Ken Is Amazing", "d:Description": "A site of misheard lyrics, obtained mostly by visitor submissions.", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.angelfire.com/comics/kenisamazing/"} +{"d:Title": "Virtual Jon", "d:Description": "Random lyric generator in the style of \"Yes\".", "topic": "Top/Arts/Music/Lyrics/Humor", "url": "http://www.eilands.com/vjon/"} +{"d:Title": "Joux21", "d:Description": "Lyrics sung by Indonesian and other artists. Includes Padi, Arkarna, Yovie n The Nuno, Ari Lasso, TIC.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://joux21.tripod.com/musik1.htm"} +{"d:Title": "Universound.ca", "d:Description": "Translations of popular artists' songs in 3 languages, including French, Spanish and English. Updated weekly.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://universound.ca/"} +{"d:Title": "Visayan Lyrics", "d:Description": "Songs composed by composers and sung by artists from Visayas and Mindanao (and occasionally from Luzon). Features ballads, balitaw, folk, comedy, traditional and others.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://amapolaweb.tripod.com/"} +{"d:Title": "Bollywood Lyrics", "d:Description": "Song lyrics from Hindi films and Hindi pop albums, with English translations.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://www.bollywoodlyrics.com/"} +{"d:Title": "Hindilyrix", "d:Description": "Provides lyrics to Hindi movie songs, ghazals, bhajans, and other new and old Hindi songs. Also has a message board, some chords, and some Punjabi lyrics.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://www.hindilyrix.com/"} +{"d:Title": "Larry's Song Database", "d:Description": "Lyrics and translations of folksongs in several languages.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://larry.denenberg.com/Songs/"} +{"d:Title": "Arabic Lyrics", "d:Description": "Contains lyrics of contemporary Arabic songs, in Arabic, with transliterations and translations to English. Classified by artist and album.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://www.arabic-lyrics.com/"} +{"d:Title": "Puro Lyrics", "d:Description": "Lyrics from Latin music: international, nortena, tejano, corridos, rancheras, balladas and mariachi.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://www.puroparty.com/lyricindex.html"} +{"d:Title": "Arabic song lyrics", "d:Description": "Song lyrics of popular Arabic artists translated into English. Abdel Halim Hafez, Amr Diab, Kazem El Saher, Nawal El Zoghbi.", "topic": "Top/Arts/Music/Lyrics/International", "url": "http://www.orientaldancer.net/arabic-song-lyrics/"} +{"d:Title": "Lorenz-Pulte Classic Jazz Lyrics Page", "d:Description": "Classical jazz and blues lyrics transcribed from early 20th century recordings.", "priority": "1", "topic": "Top/Arts/Music/Lyrics/Jazz", "url": "http://www.heptune.com/lyrics.html"} +{"d:Title": "Modern Jazz Lyrics", "d:Description": "Jim Hillman songs in collaboration with other composers. For access by singers seeking new repertoire.", "topic": "Top/Arts/Music/Lyrics/Jazz", "url": "http://home.interlog.com/~tamatina/"} +{"d:Title": "Animaniacs Mega Lyrics File", "d:Description": "Animaniacs Mega Lyrics File", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://members.cruzio.com/~keeper/AMLF.html"} +{"d:Title": "Sesame Street Sounds Archive", "d:Description": "Lyrics for over 500 classic Sesame Street songs and skits, lots of sounds, pleasure guaranteed!", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://members.tripod.com/Tiny_Dancer/"} +{"d:Title": "Turbo: A Power Rangers Movie Soundtrack Lyrics", "d:Description": "Compiled by David Messina", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://www.afn.org/~afn38674/tlyrics.htm"} +{"d:Title": "The Muppet Movies Lyrics", "d:Description": "The Muppet Movie, The Great Muppet Caper, The Muppets Take Manhattan.", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://www.whysanity.net/muppets/"} +{"d:Title": "Disney Song Lyrics", "d:Description": "Snow White and the 7 Dwarfs, Pinocchio, Cinderella, Peter Pan, The Jungle Book, The Aristocats, Robin Hood, The Fox and the Hound, The Great Mouse Detective, Oliver And Company, The Little Mermaid, Beauty and the Beast, Aladdin, The Lion King, Pocahontas, The Hunchback of Notre Dame, Hercules, Mulan.", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://www.fpx.de/fp/Disney/Lyrics/"} +{"d:Title": "Clare's Cub Scout Songs", "d:Description": "Songs for Cub Scouts and kids of all ages. All types of songs for all kinds of gatherings.", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://www.angelfire.com/oh/claremansfield/cubscoutsongs.html"} +{"d:Title": "Campfire Songs", "d:Description": "YMCA campfire songs from childhood days.", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://www.backyardgardener.com/loowit/janeellen.html"} +{"d:Title": "KIDiddles", "d:Description": "KIDiddles (Not your Average Kids' site) offers the lyrics to hundreds of children's songs and lullabies, as well as stories, games, contests and other fun stuff! Use the Alpha Index to search for a song by title.", "topic": "Top/Arts/Music/Lyrics/Kids", "url": "http://www.kididdles.com/lyrics/allsongs.html"} +{"d:Title": "Love Song Lyrics", "d:Description": "Lyrics to many popular love songs and ballads.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://www.lovetest.com/lovesongs/"} +{"d:Title": "Love Lyrics Encyclopedia", "d:Description": "Lyrics to hundreds of love songs browsable and searchable by artist, song title, and/or text.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://lovequote.com/lovesongs/"} +{"d:Title": "Romantic Lyrics", "d:Description": "Large archive of the most beautiful love songs lyrics of all time. Also romance ideas and tips. Searching by song title or by artist.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://www.romantic-lyrics.com/"} +{"d:Title": "The Greatest Love Songs - A True Romance", "d:Description": "A collection of the greatest love songs celebrating a true romance (with music, lyrics and guitar chords). Accepting requests and dedications.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://www.jamesness.com/index.html"} +{"d:Title": "Peach Patch", "d:Description": "Music Site for Love and Romance Songs and Lyrics. Wedding Songs. Love and Romance Free Greeting Cards. The alphabetical list of lyrics.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://peachpatch.com/romance.html"} +{"d:Title": "Links 2 Love Lyrics", "d:Description": "The old and new love songs in alphabetical order.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://www.links2love.com/love_lyrics.htm"} +{"d:Title": "Love Songs/Cards", "d:Description": "Love songs site complete with lyrics to many different genres, presenting in a very visual, beautiful way.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://cards89.tripod.com/cards.html"} +{"d:Title": "Love Lyrics Collection", "d:Description": "Large collection of lyrics organized by title.", "topic": "Top/Arts/Music/Lyrics/Love_Songs", "url": "http://love-lyrics-collection.com/"} +{"d:Title": "Lyrics HQ Yahoo Club", "d:Description": "Request and share lyrics with other members of this club.", "topic": "Top/Arts/Music/Lyrics/Newsgroups", "url": "http://launch.groups.yahoo.com/group/lyricshq/"} +{"d:Title": "Emily's Lyrics", "d:Description": "User requests span many different genres. Most are pop, alternative, classic rock, or rhythm and blues. Alphabetical by title; author invites requests.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://members.tripod.com/~ylime_/index.htm"} +{"d:Title": "Rob's Site", "d:Description": "Lyrics to recent alternative and pop songs, especially by Limp Bizkit.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://robtaylor.8m.com/"} +{"d:Title": "4 LyRic", "d:Description": "Large collection indexed alphabetically by artist. Includes forum, search feature and a request form.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.4lyric.com/"} +{"d:Title": "Todd Peach's Artist Lyric Links", "d:Description": "Artist-specific lyrics links, mostly on Todd Peach's site. Includes Ella Fitzgerald, Frank Sinatra, Ray Charles, Kenny Loggins, assorted Motown lyrics, among others. Search feature, optional e-mail updates.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.thepeaches.com/music/"} +{"d:Title": "Caught Between the Moon and New York City", "d:Description": "Broadway musical lyrics and librettos; other lyrics that the site author (Jennifer Rust) likes, including Sarah McLachlan and Jewel.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/hi2/42ndstreet/"} +{"d:Title": "Music Lovers", "d:Description": "N'Sync, Britney Spears, Savage Garden, Ricky Martin, Enrique Iglesias, Backstreet Boys, Santana, Eiffel 65, Five, Jennifer Lopez, Shania Twain, Christina Aguilaira, Westlife, Jessica Simpson, Celine Dion, Will Smith.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://lyricsite.stormpages.com/"} +{"d:Title": "Lyric Blast 2ya", "d:Description": "Music lyrics for many bands and artists.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/music2/lyricblast/"} +{"d:Title": "Music Lyrics", "d:Description": "The artists in the alphabetical order.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.members.tripod.com/iialbieii/"} +{"d:Title": "Music lyrics", "d:Description": "The lyrics are listed by artist. The ability to send any lyrics to a friend.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.funs.co.uk/lyrics/index.html"} +{"d:Title": "Myrine Lyric Archive.", "d:Description": "The alphabetical order of artists.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://myrine.bravepages.com/"} +{"d:Title": "TC's Song Lyrics Archive", "d:Description": "Searchable archive of rock, pop, and country song lyrics.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.risa.co.uk/sla/"} +{"d:Title": "Purplxstar", "d:Description": "Tiny collection of lyrics to pop songs by Brain McKnight, Carpenters, Celine Dion, Depeche Mode, Elvis Costello, Boyzone, and Martina McBride.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/stars/purplxstar/lyrics.html"} +{"d:Title": "Always Nick...always Music", "d:Description": "3Deep, Savage Garden and Nickelback.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/games2/firemonster2/"} +{"d:Title": "T-bone's Lyrics R Us", "d:Description": "An opinionated site owner, T-bone posts his favorite alternative and pop artists.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/music/lyricsrus/"} +{"d:Title": "Lyrics Here", "d:Description": "The lyrics to all of the latest songs and lyrics to some older ones.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/music/lyricsHERE/"} +{"d:Title": "Rosalita's page", "d:Description": "Lyrics for the favorite songs of today. Springsteen, Brian White, Shania Twain, The Goo Goo Dolls, Savage Garden, Faith Hill, Smash Mouth and more", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/ok/rosalita/index.html"} +{"d:Title": "Kelekona's Little Corner of the Universe", "d:Description": "Lyrics of Eminem, Wallflowers, Blink 182, Barenaked Ladies, Will Smith, Goo Goo Dolls.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/music/NeoAthena/"} +{"d:Title": "Music and Lyrics", "d:Description": "Some favorite songs of author of this page", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/ca5/fanticees/index.html"} +{"d:Title": "The Best Songs Ever", "d:Description": "The lyrics and audio clips.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://bseforever.tripod.com/"} +{"d:Title": "Modern Rock Lyrics", "d:Description": "Thousand of lyrics of all kinds of Rock (Alternative, Grunge, Punk, Hardcore, Metal, Nu Metal, Gothic, Crossover, and Industrial).", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.modernrocklyrics.com/"} +{"d:Title": "Disturbed media", "d:Description": "Disturbed, Korn, Papa roach", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://johnathonmasias.tripod.com/lyrics2000/"} +{"d:Title": "Godsearch Tripod", "d:Description": "A hot inspirational site with 35 beautiful songs written by Basil Venitis. Enjoy meaningful lyrics, search for God, and revamp your life.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://godsearch.tripod.com/"} +{"d:Title": "hiccup", "d:Description": "Pink, Eve, and Britney Spears.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/amiga/jenluvsnc/lyrics.html/"} +{"d:Title": "Songs For Free", "d:Description": "A collection of song lyrics waiting to be set to music. Anyone who can set lyrics to music can use them. Pay nothing until any royalties or money derived in any way from the song becomes payable.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.songs-lyrics.com/"} +{"d:Title": "Original Song Site", "d:Description": "Indiana songwriters site with new Country, Gospel songs to hear and new Country, Gopspel song lyrics to read.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://originalsongsite.com/"} +{"d:Title": "Ace Lyrics", "d:Description": "98 Degrees, Ben Folds Five, Collective Soul, Everclear, Fastball , No Doubt.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://mp3-nation.tripod.com/index.html"} +{"d:Title": "Tha Dope Lyrix Website", "d:Description": "The index of the artists.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/music2/dopelyrix/"} +{"d:Title": "Jamis' Musical Lyrics and Analysis", "d:Description": "Top Ten Most Romantic Songs, Most Angry Songs, Most Depressing Songs, Happy Songs, Twenty Overall Songs.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://jamis04.tripod.com/"} +{"d:Title": "Play That Funky Music.", "d:Description": "The lyrics and mp3. Also links to other pages with these sources.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://mp3-4all.tripod.com/"} +{"d:Title": "Lite Blue Water", "d:Description": "Love songs, alternative, country, rhythm and blues and rap.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://rainxdrops.tripod.com/id25.htm"} +{"d:Title": "0 SHOX-2K1 Lyrics and Misheard Lyrics", "d:Description": "Real lyrics. Common misheard lyrics. Also lyric parodies.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/rant/theonlyshocker/"} +{"d:Title": "Maegan's lyrics page", "d:Description": "Jennifer Lopez, Britney Spears , 3lw, Destiny's Child, Dream, Monica, Jessica Simpson, Christina Aguilera, Smashing Pumpkins, Blaque, Toni Braxton.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/nh/maeganslyrics/"} +{"d:Title": "the Koolest&Kraziest Site on the Net", "d:Description": "Collection of such artists as Lyrics Britney Spears, Backstreet Boys, Bryan Adams, Christina Aquilera, Ricky MArtin, Destiny's Child, Westlife.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.koolkrazy.com/lyrics/lyricsindex.php"} +{"d:Title": "Liz's Lyrics List", "d:Description": "An eclectic and diverse collection of song lyrics.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/tv/GHstories/songindex.html"} +{"d:Title": "Active Lyrics", "d:Description": "Lyrics for Visual MP3 Karaoke player. The artists in the alphabetical order with search engine.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.iprogramdev.com/alc/"} +{"d:Title": "A Rose Destruction", "d:Description": "The musical project - A Rose Destruction. Deep, emotional, and real lyrics. Read the lyrics here and please comment too.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/band2/ard/"} +{"d:Title": "Jess and Beccs Lyrics", "d:Description": "Each song either reminds one of a place, time, person or memory. Artists include: Aaliyah, Christina Aguilera, City High, Destiny's Child, LeAnn Rimes, M2M, Honeyz, and Kasey Chamber.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.jessandbeccslyrics.0catch.com/"} +{"d:Title": "XxxAbysmalxxX", "d:Description": "Words inspired by Life, Pain, Misery, Hatred, Lust, and Carelesness.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.angelfire.com/darkside/xxxabysmalxxx/lyrics.html"} +{"d:Title": "Ms Lyrica", "d:Description": "A large and rapidly growing database of personally transcribed lyrics, updated frequently and 100% correct - requests for lyrics are greatly appreciated and dealt with immediately.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://members.tripod.com/ms_lyrica/index.htm"} +{"d:Title": "Lyrics On Demand", "d:Description": "Provides lyrics to songs by popular artists of all genres.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.lyricsondemand.com/"} +{"d:Title": "Lyric Attack", "d:Description": "All genre artists organized alphabetically and by albums.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.lyricattack.com/"} +{"d:Title": "lyrics4u", "d:Description": "Green Day, The Offspring, The Beatles, Depeche Mode.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.webpriestor.sk/"} +{"d:Title": "Michal's Lyrics Page", "d:Description": "Library of songs lyrics of all kind. They are sorted in alphabetical order according to the artists.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://lyrics.euweb.cz/"} +{"d:Title": "Pieces of Song", "d:Description": "Pieces and quotes from some of the world's most beautiful song lyrics.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.corsinet.com/braincandy/miscsong.html"} +{"d:Title": "All Lyrics in OurLyrics", "d:Description": "Collection of lyrics listed alphabetically by artist, compiled by albums.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://ourlyrics.net/"} +{"d:Title": "Andrew's Kingdom of Rawk!", "d:Description": "A collection of acoustic guitar music, complete with lyrics and chords. Hundreds of songs, fully searchable and always expanding.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://www.andrewg.ca/"} +{"d:Title": "Jan's Lyrics", "d:Description": "Jan's Lyrics site contains lyrics to popular music songs from artists in a wide variety of styles, including pop, (hard)rock, alternative music and heavy metal.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://home.kabelfoon.nl/~jcvdb/"} +{"d:Title": "Pop On Top - Pop Lyrics", "d:Description": "Pop chartbreaker lyrics. Such artists as 2 Unlimited, Ace Of Base, Alphaville, Cappella, Corona, Culture Beat, DJ Sammy, Dune, Groove Coverage, Masterboy, Real McCoy, Technotronic, U 96, The Vengaboys, Whigfield, Worlds Apart.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://dancereview.narod.ru/lyrics/"} +{"d:Title": "VicVerse", "d:Description": "Daily lyrical postings, with comment section for listener interpretations, and links to song samples.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://vicverse.blogspot.com/"} +{"d:Title": "Yahoo Groups - The Lyrics Agent", "d:Description": "List to request and share lyrics.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://launch.groups.yahoo.com/group/lyricagent/"} +{"d:Title": "Dexxus Music", "d:Description": "List of favorite songs with videos, lyrics and some midi files.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://dex.freehostia.com/favs.html"} +{"d:Title": "Siqa Lyrics", "d:Description": "Offers lyrics by a variety of artists.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://siqalyrics.blogspot.com/"} +{"d:Title": "Galen Louis Guitar Chords", "d:Description": "A site for pop and folk guitar chords and lyrics.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://nezperce.tripod.com/index.html"} +{"d:Title": "Lyrics, songs, music, pictures", "d:Description": "lyrics of songs ; pictures ; new songs Mariah Carey , Jennifer Lopez ; Britney Spears ; All Saints", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://redsong.tripod.com/home.html"} +{"d:Title": "Monica's Lyrics and MIDI site", "d:Description": "Lyrics of the favourite songs of the author of this site. Alphabetical order. Also MIDIs.", "topic": "Top/Arts/Music/Lyrics/Personal_Collections", "url": "http://alkevroi.blogspot.com/"} +{"d:Title": "Vocal Trance", "d:Description": "Lyric site dedicated to vocal trance music.", "topic": "Top/Arts/Music/Lyrics/Reggae", "url": "http://lyrics.trancestation.nl/"} +{"d:Title": "Jamaican Lyrics", "d:Description": "Gospel, reggae, cultural and seasonal songs. Sean Paul, Elephant Man, and Papa San.", "topic": "Top/Arts/Music/Lyrics/Reggae", "url": "http://www.jamaicanlyrics.com/"} +{"d:Title": "Reggae Music Indonesia", "d:Description": "Features lyrics from artists Bob Marley, Alpha Blondy and Shabba Ranks.", "topic": "Top/Arts/Music/Lyrics/Reggae", "url": "http://indo-rasta.blogspot.com/"} +{"d:Title": "Chyron's Site of Insanity", "d:Description": "Corrosion of Conformity, Disturbed, Godsmack, KoRn, Metallica, Mudvayne, Slipknot, Stabbing Westward, Staind, System of a Down, Tool.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.angelfire.com/darkside/chyron/lyrics.html"} +{"d:Title": "Code Hot UK", "d:Description": "Searchable database of classics from the 1960's to 1990's. Also indexed by artists.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.codehot.co.uk/lyrics.htm"} +{"d:Title": "Midnights page about whatever", "d:Description": "Korn and Limp Bizkit.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://midnight190.tripod.com/"} +{"d:Title": "TastyAtom", "d:Description": "All the CDs in a personal collection including lyrics for over 3000 tracks. Also included are performers for most albums and comments.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.tastyatom.com/"} +{"d:Title": "Song Lyrics Search", "d:Description": "Find popular song lyrics. Search to get new pop, rock, rap, hip hop, country, alternative, gospel, and other music lyrics.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.song-lyrics-search.com/"} +{"d:Title": "You Never Listen", "d:Description": "The collection of links to the pages with lyrics.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.youneverlisten.com/"} +{"d:Title": "Vlad's Lyric Page", "d:Description": "Nearly 30 unusual groups from Bauhaus, through Front 242, to U2.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://obscure.org/~vlad/lyrics.html"} +{"d:Title": "LyriX", "d:Description": "A collection of various rock songs from the 60s to present day, featuring Beatles, Pink Floyd, R.E.M and Collective Soul.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://lyrixdb.tripod.com/"} +{"d:Title": "Rock Consciousness", "d:Description": "\"The Benevolent Psychotic Rock Consciousness\" - A unique blend of lyrics from the 60's onward and alternative politics.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.mtaonline.net/~mrreamer/neu.html"} +{"d:Title": "Unofficial Korn and Limp Bizkit Rock Site", "d:Description": "Korn and Limp Bizkit lyrics with pictures of the bands.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.angelfire.com/rock/spiderzam/"} +{"d:Title": "RockMagic", "d:Description": "Lyrics for many popular rock bands.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://lyrics.rockmagic.net/"} +{"d:Title": "Never Ever All Saints Lyrics", "d:Description": "Lyrics of well-known top 40 artists, starting with All Saints.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.angelfire.com/ia/jok/lyrics.html"} +{"d:Title": "Krazee Lyrics", "d:Description": "A dozen varieties of lyrics - Blink 182, Boyz II Men, Britney Spears, Chef Aid [South Park], Christina Aguilera, DMX, LaRue, Richard Marx, Monica, No Doubt, Jaci Velasquez.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.angelfire.com/biz5/krazeelyrics/"} +{"d:Title": "Ke Skateboards", "d:Description": "The lyrics of Korn and Deftones.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.angelfire.com/extreme/xmarko/index.html"} +{"d:Title": "Rockworld", "d:Description": "Aerosmith, Creed, Nirvana, Led Zeppelin, Metallica, ZZ Top.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.angelfire.com/rock2/aeromith/lyrics/lyrics2.html"} +{"d:Title": "SongLyrics.com", "d:Description": "Thousands of lyrics to search by artist, album, song title, or lyric text. Request lyrics, chat and forums sections also available.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.songlyrics.com/"} +{"d:Title": "Oldie Lyrics", "d:Description": "Songs from '60s, '70s, '80s and more ordered by artists with search and request lyrics sections.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.oldielyrics.com/"} +{"d:Title": "Jumbo Jimbo's Song Lyrics Archive", "d:Description": "Archive specialising in Elvis Presley, Elton John, Beatles, Queen, Carpenters and Britney Spears.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.jumbojimbo.com/"} +{"d:Title": "Lyrics Burger", "d:Description": "Browseable and searchable database of modern and retro songs.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.lyricsburger.com/"} +{"d:Title": "Creedence Clearwater Revial", "d:Description": "Lyrics from the band and from leader, solo artist John Fogerty.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.mts.net/~mloewen1/ccr/lyrics/"} +{"d:Title": "No More Lyrics", "d:Description": "All artists in alphabetical order.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.nomorelyrics.net/"} +{"d:Title": "Karaoke Song Lyrics", "d:Description": "Lyrics for Karaoke. Not only English songs.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www2.uol.com.br/cante/lyrics/index.htm"} +{"d:Title": "Lyrics", "d:Description": "Favorite lyrics include Peter Gabriel, Pink Floyd, Yankovic, Beatles, and Bruce Springsteen.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.myths.com/pub/lyrics/"} +{"d:Title": "Up Lyrics", "d:Description": "A collection of rock and pop lyrics sorted by album.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.uplyrics.com/"} +{"d:Title": "Always on the Run", "d:Description": "Alternative, rock, and pop lyrics from well-known and not-so-well-known artists - from Air to Young Marble Giants.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.alwaysontherun.net/"} +{"d:Title": "SongMeanings", "d:Description": "Searchable lyrics and song meanings database", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://songmeanings.com/"} +{"d:Title": "DM's Rock Music Site", "d:Description": "Various artists' lyrics, discographies, links, reviews. Lyrics to the artists of 60s, 70s, 80s, 90s.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop", "url": "http://www.dmrockmusic.com/"} +{"d:Title": "Deep Dark Lyricz", "d:Description": "For bands that made the devil's music famous: Rob Zombie, KoRn, Limp Bizkit and others.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Heavy_Metal", "url": "http://www.angelfire.com/punk/devilmusic666/lyricz/index.html"} +{"d:Title": "Dark Lyrics", "d:Description": "A collection of metal lyrics. Bands in the alphabetical order.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Heavy_Metal", "url": "http://www.darklyrics.com/"} +{"d:Title": "Hooker's Metal Lyrics Page", "d:Description": "Large collection of metal lyrics from the 80s and 90s.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Heavy_Metal", "url": "http://metal-lyrics.narod.ru/"} +{"d:Title": "Heavy metal is the law", "d:Description": "The alphabetical order of artists. From Accept and AC/DC to White zombie and Yearning.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Heavy_Metal", "url": "http://christophe.largeau.free.fr/"} +{"d:Title": "Beherit Black Death Heavy Lyrics", "d:Description": "Offers a collection of black, death, heavy and thrash lyrics (in *.zip format).", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Heavy_Metal", "url": "http://lord.beherit.free.fr/v3/lyrics.php?eng=1"} +{"d:Title": "All Metal Lyrics", "d:Description": "A database of metal lyrics sorted by band, year, album and song.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Heavy_Metal", "url": "http://www.allmetallyrics.com/"} +{"d:Title": "lyrics in progress - the blog", "d:Description": "Personal blog with lyrics for pop and rock songs.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Personal_Pages", "url": "http://lyrics-in-progress.over-blog.de/"} +{"d:Title": "Rock Lyrics Archive", "d:Description": "The website, in a blog style, contains lyrics from the most famous rock bands.", "topic": "Top/Arts/Music/Lyrics/Rock_and_Pop/Personal_Pages", "url": "http://rocklyricsarchive.blogspot.com/"} +{"d:Title": "StraightLyrics.com", "d:Description": "StraightLyrics.com provides you with a large free song lyric database.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.straightlyrics.com/"} +{"d:Title": "Lyricsworld", "d:Description": "Search for song lyrics you want by using index of thousands of lyrics sites.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.lyricsworld.com/"} +{"d:Title": "LyricSearch.net", "d:Description": "Search for the words to your favorite song with this easy to use search interface.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://lyricsearch.net/"} +{"d:Title": "LyricsFind", "d:Description": "Search the web for thousands of lyrics sites. A big guitar tablature archive and own fresh lyrics archive.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.lyricsfind.com/"} +{"d:Title": "LyricFind", "d:Description": "Search engine for over 11,000 songs including a full-text search.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.lyricfind.com/"} +{"d:Title": "Atomic Lyrics", "d:Description": "Easy searching by artist or title", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.atomiclyrics.com/"} +{"d:Title": "Absolutelyrics.com", "d:Description": "Supports searches by artists, album or song titles; browsing by artists.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.absolutelyrics.com/"} +{"d:Title": "Lyrics Book", "d:Description": "Browsable and searchable lyrics database with more than 150,000 lyrics.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.lyricsbook.net/"} +{"d:Title": "The Music Lyrics Database", "d:Description": "Huge lyrics collection with powerful search fulltext engine. Fast and effective search.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.mldb.org/"} +{"d:Title": "ReadLyrics.com", "d:Description": "Alphabetic search by artist or song or both.", "topic": "Top/Arts/Music/Lyrics/Search_Engines", "url": "http://www.readlyrics.com/"} +{"d:Title": "Shanties and Sea Songs", "d:Description": "Lyrics to songs and shanties from the days of tall ships.", "topic": "Top/Arts/Music/Lyrics/Shanties_and_Sailor_Songs", "url": "http://www.jsward.com/shanty/index.shtml"} +{"d:Title": "250 Songs of the Sea", "d:Description": "10,000 Folksongs from around the world", "topic": "Top/Arts/Music/Lyrics/Shanties_and_Sailor_Songs", "url": "http://ingeb.org/catchan.html"} +{"d:Title": "Shanties and Sea Songs", "d:Description": "Sea shanties are the work songs used on ships during the Age of Sail.", "topic": "Top/Arts/Music/Lyrics/Shanties_and_Sailor_Songs", "url": "http://shanty.rendance.org/"} +{"d:Title": "Snatch Soundtrack", "d:Description": "A site dedicated to the movie Snatch with lyrics to songs from the soundtrack. Also animated gifs and wavs from this movie.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://vansnscott.tripod.com/snatch.html"} +{"d:Title": "The Crow. City of Angels. Salvation. Believe in Angels.", "d:Description": "All lyrics from the given soundtracks.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://inner_mercy.tripod.com/Lyrics.html"} +{"d:Title": "The Lion King Song Lyrics", "d:Description": "Lyrics for all the songs on the original English version of the Lion King soundtrack, plus the follow-up CDs (Rhythm of the Pride Lands, Return to Pride Rock and the Musical).", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://www.lionking.org/lyrics/"} +{"d:Title": "Sound Track Lyrics", "d:Description": "Movies, cartoons, TV, musicals. You can browse them by soundtrack title or search by any title.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://www.stlyrics.com/"} +{"d:Title": "Movie Theme", "d:Description": "Such titles as Leaving Las Vegas, True Romance, City of Angels, Love and a .45, Snatch, Basic Instinct, Face Off, The Wedding Singer, Judgment Night, Conspiracy Theory.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://alexvanh1.tripod.com/songs/songssitemap.html"} +{"d:Title": "Blow Soundtrack", "d:Description": "A site dedicated to the soundtrack of the movie Blow, with all the lyrics to songs on the soundtrack and animated gifs.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://georgejung10.tripod.com/blow.html"} +{"d:Title": "3000 Miles To Graceland", "d:Description": "A site dedicated to the Soundtrack of the movie with the lyrics to all the songs, and animated gifs.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://3000milestograceland.tripod.com/3000milestograceland.html"} +{"d:Title": "Blondiee10's City of Angels", "d:Description": "Lyrics to all the songs on the soundtrack, movie clips and many images from the film City of Angels starring Meg Ryan and Nicholas Cage.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://cityofangels10.tripod.com/cityofangels.html"} +{"d:Title": "Natural Born Killers", "d:Description": "A site dedicated to the movie Natural Born Killers, and the soundtrack. The lyrics to the songs from the soundtrack, also lots of pictures.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://malloryknox1973.tripod.com/nbk.html"} +{"d:Title": "Blondiee10's Crow Page", "d:Description": "A 'Crow' site with the lyrics to the songs from the soundtrack among others.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://dravencrowe.tripod.com/crow.html"} +{"d:Title": "The Crow Movie Pages", "d:Description": "A site dedicated to the first Crow movie with the lyrics to the complete soundtrack. Also animated gifs, and an interview with James O'Barr, creator of 'The Crow.'", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://ericdraven1972.tripod.com/crowmovie.html"} +{"d:Title": "The Pulp Fiction, Reservoir Dogs and Jackie Brown", "d:Description": "Three films made by Quentin Tarantino.", "topic": "Top/Arts/Music/Lyrics/Soundtracks", "url": "http://www.alwaysontherun.net/bo.htm"} +{"d:Title": "lyricism", "d:Description": "A weblog site dedicated to posting lyrics to songs of numerous genres.", "topic": "Top/Arts/Music/Lyrics/Story_Behind_Lyrics", "url": "http://lyricism.blogspot.com/"} +{"d:Title": "The Song Reader", "d:Description": "Website for The Song Reader, a novel about a woman who determines how people feel from the meaning of the song lyrics they find themselves remembering.", "topic": "Top/Arts/Music/Lyrics/Story_Behind_Lyrics", "url": "http://www.lisatucker.com/"} +{"d:Title": "Lyric Interpretations", "d:Description": "Song meanings and lyric interpretations.", "topic": "Top/Arts/Music/Lyrics/Story_Behind_Lyrics", "url": "http://www.lyricinterpretations.com/"} +{"d:Title": "Marching.com", "d:Description": "A source covering high school events, competitions and scores. Includes links to resources by topic and bands organized by state and country.", "topic": "Top/Arts/Music/Marching", "url": "http://www.marching.com/"} +{"d:Title": "Bands United", "d:Description": "Open to students in marching and concert bands, Colorguard, dance team, jazz ensemble and orchestra. [Yahoo! Groups]", "topic": "Top/Arts/Music/Marching", "url": "http://launch.groups.yahoo.com/group/bandsunited/"} +{"d:Title": "All American Music Festival", "d:Description": "Orlando annual festival for band programs. Includes information and schedule.", "topic": "Top/Arts/Music/Marching", "url": "http://www.allamericanmusicfest.com/"} +{"d:Title": "Color Guard Netherlands", "d:Description": "Presents schedule of events, membership information, unit links, forum, multimedia, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.colorguard.org/"} +{"d:Title": "Mid-Continent Color Guard Association Home Page", "d:Description": "Promoting winter color guard activity in Missouri, Illinois, Iowa, Kansas, Oklahoma and surrounding areas.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.mccga.org/"} +{"d:Title": "Winter Guard International", "d:Description": "Features member information, scholarships, audio and video, photos, upcoming events, competition results, articles, news, unit links, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.wgi.org/"} +{"d:Title": "Winter Guard Association of Southern California", "d:Description": "Contains upcoming events, message board, pictures, member information, unit links, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.wgasc.org/"} +{"d:Title": "Michigan Color Guard Circuit, Inc.", "d:Description": "Provides schedules of events, member information, unit links, current news, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.mcgc.net/"} +{"d:Title": "Atlantic Indoor Association", "d:Description": "Provides schedule, judging information, unit links, forums, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.atlanticindoor.org/"} +{"d:Title": "St.Augustine Coast One", "d:Description": "Florida Federration of Colorguard Circuit champions. Includes history, pictures, video, audio, and events.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.angelfire.com/fl/coastone/"} +{"d:Title": "My Ode to Guard", "d:Description": "Desktop themes, information, links, and polls.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.angelfire.com/music3/MOG/index.html"} +{"d:Title": "The Texas Color Guard Circuit, USA", "d:Description": "News, schedules, scores, and jobs.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.texascolorguardcircuit.org/"} +{"d:Title": "The Colorguard Realm", "d:Description": "Features humour, pictures, tips, articles, links, and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://desege.tripod.com/colorguard.html"} +{"d:Title": "Colorguard Corner", "d:Description": "Contains marching band and guard humour as well as links and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://colorguardcorner.tripod.com/colorguard/"} +{"d:Title": "The Guard Corner", "d:Description": "Humor, tips, and sayings about colorguard.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.angelfire.com/band2/theguardcorner/"} +{"d:Title": "The International Color Guard Portal", "d:Description": "Focus on International (WGI) and Dutch Color Guard circuits.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://colorguard.startkabel.nl/"} +{"d:Title": "Fantasy Winter Guard Internet", "d:Description": "Fantasy game for winter guard and percussion. Create a guard unit and compete in contests.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://fwgi.tripod.com/"} +{"d:Title": "Carolina Indoor Performance Association", "d:Description": "Includes members, contests, news, forum, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.cipaonline.org/"} +{"d:Title": "Carolina Winter Ensemble Association", "d:Description": "Features members, contests, percussion, links, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard", "url": "http://www.cwea.us/"} +{"d:Title": "The Purdue All-American Flag Corps", "d:Description": "Pictures and information on the Purdue Flag Corps!", "topic": "Top/Arts/Music/Marching/Colorguard/College", "url": "http://www.angelfire.com/in/PUflagcorps/"} +{"d:Title": "Virginia Tech Colorguard", "d:Description": "Provides pictures, schedules, and multimedia.", "topic": "Top/Arts/Music/Marching/Colorguard/College", "url": "http://www.angelfire.com/myband/mvcolorguard/"} +{"d:Title": "MSU Expression Collegiate Winter Guard", "d:Description": "MSU Expression winter guard competes each year in the Michigan Color Guard Circuit. Our purpose is to perform as an indoor color guard using flags, equipment and dance.", "topic": "Top/Arts/Music/Marching/Colorguard/College", "url": "http://www.msu.edu/~express"} +{"d:Title": "All American Music Festival", "d:Description": "Concert Band, Jazz, Orchestra, Marching, Choral and Color Guard Trips for performances, visits, and competition in Orlando, Florida", "topic": "Top/Arts/Music/Marching/Colorguard/Contests", "url": "http://www.allamericanmusicfest.com/"} +{"d:Title": "Indoor on the Mountain Home Page", "d:Description": "Indoor Percussion, Majorette, Dance/Drill Team, and Indoor color guard competition hosted by the Pocono Mountain Music Boosters in support of the Pocono Mountain Music Program.March 10, 2001, beginning at 1:00 p.m", "topic": "Top/Arts/Music/Marching/Colorguard/Contests", "url": "http://www.angelfire.com/pa4/iotm/"} +{"d:Title": "Electra-Tarp, Floor Covers", "d:Description": "Floors Custom manufactured to any size, various weights and numerous color choices. Heat sealed for the strongest possible bond.", "topic": "Top/Arts/Music/Marching/Colorguard/Equipment", "url": "http://www.electratarp.com/"} +{"d:Title": "Marching Auxiliaries", "d:Description": "Marching Auxiliaries provides camps, contests, and special events for drill teams, dance auxiliaries, band auxiliaries and dance teams. Good resource for drill team directors, band directors, twirlers, and color guard.", "topic": "Top/Arts/Music/Marching/Colorguard/Equipment", "url": "http://www.maux.com/"} +{"d:Title": "Colorguard Floors", "d:Description": "An online source for polyethylene and vinyl gym floor coverings for colorguard, winterguard and percussion activities. Offered in several configurations to meet a wide range of budgets.", "topic": "Top/Arts/Music/Marching/Colorguard/Equipment", "url": "http://www.colorguardfloors.com/"} +{"d:Title": "Marcus High School Band", "d:Description": "Features news, calendar, photos and band information. Flower Mound, Texas.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.marcusband.com/"} +{"d:Title": "ACS Colorguard", "d:Description": "Scottsville High School. Includes winterguard photographs, schedule, instructors, member list, and links.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://acscolorguard.tripod.com/"} +{"d:Title": "Apollo High School Guard", "d:Description": "in Owensboro, KY", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://members.tripod.com/AHS_Guard/"} +{"d:Title": "Bartlett High School Colorguard", "d:Description": "Includes history, alumni, information and links.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://members.tripod.com/~krystal_anna/bhs.html"} +{"d:Title": "Flower Mound High School Color Guard", "d:Description": "Practice schedule, photos, roster, stories, and videos.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://fmcolorguard.tripod.com/"} +{"d:Title": "Glastonbury High School Winterguard", "d:Description": "Glastonbury, Connecticut. Learn more about the Winterguard", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://ghswinterguard.s5.com/"} +{"d:Title": "Houston Colorguard Website", "d:Description": "Houston High's 'A' class colorguard from Germantown, TN.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.members.tripod.com/~carolyn339/Menu.htm"} +{"d:Title": "The PVHS Color Guard Homepage", "d:Description": "Paloma Valley High School, located in Menifee, California. Includes life inside a high school color guard squad, pictures, information, and dates.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.angelfire.com/hi3/pvhscolorguard/"} +{"d:Title": "Red Bank Catholic Colorguard", "d:Description": "Red Bank, NJ Info on the guard, our competitive season, pictures too...", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.angelfire.com/nj4/rbcguard/"} +{"d:Title": "South Carroll High School Color Guard", "d:Description": "Includes performance news, schedules, scores, alumni, and photo gallery.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.angelfire.com/band/southcarrollguard/"} +{"d:Title": "Utopia Winterguard Alumni", "d:Description": "Celebrating the history of Ferndale High Schools Utopia winter guard right up to its 2000 show \"Ballerina\".", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.angelfire.com/stars/utopiaalumni/"} +{"d:Title": "The Greeley West Colorguard Home Page", "d:Description": "Guard site for 2001 featuring members pages, jokes, and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.angelfire.com/stars3/colorguard/"} +{"d:Title": "Liberty High School Band - Auxiliary Units", "d:Description": "Liberty High School Band Auxiliary Units - Danceline, Colorguard, Banner Carriers, and Drum Major.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.wvschools.com/lhsband/results.htm"} +{"d:Title": "DuBois Colorguard", "d:Description": "DuBois is a little town in central Pennsylvania where we compete in TOB (TIDA) chapter 11", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://www.angelfire.com/pa/duboisguard/"} +{"d:Title": "Susquehanna Township HS Colorguard", "d:Description": "The indoor and outdoor guards of Susquehanna Township HS in Harrisburg, Pennsylvania.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://susqtwpguardpa.tripod.com/"} +{"d:Title": "Phantom Color Guard Blog", "d:Description": "Weblog of Phantom Color Guard, Long Island NY.", "topic": "Top/Arts/Music/Marching/Colorguard/High_School", "url": "http://phantomcg.blogspot.com/"} +{"d:Title": "Allusion Winter Guard", "d:Description": "Independent Guard in Thousand Oaks, California. Schedule, results, photos, humor, and links.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://allusion.20m.com/"} +{"d:Title": "AssemblyLine WinterGuard", "d:Description": "A senior guard located in North Carolina. Contains schedule, member information, pictures, and links.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://members.tripod.com/~AssemblyLineWG/"} +{"d:Title": "Signature Color Guard", "d:Description": "Youth winterguard located in Westminster, Colorado Competing in RMCGA, WGI and other regional competitions.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://www.signaturecg.com/"} +{"d:Title": "The Vella Performance Ensemble", "d:Description": "An independent Open Class Winterguard located in Ft. Lauderdale, Fl.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://the_vella.tripod.com/"} +{"d:Title": "First Flight", "d:Description": "Provides member information, news, upcoming events, links, and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://www.first-flight.org/"} +{"d:Title": "Paradigm Winterguard", "d:Description": "Youth guard features past seasons, pictures, current information, forums, and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://www.winterguard.com/"} +{"d:Title": "EKO", "d:Description": "Winterguard which is part of the Presidents Drum and Bugle Corps. Provides history, membership information, links, and contact details.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://www.angelfire.com/tn2/ekogrd/main.html"} +{"d:Title": "CW Ice", "d:Description": "Providing a performance experience to youth eight to twenty one in the junior and world guards. Provides parent and member information, schedule, pictures, links, news, and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://www.angelfire.com/pa/rifles18/"} +{"d:Title": "Northwind Color Guard", "d:Description": "Youth guard provides membership information, season calendar, staff and members, links, and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://northwindcg.tripod.com/"} +{"d:Title": "Unity Winter Ensemble", "d:Description": "Youth guard provides schedule, history, pictures, sounds, shows, members and staff, poetry, audition details, and guestbook.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://www.angelfire.com/music5/unity/"} +{"d:Title": "Aria", "d:Description": "Youth winterguard provides members, schedule, show, links, and audition details.", "topic": "Top/Arts/Music/Marching/Colorguard/Independent", "url": "http://www.ariawinterguard.com/"} +{"d:Title": "DCI Repertoire History", "d:Description": "Includes a searchable database of junior drum and bugle corps repertoires.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps", "url": "http://www.corpsreps.com/"} +{"d:Title": "Drum Corps World", "d:Description": "The main newspaper of the Drum Corps World. Provides scores and reviews of contests.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps", "url": "http://www.drumcorpsworld.com/"} +{"d:Title": "Drumcorps FAQ Index", "d:Description": "Information about Drum Corps, the activity and the rec.arts.marching.drumcorps newsgroup.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps", "url": "http://www.faqs.org/faqs/drumcorps-faq/"} +{"d:Title": "Drum Corp Direct", "d:Description": "A drum corps fan site with sound clips, pictures, and links to many drum corps and percussion sites.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps", "url": "http://www.angelfire.com/al2/purcushion/index.html"} +{"d:Title": "Greg's Drum Corps Experience", "d:Description": "A drum corps site by a member, for members, and anyone else interested in outdoor pagentry. Includes a collection of drum corps themed WinAMP skins.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps", "url": "http://www.angelfire.com/music/drumcorpsfreak/"} +{"d:Title": "Drum Corps Wallpapers", "d:Description": "Collection of files with previews.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps", "url": "http://www.musumeche.com/wallpapers/index.htm"} +{"d:Title": "Drum Corps and More", "d:Description": "New MP3 sound file every other day and links to top junior and senior corps.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps", "url": "http://members.tripod.com/spirit95gt/music.html"} +{"d:Title": "Mighty St. Joe's Alumni Corps, LeRoy, N.Y.", "d:Description": "St. Joseph's Drum Corps was founded in 1931 by the Rev. T. Bernard Kelly, pastor of St. Joseph's Church in Batavia.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.mightystjoes.org/"} +{"d:Title": "Blessed Sacrament Golden Knights", "d:Description": "Alumni corps competing in DCA. Sounds, links, pictures, calendar, history, contact information, and related links.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.bsgoldenknights.org/"} +{"d:Title": "Steel City Ambassadors Drum and Bugle Corps", "d:Description": "Organization formed to bring the power and excitement of drum and bugle corps back to the Pittsburgh pa. area.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.steelcityambassadors.org/"} +{"d:Title": "Archer Epler Musketeers", "d:Description": "Alumni corps from Pennsylvania which features schedules, links, photos, corps history, and guestbook.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.monmouth.com/~bpsb4a"} +{"d:Title": "Florida Brass Alumni Drum and Bugle Corps", "d:Description": "Corps shares its history, links, schedules, photos, and guestbook.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.floridabrass.org/"} +{"d:Title": "Reilly Raiders Alumni Drum and Bugle Corps", "d:Description": "Originally founded in 1946 by a group of World War II veterans. 1994 saw the formation of the current \"Alumni Corps\", consisting of past members complimented by a younger breed of Reilly families and friends.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.reillyraiders.org/"} +{"d:Title": "Skyliners Alumni Drum and Bugle Corps", "d:Description": "Exhibition corps made up primarily of former marching members of the New York Skyliners Drum and Bugle Corps.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.skyalumni.org/"} +{"d:Title": "Hanover Lancers Drum and Bugle Corps", "d:Description": "Hanover, Pennsylvania", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://hanoverlancers.com/"} +{"d:Title": "Connecticut Alumni Drum&Bugle Corps", "d:Description": "Noncompetitive all ages corps performing in parades, concerts and exhibitions throughout the northeast United States. Features schedules, corps roster and image galleries.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.ctalumni.org/"} +{"d:Title": "Park City Pride Combined Alumni Drum and Bugle Corps", "d:Description": "Exhibition and parade corps based in Connecticut. Corps history, image galleries, videos, rehearsal and event schedules.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Alumni_Corps", "url": "http://www.theparkcitypride.org/"} +{"d:Title": "Black Watch Highland Regiment", "d:Description": "Corps history page for a Seattle-based Drum and Bugle Corps that last marched in 1982.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Inactive_Corps", "url": "http://www.doser.net/cathy/BlackWatch.html"} +{"d:Title": "The Knights", "d:Description": "Includes historical information, alumni email list, multimedia, scores, and show music.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Inactive_Corps", "url": "http://www.knightscorps.org/"} +{"d:Title": "Dutch Boy Drum&Bugle Corps", "d:Description": "A corps from Kitchener-Waterloo, Ontario, Canada. Corps history, membership information, forums, section pages, links, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Inactive_Corps", "url": "http://www.dutchboydrumcorps.com/"} +{"d:Title": "Pacific Crest Youth Arts Organization", "d:Description": "Division II corps located in Diamond Bar, California offers schedule of performances and rehearsals, corps news, member and alumni information, and an audition FAQ.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.pacific-crest.org/"} +{"d:Title": "Bluecoats", "d:Description": "Read about the Bluecoats' performances, camps, auditions, alumni and history. Meet the corps on the forums or contact the director.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.bluecoats.com/"} +{"d:Title": "Cavaliers", "d:Description": "View photos and listen to audio clips of this all boys Illinois drum corps. Includes news, a message board, and an alumni database. Learn how to become a member.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.cavaliers.org/"} +{"d:Title": "Phantom Regiment", "d:Description": "Division I corps from Rockford, IL. The group is known for its performances of classical music.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.regiment.org/"} +{"d:Title": "Santa Clara Vanguard", "d:Description": "Group in Santa Clara, California, offers show schedules for its A Corps and its Cadet Corps. Find forums and an alumni directory.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.scvanguard.org/"} +{"d:Title": "Raiders Drum and Bugle Corps", "d:Description": "Division II/III corps from Wayne, New Jersey. Includes membership information, schedules and multimedia.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.raidersdbc.org/"} +{"d:Title": "The Colts Online", "d:Description": "Division I corps from Dubuque, Iowa.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.colts.org/"} +{"d:Title": "Sacramento Mandarins", "d:Description": "Discover this California based division one corps which provides membership information, tour and rehearsal schedules, guestbook, sound clips, forums, links, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.mandarins.org/"} +{"d:Title": "Blue Knights", "d:Description": "Offers multimedia files, membership information, and a schedule. [Denver, Colorado]", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.bknights.org/"} +{"d:Title": "Jersey Surf", "d:Description": "Division II corps located in Berlin, New Jersey shares membership info, contact details, multimedia presentations of performances, schedule, and staff profiles.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.jerseysurf.org/"} +{"d:Title": "Madison Scouts", "d:Description": "An all boys corps based in Madison, Wisc., presents its history, photos and sound clips, along with details about competitions. Learn how to join.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.madisonscouts.org/"} +{"d:Title": "Oregon Crusaders", "d:Description": "Learn about this division three corps which presents member information, staff profiles, schedules, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.oregoncrusaders.org/"} +{"d:Title": "Seattle Cascades", "d:Description": "Official site offers audio files, news, photos, membership details, forums, the history of the corps, and a schedule.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.seattlecascades.org/"} +{"d:Title": "Spartans", "d:Description": "Division two corps located in New Hampshire. Offers corps information, calendar, membership, contact details, and message boards.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.spartansdbc.org/"} +{"d:Title": "Spirit of Jacksonville State", "d:Description": "From Jacksonville, Alabama. Includes tour schedule, audition information, and previous season multimedia.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.spiritdrumcorps.org/"} +{"d:Title": "Pioneer", "d:Description": "Homepage for this drum corps based in Milwaukee, Wisconsin, offers news, message boards, membership information, and history of the corps.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.pioneer-corps.org/"} +{"d:Title": "Americanos", "d:Description": "Division II corps located in Menasha, Wisconsin, provides membership details, contacts, multimedia presentations, and a staff directory.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.canos.org/"} +{"d:Title": "Carolina Crown", "d:Description": "Learn about this division one drum corps which presents news, membership information, forums, links, multimedia, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.carolinacrown.org/"} +{"d:Title": "Blue Stars", "d:Description": "Division I corps from La Crosse, Wisconsin, provides membership information, news, staff list, forums, and email newsletter", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.bluestars.org/"} +{"d:Title": "Blue Devils", "d:Description": "Corps fields three divisions. Presents audition information, forums, links, multimedia, merchandise and schedules. Based in Concord, California, USA.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://bluedevils.org/"} +{"d:Title": "Crossmen Drum and Bugle Corps", "d:Description": "Division one corps based in San Antonio, Texas. Features schedules, membership information, links, multimedia and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.crossmen.org/"} +{"d:Title": "The Blue Saints", "d:Description": "Corps located in Ontario, Canada accepts young musicians ten to twenty one. No auditions or experience is required. Presents forums, events, links, corps history, alumni page, and member information.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.bluesaints.com/"} +{"d:Title": "Lake Erie Regiment", "d:Description": "Division II corps provides member and alumni information, photos, news, schedule, scores, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.leregiment.org/"} +{"d:Title": "Boston Crusaders", "d:Description": "Massachusetts organization presents news, history, forums, a photo album, and membership e-mail list for this division one corps.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Junior_Corps", "url": "http://www.bostoncrusaders.com/"} +{"d:Title": "Drum Corps Associates", "d:Description": "Provides an organization for senior drum and bugle corps with rules and regulations which provide for continuous progress and growth for senior corps in the USA and Canada. HQ is based in Monmouth Beach NJ.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Organizations", "url": "http://www.dcacorps.org/"} +{"d:Title": "Drum Corps United Kingdom", "d:Description": "Organization for the Drum Corps activity in the UK.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Organizations", "url": "http://www.dcuk.org.uk/"} +{"d:Title": "Drum Corps International", "d:Description": "Non-profit organization servicing the world's junior drum and bugle corps and related activities.", "priority": "1", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Organizations", "url": "http://www.dci.org/"} +{"d:Title": "Cincinnati Summer Music Games Drum Corps Show", "d:Description": "Non-profit organization hosting a Drum Corps International competition in Fairfield near Cincinnati, Ohio. The site contains information on the event including tickets, location, and corps performing.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Organizations", "url": "http://www.summermusicgamesincincinnati.org/"} +{"d:Title": "Emerald City Music Games", "d:Description": "Non-profit organization hosting a Drum Corps International competition in Dublin near Columbus, Ohio. The site contains information on the event including tickets, location, and corps performing.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Organizations", "url": "http://www.emeraldcitygames.org/"} +{"d:Title": "Crossmen Alumni Association", "d:Description": "Formed to support the marching unit and to maintain social interaction of former members. Includes news, historical archives, membership information and current roster, and details of the Crossmen Hall of Fame.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Organizations/Alumni", "url": "http://www.crossmenalumni.org/"} +{"d:Title": "Kiwanis Kavaliers Alumni", "d:Description": "Features an alumni list, forum, images, video and audio files, alumni accomplishments, and repertoires from 1972-2005.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Organizations/Alumni", "url": "http://www.kavaliers-alumni.org/"} +{"d:Title": "Mighty St. Joe's Alumni Corps Home Page", "d:Description": "After 20 years of inactivity, in 1991 former members revived the Corps, and membership is open to anyone 21 or older. LeRoy, NY is where they call home.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.mightystjoes.org/"} +{"d:Title": "Reilly Raiders Alumni Drum and Bugle Corps", "d:Description": "Originally founded in 1946 by a group of World War II veterans. 1994 saw the formation of the current \"Alumni Corps\", consisting of past members complimented by a younger breed of Reilly families and friends.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.reillyraiders.org/"} +{"d:Title": "Kilties Drum and Bugle Corps", "d:Description": "All ages corps in Racine, Wisconsin. Includes schedule, membership application, scores and placement dating to 1953.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.kilties.com/"} +{"d:Title": "Bushwackers Drum&Bugle Corps, Harrison, NJ", "d:Description": "6 Time DCA World Champions. Our commitment is to Quality and Innovation. Based in Harrison, NJ, the corps has drawn members from the Tri-State area, the Mid-west, and Canada.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.bushwackers.org/"} +{"d:Title": "Renegades Drum and Bugle Corps", "d:Description": "A San Francisco, California-based senior corps. Loud Is Good, and they proved it by taking the 2001 DCA MiniCorps championship.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.renegades.org/"} +{"d:Title": "Cincinnati Tradition Senior Drum and Bugle Corps", "d:Description": "Ohio Senior Corps actively performing in the Cincinnati, Centerville, and the Columbus areas.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.cincinnatitradition.org/"} +{"d:Title": "Carolina Gold Senior Drum and Bugle Corps", "d:Description": "Senior Drum and Bugle Corps serving the communities of NC and VA. They perform exhibitions, parades, and compete a limited DCA circuit, of which includes the Labor Day Weekend MiniCorps.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.carolinagold.org/"} +{"d:Title": "Muchachos Sr Drum&Bugle Corps, Manchester NH", "d:Description": "Originally formed in 1960 as the Manchester Boys Club Drum and Bugle Corps. They reformed in 1996 as the Muchachos Senior Drum and Bugle Corps, and perform between 10 and 15 parades or exhibitions per year. Membership is open to anyone over the age of 13.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.muchachos.org/"} +{"d:Title": "Lancraft Fife&Drum Corp.", "d:Description": "Founded in 1888, Lancraft Fife and Drum Corps carries on the traditions of American historical music.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.lancraftfd.com/"} +{"d:Title": "Chops Inc. - Drum Corps&More", "d:Description": "Based in Minneapolis, MN. Performances include parades and field show competitions. Provides news, schedules, links, and contact information.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.chopsinc.com/"} +{"d:Title": "Govenaires Senior Drum and Bugle Corps", "d:Description": "The Official Home Page for the Govenaires Senior Drum and Bugle Corps, the oldest competing Senior Drum and Bugle Corps in the world.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.govenaires.org/"} +{"d:Title": "The Danbury Drum Corps", "d:Description": "Modern marching drum corps from Danbury Ct. We perform in the New England area in many fireman's parades. We just celebrated our 75th anniversary.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.danburydrumcorps.com/"} +{"d:Title": "Reading Buccaneers", "d:Description": "From Reading, PA. The Bucs won the first DCA Championship ever held (1965) and have been DCA Champions three other times (1968, 1979 and 1980)", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.readingbuccaneers.org/"} +{"d:Title": "White Sabers Drum and Bugle Corps", "d:Description": "Includes alumni and membership information, calendar, announcements, links, history, music, multimedia, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://whitesabers.org/"} +{"d:Title": "LakeShoremen Drum&Bugle Corps", "d:Description": "Corps provides music, section pages, schedule, news, members' area, and information about how to join.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.lakeshoremen.org/"} +{"d:Title": "Bayou City Blues", "d:Description": "Corps from Louisiana presents schedules, news, corps history, pictures, sounds, staff profiles, membership information, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.bayoucityblues.com/"} +{"d:Title": "Alliance", "d:Description": "New all age corps from Atlanta, Georgia. Includes schedules, staff profiles, news, membership information, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.alliancedrumcorps.org/"} +{"d:Title": "Hamilton Firefighters Drum Corps", "d:Description": "A Senior Parade and Concert Drum Corps with 60 men and women from 19 to 74 years of age. Based in Hamilton, Ontario, Canada.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://pages.ca.inter.net/~fifight/"} +{"d:Title": "Excelsior", "d:Description": "New York based corps offers corps history, staff profiles, pictures, links, membership information, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://excelsiordrumandbuglecorps.com/"} +{"d:Title": "The Bridgemen", "d:Description": "Corps for members twenty one and up features history, audition information, forums, performance and rehearsal schedules, news, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://bridgemen.com/"} +{"d:Title": "Hawthorne Caballeros Senior Drum Bugle Corps.Hawthorne, N.J.", "d:Description": "DCA World Champions 8 times, the American Legion National Title 15 times and the New Jersey State American Legion Title 43 times.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://www.hawthornecaballeros.org/"} +{"d:Title": "Minnesota Brass Inc.", "d:Description": "The Midwest's premier senior drum and bugle corps, and has been for nearly two decades. And now MBI is fifth place in DCA national competition.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://mnbrass.org/"} +{"d:Title": "Connecticut Hurricanes Drum&Bugle Corps", "d:Description": "Provides membership information, corps history, competition results, links, upcoming events, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://cthurricanes.org/"} +{"d:Title": "Crusaders Senior Drum and Bugle Corps", "d:Description": "Boston, Massachusetts. Rehearsal schedule, directions, and photographs.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/Senior_Corps", "url": "http://bacsenior.publishpath.com/"} +{"d:Title": "Jubal Drum&Bugle Corps", "d:Description": "Dordrecht, Holland", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://www.jubal.org/"} +{"d:Title": "Starriders Drum&Bugle Corps", "d:Description": "from Bad Muender/Germany", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://www.starriders.de/"} +{"d:Title": "Blue Diamonds Drum&Bugle Corps Nienhagen/ Germany", "d:Description": "The Blue Diamonds DBC march and play since Founded in 1984, this German Drum and Bugle Corps performs in the American tradition.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://www.blue-diamonds.de/"} +{"d:Title": "Beatrix Drum and Bugle Corps", "d:Description": "From Hiversum, Holland. [English Page]", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://www.beatrix.org/"} +{"d:Title": "Blue Diamonds Drum&Bugle Corps Germany", "d:Description": "Blue Diamonds are a Drum and Bugle Corps in Nienhagen, Germany since 1984.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://blue-diamonds.org/"} +{"d:Title": "37th Kingswood Drum&Bugle Corps", "d:Description": "From Bristol, England", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://www.37th.co.uk/"} +{"d:Title": "East Coast Elite Brass&Percussion Corps", "d:Description": "Corps from Lincolnshire, England presents history, pictures, membership information, links, and contact details.", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://www.eastcoastelite.org/"} +{"d:Title": "Southern Knights", "d:Description": "One of the oldest established Drum Corps/Marching Band organisations in the United Kingdom", "topic": "Top/Arts/Music/Marching/Drum_and_Bugle_Corps/World_Corps/Europe", "url": "http://www.southernknights.org.uk/"} +{"d:Title": "Fred J. Miller Inc.", "d:Description": "Supplies for Marching Bands, Color Guards, Drum Corps and other pageantry units", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.fjminc.com/"} +{"d:Title": "World of Pageantry", "d:Description": "Marching arts newspaper which presents articles, forums, events, news, and links.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://worldofpageantry.com/"} +{"d:Title": "McCormick's Enterprises, Inc.", "d:Description": "A supplier of marching equipment and music. In Arlington Heights, IL.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.mccormicksnet.com/"} +{"d:Title": "DeMoulin", "d:Description": "Provides uniforms and formal wear to high school and college marching bands, drum corps, drum majors, concert bands and color guard.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.demoulin.com/"} +{"d:Title": "Band Shoppe", "d:Description": "Supplier of marching band supplies and uniforms.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.bandshoppe.com/"} +{"d:Title": "Peacock Marching World", "d:Description": "Supplies for all aspects of marching band. Colorguard, percussion, directors, drum majors, uniforms, equipment, and drill instruction.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.marchingworld.com/"} +{"d:Title": "BandUSA Music Store", "d:Description": "Large database of wind and percussion instruments, instrument accessories, and instrument information.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.bandusa.com/"} +{"d:Title": "Jolesch Photography", "d:Description": "Nationwide photographic service for special events and large groups. Specialties include music festivals and marching band competitions.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.jolesch.com/"} +{"d:Title": "American Band", "d:Description": "Supplier of marching band uniforms and supplies.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.americanband.com/"} +{"d:Title": "Fruhauf Uniforms", "d:Description": "Manufacturer of band uniforms and accessories.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.fruhauf.com/"} +{"d:Title": "The Bandmans Company", "d:Description": "Uniforms and accessories for marching bands and front line units.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.bandmans.com/"} +{"d:Title": "Stanbury Uniforms", "d:Description": "Uniforms for band, drum majors, and colorguard.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.stanbury.com/"} +{"d:Title": "Tote Unlimited", "d:Description": "Manufacturer of soft sided travel bags. Product line includes garment bags, tote bags, accessory bags, hat buckets, ponchos, and bleacher covers.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.toteunlimited.com/"} +{"d:Title": "Earl C. Benson&Associates", "d:Description": "Distributors of accessories and footwear for marching band, drum corps, guard, and show choirs.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.ecbensonassociates.com/"} +{"d:Title": "Ictus Limited", "d:Description": "Marching band and military school equipment and supplies.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.ictuslimited.com/"} +{"d:Title": "Fear Nothing Music Gear", "d:Description": "Apparel and accessories for music students, teachers and parents.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.fearnothingmusicgear.com/"} +{"d:Title": "Designs By King", "d:Description": "Delivers custom sabres as well as other products related to the world of pageantry arts.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.designsbyking.com/"} +{"d:Title": "Drillmaster Corporation", "d:Description": "Marching shoes featuring the Rolled-Heel.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.drillmaster.com/"} +{"d:Title": "Jarvis Industries, Inc.", "d:Description": "Specializing in marching band equipment ranging from percussion carts to drum major podiums.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.jarvisonline.com/"} +{"d:Title": "Smith Walbridge", "d:Description": "Marching band summer camps and clinics. Also, online catalog of accessories for band, orchestra and choir.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.swclinics.com/"} +{"d:Title": "Blazer Badges", "d:Description": "Manufacturer of badges and uniform accessories for pipe bands. Includes product listing and contact information.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.uniportcorp.com/"} +{"d:Title": "K12 Outfitters", "d:Description": "Offers custom T-shirts, jackets and other sportswear to middle and high school marching bands and music departments.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.k12outfitters.com/"} +{"d:Title": "Jay C. Rees Arrangements", "d:Description": "Contemporary music arrangements by Jay C. Rees for high school and university marching/pep bands.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.jayrees.com/"} +{"d:Title": "North Music Custom Marching Arrangements", "d:Description": "North Music provides custom marching band arrangements designed to fit your program's strengths and philosophy.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.northmusiconline.com/"} +{"d:Title": "The Band Hall", "d:Description": "Custom flags, uniforms, and accessories.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.thebandhall.com/"} +{"d:Title": "The Guard Room", "d:Description": "A consignment and resale service for specializing in used color guard equipment such as flags, rifles, and uniforms. Also includes band and drum corps marching accessories.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.theguardroom.com/"} +{"d:Title": "The George N. Parks Drum Major Academy", "d:Description": "Helping young leaders in band and Drum and Bugle Corps develop conducting and marching skills, teaching techniques, communication and leadership ability", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.drummajor.org/"} +{"d:Title": "Northeast Band Supply Company", "d:Description": "Offers marching band, colorguard and drum corps uniforms, footwear, headwear, auxiliary unit equipment and accessories.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.northeastbandsupply.com/"} +{"d:Title": "Band Towers Unlimited", "d:Description": "Band towers: fixed or portable, with ladder or stairs. Custom design and installation services are available.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources", "url": "http://www.bandtowers.com/"} +{"d:Title": "Drillhead", "d:Description": "Provides custom arranging, visual design, and consultation for marching bands. Samples are available online.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.angelfire.com/oh/drillhead98/"} +{"d:Title": "Dan Ryder Field Drills", "d:Description": "This company has been designing drills for bands and selling books about designing your own drills since 1976.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.danryderfielddrills.com/"} +{"d:Title": "Drillcomp", "d:Description": "Marching band drill designs for competitive and non-competitive bands.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.drillcomp.com/"} +{"d:Title": "Marching Concepts", "d:Description": "Drill design company specializing in custom drill designs and show packages.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.msconcepts.com/"} +{"d:Title": "RMS Visual Designs", "d:Description": "Offers marching band drills for high school and college bands of all sizes that are easy to teach.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://marchingdrill.com/"} +{"d:Title": "Jericho Show Design", "d:Description": "Custom marching band drill design since 1988. Specializing in \"Stress Relief\" for band directors.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.jerichoshowdesign.com/"} +{"d:Title": "Nikk Nakks Music", "d:Description": "Custom music arrangements, transcriptions, and original music.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.nikknakks.net/"} +{"d:Title": "Band Tek", "d:Description": "Custom show and drill design by Victor Neves. Includes collections of links to bands, booster bylaws, handbooks, and music education resources.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.bandtek.com/"} +{"d:Title": "Andrew Ebert Design", "d:Description": "Drill design, staging, flow charts, and show design for all branches of the pageantry activity.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.andrewebertdesign.com/"} +{"d:Title": "Show Designs by Dave A. Parsons", "d:Description": "Offering drill design and instruction for competitive marching bands, drum corps and indoor marching ensembles.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://www.showdesignsbydavid.com/"} +{"d:Title": "Pygraphics, Inc.", "d:Description": "Software for music educators ranging from drill design to student assessment.", "topic": "Top/Arts/Music/Marching/Equipment_and_Resources/Drill_Design", "url": "http://pyware3d.com/"} +{"d:Title": "University of Massachusetts Marching Band", "d:Description": "The Power and Class of New England, the Minuteman Marching Band is one of the finest collegiate marching bands in the East.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.umass.edu/band/"} +{"d:Title": "East Carolina University Marching Pirates", "d:Description": "The Marching Pirates, under the direction of Dr. Christopher Knighten, includes schedule, repertoire, and information about joining the band.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.ecu.edu/band"} +{"d:Title": "Morgan State University Marching Band", "d:Description": "Baltimore, Maryland based band; under the direction of Melvin N. Miles. News, staff information, sound clips, calendar, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.msuband.org/"} +{"d:Title": "The Pennsylvania State University Marching Blue Band", "d:Description": "Located in State College, under the direction of Dr. Richard Bundy. History, traditions, sections, staff information, school songs, schedule, and audition details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://blueband.psu.edu/"} +{"d:Title": "University of Nebraska", "d:Description": "The Cornhusker Marching Band, under the direction of Dr. Carolyn Barber. Ensemble information, auditions, schedule, photos, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.unl.edu/band/"} +{"d:Title": "University of Michigan Marching Band", "d:Description": "Members and staff section. Also merchandise, season calendar and history information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://mmb.music.umich.edu/"} +{"d:Title": "University of Minnesota Marching Band", "d:Description": "The Pride of Minnesota, details history and traditions, and features membership information, news, schedule, school song lyrics, image galleries and video clips.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.music.umn.edu/marchingband/"} +{"d:Title": "University of Southern California Trojan Marching Band", "d:Description": "The Spirit of Troy, under the direction of Arthur C. Bartner, details history, television and recording performances, and world tours. Includes image, audio and video files, and information for alumni, current and future members.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.usc.edu/dept/band/"} +{"d:Title": "Texas A&M University Band", "d:Description": "Aggie information, members, photos, and stories. Downloadable songs and CDs are available.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.aggieband.com/"} +{"d:Title": "Stanford University Band", "d:Description": "The Leland Stanford Junior University Marching Band (LSJUMB)is known for its scatter-style performances of non-traditional scripts. Features humorous details organized according to the seven deadly sins.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.stanford.edu/group/lsjumb/"} +{"d:Title": "James Madison University Marching Royal Dukes", "d:Description": "Nicknamed Virginia's Finest, under the direction of Pat Rooney, includes membership information, band camp details, performance schedule, image galleries and audio and video files. Features annually hosted high school Parade of Champions.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.jmu.edu/music/mrd/"} +{"d:Title": "Delaware State University", "d:Description": "The Approaching Storm, under the direction of Mr. Randolph J. Johnson, offers band membership form, picture gallery and band roster.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.angelfire.com/de/Dsuband/index.html"} +{"d:Title": "University of Virginia Pep Band", "d:Description": "Information on pep marching, schedules, officers, sections, news and show scripts. Also offers CD for sale.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://scs.student.virginia.edu/~pepband/"} +{"d:Title": "Dartmouth College Marching Band", "d:Description": "Under the direction of Max Culpepper, is the oldest band in the Ivy League, includes schedule of events, sound files and information on how to join the band.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.dartmouth.edu/~dcmb/"} +{"d:Title": "Western Michigan University Bronco Marching Band", "d:Description": "The Sound of Western, under the direction of Robert Spradling and David Montgomery, includes news, schedules, members-only area, image galleries and audio clips.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.wmich.edu/marchingband/"} +{"d:Title": "University of Wisconsin Badger Band", "d:Description": "Details history and traditions and includes staff profiles, awards, image galleries, schedule, and school song lyrics with audio files. Includes membership application and online purchasing of recordings and accessories.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.badgerband.com/"} +{"d:Title": "Morris Brown College Marching Wolverines", "d:Description": "Under the Direction of Eddie Ellis, includes image galleries featuring the Bubblin Brown Sugar dance line and highlights from the Honda Battle of the Bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://morrisbrown.tripod.com/"} +{"d:Title": "University of South Florida Herd of Thunder", "d:Description": "Under the direction of Michael C. Robinson. Lists staff and features history, news, calendar, photo galleries and information about show and pep bands, drumline, and colorguard.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://band.arts.usf.edu/"} +{"d:Title": "Western Illinois University Marching Leathernecks", "d:Description": "Under the direction of Mike Fansler. Details history, schedule, and includes member handbook, image galleries, sound files, and information about the Wranglers dance troop and MB Classic competition.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.wiu.edu/marchingband"} +{"d:Title": "Williams College Mucho Macho Moocow Marching Band", "d:Description": "Humorous \"military precision scatter marching band\" fielding classic and non-traditional instruments such as kazoos and violins. Includes history, show scripts, cheers, photo galleries, audio and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://wso.williams.edu/orgs/moocow"} +{"d:Title": "Jacksonville State University Marching Southerners", "d:Description": "Under the direction of Kenneth G. Bodiford, featuring information about the horn- and drumlines, colorguard and Marching Ballerinas. Lists staff and includes news, photos, audio files, video and forum.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.marchingsoutherners.org/"} +{"d:Title": "The Human Juke Box", "d:Description": "Unofficial Southern University site featuring image galleries and audio clips from 1994 to 2002.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.humanjukebox.com/"} +{"d:Title": "University of Texas Longhorn Band", "d:Description": "The Showband of the Southwest under the direction of Robert Carnochan, features audition information, news, schedule, history, pictures, video, and audio clips.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://lhb.music.utexas.edu/"} +{"d:Title": "Honda Battle of the Bands", "d:Description": "National tour and invitational showcase featuring America's historically black college and university marching bands. Lists participating schools and includes schedule, ticket information, online poll, video excerpts and image galleries.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.hondabattleofthebands.com/"} +{"d:Title": "Oregon State University Marching Band", "d:Description": "Under the direction of Brad Townsend, details staff, schedule, scholarships, members' handbook and includes audio and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://osumb.oregonstate.edu/"} +{"d:Title": "Southern Methodist University Mustang Band", "d:Description": "Details history and traditions, and includes FAQs, membership application, staff profiles, audio files, and activities of their official fund raising organization, the Diamond M Club.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://people.smu.edu/band/"} +{"d:Title": "Troy University Bands", "d:Description": "The Sound of the South, under the direction of Ralph Ford, provides details on auditions, scholarships, band camp, and history. Includes appearance schedules, sample sound files and Southeastern United States Band Clinic and Honor Band information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.soundofthesouth.org/"} +{"d:Title": "Riverside Community College Bands", "d:Description": "The Marching Tigers, under the direction of Gary Locke, details information about registration, past and current performances, and winter season percussion and colorguard programs.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.rccband.org/"} +{"d:Title": "Marquette University Bands", "d:Description": "Details information about the symphonic, pep and jazz bands. Features events, alumni, and historical information as well as music clips.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.marquette.edu/band"} +{"d:Title": "University of Pittsburgh Varsity Marching Band", "d:Description": "Photo gallery, MP3 music, history, and information about joining the band, which performs at all Pitt Panthers football games.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.pittband.com/"} +{"d:Title": "Midwestern State University Band", "d:Description": "Information about activities, events and courses.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://finearts.mwsu.edu/music/band/index.asp"} +{"d:Title": "Oberlin College Marching Band", "d:Description": "The Marching Band of Borg, a student-run organization, features history, lists current members and includes image galleries and fight song lyrics.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.oberlin.edu/stuorg/OCMB/"} +{"d:Title": "The Ohio State University Marching Band", "d:Description": "Pride of the Buckeyes, under the direction of Jon R. Woods, features history, traditions, and staff profiles. Includes registration for tryouts, performance schedule, and sales and downloads of recordings.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://tbdbitl.osu.edu/"} +{"d:Title": "Eastern Michigan University Bands", "d:Description": "The Pride of the Peninsula, under the direction of Scott Boerma. Features membership and scholarship details, includes schedule and news about alumni, Tau Beta Sigma and Kappa Kappa Psi chapters.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.emich.edu/music/bands/index.html"} +{"d:Title": "Stephen F. Austin State University Band", "d:Description": "The Marching Lumberjacks, under the direction of David Campo, perform in both traditional and drum corps styles. Includes audition information for winds, drum line, color guard and Twirl-O-Jacks and features image galleries and Alumni Band activities.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.music.sfasu.edu/lumberjack/"} +{"d:Title": "The UCLA Bruin Marching Band:", "d:Description": "The Solid Gold Sound, under the direction of Gordon Henderson, features audition requirements, history and media appearances, image galleries and alumni information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.uclaband.com/"} +{"d:Title": "Vanderbilt University Band", "d:Description": "Provides information about the Spirit of Gold Marching Band and other school wind ensembles. Includes application, fraternal organization links, drum line parts and details about the Vanderbilt Marching Invitational Competition.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.vanderbilt.edu/vuband/"} +{"d:Title": "Villanova University Band", "d:Description": "A scramble-style organization which accept all student members regardless of musical ability. Lists staff and includes information for prospective members.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.vuband.com/"} +{"d:Title": "Michigan State University", "d:Description": "The Spartan Marching Band, under the direction of John T. Madden. Includes a brief history, information on auditions, staff member profiles, image galleries, audio and video files and interactive games for kids.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.spartanband.net/"} +{"d:Title": "Louisiana Tech Band", "d:Description": "The Band of Pride, under the direction of Jim Robken, lists faculty, leadership team, roster, schedules and includes syllabus.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://performingarts.latech.edu/music/band/"} +{"d:Title": "Iowa State University Cyclone Football \"Varsity\" Marching Band", "d:Description": "Under the direction of Matthew Smith, includes staff profiles, membership information, FAQ, image galleries, and audio and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.music.iastate.edu/org/marching/"} +{"d:Title": "University of Houston", "d:Description": "The Spirit of Houston under the direction of David Bertman, includes history, schedule, photo galleries, and membership, band camp and staff information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.uhbands.com/"} +{"d:Title": "The Liberty University Marching Band", "d:Description": "Brass, woodwind and percussion instrumentalists accompanied by a full colorguard.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.liberty.edu/index.cfm?PID=812"} +{"d:Title": "Western Carolina University Marching Band", "d:Description": "The Pride of the Mountains, director John T. West. Staff profiles, calendar, clinic info, member handbook, FAQ.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.prideofthemountains.com/"} +{"d:Title": "University of Maryland Marching Band", "d:Description": "250-member \"Mighty Sound of Maryland\" Marching Band.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.music.umd.edu/ensembles/bands/marching_band/"} +{"d:Title": "Elon University", "d:Description": "The Fire of the Carolinas, under the direction of Tony Sawyer, includes staff member profiles, schedule, image gallery and audio and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://org.elon.edu/bands/FOTC/FOTC%20index.htm"} +{"d:Title": "North Dakota State University Gold Star Band", "d:Description": "Includes band history, image galleries and video files. Formation plans and audition sheet music.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.goldstarband.org/"} +{"d:Title": "University of Hawaii Rainbow Marching Band", "d:Description": "Featuring pictures and live symphonic recordings. Also information on the different bands and directors.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.uhband.hawaii.edu/"} +{"d:Title": "Cornell University", "d:Description": "Big Red Marching Band. Contains photographs, traditions, history, alumni, membership details, and news items", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://mb.bigredbands.org/"} +{"d:Title": "Duke University Marching&Pep Band", "d:Description": "Affectionately known as DUMB, includes roster, history, sound files, picture gallery and schedule of appearances.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.dukeband.org/"} +{"d:Title": "WSU Cougar Marching Band Homepage", "d:Description": "A select group of more than 190 musicians who perform at all home football games.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://wsu.edu/~cmb/"} +{"d:Title": "Indiana University", "d:Description": "The Marching Hundred, under the direction of David C. Woodley, includes staff profiles, history, recordings, show database, and membership information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.indiana.edu/~bands/"} +{"d:Title": "The 5th Quarter", "d:Description": "Showcases the talents of marching bands at Historically Black Colleges and Universities (HBCU's). Includes sound files, and discussion boards.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://forum.the5thquarter.com/"} +{"d:Title": "Brown University Band", "d:Description": "Members and alumni, band roster, pictures, schedule of events, shows, songs and visiting band information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://students.brown.edu/band/"} +{"d:Title": "The University of Notre Dame Band", "d:Description": "Official site of the band of the Fighting Irish, under the direction of Ken Dye. Includes history, traditions, requirements, audio and image files, information on performances, membership, and merchandise.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.ndband.com/"} +{"d:Title": "Ohio University Marching 110", "d:Description": "Under the direction of Richard Suk, details history and performance highlights. Includes image gallery, audio and video files, and alumni information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://marching110.squarespace.com/"} +{"d:Title": "University of California at Berkeley Band", "d:Description": "The University of California Marching Band is one of the oldest college marching bands on the West Coast with over 100 years of tradition", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://calband.berkeley.edu/"} +{"d:Title": "The Princeton University Band", "d:Description": "A student-run scramble-style group nicknamed the PUB. Includes history, forty years of satiric halftime and pregame scripts, membership information, alumni news, and image and audio files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://webscript.princeton.edu/~puband/"} +{"d:Title": "Virginia Tech University Marching Band", "d:Description": "The Marching Virginians, under the direction of Dave Kee, features information about band camp, history, current activities and includes schedule, image galleries, and member handbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://spiritoftech.music.vt.edu/"} +{"d:Title": "Massachusetts Institute of Technology Marching Band (MIT)", "d:Description": "Volunteer-run organization performing at football and hockey games. Includes history, schedule, image files and lyrics to fight song \"We Are The Engineers\".", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.mit.edu/activities/marching-band/"} +{"d:Title": "University of Wisconsin-Whitewater Warhawk Marching Band", "d:Description": "Nicknamed Wisconsin's Finest, under the direction of Glenn C. Hayes. Features membership information, schedule, history, staff profiles, photos, video clips and sound files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.warhawkmarchingband.com/"} +{"d:Title": "Texas Tech University", "d:Description": "The Goin' Band from Raiderland, under the direction of Christopher Anderson. Includes calendar, FAQs, band-related links, section leaders and staff, history (including Famous Firsts) and traditions, CDs and videos for sale, historical RealAudio files and photographs.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.orgs.ttu.edu/goinband/"} +{"d:Title": "Florida State University", "d:Description": "The Marching Chiefs, under the direction of Dr. Patrick Dunnigan, offers music and video files, audition details, current events, and band history.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://fsuchiefs.com/"} +{"d:Title": "Northwestern University Marching Band (NUMB)", "d:Description": "The Wildcat Marching Band under the direction of Mallory Thompson, features news, history, staff profiles, recruitment details, and roster. Includes image galleries and audio files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://northwesternbands.org/numb/"} +{"d:Title": "Boston University Bands", "d:Description": "Director Aaron Goldberg. Staff profiles, history, policies, band camp info, calendar. Links to Kappa Kappa Psi and Tau Beta Sigma chapters.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.bu.edu/bands"} +{"d:Title": "University of Alabama Million Dollar Band", "d:Description": "Under the direction of Ken Ozzello, provides details about staff members, history, auditions, scholarships, band camp and auxiliary units. Includes image galleries and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://bands.ua.edu/ensembles/million-dollar-band/"} +{"d:Title": "Rutgers University Marching Scarlet Knights", "d:Description": "The Pride of New Jersey, under the direction of Timothy Smith, describes history, traditions, membership information, and band camp overview. Includes schedule, image galleries and audio and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.scarletknights.com/band/"} +{"d:Title": "Northern Illinois University Huskie Marching Band", "d:Description": "The Pride of the Midwest, under the direction of Lawrence Stoffel, features staff profiles, history, audio files and appearance schedule.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.niu.edu/band/"} +{"d:Title": "Boston College", "d:Description": "The Screaming Eagles Marching Band, under the direction of David P. Healey. Describes parade and halftime activities and lists current performance schedule.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.bc.edu/offices/bands/ensembles/marching.html"} +{"d:Title": "McKendree College", "d:Description": "Under the direction of David Boggs, includes group photo and information about auditions and scholarships for instrumentalists and color guard members.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.mckendree.edu/offices/marching-band/"} +{"d:Title": "University of Florida Bands", "d:Description": "Information on all bands at the University of Florida, including concert bands and the Fightin' Gator Marching Band. Includes performance dates, audition information, and the Florida Summer Music camps.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.ufbands.com/"} +{"d:Title": "University of Washington Husky Marching Band", "d:Description": "A 240-member ensemble utilizing the traditional chair-step and toe-point style of marching, under the direction of Brad McDavid. Includes history, traditions, recruitment details, password-required members' area, and media gallery.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.huskymarchingband.org/"} +{"d:Title": "The University of Cincinnati Bearcat Bands", "d:Description": "Provides details about history and traditions and includes membership application, discussion board, sound files, and recordings for purchase. Lists activities of the alumni band, Kappa Kappa Psi and Tau Beta Sigma chapters.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.ucbearcatbands.com/"} +{"d:Title": "Miami University Marching Band", "d:Description": "Under the direction of David Shaffer, provides details about auditions, band camp, history and staff. Includes image, audio and video files and links to student message board and fraternal organizations.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://music.miamioh.edu/mumb/"} +{"d:Title": "University of Connecticut Marching Band", "d:Description": "Under the direction of David Mills, features news, history, schedule, membership details and application. Includes image galleries and recording for purchase.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://uconnband.org/"} +{"d:Title": "Marching Illini, University of Illinois Bands", "d:Description": "Information on audition requirements, history of the group as well as schedules.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://marchingillini.com/"} +{"d:Title": "Missouri S&T Miner Marching Band", "d:Description": "Provides general information about band camp, course enrollment, instrumentalists and auxiliary unit membership, and fraternal organizations.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://alp.mst.edu/music/marchingband/"} +{"d:Title": "Baylor University", "d:Description": "Golden Wave Marching Band, features news, calendar, audition request form, staff, history and alumni band information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.baylor.edu/gwb/"} +{"d:Title": "Clemson University Bands", "d:Description": "The Tiger Band, under the direction of Dr. Mark Hosler, offers picture gallery, sound files and calendar of events.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://www.clemson.edu/tigerband/"} +{"d:Title": "Cal Poly San Luis Obispo Mustang Band", "d:Description": "The Pride of the Pacific, under the direction of David Rackely, include rehearsal schedule and online interest form to receive information about band camp and the upcoming season.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "http://mband.calpoly.edu/"} +{"d:Title": "Harvard University Band", "d:Description": "As the ever present and never failing supporters of Harvard athletics, the Harvard University Band has filled the stands and the gridiron of Soldiers Field with mayhem, music and wit since 1919.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College", "url": "https://www.harvardband.org/"} +{"d:Title": "University of Washington", "d:Description": "Husky Marching Band Alumni Association, supporters of the Husky Marching Band. Features calendar of events, member directory and contact information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "http://www.hmbaa.org/"} +{"d:Title": "University of Texas", "d:Description": "Longhorn Alumni Band, offers band history, discussion forums, calendar of events and photo gallery.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "http://www.lhab.org/"} +{"d:Title": "Michigan State University - Alumni Band Association", "d:Description": "Includes a newsletter, board minutes, a message board, and a photo gallery.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "http://www.msu.edu/user/alumband/"} +{"d:Title": "University of Oklahoma Band Alumni", "d:Description": "Details homecoming activities and includes registration form.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "http://www.oubandalumni.org/"} +{"d:Title": "Texas Tech University", "d:Description": "Tech Band Alumni Association, includes board of directors, member database, forums, calendar of events, newsletter and image gallery.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "http://www.goinbandassociation.com/"} +{"d:Title": "University of Akron", "d:Description": "University of Akron Zips Alumni Band, includes newsletter, memories page, forum, and image, sound and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "http://www.uakron.edu/bands/alumni/"} +{"d:Title": "Florida A&M University", "d:Description": "The Marching 100 Alumni Band, features a photo gallery, online registration, and sectional pages.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "https://m1abai.wildapricot.org/"} +{"d:Title": "Penn State University - Alumni Blue Band Association", "d:Description": "Features history of the organization, executive board, merchandise, information on homecoming and pep band performances, photos, and discussion forum.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Alumni_Bands", "url": "https://www.psualumnibands.org/"} +{"d:Title": "Cornell University Big Red Trumpets", "d:Description": "Traditions, chants, images, and biographies of current and past members.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://www.trumpets.org/"} +{"d:Title": "Jackson State University Trombones", "d:Description": "The Source of Power Home Page. Contains information on history, traditions, section leaders, rosters, and teachers", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://www.angelfire.com/ms/SOP1/"} +{"d:Title": "University of Texas Longhorn Band Trombones", "d:Description": "Self-proclaimed \"The Stupid,\" and professing to have two ways of playing, loud and off. Lists current members and includes news, membership information, discussion forum, photo and video files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://www.texastrombones.net/"} +{"d:Title": "Cornell University Big Red Bones", "d:Description": "Features the history, traditions, current roster, alumni, and image and audio files of the trombone and baritone sections.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://www.bones.org/"} +{"d:Title": "University of Notre Dame Basses", "d:Description": "Lists current members, recent alumni, and includes school song lyrics, traditions and humorous glossary of term.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://www.nd.edu/~basses"} +{"d:Title": "Michigan State University Spartan Tubas", "d:Description": "Features image galleries and lists current members.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://www.spartantubas.com/"} +{"d:Title": "University of Washington Husky Mellos", "d:Description": "Includes roster, section traditions, 'mello mantra', and photo galleries.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://www.angelfire.com/wa3/huskymellos1/"} +{"d:Title": "Roger High School Trombones", "d:Description": "Pictures of section members and the band, field show songs, news, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "http://davis1521.tripod.com/RogersTboneLine/"} +{"d:Title": "Notre Dame University Saxes", "d:Description": "List of current members, photo scrapbook, alumni listing and information on the saxophone.", "topic": "Top/Arts/Music/Marching/Marching_Bands/College/Sections", "url": "https://sites.google.com/site/ndsaxes/"} +{"d:Title": "The Ambassadors of Borrowash Showband", "d:Description": "A Showband from Derby,England whose musicians perform a variety of tunes to intricate marching displays. The Band also performs in cabaret as a Stage Band (Big Band).", "topic": "Top/Arts/Music/Marching/Marching_Bands/Community", "url": "http://www.ambassadorsshowband.org/"} +{"d:Title": "Bloomingdale Cornet Band", "d:Description": "A community marching and concert band based in Bloomingdale, New Jersey.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Community", "url": "http://www.bloomingdalecornetband.org/"} +{"d:Title": "Burlington Top Hat Marching Orchestra", "d:Description": "Includes music playlist, event calendar, image gallery and information about membership. Based in Ontario, Canada.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Community", "url": "http://www.tophats.org/"} +{"d:Title": "Derby Midshipmen Band (Show&Marching Band)", "d:Description": "The Derby Midshipmen (Show and Marching Band) entertains the public in Carnivals, Parades and Main Arena Events, providing intricate music and marching displays. During the years the band has won almost every major contests championship.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Community", "url": "http://www.midshipmen.org.uk/"} +{"d:Title": "The Extra Action Marching Band", "d:Description": "Alternative marching band based in San Francisco. Events, information, photo and video gallery, calendar, contact details and members area.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Community", "url": "http://www.extra-action.com/"} +{"d:Title": "One More Time Around Again Marching Band", "d:Description": "Pictures, sounds and information regarding one of the world's largest adult marching bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Community", "url": "http://www.omtaamb.org/"} +{"d:Title": "Savannah Cellarsavers Marching Brass Band, Inc.", "d:Description": "Dedicated to the only all-brass marching band in New York State; Sr. Commercial Band Champions", "topic": "Top/Arts/Music/Marching/Marching_Bands/Community", "url": "http://www.cellarsavers.com/"} +{"d:Title": "Pleasant Valley High School Band", "d:Description": "Located in Pleasant Valley. List of performances, photo album, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.angelfire.com/myband/PVHSBand/"} +{"d:Title": "Hazel Green High School Marching Band", "d:Description": "Photos, schedule, section pages, jokes, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.hghsmarchingband.iwarp.com/"} +{"d:Title": "Buckhorn High School Band", "d:Description": "Includes schedule, photos, booster club news, message board, instrument guide, syllabus, announcements and downloads. Located in New Market.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.buckhornband.com/"} +{"d:Title": "Cordova Band", "d:Description": "Band presents member profiles, links, music, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.angelfire.com/al/cordovaband/"} +{"d:Title": "Geneva County Bands Online", "d:Description": "Provides calendar, sounds, pictures, history, links, and member information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.angelfire.com/band/gchsband/"} +{"d:Title": "Band of Champions", "d:Description": "Band provides calendar, band jokes, member profiles, pictures, quotes, news, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.angelfire.com/band/meek/"} +{"d:Title": "The Plainview Band", "d:Description": "Includes calendar, director biography, links, guestbook, pictures, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://plainviewband.tripod.com/band"} +{"d:Title": "Prattville Bands", "d:Description": "Student concert and marching groups. Includes photos, news, current events and programs.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.prattvillebands.com/"} +{"d:Title": "Murphy High School Band", "d:Description": "Provides a performance resume, handbook, schedules, newsletters, photographs, forms and fundraising activities.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.murphyband.com/"} +{"d:Title": "Grissom High School Band", "d:Description": "Located in Huntsville. History, calendar of events, photos, video, audio and contact information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.grissomband.com/"} +{"d:Title": "Athens High School Band", "d:Description": "Includes schedules, pictures, programs and history for the marching band, with information on the jazz and concert bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Alabama", "url": "http://www.goldeneagleband.org/"} +{"d:Title": "Bruin2k: Trevor G. Browne HS", "d:Description": "Located in Phoenix. Pages for the drumline, flag line, and woodwinds. Photos, section lists, and banners.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Arizona", "url": "http://bruin2k.tripod.com/"} +{"d:Title": "Rincon/University HS Ranger Bands", "d:Description": "Features calendar, director's page, gallery, links, member handbook, and news.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Arizona", "url": "http://www.rangerband.org/"} +{"d:Title": "Sahuaro High School Band", "d:Description": "Presents calendar, members, pictures, news, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Arizona", "url": "http://sahuaroband.com/"} +{"d:Title": "Live Oak High School Marching Band and Colorguard", "d:Description": "Located in Morgan Hill. Features a calendar, fund-raisers, alumni information, photos and archives.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.emeraldregime.org/"} +{"d:Title": "San Clemente High School Marching Band", "d:Description": "San Clemente High School Triton Band. Located in San Clemente. Includes news, general information, pictures, media files, and schedules.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.tritonmusic.org/"} +{"d:Title": "Canyon High School Band", "d:Description": "Features calendar, events, band history, special events, scores, and photos. Located in Anaheim Hills.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.canyonband.com/"} +{"d:Title": "Ramona High School Band", "d:Description": "Ramona High School Dynasty Marching Band. Located in Riverside. Schedule and competition scores, photos, and sound clips.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.ramonadynasty.org/"} +{"d:Title": "Ayala High School Band and Colorguard", "d:Description": "Includes schedule of events, news, booster information, multimedia, and contact details. Located in Chino Hills.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://ayalabac.org/"} +{"d:Title": "North Hollywood High School Band", "d:Description": "North Hollywood High School Royal Regiment. Includes a calendar of events, group photos, performance information, directors and student leaders roster, competition results and band camp news. Located in North Hollywood", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.royalregiment.org/"} +{"d:Title": "Granada Hills Charter High School Band", "d:Description": "Granada Hills Charter High School Highlander Marching Band. Learn about this band which features upcoming events, competition results, links, photos, staff profiles, music, and contact information. Located in Granada Hills.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.kiltedband.com/"} +{"d:Title": "John F. Kennedy High School Band", "d:Description": "John F. Kennedy Shamrock Regiment. Presents schedule, pictures, guestbook, member information, competition scores, show music, and news. Located in La Palma.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.kennedyband.org/"} +{"d:Title": "James Logan High School Band&Color Guard", "d:Description": "Includes calendar, news, booster information, pictures, links, and contact details. Programs include marching band, wind symphony, percussion ensemble, and jazz band. Located in Union City.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.loganbandandcolorguard.org/"} +{"d:Title": "William S. Hart High School Marching Band", "d:Description": "William S. Hart High School Regiment. Located in Newhall. Accomplishments, staff listing, schedule, multimedia, band boosters, and alumni information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.hartregiment.com/"} +{"d:Title": "Hollywood High School Marching Band", "d:Description": "News, live chat, guestbook, history, pictures, profiles, and schedules. Located in Los Angeles.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://sheikband.tripod.com/"} +{"d:Title": "Dublin High School", "d:Description": "Read calendar, get recent contest scores, or contact the band. Located in Dublin.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.dhsirishguard.org/"} +{"d:Title": "Clayton Valley Eagles Marching Band&Colorguard", "d:Description": "Features calendar, booster information, multimedia, news, and links. Programs include orchestra, jazz, concert, and marching band. Located in Concord.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/California", "url": "http://www.claytonbands.org/"} +{"d:Title": "Pomona High School Band", "d:Description": "Includes details of instrumental program, awards and accomplishments, calendar of events, faculty/staff directory, Music Boosters, newsletter, photo galleries, links and contact information. Located in Arvada.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Colorado", "url": "http://www.pomonaband.org/"} +{"d:Title": "Douglas County High School Band", "d:Description": "Includes calendar of events, band booster news, photos, downloads and fundraisers. Located in Castle Rock.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Colorado", "url": "http://www.dchsband.com/"} +{"d:Title": "Norwalk High School Marching Band", "d:Description": "Located in Norwalk, home of the Marching Bears. Downloads, information on the school's ensembles, schedules, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Connecticut", "url": "http://nhsband.com/"} +{"d:Title": "Blue Knights Marching Band", "d:Description": "Includes schedule, image gallery, information for parents, staff directory and links. Located in Southington.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Connecticut", "url": "http://www.shsbands.com/"} +{"d:Title": "Bands of America", "d:Description": "Web site of Bands of America Music Association. Details symphonic band and marching band competitions and performances for high school.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Contests", "url": "http://www.bands.org/"} +{"d:Title": "Cavalcade of Bands", "d:Description": "The motto of Cavalcade is \"Education through Musical Involvement.\"", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Contests", "url": "http://www.cavalcadeofbands.com/"} +{"d:Title": "McKean High School Highlander Marching Band", "d:Description": "Includes scores, percussion and horn pages, links, and events.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Delaware", "url": "http://www.angelfire.com/de/absband99/index.html"} +{"d:Title": "King High Marching Lions", "d:Description": "Information about the marching and concert bands, music club, and dancers. Includes schedules, handbook, MP3s, photographs, officers, alumni listing and message board, and honors.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://www.khsmarchinglions.com/"} +{"d:Title": "Coral Reef Barracuda Band", "d:Description": "Member directories, schedules, sound files, pictures and staff information. Located in Miami.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://members.tripod.com/lct2members0/RLC/"} +{"d:Title": "Fort Walton Beach High School Viking Band", "d:Description": "Features calendar, photos, news from the Band Parents Association, forms, information about the Symphonic and Concert bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://www.fwbhs-band.com/"} +{"d:Title": "Tarpon Springs High School Leadership and Music Conservatory", "d:Description": "Features marching band, color guard, wind ensemble, percussion ensemble and jazz band. Includes calendar, roster of band officers and band boosters news, fundraisers, trips, photos, FAQs, curriculum.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://www.tarponspringsband.com/"} +{"d:Title": "Mulberry High School Panther Band", "d:Description": "Mulberry, Florida, band features photos, audio files, band camp news, Parent Association officers and meeting dates, a list of student officers, and fund-raiser details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://www.mulberryband.com/"} +{"d:Title": "Timber Creek High School Band", "d:Description": "Includes news, schedules, parent information, links, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://www.tchsbands.com/"} +{"d:Title": "Pride of Port Charlotte", "d:Description": "Includes calendar, staff profiles, newsletter, booster information, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://www.prideofpc.com/"} +{"d:Title": "Lake Mary High School Band", "d:Description": "Provides schedules of concerts and events, band news, member and parent information, music, links, and ways to contact the band.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://marchingrams.org/"} +{"d:Title": "Satellite High School Marching Scorps", "d:Description": "Includes section leaders page, section pages, show music, calendar, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://satelliteband.8m.com/"} +{"d:Title": "Southeast High School Band", "d:Description": "Includes section pages, concert band information, booster information, calendar, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://members.tripod.com/sehsband/"} +{"d:Title": "Eau Gallie High School Band", "d:Description": "Includes sections, calendar, newsletter, sounds and pictures, links, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://eghsmusic.tripod.com/"} +{"d:Title": "Buchholz High School Band", "d:Description": "Includes calendar, pictures, links, booster information, members, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://www.buchholzband.com/"} +{"d:Title": "Martin County High School Tiger Regiment", "d:Description": "Includes band humour, calendar, links, guestbook, alumni page, music, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://members.tripod.com/~mcband/index.html"} +{"d:Title": "Gulf High School Buccaneer Band", "d:Description": "Presents schedules, downloads, photos, member information, contest results, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Florida", "url": "http://ghs.pasco.k12.fl.us/ghsband/"} +{"d:Title": "Kennesaw Mountain High School Bands", "d:Description": "Features upcoming events, news, booster club details, awards received, staff roster and contact information. Located in Kennesaw.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.kmbo.org/"} +{"d:Title": "Fannin County High School Band", "d:Description": "Includes calendar, alumni information, band boosters details, forum, news, photos and highlights from festivals and concerts. Located in Blue Ridge.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.fannincountyband.org/"} +{"d:Title": "Harrison High School Bands", "d:Description": "Includes upcoming events, calendar, sight and sounds, policies/forms, faculty/staff, awards/honors, colorguard, winter guard, band boosters, forum. Located in Kennesaw.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.harrisonbands.org/"} +{"d:Title": "North Cobb High School Warrior Bands", "d:Description": "Includes calendar, photos, directors profiles, student leadership directory, introduction to the drum majors. Located in Kennesaw.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.nchsband.com/"} +{"d:Title": "Redan Marching Blue Thunder Band", "d:Description": "Provides schedule, band highlights, bulletin board, chat room, and links to scholarship, academic and college sites. Located in Stone Mountain.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.angelfire.com/band/redan/intro.htm"} +{"d:Title": "Sandy Creek High School Band", "d:Description": "Features booster club news, competition details, and staff profiles. Located in Tyrone.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.sandycreekband.org/"} +{"d:Title": "ARC Purple Pride Marching Band", "d:Description": "Includes calendar, forums, band director page, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://purplepride6.tripod.com/"} +{"d:Title": "Milton High School Marching Band", "d:Description": "Presents parent and student information, calendar, photos, links, historical facts, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.miltonband.org/"} +{"d:Title": "Sprayberry High School Band of Gold", "d:Description": "Features calendar, news, competition results, booster information, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.sprayberryband.com/"} +{"d:Title": "East Coweta Marching Indians", "d:Description": "Includes staff, officers, calendar, member handbook, photos, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Georgia", "url": "http://www.eastcowetaband.org/"} +{"d:Title": "Maui High School Band", "d:Description": "Features calendar, officers, boosters, announcements, member manual, links, and symphonic band information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Hawaii", "url": "http://www.mauihighband.org/"} +{"d:Title": "Proviso East High School Marching Pirates", "d:Description": "Maywood, IL. Contains schedule, audio, links, and a guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Illinois", "url": "http://www.angelfire.com/band2/provisoeast/"} +{"d:Title": "Edwardsville High School Band", "d:Description": "Provides pictures, volunteer information, links, calendar, staff, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Illinois", "url": "http://www.ecusd7.org/ehs/ehsstaff/mbattle/index.htm"} +{"d:Title": "Lake Park Marching Band", "d:Description": "Includes news, calendar, competition results, links, programs, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Illinois", "url": "http://www.lakeparkband.com/"} +{"d:Title": "Marian Catholic High School Band", "d:Description": "Chicago Heights, Illinois. Contains news, calendar, multimedia, and history.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Illinois", "url": "http://www.marianchs.com/band/band.php"} +{"d:Title": "Portage Marching Indians", "d:Description": "Includes schedule, staff profiles, photos, member directory, drum major information and announcements.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://marchingindians.tripod.com/"} +{"d:Title": "Lake Central High School Bands", "d:Description": "Located in St. John. Contains information on all of the school's ensembles. News, alumni list, multimedia, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://www.lcband.com/"} +{"d:Title": "Carroll Charger Pride Band", "d:Description": "Features a beginning, intermediate, and advanced concert band, jazz band, pep band, marching band, and winter drumline. Includes calendar, booster information, latest news, and information for members.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://www.carrollbands.org/"} +{"d:Title": "Avon High School Band", "d:Description": "Features calendar, staff, multimedia, news, booster information, links, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://www.avonband.com/"} +{"d:Title": "Center Grove High School Marching Trojans", "d:Description": "Featuring the marching, concert, pep, and jazz band, winter drumline, and winter guard. Contains calendar, mailing list, music, photos, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://www.trojanband.com/"} +{"d:Title": "Bloomington North High School Band", "d:Description": "Includes calendar, news, booster information, trips, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://www.bhsnbands.com/"} +{"d:Title": "Pendleton Heights High School Arabian Band", "d:Description": "Includes calendar, news, multimedia, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://www.phhsband.com/"} +{"d:Title": "IndianaMarching.Com", "d:Description": "Resource site for marching bands throughout the state of Indiana. Includes message boards, contest scores, band profiles, and articles.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Indiana", "url": "http://www.indianamarching.com/"} +{"d:Title": "Waukee Bands", "d:Description": "Includes news, media, photos, calendar and contact information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Iowa", "url": "http://www.waukeebands.org/"} +{"d:Title": "Muskie Bands", "d:Description": "Includes news, band documents, booster club meetings, schedule and photos. Located in Muscatine.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Iowa", "url": "http://www.muskiebands.org/"} +{"d:Title": "Waterloo West High Marching Band", "d:Description": "Includes schedule of events, competitions, show selections, staff directory, photos and band booster supporters.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Iowa", "url": "http://www.angelfire.com/music4/wmarchingband/"} +{"d:Title": "Boone County Rebel Brigade High School Band", "d:Description": "Includes information for parents, fund raisers, schedules, directions, store, message board and links. From Florence, KY.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Kentucky", "url": "http://rebelbrigade.freeservers.com/"} +{"d:Title": "Seneca High School Band", "d:Description": "Includes repertoire, member list, events, photos and links. Located in Louisville.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Kentucky", "url": "http://redhawkband.tripod.com/"} +{"d:Title": "Bryan Station High School Marching Defenders", "d:Description": "Features leadership directory, events, photos, fundraisers, contest schedule, list of band booster club officers and newsletters. Located in Lexington.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Kentucky", "url": "http://www.bryanstationband.org/"} +{"d:Title": "Lafayette High School Band Marching Band", "d:Description": "Details directors, staff, activities and performance schedules of The \u201cPride of the Bluegrass\u201d, color guard, concert band, jazz band and percussion ensemble.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Kentucky", "url": "http://www.lafayetteband.org/"} +{"d:Title": "George Rogers Clark High School Band", "d:Description": "Features events, shows, information about marching, concert and jazz bands, band booster directory and meeting schedule and links. Located in Winchester.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Kentucky", "url": "http://www.grcband.org/"} +{"d:Title": "O. Perry Walker High School Band", "d:Description": "New Orleans, Louisiana. Performances, photos, and contact information for band and booster club.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Louisiana", "url": "http://www.angelfire.com/band/opwchargerband/"} +{"d:Title": "Marshwood High School Marching Band", "d:Description": "Includes news, schedule, staff directory, photos, media, drumline, colorguard, handbook and FAQs. Located in South Berwick.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Maine", "url": "http://www.marshwoodmusic.org/"} +{"d:Title": "Westminster High School Instrumental Music Boosters", "d:Description": "Provides information about the band boosters club and music program, which includes concert, jazz, symphonic and marching bands, colorguard, orchestra and wind ensemble. Includes calendar, FAQs, forms downloads, photos, fundraiser activities and press releases about awards received.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Maryland", "url": "http://owlband.org/"} +{"d:Title": "Glenelg High School Bands", "d:Description": "Featuring the symphonic, marching, concert, jazz band, as well as drill team and orchestra. Includes history, calendar, music, booster information, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Maryland", "url": "http://www.glenelgbands.com/"} +{"d:Title": "Arundel High School Marching Band", "d:Description": "Includes calendar, fundraising, uniforms, band camp information, information for first year students, photos, and links. From Gambrills, MD.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Maryland", "url": "http://www.arundelmusic.org/"} +{"d:Title": "Durfee High School Marching Band", "d:Description": "Fall River, Massachusetts. Features roster of members, competition results, photos and repertoire.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Massachusetts", "url": "http://www.angelfire.com/ma/panda/durfee2.html"} +{"d:Title": "Hazel Park High School Viking Marching Band", "d:Description": "Located in Hazel Park. Information on alumni, auditions, schedules, sections, lessons, rehearsals, and photographs.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Michigan", "url": "http://www.angelfire.com/band2/vikingband/"} +{"d:Title": "Portage Central High School Band", "d:Description": "Includes calendar of events, photos, descriptions, awards, newsletter, schedule, list of instructors and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Michigan", "url": "http://www.portagecentralhsband.org/"} +{"d:Title": "Plymouth Canton Marching Band", "d:Description": "Includes awards, photos, directory, newsletter, scrap book.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Michigan", "url": "http://www.pcmb.net/"} +{"d:Title": "Flushing Band&Orchestra Boosters, Inc.", "d:Description": "Features performances, programs, staff profiles, links and booster club activities.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Michigan", "url": "http://www.flushingmusic.org/"} +{"d:Title": "Warren Mott Band Parents Club", "d:Description": "Updates on shows, event calendar, fundraising, archives, photos, band camp. Located in Warren.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Michigan", "url": "http://www.warrenmottbandclub.com/"} +{"d:Title": "Ocean Springs High School Band", "d:Description": "Ocean Springs, MS - The Blue Grey Pride.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Mississippi", "url": "http://www.bluegreypride.com/"} +{"d:Title": "Dragon Pride Bands", "d:Description": "For the DeSoto Dragon Pride. Includes calendars, membership, pictures, awards, and related links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Missouri", "url": "http://dragonbands.tripod.com/"} +{"d:Title": "Rushville High School Band", "d:Description": "Rushville, Nebraska. Photos and history of the music program, dating 1962 to 1970.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Nebraska", "url": "http://www.angelfire.com/music2/geringband/index.html"} +{"d:Title": "The Westside Warrior Marching Band", "d:Description": "Information, pictures, section pages, and links. Based in Omaha.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Nebraska", "url": "http://whs_band.tripod.com/"} +{"d:Title": "Elko High School - Band of Indians", "d:Description": "Includes calendar of events, photos, competition results, awards and honors, handbook, director profile.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Nevada", "url": "http://www.prideofnevada.com/"} +{"d:Title": "Robert McQueen High School Band", "d:Description": "Check out list of members, calendar of events, photos, newsletter, boosters directory, fund raising activities, field show extravaganza schedule and links. Located in Reno.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Nevada", "url": "http://www.mcqueenband.org/"} +{"d:Title": "Alvirne Broncos Bands", "d:Description": "Includes calendar, newsletter, photos, and links. From Hudson.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_Hampshire", "url": "http://www.ahsmusic.org/"} +{"d:Title": "Burlington Township High School Bands", "d:Description": "Includes information for parents, pictures, schedule, and links. From Burlington.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_Jersey", "url": "http://www.angelfire.com/nj3/bthsmb/"} +{"d:Title": "Immaculata High School Spartan Marching Band", "d:Description": "Located in Somerville. Section pages, multimedia, schedule, and news.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_Jersey", "url": "http://www.ihsband.org/"} +{"d:Title": "Clifton High School Mustang Marching Band", "d:Description": "World-renowned high-stepping band. Unique among high school bands in the Northeast with its high-energy 'Big 10' style.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_Jersey", "url": "http://cliftonmustangband.com/"} +{"d:Title": "Oswego High School Bands", "d:Description": "Includes calendar of events, accomplishments, band parents association directory, archives and alumni survey form.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_York", "url": "http://www.oswegobands.org/"} +{"d:Title": "WG Wildcat Band", "d:Description": "West Genesee High School, located in Camillus, NY. Staff profiles, history, sound clips, alumni list, reunion information, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_York", "url": "http://wgwildcats.com/index.sht"} +{"d:Title": "Victor Marching Blue Devils", "d:Description": "Includes program, staff directory, schedule, competition schedule, scores, band booster club upcoming events.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_York", "url": "http://victorbluedevils.org/"} +{"d:Title": "Jamestown High School Red Raider Marching Band", "d:Description": "Includes staff directory, events calendar, show information and scores, sound files and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/New_York", "url": "http://www.jhsmarchingband.com/"} +{"d:Title": "South Granville Marching Band", "d:Description": "Creedemoore, NC - Information on band staff, colorguard and drum line, and the band parents association.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/North_Carolina", "url": "http://sghsbandnc.tripod.com/"} +{"d:Title": "Northwest Guilford Band Program", "d:Description": "Greenesboro, NC - Includes competition results, band program information, calendar, photos, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/North_Carolina", "url": "http://www.nwvikingband.org/"} +{"d:Title": "South Rowan High School Band", "d:Description": "Salisbury, NC - Contains information about upcoming events and their four bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/North_Carolina", "url": "http://www.angelfire.com/band2/srhs/left"} +{"d:Title": "Apex Band Home Page", "d:Description": "Band Program Information, Fundraising, Links, Contact Information, Marching Band, and Academic Bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/North_Carolina", "url": "http://www.apexhighband.org/"} +{"d:Title": "Bessemer City Yellow Jacket Band", "d:Description": "Competitions, Pictures, Links of Interest, Band Leadership, Upcoming Events, and Contact Information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/North_Carolina", "url": "http://www.angelfire.com/band2/bcyellowjacketband/"} +{"d:Title": "4287 - North Lincoln High School", "d:Description": "Past and future events, induction ceremony page, bi-laws, and our group in action.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/North_Carolina/tri-m", "url": "http://nlhstri-m.tripod.com/"} +{"d:Title": "Valley Forge Bands", "d:Description": "Includes staff profiles, calendar, marching band information, photo gallery, Instrumental Music Boosters, jazz band and links. Located in Parma Heights.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Ohio", "url": "http://www.vfbands.com/"} +{"d:Title": "Centerville Jazz Band", "d:Description": "Features history of the band, schedule and scores, musical program, leadership team, roster, photos, calendar of events, fundraisers, band booster news, alumni association and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Ohio", "url": "http://www.centervilleband.org/"} +{"d:Title": "Westland Band Boosters", "d:Description": "Includes news and notes, details of 2003 show, calendar, fundraising, constitution, color guard photos and contest results. Located in Galloway.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Ohio", "url": "http://westlandbandboosters.com/"} +{"d:Title": "Beavercreek High School Band and Color Guard", "d:Description": "Consisting of concert, jazz, marching, pep, varsity and symphonic bands and brass and wind ensembles. Includes membership details, parent information, events, schedule, history, photographs, directions and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Ohio", "url": "http://www.beavercreekband.org/"} +{"d:Title": "Bowling Green High School Bobcat Bands", "d:Description": "Includes photos, schedule and newsletter.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Ohio", "url": "http://bobcatbands.org/"} +{"d:Title": "Okemah High School Panther Marching Band", "d:Description": "Okemah, Ok.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Oklahoma", "url": "http://www.angelfire.com/ok/tjsexton/prideofokemah/"} +{"d:Title": "Moore Band Boosters Inc.", "d:Description": "Includes calendar of events, photos, newsletters, alumni news, daily updates, boosters club directory, color guard section, fund raisers and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Oklahoma", "url": "http://mooreband.com/"} +{"d:Title": "Sperry Bands", "d:Description": "Includes photos, band council directory, band boosters activities, calendar of events, details of music program and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Oklahoma", "url": "http://sperrybands.tripod.com/"} +{"d:Title": "Pride of Owasso Bands", "d:Description": "Includes details of the program, photos, directors' profiles, competition scores, band patrons officers and fund raisers.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Oklahoma", "url": "http://www.owasso.com/prideofowasso/"} +{"d:Title": "Cavalcade of Bands", "d:Description": "The Southeastern PA marching band association is a non profit organization composed of member schools coming together to compete on the interscholastic level. Includes news, events, pictures and directions to shows.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Pennsylvania", "url": "http://www.angelfire.com/pa/cavalcade/"} +{"d:Title": "Henderson High School Band", "d:Description": "West Chester, Pa. Home of the TOB Group I Acc, and Chapter III Champions", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Pennsylvania", "url": "http://www.angelfire.com/ms/hendersonband/main.html"} +{"d:Title": "North Allegheny High School Marching Band", "d:Description": "Performance and rehearsal schedule, staff information, band manual, music, photos, and news. Located in Pittsburgh.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Pennsylvania", "url": "http://www.naband.org/"} +{"d:Title": "Susquehanna Township High School Bands", "d:Description": "Includes history, awards, photo gallery, information about concert and jazz bands. Located in Harrisburg.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Pennsylvania", "url": "http://www.sthsband.org/"} +{"d:Title": "Thomas Jefferson Band Patrons", "d:Description": "Includes photos, calendar, news and contact details. Located in Jefferson Hills.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Pennsylvania", "url": "http://www.tjband.com/"} +{"d:Title": "Northwestern High School Marching Band", "d:Description": "Located in Albion. Includes forum, photos, events and features.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Pennsylvania", "url": "http://www.northwesternmarchingband.com/"} +{"d:Title": "Liberty High School Band and Orchestra Parents Club", "d:Description": "Features photos, schedules, fund-raising and events. Located in Bethlehem.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Pennsylvania", "url": "http://lhsgbopc.org/"} +{"d:Title": "Lincoln High School Band", "d:Description": "Features concert, marching, and jazz bands. Includes announcements, photos, calendar, band booster club news. Located in Lincoln.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Rhode_Island", "url": "http://www.lhsband.com/"} +{"d:Title": "Portsmouth High School Patriot Marching Band", "d:Description": "Includes calendar of events, photos, recent highlights and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Rhode_Island", "url": "http://www.portsmouthmusic.org/"} +{"d:Title": "Mesquite HS Trumpets", "d:Description": "Gilbert, AZ", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Sections", "url": "http://mhstrumpets.tripod.com/"} +{"d:Title": "VHS Trumpets Official Website", "d:Description": "Official site of the Vista High School Trumpets. A little information on this section in the Vista High School Regimental Band.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Sections", "url": "http://www.angelfire.com/band/vhstrumpets/index.html"} +{"d:Title": "Everett Clarinets", "d:Description": "Part of the Everett Clarinet Choir and the Crimson Tide Marching Band, all members live in Everett, Massachusetts.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Sections", "url": "http://www.everettclarinets.8m.com/"} +{"d:Title": "The Aliso Niguel High School Trumpet Line", "d:Description": "Music, pictures, sounds, calendar, links, and guestbook.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Sections", "url": "http://anhstrumpetline.tripod.com/"} +{"d:Title": "BandOfBlue3", "d:Description": "Find information on the Colleton County High School Band, location information, maps and schedules. There are performance videos, photos of band camp and practices.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/South_Carolina", "url": "http://bandofblue.bandlink.org/"} +{"d:Title": "Lakewood High School \"Gator\" Bands", "d:Description": "Gators bands include the Jazz Ensemble, Marching Band, and Concert Band. Schedules, recorded audio, and pictures.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/South_Carolina", "url": "http://www.angelfire.com/sc/lhsgatorband/"} +{"d:Title": "Berkeley High School Band", "d:Description": "Includes announcements, calendar, concerts, courses, directors, fund raisers, newsletter, parents page, list of patrons, photos and student leaders. Located in Moncks Corner.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/South_Carolina", "url": "http://www.angelfire.com/band/berkeleyhigh/"} +{"d:Title": "Bands of Wando", "d:Description": "Features directors' profiles, schedules, band booster club directory, newsletter, a handbook, bylaws, forms and photos. Located in Mt. Pleasant.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/South_Carolina", "url": "http://www.wandobands.org/"} +{"d:Title": "Fort Dorchester High School Band", "d:Description": "Charleston. The Marching Patriots. Includes upcoming events, booster club bylaws, monthly minutes and list of officers, calendar, photos, forum and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/South_Carolina", "url": "http://dorchester.fdhs.schoolfusion.us/"} +{"d:Title": "Brandon Valley High School Band", "d:Description": "Includes news, pictures, calendar, forum, and links. From Brandon, South Dakota.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/South_Dakota", "url": "http://www.bvband.com/"} +{"d:Title": "Brentwood High Band", "d:Description": "Includes calendar, boosters, news and links. From Brentwood, Tennessee.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Tennessee", "url": "http://www.brentwoodband.com/"} +{"d:Title": "Hendersonville High School Band of Gold", "d:Description": "Policies, objectives, and pictures of the directors.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Tennessee", "url": "http://www.angelfire.com/tn/hhsbandofgold/"} +{"d:Title": "Gibbs High School Band", "d:Description": "Pictures, fees, and director profiles. From Knoxville.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Tennessee", "url": "http://gibbsband.8m.com/"} +{"d:Title": "Bartlett High School Marching Band", "d:Description": "News, competition results, profile, and pictures.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Tennessee", "url": "http://bartlettband.tripod.com/bhsband.html"} +{"d:Title": "The Cadets of Cordova High School", "d:Description": "Offers band history, event schedules, a list of members, pictures, and news.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Tennessee", "url": "http://www.cadetsofcordova.org/"} +{"d:Title": "Lewis County High School Band", "d:Description": "Presents the band's history, members, officers, awards, and photos. Located in Hohenwald.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Tennessee", "url": "http://lewiscountyband.tripod.com/"} +{"d:Title": "Alvarado High School Golden Warrior Band", "d:Description": "Includes uniforms for sale, band boosters, history, alumni and links. From Alvarado, Texas (20 miles south of Fort Worth).", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://members.tripod.com/ahsgwband/"} +{"d:Title": "Tom C. Clark High School Band", "d:Description": "San Antonio, Texas high school band web site includes announcements, information, calendar, photo and video albums,address book, band booster information, and band links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://cougarband.org/"} +{"d:Title": "L.D. Bell High School Band", "d:Description": "Includes calendar, photo albums, student and parent information.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.ldbellband.org/"} +{"d:Title": "Throckmorton High School Band", "d:Description": "Includes calendar, director's profile and photos.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://thsband.8k.com/"} +{"d:Title": "Jasper High School Jaguar Band", "d:Description": "Includes pictures, video, MP3 recordings of band events and performances, newsletter, band boosters activities, scrap book and calendar. Located in Plano.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.jasperhighschoolband.com/"} +{"d:Title": "Kingwood High School Mighty Mustang Military Marching Band", "d:Description": "Includes varsity and freshmen calendars, special events, band booster news and membership form, fundraising, photos, scholarships, Disney trip FAQs, directions to stadiums.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.km4b.com/"} +{"d:Title": "Summit High School Jaguar Band", "d:Description": "Includes awards, a calendar, photos, a leadership and staff directory, a student handbook, colorguard and drum line news, and details of the band booster club. Located in Arlington.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.summitband.com/"} +{"d:Title": "Coppell High School Band Boosters", "d:Description": "Includes profile of director, awards received, calendar, upcoming activities and fundraiser projects, freshman parent page and directory of Booster Club officers.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.chsbandboosters.com/"} +{"d:Title": "Pearland High School Band Boosters", "d:Description": "Features staff directory, handbook, calendar, newsletter, events, policies, color guard section, FAQs and music scholarship program.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.pearlandband.org/"} +{"d:Title": "Dripping Springs Tiger Band", "d:Description": "Includes information about the middle school and high school bands, color guard, boosters, and awards won.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.dsbands.org/"} +{"d:Title": "Marcus High School Marching Band", "d:Description": "Features schedules, multimedia, links, and information for members.Programs include concert band, marching band, percussion ensemble, and steel drum ensemble.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.marcusband.com/"} +{"d:Title": "The DeSoto Eagle Band", "d:Description": "Located in DeSoto, Texas. History, multimedia, band leaders, newsletters, awards, handbook, and photos.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.eagleband.org/"} +{"d:Title": "Nacogdoches Golden Dragon Band", "d:Description": "Military style marching band. Includes schedules, calendar, officers, and merchandise.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Texas", "url": "http://www.goldendragonband.com/"} +{"d:Title": "Viewmont High School Band", "d:Description": "Bountiful, Utah. Photos and links dating back to 1997.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Utah", "url": "http://www.angelfire.com/ut/VHSMB/"} +{"d:Title": "James Wood High School Band", "d:Description": "Located in Winchester, Virginia. Information on marching, concert, symphonic, and jazz bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://jwhsband.tripod.com/"} +{"d:Title": "Herndon High School Band", "d:Description": "Herndon, Virginia. Calendar, history, band parent information, fund raising, pictures, and sound files.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://www.herndonband.org/"} +{"d:Title": "Jefferson Forest High School Marching Band", "d:Description": "Includes news, calendar, photos, band booster details, fundraisers, section photos and newsletter. Located in Forest.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://www.jeffersonforestband.org/"} +{"d:Title": "Patrick Henry High School Rebel Regiment and Concert Band", "d:Description": "Includes news, calendar, FAQs, awards, photos, booster club information, handbook and trips. From Glade Spring.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://phhsband.wcs.k12.va.us/"} +{"d:Title": "Douglas S. Freeman High School Rebel Brigade", "d:Description": "Includes calendar, awards and honors, photo gallery, color guard news, details of band boosters organization. Located in Richmond.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://www.freemanband.org/"} +{"d:Title": "Robinson Secondary School Band Program", "d:Description": "Encompasses symphonic, concert, jazz, and marching band for grades 7 through 12. Includes calendar, competitions, festivals and awards, directors profiles, photo gallery, student leadership directory, band and orchestra parent organization, uniform information and forms. Located in Fairfax.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://www.robinsonband.org/"} +{"d:Title": "Hayfield Secondary School Bands", "d:Description": "Includes bulletins, calendars, profiles of directors, pictures, booster club information, administrative documents, awards, fundraising news and trip schedules. Located in Alexandria.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://www.hayfieldbands.com/Hayfield_High/High_Main.html"} +{"d:Title": "Churchland High School Marching Truckers Band", "d:Description": "Portsmouth, Virginia. Includes calendar, pictures, band boosters information, newsletter, and information on the Trucker Classic Marching Band Competition.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://www.churchlandband.com/"} +{"d:Title": "Ocean Lakes High School Band", "d:Description": "Virginia Beach, Virginia. Photos, message board, scrapbook, and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://olhsband.tripod.com/dolphins.html"} +{"d:Title": "George Wythe High School Mighty Maroon Marching Band", "d:Description": "Features awards, booster club, fund raisers, photos, calendar, directory of members, festival news and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Virginia", "url": "http://georgewytheband.com/"} +{"d:Title": "All-City Marching Band", "d:Description": "From Seattle. Includes events, schedule, forum, staff information and links.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Washington", "url": "http://www.allcityband.org/"} +{"d:Title": "Cascade Kodiak Band", "d:Description": "Representing Cascade High School and Icicle River Middle School of Leavenworth, Washington. Program consists of marching, concert, jazz, and pep bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Washington", "url": "http://www.cascadesd.org/Page/321"} +{"d:Title": "Iaeger High School Band of Gold", "d:Description": "Iaeger, West Virginia. Photos and information on the band from 1995 to 1999.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/West_Virginia", "url": "http://bandofgold.freeservers.com/"} +{"d:Title": "Capital High School Band", "d:Description": "Includes fund raisers, members, newsletter, photos and schedule. From Charleston, WV.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/West_Virginia", "url": "http://www.wvschools.com/capitalhighband/"} +{"d:Title": "Fairmont Senior High School Band", "d:Description": "Polar Bear Band in Fairmont, West Virginia. Web site introduces the band staff, and offers event information for alumni, students and staff.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/West_Virginia", "url": "http://polarbearband.tripod.com/"} +{"d:Title": "Buckhannon-Upshur Varsity Band", "d:Description": "Band guidelines, director's e-mail list, event calendar, and staff information. Located in Buckhannon.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/West_Virginia", "url": "http://bu_band.tripod.com/buband/index.html"} +{"d:Title": "Elkins High School Band", "d:Description": "The Marching Tigers, this website includes a \"Fight Song\" clip, band history, schedule of performances, and a letter from the director. Located in Elkins.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/West_Virginia", "url": "http://www.wvonline.com/ehs/band/band.html"} +{"d:Title": "University High School Band boosters", "d:Description": "The Hawks from Morgantown, West Virginia. Details the summer practice schedule, handbook, offers band recordings and merchandise for sale.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/West_Virginia", "url": "http://www.uhsband.net/"} +{"d:Title": "Parkersburg High School Big Red Marching Band", "d:Description": "Site offers music clips, a percussion section, band schedule, and band booster information. Located in Parkersburg.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/West_Virginia", "url": "http://www.phs-bigredband.com/"} +{"d:Title": "Waukesha North High School Bands", "d:Description": "Waukesha, Wisconsin", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Wisconsin", "url": "http://www.northstarbands.org/"} +{"d:Title": "Blackshirt Bands: Waukesha South H.S.", "d:Description": "Competition reports, news, photo galleries, and list of alumni.", "topic": "Top/Arts/Music/Marching/Marching_Bands/High_School/Wisconsin", "url": "http://www.blackshirtbands.org/"} +{"d:Title": "Townsend Junior High Marching Rangers", "d:Description": "Townsend, California.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Middle_School", "url": "http://www.townsendband.8k.com/"} +{"d:Title": "Washington Warrior Middle School Rock Island, IL", "d:Description": "The band page gives details of the 6th, 7th, and 8th grade members and their director by Mr. Peter Carlin.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Middle_School", "url": "http://www.angelfire.com/il/wband/index.html"} +{"d:Title": "Dutchtown Middle School Band", "d:Description": "This site contains information about the Dutchtown Middle School Band Program located in Geismar, Louisiana.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Middle_School", "url": "http://www.dmsband.org/"} +{"d:Title": "Bradley Middle School Band - San Antonio, Texas, U.S.A.", "d:Description": "An award-winning music program and is part of the North East Independent School District", "topic": "Top/Arts/Music/Marching/Marching_Bands/Middle_School", "url": "http://www.bradleyband.com/"} +{"d:Title": "United States Navy Ceremonial Band", "d:Description": "Performs at memorial services in Arlington National Cemetery,and is augmented by other band members to present honors for visiting dignitaries at White House and Pentagon ceremonies.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Military", "url": "http://www.navyband.navy.mil/"} +{"d:Title": "Army Bands Online", "d:Description": "The complete source for information on U.S. Army Bands", "topic": "Top/Arts/Music/Marching/Marching_Bands/Military", "url": "http://bands.army.mil/"} +{"d:Title": "The Sound Machine of the Inland Empire", "d:Description": "Independent youth band based in the Chino/Ontario area of southern California.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Youth", "url": "http://www.soundmachine.org/"} +{"d:Title": "BYBA OnLine - The British Youth Band Association", "d:Description": "This web site is a means for promoting the British youth banding activity and its member bands.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Youth", "url": "http://www.byba.org.uk/"} +{"d:Title": "Belturbet Youth Band", "d:Description": "A community based marching band consisting of accordions, tinwhistles, percussion and colorguard. Performed at Jersey Battle of Flowers, also in England, Wales and at local festivals.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Youth", "url": "http://www.iol.ie/~bricin/band"} +{"d:Title": "Edmonton Sabers Marching Band", "d:Description": "Canadian summer band for children provides membership information, links, multimedia, news, and contact details.", "topic": "Top/Arts/Music/Marching/Marching_Bands/Youth", "url": "http://www.edmontonsabers.com/"} +{"d:Title": "Mummers Home Page", "d:Description": "Links to all the divisions in the Parade. History, schedules of off season events, and tenative schedule for next year.", "topic": "Top/Arts/Music/Marching/Mummers", "url": "http://mummers.com/"} +{"d:Title": "Mummers Artist", "d:Description": "Information center for the Philadelphia Mummers Parade.", "topic": "Top/Arts/Music/Marching/Mummers", "url": "http://artistmummer.homestead.com/artistmummer.html"} +{"d:Title": "Greater Overbrook String Band", "d:Description": "Philadelphia, Pennsylvania group formerly known as the 69 Street String Band and the Whitman String Band. History, themes, image galleries and booking information.", "topic": "Top/Arts/Music/Marching/Mummers", "url": "http://www.overbrookstringband.com/"} +{"d:Title": "The Riverfront Mummers Museum", "d:Description": "Opened in 1976 dedicated to the Philadelphia Parade to celebrate New Years.", "topic": "Top/Arts/Music/Marching/Mummers", "url": "http://www.mummersmuseum.com/"} +{"d:Title": "Southern California School Band&Orchestra Association", "d:Description": "Organization founded in 1937 to address issues facing Southern California Band programs. Holds clinics, workshops, festivals, and other activities throughout the region.", "topic": "Top/Arts/Music/Marching/Organizations", "url": "http://www.scsboa.org/"} +{"d:Title": "Southern California Percussion Alliance", "d:Description": "Supports Winter Guard International (WGI) indoor percussion contests. Participants include Middle Schools, High Schools, College and Independent groups.", "topic": "Top/Arts/Music/Marching/Percussion", "url": "http://www.sc-pa.org/"} +{"d:Title": "Obsessive Compulsive Percussion Disorder", "d:Description": "Provides drumline sheet music, practice tips, articles, forums, sounds, and guestbook.", "topic": "Top/Arts/Music/Marching/Percussion", "url": "http://www.jaredoleary.com/"} +{"d:Title": "Drumline Blog", "d:Description": "News, pictures, and reviews centered around the art of marching percussion.", "topic": "Top/Arts/Music/Marching/Percussion", "url": "http://www.drumlineblog.com/"} +{"d:Title": "World Marching Percussion", "d:Description": "Dedicated to all aspects of marching percussion, drum corps, and pipes/drums. Includes interviews and discussions with those in the field.", "topic": "Top/Arts/Music/Marching/Percussion", "url": "http://www.lotpatrol.com/"} +{"d:Title": "Iowa State Drumline", "d:Description": "Information on how to become a member of the Iowa State University Marching Band Drumline.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://www.music.iastate.edu/org/drumline/"} +{"d:Title": "West Chester University Drumline", "d:Description": "Profile of the West Chester, PA group.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://members.tripod.com/wcudrumline/wcu2.htm"} +{"d:Title": "Notre Dame University Drumline", "d:Description": "Detailed members section, pictures, video clips, sheet music and links.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://www.nd.edu/~drumline/"} +{"d:Title": "University of Indiana Drumline", "d:Description": "Featuring a members section, pictures, alumni, a forum and links. Includes information on auditions.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://www.indiana.edu/~drumline/"} +{"d:Title": "University of Nebraska Drumline Alumni", "d:Description": "Introduction of past and present band members and instructors, sound and images.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://members.tripod.com/~Leahy/"} +{"d:Title": "Rutgers University Drumline", "d:Description": "Offers pictures, sounds, and other information.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://drumline.rutgers.edu/"} +{"d:Title": "Northwestern University Drumline", "d:Description": "Includes member list, multimedia, auditions, upcoming performances, and contact details.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://www.nudrumline.com/"} +{"d:Title": "Michigan State University Drumline", "d:Description": "Includes pictures, forums, music,member oster, sounds, alumni, and links.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/College", "url": "http://msu.edu/course/mus/155/drum.htm"} +{"d:Title": "Reading Buccaneer Bassline", "d:Description": "The site of this senior corps drumline features links, guestbook, and photos.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/Drum_Corps", "url": "http://www.members.tripod.com/gordo_21/index.htm"} +{"d:Title": "St. John's Drum Line", "d:Description": "Drumline located in Ontario, Canada accepts members ten to twenty one. Provides membership information, current members, staff, multimedia, calendar, and guestbook. Part of the Saint Johns Drum and Bugle Corps.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/Drum_Corps", "url": "http://stjohnsdrumline.tripod.com/"} +{"d:Title": "York High School Drumline", "d:Description": "York, Nebraska", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://members.tripod.com/~YHSDrumline/index.htm"} +{"d:Title": "Francis Howell North High School Drumline", "d:Description": "St. Charles, MO", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://members.tripod.com/~fhndrumline/index2.html"} +{"d:Title": "Highland High School Drumline", "d:Description": "Palmdale, CA", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/ca/highlanddrums/"} +{"d:Title": "Fort Myers High School Drumline", "d:Description": "Ft. Myers, FL", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://fmdl.8m.com/"} +{"d:Title": "Greenbrier East High School Drumline", "d:Description": "Greenbrier, West Virginia", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/wv/gehsdrumline/"} +{"d:Title": "Glynn Academy Drumline", "d:Description": "Sterling, Georgia", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/ga/glynnacademydrumline/"} +{"d:Title": "Wausau East High School Percussion Ensemble", "d:Description": "Wausau, WI", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://members.tripod.com/WausauEast/index1.htm"} +{"d:Title": "Gainsville High School Drumline", "d:Description": "Gainesville, FL", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.afn.org/~drumline/"} +{"d:Title": "River Valley Marching Mustangs Drumline", "d:Description": "Three Oaks, Michigan", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/mi/rvdrumline/"} +{"d:Title": "Northline, The", "d:Description": "Blue Valley North drumline in Overland Park, Kansas. Includes photos, schedule, drumline guide, and contact information.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://members.tripod.com/~bvn_drumline/"} +{"d:Title": "Ohio County High School Marching Eagles Drumline", "d:Description": "Hartford, KY", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://members.tripod.com/~ocdl/home.html"} +{"d:Title": "King Philip High School Drumline", "d:Description": "2000 WGI Scholastic World Champions", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/ma2/kpdrum2/"} +{"d:Title": "Kenowa Hills High School Drumline", "d:Description": "Grand Rapids, MI", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://members.tripod.com/~Shakedown2112/kh.html"} +{"d:Title": "John F. Kennedy High School Drumline", "d:Description": "Granada Hills, CA", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/ca/drummers/"} +{"d:Title": "Del Oro High School Drumline", "d:Description": "Loomis, California", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/ca4/delorodrumline/"} +{"d:Title": "Roger Bacon High School Drumline", "d:Description": "Cincinnati,OH", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/rock/1bacondrum/"} +{"d:Title": "Chambersburg High School Drumline", "d:Description": "Chambersburg, Pennsylvania", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/pa/CASHS/"} +{"d:Title": "Gilmer High School Drum Line", "d:Description": "Gilmer, Texas", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/tx/ghsdrum/"} +{"d:Title": "Braddock High School Drumline", "d:Description": "Miami, Florida. Includes band profile, and photographs.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/mi/tenors/"} +{"d:Title": "Fossil Ridge Panther Regiment Drumline", "d:Description": "Fort Worth, Texas", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://members.tripod.com/~Cajmet/drumline.html"} +{"d:Title": "East High School Drumline", "d:Description": "Corning, NY", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/ny/EastDrumline/"} +{"d:Title": "Coronado High School Drumline", "d:Description": "Scottsdale, Arizona", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/az/CoronadoDrumline/"} +{"d:Title": "Miami Palmetto High School Drumline", "d:Description": "Members information, sheet music, audio, pictures, and links.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://palmettodrumline.8m.com/"} +{"d:Title": "Ridley Raider Percussion", "d:Description": "Ridley, PA.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/band/ridleydrumline/"} +{"d:Title": "Highland Regional High School Drumline", "d:Description": "Blackwood, NJ.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/nj/homespank/main.html"} +{"d:Title": "Van Buren High School Percussion", "d:Description": "Arkansas", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/ar/vbpercussion/"} +{"d:Title": "Great Mills High School Drumline", "d:Description": "Great Mills, Maryland. Pictures, news, profiles, and forum.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/band/gmhsdrumline/"} +{"d:Title": "Tennessee High School Drumline", "d:Description": "Bristol, Tennessee-based, student-run group. Includes profiles, achievements, gear, and photos.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/tn3/thsdrumline/"} +{"d:Title": "Villa Park High School Drum Line", "d:Description": "Includes member list, schedule, photos, equipment information, mp3s, and guestbook. Located in Villa Park.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://home.earthlink.net/~sidlers/Web/"} +{"d:Title": "Courtland High School Drumline", "d:Description": "Includes news, biographies, pictures, audio, links, and contact details.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://courtland-drumline.tripod.com/"} +{"d:Title": "Ronald Reagan High School Drumline", "d:Description": "Includes director profile, section photos, news, multimedia and links. Located in San Antonio, Texas.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://reagandrumline.tripod.com/"} +{"d:Title": "St. Cecilia BlueHawk Drumline", "d:Description": "Includes members, information, multimedia, links, and guestbook.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://stcdrumline.tripod.com/"} +{"d:Title": "The Lincoln Drumline Website", "d:Description": "Includes member list, calendar, pictures, audio, links, guestbook, and member information.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.brentbutler.com/drumline/"} +{"d:Title": "Bensalem High School Drumline", "d:Description": "Features audio, news, calendar, pictures, and guestbook.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/pa2/BensalemDrumline/"} +{"d:Title": "Bedford High School Drumline", "d:Description": "Pictures, links, practice tips, schedule, and news.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.angelfire.com/sc2/bhshardcorps/"} +{"d:Title": "Countryside HS Drum Line", "d:Description": "Includes calendar, photos, links, news, and contact details.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://gcipe.20megsfree.com/"} +{"d:Title": "Marcus High School Percussion and Drumline", "d:Description": "Flower Mound, Texas - eight time PASIC Champions. Host of the Lone Star Classic, largest High School Drumline Competition.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/High_School", "url": "http://www.marcuspercussion.com/"} +{"d:Title": "Black Knights Drumline", "d:Description": "Educating youth ages fourteen to twenty oneLocated in Burbank, California. Includes programs, news, schedule, audition information, and staff biographies.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/Independent", "url": "http://www.blackknights.org/"} +{"d:Title": "Su Fu Du", "d:Description": "Noncompetitive Winter drumline for youth ages fifteen to twenty one. Contains audition information, history, photo album, sounds, current members, and contact details.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/Independent", "url": "http://www.sufudu.org/"} +{"d:Title": "Music City Mystique", "d:Description": "Competitive winter and parade drumline for youth ages fourteen to twenty one. Features audition information, events, multimedia, staff, and contact details.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/Independent", "url": "http://www.musiccitymystique.org/"} +{"d:Title": "Rhythm X Performance Ensemble", "d:Description": "Drumline for youth ages fourteen to twenty one competing in the Winter Guard International activity. Includes membership details, current members, staff, multimedia, news, schedule, and contact information.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/Independent", "url": "http://www.rhythmx.org/"} +{"d:Title": "Gateway Percussion", "d:Description": "Competitive youth winter drumline featuring membership information, staff, forums, multimedia, links, calendar, and music.", "topic": "Top/Arts/Music/Marching/Percussion/Drumlines/Independent", "url": "http://www.gatewayindoor.org/"} +{"d:Title": "Hip Pickles", "d:Description": "An Interesting percussion ensemble", "topic": "Top/Arts/Music/Marching/Percussion/Ensembles", "url": "http://www.hippickles.com/"} +{"d:Title": "Mt. Lebanon Percussion", "d:Description": "High school marching band. Ensembles, rehearsals, schedules, photographs and news.", "topic": "Top/Arts/Music/Marching/Percussion/Ensembles/High_School", "url": "http://mtlpercussion.org/"} +{"d:Title": "Inspiration Twirlers", "d:Description": "Part of TIDA Chapter 11, which is located in Pennsylvania, Johnstown area.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.angelfire.com/pa/insptwirlers/"} +{"d:Title": "Twirl Mania", "d:Description": "A site dedicated to baton twirlers around the world to keep up on all the latest information regarding the Sport of Baton Twirling.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.twirlmania.com/"} +{"d:Title": "Twirling the Nights Away!", "d:Description": "Page with links, camp information, instructions, tricks, etc", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.angelfire.com/ma/batontwirling/"} +{"d:Title": "British Isles Majorette Association", "d:Description": "Official site includes history, news, pictures, and membership information.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://bima0.tripod.com/bima/"} +{"d:Title": "Pink Ladies Twirling Team", "d:Description": "Current news, calendar of events and photographs.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://members.tripod.com/KE27/"} +{"d:Title": "Pride Baton Twirlers", "d:Description": "Dryden, New York, offering classes from ages 4 up.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.angelfire.com/ny/pridetwrlrs/index.html"} +{"d:Title": "United States Twirling Association (USTA)", "d:Description": "Promotes the sport of twirling through its programs, events and competitions. Features membership levels and benefits, conventions and clinics, results, and a photo gallery.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.ustwirling.com/"} +{"d:Title": "University of Texas Longhorn Band Twirler", "d:Description": "The University of Texas at Austin Feature Twirler, Coral Noonan. Links, images, fan club, and biography.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.texastwirler.com/"} +{"d:Title": "Alberta Baton Twirling Association", "d:Description": "Includes news, competition results, pictures, entry forms and a calendar of events. Based in Edmonton, Alberta.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.albertabaton.com/"} +{"d:Title": "The Gemini Baton Twirlers", "d:Description": "Offers news, team history, photos, event schedules, and a member roster. Based in Dorset, England.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.angelfire.com/stars4/geminitwirlteam/"} +{"d:Title": "Ontario Baton Twirling Association", "d:Description": "A non-profit organization to provide programs and services to all registered members and is dedicated to the growth and development of baton twirling and its athletes.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.obta.ca/"} +{"d:Title": "Canadian Baton Twirling Federation", "d:Description": "Society to foster the development of amateur twirlers, coaches, managers, trainers, judges and officials who desire to advance amateur twirling in Canada.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.cbtf.ca/"} +{"d:Title": "Scottish Federation of Baton Twirling", "d:Description": "SFBT promotes the sport of twirling in Scotland. Includes news, competitions and results.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.sfbt.org.uk/"} +{"d:Title": "Oregon State Baton Council", "d:Description": "Information about local, regional and national baton competitions, including registration forms, and about twirling in Oregon.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.oregonbaton.org/"} +{"d:Title": "British Baton Twirling Sports Association", "d:Description": "Promotes the sport of Baton Twirling in Britain, includes regional information, competitions and news.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.bbtsa.co.uk/"} +{"d:Title": "New York Baton Council", "d:Description": "Regulates all competitions and twirling events on Long Island, consisting of coaches, judges and interested parents from the New York area.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.nytwirling.com/"} +{"d:Title": "Aerial Fusion", "d:Description": "Non-profit organization supporting the growth and development of recreational and competitive baton programs in Winnipeg, Manitoba, Canada.", "topic": "Top/Arts/Music/Marching/Twirlers", "url": "http://www.leaguelineup.com/welcome.asp?url=afbaton"} +{"d:Title": "From Scene to Shining Screen: A Short History of Film Music", "d:Description": "Summary of Hollywood's interrelationship with composers and musicians from the silent period through the 20th Century. By composer and UCLA professor Paul Chihara for American Composers Orchestra.", "topic": "Top/Arts/Music/Movies", "url": "http://www.americancomposers.org/hollywood_chihara_article.htm"} +{"d:Title": "Film Score Rundowns", "d:Description": "Cue-by-cue analysis of actual written film and television scores by Bill Wrobel.", "topic": "Top/Arts/Music/Movies", "url": "http://www.filmscorerundowns.net/"} +{"d:Title": "Film Music", "d:Description": "Rubberneck/Chris Blackford commentary on noted scores and their composers. Includes both known and relatively obscure people and works.", "topic": "Top/Arts/Music/Movies", "url": "http://www.users.globalnet.co.uk/~rneckmag/filmmusic.html"} +{"d:Title": "Film Music Magazine", "d:Description": "Professional trade publication for the film and television music community.", "topic": "Top/Arts/Music/Movies", "url": "http://www.filmmusicmag.com/"} +{"d:Title": "German-Hollywood Connection", "d:Description": "Germanic composers of film music are listed with background material. Includes biographies and sketches.", "topic": "Top/Arts/Music/Movies/Composers", "url": "http://www.germanhollywood.com/"} +{"d:Title": "Society of Composers and Lyricists", "d:Description": "Non profit organization with history in scoring for motion pictures and television, includes a member list and membership information.", "topic": "Top/Arts/Music/Movies/Composers", "url": "http://www.thescl.com/site/scl/"} +{"d:Title": "Brown, Bill", "d:Description": "Film and new media composer. Credits include Ali, Any Given Sunday, and Finding Forrester. News items, credits, reviews, online store, and MP3 samples for download.", "topic": "Top/Arts/Music/Movies/Composers/B", "url": "http://billbrownmusic.com/"} +{"d:Title": "Boswell, Simon", "d:Description": "Official site with biography, filmography, discography and press articles. Credits include Hackers, Cousin Bette, and Photographing Fairies.", "topic": "Top/Arts/Music/Movies/Composers/B", "url": "http://www.simonboswell.com/"} +{"d:Title": "Beal, John", "d:Description": "Film and television composer based in Hollywood. Credits include numerous blockbuster trailers, The April Fool, and Happy Days. Biography, filmography, audio samples, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/B", "url": "http://www.composerjohnbeal.com/"} +{"d:Title": "Castor, Jann C.", "d:Description": "Award-winning songwriter and composer for film, television, and theater. Credits include K-9 The Widowmaker, Asylum Days, and Red Express. Biography, filmography, discography, awards, poetry, music clips, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/C", "url": "http://www.composing.com/"} +{"d:Title": "Chesne, Steven", "d:Description": "Film and television composer of symphonic music based in Los Angeles. Credits include Family Matters, Zen Noir, and Monsoon Wife. Biography, filmography, photographs, music samples, and links.", "topic": "Top/Arts/Music/Movies/Composers/C", "url": "http://chezworks.com/"} +{"d:Title": "Calder\u00f3n, Jes\u00fas", "d:Description": "Short film and media composer based in Seville, Spain. Biography, filmography, discography, audio clips, current projects, contact information, and links.", "topic": "Top/Arts/Music/Movies/Composers/C", "url": "http://www.jesuscalderon.com/"} +{"d:Title": "Clark, Ewan", "d:Description": "Film music composer, orchestrator, conductor and copyist living in London, UK. Biography, credits, audio samples, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/C", "url": "http://www.ewanclarkmusic.com/"} +{"d:Title": "Danna, Mychael", "d:Description": "Canadian film composer with credits including Little Miss Sunshine, The Time Traveler's Wife, and 8mm. Biography, filmography, sheet music for purchase, and interviews.", "topic": "Top/Arts/Music/Movies/Composers/D", "url": "http://www.mychaeldanna.com/"} +{"d:Title": "Daum, Gerhard", "d:Description": "Composer and musician whose credits include Prince of Swine and Gro\u00dfe Freiheit. Biography, audio and video clips, awards, credits, discography, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/D", "url": "http://gerharddaum.com/"} +{"d:Title": "Fampas, Vangelis", "d:Description": "Greek film, television, and theater composer based in New York. Biography, filmography, current projects, photographs, contact information, and links.", "topic": "Top/Arts/Music/Movies/Composers/F", "url": "http://vangelisfampas.4t.com/"} +{"d:Title": "Giacco, Carlo", "d:Description": "Los Angeles-based composer and orchestrator for film and television. Credits include December Boys, Lost Things, and 15 Amore. Filmography, news, showreel, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/G", "url": "http://www.carlogiacco.com/"} +{"d:Title": "Given, John", "d:Description": "Composer for television and film. Short biography, credits, MP3 sound samples, and links.", "topic": "Top/Arts/Music/Movies/Composers/G", "url": "http://www.johngiven.com/"} +{"d:Title": "Gunning, Christopher", "d:Description": "British composer whose credits include La Vie en Rose, Middlemarch, and Porterhouse Blue. Biography, filmography, concert credits, discography, press reviews, music samples in MP3 format, photographs, weblog, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/G", "url": "http://www.christopher-gunning.co.uk/"} +{"d:Title": "Gates, Matt", "d:Description": "Biography, filmography, contact information, and links.", "topic": "Top/Arts/Music/Movies/Composers/G", "url": "http://www.symphonicuproar.com/"} +{"d:Title": "Hester, Eric", "d:Description": "Award-winning film and television composer, producer and songwriter based in Los Angeles. Credits include Bollywood and Vine, Nash Bridges, and A Night at Sophie's. Biography, filmography, audio samples, contact information, and links.", "topic": "Top/Arts/Music/Movies/Composers/H", "url": "http://www.hestermusic.com/"} +{"d:Title": "Hewett, Roger", "d:Description": "England-born pianist, orchestator, and composer for film and television based in Montreal, Canada. Credits include Frankenstein (2004), Mambo Italiano, and Danielle Steel's Vanished. Biography, credits, audio samples, upcoming projects, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/H", "url": "http://www.rogerhewett.com/"} +{"d:Title": "Kaczmarek, Jan A.P.", "d:Description": "Award-winning Polish composer whose credits include Unfaithful, A Soldier's Girl, and Finding Neverland. Biography, detailed list of works, article scans, photographs, multimedia, sheet music, news, and links.", "topic": "Top/Arts/Music/Movies/Composers/K", "url": "http://www.jan-ap-kaczmarek.com/"} +{"d:Title": "Keane, Brian", "d:Description": "Connecticut-based composer of film and television scores. Credits include The American Experience, Bluegrass Basketball, and The Night Flier. Biography, discography, filmography, reviews, photographs, and links.", "topic": "Top/Arts/Music/Movies/Composers/K", "url": "http://www.briankeanemusic.com/"} +{"d:Title": "Korzeniowski, Abel", "d:Description": "Award-winning composer whose most notable credit is for the remastered Metropolis score. Biography, news, music clips, film trailers, mailing list, and weblog.", "topic": "Top/Arts/Music/Movies/Composers/K", "url": "http://www.abelkorzeniowski.com/"} +{"d:Title": "Miller, Randy", "d:Description": "Credits include The Fast and the Furious, Spartacus, and Shanghai Red. Biographical information, sound clips, filmography, photographs, and news.", "topic": "Top/Arts/Music/Movies/Composers/M", "url": "http://www.randymiller.com/"} +{"d:Title": "Majzlin, David", "d:Description": "New York-based composer for film and theater music. Biography, credits, MP3 audio samples by genre, and links.", "topic": "Top/Arts/Music/Movies/Composers/M", "url": "http://www.davidmajzlin.com/"} +{"d:Title": "Mansfield, David", "d:Description": "Film composer and musician based in New York. Credits include Year of the Dragon, The Stepford Wives, and The Sicilian. Biography, filmography, weblog, events, awards and nominations, MP3 music clips, photographs, forum, contact information, and links.", "topic": "Top/Arts/Music/Movies/Composers/M", "url": "http://www.david-mansfield.com/"} +{"d:Title": "Moore, Alan", "d:Description": "Freelance composer for documentaries, television advertisements, and short films. Biography, credits, projects, news, music samples, and links.", "topic": "Top/Arts/Music/Movies/Composers/M", "url": "http://alanmooremusic.com/"} +{"d:Title": "Nicolett, Gregory", "d:Description": "Credits include Smallville, Chicago Overcoat, and The Maltese Murder Mystery. Biography, credits, showreel, weblog, photographs, and links.", "topic": "Top/Arts/Music/Movies/Composers/N", "url": "http://www.gregnicolett.com/"} +{"d:Title": "Ottman, John", "d:Description": "Credits include \"The Usual Suspects\" and several other Bryan Singer films. Projects, biography and news.", "topic": "Top/Arts/Music/Movies/Composers/O", "url": "http://www.johnottman.com/"} +{"d:Title": "Ramos, Ariel J.", "d:Description": "Television, commercial, and independent film composer whose credits include Terminator: The Sarah Connor Chronicles, Pushing Daisies, and BulletFighter. Includes filmography, music samples, and video clips.", "topic": "Top/Arts/Music/Movies/Composers/R", "url": "http://www.arieljramos.com/"} +{"d:Title": "Schmidt-Hambrock, Jochen", "d:Description": "Assorted original movie music created with synthesizers. Includes biography, filmography, MP3 files, CD covers, and press links.", "topic": "Top/Arts/Music/Movies/Composers/S", "url": "http://www.jochenschmidt.de/"} +{"d:Title": "Schwartz, David", "d:Description": "Credits include the Ellen Show, Deadwood, and True Confessions of a Hollywood Starlet. Biography, filmography, sample MP3 audio, contact information, and links.", "topic": "Top/Arts/Music/Movies/Composers/S", "url": "http://www.davidschwartzmusic.com/"} +{"d:Title": "Slater, Mark", "d:Description": "Official site of the film composer, with streaming music examples, news, contact details, tips and advice and film score reviews.", "topic": "Top/Arts/Music/Movies/Composers/S", "url": "http://www.markslater.net/"} +{"d:Title": "Sim, Samuel", "d:Description": "British composer whose credits include Awake, House of Saddam, and The Damned United. Biography, filmography, showreel, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/S", "url": "http://www.samuelsim.com/"} +{"d:Title": "Sheppard, Philip", "d:Description": "Film and television composer who frequently collaborates with UNKLE. Weblog features biography, sound samples, contact information, and press links.", "topic": "Top/Arts/Music/Movies/Composers/S", "url": "http://philipsheppard.com/"} +{"d:Title": "Wurman, Alex", "d:Description": "Composer based in Hollywood. Credits include Thirteen Conversations about One Thing, Hollywood Homicide, and Talladega Nights: The Ballad of Ricky Bobby. Biography, filmography,film clips, audio samples, press clippings, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/W", "url": "http://www.alexwurman.com/"} +{"d:Title": "Wilkinson, Henri", "d:Description": "Award-winning Finnish composer based in Los Angeles. Biography, filmography, showreel, and contact information.", "topic": "Top/Arts/Music/Movies/Composers/W", "url": "http://www.henriwilkinson.com/"} +{"d:Title": "Ziliani, Laurent", "d:Description": "Los Angeles-based composer originally from Cannes. Biography and r\u00e9sum\u00e9, filmography, news, photographs, music clips, contact information, and links.", "topic": "Top/Arts/Music/Movies/Composers/Z", "url": "http://www.laurentziliani.com/"} +{"d:Title": "Musical World of Rocky Horror", "d:Description": "Information about Rocky Horror audio. A sounds collection as well as related song lyrics, and reviews. Scans of covers of CDs and vinyl and information on box sets. Images of performers over the years.", "topic": "Top/Arts/Music/Movies/Rocky_Horror_Picture_Show,_The", "url": "http://www.rockymusic.org/"} +{"d:Title": "Riff's Rocky Horror CD Compendium", "d:Description": "Rocky Horror CD information sources. Main information on each CD as well as images of the front cover, back cover and CD. Includes CDs, CD singles, CD box sets, and CDs with something related to RH on it.", "topic": "Top/Arts/Music/Movies/Rocky_Horror_Picture_Show,_The", "url": "http://members.tripod.com/riffffffff/"} +{"d:Title": "Digital Image Archive of Medieval Music", "d:Description": "Archive of Early and Medieval music manuscripts and scores. Hundreds of scanned images available, with higher resolution ones for members.", "topic": "Top/Arts/Music/Musicology", "url": "http://www.diamm.ac.uk/"} +{"d:Title": "German National Discography", "d:Description": "Listing of all 78RPM recordings made in Germany from 1890-1960.", "topic": "Top/Arts/Music/Musicology", "url": "http://www.lotz-verlag.de/discography.html"} +{"d:Title": "The Keys of Atlantis", "d:Description": "Chapter 1 of this book by Peter Wakefield Sault, that is concerned with the mathematical derivations of the western system of music, the Babylonian unit of rotation and the minute of time.", "topic": "Top/Arts/Music/Musicology", "url": "http://www.odeion.org/atlantis/"} +{"d:Title": "American Music Resource", "d:Description": "Searchable database of primary bibliographical and Internet sources for American music.", "topic": "Top/Arts/Music/Musicology", "url": "http://www.amrhome.net/"} +{"d:Title": "Music Ontology Specification", "d:Description": "A SourceForge project for organizing the main concepts and properties for describing music (artists, albums, tracks, performances, arrangements) on the Semantic Web.", "topic": "Top/Arts/Music/Musicology", "url": "http://musicontology.com/"} +{"d:Title": "WWW Sites for Musicologists", "d:Description": "Extensive collection of links.", "topic": "Top/Arts/Music/Musicology", "url": "http://www.ams-net.org/www-musicology.php"} +{"d:Title": "Doctoral Dissertations in Musicology-Online", "d:Description": "The site presents an index to dissertations-in-progress and a bibliography of completed dissertations reported since mid-1995, arranged under the traditional broad categories.", "topic": "Top/Arts/Music/Musicology", "url": "http://www.chmtl.indiana.edu/ddm/"} +{"d:Title": "Ohio State University: Music Cognition", "d:Description": "A unique degree program which combines psychology, music theory, systematic musicology, and ethnomusicology into the study of human brains. Articles, workshops, and resources on music cognition.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments", "url": "http://www.music-cog.ohio-state.edu/"} +{"d:Title": "University of Cincinnati: Composition, Musicology and Theory", "d:Description": "Includes course, faculty, and degree requirements, as well as links to the Center for Computer Music and several concert series.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments", "url": "http://www.ccm.uc.edu/comp_theory_hist/"} +{"d:Title": "University of South Africa: Subsection of Musicology", "d:Description": "Offering courses in the history of music, music in world cultures, and compositional theory.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments", "url": "http://www.unisa.ac.za/Default.asp?Cmd=ViewContent&ContentID=10798"} +{"d:Title": "Institute for Psychoacoustics and Electronic Music", "d:Description": "Department of Musicology at Ghent University, Belgium. Includes department history, information about education, activities, and research.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments", "url": "http://www.ipem.ugent.be/"} +{"d:Title": "UCLA Department of Musicology", "d:Description": "The University of California at Los Angeles offers undergraduate and graduate degrees in Musicology. Includes history of the department, faculty bios, and links to journals and pages of recent Ph.D. students.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments", "url": "http://www.musicology.ucla.edu/"} +{"d:Title": "Indiana University Musicology Department", "d:Description": "Includes information about faculty, programs, courses, entrance examinations, and financial aid.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments", "url": "http://www.music.indiana.edu/departments/academic/musicology/"} +{"d:Title": "University of Helsinki", "d:Description": "Department of Musicology: includes general information, and not all the pages are in English.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments/Finland", "url": "http://www.music.helsinki.fi/"} +{"d:Title": "University of Jyv\u00e4skyl\u00e4", "d:Description": "Department of Music; information about courses and research programs in musicology. English and Finnish.", "topic": "Top/Arts/Music/Musicology/Education/Academic_Departments/Finland", "url": "https://www.jyu.fi/hum/laitokset/musiikki/"} +{"d:Title": "Tomita, Yo", "d:Description": "Personal information, academic interests, and links. School of Music, Queen's University of Belfast.", "topic": "Top/Arts/Music/Musicology/Education/Teachers", "url": "http://www.music.qub.ac.uk/~tomita/"} +{"d:Title": "CV dr. H. Honing", "d:Description": "University of Amsterdam professor of music cognition, representation, and technology. Includes CV, biography.", "topic": "Top/Arts/Music/Musicology/Education/Teachers", "url": "http://cf.hum.uva.nl/mmm/personal/honing.html"} +{"d:Title": "Laura Stanfield Prichard", "d:Description": "Lecturer, choral conductor, and teacher in the San Francisco Bay area. Site includes biography, resume, and information about music research, and university course curricula.", "topic": "Top/Arts/Music/Musicology/Education/Teachers", "url": "http://www.prichard.net/laura/"} +{"d:Title": "Coombsweb: National Institute of Asian and Pacific Studies", "d:Description": "The portal for several electronic journals and regional virtual libraries about Indonesia, New Guinea, Vietnam, and other South East Asian countries. The virtual libraries include photos, sound, videos, articles, and bibliographies.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology", "url": "http://coombs.anu.edu.au/"} +{"d:Title": "Ethnomusicology Resources on the Web", "d:Description": "Contains links to ethnomusicology articles about Asian, African, American, and European music traditions. Also contains general academic research links.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology", "url": "http://echarry.web.wesleyan.edu/ethno.html"} +{"d:Title": "Pontic Music Home Page", "d:Description": "An introduction to this music, as well as a detailed modern history of Pontic peoples. Includes information on the Karadeniz Kemence, a fiddle played by Pontic, Laz, and Hemsin people.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology", "url": "http://www.scimitarmusic.com/pontos/"} +{"d:Title": "Archives of African American Music and Culture", "d:Description": "Materials held by Indiana University covering various musical idioms and cultural expressions from the post-World War II era. Includes a searchable database and publications listing.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://www.indiana.edu/~aaamc/"} +{"d:Title": "Archives of Traditional Music", "d:Description": "General information about the Indiana University Archives, including listings of journal contents and a searchable archive database.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://www.indiana.edu/~libarchm/"} +{"d:Title": "Southern Mosaic", "d:Description": "The John and Ruby Lomax 1939 Southern States Recording Trip is a multi-format ethnographic field collection including nearly 700 sound recordings (102 of which are performed in Spanish), fieldnotes, dust jackets, and other manuscripts documenting a three-month, 6,502 mile trip through the Southern United States collecting folksongs. Includes a virtual journal of the trip, with text, pictures and sound.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://memory.loc.gov/ammem/lohtml/lohome.html"} +{"d:Title": "UCLA Ethnomusicology Archive", "d:Description": "Includes sound recordings of folk, ethnic, and non-western classical music, a description of their archival techniques, and listing of current collections.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://www.ethnomusic.ucla.edu/archive/"} +{"d:Title": "Archive of Folk Culture Collections", "d:Description": "From The American Folklife Center, Library of Congress. Information, links to online publications and guides to the collections.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://www.loc.gov/folklife/archive.html"} +{"d:Title": "Archive of World Music - Harvard College Library", "d:Description": "Contains large collections of print works and recordings from Turkey, South India, and of Byzantine chant, in addition to other holdings.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://hcl.harvard.edu/libraries/loebmusic/collections/archive.html"} +{"d:Title": "Global Music Archive - Vanderbilt University", "d:Description": "A multimedia reference archive and resource center for traditional and popular song, music, and dance of Africa and the Americas. Includes bibliographies, searchable collections, and publications.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://www.globalmusicarchive.org/"} +{"d:Title": "The British Library Sound Archive", "d:Description": "A search engine for world music recordings with RealAudio samples, as well as links to other world music archives in the UK.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://cadensa.bl.uk/cgi-bin/webcat"} +{"d:Title": "Ralph Rinzler Folklife Archives and Collections", "d:Description": "The Smithsonian archives house the Moses and Frances Asch collection, consisting of the entirety of Folkways Records, and the Rinzler Archives, consisting of the written, audio, and visual records of projects and exhibits sponsored by the Center for Folklife and Cultural Heritage, including the Smithsonian Folklife Festival. Contains general collections and grant information", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Archives", "url": "http://www.folklife.si.edu/archives_resources/about.aspx"} +{"d:Title": "Latvian Ethnomusicology", "d:Description": "Listings of institutions, organizations, people, and publications dedicated to the study of Latvian ethnomusicology and culture.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Directories", "url": "http://www.music.lv/ethnomusicology/"} +{"d:Title": "The Open University Musics and Cultures Research Group", "d:Description": "Based at the Music Department at the Open University in Milton Keynes, England. Includes information about seminars and research programs.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://www.open.ac.uk/Arts/music/muscult.htm"} +{"d:Title": "UCLA Ethnomusicology Department", "d:Description": "The oldest ethnomusicology department in America, located in Los Angeles, California. Information about courses, faculty, news, ensembles and activities.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://www.ethnomusic.ucla.edu/"} +{"d:Title": "Ethnomusicology at Ohio State University", "d:Description": "With a focus on cognitive ethnomusicology and historical musicology, the OSU program offers undergraduate and graduate degrees. Includes faculty and student profiles and projects, event and conference calendar, and ethnomusicology web links.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://ethnomusicology.osu.edu/"} +{"d:Title": "School of Oriental and African Studies, University of London", "d:Description": "Offering B.A. and M.Mus. programs in Ethnomusicology. SOAS also hosts conferences, and publishes a series of world music recordings.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://www.soas.ac.uk/music/research/"} +{"d:Title": "University of Maryland School of Music", "d:Description": "Musicology&Ethnomusicology Division, offering MA and PhD degrees in musicology and ethnomusicology. Includes faculty biographies and information on world music ensembles.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://www.music.umd.edu/divisions/musicology_and_ethnomusicology/"} +{"d:Title": "Candaian Centre for Ethnomusicology at the University of Alberta", "d:Description": "The centre's mission is promoting collaborative research between scholars and musicians, the University and the local as well as national and international communities. M.A. and Ph.D. programs are offered.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://www.fwalive.ualberta.ca/home/"} +{"d:Title": "Wesleyan Music Department", "d:Description": "Located in Middletown, Connecticut, with one of the oldest ethnomusicology programs in the country. Features a number of notable world music ensembles, and offers B.A., M.A., and Ph.D. degrees.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://www.wesleyan.edu/music/"} +{"d:Title": "Center for Ethnomusicology at Columbia University", "d:Description": "Studies and urban and popular musics are available at the graduate level, leading to the M.A., M.Phil. and Ph.D. degrees.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Education", "url": "http://www.ethnocenter.org/"} +{"d:Title": "Lucie Rault", "d:Description": "Biography and a list of publications of this ethnomusicologist who specializes in Chinese music.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://www.philmultic.com/rault/"} +{"d:Title": "Tran Van Khe", "d:Description": "Curriculum vitae, biography and a list of publications of this renowned Ethnomusicologist.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://www.philmultic.com/tran/"} +{"d:Title": "Waring Music", "d:Description": "Dennis Waring, Ph.D., Ethnomusicologist gives educational workshops in world music. Information on teaching programs, performances, and publications.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://www.waringmusic.com/"} +{"d:Title": "Dieter Christensen", "d:Description": "Columbia University ethnomusicologist, who has written on Kurdish music. Includes CV and list of publications.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://www.columbia.edu/~dc22/"} +{"d:Title": "Eric Charry", "d:Description": "Information on Mande music of West Africa, and links to hundreds of online ethnomusicology resources.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://echarry.web.wesleyan.edu/"} +{"d:Title": "Kai Fikentscher", "d:Description": "Listing of publications and lectures by this independent ethnomusicologist.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://users.rcn.com/hubby/kai.html"} +{"d:Title": "Steel, David Warren", "d:Description": "Professor of music and southern culture at the University of Mississippi. Includes links to singing related sites, abstracts of published books, and book reviews.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://www.mcsr.olemiss.edu/~mudws/"} +{"d:Title": "Amelia Ingram", "d:Description": "Wesleyan ethnomusicology student who specializes in the musics of Trinidad and Tobago. Includes resources on parang music.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://aingram.web.wesleyan.edu/"} +{"d:Title": "Lisa Urkevich", "d:Description": "Specialist in music of the Arabian Peninsula. Includes photos and sound clips.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://www.urkevich.com/"} +{"d:Title": "Roderic Knight", "d:Description": "Professor of Ethnomusicology at Oberlin (USA) whose research interests include Mandinka drumming from West Africa and Javanese gamelan.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Ethnomusicologists", "url": "http://www.oberlin.edu/faculty/rknight/"} +{"d:Title": "Transcultural Music Review", "d:Description": "Exploration of all types of music from transcultural and interdisciplinary perspectives. Includes online format of issues from 1995-1999.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Journals", "url": "http://www.sibetrans.com/trans/"} +{"d:Title": "Asian Music", "d:Description": "Journal of the Society for Asian Music: includes subscription and submission information.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Journals", "url": "http://www.skidmore.edu/academics/asianmusic/"} +{"d:Title": "Min-Ad Israel Studies in Musicology", "d:Description": "A scholarly web journal published sponsored by the Israel Musicological Society. It includes articles, reviews, and readers' feedback on articles.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Journals", "url": "http://www.biu.ac.il/hu/mu/ims/Min-ad/"} +{"d:Title": "European Meetings in Ethnomusicology", "d:Description": "Published by the Romanian Society for Ethnomusicology. Includes current issue and contact information for the society.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Journals", "url": "http://eme.ong.ro/"} +{"d:Title": "The World of Music", "d:Description": "Journal of the Department of Ethnomusicology, published by the Otto-Friedrich University at Bamberg, Germany. Contains contents and abstracts of all issues, as well as the World of Music Bibliography.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Journals", "url": "http://the-world-of-music-journal.blogspot.com/"} +{"d:Title": "Ethnomusicology Online", "d:Description": "The peer-reviewed web journal of the University of Maryland. Includes articles, reviews, and a Cambodian music informational page.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Journals", "url": "http://www.umbc.edu/eol/"} +{"d:Title": "Musikmuseet", "d:Description": "Directions and basic collection information on this Swedish folk art museum located in Stockholm. In English and Swedish.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Museums", "url": "http://stockholm.music.museum/"} +{"d:Title": "SouthEastern Bluegrass Association", "d:Description": "Learn about bluegrass jams, performances, festivals, and contests happening in the South East of America.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://www.sebabluegrass.org/"} +{"d:Title": "American Folklore Society", "d:Description": "The society aims to stimulate interest and research in all aspects of the study of folklore and folklife. Includes information about activities and links to related resources.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://afsnet.org/"} +{"d:Title": "Society for Ethnomusicology", "d:Description": "Promotes the research, study, and performance of music in all historical periods and cultural contexts. Includes an introduction to ethnomusicology, and information about activities and publications.", "priority": "1", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://www.ethnomusicology.org/"} +{"d:Title": "Society for American Music", "d:Description": "Stimulating the appreciation, performance, creation, and study of American music in all its historical and contemporary styles and contexts. Listing of publications and organizations, web links for American music websites, and scholar awards.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://www.american-music.org/"} +{"d:Title": "Center for Traditional Music and Dance", "d:Description": "New York City organization (formerly the Ethnic Folk Arts Center) creating festivals and performances of traditional ethnic arts. Includes concert and event list, programs, and links.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://www.ctmd.org/"} +{"d:Title": "Society for Ethnomusicology Niagara Chapter", "d:Description": "Conference information and chapter news.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://www.people.iup.edu/rahkonen/NiagaraSEM/NiagaraSEM.htm"} +{"d:Title": "The Association for Korean Music Research", "d:Description": "Prize information, conferences, newsletters, and a Korean music bibliography.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://www.akmr.org/"} +{"d:Title": "British Forum for Ethnomusicology", "d:Description": "UK society devoted to the study of music and dance from all parts of the world. Includes information about conferences, publications, and research.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://www.bfe.org.uk/"} +{"d:Title": "The British Council", "d:Description": "An organization that promotes the work of British artists in over 80 countries. They also publish the journal New Routes, featuring articles on world, roots and traditional musics. Contains event listings, news, and British music links.", "topic": "Top/Arts/Music/Musicology/Ethnomusicology/Organizations", "url": "http://music.britishcouncil.org/"} +{"d:Title": "Organised Sound", "d:Description": "An international journal which focuses on the rapidly developing methods and issues arising from the use of technology in music today.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://journals.cambridge.org/action/displayJournal?jid=OSO"} +{"d:Title": "Early Music", "d:Description": "General information on this journal published by Oxford Journal Press.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://em.oxfordjournals.org/"} +{"d:Title": "Perspectives of New Music", "d:Description": "Academic journal dealing with rigorous compositional methods. Includes complete table of contents and three recent issues.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://www.perspectivesofnewmusic.org/"} +{"d:Title": "Integral", "d:Description": "Scholarly music journal produced entirely by graduate students in music theory at the Eastman School of Music. Includes complete journal index and submission info.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://theory.esm.rochester.edu/integral/"} +{"d:Title": "Journal of Musicology", "d:Description": "Abstracts of recent issues, and submission guidelines for this journal published at Indiana University.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://php.indiana.edu/~jmoffice/"} +{"d:Title": "Polish Music Journal", "d:Description": "General information on the submission guidelines and board for this journal published by USC.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://www.usc.edu/dept/polish_music/PMJ/"} +{"d:Title": "Computing in Musicology", "d:Description": "Contents and abstracts for all issues of this journal.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://www.ccarh.org/publications/cm/"} +{"d:Title": "Music and Letters", "d:Description": "Information about the Oxford journal of international musicology scholarship.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://ml.oxfordjournals.org/"} +{"d:Title": "Musical Quarterly", "d:Description": "Basic information about this Oxford journal, founded in 1915.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://mq.oxfordjournals.org/"} +{"d:Title": "Computer Music Journal", "d:Description": "General info on this MIT publication.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://www.mitpressjournals.org/loi/comj"} +{"d:Title": "Trans", "d:Description": "Transcultural music review journal: issues since 1995.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://www.sibetrans.com/trans/"} +{"d:Title": "MikroPolyphonie", "d:Description": "A journal focused on the analysis and discussion of contemporary music making and research (defunct as of 2003). Includes archive of content from the 1996-2003 issues.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://nla.gov.au/nla.arc-10054"} +{"d:Title": "Nordic Journal of Music Therapy", "d:Description": "Articles, journal information, training courses, organizations, databases, advertisements, conferences, forums, links, and guidelines for submitting articles.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://njmt.b.uib.no/"} +{"d:Title": "Journal of New Music Research", "d:Description": "Includes abstracts from all articles since 1994 and general information.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://www.tandfonline.com/toc/nnmr20/current"} +{"d:Title": "The Journal of Musicology", "d:Description": "It is a review of music history, criticism, analysis, and performance practice that provides some of the most significant research and critical thought currently being produced in the field of musicology. The site contains tables of contents, abstracts, and an author index of recently published articles.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://jm.ucpress.edu/"} +{"d:Title": "Journal of the American Musicological Society", "d:Description": "Founded in 1948, it welcomes topics from all fields of musical inquiry. Table of contents for all the issues since 1970, a free preview issue, and online subscription form.", "topic": "Top/Arts/Music/Musicology/Journals", "url": "http://jams.ucpress.edu/"} +{"d:Title": "Kunst, Jos", "d:Description": "(1936-1996) Includes biography, illustrations, and updated news, concerts, books for sale, and downloads of music, fonts, and computer programs.", "topic": "Top/Arts/Music/Musicology/Musicologists", "url": "http://www.joskunst.net/"} +{"d:Title": "Honing, Henkjan", "d:Description": "Scholar of music cognition, representation, and technology at the University of Amsterdam. In Dutch and English.", "topic": "Top/Arts/Music/Musicology/Musicologists", "url": "http://www.hum.uva.nl/mmm/hh/"} +{"d:Title": "Gann, Kyle", "d:Description": "Composer known for microtonal works offers links to his books as well as summaries of extensive articles for scholarly journals and reviews for popular publications like \"The Village Voice,\" with a biography detailing awards and distinctions.", "topic": "Top/Arts/Music/Musicology/Musicologists", "url": "http://www.kylegann.com/"} +{"d:Title": "Goldsmith, Melissa Ursula Dawn", "d:Description": "Masters thesis entitled \"Adorno on Strauss, Mahler, and Berg\" [requires Adobe Acrobat Reader].", "topic": "Top/Arts/Music/Musicology/Musicologists", "url": "http://etd.lsu.edu/docs/available/etd-0415102-110441/"} +{"d:Title": "Jason Gersh", "d:Description": "Academic administrator and musicologist at Drexel University who specializes in the polyphony of William Byrd, evolutionary musicology, and the philosophy of analysis.", "topic": "Top/Arts/Music/Musicology/Musicologists", "url": "http://www.pages.drexel.edu/~jag337/"} +{"d:Title": "Society for Seventeenth Century Music (RISM)", "d:Description": "Links to Journal and Society, and access to the RISM database system for musicology sources.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.arts.uci.edu/sscm/"} +{"d:Title": "Music Cognition Group", "d:Description": "Learn about and participate in their research, or download publications by members. Also includes news, press clippings, and course information.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.hum.uva.nl/mmm"} +{"d:Title": "International Musicological Society", "d:Description": "Membership information and archive of society communiques. Also, musicology article and database links.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.ims-online.ch/"} +{"d:Title": "Musicological Society of Australia", "d:Description": "Includes online meeting minutes, newsletter, and Australian musicology links.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.msa.org.au/"} +{"d:Title": "Israel Musicology Society", "d:Description": "Information on meetings and members, as well as their journal Min-ad: Israel Studies in Musicology Online.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.biu.ac.il/hu/mu/ims/"} +{"d:Title": "American Musicological Society", "d:Description": "Society information; wealth of academic links.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.ams-net.org/"} +{"d:Title": "ESCOM", "d:Description": "European Society for the Cognitive Sciences of Music: includes a newsletter, information about upcoming events, and the subscription to its biannual journal.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.escom.org/"} +{"d:Title": "International Musical Council", "d:Description": "UNESCO council comprised of music committees in 70 countries. Includes IMC news, projects, and general info.", "topic": "Top/Arts/Music/Musicology/Organizations", "url": "http://www.imc-cim.org/"} +{"d:Title": "Everyday I Write the Book", "d:Description": "Gilbert B. Rodman's and Norma Coates' ever-expanding bibliography of (mostly) academic works on rock and pop music.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies", "url": "http://www.comm.umn.edu/~grodman/biblio/biblio-front.html"} +{"d:Title": "Review of Popular Music", "d:Description": "E-journal of the International Association for the Study of Popular Music.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://www.iaspm.net/rpm/"} +{"d:Title": "Soundscapes - Online Journal on Media Culture", "d:Description": "Analyses of radio programs, television series, popular music, and comics. In Dutch and English.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://www.icce.rug.nl/~soundscapes/"} +{"d:Title": "Popular Musicology Online", "d:Description": "Refereed cross-disciplinary journal. Includes current issue and interactive mailing list.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://www.popular-musicology-online.com/"} +{"d:Title": "ECHO", "d:Description": "Created and edited by graduate students in the Department of Musicology at the University of California. All articles are online or available for download in PDF format.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://www.echo.ucla.edu/"} +{"d:Title": "Popular Music", "d:Description": "British publication edited by Keith Negus. Includes free sample issue and tables of contents.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://journals.cambridge.org/action/displayJournal?jid=PMU"} +{"d:Title": "Popular Music&Society", "d:Description": "Includes index, editorial guidelines, and links to contributors' websites and publications.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://www.popms.org/"} +{"d:Title": "Dancecult: Journal of Electronic Dance Music Culture", "d:Description": "Open-access, interdisciplinary, peer-reviewed journal. Articles are in HTML and PDF formats.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://dj.dancecult.net/"} +{"d:Title": "Popular Music History", "d:Description": "Includes journal information, a sample issue, and contents of the current issue.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Journals", "url": "http://www.equinoxjournals.com/PMH"} +{"d:Title": "International Association for the Study of Popular Music", "d:Description": "Established to promote inquiry, scholarship and analysis in the area of Popular Music. Reviews, news, and information about conferences and general activities.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Organizations", "url": "http://www.iaspm.net/"} +{"d:Title": "IASPM - US Branch", "d:Description": "Includes conference info, job listing, publications, and list of recently defended dissertations.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Organizations", "url": "http://www.iaspm-us.net/"} +{"d:Title": "IASPM - UK and Ireland", "d:Description": "Includes news, international conference information and calls for papers, web links, and archived newsletters.", "topic": "Top/Arts/Music/Musicology/Popular_Music_Studies/Organizations", "url": "http://www.iaspm.org.uk/"} +{"d:Title": "Music Video Production Association", "d:Description": "Non-profit trade organization created to address the mutual concerns of its members. Includes seminar details, membership information and contact information.", "topic": "Top/Arts/Music/Music_Videos", "url": "http://www.mvpa.com/"} +{"d:Title": "Music Video 101", "d:Description": "Free E-book offering a tutorial on how to create music videos.", "topic": "Top/Arts/Music/Music_Videos", "url": "http://www.timtv.com/mv-101.html"} +{"d:Title": "TV U", "d:Description": "Live music video programming, upholding positive lifestyle messages to the young audience. Available thru satellite, cable and online.", "topic": "Top/Arts/Music/Music_Videos", "url": "http://www.tvulive.com/"} +{"d:Title": "Ravenfilm.com", "d:Description": "Film commercials, music videos, advertising and multimedia by Director Dario L. Jaramillo.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.ravenfilm.com/"} +{"d:Title": "Sigismondi, Floria", "d:Description": "Official site offers a biography, curriculum vitae, schedule of events, and a photography portfolio from the director of Marilyn Manson's \"Beautiful People\" and David Bowie's \"Little Wonder\".", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.floriasigismondi.com/"} +{"d:Title": "Dick, Nigel", "d:Description": "Offers a biography, resume, frequently \"uttered\" questions and a personal diary from the director of videos such as \"Baby One More Time\" and \"Oops I Did It Again\" by Britney Spears.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.nigeldick.com/"} +{"d:Title": "Gladstone, Perry", "d:Description": "Director and creative Producer. Includes a biography, clips from recent work, and an archive of journal entries and stories.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.perrygladstone.com/"} +{"d:Title": "Corbijn, Anton", "d:Description": "Official site for the director of multiple Depeche Mode and U2 music videos.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.corbijn.co.uk/"} +{"d:Title": "Jonze, Spike", "d:Description": "News, biography, and filmography information for the director of Weezer's \"Buddy Holly\" and Fatboy Slim's \"Weapon of Choice\" and \"Praise You\".", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.angelfire.com/ca/computersarenotpunk/spikejonze.html/"} +{"d:Title": "Becker, Patrick", "d:Description": "Visual arts director for music videos, television features, and commercials. Based in Germany. Includes news, profile, press, and photos.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.patrickbecker.net/"} +{"d:Title": "Directors Team", "d:Description": "Berlin based Mathias Vielsaecker and Christoph Mangler with latest projects, stills, filmography and biographies.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.twodirectors.com/"} +{"d:Title": "Suk and Koch", "d:Description": "Features works from directors and designers Violet Suk and Martin Koch.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.sukkoch.com/"} +{"d:Title": "A Guide to 1980's Music Video Directors", "d:Description": "Videographies and mini biographies for several 1980s directors.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://mikegrost.com/musvideo.htm"} +{"d:Title": "Abrams, Frederick", "d:Description": "The Underground Cathedral site for the film director, multimedia artist and composer. Includes profile, and projects.", "topic": "Top/Arts/Music/Music_Videos/Directors", "url": "http://www.undergroundcathedral.com/"} +{"d:Title": "The Music Factory", "d:Description": "Dutch network with only female DJs", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.tmf.nl/"} +{"d:Title": "Mad TV - Greece's Music TV Station", "d:Description": "Mad TV is Greece's only Music TV station transmitting Greek and International music clips all over Greece, and in Europe via satellite. Mad TV also has different localised versions and time slots in Cyprus, Middle East, Australia and North America.", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.mad.gr/"} +{"d:Title": "CMT", "d:Description": "Music network offers headlines, features, concert listings, reviews, history, interactive chats and merchandise.", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.cmt.com/"} +{"d:Title": "VH-1", "d:Description": "Includes news, schedule, reviews, audio/video files, tour dates, and artists' fan clubs.", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.vh1.com/"} +{"d:Title": "Great American Country", "d:Description": "Network airing country music videos. Includes a playlist, news and artist biographies.", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.countrystars.com/"} +{"d:Title": "MuchMoreMusic", "d:Description": "Sister channel of MuchMusic. Plays adult contemporary.", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.muchmoremusic.com/"} +{"d:Title": "CMC", "d:Description": "Hosts both the California and Country Music Channels. Includes live webcasts, contests, playlists and contact information.", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.cmctv.com/"} +{"d:Title": "KAOS TV", "d:Description": "heavy metal, hardcore music video show", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.angelfire.com/ks/KAOSTV/"} +{"d:Title": "Fuse TV", "d:Description": "Music centered Fuse TV schedule, shows, and artists information.", "topic": "Top/Arts/Music/Music_Videos/Networks", "url": "http://www.fuse.tv/"} +{"d:Title": "Much", "d:Description": "Contains news, program information, countdown, contests, and articles.", "topic": "Top/Arts/Music/Music_Videos/Networks/MuchMusic", "url": "http://www.much.com/"} +{"d:Title": "Peter Rosen Productions, Inc.", "d:Description": "Includes an illustrated descriptive list of over 50 previous film, television, and documentary music productions, mostly in classical and cross-over. Offers a biography of director Peter Rosen.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.peterrosenproductions.com/"} +{"d:Title": "Omega Productions", "d:Description": "Provides live multi-camera concert shoot services. Includes an extensive collection of production-related links.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.omegalive.com/"} +{"d:Title": "Oil Factory", "d:Description": "Includes a company biography, director profiles and label links.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.oilfactory.com/"} +{"d:Title": "Rushes", "d:Description": "UK post-production and special effects company. [Requires Flash]", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.rushes.co.uk/"} +{"d:Title": "Villains", "d:Description": "Music video and commercials production company. [Requires Flash and Quicktime]", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.villains.com/"} +{"d:Title": "Noisivision", "d:Description": "Music video production company founded by producer Braddon Mendelson. Site also features an online magazine.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.noisivision.com/"} +{"d:Title": "Freak Show Films", "d:Description": "Los Angeles and Miami Beach based production company, specializing in music videos and commercials.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.freakshowfilms.com/"} +{"d:Title": "The Uncharted Zone", "d:Description": "Includes a list of services, price list and contact information. Located on the Gulf Coast.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://theunchartedzone.com/"} +{"d:Title": "Michael Murphy Productions", "d:Description": "Specializes in providing production services for music related television or radio. Based in Los Angeles, California.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.mmptv.com/"} +{"d:Title": "ID Playground", "d:Description": "Based in Southern California, specializing in music videos, promos, commercials and DVD content.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.idplayground.com/"} +{"d:Title": "Fish'n'Clips", "d:Description": "Dedicated music video production company based in Auckland, New Zealand.\u00a0Features director profiles and examples.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.fishnclips.com/"} +{"d:Title": "Food Chain Films", "d:Description": "Specializing in music videos, television commercials and short films, based in Portland, Oregon.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.foodchain.com/"} +{"d:Title": "ArtHaus Musik", "d:Description": "Produces DVDs for classical music.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.arthaus-musik.de/"} +{"d:Title": "Camelot Music", "d:Description": "Services include music video production. Located in Canada.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.camelotmedia.com/production.html"} +{"d:Title": "Impact Entertainment", "d:Description": "Full-service independent production company focusing on music videos, epk's, film, audio and photography - samples available.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.on-impact.com/"} +{"d:Title": "Underground Planet", "d:Description": "Video production company focused on music, based in Austin Texas.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.undergroundplanet.com/"} +{"d:Title": "Half Element", "d:Description": "A music video and commercial production company in Los Angeles, specializing in independent, urban, innovative content.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.halfelement.com/"} +{"d:Title": "Silvertip Films", "d:Description": "South East UK based company specialising in short films, corporate promos, music videos and concert shoots for up and coming bands.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.silvertipfilms.co.uk/"} +{"d:Title": "Random Touch", "d:Description": "A multi-media group that shoots live performances, creates music CDs, DVDs, and soundtracks for film and television.", "topic": "Top/Arts/Music/Music_Videos/Production_Companies", "url": "http://www.randomtouch.com/"} +{"d:Title": "In The 80s", "d:Description": "Ten criteria to make the perfect eighties music video, including examples.", "topic": "Top/Arts/Music/Music_Videos/Reviews", "url": "http://www.inthe80s.com/videos.shtml"} +{"d:Title": "Yoda's Multimedia", "d:Description": "An interesting collection of original high speed music videos in various formats.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://yeeha.org/yeeha.html"} +{"d:Title": "web62 Music News", "d:Description": "Music Magazine offering Artists and Industry related news, links and a selection of audio/video clips and interviews.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://www.web62.com/music.html"} +{"d:Title": "MSSVision", "d:Description": "A place for indie music videos, offers news, reviews, talks.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://www.mssvision.com/"} +{"d:Title": "Blastro", "d:Description": "Music Videos on-demand featuring renowned artists from today's urban and latin music scenes plus movie reviews and original shows.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://www.blastro.com/"} +{"d:Title": "Pseudo.com", "d:Description": "Offers live channels, archives, industry sector news, and a message board.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://pseudo.com/"} +{"d:Title": "RollingStone.com: Music Videos", "d:Description": "Large archive of popular streaming videos available in a variety of speeds.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://www.rollingstone.com/videos"} +{"d:Title": "BlankTV", "d:Description": "Features punk, hardcore and indie music videos and live clips.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://www.blanktv.com/"} +{"d:Title": "AOL Radio", "d:Description": "Music stations powered by Slacker.", "topic": "Top/Arts/Music/Music_Videos/Streaming", "url": "http://aolradio.slacker.com/"} +{"d:Title": "MTV News Headlines", "d:Description": "Online news from Music Television focusing on rock, metal, rap, hip hop, rhythm and blues, and pop.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.mtv.com/news/"} +{"d:Title": "Music Target", "d:Description": "General music news, headlines and album information.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.musictarget.com/"} +{"d:Title": "andPOP Music News", "d:Description": "Daily news, features, and tour dates from the world of pop and Top 40 artists.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.andpop.com/"} +{"d:Title": "theprp.com", "d:Description": "News, reviews, sample sounds, and features on new and upcoming heavy metal and hard rock bands.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.theprp.com/"} +{"d:Title": "VH1 Main News", "d:Description": "Pop and Rock news, extensive list of artists' music and video samples, interviews, reviews and message board.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.vh1.com/news/"} +{"d:Title": "The Next Big Thing", "d:Description": "Regular news updates and related links for music, film, TV, and beyond.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://nextbigthing.blogspot.com/"} +{"d:Title": "HardRadio News", "d:Description": "Heavy metal and hard rock oriented history, birthdays, news bits.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.hardradio.com/hr3.html"} +{"d:Title": "RockNews.info", "d:Description": "Daily rock headlines gathered from commercial and indie sites.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.antimusic.com/rocknews/"} +{"d:Title": "Spotlight on R&B", "d:Description": "Rhythm and blues news and new releases.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.xs4all.nl/~mroyen/news.htm"} +{"d:Title": "XFM Music News", "d:Description": "Music news provided by the UK alternative radio station XFM", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.xfm.co.uk/sectional.asp?id=338&b=news"} +{"d:Title": "UKMusic.com", "d:Description": "UK website with daily news updates, reviews,interviews, forum chat, new releases, and audio downloads.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.ukmusic.com/"} +{"d:Title": "Mi2N - Music Industry News Network", "d:Description": "Searchable press release service for music professionals, providing indie album release listings, band and label news, tour and event information.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.mi2n.com/"} +{"d:Title": "musicNerve.com", "d:Description": "Latest news, reviews and record releases from the indie rock and experimental music worlds.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.musicnerve.com/"} +{"d:Title": "NPR Topics: Music", "d:Description": "Article feed from National Public Radio news programs.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.npr.org/rss/rss.php?id=1039"} +{"d:Title": "Variety Music News", "d:Description": "Music industry stories from the daily entertainment news magazine.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://feeds.feedburner.com/variety/news/music"} +{"d:Title": "Stereoboard.com Daily Music News", "d:Description": "Daily music news, reviews and interviews. Mainly focused on UK rock and indie music.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.stereoboard.com/content/blogsection/0/9/"} +{"d:Title": "JAM! Showbiz Music", "d:Description": "Music news from a Canadian angle.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://rss.canoe.ca/Jam/Music/home.xml"} +{"d:Title": "NPR: Music", "d:Description": "News, feature reports, audio programs, podcasts, and interviews from National Public Radio.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.npr.org/templates/topics/topic.php?topicId=1039"} +{"d:Title": "ARTISTdirect Network", "d:Description": "Daily rock, rap, hip hop, alternative, country, pop, and metal music news headlines.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.artistdirect.com/nad/music/ubl/news/"} +{"d:Title": "Ultimate-Guitar.Com: News", "d:Description": "Daily music news from Ultimate Guitar covering rock, metal, punk and alternative music. Also guitar industry news and interviews.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.ultimate-guitar.com/news/"} +{"d:Title": "Virgin Media: Music", "d:Description": "Latest music news and charts, picture galleries, interviews, downloads, and interactive polls.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.virginmedia.com/music/"} +{"d:Title": "SoundChronicle", "d:Description": "Concert news and tour information. Focused on North American popular music.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.soundchronicle.com/"} +{"d:Title": "Musiqtone", "d:Description": "Online music news covering mainstream, indie, and underground. Provides interviews, reviews, music downloads, and developing indie talent.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.musiqtone.com/"} +{"d:Title": "Hypeblog", "d:Description": "Aggregated music headline news and discussions.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.hypeblog.com/"} +{"d:Title": "Spinner.com", "d:Description": "MP3 news weblog covering mainstream and indie rock. Contains music videos and interviews.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.spinner.com/"} +{"d:Title": "mZeus", "d:Description": "Mainstream music news feed in RSS format. Displays the fifteen most current headlines.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.mzeus.com/index.xml"} +{"d:Title": "Swampland: Music", "d:Description": "Southern music news and interviews.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.swampland.com/main/section/music"} +{"d:Title": "BeatKing.com", "d:Description": "Music community discussing topics in all genres of music from the 50's to reggaeton to hip-hop and everything in between. Music news, downloads, music videos, lyrics, concert coverage, and tour dates.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.beatking.com/"} +{"d:Title": "The Daily Swarm", "d:Description": "Aggregated music news headlines. Also features videos and directory of music news sources.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.thedailyswarm.com/"} +{"d:Title": "Singersroom.com", "d:Description": "R&B singers, new music, top songs, music videos, soul music, new RnB music, and latest news.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://singersroom.com/"} +{"d:Title": "Undercover Media", "d:Description": "Daily music site featuring Music news, interviews, music videos, charts, touring info.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://www.undercover.com.au/"} +{"d:Title": "Canoe: JAM! Music", "d:Description": "Provides international and Canadian music headlines, album reviews, photos, charts and artist profiles.", "topic": "Top/Arts/Music/News_and_Media", "url": "http://jam.canoe.com/Music/"} +{"d:Title": "Oxford University Press: Music", "d:Description": "More than 200 titles from the publisher of college textbooks and reference works, sorted by subject such as music history, theory, ethnomusicology, and church music.", "topic": "Top/Arts/Music/News_and_Media/Books", "url": "http://www.oup.com/us/catalog/general/subject/Music/"} +{"d:Title": "Music Reference and Research Materials", "d:Description": "This bibliography by Vincent Duckles is the standard reference for source literature in music with more than 3,500 annotated listings in sections such as encyclopedias, chronologies, discographies, and other bibliographies.", "topic": "Top/Arts/Music/News_and_Media/Books", "url": "http://www.thomsonedu.com/thomsonedu/student.do?product_isbn=0028708210&disciplinenumber=2"} +{"d:Title": "Sound On Sound", "d:Description": "Music recording magazine offering product reviews, news and subscription information.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.soundonsound.com/"} +{"d:Title": "Mix Magazine", "d:Description": "Magazine for professional audio and music production professionals.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.mixonline.com/"} +{"d:Title": "musicOMH.com", "d:Description": "Independent publication covering music, films and theatre. Album previews, gig, opera, film, and play reviews, directory of live music and theatre venues, interviews, awards coverage, and thematic weblogs.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.musicomh.com/"} +{"d:Title": "Rollingstone Magazine", "d:Description": "Online Version of Rolling Stone Magazine which includes: music reviews, movie reviews, musical artists, free MP3s, and photos of pop culture entertainers.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.rollingstone.com/"} +{"d:Title": "The Wire Magazine", "d:Description": "Information from the print magazine, music news and events, and links to music sites. Magazine covers a wide range of styles.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.thewire.co.uk/"} +{"d:Title": "Worship Guitars Webzine", "d:Description": "Interviews, reviews, and features covering a broad spectrum of popular genres including rock, jazz, and folk. Based in Houston.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.worshipguitars.org/"} +{"d:Title": "Music Frisk", "d:Description": "Provides personal interviews, show reviews and photos of many artists across a variety of genres. Based in Chicago.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.musicfrisk.com/"} +{"d:Title": "muzic.net.nz", "d:Description": "New Zealand music news headlines, concert listings and discussion forums.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.muzic.net.nz/"} +{"d:Title": "muzic.net.nz News Feed", "d:Description": "The latest New Zealand music.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.muzic.net.nz/feeds/news.php"} +{"d:Title": "Atomicduster.com", "d:Description": "Includes interviews, unsigned band features and reviews of albums, singles and live performances.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.atomicduster.com/"} +{"d:Title": "Betweenplanets", "d:Description": "UK music news and reviews.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.betweenplanets.co.uk/"} +{"d:Title": "Billboard.com", "d:Description": "Daily music news, charts, music downloads, and artist features for rock, pop, country, rhythm and blues, jazz, world, and hip-hop.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.billboard.com/"} +{"d:Title": "Brassland.org", "d:Description": "Published music writings by Alec Hanley Bemis, including photos.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.brassland.org/"} +{"d:Title": "Chaos Control Digizine", "d:Description": "Collection of interviews with alternative, indie, and underground bands.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.chaoscontrol.com/"} +{"d:Title": "Cosmopolis Music Archives", "d:Description": "CD and concert reviews as well as biographies in the fields of pop, rock, jazz and classical music.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.cosmopolis.ch/english/music.htm"} +{"d:Title": "Chaos Control Digizine", "d:Description": "Collection of interviews with alternative, indie, and underground music news.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.chaoscontrol.com/feed/"} +{"d:Title": "cmj.com", "d:Description": "Authority on new music, college radio and music industry charting.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.cmj.com/"} +{"d:Title": "Designer Magazine", "d:Description": "Manchester-based music site with weekly interviews and reviews of indie, rock, alternative, pop, and dance bands.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://designermagazine.tripod.com/"} +{"d:Title": "Drowned In Sound", "d:Description": "Interactive zine with reviews, news, interviews and opinions on the UK music scene. Covers a wide range of genres.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.drownedinsound.com/"} +{"d:Title": "Earpollution", "d:Description": "Diverse monthly music magazine dedicated the opinions of all things music. Features artist profiles, interviews, album and live reviews, and links to various musical resources, on the internet and otherwise.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.earpollution.com/"} +{"d:Title": "Exclaim", "d:Description": "Canadian magazine with interviews, reviews, message board, contests and links.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.exclaim.ca/"} +{"d:Title": "Glorious Noise", "d:Description": "Essays, stories and rants about rock music and its influences. Established in 2001.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.gloriousnoise.com/"} +{"d:Title": "Good Times Magazine", "d:Description": "A New York based publication covering local and national original music for the past 30 years covering all styles of music.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.goodtimesmag.com/"} +{"d:Title": "Glorious Noise", "d:Description": "Essays, stories and rants about rock music and its influences.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.gloriousnoise.com/index.xml"} +{"d:Title": "iJamming", "d:Description": "Created by author/journalist Tony Fletcher. Includes reviews, interviews and personal commentary.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.ijamming.net/"} +{"d:Title": "In Music We Trust", "d:Description": "Articles, show reviews, and interviews for a variety of music genres.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.inmusicwetrust.com/"} +{"d:Title": "Jazz not Jazz", "d:Description": "Weblog, forum, and archives of reviews of mostly rare and obscure rhythm and blues albums.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://jazz-not-jazz.com/"} +{"d:Title": "NME.com", "d:Description": "The online presence of the UK-based New Musical Express.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.nme.com/"} +{"d:Title": "Pause&Play", "d:Description": "Weekly pop-music artist interviews, singles/albums charts, archives of past interviews and a listings of upcoming new CD releases.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.pauseandplay.com/"} +{"d:Title": "Prefix Magazine", "d:Description": "Reviews, interviews, articles and links. Focusing mainly on indie rock.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.prefixmag.com/"} +{"d:Title": "Pure Music", "d:Description": "Monthly e-zine featuring interviews and reviews. Includes audio samples and an archive.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.puremusic.com/"} +{"d:Title": "Rambles", "d:Description": "Reviews of live performances of a number of bands in different musical genres since 1999.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.rambles.net/live.html"} +{"d:Title": "Recording Magazine", "d:Description": "Covers the recording of music, studios, professional audio.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.recordingmag.com/index.html"} +{"d:Title": "RockSpot", "d:Description": "Music magazine with a mature perspective crafted for the adult rock fan.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.rockspot.com/"} +{"d:Title": "Slender Music", "d:Description": "Offers articles, reviews, weekly columns, poetry and downloads.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.slendermusic.com/"} +{"d:Title": "Stomp And Stammer Online", "d:Description": "A very cool, irreverent and informative music magazine from Atlanta.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.stompandstammer.com/"} +{"d:Title": "The Wire Magazine Index", "d:Description": "Searchable database of all articles and reviews published in The Wire magazine since issue 100.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.sat.dundee.ac.uk/~arb/music/wire.html"} +{"d:Title": "I Like Music", "d:Description": "New music blog with interviews, streaming playlists and news.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.ilikemusic.com/"} +{"d:Title": "Performermag.com", "d:Description": "Three online regional US editions with news and reviews about unsigned bands and artists, with links to scheduled gigs, merchandise, and advertising.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.performermag.com/"} +{"d:Title": "Chart Attack", "d:Description": "Daily news, reviews, and interviews covering rock, pop, and alternative music. From Chart Magazine (Canada).", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.chartattack.com/"} +{"d:Title": "Crud Music Magazine", "d:Description": "Reviews, news, interviews and downloads from indie, rock, electronica and alternative music genres.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.2-4-7-music.com/"} +{"d:Title": "SoHood.com", "d:Description": "Online news, focusing on rock, rap, hip-hop, rhythm and blues, sports, interviews, reviews, audio.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.sohood.com/"} +{"d:Title": "Toazted", "d:Description": "Video and text interviews with rock and alternative bands in mp3 format.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.toazted.com/"} +{"d:Title": "Strange Glue Music", "d:Description": "E-zine with news and reviews of all styles of music in the UK. Additional coverage of the music industry.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://strangeglue.com/"} +{"d:Title": "This Is Real Music", "d:Description": "E-zine covering neo-soul, conscious hip-hop, alternative, reggae and jazz music. Album reviews, interviews, events and videos.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.thisisrealmusic.com/"} +{"d:Title": "EnjoyTheMusic.com", "d:Description": "Audiophile review magazine featuring equipment and music reviews plus show reports.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.enjoythemusic.com/magazine/"} +{"d:Title": "Keyboard Magazine", "d:Description": "Artist interviews, downloadable/streaming audio, MIDI files, and how-to articles.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.keyboardmag.com/"} +{"d:Title": "Piper and Drummer Magazine", "d:Description": "Online version of the award-winning quarterly international publication on the Scottish arts published by the Pipers and Pipe Band Society of Ontario, Canada.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.pipesdrums.com/"} +{"d:Title": "Robots and Electronic Brains", "d:Description": "Online version of the printed magazine with interviews and reviews of a wide range of music.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.robotsandelectronicbrains.co.uk/"} +{"d:Title": "Yellownews", "d:Description": "Reviews and information about recent videos, films, concerts and albums.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.ionone.com/live.htm"} +{"d:Title": "CryingPuppet Darkzine", "d:Description": "E-zine offering band biographies, discographies, CD reviews, samples, interviews and a metal forum.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.cryingpuppet.com/"} +{"d:Title": "Left Hip Magazine", "d:Description": "Features reviews, interviews, MP3 picks, features and news about independent, underground, new and underexposed music in a wide-range of genres: indie rock, twee, black metal, electronic, experimental, outsider, jazz, contemporary classical, noise, and drone.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.lefthip.com/"} +{"d:Title": "ListenToEurope", "d:Description": "6 prestigious webzines from 6 countries: Belpop for Belgium, LesInRocks for France, RoteRaupe for Germany, Rockit for Italy, TheBelleMusic for Spain, Digfi for Sweden.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.listentoeurope.com/"} +{"d:Title": "MVRemix", "d:Description": "Online Hip Hop/urban music magazine featuring interviews, reviews, articles, press, releases and general resources.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.mvremix.com/"} +{"d:Title": "ReadJunk.com", "d:Description": "Includes music reviews, news, interviews, and articles.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.readjunk.com/"} +{"d:Title": "Voyager", "d:Description": "Jam and roots music magazine. Provides an archive of articles are listed by artist.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.arthoward.com/voyager/"} +{"d:Title": "Collectivezine", "d:Description": "Dedicated to music reviews of album releases and live shows. Features articles/interviews, photo gallery, and reviews.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.collective-zine.co.uk/"} +{"d:Title": "Delusions of Adequacy", "d:Description": "News, contests, message board, and music, movie, concert, book and zine reviews. Updated weekly.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.adequacy.net/"} +{"d:Title": "Parasites and Sycophants", "d:Description": "Blog featuring reviews, interviews and tour information.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.parasitesandsycophants.com/"} +{"d:Title": "UnRated Magazine", "d:Description": "News, features, artist biographies and reviews of metal and classic rock legends.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.unratedmagazine.com/"} +{"d:Title": "Q Magazine", "d:Description": "Music news, commentary and reviews, with online music shopping. London, England.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines", "url": "http://www.qthemusic.com/"} +{"d:Title": "New Music Weekly", "d:Description": "Airplay charts, major or independent releases, radio station charts, new artist information and links to other aspects of the entertainment industry.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines/Business_and_Industry", "url": "http://www.newmusicweekly.com/"} +{"d:Title": "Pro-Music-News", "d:Description": "News from the music industry with professional recording and P.A. technology and well as keyboards and percussion product news. [English/Deutsch]", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines/Business_and_Industry", "url": "http://www.pro-music-news.com/"} +{"d:Title": "Canadian Musician Magazine", "d:Description": "Magazine for professional and amateur musicians: information on gear, artists, recording, music business, classifieds.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines/Business_and_Industry", "url": "http://www.canadianmusician.com/"} +{"d:Title": "Topix: Music", "d:Description": "News about the music industry, collected from various sources on the web.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines/Business_and_Industry", "url": "http://www.topix.com/rss/business/music.xml"} +{"d:Title": "MusicDish", "d:Description": "Industry magazine.", "topic": "Top/Arts/Music/News_and_Media/Magazines_and_E-zines/Business_and_Industry", "url": "http://www.musicdish.com/"} +{"d:Title": "NAMM: International Music Products Association", "d:Description": "Members represent every aspect of musical instrument manufacturing and retailing. Information about membership, and all the promoted activities.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.namm.org/"} +{"d:Title": "Film Music Society", "d:Description": "Devoted to the preservation of classic Hollywood movie and television scores. Includes information about many Hollywood composers and film scorers, and membership information.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.filmmusicsociety.org/"} +{"d:Title": "A Cappella Almanac", "d:Description": "Official home of CASA - the Contemporary A Cappella Society of America. A source for news and information related to all-vocal music. Also features events, groups, albums, tips and advice, reviews, classifieds, web directory, and song arrangements library.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.casa.org/"} +{"d:Title": "Just Plain Folks", "d:Description": "Organization of music industry professionals whose goals include the networking of members and the promotion of music worldwide. Includes a list of mentors, links and current member demographics.", "topic": "Top/Arts/Music/Organizations", "url": "http://justplainfolks.org/"} +{"d:Title": "The Children's Music Network", "d:Description": "A nonprofit association that now has members across the United States and Canada, including teachers, performers, songwriters, radio hosts, and parents who care about the quality and content of children's music. Includes event calendar, the magic penny award, and resources.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.cmnonline.org/"} +{"d:Title": "Conductors Guild", "d:Description": "Includes listing of activities and publications, and downloadable composer contract forms.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.conductorsguild.org/"} +{"d:Title": "The IMA Web", "d:Description": "The International Music Association. Features classical music and provides a community for the public, music lovers, and musicians.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.imusicassociation.com/"} +{"d:Title": "Rock&Roll Library", "d:Description": "Works to recognize the historical and cultural significance of popular music. Sponsorship information, newsletter signup, teacher resources, and gift shop.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.rocklibrary.com/"} +{"d:Title": "NAPBIRT", "d:Description": "National Association of Professional Band Instrument Repair Technicians. Includes a list of repair schools, details of regional clinics and membership.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.napbirt.org/"} +{"d:Title": "Music Fan Clubs Organization", "d:Description": "Fan-oriented site providing up to date information about your favorite singers, groups and bands.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.musicfanclubs.org/"} +{"d:Title": "International Association of Music Information Centres", "d:Description": "A network of organizations promoting new music, active in thirty-six countries. Each center is responsible for documenting and promoting the music of its own country or region.", "topic": "Top/Arts/Music/Organizations", "url": "http://www.iamic.net/"} +{"d:Title": "Acoustical Society of America", "d:Description": "Contains meeting and membership information, a list of publications, and a number of natural and man-made sound samples in the .au format.", "topic": "Top/Arts/Music/Organizations", "url": "http://acousticalsociety.org/"} +{"d:Title": "The Charles Ives Society", "d:Description": "Biography, bibliography, works, and descriptive catalogue.", "topic": "Top/Arts/Music/Organizations/By_Composer", "url": "http://www.charlesives.org/"} +{"d:Title": "Barbirolli Society", "d:Description": "Dedicated to the music of Sir John Barbirolli. Contains biography, discography, and society journal articles.", "topic": "Top/Arts/Music/Organizations/By_Composer", "url": "http://www.barbirolli.co.uk/"} +{"d:Title": "American Pianists Association", "d:Description": "General information and news on this association of pianists.", "topic": "Top/Arts/Music/Organizations/By_Instrument", "url": "http://www.americanpianists.org/"} +{"d:Title": "American Viola Society", "d:Description": "Basic information, and a listing of regional and state chapters.", "topic": "Top/Arts/Music/Organizations/By_Instrument", "url": "http://www.americanviolasociety.org/"} +{"d:Title": "International Society of Bassists", "d:Description": "Forum, newsletter, articles, e-mail directory, and links on the bass.", "topic": "Top/Arts/Music/Organizations/By_Instrument", "url": "http://www.isbworldoffice.com/"} +{"d:Title": "The American Musical Instrument Society", "d:Description": "AMIS is an international organization founded in 1971 to promote better understanding of all aspects of the history, design, construction, restoration, and usage of musical instruments in all cultures and from all periods. Includes listings of journals and publications, events calendar, meetings, awards, and links.", "topic": "Top/Arts/Music/Organizations/By_Instrument", "url": "http://www.amis.org/"} +{"d:Title": "Freemuse", "d:Description": "Independent international organization advocating freedom of expression for musicians and composers. Offers a definition of censorship, artist statements and upcoming events.", "topic": "Top/Arts/Music/Organizations/Censorship", "url": "http://www.freemuse.org/"} +{"d:Title": "Rock Out Censorship", "d:Description": "Fighting censors of popular music. Contains information on the organization, lists 'friends' and 'enemies' of the fight, and outlines how you can help.", "topic": "Top/Arts/Music/Organizations/Censorship", "url": "http://www.theroc.org/"} +{"d:Title": "Swiss Music Promoters Association", "d:Description": "Promotes most of the major concerts in Switzerland. Site in English, French, and German.", "topic": "Top/Arts/Music/Organizations/Regional/Switzerland", "url": "http://www.smpa.ch/"} +{"d:Title": "Consortium of Vermont Composers", "d:Description": "News and resources from the organization that publishes the journal \"Consorting.\"", "topic": "Top/Arts/Music/Organizations/Regional/United_States", "url": "http://www.maltedmedia.com/consort/"} +{"d:Title": "Little Kids Rock", "d:Description": "Brings free musical instruments and music instruction to public school children. Information on group, support opportunities, and samples.", "topic": "Top/Arts/Music/Organizations/Regional/United_States", "url": "http://www.littlekidsrock.org/"} +{"d:Title": "CISAC", "d:Description": "The International Confederation of Authors and Composers Societies was founded in Paris, France in 1926. It is a non-governmental, non-profit organization, which regroups some 200 author societies in 98 countries. The site is available in French, English and Spanish.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.cisac.org/"} +{"d:Title": "TONO", "d:Description": "The Norwegian Performing Right Society was established in 1928. They protect the performing rights of Norwegian and foreign composers, authors and publishers of music. The site is available in both Norwegian and English.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.tono.no/"} +{"d:Title": "ASCAP", "d:Description": "The American Society of Composers, Authors and Publishers is a performing rights organization which licenses and collects royalties for performance of its members' music. Includes a database of music in the repertory and information about membership and licensing. Includes articles about the music business.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.ascap.com/"} +{"d:Title": "SESAC", "d:Description": "The Society of European Stage Authors and Composers is a performing rights organization, with headquarters in Nashville and offices in New York, Los Angeles and London. Designed to represent songwriters and publishers and their right to be compensated for having their music performed in public.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.sesac.com/"} +{"d:Title": "SOCAN", "d:Description": "The Society of Composers, Authors and Music Publishers of Canada is a copyright collective for musical works, protecting the performing rights of their members and members of their international affiliated societies. The site is available in both French and English.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.socan.ca/"} +{"d:Title": "IMRO", "d:Description": "The Irish Music Rights Organisation is the national body administering performing rights in copyright music in Ireland on behalf of its members and on behalf of the members of 67 overseas societies affiliated with it.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.imro.ie/"} +{"d:Title": "ISA", "d:Description": "The International Songwriters Association has been serving songwriters, lyric writers, music publishers and the songwriting business since 1967.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.songwriter.co.uk/"} +{"d:Title": "BMI", "d:Description": "Broadcast Music, Inc. collects license fees on behalf of its songwriters, composers and music publishers and distributes them as royalties to those members whose works have been performed. [USA]", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.bmi.com/"} +{"d:Title": "CAL", "d:Description": "Copyright Agency Limited is the declared collecting society for the educational and government statutory licenses in Australia. They license the reproduction and communication rights for authors, journalists, photographers, visual artists and publishers.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.copyright.com.au/"} +{"d:Title": "PRS", "d:Description": "Performing Right Society is the United Kingdom association of composers, songwriters and music publishers. It administers the performing right in their music.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.prsformusic.com/"} +{"d:Title": "Baltimore Composers' Forum", "d:Description": "A non-profit organization dedicated to promoting area composers.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://baltimorecomposersforum.com/"} +{"d:Title": "The Australasian Performing Right Association Limited", "d:Description": "Copyright collection agency set up in Australia. They currently have more than 28,500 composer, lyricist and music publisher members and provide local representation for more than 1,000,000 international composers.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.apraamcos.com.au/"} +{"d:Title": "SODRAC", "d:Description": "Founded in 1985, it is a Canadian society for reproduction rights of authors, composers, publishers of musical works and visual artists. The site is available in both French and English.", "topic": "Top/Arts/Music/Organizations/Societies_of_Composers,_Authors,_and_Publishers", "url": "http://www.sodrac.ca/"} +{"d:Title": "preparadise", "d:Description": "Music compositions and notes by Marcus Antonius Wesselmann.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.preparadise.de/"} +{"d:Title": "Golden Doves Domain", "d:Description": "A relaxing site filled with music, poems, waterfalls, and rainbows.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/ok/goldendoves/index.html"} +{"d:Title": "Hansen, Jan Erik", "d:Description": "Music from the Heart, by Danish composer Hansen, is a tribute to Martin Luther King and the women who lost a child in a stupid war. Also features concert recordings and a guest gallery.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://hansen.janerik.tripod.com/"} +{"d:Title": "21st Century Folks", "d:Description": "Available in French and English, offers reviews, MP3 files and cultural writings on indie music.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://21stcenturyfolks.free.fr/"} +{"d:Title": "Adventures In Sound", "d:Description": "Interviews, articles and reviews covering music that is considered non-mainstream. Includes a recommended listening list.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://wlt4.home.mindspring.com/adventures.htm"} +{"d:Title": "AnalyticalQ", "d:Description": "Includes sheet music of original music compositions and arrangements for voice, piano, and guitar, and original watercolor paintings.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.analyticalq.com/"} +{"d:Title": "ArtCom", "d:Description": "Bassist offers downloads of original jazz and fusion music as well as futuristic short 3D movies. Links to original graphics and notable bassists and guitarists.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.artcom.com.au/"} +{"d:Title": "Battle of the Blondes", "d:Description": "Fan site for Britney Spears, Christina Aguilera, Jessica Simpson, and Mandy Moore.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://hsh_55.tripod.com/"} +{"d:Title": "Blue Screen Life", "d:Description": "A celebration of indie and artistic music, including album recommendations, daily song downloads, favorite lyrics, and tips on scales and theory for acoustic guitar.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.bluescreenlife.com/music/"} +{"d:Title": "Braddell, Rory", "d:Description": "Irish music photographer. Features three essays on musicology and music theory.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://homepage.tinet.ie/~braddellr/"} +{"d:Title": "Broden, Reiner", "d:Description": "Download MP3 files of composed original music. Also available in German.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.broden.de/index_e.html"} +{"d:Title": "Cheeba Design", "d:Description": "DJ Cheeba, photos, music, poetry. Information about Kraftwerk and Tori Amos.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.cheebadesign.com/"} +{"d:Title": "Cover vs. Original", "d:Description": "Directory of cover songs. Users can vote if the cover version or the original is better and can leave a comment about the song. Also available in German.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.cover-vs-original.com/"} +{"d:Title": "Daves Drum World", "d:Description": "Personal information on drumming. Offers audio drum loops, videos and pictures.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.davesdrumworld.com/"} +{"d:Title": "El Uste", "d:Description": "Tracks the progress of an experimental recording artist from New Jersey. Last updated in 2003.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/super/uste/"} +{"d:Title": "Fredrik's Music 2002", "d:Description": "Personal top 10 album charts, Swedish music recommendations, and female singer-songwriter information.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://home.swipnet.se/~w-52364/music.html"} +{"d:Title": "Grace, Christina", "d:Description": "Biography and sound files of the pop music singer.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://christinasmusic.iwarp.com/"} +{"d:Title": "Hafner, Hans", "d:Description": "Information on music copying, arranging, composition and personal information.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.hanshafner.de/"} +{"d:Title": "Hammel, Bill", "d:Description": "Covers the works of Anton Webern and Stefan Wolpe. Serves as the home page and complete catalog of the works of Raoul Pleskow. Additionally offers mathematics of patterns in musical composition, essays on various aspects of music, and links to other musical resources.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://graham.main.nc.us/~bhammel/music.html"} +{"d:Title": "Hepner, Darcy", "d:Description": "New York-based saxophonist web site contains transcriptions, sound bites, lead sheets, and film music samples. Also contains, itinerary, recordings, film music and workshops pages.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.darcyhepner.com/"} +{"d:Title": "High-Lights", "d:Description": "Site devoted to divas: Mariah Carey, Celine Dion, Jennifer Lopez, Gloria Estefan and Janet Jackson. Polls and message boards.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.members.tripod.com/High-Lights/"} +{"d:Title": "Hot Artists Online", "d:Description": "Personal site dedicated to up and coming pop music acts.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/ia/hotartists/"} +{"d:Title": "Hudson, Frank", "d:Description": "Information on low cost home recording and purchasing instruments on a budget.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.users.qwest.net/~fhudson/"} +{"d:Title": "I Get Minez", "d:Description": "Includes graffiti images, R.I.P section, pictures, sounds, MP3s, chat, and a guestbook.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/biz5/theryhmebrothes/index.html"} +{"d:Title": "Icon-Song", "d:Description": "A unique program that combines Alexis Arapoff's icons with Russian sacred music. The program performed and directed by Mary Arapoff.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.arapofficonsong.com/"} +{"d:Title": "Industrial Evolution", "d:Description": "Favorite gothic and industrial artists: Nine Inch Nails, Sisters of Mercy, Lestat, Switchblade Symphony, and The Damned.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/hi3/industrialord/"} +{"d:Title": "jdm_66", "d:Description": "Dedicated to country music, classic rock, sci-fi and Pittsburgh sports.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://members.tripod.com/jdm_66/"} +{"d:Title": "Jeff's Big 'Ole Page of Music", "d:Description": "Personal page featuring album reviews, live reviews, pictures, and various links.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/mo/917/"} +{"d:Title": "Jo and Joe Music", "d:Description": "Improvisational instrumental and vocal music by Jo and Joe, abstract paintings and greeting cards by Jovani.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.jojoworks.com/"} +{"d:Title": "JSwine", "d:Description": "Composer and performer JSwine presents music in real audio format.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.jswine.com/"} +{"d:Title": "Kelland, Karen", "d:Description": "Karen M. Kelland is a Juilliard trained freelance musician and music teacher (bassoon, clarinet, and piano) for beginner to advanced students in New Jersey. She plays with chamber groups and orchestras throughout the tri-state area. She is also an artist and illustrator.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.karenkelland.com/music.html"} +{"d:Title": "Kitten Painting", "d:Description": "Indie gig and venue reviews, drawings, cartoons and general musings on the indie scene in London.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.kittenpainting.co.uk/"} +{"d:Title": "Kole, Ronnie", "d:Description": "Biography, audio files and general news.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.ronniekole.com/"} +{"d:Title": "Levin, Pete", "d:Description": "New York musician and composer. Discography, performance schedule, about greyhounds.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.petelevin.com/"} +{"d:Title": "Lewis, Susanne", "d:Description": "Album information, art and various topics of interest.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.susannelewis.com/"} +{"d:Title": "Lord Kitchener Tribute", "d:Description": "A tribute to the late Aldwyn 'Lord Kitchener' Roberts'. Focuses on the calypso master's compositions and development of the steel pan.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.tntisland.com/kitchindex.html"} +{"d:Title": "Mandi Apple", "d:Description": "Includes pictures, fan pages for various bands. Two friends from Belgium.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.mandiapple.com/sancindex.html"} +{"d:Title": "Mantilla Music", "d:Description": "Ray Mantilla - biography, reviews, gallery, sound clips and events.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.mantillamusic.com/"} +{"d:Title": "Marksound", "d:Description": "Journal of veteran sound engineer with tour photos.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.marksound.com/"} +{"d:Title": "Matt's Music Page", "d:Description": "Includes video clips, sounds, lyrics, tabs, forum, chat, and images with over 60 different music groups including U2, Sublime, Aerosmith, and Blink 182.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.mattsmusicpage.com/index.htm"} +{"d:Title": "McEntire, Leon", "d:Description": "Guitarist and webmaster covers delta blues music. Also contains band listings and videos.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://deltaboogie.com/deltamusicians/mcentire/"} +{"d:Title": "Miksu's World", "d:Description": "Featuring pictures and mp3 files of Miksu's favorite rap artists.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://miksu.8k.com/"} +{"d:Title": "Mindsett", "d:Description": "History, equipment, recordings and sound files of the former band.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/weird/supernatural/"} +{"d:Title": "Morehead, Phil and Pat", "d:Description": "Home of composer and oboist Patricia Morehead, and pianist, conductor, vocal coach, and editor Philip Morehead.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.patphil.com/"} +{"d:Title": "Murashev", "d:Description": "Discographies, reviews, lyrics and information on the Beatles and other rock bands.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.murashev.com/"} +{"d:Title": "The Musician's Life On The Road", "d:Description": "Colorful stories: the musician's life on the road: the difficult, sometimes bizarre, always amusing experiences of the professional musician. Essays about New Orleans music, musician-related drug addiction and a full journal of a Concert Tour with legendary guitarist Danny Gatton.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://rhumba.com/"} +{"d:Title": "Nailed Against The Wall", "d:Description": "Nine Inch Nails information, art, poetry, quotes, information on existentialism.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/art/aliens/"} +{"d:Title": "Nico's Intimate Notebook", "d:Description": "Groupie stories with backstage pictures, personal interviews and concert dates.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.nicosintimatenotebook.com/"} +{"d:Title": "Parameshwar, Hedge", "d:Description": "Details about him, his music, audio clips, and general information about Hindustani music.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://parameshwarhegde.tripod.com/"} +{"d:Title": "P-ART", "d:Description": "Art journal, gallery, intuitive composing, sculpturing sounds, and audio files.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://users.skynet.be/P-ART/"} +{"d:Title": "Peter's Picture Palace", "d:Description": "A site dedicated to displaying original photos of 1970's rock groups.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.rock-photos.co.uk/"} +{"d:Title": "Petestack Music Pages", "d:Description": "Original compositions (mostly music for children's shows and eclectic folk) in Sibelius Scorch and MP3 formats with some thoughts about music in general.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.petestack.com/music/"} +{"d:Title": "PianoFlyboy", "d:Description": "Dennis Enari's home page for the Wright Stuff Jazz Band and an archive of his digital music, pictures, and biographical material.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.dennisenari.com/"} +{"d:Title": "pillboxhat.com", "d:Description": "Poetry, pictures, and Bob Dylan music files from John Morello.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.pillboxhat.com/"} +{"d:Title": "Pollution Lake", "d:Description": "Recording, graphic, and web design studio based in Winnipeg, Manitoba, Canada.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.pollutionlake.com/"} +{"d:Title": "Pooka Stew&The Michael Barry Group", "d:Description": "History, discography, sound files, and pictures about the Boston-based bands.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.pookastew.com/"} +{"d:Title": "Posters and Prints", "d:Description": "Posters of Korn, Nirvana, Deftones, Nine Inch Nails, Tool, and Rage Against the Machine (from Art.com).", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/ma2/poster/"} +{"d:Title": "R\u00e9al's Original Songs", "d:Description": "Songs written and performed by R\u00e9al Carpentier, family tree, and links.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://realcarp.tripod.com/"} +{"d:Title": "Redhead Music Website", "d:Description": "Provides musicals, written by Sheila Wilson, for schools. Contains audio samples.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.redheadmusic.co.uk/"} +{"d:Title": "Reich, Rich", "d:Description": "Information on the artist, performances, audio clips and how to purchase his CDs.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.richreich.com/"} +{"d:Title": "Richards, Andy", "d:Description": "Specializes in music and record production, as well as keyboard, synthesizer and Fairlight programming, songwriting and film or TV composition.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.andyrichards.com/"} +{"d:Title": "Rucker, Anthony", "d:Description": "Information on black music from the 1960s to today. Home of the Deep Groove Encyclopedia, reviews and articles.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.allthingsdeep.com/"} +{"d:Title": "Sealander, John", "d:Description": "Original music in Real Audio and MP3 with full lyrics.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.sealander.com/mp3.html"} +{"d:Title": "Singer, Kristi", "d:Description": "Kristi Singer is a freelance music journalist who has written for publications such as American Country, American Songwriter, Country Weekly, Popstar!, The Village Idiot, Singer Magazine, The Sun News and The Wilmington Star-News.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://kristi_singer.tripod.com/"} +{"d:Title": "Sla-vee", "d:Description": "Contains lyrics to rap songs written by the webmaster.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://doublese.tripod.com/slavee/"} +{"d:Title": "So you think you know 70's music ?", "d:Description": "Lyrical Javascript quiz on music from the 1970s.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://melita2.tripod.com/quiz.html"} +{"d:Title": "Stevens, Thomas", "d:Description": "Thomas Stevens \"Quotables\" column features comments made by distinguished professionals in the performing arts that are of interest to musicians and music lovers alike", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.thomasstevensmusic.com/"} +{"d:Title": "Strings Edge Homepage", "d:Description": "Guitars, music, thoughts, opinions and images of the latest happenings are just a few of the things to be found here.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/rock/StringsEdge/"} +{"d:Title": "sus4.com", "d:Description": "Thoughts mostly related to jazz, musical ramblings, tips, and MIDI files.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.sus4.com/"} +{"d:Title": "The Best Things in the World", "d:Description": "A website devoted to music with lyrics, skateboarding and links.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.thebestthingsintheworld.20m.com/"} +{"d:Title": "Tradewind", "d:Description": "A Syracuse, New York, Jazz Project. This site contains information on Tradewind, Nexus, with recording tips and great Christian and music links.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/ny2/nexus1/"} +{"d:Title": "Vandura", "d:Description": "Rennie Elliott, Jonathan Toubin. Pictures and MP3s of the New York City rock band.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/stars/vandura/"} +{"d:Title": "Waterfalls", "d:Description": "News, reviews, and biographies of favorite artists. Focuses on mainstream bands.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.geocities.co.jp/MusicStar/2373/"} +{"d:Title": "Weekendz with Bernie", "d:Description": "Tale of a down and out drummer's travels on tour with his bandmates.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://rhumba.com/bernie/index.html"} +{"d:Title": "Weimer, Mike", "d:Description": "Website for trumpet player and private music instructor Mike Weimer, a student at Indiana University.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.mikeweimer.com/"} +{"d:Title": "Western Songs", "d:Description": "Original Songs of the 'Wild West' reinterpreted into a modern context.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.westernsongs.com/"} +{"d:Title": "Williams, David", "d:Description": "Personal site offers original compositions in WAV format.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.freewebs.com/davidiswilliams/"} +{"d:Title": "WorldWide Death Row Records Family", "d:Description": "Information on Death Row Records and its artists and executives.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.angelfire.com/wa/DefRow/"} +{"d:Title": "Zwerin, Mike", "d:Description": "Paris-based Mike Zwerin writes about all kinds of music, except 'serious' music. Somebody has to do it.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.mikezwerin.com/"} +{"d:Title": "Phil's Folk Singing Page", "d:Description": "Description of how Phil got into folk music, listing of all the songs he sings, and lyrics.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://www.thehat.free-online.co.uk/folk/songs/"} +{"d:Title": "Aaron's Blink 182/Britney Spears Fan Site", "d:Description": "Information on Blink 182 and Britney Spears. Also pictures, lyrics, discographies and links.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://aaroncarnes.tripod.com/index.htm"} +{"d:Title": "Freedom Zine", "d:Description": "Newsletter by Jason Williams. Contains interviews with Christian bands and music reviews.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://dover14.tripod.com/"} +{"d:Title": "Havlena, Dennis", "d:Description": "Directions on how to build simple and inexpensive musical instruments, and other musical information.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://dennishavlena.com/"} +{"d:Title": "Rock 'n' Roll Army", "d:Description": "Site dedicated to some of today's greatest bands and a great musical (Rent). Includes concert reviews, band information, lyrics, mailing list, pictures and notes from the bands.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://rocknrollarmy.tripod.com/"} +{"d:Title": "ShadesDrumset", "d:Description": "Page showing my drums and answering questions about drumming. It also has links to several drum companies.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://shadesthedrummer.tripod.com/ShadesDrumsetindex.html"} +{"d:Title": "Kee, Tengblad", "d:Description": "Information on world blues and artists. Details of performance gear and guitars.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://tengblad.tripod.com/"} +{"d:Title": "Kvam Family", "d:Description": "Site with weblog kept by Icelandic family on personal music.", "topic": "Top/Arts/Music/Personal_Pages", "url": "http://kvam.est.is/"} +{"d:Title": "Musipedia", "d:Description": "Collaborative music encyclopedia contains melodies and musical themes from classical, popular, and folk music. Can be searched based on the melody alone, either by playing it on a keyboard, whistling or singing, or by entering the melodic contour as Parsons Code.", "topic": "Top/Arts/Music/Resources", "url": "http://www.musipedia.org/"} +{"d:Title": "Web Music Database", "d:Description": "Collaborative user-submitted database of cross-referenced artists, albums, tracks, and sound files.", "topic": "Top/Arts/Music/Resources", "url": "http://www.webmusicdb.com/"} +{"d:Title": "Themefinder", "d:Description": "Search by note names, intervals, or solfege to see matching classical themes or folk melodies in musical notation. From the Center for Computer Assisted Research in the Humanities at Stanford University.", "topic": "Top/Arts/Music/Resources", "url": "http://www.themefinder.org/"} +{"d:Title": "Shavano Music Online", "d:Description": "Self-help articles about band equipment, lighting systems, speakers, equalization, and business including contracts. Free submissions for bands seeking musicians and vice versa.", "topic": "Top/Arts/Music/Resources", "url": "http://www.colomar.com/Shavano"} +{"d:Title": "Solo Performer", "d:Description": "Veteran singer-songwriter Steve Rapson of Quincy, Massachusetts offers extensive self-help articles on practical aspects of being a working musician, including legal and music business issues.", "topic": "Top/Arts/Music/Resources", "url": "http://www.soloperformer.com/"} +{"d:Title": "Who Does That Song", "d:Description": "Find artists by song title or titles by artist, cross-referencing artists who have changed names or joined different groups.", "topic": "Top/Arts/Music/Resources", "url": "http://www.whodoesthatsong.com/"} +{"d:Title": "American Hit Network", "d:Description": "Reviews, release dates, music news, concert dates and reviews, music history, games and web radio.", "topic": "Top/Arts/Music/Resources", "url": "http://www.americanhitnetwork.com/"} +{"d:Title": "Hidden Songs.com", "d:Description": "Lists hidden and unlisted tracks found on albums and CDs, accepting submissions from site users.", "topic": "Top/Arts/Music/Resources", "url": "http://hiddensongs.com/"} +{"d:Title": "Music-Atlas", "d:Description": "Portal with artist and band biographies, polls, top ten lists and musician jokes.", "topic": "Top/Arts/Music/Resources", "url": "http://www.music-atlas.com/"} +{"d:Title": "SongTrellis", "d:Description": "Collaborative site offering chord changes to more than a thousand tunes as submitted by contributors, along with chat forums and FAQs.", "topic": "Top/Arts/Music/Resources", "url": "http://www.songtrellis.com/"} +{"d:Title": "Indiana University School of Music: Worldwide Internet Music Resources", "d:Description": "Categorized links to musicians and ensembles, composers, research sources by musical topic, the business of music, and performance-related subjects including competitions, festivals and auditions, with search and site map.", "priority": "1", "topic": "Top/Arts/Music/Resources", "url": "http://library.music.indiana.edu/music_resources/"} +{"d:Title": "Online Tuning Fork", "d:Description": "Click to hear sustained pitches including C, E, and concert A 440mHZ. With links to similar sites.", "topic": "Top/Arts/Music/Resources", "url": "http://www.onlinetuningfork.com/"} +{"d:Title": "Center for Computer Assisted Research in the Humanities", "d:Description": "Stanford University project founded in 1984 offers free public access to large databases and online tools for music research and scholarship. Includes printable scores, voice-leading checkers, and scores and MIDI files for koto and tabla.", "topic": "Top/Arts/Music/Resources", "url": "http://www.ccarh.org/"} +{"d:Title": "Music Bibliography", "d:Description": "Links to research materials, musical societies, scholarly journals, and various uncategorized sites annotated and maintained by Professor Bruce C. McIntyre of the Conservatory of Music at Brooklyn College (CUNY).", "topic": "Top/Arts/Music/Resources", "url": "http://academic.brooklyn.cuny.edu/music/inetmus/#INDEX"} +{"d:Title": "Music Bibliography at IUP", "d:Description": "Course syllabus and brief articles for students of Carl Rahkonen at Indiana University of Pennsylvania, with links to a dozen significant music libraries and online archives.", "topic": "Top/Arts/Music/Resources", "url": "http://www.lib.iup.edu/depts/musiclib/MusBib/MusicBib_home.htm"} +{"d:Title": "Computer Music bibliography", "d:Description": "Large plain text annotated list of publications on MIDI, synthesis, and computer music, with links to music and artificial intelligence, maintained by Piet van Oostrum.", "topic": "Top/Arts/Music/Resources", "url": "http://www.faqs.org/faqs/music/midi/bibliography/preamble.html"} +{"d:Title": "Musician Biographies", "d:Description": "Thousands of authoritative articles, many with discography, bibliography, and awards. In two alphabetized lists, individuals and bands or groups.", "topic": "Top/Arts/Music/Resources", "url": "http://www.musicianguide.com/"} +{"d:Title": "MSN Music Guide", "d:Description": "Includes news, reviews, charts and links.", "topic": "Top/Arts/Music/Resources", "url": "http://music.msn.com/"} +{"d:Title": "Evolutionary Music Bibliography", "d:Description": "Companion to 2007 book discussing applications of evolutionary computation to music, by computer music professor Eduardo Reck Miranda of Plymouth, UK, and information technology professor (and trumpeter) Al Biles of Rochester, New York, US.", "topic": "Top/Arts/Music/Resources", "url": "http://www.ist.rit.edu/~jab/EvoMusic/EvoMusBib.html"} +{"d:Title": "8notes.com", "d:Description": "Collection and directory of free sheet music pieces and lessons for piano, guitar, violin and other instruments.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets", "url": "http://www.8notes.com/"} +{"d:Title": "Music Sheaf", "d:Description": "Features manuscript staff paper in several customizable formats.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets", "url": "http://www.musicsheaf.com/"} +{"d:Title": "BlankSheetMusic.net", "d:Description": "Blank sheet music to print for free, supports multiple keys and formats, guitar and bass tabs too.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets", "url": "http://www.blanksheetmusic.net/"} +{"d:Title": "EasySheetMusic", "d:Description": "Classical, popular, piano and guitar music. Subscriptions available.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets", "url": "http://www.easysheetmusic.com/"} +{"d:Title": "MusicaNeo", "d:Description": "Platform for downloading and free publishing of classical and contemporary composers sheet music.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets", "url": "http://www.musicaneo.com/"} +{"d:Title": "Mundial Directory", "d:Description": "Large array of links to free scores for winds, strings, keyboards, choral, orchestra and percussion. Includes links to MP3s.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Directories", "url": "http://www.free-scores.com/"} +{"d:Title": "FreeSheetMusicGuide, The", "d:Description": "Popular music, Classical, Christmas and other links to free sites. Some commercial listings.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Directories", "url": "http://freesheetmusicguide.com/"} +{"d:Title": "Find Free Sheet Music", "d:Description": "Well organised collection of links categorized by musical style and instrument.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Directories", "url": "http://www.findfreesheetmusic.com/"} +{"d:Title": "Ed's Music Resources", "d:Description": "Extensive collection of links to free sheetmusic sites and music resources, including latin and salsa music.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Directories", "url": "http://members.tripod.com/~Cbear_2/index34.html"} +{"d:Title": "Meantone.com", "d:Description": "Free Classical and Parlor Guitar Sheet Music in downloadable pdf format.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Directories", "url": "http://www.meantone.com/"} +{"d:Title": "Online Music Scores", "d:Description": "Links to scores which may be downloaded free of charge, collected by Howard J. Callon, music professor at McGill University in Seattle, Washington.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Directories", "url": "http://plato.acadiau.ca/courses/musi/callon/2273/scores.htm"} +{"d:Title": "Jay Buckey Music", "d:Description": "Free bluegrass sheet music and tablature for the guitar, banjo, fiddle, mandolin, dobro and bass, in PDF format.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://jaybuckey.com/"} +{"d:Title": "Mfiles", "d:Description": "Classical and original music. Sections on Gustav Mahler and film music. MIDI, MP3, GIF, some Sibelius.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://www.mfiles.co.uk/"} +{"d:Title": "Tunes at Ceolas", "d:Description": "Celtic tunes in various electronic formats. Includes indexes to published sources of Celtic and other folk tunes.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://www.ceolas.org/tunes/"} +{"d:Title": "TheUke.com", "d:Description": "Free downloadable ukulele sheet music with chords and tablature, in PDF format.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://www.theuke.com/"} +{"d:Title": "ChoirWorks.com", "d:Description": "ChoirWorks offers free choral sheet music for Latter Day Saints and other Christian church choirs.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://choirworks.com/"} +{"d:Title": "Hubert Garavel- Music Page", "d:Description": "Original transcriptions of pieces by Schubert, Brahms, Mahler and others.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://www.inrialpes.fr/vasy/people/Hubert.Garavel/Music/"} +{"d:Title": "Brass Scores", "d:Description": "Download free brass music, with original compositions and arrangements by Jean-Fran\u00e7ois Taillard", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://www.free-music-scores.com/"} +{"d:Title": "Jazz Chord Charts", "d:Description": "A collection of over 500 chord charts of Jazz standards (Real book) that can be transposed to any key. This site requires registration.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://www.realbook.us/"} +{"d:Title": "Colin Kirkpatrick Music", "d:Description": "Offers free arrangements for various ensembles of National Anthems and a set of easy scores for piano.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Free_Arrangements", "url": "http://www.colin-kirkpatrick.com/national-anthems/contents.html"} +{"d:Title": "Historic American Sheet Music", "d:Description": "The Historic American Sheet Music Project provides access to digital images of 3042 pieces of sheet music published in America between 1850 and 1920.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Manuscripts", "url": "http://library.duke.edu/digitalcollections/hasm/"} +{"d:Title": "Music for the Nation: American Sheet Music, 1870-1885", "d:Description": "From the U.S. Library of Congress. Tens of thousands of songs and instrumental pieces registered for copyright in the post-Civil War era. Digitized images and some audio clips.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Manuscripts", "url": "http://memory.loc.gov/ammem/smhtml/smhome.html"} +{"d:Title": "The Lester S. Levy Sheet Music Collection", "d:Description": "Covers 1780-1960. Scanned images of public-domain music.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Manuscripts", "url": "http://levysheetmusic.mse.jhu.edu/"} +{"d:Title": "Henry Purcell", "d:Description": "History and some scanned manuscripts.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Manuscripts", "url": "http://www.bl.uk/whatson/exhibitions/purcell.html"} +{"d:Title": "Vatican Renaissance Music Exhibit", "d:Description": "Renaissance manuscripts.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Manuscripts", "url": "http://www.ibiblio.org/expo/vatican.exhibit/exhibit/e-music/Music.html"} +{"d:Title": "19th-Century American Sheet Music", "d:Description": "Scanned historical sheet music. Digitization project at the University of North Carolina-Chapel Hill.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Manuscripts", "url": "http://www.lib.unc.edu/dc/sheetmusic/"} +{"d:Title": "Sheet Music from Canada's Past", "d:Description": "Features Canadian sheet music from the First World War era and from the pre-confederation era, from the National Library of Canada.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Manuscripts", "url": "http://www.collectionscanada.gc.ca/sheetmusic/index-e.html"} +{"d:Title": "African-American Sheet Music from Brown University", "d:Description": "This collection consists of 1,305 pieces of African-American sheet music dating from 1850 through 1920.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Original_Compositions", "url": "http://memory.loc.gov/ammem/award97/rpbhtml/aasmhome.html"} +{"d:Title": "Alamance CreekMusic", "d:Description": "New age and romantic piano pieces", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Original_Compositions", "url": "http://www.mindspring.com/~lesmuskey/deepriver1.html"} +{"d:Title": "Free Piano Sheet Music", "d:Description": "Offers free music for piano in a New Age style.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Original_Compositions", "url": "http://www.quiescencemusic.com/sheetmusic.html"} +{"d:Title": "Ben Marcato", "d:Description": "Compositions by Eltjo de Lang in PDF format, with mp3 preview included.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Original_Compositions", "url": "http://www.benmarcato.nl/"} +{"d:Title": "Roxanna Lea Glass Memorial Scholarship Fund--Music", "d:Description": "Free original sheet music and mp3s. Scholarship fund for arts students.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Original_Compositions", "url": "http://roxannaglass.com/"} +{"d:Title": "Free Piano Sheet Music in the New Age Style!", "d:Description": "Offers easy to intermediate level New Age piano pieces by Edward Weiss", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Original_Compositions", "url": "http://solosheets.blogspot.com/"} +{"d:Title": "GrateMusic.com", "d:Description": "Offers original choral music, solos, and hymns in PDF format.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Original_Compositions", "url": "http://gratemusic.com/"} +{"d:Title": "RowyNet", "d:Description": "Free sheet music, online multilingual music glossary and selected links to classical music scores.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.rowy.net/"} +{"d:Title": "The Choral Public Domain Library", "d:Description": "An extensive community-built library of editions of public domain choral music.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.cpdl.org/"} +{"d:Title": "Ragtime Sheet Music and Books", "d:Description": "A source for ragtime music. Includes some downloadable tunes in GIF format and a links to other ragtime music.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.trachtman.org/ragtime/musicbooks.htm"} +{"d:Title": "The Mutopia Project", "d:Description": "Public domain sheet music, newly typeset with GNU LilyPond. In addition to the printable PDF, the LilyPond source as well as MIDI files are provided. Mostly classical, with a little jazz.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.mutopiaproject.org/"} +{"d:Title": "Cipoo", "d:Description": "Free archive of electronically typeset editions of public domain choral music, MIDIs and some MP3s.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.cipoo.net/"} +{"d:Title": "Werner Icking Music Archive", "d:Description": "A web archive of electronically typeset public domain sheet music in PDF. Primarily early music, but also some contemporary works, including some jazz.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://icking-music-archive.org/"} +{"d:Title": "SheetMusicFox", "d:Description": "A large collection of free public domain sheet music.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.sheetmusicfox.com/"} +{"d:Title": "International Music Score Library Project (IMSLP)", "d:Description": "A community-built library of public domain sheet music. Extensive collection of original scores scanned to PDF.", "priority": "1", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://imslp.org/"} +{"d:Title": "Piano Four Hands", "d:Description": "Classical and traditional sheet music in PDF format for piano four hands.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.quatre-mains.net/"} +{"d:Title": "The Danish National Digital Sheet Music Archive", "d:Description": "A collection of scans of printed and manuscript music in PDF. Contains mostly Danish composers.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.kb.dk/en/nb/samling/ma/digmus/index.html"} +{"d:Title": "National Library of Australia Digital Collections - Printed Music", "d:Description": "Extensive archive of scans of Australian printed music items from the 19th and early 20th centuries. (Click 'print' to get PDF)", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.nla.gov.au/digicoll/music.html"} +{"d:Title": "Art Song Central", "d:Description": "Sheet music for singers and voice teachers. An emphasis is placed on standard classical and traditional repertoire. Scanned PDFs, also some MIDI and MP3 files available.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://artsongcentral.com/"} +{"d:Title": "The E. Azalia Hackley Collection", "d:Description": "19th and 20th century sheet music of Negro themes.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.thehackley.org/"} +{"d:Title": "Loeb Music Library at Harvard", "d:Description": "Scanned images of rare and unique musical scores from the eighteenth and early nineteenth centuries.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://hcl.harvard.edu/libraries/loebmusic/collections/digital.html"} +{"d:Title": "The Indiana State Collection", "d:Description": "Sheet music by Indiana composers, arrangers, lyricists and publishers.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://webapp1.dlib.indiana.edu/inharmony/"} +{"d:Title": "Library of Congress - Music Department", "d:Description": "Provides access to various sheet music collections of the library.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.loc.gov/performingarts/"} +{"d:Title": "Sibley Music Library", "d:Description": "PDFs of scores and books in the public domain. Many of these are unique to the Sibley Music Library collection.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "https://urresearch.rochester.edu/viewInstitutionalCollection.action?collectionId=63"} +{"d:Title": "Breizh Partitions", "d:Description": "Hundreds of free Celtic scores for bagpipe, fiddle, piano, guitar, flute, and other instruments.", "topic": "Top/Arts/Music/Resources/Downloadable_Music_Sheets/Public_Domain", "url": "http://www.celticscores.com/"} +{"d:Title": "Gaudeamus foundation", "d:Description": "Center for contemporary music that organizes and promotes contemporary music activities and concerts both in The Netherlands and abroad.", "topic": "Top/Arts/Music/Resources/Information_Centers", "url": "http://www.gaudeamus.nl/"} +{"d:Title": "Belgian Centre for Music Documentation", "d:Description": "Founded in 1951 on private initiative, this non-profit association stimulates the promotion and performance of the works of Belgian contemporary composers of serious music.", "topic": "Top/Arts/Music/Resources/Information_Centers", "url": "http://www.cebedem.be/"} +{"d:Title": "Canadian Music Centre", "d:Description": "Promoting the awareness, appreciation and performance of contemporary Canadian compositional music through its library archives, information resources, record production/distribution, and web site.", "topic": "Top/Arts/Music/Resources/Information_Centers", "url": "http://www.musiccentre.ca/"} +{"d:Title": "Norwegian Music Information Centre", "d:Description": "The center has a manuscript library, orchestral rental materials, a reference library, biographies of Norwegian composers, articles about the Norwegian musical scene, catalogs of printed music and an address guide to music in Norway.", "topic": "Top/Arts/Music/Resources/Information_Centers", "url": "http://www.mic.no/"} +{"d:Title": "Contemporary Music Centre", "d:Description": "Ireland's national archive and resource center for new music, supporting the work of composers throughout the Republic and Northern Ireland.", "topic": "Top/Arts/Music/Resources/Information_Centers", "url": "http://www.cmc.ie/"} +{"d:Title": "Centre for New Zealand Music: SOUNZ", "d:Description": "Fostering and developing New Zealand music, its creation, performance, publication, recording and broadcast, by working with and on behalf of New Zealand composers.", "topic": "Top/Arts/Music/Resources/Information_Centers", "url": "http://www.sounz.org.nz/"} +{"d:Title": "International Association of Music Libraries, Archives and Documentation Centres", "d:Description": "Currently has about 2,000 individual and institutional members in some 45 countries, comprising major music collections, librarians, archivists and documentation specialists, musicologists, publishers and dealers.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.iaml.info/"} +{"d:Title": "Anne Potter Wilson Music Library", "d:Description": "Housed in the Blair School of Music at Vanderbilt University in Nashville, Tennessee, offering thousands of scores, books on historical musical periods, reference materials, periodicals, and recordings.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.library.vanderbilt.edu/music"} +{"d:Title": "Lewis Music Library", "d:Description": "Located at the Massachusetts Institute of Technology in Cambridge. Includes hours, location and map, services, an overview of the collections, web resources organized by subject, a help section, research material, policies, news and FAQ.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://libraries.mit.edu/music/"} +{"d:Title": "Archives of African American Music and Culture", "d:Description": "Special collection of the Indiana University library in Bloomington provides access to oral histories, photographs, musical and print manuscripts, audio and video recordings, and educational broadcast programs.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.indiana.edu/~aaamc/"} +{"d:Title": "UC Berkeley Music Library", "d:Description": "Features a large collection of music manuscripts and archival materials. Includes links to indexes, databases and music journals. Reference services are available.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.lib.berkeley.edu/MUSI/"} +{"d:Title": "Eda Kuhn Loeb Music Library", "d:Description": "Harvard University's principal resource for music books, scores, sound recordings, and videos. It also serves the international community as a research facility for musical scholarship.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://hcl.harvard.edu/libraries/#loebmusic"} +{"d:Title": "University of North Texas Music Library", "d:Description": "Emphasizing jazz big band education, with staff and contact information, policies, and information on collections.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.library.unt.edu/music/"} +{"d:Title": "Cleveland Institute of Music Library", "d:Description": "Includes hours, services, map, and an overview of the collections.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.cim.edu/library/"} +{"d:Title": "Bodleian Library", "d:Description": "The main research and copyright deposit library of the University of Oxford.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.bodleian.ox.ac.uk/bodley"} +{"d:Title": "Cornell University Music Library", "d:Description": "Includes a collection of printed music, sound/visual recordings and writings consisting primarily of Western music and dance. It does include a representative body of non-Western, folk and popular musics.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://music.library.cornell.edu/"} +{"d:Title": "Arthur Friedheim Library", "d:Description": "Serving the faculty and students of the Peabody Conservatory of Music, the Peabody Preparatory Division and all other divisions of The Johns Hopkins University.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://musiclibrary.peabody.jhu.edu/"} +{"d:Title": "The Gabe M. Wiener Music and Arts Library", "d:Description": "Located at Columbia University in New York City. Guide to the collections.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://library.columbia.edu/indiv/music/about.html"} +{"d:Title": "Stanford Music Library", "d:Description": "Located in the Braun Music Center at Stanford University in California. Includes collections, resources, services, facilities and general information.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://library.stanford.edu/music"} +{"d:Title": "Indiana University: William&Gayle Cook Music Library", "d:Description": "Large academic music library offers online access to various collections, as well as links to musical performers, research topics, and commercial entities.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "https://libraries.indiana.edu/music"} +{"d:Title": "Center for Popular Music", "d:Description": "An archive and research center for the study of American popular music from the pre-revolutionary era to the present, at Middle Tennessee State University in Murfreesboro.", "topic": "Top/Arts/Music/Resources/Libraries", "url": "http://www.mtsu.edu/popmusic/"} +{"d:Title": "Pitchfork", "d:Description": "Reviews, news, MP3s, and release dates.", "topic": "Top/Arts/Music/Reviews", "url": "http://pitchfork.com/"} +{"d:Title": "Roots Music Report", "d:Description": "Reviews blues, folk, bluegrass, country, alternative country and roots in a weekly chart format.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.rootsmusicreport.com/"} +{"d:Title": "Music-Critic.com", "d:Description": "Album and concert reviews in all genres with music-related articles and interviews.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.music-critic.com/"} +{"d:Title": "Drawer B", "d:Description": "Archive of reviews from both independent and established artists. With submission information.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.drawerb.com/"} +{"d:Title": "All-Reviews.com", "d:Description": "Album reviews with ratings and cover art. Includes reviewer's 'best of' lists.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.all-reviews.com/music.htm"} +{"d:Title": "The Daily Vault", "d:Description": "Album reviews with liner notes, ratings, and a feature story.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.dailyvault.com/"} +{"d:Title": "Musicfolio", "d:Description": "Amalgamates reviews of artists and albums from other sites.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.musicfolio.com/"} +{"d:Title": "The Night Owl", "d:Description": "Reviews on a wide range of styles by a member of the National Music Critics Association.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.thenightowl.com/"} +{"d:Title": "MustHear.com", "d:Description": "Reviews focusing on jazz, pop, funk, and soul music. Includes a photo gallery.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.musthear.com/"} +{"d:Title": "musicOMH.com", "d:Description": "Music reviews, including albums, gigs, singles and opera, plus interviews and a venues directory.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.musicomh.com/albums/"} +{"d:Title": "W and A's Record Reviews", "d:Description": "Many reviews spanning various genres, new and old.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.warr.org/"} +{"d:Title": "Randy's Rodeo", "d:Description": "Includes reviews of albums in categories such as alternative, austin, country, jazz, lounge, oldies, power pop, punk, reggae, rock, seventies and soul.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.randysrodeo.com/"} +{"d:Title": "Metacritic Music", "d:Description": "Reviews from various critics and music publications on new releases in a variety of genres.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.metacritic.com/music/"} +{"d:Title": "Robert Christgau", "d:Description": "Pop and rock reviews from Rolling Stone reviewer and author of Christgau's Consumer Guide.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.robertchristgau.com/xg/cdrev/index.php"} +{"d:Title": "Rate Your Music", "d:Description": "User submitted album reviews and ratings.", "topic": "Top/Arts/Music/Reviews", "url": "http://rateyourmusic.com/"} +{"d:Title": "Pops Noble Underground", "d:Description": "Pop, urban, indie and underground music reviews.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.popsnobleunderground.co.uk/"} +{"d:Title": "cMusicWeb.com", "d:Description": "Featuring in-depth reviews, current news, and other information on many bands, plus extras such as book reviews, and artist interviews.", "topic": "Top/Arts/Music/Reviews", "url": "http://cmusicweb.com/"} +{"d:Title": "Crud Music Reviews", "d:Description": "Indie rock, pop, alternative and dance music reviews.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.2-4-7-music.com/reviews/reviewsearch/short.asp?NewsMonth=The+Latest"} +{"d:Title": "The Aural Chamber", "d:Description": "The cranky, acerbic reviews of today's pop music by a cantankerous granny.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.mrsgiggles.com/music/index.html"} +{"d:Title": "Music Matters Reviews", "d:Description": "Reviews of folk, blues, alt-country, Celtic, Cajun, zydeco, jazz, and rhythm and blues releases.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.mmreview.com/reviews/reviews.htm"} +{"d:Title": "Whisperinandhollerin Music Reviews", "d:Description": "Pop, punk, alt-country, and electronic reviews. Based in Ireland.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.whisperinandhollerin.com/"} +{"d:Title": "Lou's Music Review Essays", "d:Description": "CD and concert reviews focusing on classical, jazz, and folk roots genres.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.filbert.com/pvfs/LousReviews/default.htm"} +{"d:Title": "Musical Taste", "d:Description": "User-submitted recommendations of their favorite songs.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.musicaltaste.com/"} +{"d:Title": "Bullz-Eye.com CD Reviews", "d:Description": "Reviews of new and classic CDs in the rock, pop and alternative genres.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.bullz-eye.com/cdreviews/default.htm"} +{"d:Title": "Concert Livewire", "d:Description": "CD and concert reviews, photos, seating charts, ticket exchange and links.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.concertlivewire.com/"} +{"d:Title": "Rock3", "d:Description": "UK rock and concert reviews.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.rock3.co.uk/reviews.html"} +{"d:Title": "Review Gods", "d:Description": "Dance and rock reviews from Cool Hand Luke.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.reviewgods.com/music.htm"} +{"d:Title": "Jim Trageser's Music Reviews", "d:Description": "Two decades worth of reviews of jazz, blues, world beat and other styles.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.trageser.com/archive/music/index.html"} +{"d:Title": "SACD Info", "d:Description": "Super Audio CD format reviews and news.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.sa-cd.net/"} +{"d:Title": "Launch Reviews", "d:Description": "UK site reviewing albums, singles, and live performances.", "topic": "Top/Arts/Music/Reviews", "url": "http://uk.launch.yahoo.com/r/"} +{"d:Title": "Slipcue.Com", "d:Description": "San Francisco DJ Joe Sixpack offers quirky reviews and album recommendations.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.slipcue.com/"} +{"d:Title": "NY Rock", "d:Description": "Reviews of albums, and concerts in New York City. Includes audio and video clips and pictures.", "topic": "Top/Arts/Music/Reviews", "url": "http://www.nyrock.com/reviews.asp"} +{"d:Title": "Folk&Acoustic Music Concert Reviews", "d:Description": "Presents reviews of live concerts by folk and acoustic music artists from around the world. Write your own review or read what other fans have posted.", "topic": "Top/Arts/Music/Reviews/Concerts", "url": "http://www.wildplum.org/reviews"} +{"d:Title": "The Toronto Rock&Roll Revival", "d:Description": "On September 13th, 1969 at University of Toronto's Varsity Stadium was a concert Rolling Stone magazine called the second most important event in rock and roll history.", "topic": "Top/Arts/Music/Reviews/Concerts", "url": "http://members.tripod.com/rockandrollrevival/"} +{"d:Title": "The Barrowland Ballroom Concert Archive", "d:Description": "An archive of live performance reviews from Glasgow's premier rock venue.", "topic": "Top/Arts/Music/Reviews/Concerts", "url": "http://www.glasgow-barrowland.com/history/historybands.html"} +{"d:Title": "Bradley's Almanac", "d:Description": "A Boston-based chronicle of concerts and music events.", "topic": "Top/Arts/Music/Reviews/Concerts", "url": "http://www.bradleysalmanac.com/"} +{"d:Title": "Passion of the Weiss", "d:Description": "LA writer/critic Jeff Weiss reviews the Southern California concert scene.", "topic": "Top/Arts/Music/Reviews/Concerts", "url": "http://www.passionweiss.com/"} +{"d:Title": "Nerd Den, The", "d:Description": "Electronic music recommendation list which includes personal reviews of individual CDs with ratings and band information.", "topic": "Top/Arts/Music/Reviews/Dance_and_Electronica", "url": "http://www.angelfire.com/in2/nerd4life/index.html"} +{"d:Title": "Martinsland", "d:Description": "Personal archive of dance music reviews. Includes a 1 to 5 star rating system.", "topic": "Top/Arts/Music/Reviews/Dance_and_Electronica", "url": "http://www.martinsland.co.uk/"} +{"d:Title": "Trance World", "d:Description": "Personal collection of dance and trance music reviews with audio samples.", "topic": "Top/Arts/Music/Reviews/Dance_and_Electronica", "url": "http://www.trance-world.co.uk/"} +{"d:Title": "Almost Cool Music Reviews", "d:Description": "Focuses on independent and electronic releases.", "topic": "Top/Arts/Music/Reviews/Dance_and_Electronica", "url": "http://www.almostcool.org/mr/"} +{"d:Title": "Rambles", "d:Description": "A cultural arts magazine dedicated to reviews of traditional music, books and films.", "topic": "Top/Arts/Music/Reviews/Folk-World", "url": "http://www.rambles.net/"} +{"d:Title": "Kerrville Ramblings", "d:Description": "Photos and reviews of the Kerrville Folk Festival.", "topic": "Top/Arts/Music/Reviews/Folk-World", "url": "http://www.itcanbethiswayalways.com/"} +{"d:Title": "Folk and Roots", "d:Description": "Includes reviews of live events and folk cds.", "topic": "Top/Arts/Music/Reviews/Folk-World", "url": "http://www.folkandroots.co.uk/"} +{"d:Title": "Folk and Acoustic Music Exchange", "d:Description": "Reviews of new releases by folk and acoustic artists.", "topic": "Top/Arts/Music/Reviews/Folk-World", "url": "http://www.acousticmusic.com/"} +{"d:Title": "Planet Bollywood", "d:Description": "Reviews of Indian music.", "topic": "Top/Arts/Music/Reviews/Folk-World", "url": "http://www.planetbollywood.com/displayReviewIndex.php?scid=6"} +{"d:Title": "FAX Record Label: Reviews of Releases", "d:Description": "(Detailed, adulatory reviews.)", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.2350.org/"} +{"d:Title": "Consumable", "d:Description": "A collaborative E-zine with a wide-range of reviews.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.westnet.com/consumable/"} +{"d:Title": "The Patchetic Caverns", "d:Description": "Opinionated and eclectic reviews of music, film and books. Emphasis on Washington-Baltimore area", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.pathetic-caverns.com/"} +{"d:Title": "Minor 7th", "d:Description": "Reviews of guitar CDs, from jazz and folk to rock and new age. Emphasizing acoustic, independent and obscure releases.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.minor7th.com/"} +{"d:Title": "Mish Mash Independent Music Reviews", "d:Description": "A review site that centers exclusively on independent music in all genres.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://mashmusic.tripod.com/"} +{"d:Title": "Lazyeye", "d:Description": "A music magazine that includes interviews and band profiles, reviews and hype. The focus is on the indie music scene (but not restricted to) in Omaha.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.timmcmahan.com/lazyeye.htm"} +{"d:Title": "Muse's Muse Songwriting Resource: Music Reviews", "d:Description": "Reviews of CDs, tapes and demos; by Ben Ohmart.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.musesmuse.com/musicreviews.html"} +{"d:Title": "Dr. Rock's Online Prescription", "d:Description": "Album reviews for rock 'n roll bands.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.angelfire.com/rock/doktorrock/index.html"} +{"d:Title": "MusicEmissions", "d:Description": "Reviews of alternative rock, pop, electronica, no depression, world, folk, blues, and contemporary classics. Features include weekly interviews, biographies and contests.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.musicemissions.com/"} +{"d:Title": "Big Orange Crayon", "d:Description": "A zine by a just-graduated high school kid. Music reviews, mainly indie pop/rock; random writing; links.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.bigorangecrayon.com/index.html"} +{"d:Title": "Music Review Central", "d:Description": "Reviews of rock / alternative music CDs and live shows.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.angelfire.com/tx3/MusicReviewCentral/"} +{"d:Title": "The Milk Factory", "d:Description": "Monthly alternative music news and reviews of electronica, pop and world music.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.themilkfactory.co.uk/"} +{"d:Title": "elsol's Album Reviews", "d:Description": "Album reviews / CD reviews. Reviews of newly released albums and classic albums from the 80's and 90's. Updated weekly.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://homepage.eircom.net/~rwhelan/elsol2/index.html"} +{"d:Title": "Wiretap music review", "d:Description": "Reviews of indie and unsigned demos/CDs/7\"s. Submissions of any style welcome.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://wiretap.8k.com/"} +{"d:Title": "Musique[Machine]", "d:Description": "Reviews in many genres, mostly indie artists.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.musiquemachine.com/reviews/index.php"} +{"d:Title": "Indiepop Spinzone", "d:Description": "Indie and alternative reviews, interviews, and links.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.indiespinzone.com/"} +{"d:Title": "Three Imaginary Girls: Seattle's Sparkly Indie Pop Press", "d:Description": "Provided reviews of indie pop records and shows around the Seattle area.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.threeimaginarygirls.com/"} +{"d:Title": "Beardscratchers.com", "d:Description": "Alternative and indie CD reviews.", "topic": "Top/Arts/Music/Reviews/Independent_or_Alternative", "url": "http://www.beardscratchers.com/index.php"} +{"d:Title": "The War Against Silence", "d:Description": "Glenn McDonald provides a weekly music review column.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.furia.com/twas"} +{"d:Title": "Aaron's Music Journalism Archives", "d:Description": "Offers several music reviews and articles covering a variety of pop genres. Reviewed CDs are solicited.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/in2/aaronmusicarchives/"} +{"d:Title": "Elsol's Album Reviews", "d:Description": "Covers most musical genres, new and classic albums. Updated weekly.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://homepage.tinet.ie/~rwhelan/index1.html"} +{"d:Title": "Disclaimer Music Review Archive", "d:Description": "Offers graded reviews of several modern bands and artists.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.disclaimerband.com/"} +{"d:Title": "Mark's Record Reviews", "d:Description": "Provides reviews of his record collection: punk, alternative, metal, classic and noise.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.markprindle.com/"} +{"d:Title": "musicwhore.org", "d:Description": "Greg Bueno provides music reviews from Austin, Texas. Includes featured, recent and upcoming reviews with narrative.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.musicwhore.org/"} +{"d:Title": "Steve and Abe's Record Reviews", "d:Description": "Offers reviews focusing on classic rock and pop by Steve Knowlton and his infant son, Abe. Includes narrative and a zero to ten rating system with reader comments and photos.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/mi4/steveandabe/"} +{"d:Title": "ireallylovemusic", "d:Description": "Collection of reviews spanning multiple genres. Includes a mission statement, discussion forum and links.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.ireallylovemusic.co.uk/"} +{"d:Title": "Tuesday Morning 3 a.m.", "d:Description": "A weekly music review and opinion column by Andre Salles.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://tm3am.com/"} +{"d:Title": "The Hungry Hatter", "d:Description": "A decade's worth of music reviews and personal writings by Simon Darnell.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.hungryhatter.co.uk/"} +{"d:Title": "Nix Music Reviews", "d:Description": "Reviews of mainstream alternative albums.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://nixreviews.8m.com/"} +{"d:Title": "J. Eric Smith's Music Review", "d:Description": "Provides a collection of reviews and articles by J. Eric Smith from Albany.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.jericsmith.com/"} +{"d:Title": "Smash!", "d:Description": "Offers rock album and concert reviews. Provides a list of reviews with narrative and album cover, includes a new guestbook.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/pq/smashiing/"} +{"d:Title": "Holly Gleason", "d:Description": "Once a writer and now offering her opinion on a range of subjects. Provides essays on a number of artists, songs, and albums.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.hollygleason.com/"} +{"d:Title": "Cole Reviews", "d:Description": "Eclectic reviews ranging from Yes to Pet Shop Boys with narrative and a star rating system.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://colereviews.tripod.com/"} +{"d:Title": "CD Reviews Online", "d:Description": "Offers narrative and a star rating system for rock, jazz, pop, country, and folk reviews.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/music3/cdreviewsonline/page10.html"} +{"d:Title": "The Horn Tones", "d:Description": "Offers reviews for jazz, ska and funk.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://horntones.tripod.com/"} +{"d:Title": "Four Life Hip Hop", "d:Description": "Offers personal reviews of rap and hip-hop albums.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/hiphop2/fourlife/review1.htm"} +{"d:Title": "Recommended CDs", "d:Description": "Manabu Tsuribe reviews and grades CDs in various genres with narrative and a two dot rating system. The site is in English and Japanese.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www001.upp.so-net.ne.jp/tsuribe/CDs/homee.html"} +{"d:Title": "Creative Noise", "d:Description": "Archive of Brian Burks's classic music review site with narrative and 5 star rating system.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://starling.rinet.ru/music/temp/music-1.html"} +{"d:Title": "Mikes Music Reviews", "d:Description": "Personal album reviews with track rating, best song pick, album art and top 5.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/punk3/mikesmusicreviews/home.html"} +{"d:Title": "GoldSounds", "d:Description": "Weblog devoted to reviews of CDs and singles in all genres.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://goldsounds.blogspot.com/"} +{"d:Title": "Chris Ruel", "d:Description": "Music reviews for instrumental music for genres including progressive, jazz, fusion, neoclassical, blues, and world music, focusing on virtuoso musicians.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.chrisruel.com/"} +{"d:Title": "Don Ignacio's Music Reviews", "d:Description": "Lengthy reviews of favorite or not-so-favorite albums. Includes narrative, album ratings 1 to 10.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://donignacio.com/"} +{"d:Title": "MattA75's Epinions Home Page", "d:Description": "Reviews of rock, pop, metal, ska, and punk discs and concerts.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/ma3/matta75/Epinions.html"} +{"d:Title": "Gig101", "d:Description": "UK gig and album reviews.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.angelfire.com/band2/gig101/"} +{"d:Title": "JimmyMook", "d:Description": "UK based reviewer.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.jimmymook.com/"} +{"d:Title": "John McFerrin's Rock and Prog Reviews", "d:Description": "John McFerrin offers another perspective on classic rock, progressive rock, pop, and select others.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.johnmcferrinmusicreviews.org/index.html"} +{"d:Title": "Almost Credible Reviews", "d:Description": "Selection of reviews covering a range of artists.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://burnttoast45.tripod.com/index.html"} +{"d:Title": "myTunes - an iTunes music blog", "d:Description": "Recommendations from and comments on Apple's iTunes Music Store.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://www.disordered.org/myTunes/"} +{"d:Title": "Album Reviews by George Starostin: Only Solitaire", "d:Description": "Extensive archive of classic rock reviews.", "topic": "Top/Arts/Music/Reviews/Personal_Archives", "url": "http://starling.rinet.ru/music/"} +{"d:Title": "Belgian music reviews", "d:Description": "CD-reviews of recent Belgian releases, including real-audio samples, bio, press-clips, a price comparison in various cd-shops, links.", "topic": "Top/Arts/Music/Reviews/Popular", "url": "http://houbi.com/belpop/albums/index.htm"} +{"d:Title": "Dorraine Bennett's Music Muscle", "d:Description": "Reviews, news and commentaries of pop, rock and alternative music.", "topic": "Top/Arts/Music/Reviews/Popular", "url": "http://www.dorraine.supanet.com/"} +{"d:Title": "NJO Music This Week", "d:Description": "Reviews from the New Jersey Star-Ledger newspaper", "topic": "Top/Arts/Music/Reviews/Popular", "url": "http://www.nj.com/enter/index.ssf?/music/%3F"} +{"d:Title": "The Renegade", "d:Description": "Music reviews, and interviews. Published since July 1993 with online issues dating back to 1995.", "topic": "Top/Arts/Music/Reviews/Popular", "url": "http://www.geoffwilbur.com/renegade/"} +{"d:Title": "The John Lennon Songwriting Contest", "d:Description": "Dedicated to creating opportunities for professional and amateur songwriters, Yoko Ono Lennon presents annual contest in 12 genres with cash prizes and contracts awarded. Information on past winners; rules and application.", "priority": "1", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.jlsc.com/"} +{"d:Title": "Goodnight Kiss Free Song Contest", "d:Description": "Competition is about finding the most uptempo and fun songs that inspire driving. Novelty songs are accepted. Includes rules and contest specifics.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.goodnightkiss.com/contest.html"} +{"d:Title": "USA Songwriting Competition", "d:Description": "Promoting contests in six languages and fifteen genres, judged by industry professionals; information and media on past winners, testimonials, links to winning songs. Rules and entry form for current competition.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.songwriting.net/"} +{"d:Title": "Great American Song Contest", "d:Description": "Annual songwriting competition sponsored by Songwriters Resource Network, a free online news and education resource. All entries are critiqued by music industry professionals.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.greatamericansong.com/"} +{"d:Title": "UK Songwriting Contest", "d:Description": "Prestigious annual UK songwriting competition from the BRIT Trust, The World Music Foundation, Roland UK, MusicAid.org and others. Contest prizes include publishing deals, recording equipment, and career development.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.songwritingcontest.co.uk/"} +{"d:Title": "The Ten-Minute Musicals Project", "d:Description": "A unique annual international competition for short stage musicals, whether original or previously produced, excerpted from longer works or complete in themselves.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.tenminutemusicals.org/"} +{"d:Title": "Nashville Star Search", "d:Description": "Nashville's premier songwriter and star search event with career workshops, TV/video filming, trophies, and Nashville School of Music.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.nashvillesymposium.com/"} +{"d:Title": "International Songwriting Competition", "d:Description": "ISC is an international song contest for amateur and professional songwriters. Entries will be screened by ISC and the finalists will be reviewed by wellknown professional judges.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.songwritingcompetition.com/"} +{"d:Title": "Just Plain Folks Music Awards", "d:Description": "Only active members of Just Plain Folks are eligible to enter this contest. The winners are chosen by industry professional, artists and fans.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.jpfolks.com/MusicAwards/index.html"} +{"d:Title": "Song of the Year Contesst", "d:Description": "Over $100,000 cash and prizes. Submit your song and lyrics. Judges include Norah Jones, Sheila E, Rolling Stone magazine, and many Grammy award winning producers.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.songoftheyear.com/"} +{"d:Title": "SongDoor", "d:Description": "An annual songwriting competition open to amateurs and professionals worldwide. All entrants receive some free songwriting tools. Provides information on awards, judges, rules and entry.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.songdoor.com/"} +{"d:Title": "Broadjam 6-Pack Competition", "d:Description": "The 6-Pack Competition is Broadjam's biggest contest combining six separate songwriting challenges and awarding over $50,000 in prizes. Thirty-eight total winners will be announced as awards will be given to the top three artists in each individual challenge.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.broadjam.com/6pack/"} +{"d:Title": "Indie International Songwriting Contest", "d:Description": "A small but mighty songwriting contest for independent artists.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.indieinternational.com/"} +{"d:Title": "The Muse's Muse: Songwriting Contests", "d:Description": "Listings of all the major songwriting contests and competitions with entry dates.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.musesmuse.com/contests.html"} +{"d:Title": "Music Aid", "d:Description": "A global music event and showcase where songwriters, singers and bands have the opportunity to earn money from their music and talent and appear on an historic album release while supporting world famous charities and good causes.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://www.musicaid.org/"} +{"d:Title": "The Global Songwriting Contest", "d:Description": "An international songwriting contest for amateur and professional songwriters. Limited to only 1,000 entrants. Current deadline is July15, 2016.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "http://globalsongwritingcontest.com/"} +{"d:Title": "48 Music Project", "d:Description": "Annual global 48-hour song writing contest for songs of 2 - 4 minutes, by online submission from anywhere in the world.", "topic": "Top/Arts/Music/Songwriting/Contests", "url": "https://www.48music.com/"} +{"d:Title": "Eurovisionlive.com", "d:Description": "Magazine with live reports and video interviews about the Eurovision Song Contest.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.eurovisionlive.com/"} +{"d:Title": "Eurovision Song Contest", "d:Description": "Information about the participating countries, forums, lyrics, voting, and esc results. Listen to the songs of the contest and find news.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.eurovision.tv/"} +{"d:Title": "Esctoday", "d:Description": "Daily news, images, multimedia, shop and forums.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.esctoday.com/"} +{"d:Title": "OGAE Second Chance", "d:Description": "Alternative selection of songs not winning their national competition. Also comments, pictures and memories.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.sechuk.com/"} +{"d:Title": "Diggiloo", "d:Description": "Lyrics translations to English of most songs competing in all European finals. Also offers statistic information.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.diggiloo.net/"} +{"d:Title": "Eurovision Song Contest", "d:Description": "A display of past Eurovision record covers and sleeves, table of all results since 1975, \"the best and the worst\", table of 1975-2000 voting results, trivia, and an on-going poll on the current contestants.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.eurovisioncovers.co.uk/"} +{"d:Title": "The House of Eurovision", "d:Description": "The latest news, winners and participants sorted by year and country.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.eurovisionhouse.nl/"} +{"d:Title": "EurovisionMalta.com", "d:Description": "Information about Maltese entries to the Eurovision contest including videos, mp3s and chat.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.eurovisionmalta.com/"} +{"d:Title": "Eurovision - Kazakhstan", "d:Description": "General website devoted to Eurovision Song Contest with specialization on Russian, Belarusian and Ukrainian participation.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.esckaz.com/"} +{"d:Title": "OGAE Eurovision Fanclub", "d:Description": "Fan site offering its own music competitions, fanzine, chat and links to other Eurovision information.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.ogaeinternational.com/"} +{"d:Title": "BBC ESC", "d:Description": "The official BBC site for the contest. Guides, moments and history.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.bbc.co.uk/programmes/b0070hvg"} +{"d:Title": "Eurovision Videos and Lyrics", "d:Description": "Eurovision videos and lyrics of all participant countries since the first contest in 1956 till today.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.eurovisionvideo.net/"} +{"d:Title": "Escvibes", "d:Description": "Eurovision forum.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.escvibes.com/"} +{"d:Title": "ESC Tips", "d:Description": "A Eurovision blog that analyses the potential of each entry based on song quality, regional voting bias and betting potential whilst using off-the-cuff language.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://esctips.com/"} +{"d:Title": "ESC Plus.com", "d:Description": "Eurovision site with daily news, reviews, former participants and everything related, and national finals.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://www.esc-plus.com/"} +{"d:Title": "Eurofest: OGAE Belarus", "d:Description": "Contest news, with 6 criteria chart. Russian/ English versions.", "topic": "Top/Arts/Music/Songwriting/Contests/Eurovision_Song_Contest", "url": "http://eurofest.by/en/"} +{"d:Title": "SongLink International", "d:Description": "Tipsheet/magazine for music publishers and songwriters: Artists and companies looking for songs, industry news.", "topic": "Top/Arts/Music/Songwriting/News_and_Media", "url": "http://www.songlink.com/"} +{"d:Title": "American Songwriter Magazine", "d:Description": "Covering all styles of music and the craft and business of songwriting since 1984. Interviews, songwriting tips, news, reviews and lyric contest.", "topic": "Top/Arts/Music/Songwriting/News_and_Media", "url": "http://www.americansongwriter.com/"} +{"d:Title": "Songwriter Universe", "d:Description": "Magazine and resource for songwriters offering articles, links and demo evaluations.", "topic": "Top/Arts/Music/Songwriting/News_and_Media", "url": "http://www.songwriteruniverse.com/"} +{"d:Title": "The Muse's News", "d:Description": "A free monthly newsletter and e-zine with interviews, articles, listings of songwriting contests and market information, reviews, and classifieds.", "topic": "Top/Arts/Music/Songwriting/News_and_Media", "url": "http://www.musesmuse.com/"} +{"d:Title": "A-Lyric.com", "d:Description": "News about song lyrics and the people that write them, covering major themes such as song meanings, plagiarism and lyrics in the news.", "topic": "Top/Arts/Music/Songwriting/News_and_Media", "url": "http://www.a-lyric.com/"} +{"d:Title": "Songwriting Scene", "d:Description": "A blog about songwriting for songwriters. By a singer-songwriter, Sharon Goldman. Resources, tips, Q&A's, interviews, links.", "topic": "Top/Arts/Music/Songwriting/News_and_Media", "url": "http://www.songwritingscene.com/"} +{"d:Title": "Dallas Songwriters Association", "d:Description": "Founded to help the songwriter in the business and craft of songwriting. News, articles, calendar, pictures, and many resources.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "https://dallassongwriters.org/"} +{"d:Title": "International Songwriters Association (ISA)", "d:Description": "Representing songwriters and those involved in the business of songwriting, since 1967.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.songwriter.co.uk/"} +{"d:Title": "Songwriters Resource Network", "d:Description": "Maintains a news and information resource for songwriters and lyricists everywhere. Also sponsors the annual Great American Song Contest.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.songwritersresourcenetwork.com/"} +{"d:Title": "Songwriters Showcases of America", "d:Description": "Organization providing songwriters and bands venues to perform their material throughout the United States. Includes an upcoming schedule, membership information and current sponsors.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.ssa.cc/"} +{"d:Title": "Nashville Songwriters Association International", "d:Description": "A not-for-profit songwriter's trade organization serving amateur and professional songwriters in all genres of music.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.nashvillesongwriters.com/"} +{"d:Title": "Connecticut Songwriters Association", "d:Description": "Dedicated to improving the art and craft of original music. Organization benefits, overview and resources.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://ctsongs.com/"} +{"d:Title": "Songwriters' Association of Washington, D.C.", "d:Description": "Includes details of events, songwriting contest, resources, links, merchandise and membership information.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://saw.org/"} +{"d:Title": "Songwriters Circle of Kansas City", "d:Description": "Supporting original songwriting and songwriters in the greater Kansas City area.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.songwriterscircle.org/"} +{"d:Title": "Summit County Songwriter's Circle", "d:Description": "Summit County, Ohio songwriter organization.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.songwritersummit.com/"} +{"d:Title": "Christian Songwriter's Network", "d:Description": "Christian songwriting chapters, contests, articles, downloads, and a forum for song critiques, collaboration and fellowship.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://christiansongwriters.org/"} +{"d:Title": "Baltimore Songwriters Association", "d:Description": "A nonprofit support group for songwriters of all genres and experience.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://baltimoresongwriters.org/"} +{"d:Title": "Amsterdam Songwriters Guild", "d:Description": "Weblog about the goings on at the ASG, the Amsterdam based group of singer-songwriters with enthusiasts all around the globe.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://amsterdamsongwritersguild.blogspot.com/"} +{"d:Title": "Tamworth Songwriters Association", "d:Description": "Represents and promotes the interests of Australian country music songwriters. Provides news, member branches and contact information.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.tsaonline.com.au/"} +{"d:Title": "Minnesota Association of Songwriters", "d:Description": "Nonprofit, member supported community of songwriters from the Midwest whose mission is to inspire, educate and promote the art and craft of songwriting.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.mnsongwriters.org/"} +{"d:Title": "Durango Songwriters Expo", "d:Description": "Providing inspiration, education and motivation to songwriters since 1996. Nashville.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.durango-songwriters-expo.com/"} +{"d:Title": "London Songwriters", "d:Description": "A network of songwriters in London, UK. Includes meeting information, directions and member links.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.meetup.com/LondonSongwriters/"} +{"d:Title": "Songwriters Showcase", "d:Description": "A live house concert series devoted to the art and craft of songwriting. Founder and host George Scott welcomes premier singer songwriters from across Ontario and beyond.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.songwritersshowcase.ca/"} +{"d:Title": "Christian Songwriting Organization (CSO)", "d:Description": "Tips, articles, resources, e-mail list and regular chat with other songwriters around the world.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://christiansongwriting.org/"} +{"d:Title": "The Songnet", "d:Description": "Network for the songwriting community in Los Angeles.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.thesongnet.org/"} +{"d:Title": "Georgia Music Industry Association", "d:Description": "GMIA is dedicated to the art and craft of songwriting, in support of local and state music community", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://www.gmia.org/"} +{"d:Title": "Knoxville Songwriters Association", "d:Description": "Established by Sarah Williams in 1983 as a non-profit organization to serve the songwriting community of Knoxville and surrounding areas. Meets at the Fountain City Library in Knoxville, TN.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://knoxvillesongwritersassociation.com/"} +{"d:Title": "Island Songwriters Showcase", "d:Description": "Since 1990. Hosted meetings, workshops, and showcases for Long Island songwriters. All styles and levels of accomplishment are welcome. Free membership.", "topic": "Top/Arts/Music/Songwriting/Organizations", "url": "http://islandsongwriters.org/"} +{"d:Title": "Songwriters Resource Network", "d:Description": "Offers news and categorized links for songwriters and lyricists. Sponsors of the annual Great American Song Contest.", "topic": "Top/Arts/Music/Songwriting/Resources", "url": "http://www.songwritersresourcenetwork.com/"} +{"d:Title": "Kompoz", "d:Description": "Social workspace and collaboration for musicians.", "topic": "Top/Arts/Music/Songwriting/Resources", "url": "http://www.kompoz.com/"} +{"d:Title": "Song Name Generator", "d:Description": "Generate unique song names randomly from a large database containing thousands of words or create custom names by adding your own words.", "topic": "Top/Arts/Music/Songwriting/Resources", "url": "http://www.songname.net/"} +{"d:Title": "Verse-Chorus", "d:Description": "Find songwriters, musicians and lyricists and form lasting collaborations. A songwriters adverts page.", "topic": "Top/Arts/Music/Songwriting/Resources", "url": "http://www.verse-chorus.com/"} +{"d:Title": "Artist Nest", "d:Description": "Songwriters and artists resource site. Song critiques and DAW video tutorials.", "topic": "Top/Arts/Music/Songwriting/Resources", "url": "http://artistnest.com/"} +{"d:Title": "WikiRhymer", "d:Description": "A free online rhyming dictionary and poet and songwriter toolbox and social networking space.", "topic": "Top/Arts/Music/Songwriting/Resources", "url": "http://www.wikirhymer.com/"} +{"d:Title": "Allen, Dennis and Nan", "d:Description": "Writers of choral music and creators of original musicals. Provides a brief profile, photos and merchandise.", "topic": "Top/Arts/Music/Songwriting/Songwriters/A", "url": "http://www.dennisnan.com/"} +{"d:Title": "Dancing Fingers", "d:Description": "Dedicated to the music of Robert Allen. He wrote for Tony Bennet, Johnny Mathis, Doris Day. Biography, related discography, and pictures.", "topic": "Top/Arts/Music/Songwriting/Songwriters/A", "url": "http://www.dancingfingers.net/"} +{"d:Title": "Lisa Amaro", "d:Description": "Independent artist, indie music.", "topic": "Top/Arts/Music/Songwriting/Songwriters/A", "url": "http://www.lisaamaro.com/"} +{"d:Title": "DJ Zyron", "d:Description": "Johan \u00c5strand offers music, lyrics, poems, SID-tunes and c64-remixes.", "topic": "Top/Arts/Music/Songwriting/Songwriters/A", "url": "http://zyron.c64.org/"} +{"d:Title": "Brown, Chuck", "d:Description": "Singer, songwriter, composer and solo pianist. Includes news, personal influences and photos.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://chuckbrown.com/"} +{"d:Title": "Boyd, Mike", "d:Description": "Includes a brief biography, photos, audio samples and information on his song \"I Stand for You\".", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://www.singboy.com/"} +{"d:Title": "Blume, Jason", "d:Description": "Offers songwriting tips and exercises, critiques by mail, workshops, books and CDs.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://www.jasonblume.com/"} +{"d:Title": "Bluestein, Michael", "d:Description": "MP3s, biography, and show dates for the San Francisco-based writer, composer and pianist.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://www.michaelbluestein.com/"} +{"d:Title": "Helen Bell", "d:Description": "Composer, songwriter and 5 string viola player, UK based.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://www.helenbell.co.uk/"} +{"d:Title": "Bob Bentley", "d:Description": "Original compositions, consisting of songs and instrumental pieces. Also to provide the means to purchase tracks.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://bobbentleymusic.com/"} +{"d:Title": "Hugo Bechstein", "d:Description": "Composer, songwriter born into a family of musicians.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://www.hugobechstein.com/"} +{"d:Title": "Bud Buckley", "d:Description": "Singer/ songwriter, Venice, Florida.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://budbuckley.com/"} +{"d:Title": "Butters, Terry", "d:Description": "Blues and country boogie woogie artist. Provides a biography, MIDI files and gig dates.", "topic": "Top/Arts/Music/Songwriting/Songwriters/B", "url": "http://www.terrybutters.co.uk/"} +{"d:Title": "Carrington, Gene", "d:Description": "Published country songwriter and poet. Includes a biography, photos and audio samples.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.neonflame.com/abm"} +{"d:Title": "Chapman, John", "d:Description": "Composer and pianist. Provides a biography, resume, discography and contact information.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://johnchapmanmusic.com/"} +{"d:Title": "Carr, Cletis", "d:Description": "Home site of producer/songwriter/guitarist Cletis Carr, based in both Nashville TN and Sydney Australia.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.cletis.com/"} +{"d:Title": "Constant Change Music", "d:Description": "Download original new and classic songs by Singer/ songwriter Constant Change, formerly Dawn Thomas.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.constantchangemusic.com/"} +{"d:Title": "Sissi Cameron", "d:Description": "Singer and songwriter, country and country-pop music. Plays guitar and piano.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://music.sissicameron.com/"} +{"d:Title": "Cactus Joe Productions LLC", "d:Description": "A songwriting business with the vision and goal of producing rock-n-roll music for commercial use in film and television.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "https://cactusjoeproductions.wordpress.com/"} +{"d:Title": "RLC Music", "d:Description": "Songwriter Rachel L Collard. Reading, U.K.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://rlcmusic.com/"} +{"d:Title": "Scott Cooley", "d:Description": "American songwriter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.scottcooley.com/"} +{"d:Title": "Eddie Chevy", "d:Description": "Songwriter in Argentina.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.myspace.com/eddiechevy"} +{"d:Title": "Creative Ventures Music", "d:Description": "JD Carroll, songwriter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://creativeventuresmusic.com/"} +{"d:Title": "Tom Cashman", "d:Description": "Singer/ songwriter, Philadelphia, PA.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.tomcashman.com/"} +{"d:Title": "Scott Chochon", "d:Description": "Adventures in travel, photography and song.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.scottchochon.com/"} +{"d:Title": "Gary Connett", "d:Description": "Singer songwriter, Minneapolis, MN.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://www.songcastmusic.com/profiles/garyconnett"} +{"d:Title": "Jerelyn Craden", "d:Description": "A singer, songwriter, columnist and novelist.", "topic": "Top/Arts/Music/Songwriting/Songwriters/C", "url": "http://killingyouiskillingme.com/"} +{"d:Title": "Dunn, Kelly", "d:Description": "Singer/songwriter from the Detroit, Michigan area. Includes a biography, news and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/D", "url": "http://www.kellydunn.com/"} +{"d:Title": "Rob Russell Davies", "d:Description": "Site from songwriter and musician Rob Russell Davies. Music, useful information and thoughtful articles on songwriting.", "topic": "Top/Arts/Music/Songwriting/Songwriters/D", "url": "http://www.robrusmusic.com/"} +{"d:Title": "Ebright Tarp, Kathleen", "d:Description": "Offers a customized songwriting service. Includes lyrics, audio samples and related links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/E", "url": "http://www.songlegacy.com/"} +{"d:Title": "Erickson, Stephen", "d:Description": "Folk singer/songwriter. Provides a biography, lyrics and RealAudio samples.", "topic": "Top/Arts/Music/Songwriting/Songwriters/E", "url": "http://members.tripod.com/erickson_stephen/index.htm"} +{"d:Title": "Eccleston, John", "d:Description": "Biography, audio downloads and gig dates for the Canadian singer/songwriter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/E", "url": "http://www.indie-pool.com/splashpages/johneccleston/"} +{"d:Title": "Krista Earle", "d:Description": "A combination of country mixed with pop music melodies. Live performances across Ontario.", "topic": "Top/Arts/Music/Songwriting/Songwriters/E", "url": "http://www.kristaearle.com/"} +{"d:Title": "Even Songs", "d:Description": "Geoff Hutchings, David O\u2019Connor and John Whitman, words and music.", "topic": "Top/Arts/Music/Songwriting/Songwriters/E", "url": "http://evensongs.wordpress.com/"} +{"d:Title": "El-Jay", "d:Description": "Singer/ songwriter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/E", "url": "http://www.therealel-jay.com/"} +{"d:Title": "Simon Ellis Music", "d:Description": "Songwriter/ producer/ musical director in the UK.", "topic": "Top/Arts/Music/Songwriting/Songwriters/E", "url": "http://www.simonellismusic.com/"} +{"d:Title": "Farnell, Ray", "d:Description": "Singer-songwriter from Daphne, Alabama. Provides a biography, photos and audio samples.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F", "url": "http://www.rayfarnell.com/"} +{"d:Title": "Falcon, Joe Hil", "d:Description": "Singer-songwriter based in Nashville, Tennessee, playing Cajun, swamp pop, country, and rhythm and blues. Features audio, biography, photos, and CD information.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F", "url": "http://www.angelfire.com/musicals/joehilfalcon/"} +{"d:Title": "Farrand, Michael J.", "d:Description": "Includes the artists' poetry, lyrics, and stage plays.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F", "url": "http://www.empirecontact.com/"} +{"d:Title": "Sarah Fauset", "d:Description": "Sarah Fauset is a singer/ songwriter. She also plays the guitar.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F", "url": "https://www.youtube.com/user/sarahfauset"} +{"d:Title": "Derek Fischer", "d:Description": "Singer,song writer and producer.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F", "url": "http://www.derekfischer.com/"} +{"d:Title": "AMG: Dorothy Fields", "d:Description": "Includes biography, collaborators, and song lists.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F/Fields,_Dorothy", "url": "http://www.allmusic.com/artist/dorothy-fields-q8624"} +{"d:Title": "IMDb: Dorothy Fields", "d:Description": "The artist's filmography.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F/Fields,_Dorothy", "url": "http://www.imdb.com/name/nm0276227/"} +{"d:Title": "Fresh Air, American Popular Song Series: Dorothy Fields", "d:Description": "Profile and audio broadcast featuring performances of her music and interviews with biographer Deborah Grace Winer and Philip Furia.", "topic": "Top/Arts/Music/Songwriting/Songwriters/F/Fields,_Dorothy", "url": "http://www.npr.org/templates/story/story.php?storyId=1135267"} +{"d:Title": "Gott, Rich", "d:Description": "Songwriter and composer from San Antonio, Texas. Provides a brief biography, influences, photos, links and lyrics.", "topic": "Top/Arts/Music/Songwriting/Songwriters/G", "url": "http://richgottmusic.tripod.com/"} +{"d:Title": "Gm Music UK", "d:Description": "Showcase for Ian Gibbs and Terry McCreith. .", "topic": "Top/Arts/Music/Songwriting/Songwriters/G", "url": "http://www.gm-music.co.uk/"} +{"d:Title": "Nicholas Gurney", "d:Description": "Singer/ songwriter based in Devon, UK. Audio tracks of his songs.", "topic": "Top/Arts/Music/Songwriting/Songwriters/G", "url": "http://www.nicholasgurney.co.uk/"} +{"d:Title": "Handscombe, John", "d:Description": "English pianist, singer and songwriter. Provides song descriptions and RealAudio samples.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://users.skynet.be/songland/"} +{"d:Title": "Hutton, Alison", "d:Description": "Lyrics of songs \"waiting for music\". Includes links to related sites.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://www.angelfire.com/music2/wordswaitingformusic/index.html"} +{"d:Title": "Hinton, Keith", "d:Description": "Showcases the songs and CDs of both the songwriter and the Global Nomad Music catalog. Provides links and MP3 samples.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://www.frontierstudio.com/"} +{"d:Title": "Hawke, Brandy", "d:Description": "Personal collection of original lyrics. Includes pictures and contact information.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://members.tripod.com/s540ltd/"} +{"d:Title": "Brian Hartzog", "d:Description": "'Funk and roll' music and musings.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://brianhartzog.com/"} +{"d:Title": "Michael Huseman", "d:Description": "Composer, offers piano and music theory lessons, original compositions, and writes personalized songs to suit any occasion.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://michaelhuseman.com/"} +{"d:Title": "Brett Huckfield's Music Blog", "d:Description": "Singer/ songwriter from Oldbury in the West Midlands, UK.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://www.bretthuckfield.blogspot.com/"} +{"d:Title": "Sticky, Sweet, and a Little Overdressed", "d:Description": "Honey Bowtie Music, the Nashville songwriting and publishing team of Kate O'Neill and Karsten Soltauer.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://www.honeybowtie.com/"} +{"d:Title": "Jimmy Hogarth", "d:Description": "Songwriter and music producer. Based in London.", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://www.jimmyhogarth.com/"} +{"d:Title": "Neil Hunter", "d:Description": "View his art,listen to his songs,watch his videos", "topic": "Top/Arts/Music/Songwriting/Songwriters/H", "url": "http://neilhunter.net/"} +{"d:Title": "Seth Jackson", "d:Description": "Information of interest to songwriters, music publishers, producers and recording artists including news and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/J", "url": "http://www.mindspring.com/~hitmeister/shj.html"} +{"d:Title": "Jan-Lee Music", "d:Description": "Home of \"Let There Be Peace on Earth\" and other songs by Jill Jackson and Sy Miller.", "topic": "Top/Arts/Music/Songwriting/Songwriters/J", "url": "http://www.jan-leemusic.com/"} +{"d:Title": "Alyssa Jacey", "d:Description": "Singer/ songwriter Alyssa Jacey San Diego native now Nashville based. Singer Acoustic guitar piano drums vocals.", "topic": "Top/Arts/Music/Songwriting/Songwriters/J", "url": "http://www.alyssajacey.com/"} +{"d:Title": "Riley Jordan", "d:Description": "Singer songwriter. Blogging about the music industry. Melbourne, Australia.", "topic": "Top/Arts/Music/Songwriting/Songwriters/J", "url": "http://rileyjordan.com/"} +{"d:Title": "Knopfler, David", "d:Description": "Merchandise, reviews and articles on the Dire Straits founder.", "topic": "Top/Arts/Music/Songwriting/Songwriters/K", "url": "http://knopfler.com/"} +{"d:Title": "Kellogg, Stephen", "d:Description": "Singer-songwriter from Northampton, Massachusetts. Provides a biography, music, lyrics and tabs.", "topic": "Top/Arts/Music/Songwriting/Songwriters/K", "url": "http://www.stephenkellogg.com/"} +{"d:Title": "Koller, Fred", "d:Description": "Professional songwriter and author based in Nashville, Tennessee. Includes a bibliography, discography and news.", "topic": "Top/Arts/Music/Songwriting/Songwriters/K", "url": "http://www.fredkoller.com/"} +{"d:Title": "Knightley, Steve", "d:Description": "Part of the British acoustic duo Show of Hands. Provides photos, concert dates and a biography. England.", "topic": "Top/Arts/Music/Songwriting/Songwriters/K", "url": "http://www.steveknightley.co.uk/"} +{"d:Title": "Dee Dee Kaye", "d:Description": "A funky sole sister with a modern twist.", "topic": "Top/Arts/Music/Songwriting/Songwriters/K", "url": "http://www.deedeekaye.co.uk/"} +{"d:Title": "Lemanski, Mary", "d:Description": "Independent singer, songwriter and pianist. Includes a biography, links and review.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://marylemanski.com/"} +{"d:Title": "Laitinen, Pentti", "d:Description": "Songwriter and producer of rock, pop and tango music. Provides lyrics and audio downloads.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://personal.inet.fi/koti/pentti.laitinen"} +{"d:Title": "Lewis, Harry", "d:Description": "Composer and lyricist. Includes lyrics, audio samples and merchandise.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://webhome.idirect.com/~lewisong/"} +{"d:Title": "Lehman, Jeff", "d:Description": "Biography, resume, lyrics and photos of the Warren, Michigan singer/songwriter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.jefflehman.com/"} +{"d:Title": "LeJune, Burt Avery", "d:Description": "Provides song downloads in several formats, credits, notes and lyrics.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.digisweet.com/"} +{"d:Title": "Lawder, Wally", "d:Description": "Performer and composer of acoustic folk-pop music. Provides a biography, photos and upcoming performance dates.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.wallylawder.com/"} +{"d:Title": "Line, Gallus", "d:Description": "Provides songs lyrics, MP3 samples and related links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.gallusline.com/"} +{"d:Title": "Leavitt, Jon Gilbert", "d:Description": "Biography, reviews, photos and list of available songs from the songwriter and pianist.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.jglsongs.com/"} +{"d:Title": "SongsByJohn", "d:Description": "The site for the original music, lyrics and MP3s of Chicago songwriter John Ludwig.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.songsbyjohn.com/"} +{"d:Title": "Restless Writer Music", "d:Description": "All songs written by Les Lepage.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.restlesswriter.ca/"} +{"d:Title": "Laurent Showcase", "d:Description": "Lee Laurent, UK based songwriter looking for artists to write for.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.laurentshowcase.com/"} +{"d:Title": "Tony Long Music", "d:Description": "Drummer, synth player, songwriter and sound designer.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.tonylongmusic.co.uk/"} +{"d:Title": "Michael Levi", "d:Description": "Describes the types of music composed and played by Michael Levi Butler. Provides some lyrics, past and present performing and songwriting.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://www.michaellevi.yolasite.com/"} +{"d:Title": "Le Cedre, Hiram", "d:Description": "New age instrumental music by self-taught writer. Recordings are in MP3, and RealAudio. Photos and biography.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L", "url": "http://hiramsmusic.stormloader.com/"} +{"d:Title": "AMG: Burton Lane", "d:Description": "Includes a biography and list of collaborators.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L/Lane,_Burton", "url": "http://www.allmusic.com/artist/burton-lane-p96331"} +{"d:Title": "IMDb: Burton Lane", "d:Description": "The composer's filmography.", "topic": "Top/Arts/Music/Songwriting/Songwriters/L/Lane,_Burton", "url": "http://www.imdb.com/name/nm0485263/"} +{"d:Title": "Moody, Mark", "d:Description": "New Mexican song writer, singer and guitarist. Includes a discography, bookings, biography and contact details.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.angelfire.com/nm/moodymusicmedia/index.html"} +{"d:Title": "Michaels, Jon", "d:Description": "News, biography, photos, concert dates and audio samples from the singer-songwriter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.jonmichaelsmusic.com/"} +{"d:Title": "Moto Music", "d:Description": "Publisher for the songwriter and guitarist Frankie Camaro. Includes garage, surf, power pop and punk rock and roll in Real Audio streaming and free MP3 downloads.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.motomusic.com/"} +{"d:Title": "Mathes, Rob", "d:Description": "Writer and performer, perhaps best known for his Christmas music. Includes biography, news, performance schedule and photo gallery.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.robmathes.com/"} +{"d:Title": "DuMoulin, Diana C.", "d:Description": "Modern music poetry. Includes artist's history, influences, and songwriting tips.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://musicpoetry.freeservers.com/"} +{"d:Title": "Maynes, Robin", "d:Description": "Official site of Arizona-based musician-songwriter. Includes CD information, audio, lyrics, and forum.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.robinmaynes.com/"} +{"d:Title": "Josh Mobley Music", "d:Description": "Composer for TV and film. Provides work samples and music.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.joshmobley.com/"} +{"d:Title": "Pedro Luis Marcondes", "d:Description": "Brazilian musician. Acoustic/ experimental/ flamenco/ classical. Symphonic.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "https://soundcloud.com/pedro-luis-marcondes"} +{"d:Title": "DrmJ", "d:Description": "Current catalogue consists of nearly 200 copyright-registered songs. Audio clips are available. David R. Moenich (DrmJ).", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.drmj.net/"} +{"d:Title": "Harlan Michael", "d:Description": "Website of original music, photography and art.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://autumnlane.com/"} +{"d:Title": "Rickey Mattos", "d:Description": "Producer/ director/ writer. Mp3 recordings with lyrics.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://rickeymattos.com/"} +{"d:Title": "Don MacLloyd's Songs", "d:Description": "Free listening and download of songs. Music style is poetic and experimental.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "https://sites.google.com/site/donmaclloydsongs/"} +{"d:Title": "McGuire, Kelly", "d:Description": "Biography, photos, news and reviews of the writer, producer and performer. Includes information on his Midnight Hour Recording Studio.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.redfishisland.com/"} +{"d:Title": "Manning, Matt", "d:Description": "Irish born singer/songwriter-producer now based in San Diego, Ca. News, audio samples and information, production projects and forthcoming recordings.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://mattmanning.com/"} +{"d:Title": "Laura Marling Pages", "d:Description": "News, lyrics, biography, and fanlisting for Laura Marling.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.lauramarlingfan.pixel51.com/"} +{"d:Title": "Robin Mann", "d:Description": "Mainly, though not exclusively, for Christian communities.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://www.robinmann.com.au/"} +{"d:Title": "Rhonda Merrick", "d:Description": "Singer/ songwriter and actress from New Orleans currently living in England. Lead singer of British soulful-punk-rock group, Rhonda and The Great Unknown.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://rhondamerrick.com/"} +{"d:Title": "The Maadmen", "d:Description": "A collaboration of three hobby musicians dedicated to humor, beer, irreverence and the politically incorrect.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M", "url": "http://themaadmen.com/"} +{"d:Title": "The Johnny Mercer Foundation", "d:Description": "Nonprofit organization devoted to preserving and celebrating the Great American Songbook in the tradition of Johnny Mercer. Includes biography, song list, audio, events, and projects. [Flash required.]", "topic": "Top/Arts/Music/Songwriting/Songwriters/M/Mercer,_Johnny", "url": "http://johnnymercerfoundation.com/"} +{"d:Title": "Johnny Mercer Educational Archives", "d:Description": "Includes biography, photographs, FAQ, films and shows, sheet music covers, lyrics, discography, and MIDI files.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M/Mercer,_Johnny", "url": "http://www.johnnymercer.com/"} +{"d:Title": "Todd Peach's Johnny Mercer Page", "d:Description": "Collection of song lyrics.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M/Mercer,_Johnny", "url": "http://www.thepeaches.com/music/composers/mercer/"} +{"d:Title": "AMG: Johnny Mercer", "d:Description": "Includes biography, collaborators, and discography.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M/Mercer,_Johnny", "url": "http://www.allmusic.com/artist/johnny-mercer-p105265"} +{"d:Title": "IMDb: Johnny Mercer", "d:Description": "The artist's filmography.", "topic": "Top/Arts/Music/Songwriting/Songwriters/M/Mercer,_Johnny", "url": "http://www.imdb.com/name/nm0006197/"} +{"d:Title": "Napaea", "d:Description": "Folk-pop project of young Austrian singer/songwriter Katharina Milchrahm.", "topic": "Top/Arts/Music/Songwriting/Songwriters/N", "url": "http://www.napaea.at/"} +{"d:Title": "Oba, Toshikazu", "d:Description": "Tokyo-based classic, blues, rock and jazz guitarist and song writer. Provides a profile, live dates and audio samples. [English/Japanese]", "topic": "Top/Arts/Music/Songwriting/Songwriters/O", "url": "http://www5b.biglobe.ne.jp/~oba/"} +{"d:Title": "Kyle Orten", "d:Description": "A one-man band, solely responsible for the creation of all content - composition, performance, and recording. Relocated from the US to Bangkok, Thailand.", "topic": "Top/Arts/Music/Songwriting/Songwriters/O", "url": "http://kyleorten.com/"} +{"d:Title": "Pemantle, Nico", "d:Description": "Singer-songwriter from Berkeley, California. Provides a biography, photos, lyrics, audio samples and merchandise.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.pemantle.com/"} +{"d:Title": "Pozytywka, Jean", "d:Description": "American composer of Lithuanian and Polish heritage. Provides lyrics and MIDI samples.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.craftysue.com/music/"} +{"d:Title": "Penna, David", "d:Description": "NYC songwriter and producer. Includes news, reviews and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.davidpenna.com/"} +{"d:Title": "Piggott, Bruce", "d:Description": "Provides a selection of free, original audio demos. Includes a FAQ, photos and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.bruce-piggott.co.uk/mymusic.htm"} +{"d:Title": "Palladino, Dan", "d:Description": "New Jersey-based songwriter. Provides a FAQ, news, RealAudio samples and related links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.riddleworks.com/"} +{"d:Title": "Kim Palmer", "d:Description": "Bio, photos, lyrics and song samples in mp3 and real player format.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.angelfire.com/az/ox/kimpalmer.html"} +{"d:Title": "Popp, Joe", "d:Description": "MP3 samples and discography of the rock composer and sound designer.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.joepopp.net/"} +{"d:Title": "Prikryl, Michael", "d:Description": "\"Pianomike\" writes and produces rock, jazz and pop music. Includes audio samples, photos and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://pianomike.net/"} +{"d:Title": "Darem Placer", "d:Description": "Imageless thoughts inside a black painted room.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://daremusic.blogspot.com/"} +{"d:Title": "Lorenzo Policelli's Songwriting Blog", "d:Description": "A non-performing songwriter. A resource for anyone interested in songwriting..", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://blog.lorenzopolicelli.com/"} +{"d:Title": "Darren John Poyzer", "d:Description": "Singer songwriter, special education tutor based in North West England, UK.", "topic": "Top/Arts/Music/Songwriting/Songwriters/P", "url": "http://www.poyzer.com/"} +{"d:Title": "Quinn, Eileen", "d:Description": "Sailor, songwriter and recording artist. Provides a biography, FAQ, lyrics, press clips and pictures.", "topic": "Top/Arts/Music/Songwriting/Songwriters/Q", "url": "http://www.eileenquinn.com/"} +{"d:Title": "Roberts, Steven", "d:Description": "Songwriter, workshop clinician, director, musician and producer. Provides a biography, discography, audio clips and upcoming concert dates.", "topic": "Top/Arts/Music/Songwriting/Songwriters/R", "url": "http://www.stevenroberts.com/"} +{"d:Title": "Ringville Music", "d:Description": "Irish singer-songwriters, Paul Grant and Liam Clancy. Provides lyrics and contact information.", "topic": "Top/Arts/Music/Songwriting/Songwriters/R", "url": "http://homepage.eircom.net/~kathryngrant/"} +{"d:Title": "Abraham Roll Music", "d:Description": "I'm a versatile song writer and member of ASCAP", "topic": "Top/Arts/Music/Songwriting/Songwriters/R", "url": "http://www.abrahamrollmusic.com/"} +{"d:Title": "AMG: Richard Rodgers", "d:Description": "Biography, list of collaborators, and song credits.", "topic": "Top/Arts/Music/Songwriting/Songwriters/R/Rodgers,_Richard", "url": "http://www.allmusic.com/artist/richard-rodgers-p14108"} +{"d:Title": "IMDb: Richard Rodgers (I)", "d:Description": "Filmography including credits as composer, actor, lyricist, and producer.", "topic": "Top/Arts/Music/Songwriting/Songwriters/R/Rodgers,_Richard", "url": "http://www.imdb.com/name/nm0006256/"} +{"d:Title": "Somers, James", "d:Description": "Singer, songwriter and saxophonist. Includes photos and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.jamessomers.com/"} +{"d:Title": "Seibel, Howard", "d:Description": "Brief resume of the songwriter, singer, drummer and producer.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.angelfire.com/music2/songwriterhoward/index.html"} +{"d:Title": "TJ Smith", "d:Description": "Country Americana music.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.tjsmith.com/"} +{"d:Title": "Seeger, Peggy", "d:Description": "Tour dates, discography, and biographical information, with links to friends and family.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.peggyseeger.com/"} +{"d:Title": "Ted Swan Music", "d:Description": "Producer, mixer, guitarist. songwriter. Salt Lake City, UT.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.tedswanmusic.com/"} +{"d:Title": "Robin Schade", "d:Description": "Songs about the history and culture of New York State from the 'New York Troubadour'.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.robinschade.com/"} +{"d:Title": "Charles David Sharp", "d:Description": "Solo artist, singer/ songwriter/ guitarist. Catalogue of original folk rock songs.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.soundclick.com/charlesdavidsharp"} +{"d:Title": "Neil Shah", "d:Description": "Professional pianist and songwriter based in New York City.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.neilshah.com/"} +{"d:Title": "A J Smith Music", "d:Description": "Singer/ songwriter Andrew James Smith, a composition student at NYU and model/ actor in New York City.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://ajsmithmusic.com/"} +{"d:Title": "Mort Shuman", "d:Description": "A website detailing the life and work of songwriter Mort Shuman,", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.mortshuman.com/"} +{"d:Title": "Brett Smith", "d:Description": "Bespoke song writing for any occasion. Live acoustic guitar and vocal performances for weddings and events. Guitar, bass and ukulele lessons.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.thesongsmith.co.uk/"} +{"d:Title": "Nick Sullivan", "d:Description": "Explore these original songs spanning many styles. Videos and sheet music for piano /voice demo arrangements.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://waltsband.com/"} +{"d:Title": "Rol G. Singer", "d:Description": "Singer/ songwriter, London, England.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.songwriter.org.uk/"} +{"d:Title": "Swirsky, David", "d:Description": "Songwriter and DJ from New York City Includes a biography, RealAudio samples and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.swirsky.com/"} +{"d:Title": "Scott, Jimmy", "d:Description": "Songwriter. Includes audio samples and discography.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.jimmyscottsongwriter.com/"} +{"d:Title": "Mark Schwendau", "d:Description": "Country songwriter Mark Schwendau has a variety of interesting information in history and affiliations.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.musichitsthemark.com/"} +{"d:Title": "Robert Shaw Music", "d:Description": "Songwriter and lyricist Robert Shaw, including audio clips.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.robertshawmusic.com/"} +{"d:Title": "Inge Schultz Music", "d:Description": "A poetic songwriter..", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.ingeschultz.com/"} +{"d:Title": "Corey Stewart Online", "d:Description": "The online home of Australian singer/ songwriter/ musician. Information about music and services/ products.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S", "url": "http://www.coreystewartonline.com/"} +{"d:Title": "Todd Peach's Jule Styne Page", "d:Description": "Collection of song lyrics.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S/Styne,_Jule", "url": "http://www.thepeaches.com/music/composers/jule/"} +{"d:Title": "Jule Styne (1905-1994)", "d:Description": "Detailed biography with credits and links to lyrics.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S/Styne,_Jule", "url": "http://users.bestweb.net/~foosie/julestyn.htm"} +{"d:Title": "AMG: Jule Styne", "d:Description": "Includes a biography and a list of collaborators.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S/Styne,_Jule", "url": "http://www.allmusic.com/artist/jule-styne-p129466"} +{"d:Title": "IMDb: Jule Styne", "d:Description": "The composer's extensive filmography.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S/Styne,_Jule", "url": "http://www.imdb.com/name/nm0006312/"} +{"d:Title": "Kennedy Center for the Performing Arts: Jule Styne", "d:Description": "Brief biography of the composer.", "topic": "Top/Arts/Music/Songwriting/Songwriters/S/Styne,_Jule", "url": "http://www.kennedy-center.org/artist/A3811"} +{"d:Title": "Thornton, Little Doc", "d:Description": "Acoustic slide guitar and electric blues from this Carolina singer/songwriter. Includes photos and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/T", "url": "http://www.aai-net.com/littledoc"} +{"d:Title": "Tru North Music", "d:Description": "Discography for Lance Kruter and Susie Krauter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/T", "url": "http://www.trunorthmusic.com/"} +{"d:Title": "Mick Terry", "d:Description": "Music&lyrics of lyricist songwriter composer. Ironic funny song lyrics to socially conscious lyrics to romantic love songs.", "topic": "Top/Arts/Music/Songwriting/Songwriters/T", "url": "http://mickterry.com/"} +{"d:Title": "Kyle Tucker", "d:Description": "Singer/songwriter from Columbus, Ohio. Includes original music, calendar, videos, downloads, bio, blog, and contact.", "topic": "Top/Arts/Music/Songwriting/Songwriters/T", "url": "http://www.kyletuckermusic.com/"} +{"d:Title": "Treple-X", "d:Description": "A Bedford-based solo singer, Treple-X offers his services as a singer songwriter across the UK.", "topic": "Top/Arts/Music/Songwriting/Songwriters/T", "url": "http://www.treple-x.co.uk/"} +{"d:Title": "TriPops Music Production", "d:Description": "The music and song production of Alex Oliver and Roy Al Rendahl using Trimordial Studio based in Las Vegas, Nevada, USA.", "topic": "Top/Arts/Music/Songwriting/Songwriters/T", "url": "http://www.thefaro.com/tripops.html"} +{"d:Title": "Uzele, Jennifer J.", "d:Description": "Kenyan-born Singer, songwriter and poet. Provides a biography, RealAudio samples and contact information.", "topic": "Top/Arts/Music/Songwriting/Songwriters/U", "url": "http://www.neonflame.com/uzele"} +{"d:Title": "Vezuli Music", "d:Description": "Original music by composer/songwriter, Aleksander Vezuli, in Orange County, New York.", "topic": "Top/Arts/Music/Songwriting/Songwriters/V", "url": "http://www.vezulimusic.com/"} +{"d:Title": "Windsor, Michael", "d:Description": "Provides links, photos and audio samples.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://www.angelfire.com/sk/mchwindsor/"} +{"d:Title": "White, Peter", "d:Description": "UK jazz artist and original songwriter. Provides a biography, discography, personal commentary, concert dates, FAQ and contact information.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://peterwhite.com/"} +{"d:Title": "Waldrop, Greg", "d:Description": "Country music songwriter from South Mississippi. Includes a brief profile and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://www.angelfire.com/music/songwriter79/"} +{"d:Title": "Welch, Steve", "d:Description": "Country songwriter from Tulsa, Oklahoma. Provides a brief biography, photos and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://stevewelch.4mg.com/"} +{"d:Title": "Wancock, Steve", "d:Description": "Offers RealAudio samples of original compositions and various songwriting resources.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://website.lineone.net/~stevethesong/"} +{"d:Title": "Warren, Diane", "d:Description": "Official site of the pop songwriter and her Realsongs publish firm. Includes biography, awards, news, song clips, and photos.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://www.realsongs.com/"} +{"d:Title": "Bob Wilder", "d:Description": "Brief profile, links and audio downloads from the Buffalo, New York songwriter.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://www.acsu.buffalo.edu/~wilder"} +{"d:Title": "Walton Music", "d:Description": "Nathan Vann Walton has worked in the music industry since 1992. A songwriter, music composer, singer and musician.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://www.walton-music.com/"} +{"d:Title": "Sarah Williams White", "d:Description": "Singer/ songwriter from London UK. Self-produced collection of songs.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "http://sarahwilliamswhite.com/"} +{"d:Title": "Nikko Weidemann", "d:Description": "Musician from Berlin.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "https://www.youtube.com/user/nikkowmann/"} +{"d:Title": "Jasmin Willoughby", "d:Description": "Singer/ songwriter, Southampton", "topic": "Top/Arts/Music/Songwriting/Songwriters/W", "url": "https://www.youtube.com/user/JasminWilloughby"} +{"d:Title": "Harry Warren Music", "d:Description": "Biography, family photo album, Oscars, hits, song list, audio clips, sheet music covers, and links.", "topic": "Top/Arts/Music/Songwriting/Songwriters/W/Warren,_Harry", "url": "http://www.harrywarrenmusic.com/"} +{"d:Title": "Baiba Yurkevich", "d:Description": "Music, photographs, drawings, writings. Located in DenHaag, Riga.", "topic": "Top/Arts/Music/Songwriting/Songwriters/Y", "url": "http://www.baibayurkevich.com/"} +{"d:Title": "Lucy Zirins", "d:Description": "Singer/ songwriter from Lancashire based in West London.", "topic": "Top/Arts/Music/Songwriting/Songwriters/Z", "url": "http://www.lucyzirins.com/"} +{"d:Title": "Sarah Zanotti", "d:Description": "Singer/ songwriter in Denver, Colorado.", "topic": "Top/Arts/Music/Songwriting/Songwriters/Z", "url": "http://www.sarahzanotti.com/"} +{"d:Title": "SongRepair", "d:Description": "A songwriter/song development company designed to help unpublished songwriters learn to write songs professionally.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://www.songrepair.com/"} +{"d:Title": "The Complete Jingle Course", "d:Description": "By La-Dair Guzman.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://www.jinglebiz.com/course.htm"} +{"d:Title": "WriteSongs.com", "d:Description": "Provides weekly songwriting workshops in Nashville, along with phone consultations. Includes tips, testimonials and links.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://www.writesongs.com/"} +{"d:Title": "Trio Productions", "d:Description": "Focuses on songwriter development through seminars, articles and a coaching program. Includes a list of services, upcoming events and contact details.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://www.trioproductions.com/"} +{"d:Title": "San Diego NSAI Workshop", "d:Description": "Songwriting workshops and special events; music industry networking opportunities; find collaborators; song critiques; fellowship.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://www.pianopress.com/sandiegonsaiworkshop.html"} +{"d:Title": "Australian Songwriters Conference", "d:Description": "Annual four day conference held in Sydney. Deals specifically with the craft and business of songwriting. Open to all songwriters, regardless of age, location, experience or genre.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://australiansongwritersconference.com/"} +{"d:Title": "UK Songwriting Festival", "d:Description": "An annual summer songwriting course held in Bath, UK, with seminars, master classes and personal tuition from celebrity songwriters, industry professionals and university staff.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://www.uksongwritingfestival.com/"} +{"d:Title": "Learn How to Write Songs", "d:Description": "Site provides tool, resources to help songwriter write songs.", "topic": "Top/Arts/Music/Songwriting/Workshops_and_Courses", "url": "http://www.learnhowtowritesongs.com/"} +{"d:Title": "Li'l Hank's Guide For Songwriters", "d:Description": "Tips about music publishing, music business, music law, songwriting organizations and resources from Hal Cohen.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.halsguide.com/"} +{"d:Title": "The Muse's Muse", "d:Description": "Detailed songwriter's resource with tips, tools, articles, discussion forums, contests and links.", "priority": "1", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.musesmuse.com/"} +{"d:Title": "Walt's Song Writing Web Site", "d:Description": "Recording tips, sound files, and lyrics.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://waltsongs.tripod.com/"} +{"d:Title": "Richhoncho's Songwriter Links", "d:Description": "Categorized links to sites including songwriting lessons, tips, promotion and lyrics.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.angelfire.com/music2/richhoncho/index.htm"} +{"d:Title": "Songstuff", "d:Description": "Music and songwriting resource for fans and musicians. MP3 links, business information, interviews, a forum, and categorized links.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.songstuff.com/"} +{"d:Title": "Easy-Song-Writing", "d:Description": "Step-by-step songwriting tutorials including, tips and tricks, merchandising, chord progression, articles, hit-song check-list, how publishers work, and resource links.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.easy-song-writing.com/"} +{"d:Title": "The Essential Secrets of Songwriting", "d:Description": "Gary Ewer's songwriting blog since 2008.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.secretsofsongwriting.com/"} +{"d:Title": "Inspired Songwriting Tips", "d:Description": "This site is designed for the up-and-coming songwriter. Useful tips on how to write better songs, record song demos, and present them to music publishers. Richie Gilbert.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.inspired-songwriting-tips.com/"} +{"d:Title": "Songwriting Unlimited", "d:Description": "A resource on music theory, songwriting, lyric writing, recording music, learning guitar and music licensing. Colin O'Dwyer.", "topic": "Top/Arts/Music/Songwriting/Writing_Tips", "url": "http://www.songwriting-unlimited.com/"} +{"d:Title": "MusicRemedy.Com", "d:Description": "Free streaming audio and video files by independent artists in pop, rock, and urban categories, with album reviews and posted user comments on songs.", "topic": "Top/Arts/Music/Sound_Files", "url": "http://www.musicremedy.com/"} +{"d:Title": "Topix: Online Music", "d:Description": "News about online music, collected from various sources on the web.", "topic": "Top/Arts/Music/Sound_Files", "url": "http://www.topix.com/rss/business/online-music.xml"} +{"d:Title": "SoundClick", "d:Description": "ASF and MP3. Stars and unsigned artists. Streaming songs and videos in up-to-broadband quality. Charts, radios, band and song information.", "priority": "1", "topic": "Top/Arts/Music/Sound_Files/ASF", "url": "http://www.soundclick.com/"} +{"d:Title": "CLUAS", "d:Description": "Stream or download songs by unsigned acts for free (ASF, ASX, WMA, MP3 and M3U formats). Also music and cinema reviews and fortnightly email newsletter.", "topic": "Top/Arts/Music/Sound_Files/ASF", "url": "http://www.cluas.com/music/MP3/"} +{"d:Title": "Hear the Choirs Sing", "d:Description": "Directory of sites with choral music recordings in various formats.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.hearchoirs.net/"} +{"d:Title": "Russian Art Songs", "d:Description": "MP3 and RealAudio selections from several centuries of Russian vocal chamber music.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.classicalmusic.spb.ru/music-mp3/"} +{"d:Title": "Kunst der Fuge", "d:Description": "Thousands of classical music files (MIDI, MP3, WMA, Real) of fugues and other contrapuntal music. Subscription required.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.kunstderfuge.com/"} +{"d:Title": "Classical Piano Midi Page", "d:Description": "Includes over 40 MP3 files of classical piano music realized by Bernd Krueger.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://piano-midi.de/midicoll.htm"} +{"d:Title": "ELIXIR's MIDI Page for Classical Guitar", "d:Description": "MP3 sequences; MIDIs fine-tuned to Roland Sound Canvas SC-88. [Japanese/English].", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.guitarsound.net/elixir/"} +{"d:Title": "Lisztonian", "d:Description": "Classical piano music performed by Jeremiah K. Jones.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.lisztonian.com/"} +{"d:Title": "Classical Piano Short Pieces", "d:Description": "MP3 and MIDI recordings of sequenced performances.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://pianomidi.org/"} +{"d:Title": "Wikipedia", "d:Description": "List of free classical music recordings, mostly in ogg format, available at Wikipedia.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://en.wikipedia.org/wiki/Wikipedia:Sound/list"} +{"d:Title": "Classical Connect", "d:Description": "Free streaming classical music from the Renaissance to the present, many in professional recordings. Registration required.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.classicalconnect.com/"} +{"d:Title": "Bach Organ Works", "d:Description": "The complete organ works of J.S. Bach, recorded by Dr. James Kibbie on original baroque organs in Germany.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.blockmrecords.org/bach/"} +{"d:Title": "Limburg Cathedral Boyschoir", "d:Description": "Choral music by Mozart, Mendelssohn-Bartholdy, Rutter, and Rheinberger.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.limburger-domsingknaben.de/"} +{"d:Title": "All of Bach", "d:Description": "A project to make available recordings of all Bach's works.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://allofbach.com/en/"} +{"d:Title": "Europeana Music", "d:Description": "Thousands of classical music and other recordings found in European libraries.", "topic": "Top/Arts/Music/Sound_Files/Classical", "url": "http://www.europeana.eu/portal/en/collections/music"} +{"d:Title": "Jon Sayles, Guitarist", "d:Description": "Classical guitar ensemble arrangements of Renaissance music, Bach, and Christmas carols.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.jsayles.com/familypages/earlymusic.htm"} +{"d:Title": "Dimitris Sgouros", "d:Description": "Free MP3s of piano works by Chopin, Liszt, Mozart, and Rachmaninov performed by Dimitris Sgouros.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.sgourosmp3.com/"} +{"d:Title": "Perso Flamenco&Classic", "d:Description": "Flamenco and classical guitar mp3 files and scores.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://perso.flamenco.free.fr/mp3page.htm"} +{"d:Title": "Classic Cat", "d:Description": "This classical music catalogue provides links to many free mp3s indexed by composer and work.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.classiccat.net/"} +{"d:Title": "A-M Classical", "d:Description": "Free classical music mp3 downloads: Pachelbel Canon, Bach, Mozart, Beethoven, Haydn, Handel, Chopin, and Joplin.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.amclassical.com/"} +{"d:Title": "Soundclick", "d:Description": "Classical chart listing music from many performers.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.soundclick.com/genres/charts.cfm?genre=Classical"} +{"d:Title": "Piano Society", "d:Description": "Free mp3 recordings of classical piano music by Bach, Beethoven, Chopin, and other composers.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.pianosociety.com/"} +{"d:Title": "Signes", "d:Description": "Original and classical music.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.signes.jp/global/"} +{"d:Title": "Pacific Soundcraft", "d:Description": "Excerpts from a few classical concerts in the San Francisco Bay Area.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.pacificsoundcraft.com/samples"} +{"d:Title": "Luigi Mangiocavallo", "d:Description": "Free downloads of music by Veracini and Bonporti.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.mangiocavallo.it/"} +{"d:Title": "Free Music Archive: Classical", "d:Description": "Wide variety of classical music recordings either placed in the public domain or available under Creative Commons licenses.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://freemusicarchive.org/genre/Classical/"} +{"d:Title": "IMSLP/Petrucci Music Library", "d:Description": "Site focused on sheet music also includes many freely downloadable recordings.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://imslp.org/wiki/"} +{"d:Title": "Isabella Stewart Gardner Museum", "d:Description": "The museum's \"music library\" contains many downloadable mp3s of solo and chamber music from the Baroque to the 21st century recorded at live concerts.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.gardnermuseum.org/music/listen/music_library"} +{"d:Title": "Metatron Press Archives", "d:Description": "Recordings of works by composer Joseph Zitt in collaboration with others.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.archive.org/details/metatron-press"} +{"d:Title": "Singakademie Tsukuba", "d:Description": "Concert recordings of choral music performed by a choir from Tsukuba, Japan.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://koten.sakura.ne.jp/choir/sound/"} +{"d:Title": "Open Goldberg Variations", "d:Description": "Free Creative Commons licensed score and recording of Bach's Goldberg Variations.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.opengoldbergvariations.org/"} +{"d:Title": "Berne Union Bands", "d:Description": "School district provides free downloads of music performed by student ensembles. The wind and brass ensemble sections contain many classical selections.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://buband.org/sounds.html"} +{"d:Title": "International Journal of Contemporary Composition", "d:Description": "Open access online journal for new music includes mp3s of many compositions.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.ijournalcc.com/scores-and-articles"} +{"d:Title": "Concilium Musicum Wien", "d:Description": "Free sample tracks from CDs of music from the classical era.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.concilium.at/indexCDs.html"} +{"d:Title": "Musopen", "d:Description": "Free public domain scores and recordings of music by many classical composers.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "https://musopen.org/"} +{"d:Title": "Mozart Tower Archive", "d:Description": "Large collection of Mozart recordings, appears to be his complete works.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.mozart-turm-system.de/serverstern/"} +{"d:Title": "Pandora Records", "d:Description": "Works by many composers recorded by Pandora records in the 1970s and 1980s, now available for free download.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.ibiblio.org/pandora/HOMEPAGE.html"} +{"d:Title": "Griffin Music Education", "d:Description": "Many choral music recordings featuring various choirs conducted by Michael Griffin.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.musiceducationworld.com/?q=choralmusicmp3s"} +{"d:Title": "Mechanical Mozart", "d:Description": "History and mp3 files of Mozart's fantasias for mechanical organ.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://home.versatel.nl/vspickelen/Mozartfiles/Mozart.htm"} +{"d:Title": "Nicolas Christou", "d:Description": "Bass-baritone offers opera excerpts by many different composers from Mozart to Wagner.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.nicolaschristou.com/multimedias.htm"} +{"d:Title": "Indiana University Trombone Choir", "d:Description": "Music and arrangements for trombone choir from Baroque to contemporary works.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.indiana.edu/~trombone/trombone_choir.html#sounds"} +{"d:Title": "Soni Ventorum Wind Quintet", "d:Description": "Live recordings of music for winds from the classical era to the 20th century.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://soniventorum.com/soniventorum_archives.html"} +{"d:Title": "Heinrich Sch\u00fctz Ensemble M\u00fcnchen", "d:Description": "Choral music by Bach, Monteverdi, Sch\u00fctz.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.hsem.de/Tonbeispiele.htm"} +{"d:Title": "James Kibbie - Bach Organ Works", "d:Description": "Free mp3 recordings of J.S. Bach's complete organ works.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.blockmrecords.org/bach/catalog.php"} +{"d:Title": "Cantata Singers of Ottawa", "d:Description": "Live concert recordings of choral music from the Middle Ages to present.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.cantatasingersottawa.ca/listen.php"} +{"d:Title": "Columbia University Orchestra", "d:Description": "Changing selection of orchestral works from concert recordings.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://cuo.music.columbia.edu/audio"} +{"d:Title": "Eggma Trio", "d:Description": "Works by many composers for trio of clarinet, violin, and piano.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.eggma.org/mp3.html"} +{"d:Title": "The Personal Music Site of Kelvin Smith", "d:Description": "Recordings include a wide variety of classical composers, mostly organ music.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://music.untraveledroad.com/SearchA"} +{"d:Title": "Akademisk Kor", "d:Description": "A variety of choral favorite for download and streaming by this Danish chorus.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://akademisk.kor.dk/lyt.htm"} +{"d:Title": "Sergio Calligaris: Pianist and Composer", "d:Description": "Recordings of many 19th century piano works.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.sergiocalligaris.com/scalen/piaen.htm"} +{"d:Title": "Christoph Keller", "d:Description": "Recordings of mostly 19th century chamber music featuring this Swiss pianist.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.christoph-keller.ch/en/hoer.php"} +{"d:Title": "Washington Musica Viva: Recordings", "d:Description": "Creative Commons licensed mp3s of music by famous classical composers and some lesser-known modern composers.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.dcmusicaviva.org/recordings/"} +{"d:Title": "Mp3classicalmusic", "d:Description": "A large collection of classical keyboard music recordings.", "topic": "Top/Arts/Music/Sound_Files/Classical/MP3", "url": "http://www.mp3classicalmusic.net/"} +{"d:Title": "The Maynard Ferguson Tribute Page", "d:Description": "Includes tour dates, pictures, and sound files.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.maynardferguson.com/"} +{"d:Title": "Pierre D\u00f8rge and New Jungle Orchestra", "d:Description": "Provides music downloads, discography, biography, tourplan, press, and lineup.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.newjungleorchestra.com/"} +{"d:Title": "Devian Jazz Page", "d:Description": "Provides Jazz Midi Files using Cakewalk Pro Audio 9 software. Includes biography, links, contact, saxophone technique, and tips.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.angelfire.com/jazz/devianjazz/home.html"} +{"d:Title": "The Swing and Bop Exposition", "d:Description": "A jazz page featuring original jazz compositions. Also included; a library of MIDI songs by other people.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.angelfire.com/jazz/bopswing/"} +{"d:Title": "Remsy's Jazz Cellar&Steam Fair", "d:Description": "A meeting point for people who like jazz, and for peoples whom like steam railways. Offers sounds in various audio forms, pictures, and videos.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.remsys.co.uk/"} +{"d:Title": "Marco Accattatis - New York Jazz Bassist", "d:Description": "Includes listening, music sound files, services, schedule, transcriptionsm lessons, photos, and links.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.accattatis.com/"} +{"d:Title": "Classic Jazz Performances", "d:Description": "A web-page devoted to the study of classic jazz performers, and their music. Contains midi files, and links.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.angelfire.com/jazz/bebop/index.html"} +{"d:Title": "Michel Lambert", "d:Description": "Drummer, and composer of Jazz, and Orchestra music. Includes sound files, discography, visual arts, recordings, and contact information.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.michellambert.com/"} +{"d:Title": "Jeannette Lambert - jazz vocalist, lyricist: biography", "d:Description": "Biography of jazz vocalist, and lyricist Jeannette Lambert, head of Jazz Grrls. Includes biography, recordings, press kit, sound files, video clip, and photo.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.nette.ca/rantjazz/biojl.htm#top"} +{"d:Title": "Dennis Mitcheltree: Jazz Saxophonist", "d:Description": "Offers sound clips, compositions, biography, discography, short reviews, up-coming performances, and photographs.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.dennismitcheltree.com/"} +{"d:Title": "Karaoke Jazz", "d:Description": "Sells rehearsal rhythm section MP3s of famous solos in versions missing piano, bass or drums, with full version for comparison.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://karaokejazz.com/"} +{"d:Title": "Iain Ballamy", "d:Description": "Jazz saxophonist, and composer. Provides free sound samples, live dates, biography, and discography.", "topic": "Top/Arts/Music/Sound_Files/Jazz", "url": "http://www.ballamy.com/"} +{"d:Title": "Sparky's Top 250 Midi Downloads", "d:Description": "Screened great sounding MIDI selections from rock, themes, pop, and country.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://all-ez.com/playlist.htm"} +{"d:Title": "Public Domain Music Sequenced by Benjamin Robert Tubb", "d:Description": "Features classical, folk, hymns, Stephen Foster and other 19th-century composers; some original compositions.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.pdmusic.org/"} +{"d:Title": "Mfiles", "d:Description": "Includes classical, original, ragtime, traditional, and church music, available in MIDI and MP3 formats, as well sheet music.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.mfiles.co.uk/"} +{"d:Title": "Fiddle Tunes From The American Revolution", "d:Description": "A collection of fiddle tunes from the American Revolution period in MIDI music format. This collection features minuets, country dances, marches and airs, and song tunes from the personal notebook of a certain Captain George Bush, an officer in George Washington's army.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.halcyondaysmusic.com/colonialmusic/"} +{"d:Title": "HamieNet: Open Educational Music Library", "d:Description": "Large categorized searchable library of MIDI files and song lyrics. Includes a discussion forum for song requests.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.hamienet.com/"} +{"d:Title": "Dan's Music", "d:Description": "Collection of MIDI files, including originals from Dan. Styles include Christian, jazz, and oldies.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://dansmusic.freeservers.com/"} +{"d:Title": "MIDI Land", "d:Description": "Large selection sorted by style, then band. Sound fonts. Uploads accepted.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://web.tiscali.it/midi_land/midifiles/"} +{"d:Title": "Relaxing piano music", "d:Description": "20 MIDI music files.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://erh2.homestead.com/music.html"} +{"d:Title": "Qwertyuiop", "d:Description": "MIDI music, games, chat and variety.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.angelfire.com/bc/qwertyuiop/Website.html"} +{"d:Title": "Music Fanatic's Download Center", "d:Description": "Large collection of MIDI files for download.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.angelfire.com/games2/mfanatic12586/downloads.html"} +{"d:Title": "Carey's All-Time Popular Midis", "d:Description": "A few dozen files from famous pop groups. Also has guitar tablature.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.christian.4t.com/"} +{"d:Title": "MIDI Archive", "d:Description": "MIDI archive with zipped files of pop rock, karaoke, movies, christmas, games, and guitar MIDIs.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://midiarchive.50megs.com/"} +{"d:Title": "Easy Midi Site", "d:Description": "Wide selection covers Christian, Christmas, pop, rock, movies, television, and patriotic music.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://easymidisite.tripod.com/"} +{"d:Title": "Maoi's MIDI Collection", "d:Description": "Collection arranged by genre and artist, with both OPM and foreign MIDI songs.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://maois.tripod.com/"} +{"d:Title": "Hands On MIDI", "d:Description": "Offers MIDI compilations and karaoke files, as well as software.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.hands-on-midi.com/"} +{"d:Title": "A Century Of Midi Musical Memories", "d:Description": "Files of all genres, sorted by decade.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://jhfoster.tripod.com/"} +{"d:Title": "MelodyLab Technology", "d:Description": "Specializes in writing music for artists, performers, movies, television shows, and advertising.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://melodyshop.4mg.com/"} +{"d:Title": "Cool MIDI", "d:Description": "Collection of popular music files sorted in alphabetical order or by category.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.cool-midi.com/"} +{"d:Title": "The Music of J.B. Lemire", "d:Description": "MIDI files and PDF scores of the work of J.B. Lemire.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://lemire.jb.free.fr/music.htm"} +{"d:Title": "The Midi Palace", "d:Description": "Indian and Pakistani songs in midi format.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://suri-midi.tripod.com/surimidi/"} +{"d:Title": "MIDIWorld", "d:Description": "MIDI software and hardware information, synths, sounds and MIDI files, marketplace, free classifieds for musicians.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://midiworld.com/"} +{"d:Title": "MIDI Mania", "d:Description": "Contains rock, pop, bluegrass, country, movie themes, Metallica, and oldies.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://demonica.tripod.com/"} +{"d:Title": "The McCoin's Oldies Music Site", "d:Description": "MIDI files of old rock, Scottish, Irish, Israeli, Southern Confederacy and hymns.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://oldiesmusic.tripod.com/index.html"} +{"d:Title": "Flute and Piccolo Music", "d:Description": "Offering versions of the accompaniment parts to selected flute and piccolo music for use in practicing when a pianist is not at hand.", "topic": "Top/Arts/Music/Sound_Files/MIDI", "url": "http://www.gps.caltech.edu/~asimow/midi/flutemidi.html"} +{"d:Title": "Music Scores", "d:Description": "Includes PDF-format scores and MIDI files.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.music-scores.com/"} +{"d:Title": "The Classical MIDI Resource", "d:Description": "Small collection of well-performed classical MIDI files; with MP3 renderings.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.classicalmidiresource.com/"} +{"d:Title": "Classical Midi Organ Stop!", "d:Description": "Classical and religious pipe organ sequences.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://theatreorgans.com/cmos/index.html"} +{"d:Title": "The Classical Piano Website", "d:Description": "Piano music, classical and jazz MIDI, and streaming.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://classicalpiano.com/"} +{"d:Title": "Midiworld: Classical MIDI Files", "d:Description": "Classical music in general MIDI file format, sorted by composers.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://midiworld.com/classic.htm"} +{"d:Title": "Musicstudents.com", "d:Description": "Practice material for music students, sheet music with matching sound files for accompaniment.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.musicstudents.com/"} +{"d:Title": "My little set of classical MIDI files", "d:Description": "MIDI files of harpsichord music.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://freddie.spb.ru/barock/midi/"} +{"d:Title": "The Busca Vida Music Pages", "d:Description": "MIDI files: Early through 20th-century music; also featuring Brazilian composers.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.angelfire.com/indie/lmc/bvmp/"} +{"d:Title": "String Quartets", "d:Description": "200+ MIDI files of chamber music works, mostly string quartets.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.stringquartets.org/"} +{"d:Title": "Classical Music Files", "d:Description": "Classical music files in MIDI and NWC formats, sequenced by Ramon Pajares Box.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.nil.es/rpajares/index_e.htm"} +{"d:Title": "Classical MIDIs", "d:Description": "Classical and original MIDI files, categorized by composer.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.classicalmidi.co.uk/page7.htm"} +{"d:Title": "Espace MIDI", "d:Description": "Classical and romantic music from Beethoven to Tchaikovsky.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://users.skynet.be/fa445077/"} +{"d:Title": "Stan's Music Page", "d:Description": "MIDI files of several classical pieces, organized by composer.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.stanthea.plus.com/stansmusicpage"} +{"d:Title": "Music for Pianos", "d:Description": "Offering self-sequenced files; includes music by women composers.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://musicforpianos.com/"} +{"d:Title": "The Piano MIDI Connection", "d:Description": "The public domain piano music, organized in 3 parts: piano solo, with orchestra and other keyboards.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://pianomidi.wikidot.com/"} +{"d:Title": "Tirol's MIDI works", "d:Description": "Provides a lot of piano pieces, sorted by composers from Baroque to Romantic periods.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://tirolmusic.blogspot.com/"} +{"d:Title": "Classical Music Symposium", "d:Description": "Hundreds of classical music MIDI files and biographies of their composers.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://mrmaestro.tripod.com/"} +{"d:Title": "Learn choral music", "d:Description": "A source of choral music in MIDI form, freely downloadable, provided as a learning aid.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Classical", "url": "http://www.learnchoralmusic.co.uk/"} +{"d:Title": "Fish-Net Online", "d:Description": "Country MIDI files and fractal images.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Country", "url": "http://www.angelfire.com/country/fishnetonline/"} +{"d:Title": "Steen's Country MIDI Page", "d:Description": "Offering selections by Jim Reeves, Patsy Cline, and Dolly Pardon. Also contains karaoke files.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Country", "url": "http://www.steenmp.dk/"} +{"d:Title": "The Dance Music Archives", "d:Description": "Over 70 dance MIDI files.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Dance", "url": "http://dancemusic.iwarp.com/"} +{"d:Title": "James' MIDI World", "d:Description": "Collection of dance MIDI files, also includes some alternative and Hip Hop files.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Dance", "url": "http://jamesmidiworld.tripod.com/id16.htm"} +{"d:Title": "Standard MIDI Files on the Net", "d:Description": "Offers links MIDI related topics.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Directories", "url": "http://archive.cs.uu.nl/pub/MIDI/MIRRORS/SMF/"} +{"d:Title": "Musical traditions from Occitany, Southern France", "d:Description": "Hundreds of MIDI files, with lyrics and music sheets, from the South of France.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://mtcn.free.fr/"} +{"d:Title": "Robokopp", "d:Description": "Large archive of folksongs, hymns, war songs, and sea songs from Austria, England, France, Germany, Ireland, Scotland, the US, and Wales.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://www.musicanet.org/robokopp/"} +{"d:Title": "Amsterdamse Blaas Kapel", "d:Description": "\"Egerl\u00e4nder-music\" from Bohemia, \"sometimes happy, sometimes sad, with many polka's and waltzes\".", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://www.amsterdamseblaaskapel.nl/"} +{"d:Title": "Balinese Gamelan Rhythms", "d:Description": "Includes MIDI files of the traditional Balinese gamelan (percussion ensemble).", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://www.ancient-future.com/bali.html"} +{"d:Title": "Simple Gifts Mandolin Tablature Archive", "d:Description": "Includes MIDI files and mandolin tabs for classic folk songs.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://www.users.csbsju.edu/~eknuth/mandotab/index.html"} +{"d:Title": "Volkslieder, German and other Folksongs", "d:Description": "This is the classic folk music and culture site. Thousands of MIDI-encoded folk tunes from around the world.", "priority": "1", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://ingeb.org/"} +{"d:Title": "Folk, songs and tunes", "d:Description": "Over a dozen nicely annotated folk tunes \"picked up\" from various sources.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://nielsen.freeservers.com/index.htm"} +{"d:Title": "Swedish Folk Songs in MIDI format", "d:Description": "Nine Swedish folk songs with lyrics.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://www.pcisys.net/~don_erickson/swede.htm"} +{"d:Title": "Folk Songs", "d:Description": "Folk songs from around the world, from America to India.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://pdmusic.org/folk.html"} +{"d:Title": "Jerry's Folk and Traditional", "d:Description": "A page of 25 classic American and English folk tunes.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://www.wilstar.com/jukefolk.htm"} +{"d:Title": "Midifile e Spartiti", "d:Description": "Free download of Italian folk music (liscio) for accordion. Files in MIDI encoded format and relative sheet music in PDF format.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Folk", "url": "http://www.midifiles.altervista.org/"} +{"d:Title": "Olle Johansson Original Jazz MIDI files", "d:Description": "Contains compositions in midi for download.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://home.swipnet.se/jello"} +{"d:Title": "Cool Jazz at MIDIWorld", "d:Description": "Collection of quality jazz MIDI files of all styles.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://midiworld.com/jazz.htm"} +{"d:Title": "Ron Fry's MIDI Files", "d:Description": "MIDI files for sale.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://www.ronfry.com/"} +{"d:Title": "Liberty Hall Jazz Quartet", "d:Description": "Traditional jazz music in MIDI, MP3, and Real Audio formats. Links to Internet traditional jazz bands.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://www.libertyhall.com/sndalt.html"} +{"d:Title": "SongTrellis", "d:Description": "Chord progressions for practice, improvisation, composition and study. MIDI plus printable GIF images of progression scores.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://www.songtrellis.com/changesPage"} +{"d:Title": "Saturn-Soft Castle Jazz midi music", "d:Description": "Midi format jazz music from the early 20th century, and other music placed in categories according to style.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://www.saturn-soft.net/Music/Music1/MIDI/Jazz/Menu.htm"} +{"d:Title": "Devian Jazz Midi Files", "d:Description": "Personally sequenced jazz files in General MIDI format.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://www.angelfire.com/jazz/devianjazz/jazzmidi.html"} +{"d:Title": "Alisdair MacRae Birch Jazz MIDI Files", "d:Description": "Jazz performer, educator, and composer providing Jazz MIDI files, gear, and software. Includes biography, and contact information.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://www.alisdair.com/arranger/midifiles.html"} +{"d:Title": "Kevin Flanagan - Flanagan-Ingham", "d:Description": "A composer, tenor saxophone, and vocalist from the UK. Contains information on his quartet, midi sound files, Electro-acoustic compositions, live dates, biography, and contact information.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jazz", "url": "http://www.ampublishing.org/kevinflanagan/"} +{"d:Title": "Ralph Art's Original JavaScript Jukebox", "d:Description": "Instructions for creating a MIDI jukebox.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jukebox", "url": "http://www.ralphart.com/midi/"} +{"d:Title": "Pattianne's Paradise Virtual Country Jukebox", "d:Description": "Pattianne's top 25 MIDI country classics in a virtual jukebox. No coins required.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Jukebox", "url": "http://www.angelfire.com/country/pattianne/jukebox/index.html"} +{"d:Title": "ScubaMom's: Favorite MIDI Songs", "d:Description": "Featuring calypso, Latin, and bossa nova.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Latin", "url": "http://www.scubamom.com/midis/"} +{"d:Title": "New Music In Classical Styles", "d:Description": "Compositions in midi and MP3 audio format written in classical, baroque or other traditional styles with emphasis on counterpoint.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.greenwych.ca/musicmid.htm"} +{"d:Title": "Rubenstein, David", "d:Description": "Listen to contemporary original music in a semi-classical style, covering a wide range of moods and emotions.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.wonderful-music.com/"} +{"d:Title": "Fantasy and medieval style original MIDI songs", "d:Description": "Compositions based on fantasy, RPG, medieval, nature and serenity styles, written by Bjorn Lynne. One may use these MIDI files for free as background music on own web site.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.lynnemusic.com/midi.html"} +{"d:Title": "Claude Ciari MIDI Guitar World", "d:Description": "Features MIDI, RealAudio, MP3,CD order online.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.ciari.com/"} +{"d:Title": "Frank's AWE Music and Oldies", "d:Description": "Original MIDI compositions pages and Oldies sequences page.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.angelfire.com/pa/AWEmusic/"} +{"d:Title": "Bob's Mighty MIDI", "d:Description": "All styles of original MIDI, along with popular arrangements.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.mightymidi.com/"} +{"d:Title": "Gary W0001's MIDI Sequences", "d:Description": "Files created by Gary grouped by style.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://garyw0001.com/"} +{"d:Title": "Music of the Black Hole Sun", "d:Description": "Original MIDI/MP3 music by Grant H. Joung. \"Surrounding the innermost darkness of the eclipse, the brightest of lights shall always prevail.\"", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.angelfire.com/ca3/gjoung/music.html"} +{"d:Title": "T.J.Moir Original Midi Files", "d:Description": "Spookie weird music, Gentle Music, Original short piano compositions.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.angelfire.com/mo/muchalls/music.html"} +{"d:Title": "The Music of Jeremy Robson", "d:Description": "Original compositions for film, games and orchestra. Mostly comprised of symphonic style pieces featuring fully orchestral scores in MIDI or MP3 format.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.angelfire.com/il/JersMidis/index.html"} +{"d:Title": "Classical MIDI Music", "d:Description": "A collection of original classical and contemporary style MIDI music, loosely categorized under different instruments.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.angelfire.com/music4/mezzo/index.html"} +{"d:Title": "Songs o' Socke", "d:Description": "Some original compositions of Socke.Listen to these tracks in RealAudio and MP3 recorded versions.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.sockenseite.de/sos/midi.html"} +{"d:Title": "Technomidi.com", "d:Description": "Offers a collection of Techno MIDI files composed by various people.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.technomidi.com/"} +{"d:Title": "Spiritual Healing Music from elan michaels", "d:Description": "Spiritually inspiring and uplifting music from elan michaels. This official site includes MPEG3 and MIDI samples as well as photographs. Native American, new age, and meditative music from this New England composer's CD \"Wind Walker\" are included in this site from MusicWorx Publishing.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.angelfire.com/md/elanmichaels/MIDI.html"} +{"d:Title": "Scottish Toon Loon Tunes", "d:Description": "Lift your spirits with 77 modern Scottish tunes in the traditional style. MIDI files, manuscript and notes on inspiration.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.toonloon.bizland.com/"} +{"d:Title": "Hiromu Hidaka, composer", "d:Description": "169 original MIDI \"healing piano pieces\" in 3 indicated moods, 1 RealAudio file of piano concertos CD.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.interq.or.jp/www-user/altomu/50.html"} +{"d:Title": "Standard MIDI Files on the Net", "d:Description": "Composers offering MIDI files on the net. Links to sites maintained by professional and amateur composers.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.aitech.ac.jp/~ckelly/SMF.html"} +{"d:Title": "WBSound.net", "d:Description": "Original music by Scott Crothers. Also includes mp3 files and links to other music sites.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.wbsound.net/"} +{"d:Title": "Ogawa, Sumitaka - Web Concert Hall", "d:Description": "Original MIDI music and 3D animation.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://homepage1.nifty.com/webhall/index.htm"} +{"d:Title": "Composer for Hire", "d:Description": "The official website of Jeff Anderson, composer for movies, and games. Includes MIDI music of his works.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://diefunkyschweizer.8m.com/composerjeff"} +{"d:Title": "MIDI Mandragora", "d:Description": "Dozens of original MIDI files by Daniel Rowe in a variety of styles. Some are collaborations with other composers.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://midicaveman.tripod.com/index1/"} +{"d:Title": "Midimusic", "d:Description": "Original compositions by M16", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://m16_midimusic.tripod.com/"} +{"d:Title": "Olle Johansson Original Jazz MIDI files", "d:Description": "Original Jazz midi compositions", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://home.swipnet.se/jello"} +{"d:Title": "Visitabilis", "d:Description": "Listen to brand new compositions, classic style, never played before, composed on PC only with PC-keyboard-tastatur input on a simple MS-DOS piano-roll-editor. No mouse, MIDI or piano keyboard et al. for composition was used.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://bs.cyty.com/visitabilis/index.htm"} +{"d:Title": "The Original Music of Sean Damon", "d:Description": "Listen to new compositions in the classical tradition.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.dreamuse.com/sdindex.html"} +{"d:Title": "Xgarage", "d:Description": "Japanese original MIDI with various style of music (techno, rock, classical thing).", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://sound.jp/xgarage/e/"} +{"d:Title": "Derek's Original MIDI Assortment", "d:Description": "Original MIDI files in an assortment of styles!", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://shekney.tripod.com/"} +{"d:Title": "Aaron Walz's MIDI Home", "d:Description": "Enjoy original compositions, over 200 Final Fantasy MIDI files, other game music, and theme songs; a MIDI tutorial for beginners; and MIDI and web-authoring links.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.midishack.net/"} +{"d:Title": "Kunst der Fuge | New classical Composers", "d:Description": "Over 400 fugues and fugal compositions, included canons, from new and \"web\" composers as Giorgio Pacchioni, Daniel Simpson, NT Signe, Setrak Setrakian, Alessandro Simonetto. MIDI files and links to the respective web pages. Submissions of new fugal pieces in MIDI format are welcome.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.kunstderfuge.com/new/classical.htm"} +{"d:Title": "Bellemusic", "d:Description": "Original musics to be downloaded in sizes midi and mp3 for your multimedia applications.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://bellemusic.pagesperso-orange.fr/"} +{"d:Title": "Mooney Records", "d:Description": "A page with sound files from artist Jonathan Mooney. Most are MIDI files, but the best are available in MP3 format. Visitors can download and comment on the works.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.jonathanmooney.midialternative.com/music.html"} +{"d:Title": "IO Music Creations", "d:Description": "Original MIDI Compositions by Joris Wittenberg that sound not of this world.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.wittenberg.nu/iomusic/"} +{"d:Title": "Turkish Tango Music", "d:Description": "Original MIDIs, MIDI arrangements of Turkish tangos, Turkish tango links. Links to appeals for earthquake aid and relief in Turkey.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://bluehawk.arvixe.com/"} +{"d:Title": "mfiles - original midi files", "d:Description": "Original MIDI files, free to download, many also available as MP3 files and in Sheet Music format.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.mfiles.co.uk/midi-original.htm"} +{"d:Title": "Cadenza MIDI Diary", "d:Description": "Original MIDI compositions and improvisations - includes classical or experimental styles - new tune every day since November 1997.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Originals", "url": "http://www.diary.cadenza.org/"} +{"d:Title": "MIDI Gallery", "d:Description": "Chinese, English, Japanese Drama, J-Pop MIDI, and Indonesian MIDI.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Pop", "url": "http://www.angelfire.com/celeb/valen79/midi.htm"} +{"d:Title": "MIDI Central", "d:Description": "Features some of the top hits of today in MIDI format.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Pop", "url": "http://animation-central.com/midi.htm"} +{"d:Title": "Early American Music - Halcyon Days Music", "d:Description": "Collection of Early American music from the 19th to early 20th Century in MIDI music format. Ragtime, Fox Trots, Dancing songs included with scans of sheet music and lyrics.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Ragtime", "url": "http://www.halcyondaysmusic.com/"} +{"d:Title": "Colin MacDonald's Ragtime March Waltz Web Site", "d:Description": "More than 300 ragtime sequences.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Ragtime", "url": "http://www.ragtimemusic.com/"} +{"d:Title": "Keeper's long-lost MIDI files", "d:Description": "Sequences from 1988 to the present of mostly ragtime and baroque keyboard works. Includes original ragtime compositions by the founder of the rec.music.ragtime newsgroup.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Ragtime", "url": "http://www.armory.com/~keeper/midi.html"} +{"d:Title": "John Roache's Ragtime MIDI Library", "d:Description": "Complete collection of John Roache's Ragtime, Swing, Jazz and Stride Piano MIDI performances; links; MIDI and Ragtime FAQs; Profiles of ragtime performers and composers. Includes music by Robin Frost, Frank French, James P. Johnson, Scott Joplin, James Scott, and Eubie Blake.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Ragtime", "url": "http://www.johnroachemusic.com/"} +{"d:Title": "Ragtime MIDI Files by Warren Trachtman", "d:Description": "Ragtime MIDI files of piano pieces by Scott Joplin, James Scott, Joseph Lamb, Jelly-Roll Morton, Eubie Blake, and others. Piano Soundfonts.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Ragtime", "url": "http://www.trachtman.org/ragtime/"} +{"d:Title": "Primeline Chemical Systems MIDI Library", "d:Description": "Huge source of ragtime MIDI files.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Ragtime", "url": "http://www.primeshop.com/MIDILibrary/midilist.htm"} +{"d:Title": "MIDI Junction", "d:Description": "Tips for MIDI composers; forum for MIDI composition questions; a few original MIDI files that can't be found anywhere else on the net.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Resources", "url": "http://www.angelfire.com/fl/tabbyslitterbox/midi.html"} +{"d:Title": "MIDIBoard - MIDI Music Community", "d:Description": "A full featured MIDI community, with over 10,000 professionally sequenced files.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Resources", "url": "http://midibuddy.net/"} +{"d:Title": "Braaar's MIDI Stuff", "d:Description": "Large collection of heavy metal and hard rock files, sorted by band.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Rock", "url": "http://www.angelfire.com/biz5/braaar/index.html"} +{"d:Title": "Titanium91's MIDI Outpost", "d:Description": "Small collection of rock, alternative, and video game MIDIs, includes selections from AC/DC, Kiss, and Ozzy.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Rock", "url": "http://www.angelfire.com/rock/netoutpost1/"} +{"d:Title": "Metal Elf'S 80'S Metal MIDI", "d:Description": "Includes about 30 hard to find metal songs from the 80's.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Rock", "url": "http://www.angelfire.com/me3/metalelf/index.html"} +{"d:Title": "Music-Sites.net - MIDI Search", "d:Description": "Seven MIDI search engines to find files or sites.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Search_Engines", "url": "http://www.music-sites.net/?action=mediasearch&src=midi"} +{"d:Title": "MelodyCatcher", "d:Description": "It allows to play a melody on a virtual keyboard to find MIDI files of that song.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Search_Engines", "url": "http://www.melodycatcher.com/"} +{"d:Title": "Searching the Internet for a Certain MIDI File", "d:Description": "8 locations from this page. Includes tips on effective searching.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Search_Engines", "url": "http://www.manythings.org/midi/search.html"} +{"d:Title": "MIDIversidad", "d:Description": "Spanish/English. Star Wars, Robotech, Popular, Latin music, movies and TV.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Themes", "url": "http://midiversidad.8m.com/"} +{"d:Title": "Armenian Patriotic Songs", "d:Description": "MIDI files of the melodies and GIF files of the lyrics in the Armenian alphabet.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Themes", "url": "http://mousaler.com/songbook/data/patriotic.html"} +{"d:Title": "The Movie Music Page", "d:Description": "MIDI main themes for several movies.", "topic": "Top/Arts/Music/Sound_Files/MIDI/Themes", "url": "http://www.angelfire.com/ok3/quasar/index.html"} +{"d:Title": "Norwegian Music", "d:Description": "Norwegian music in MIDI from Martin's Norwegian Genealogy Magazine.", "topic": "Top/Arts/Music/Sound_Files/MIDI/World", "url": "http://www.martinroe.com/"} +{"d:Title": "PT-Mods", "d:Description": "Protracker MOD archive with search engine. German.", "topic": "Top/Arts/Music/Sound_Files/MOD", "url": "http://www.pt-mods.de/"} +{"d:Title": "Grayscale - Chiptune Atari Band", "d:Description": "Chiptune site. Music from Atari 8 bit and PC computer, SAP, MOD, IT, S3M, XM, download free project by X-Ray and Greg", "topic": "Top/Arts/Music/Sound_Files/MOD", "url": "http://grayscale.scene.pl/"} +{"d:Title": "Traha.de", "d:Description": "MIDI files, modules (MODs, XMs, S3Ms, ITs) and some MP3 files. MOD section demoscene/tracking scene related, MIDI section contains pop and rock, movie themes and classical MIDIs.", "topic": "Top/Arts/Music/Sound_Files/MOD", "url": "http://www.traha.de/"} +{"d:Title": "MODSpeak Live", "d:Description": "Offers a collection music files, links and information.", "topic": "Top/Arts/Music/Sound_Files/MOD", "url": "http://richlabonte.net/modspeak/"} +{"d:Title": "Mod Archive", "d:Description": "Contains over 400 megs of MOD, S3M, XM, IT, MTM, 669 and STM files and growing HTTP uploads solicited (MODs screened for quality.) Polls for favorite MODs.", "priority": "1", "topic": "Top/Arts/Music/Sound_Files/MOD", "url": "http://www.modarchive.org/"} +{"d:Title": "Synthpop MOD-files", "d:Description": "More than 150 synthpop MOD files in different formats.", "topic": "Top/Arts/Music/Sound_Files/MOD", "url": "http://dflund.se/~fernbom/music/mods/"} +{"d:Title": "King Tet's Mod Tracks", "d:Description": "10 songs in xm format from the album \"Digital Dances and Dreams\".", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://www.kingtet.com/xm.htm"} +{"d:Title": "The TuneStore", "d:Description": "Distribution site for Gargoyle's original MODs.", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://www.tunestore.de/"} +{"d:Title": "Wire Maniacs", "d:Description": "A swiss demoscene group, mainly active in the music section.", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://www.wiremaniacs.org/"} +{"d:Title": "Amanda's Mod Page", "d:Description": "My mods, information about mods, and links", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://www.angelfire.com/nj/amandamods/"} +{"d:Title": "Parenthesis", "d:Description": "Offering their own compositions in MOD and XM formats.", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://napodano.com/music/"} +{"d:Title": "Youp 2K", "d:Description": "Official site for the musical stylings of Youp.", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://homepages.paradise.net.nz/youp/"} +{"d:Title": "Boray Mod Music", "d:Description": "Collection of all MODs made by Anders \"Boray\" Persson. Collection contains over 10 hours of MOD music.", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://user.tninet.se/~hlw771b/mods.html"} +{"d:Title": "Mr.Lou / Dewfall Productions", "d:Description": "MOD, DBM, XM, MP3 music for free.", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://www.dewfall.dk/"} +{"d:Title": "The SandS band", "d:Description": "Music for video games: tracker (MOD, XM, IT, MO3) and live (MP3, OGG).", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://thesands.ru/"} +{"d:Title": "Maniacs of Noise", "d:Description": "MOD files by three artists composing music and sound design for videogames.", "topic": "Top/Arts/Music/Sound_Files/MOD/Bands_and_Artists", "url": "http://mon.home.xs4all.nl/"} +{"d:Title": "SoundClick.com", "d:Description": "MP3 downloads and streaming ASF songs (and videos). Stars and unsigned artists. Charts, radios, band and song information. Bands get their own pages.", "topic": "Top/Arts/Music/Sound_Files/MP3", "url": "http://www.soundclick.com/"} +{"d:Title": "USounds", "d:Description": "The home of the global pop underground. MP3, news, and reviews from around the world.", "topic": "Top/Arts/Music/Sound_Files/MP3", "url": "http://www.usounds.com/"} +{"d:Title": "Dimension Music", "d:Description": "MP3 portal with information, news (and user comments), reviews, a search engine and legal MP3 downloads.", "topic": "Top/Arts/Music/Sound_Files/MP3", "url": "http://www.dmusic.com/"} +{"d:Title": "Mp3Raid", "d:Description": "Full albums, song lyrics, music charts, guitar tabs, hardware-software reviews, midis, band signup, player skins, and music guides, in five different languages.", "topic": "Top/Arts/Music/Sound_Files/MP3", "url": "http://www.mp3raid.com/"} +{"d:Title": "MP3 Newswire", "d:Description": "Online MP3 magazine with track reviews and downloads, latest MP3 news and commentary and hardware and software listings.", "topic": "Top/Arts/Music/Sound_Files/MP3", "url": "http://www.mp3newswire.net/"} +{"d:Title": "MP3 Tutorial", "d:Description": "Includes links to MP3 software, downloads, news, and magazines. Also includes an informative help section explaining MP3 files and commonly used terms.", "topic": "Top/Arts/Music/Sound_Files/MP3", "url": "http://www.angelfire.com/music2/MP3TUTORIAL/"} +{"d:Title": "Jamendo", "d:Description": "Allows users to download and listen to albums, and also to discover and share new artists. The content is under one of the Creative Commons licenses, depending on the choice of the artists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://www.jamendo.com/"} +{"d:Title": "National Anthems", "d:Description": "MP3 files of vocal and instrumental anthems and marches from around the world, as well as geographical trivia. Anthems are accompanied by capsule information about the country.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://www.national-anthems.net/"} +{"d:Title": "MP3sHits.com", "d:Description": "Includes charts, full albums, archive, mp3 faq and help.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://www.mp3shits.com/"} +{"d:Title": "Monster Guitar", "d:Description": "Provides MP3 files of music by guitar artists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://monsterguitars.com/"} +{"d:Title": "Top 1000 Mp3 Charts", "d:Description": "The list of top 1000 mp3 downloads chart. [requires free registration]", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://www.top1000mp3.net/"} +{"d:Title": "MaxAlbums", "d:Description": "Searchable archive of full albums with links to mp3 downloads, covers and lyrics.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://www.maxalbums.com/"} +{"d:Title": "Sutros", "d:Description": "Allows musicians to upload music and publish it under the Creative Commons license of their choosing. Users can download the songs and share play lists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://sutros.com/"} +{"d:Title": "Open Music Archive", "d:Description": "A collaborative project, initiated by artists Eileen Simpson and Ben White, to source, digitise and distribute out-of-copyright sound recordings.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://www.openmusicarchive.org/"} +{"d:Title": "Free Music Archive", "d:Description": "Archive of public domain and Creative Commons licensed songs managed with a curatorial approach.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads", "url": "http://freemusicarchive.org/"} +{"d:Title": "Sursumcorda.com", "d:Description": "Internet based record label and multimedia site featuring alternative artists, MP3 downloads, live event streaming, radio show, real audio, real video, and online store.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://sursumcorda.com/"} +{"d:Title": "SCHMECK", "d:Description": "Seattle collective SCHMECK uses a Boomerang digital phrase sampler to create looped and layered a capella improvs.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.gogoweb.com/SCHMECK/"} +{"d:Title": "John King Basement Tapes and Four-track Creations", "d:Description": "Free and legal basement \"tapes\" by former Spent and Humidifier songwriter (MP3s and lyrics).", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://gogoweb.com/king/"} +{"d:Title": "Moonjet Recordings", "d:Description": "Collection of independent talent from the California Bay Area, for download in MP3 format.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.moonjet.com/"} +{"d:Title": "Hielan Jam Productions", "d:Description": "Features unsigned new bands Blue Gum Ears and Chris Henderson music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.hielanjam.com/"} +{"d:Title": "Toxygen", "d:Description": "6 ambient and trance compositions in MP3 format.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.toxygen.ch/"} +{"d:Title": "IntoMusic", "d:Description": "Offers downloads from established and new artists for payment. Includes profile, FAQ, subscription plans, gift vouchers and artists areas.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.intomusic.co.uk/"} +{"d:Title": "Sucioperro", "d:Description": "MP3 music featuring live guitar, bass and drum loops.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.sucioperro.com/"} +{"d:Title": "Fauntleroy", "d:Description": "Alternative rock trio from Huntington Beach, California.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://fauntleroyband.tripod.com/fauntleroyfreemp3downloads/index.html"} +{"d:Title": "Animals Within Animals", "d:Description": "Electronic band that is loose collective of members with open membership. Site included studio and live MP3s.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.animalswithinanimals.com/"} +{"d:Title": "Rich N Famous", "d:Description": "Rock and roll MP3 files from the artist's albums.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.angelfire.com/nj/richnfamous/index.html"} +{"d:Title": "88-keys", "d:Description": "Offers classical piano repertoire from major composers as MIDI sound file.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.88-keys.com/"} +{"d:Title": "E.S.P", "d:Description": "Dutch band recording reggae-dubs and soundscapes.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://edel.home.xs4all.nl/"} +{"d:Title": "Sozra Music", "d:Description": "Instrumental, electronic, and ambient music files and samples by the artist in MP3 and AU format.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Indies", "url": "http://www.sozra.com/category/SM.html"} +{"d:Title": "DJ Ferry's Eighties MP3s", "d:Description": "Offers hits of the eighties.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Oldies", "url": "http://djferry.tripod.com/"} +{"d:Title": "Growing Up in the 80's", "d:Description": "Download your favorite 80s songs.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Oldies", "url": "http://www.tripletsandus.com/80s/"} +{"d:Title": "DaSpy's Bar", "d:Description": "Webmaster's own collection of over 40 classic rock songs from Hendrix, Rolling Stones, Black Sabbath or Iron Maiden.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Personal_Collections", "url": "http://daspy.tripod.com/index.html"} +{"d:Title": "JHP", "d:Description": "A collection of rock and alternative MP3s, and articles by the webmaster.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Personal_Collections", "url": "http://www.angelfire.com/ca2/Javil/"} +{"d:Title": "MP3 Trade", "d:Description": "Website facilitating the trading of MP3s. Includes a list of the owner's files available for trade.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Personal_Collections", "url": "http://www.angelfire.com/music2/mp3trade/"} +{"d:Title": "Marshall's Music Domain", "d:Description": "Offers MP3 files of American patriotic songs.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Personal_Collections", "url": "http://www.angelfire.com/music4/marshallsmusic/"} +{"d:Title": "Truckin' MP3s", "d:Description": "Collection of songs by various artists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Personal_Collections", "url": "http://www.angelfire.com/ny5/andysgirl/"} +{"d:Title": "MP3 Music Download", "d:Description": "Free MP3 files for private use.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Samples", "url": "http://www.mp3-music-download.de/"} +{"d:Title": "Space Rocker Rock Opera", "d:Description": "Music from the rock opera Space Rocker including sample melodies, songs, lyrics and poetry.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Samples", "url": "http://www.web-a-dex.com/wavfiles.htm"} +{"d:Title": "Millas Music Productions", "d:Description": "Sample MP3 files of songs ranging from low-key \"middle of the road\" to country to high end rock.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Samples", "url": "http://www.millasmusic.com/"} +{"d:Title": "Original Theme Music of Jonathan Geer", "d:Description": "MP3 samples advertise sales of CD of original music on themes from books read by a Berklee film scoring student.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Samples", "url": "http://j0nmark.tripod.com/main.html"} +{"d:Title": "The Musical World of Rocky Horror MP3s", "d:Description": "Everything audio related to The Rocky Horror Picture Show. Includes over a gigabyte of MP3 and other sound files from various rare and out of print albums.", "topic": "Top/Arts/Music/Sound_Files/MP3/Downloads/Samples", "url": "http://www.rockymusic.org/soundfiles.php"} +{"d:Title": "My Music Tools", "d:Description": "Links to various MP3 taggers, players, rippers, plugins.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.mymusictools.com/"} +{"d:Title": "1st Spot MP3", "d:Description": "Reviewed links of MP3 search, download, players and rippers.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://1st-spot.net/topic_mp3.html"} +{"d:Title": "Substation 7 MP3 Artists Links", "d:Description": "Links to MP3 artist sites and music downloads from different genres.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.angelfire.com/sk/ambsnds/index.html"} +{"d:Title": "Free Mp3 Albums", "d:Description": "Provides links to sites that offer MP3 files, software, and skins.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.free-mp3-albums.com/"} +{"d:Title": "LinkWorld: MP3", "d:Description": "Page of links to all types of MP3 sites and hitlists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.lnkworld.com/mp3.shtml"} +{"d:Title": "Mixset.com", "d:Description": "Reviews sites that offer free-download mixed-sets in various genres.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.mixset.com/"} +{"d:Title": "Best Mp3 Links", "d:Description": "Listing of free MP3 sites, from general to niche.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.bestmp3links.com/"} +{"d:Title": "Top Media Tools", "d:Description": "Links to MP3 recorders, converters, taggers, players, rippers, plugins.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.topmediatools.com/"} +{"d:Title": "Music Pigeon", "d:Description": "Indie, pop, rock, electro and dance music free downloads.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://www.musicpigeon.com/"} +{"d:Title": "First Music Online", "d:Description": "MP3 and real audio, charts and archive.", "topic": "Top/Arts/Music/Sound_Files/MP3/Link_Lists", "url": "http://fmonline.tripod.com/"} +{"d:Title": "Search MP3", "d:Description": "Searches in own archive of hosted artists. Audio software downloads also available.", "topic": "Top/Arts/Music/Sound_Files/MP3/Search_Engines", "url": "http://www.searchmpthree.com/"} +{"d:Title": "Stardotmusic.com", "d:Description": "Music news, software, and an MP3 search feature.", "topic": "Top/Arts/Music/Sound_Files/MP3/Search_Engines", "url": "http://www.stardotmusic.com/"} +{"d:Title": "SearchEngineZ", "d:Description": "Search a dozen of the best MP3 search engines at once.", "topic": "Top/Arts/Music/Sound_Files/MP3/Search_Engines", "url": "http://searchenginez.com/mp3metasearch.html"} +{"d:Title": "mp3INT.com", "d:Description": "Gives results back like bitrate, play length, id3-tag, if archived file needs password, the files exists in archive.", "topic": "Top/Arts/Music/Sound_Files/MP3/Search_Engines", "url": "http://www.mp3int.com/"} +{"d:Title": "Playlist", "d:Description": "Allows users to create a free playlist, to share it with their friends and to listen to songs on these playlists directly from their browsers. [United States only]", "topic": "Top/Arts/Music/Sound_Files/MP3/Search_Engines", "url": "http://www.playlist.com/"} +{"d:Title": "AirMP3.me", "d:Description": "Includes a collection of links to music files. Get access to the database by using the song list or search box.", "topic": "Top/Arts/Music/Sound_Files/MP3/Search_Engines", "url": "http://www.airmp3.me/"} +{"d:Title": "Shoutcast", "d:Description": "Nullsoft's free Winamp-based distributed streaming internet radio system with a wide selection of music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming", "url": "http://www.shoutcast.com/"} +{"d:Title": "AudioRealm", "d:Description": "Site indexes all currently broadcasting streams using the software Streaming Audio Master.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Directories", "url": "http://www.audiorealm.com/"} +{"d:Title": "Pirate Radio Network", "d:Description": "Directory of live stations broadcasting using the Destiny Media Player.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Directories", "url": "http://www.pirateradionetwork.com/"} +{"d:Title": "Icecast Stream Directory", "d:Description": "Listing of stations running the Icecast software.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Directories", "url": "http://dir.xiph.org/"} +{"d:Title": "Audiorealm", "d:Description": "Provides hosting on Shoutcast software for up to 100 users.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Hosting", "url": "http://www.audiorealm.com/"} +{"d:Title": "Stream Guys, The", "d:Description": "Streaming media provider offers content delivery network and streaming service.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Hosting", "url": "http://www.streamguys.com/"} +{"d:Title": "AddictedToRadio.com", "d:Description": "Internet radio broadcasting various styles such as hip hop, oldies, reggae, dance, and jazz.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations", "url": "http://addictedtoradio.com/"} +{"d:Title": "In the 80s", "d:Description": "Large music resource with information about groups and movies of the 80s, plus a streaming audio broadcast.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/80s", "url": "http://www.inthe80s.com/"} +{"d:Title": "Flashback Radio", "d:Description": "High and low-bandwidth streaming 80s rock, pop, new wave, and dance hits. With weekly countdown shows, message boards, syndicated music news, and live requests.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/80s", "url": "http://www.flashbackradio.com/"} +{"d:Title": "EightiesRadio.com Streaming 80s Radio Stations Directory", "d:Description": "A listing of 80s radio stations online including up-to-date streaming links.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/80s", "url": "http://www.eightiesradio.com/"} +{"d:Title": "Flashback Alternatives", "d:Description": "Classic alternative favorites, rarities, and deep cuts from the 80s and beyond.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/80s", "url": "http://www.flashbackalternatives.com/"} +{"d:Title": "Late Bar Radio", "d:Description": "Playing all Duran Duran music, 24 hours a day.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/80s", "url": "http://www.latebarradio.com/"} +{"d:Title": "real:time", "d:Description": "Free online stream hosted by Shadow Records recording artist Saru featuring trip hop, downtempo, ambient dub, illbient and electronica.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Ambient", "url": "http://www.realtime365.com/"} +{"d:Title": "SomaFM", "d:Description": "Ambient, downtempo and chill beats from well known and unknown artists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Ambient", "url": "http://somafm.com/"} +{"d:Title": "Sleepbot Environmental Broadcast", "d:Description": "Peaceful streaming audio featuring a random cycling of some of the most sleep-worthy tracks ever created.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Ambient", "url": "http://www.sleepbot.com/ambience/broadcast/"} +{"d:Title": "Musical Starstreams", "d:Description": "On-air and online radio show playing ambient, dub, and downtempo music streams.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Ambient", "url": "http://www.starstreams.com/"} +{"d:Title": "Houston Civic Symphony", "d:Description": "Features a concert schedule, listing of people and players, a cumulative repertoire list, and a streaming broadcast of past performances.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Classical", "url": "http://www.civicsymphony.org/"} +{"d:Title": "Peaceful Classical Music", "d:Description": "Links to classical music radio stations and programs that you can hear online; includes a live365.com hosted stream by the page's author.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Classical", "url": "http://www.afn.org/~afn07097/music/"} +{"d:Title": "Unknown Composers", "d:Description": "Streaming MP3 station of classical music that isn't often heard but deserves to be.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Classical", "url": "http://kith.org/jimmosk/"} +{"d:Title": "Radio Contemporanea", "d:Description": "A Live365.com-hosted contemporary instrumental classical, piano, and fractal music stream.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Classical", "url": "http://digilander.libero.it/sir1/radiocontemporanea/"} +{"d:Title": "ORGANLive", "d:Description": "Streaming classical organ music. Free, 24 hrs a day. Dial-up or broadband.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Classical", "url": "http://www.organlive.com/"} +{"d:Title": "IC Radio", "d:Description": "College radio station in London broadcasting a variety of music and shows. See site for weekly schedule.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.icradio.com/"} +{"d:Title": "KSDT Radio", "d:Description": "Independent college radio station from the campus of UC San Diego. Also with local event and venue information.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://scw.ucsd.edu/"} +{"d:Title": "WRCT Pittsburgh 88.3 FM", "d:Description": "Free-format college radio station serving Carnegie Mellon and Pittsburgh, Pennsylvania.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.wrct.org/"} +{"d:Title": "WMSE", "d:Description": "Milwaukee School of Engineering free-format radio station broadcasting in streaming MP3 and Windows Media.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.wmse.org/"} +{"d:Title": "KUAC-FM", "d:Description": "Live online stream from the University of Alaska Fairbanks. Featuring music and talk programs of all varieties.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.kuac.org/"} +{"d:Title": "WVFS 89.7 FM", "d:Description": "A volunteer-run radio station at Florida State University in Tallahassee. Offering diverse programming 24 hours a day - see site for show schedule.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://wvfs.fsu.edu/"} +{"d:Title": "WMFO 91.5", "d:Description": "Freeform college radio station serving Tufts University and the Medford, Massachusetts area.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.wmfo.org/"} +{"d:Title": "KUCI 88.9 FM", "d:Description": "College and community station at UC Irvine in California offering a wide variety of music and talk radio.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.kuci.org/"} +{"d:Title": "WREK Atlanta", "d:Description": "Radio station at Georgia Institute of Technology, in Atlanta, GA. Featuring 6 distinct formats and over 40 specialty shows.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.wrek.org/"} +{"d:Title": "WVUM 90.5", "d:Description": "College radio station at University of Miami playing alternative music and covering local sports events.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.miami.edu/wvum/fourzero/"} +{"d:Title": "WGDR", "d:Description": "Community radio station at Goddard College in Plainfield, Vermont. Shows include trance, international and folk music, sports, news and others. See site for airtimes.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.wgdr.org/"} +{"d:Title": "UMFM Campus Radio 101.5 FM", "d:Description": "College radio station from the University of Manitoba, broadcasting a wide range of shows from jazz to metal. See site for show descriptions and schedule.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.umfm.com/"} +{"d:Title": "SCAD Radio", "d:Description": "Internet station located at the Savannah College of Art and Design in Savannah, Georgia.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.scadradio.org/"} +{"d:Title": "KFJC 89.7 FM", "d:Description": "College radio from the San Francisco Bay Area with a diverse schedule of shows and specializing in obscure and recent music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://www.kfjc.org/netcast/"} +{"d:Title": "KCOU 88.1 FM", "d:Description": "College radio station at the University of Missouri-Columbia, playing many styles of music plus local news and sports.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://kcou.fm/"} +{"d:Title": "CalArts School of Music", "d:Description": "Find out about the college and upcoming events, visit student and faculty homepages, and listen to a streaming classical, jazz and experimental broadcast of selections by students, faculty and alumni.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://music.calarts.edu/"} +{"d:Title": "WARC 90.3 FM Allegheny College", "d:Description": "Student run radio station playing Rhythm and Blues, Hip-hop, Industrial, Goth, World, Urban and Rock.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "http://sites.allegheny.edu/warc/"} +{"d:Title": "WSPN 91.1FM", "d:Description": "College radio station from Skidmore College, serving the campus and the Saratoga Springs, NY area.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/College", "url": "https://academics.skidmore.edu/blogs/wspn/"} +{"d:Title": "CISN-FM", "d:Description": "Broadcasting new country music from Edmonton, Canada.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Country", "url": "http://www.cisnfm.com/"} +{"d:Title": "WFRB FM", "d:Description": "Radio station broadcasting hit country music from Frostburg, Maryland.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Country", "url": "http://wfrb.com/"} +{"d:Title": "BikerBar Radio", "d:Description": "A streaming broadcast featuring unique road music, such as alternative country and Texas-style rock and roll.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Country", "url": "http://www.bikerbar.com/music/"} +{"d:Title": "Southern Fried Country Rock", "d:Description": "Country music station with an emphasis on outlaw-type country, also with some blues and classic rock.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Country", "url": "http://www.jaylhouse.com/"} +{"d:Title": "KVVP Country 105", "d:Description": "Radio station playing contemporary country hits in the West Central Louisiana and Southeast Texas area and offering a live online stream.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Country", "url": "http://www.todayscountry1057.com/"} +{"d:Title": "Digitally Imported Radio", "d:Description": "A streaming radio station dedicated to playing European Hi-NRG, techno, and trance music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://www.di.fm/"} +{"d:Title": "Pulse Radio", "d:Description": "Global site by a collective of DJs who broadcast live from clubs and lounge rooms around the world. Featuring house, hip-hop, breaks, drum and bass, trance, techno and related music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://pulseradio.net/"} +{"d:Title": "Nautic Radio", "d:Description": "Live and archived shows featuring various styles of electronic dance music. Also with chat room and DJ-cam during live broadcasts.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://www.nauticradio.net/"} +{"d:Title": "Remixes.net", "d:Description": "Offers non-stop dance remixes.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://www.remixes.net/"} +{"d:Title": "Generation Zel! Radio", "d:Description": "Station specializing in dance, techno, and electronic music. It is broadcast straight from the DJs computer, enabling visitors to chat with him or send requests.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://www.genzel.ca/"} +{"d:Title": "Aquarius 7 Broadcasting Network", "d:Description": "Playing old and new dance hits.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://www.a7bn.com/"} +{"d:Title": "GremlinRadio.com", "d:Description": "Streaming breakbeat, trance, and house music from Baton Rouge, Louisiana.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://www.gremlinradio.com/"} +{"d:Title": "Music One", "d:Description": "Euroand Hi-NRG dance music. News, playlist, and forums.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance", "url": "http://www.musicone.fm/"} +{"d:Title": "BrokenBeat Radio", "d:Description": "Drum and bass and breakbeat information and broadcast from the Southern California area, featuring scheduled performances from local DJs and Emcee freestyles.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/Drum_and_Bass", "url": "https://www.facebook.com/brokenbeatsandiego"} +{"d:Title": "SoundSelective", "d:Description": "Underground electronic music web site, covering world, ambient, leftfield, chill out, deep house, funky, groovy and tech house music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/Electronica", "url": "http://www.soundselective.com/"} +{"d:Title": "EthnoTechno", "d:Description": "Stream spinning electronica from around the globe with an emphasis on Asian massive/underground movements.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/Electronica", "url": "http://www.ethnotechno.com/"} +{"d:Title": "GrooveFactory.com", "d:Description": "House, trance and related dance music stream.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/House", "url": "http://www.groovefactory.com/"} +{"d:Title": "Electro Radio", "d:Description": "Swiss based online House music radio station streaming in a variety of formats. Some of Europe's best DJs feature regularly on the playlist.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/House", "url": "http://www.electroradio.ch/"} +{"d:Title": "SSRadio", "d:Description": "Deep and soulful style House music radio station featuring House music DJs and record labels. This site also has an online community.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/House", "url": "http://ssradio.com/"} +{"d:Title": "Hardbioled Radio", "d:Description": "Streaming goa-trance music and community site.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/Trance", "url": "http://www.hbr1.com/"} +{"d:Title": "DJ Lithium", "d:Description": "Free music, information and an MP3 stream by a Vancouver-based trance DJ.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Dance/Trance", "url": "http://www.blacktigerrecordings.com/lithium/"} +{"d:Title": "The Button", "d:Description": "Experimental audio soundscapes borrowing heavily from the media. Visit \"Sound\" section on site for link to the Shoutcast stream.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Experimental", "url": "http://www.pressthebutton.com/"} +{"d:Title": "Borgus Productions", "d:Description": "Experimental vocal art with news and political parody.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Experimental", "url": "http://www.borgus.com/"} +{"d:Title": "Beats In Space", "d:Description": "Radio show mixed live by Tim Sweeney and guests in New York City on WNYU 89.1 FM every Tuesday night. Includes playlists and news.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Experimental", "url": "http://www.beatsinspace.net/"} +{"d:Title": "American Mavericks", "d:Description": "Featuring a stream of minimalist, nature-influenced, drone and ambient, as well as a station with found sounds, modernistic, and attention-demanding music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Experimental", "url": "http://musicmavericks.publicradio.org/listening/"} +{"d:Title": "RantRadio.com", "d:Description": "Commercial free, 24/7 broadcast of free speech, opinions, concerns and music \"by the people, for the people.\"", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Experimental", "url": "http://www.rantmedia.ca/rantradio/"} +{"d:Title": "Jean-Paul's Things to Hear", "d:Description": "An online radio show broadcast on WKRP.fm. Playing independent music, interviews, and radio theater.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Experimental", "url": "https://sites.google.com/site/tertochamusic/"} +{"d:Title": "Soft Jazz", "d:Description": "Smooth jazz from the United Kingdom. Three streams available for broadband and modem.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Jazz", "url": "http://www.jkwebdesign.net/softjazz/"} +{"d:Title": "KSBR Jazz", "d:Description": "Contemporary and classic jazz music station broadcasting live over the Internet.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Jazz", "url": "http://www.ksbr.net/"} +{"d:Title": "JazzRadio.net", "d:Description": "Streaming jazz music to your desktop 24 hours a day, plus music news, reviews and chat. Site in English and German.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Jazz", "url": "http://www.jazzradio.net/"} +{"d:Title": "Online Bands", "d:Description": "Promoting independent local artists online, and playing their music in a varied streaming broadcast.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Local", "url": "http://www.onlinebands.com/"} +{"d:Title": "Rio Grande Mud Radio", "d:Description": "North Texas stream featuring music from local bands and artists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Local", "url": "http://riograndemud.com/"} +{"d:Title": "Electric Bathing Company", "d:Description": "A not-for-profit digital recording, media and web publishing service in Liverpool, UK. Features a streaming broadcast of local music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Local", "url": "http://www.electricbathingcompany.co.uk/"} +{"d:Title": "Stardust Internet Radio", "d:Description": "Hits from yesterday from artists like Frank Sinatra, Dizzy Gillespie, and Stan Getz.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Lounge", "url": "http://www.spage.com/stardust/"} +{"d:Title": "Long Playing Microgroove", "d:Description": "Streaming audio broadcast playing retro music such as lounge, easy listening, surf, latin-tinged jazz, exotica, groovy themes and spaceage pop.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Lounge", "url": "http://zoope.com/m/microgroove/"} +{"d:Title": "Devlar Surf Radio", "d:Description": "Playing instrumental surf, spy and lounge music from the 60s to the present, 24/7/365.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Lounge", "url": "http://raydukes_1.tripod.com/devlarsurfiampiiradiostations/index.html"} +{"d:Title": "Lounge-Radio.com", "d:Description": "Switzerland based station playing mostly Latin and Ambient.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Lounge", "url": "http://www.lounge-radio.com/"} +{"d:Title": "Smooth Jazz", "d:Description": "The web hub for smooth jazz. With artist and festival information, plus an online music stream.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/New_Age", "url": "http://www.smoothjazz.com/"} +{"d:Title": "The InnerSites Gateway", "d:Description": "Streaming new age and other relaxing music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/New_Age", "url": "http://www.innersites.com/"} +{"d:Title": "Quietmusic.com", "d:Description": "Relaxing smooth jazz, new age and ambient music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/New_Age", "url": "http://www.quietmusic.com/"} +{"d:Title": "Astreaux World", "d:Description": "New age, ambient, electronic, and space music station.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/New_Age", "url": "http://www.astreauxworld.com/"} +{"d:Title": "Soft Jazz", "d:Description": "High and low-bandwidth smooth jazz station.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/New_Age", "url": "http://www.jkwebdesign.net/softjazz/"} +{"d:Title": "The Heart of Music", "d:Description": "Internet radio station playing new age and other contemporary instrumental music, 24 hours a day.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/New_Age", "url": "http://www.heart-of-music.com/"} +{"d:Title": "WOLF-FM on the Net", "d:Description": "Low- or high-bandwidth streaming top 40 music from the 70s, 80s and today.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Pop", "url": "http://www.wolffm.com/"} +{"d:Title": "Z104 FM", "d:Description": "Radio station broadcasting hit pop music from Madison, Wisconsin.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Pop", "url": "http://www.z104fm.com/main.html"} +{"d:Title": "Slothradio", "d:Description": "Playing over five decades of top hits and forgotten favorites.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Pop", "url": "http://www.slothradio.com/"} +{"d:Title": "WRAJ Internet Radio", "d:Description": "Long Island NY's First 24/7 Internet Radio Station. They have daily live shows, contests, prizes, and today's hit music. (Live 365)", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Pop", "url": "http://www.wrajradio.com/"} +{"d:Title": "Radio East Coast", "d:Description": "Radio stream from the Netherlands by DJ Bernd. Playing mostly top 40 and trance music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Pop", "url": "http://radioeastcoast.stormpages.com/index2.htm"} +{"d:Title": "Aural Moon", "d:Description": "An online radio stream featuring progressive and space rock.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.auralmoon.com/"} +{"d:Title": "Rock 'n' Roll Zone Radio", "d:Description": "Playing the great rock and roll of over four decades, 24/7. Site also includes news, history and links sections.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://rocknrollzone.com/"} +{"d:Title": "ElectricBlues Radio", "d:Description": "24/7 Internet Radio featuring Electric Blues Guitar. HiBand and LoBand streams, plus an Indie only channel featuring blues by Independent artists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.electricblues.com/"} +{"d:Title": "KLPX", "d:Description": "Rock and roll radio station from Tucson, Arizona broadcasting live in MP3. See website for show schedule, information about concerts in the area, and a photo gallery.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.klpx.com/"} +{"d:Title": "89.9 FM KGRG Auburn", "d:Description": "Station based in Auburn, WA playing today's rock music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.kgrg.com/"} +{"d:Title": "KROK 92.1", "d:Description": "Radio station in West Central Louisiana playing classic and current rock music and offering a live online stream.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.krok.com/"} +{"d:Title": "Radio Paradise", "d:Description": "Playing eclectic intelligent rock music including classics and current music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.radioparadise.com/"} +{"d:Title": "Rude Dawg Radio", "d:Description": "Live 24/7 streaming classic rock music from the 1960s to the 1990s.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://the1960s.8m.com/"} +{"d:Title": "SoundnMotion", "d:Description": "24/7 streaming classic rock music stream featuring \"Crossroads\" by Stoney Burke - 60s, 70s, 80s nostalgia.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.soundnmotion.com/"} +{"d:Title": "Asshole Hour Live", "d:Description": "Featuring live broadcasts twice a week, plus a 24/7 stream of alternative, punk, metal and crossover music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.angelfire.com/myband/scrotero/"} +{"d:Title": "Dark Side of the Radio", "d:Description": "Classic and current rock radio stream playing artists such as Pink Floyd, Led Zeppelin, Days of the New, Pearl Jam, and Tom Petty.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.dsotr.8m.com/"} +{"d:Title": "Webmesh Radio", "d:Description": "Stream playing punk rock, skate punk, rock, punk, ska, nu-metal and alternative music 24 hours a day.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.webmesh.co.uk/gwf/radio/home.shtml"} +{"d:Title": "Cryptic Radio", "d:Description": "Online radio station playing everything from classic rock to heavy metal. Accepts online requests.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://cryptic_radio.tripod.com/"} +{"d:Title": "Hard Rockin' 80's", "d:Description": "Playing hard rock and heavy metal from the 80's as well as songs that have an 80's feel. Online requests and voting for the artist of the month.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.hardrockin80s.com/"} +{"d:Title": "DainBramage Internet Radio", "d:Description": "Station broadcasts rock and pop from the 1960s through today. It features an extensive playlist with automated requests system and Live DJ chat.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.dainbramage.com/"} +{"d:Title": "Gamers Radio Network", "d:Description": "Online stream playing mostly metal and hard rock, also with rap, alternative and techno music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.gamersradio.com/"} +{"d:Title": "100 XR", "d:Description": "Playing all varieties of rock music in mp3, RealPlayer and WndowsMedia formats, including new, alternative, pop, classic, punk and metal. Frequent playlist updates.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://www.100xr.com/"} +{"d:Title": "VampireFreaks", "d:Description": "Featuring a collection of online industrial, gothic, jrock and jpop, metal and EBM streams. Also includes a large gothic member-based community, with journals, photos, messageboard and chatroom.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://vampirefreaks.com/"} +{"d:Title": "Galaxy Radio Network", "d:Description": "Station playing hits from the 80s, 90s and today. Also with links to other featured online stations.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock", "url": "http://galaxyradio.tripod.com/"} +{"d:Title": "Shredding Radio", "d:Description": "The audio component of Shredding Paper fanzine, playing high-bandwidth indiepop, and underground rock.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://www.shreddingradio.com/"} +{"d:Title": "d23Radio", "d:Description": "Playing power pop, skinny-tie, and melodic alternative music including XTC, the Posies, Fountains of Wayne, Jason Falkner, and others, 24/7.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://d23radio.com/"} +{"d:Title": "Wakeboarder.com Radio", "d:Description": "Playing high-powered music from wakeboard videos in streaming MP3; site also features wakeboard news, tricks and tips, product reviews, features and other related content.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://www.wakeboarder.com/other/music.phtml"} +{"d:Title": "DarkWave Net-Radio", "d:Description": "Stream broadcast live from Seattle, playing rock and alternative, with some industrial and gothic tunes as well.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://www.angelfire.com/wa2/dwnr/"} +{"d:Title": "The Phantom", "d:Description": "Playing new and popular rock music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://xfxwbroadcasting.tripod.com/"} +{"d:Title": "Radio Wazee", "d:Description": "Modern and alternative rock stream that has been broadcasting online since 1998. With oft-updated playlist and music news.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://www.wazee.org/"} +{"d:Title": "Atlanta Blue Sky", "d:Description": "Rock and alternative streaming audio. Live real-time requests.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://www.atlantabluesky.com/"} +{"d:Title": "The Beat! on NetRadioLink.com", "d:Description": "Playing music from alternative and grunge rock icons, \"before the alternative rock revolution\" pioneers and quality alternative/modern rock artists of today.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://lexiecannes.com/netradiolink-com-internet-radio-stations/"} +{"d:Title": "Radio InfoWeb", "d:Description": "Streaming FreeForm Radio with an eccentric mix of psychedelic, electronic, classic rock, alternative rock, space, jazz and blues.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://radioinfoweb.net/"} +{"d:Title": "Wub-Fur Internet Radio", "d:Description": "An indie/alternative rock stream also with some pop, folk, punk and other genres.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Alternative", "url": "http://radio23.wub-fur.us/"} +{"d:Title": "This is Corrosion", "d:Description": "A streaming MP3 music station broadcasting techno, industrial and Gothic music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Gothic", "url": "http://www.thisiscorrosion.com/"} +{"d:Title": "The Electric Skull Kitchen", "d:Description": "Official online radio stattion for the Gothic Toybox, featuring goth, industrial, synthpop and some alternative music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Gothic", "url": "http://www.angelfire.com/goth/asphyxia/skullkitchen.html"} +{"d:Title": "Amped Out", "d:Description": "Broadcasting industrial, synthpop, trip-hop, and ambient music at 128 and 24 Kbps.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Industrial", "url": "http://www.stoicnoise.net/"} +{"d:Title": "SnakeNet Heavy Metal Radio", "d:Description": "Hardcore heavy metal radio in streaming MP3 and RealAudio.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Metal", "url": "http://www.snakenetmetalradio.com/"} +{"d:Title": "New Metal", "d:Description": "Online broadcast of metal music from the previous and current year.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Metal", "url": "http://www.uml.edu/misc/WJUL/9thcircle/main.htm"} +{"d:Title": "Slam! Radio", "d:Description": "Non-stop heavy metal action 24 hours a day, including thrash, hardcore, speed, power, and death metal. Warning: stream loads automatically on homepage.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Metal", "url": "http://www.thedemonslair.com/slamradio.htm"} +{"d:Title": "MikesMess.com", "d:Description": "Broadcast of rare and hard-to-find oldies from a couple of long-time record collectors in California.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Oldies", "url": "http://www.mikesmess.com/"} +{"d:Title": "Recordland Rockabilly Broadcast", "d:Description": "Featuring rare rockabilly music in streaming MP3; site also offers rare records for sale including rockabilly, doowop, punk, reggae, metal, big band, jazz, new wave and disco.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Oldies", "url": "http://recordland.8m.com/"} +{"d:Title": "60s Web Radio", "d:Description": "High-bandwidth online stations playing hits from the Sixties on \"60s Chart Toppers\" or the best of 1964-74 on \"Dusty 45s\".", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Oldies", "url": "http://www3.telus.net/60smusic/"} +{"d:Title": "All Oldies Radio 9", "d:Description": "Live365.com station playing music from the 60's and 70's.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Oldies", "url": "http://www.angelfire.com/rock3/radio9/"} +{"d:Title": "Destination Doo-Wop", "d:Description": "Featuring doo-wop music from the late 50s and early 60s, with an online stream, schedule, and presenter profiles.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Rock/Oldies", "url": "http://destinationdoowop.yolasite.com/"} +{"d:Title": "Kohina", "d:Description": "Old school computer/console/arcade game and demo music classics.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Soundtracks", "url": "http://www.kohina.com/"} +{"d:Title": "Keiichi.net Radio", "d:Description": "A live, fan based anime, j-pop, and j-rock broadcast that allows requests from a large playlist of songs.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Soundtracks", "url": "http://radio.keiichi.net/"} +{"d:Title": "StreamingSoundtracks", "d:Description": "Streaming Shoutcast MP3 radio playing music from soundtracks.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Soundtracks", "url": "http://www.streamingsoundtracks.com/"} +{"d:Title": "Genesis Communication Network", "d:Description": "Hard-hitting talk radio programming to fill the media void. Features a schedule of programs.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Talk", "url": "http://www.gcnlive.com/"} +{"d:Title": "PoCreations", "d:Description": "Site promoting a group of comedians, featuring a Shoutcast audio stream of various comedy routines by well-known and up-and-coming performers.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Talk", "url": "http://www.pocreations.com/"} +{"d:Title": "Under Sedation Live", "d:Description": "Talk, commentary, and humor station broadcasting live from Killeen, Texas.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Talk", "url": "http://www.undersedationlive.org/"} +{"d:Title": "PopMonkey.com", "d:Description": "Personal site featuring photography, personal tidbits and music, including a streaming broadcast of funk, rhythm and blues, Motown and soul selections.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://www.popmonkey.com/jules/"} +{"d:Title": "NYKY Radio Productions", "d:Description": "Internet radio station playing hip-hop, rap, and reggae music through mycaster.com.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://nyky_productions.tripod.com/nyky/"} +{"d:Title": "Branestorm", "d:Description": "Featuring streaming drum and bass and underground hip hop channels.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://www.branestorm.com/"} +{"d:Title": "Blake Radio", "d:Description": "Internet radio station featuring rhythm and blues, jazz, soul and reggae slow jams, 24/7.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://www.blakeradio.com/"} +{"d:Title": "Global Funk Radio", "d:Description": "An online station streaming acid jazz, funk and soul music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://www.globalfunkradio.com/"} +{"d:Title": "OldSchoolLive.com", "d:Description": "Old school Hip Hop streaming radio, with playlist, artists, and website skins. [Live 365]", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://www.oldschoollive.com/"} +{"d:Title": "Beatbasement Radio", "d:Description": "Streaming underground hip hop beats 24/7 and weekly live turntablist DJ sets.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://www.beatbasement.com/"} +{"d:Title": "Urban Music 2000 Radio", "d:Description": "Multiple stations offering genres from Gospel to Rhythm and Blues/Hip Hop.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Urban", "url": "http://urbanmusic2000.com/radio.html"} +{"d:Title": "Funkyville.com Radio", "d:Description": "Collection of online streaming radio broadcasts with varying styles such as 80s, lounge, alternative and top 50 hit music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://www.funkyville.com/radio.htm"} +{"d:Title": "Idobi.com", "d:Description": "Pop and alternative/rock radio streams, plus music news, and artist features.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://www.idobi.com/"} +{"d:Title": "Flat Black Rock Radio", "d:Description": "Featuring two radio streams playing a variety of mellow and chill tunes and adult alternative music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://www.robertjpetersen.com/flatblackrock/"} +{"d:Title": "Network99", "d:Description": "Featuring alternative, pop, hip-hop, classic rock, techno, rave, and reggae music through several music channels.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://djeze00.tripod.com/"} +{"d:Title": "ACB Radio", "d:Description": "Online radio stations put together by and for the blind community and anyone else who wants to listen in. Features mainstream music stream, a stream showcasing music by blind artists, and a stream playing old radio and comedy shows.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://www.acbradio.org/"} +{"d:Title": "Swiss Internet Radio Service", "d:Description": "Offering several classical, jazz, bebop, and techno music streams. Site available in German and English.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://www.swissradio.ch/"} +{"d:Title": "Radioio", "d:Description": "Internet-only radio from St. Augustine, Florida. Multiple genres. Free and members-only streams available in multiple formats and in multiple bandwidths, including MP3.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://radioio.com/"} +{"d:Title": "977Music: Internet Radio", "d:Description": "Offers multiple channels of free internet radio.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Multiple_Stream", "url": "http://www.977music.com/"} +{"d:Title": "Free B92", "d:Description": "Focusing on providing the international public with information on the status of independent media in Yugoslavia, including a streaming radio broadcast, Radio B2-92.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.b92.net/"} +{"d:Title": "Radio Tiki", "d:Description": "Broadcasting live talk radio for 2 hours on Fridays (see site for times) and streaming dreampop, noisepop and shoegazer music with select show segments at other times.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.radiotiki.com/"} +{"d:Title": "Jester Radio", "d:Description": "Internet Radio streaming 24/7 with classic rock, folk and comedy music. Featuring a live DJ playing requests and making guest interviews for two hours daily.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.jesterradio.com/"} +{"d:Title": "StartUpMusic.com", "d:Description": "An independent music source, offering music downloads, record label, band and artist information, and a streaming audio station which spans many genres.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://startupmusic.com/"} +{"d:Title": "Powerhouse Radio", "d:Description": "Internet radio station featuring rhythm and blues artists and rhythmic rock music legends.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.powerhouseradio.com/"} +{"d:Title": "1065 Dance FM", "d:Description": "Online radio stream featuring real night club DJs spinning music from house to rhythm and blues from the 60s to the 90s.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.1065dancefm.supanet.com/"} +{"d:Title": "First Amendment Radio", "d:Description": "Internet radio broadcast playing modern, punk, classic and current rock, vocal pop, contemporary, hip-hop, ambient, jazz, and other music styles.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.angelfire.com/indie/a1radio/"} +{"d:Title": "Erika.net Freeform Internet Radio", "d:Description": "An Internet-only broadcast playing many genres of music 24/7. Featuring current and recent playlists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://erika.net/"} +{"d:Title": "NeverEndingWonder Radio", "d:Description": "An online radio stream playing rare, obscure, funny, international, forgotten, and unusual music and comedy, 24/7. Updated weekly and hosted by the ever pontificating Uncle Ozma.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.neverendingwonder.com/radio.htm"} +{"d:Title": "The Spotlight", "d:Description": "Playing rock, alternative, metal and rap music from unsigned artists. Accepting submissions.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.angelfire.com/myband2/thespotlight/"} +{"d:Title": "\"A\" Net Station", "d:Description": "A non-commercial Internet radio station based in Antarctica playing a variety of music from independent artists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.anetstation.com/"} +{"d:Title": "K-Cool Internet Radio", "d:Description": "Broadcasting Sunday 10 PM to 7AM. Playing Rhythm and Blues, Slow Jam, and Old School Rap. Internet DJ is The Onknight.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://k_cool1.tripod.com/index.htm"} +{"d:Title": "WMSL-UK", "d:Description": "Internet radio station stationed in the United Kingdom playing songs from the 80s 90s and today 24/7.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.wmsluk.com/"} +{"d:Title": "Online Folk Festival", "d:Description": "Live365.com based station featuring a freeform mix of folk, Celtic, bluegrass, worldbeat, and Afropop.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.onlinefolkfestival.com/"} +{"d:Title": "BGL Radio", "d:Description": "Internet Radio playing rock, hiphop and oldies music on a single stream for both high band and low band broadcasts.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.bglradio.net/"} +{"d:Title": "Split Infinity Radio", "d:Description": "An Internet Radio made by gamers, for gamers, playing a wide variety of music from Rock to Electronica. Streaming with music 24/7 and also featuring gaming news and competitions.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.siradio.fm/"} +{"d:Title": "Real Black Radio", "d:Description": "Internet Radio playing Blues, Gospel, Jazz, Rhythm and Blues, and other genres of music all day.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.realblackradio.com/"} +{"d:Title": "Radio Free David", "d:Description": "Internet radio station playing everything from classic music to today's hits. Provides the ability to tune in from a cell phone, in addition to the Internet.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.radiofreedavid.com/"} +{"d:Title": "Radio Skipper", "d:Description": "Broadcasting oldies, 80s, 90s, and pop hits over both a 128kb/s and 40kb/s stream live 24/7.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://radioskipper.com/"} +{"d:Title": "Mountain Chill", "d:Description": "Freeform radio broadcasting from Ouray, Colorado. DJ hosted programs. Schedule and recent playlists.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.mountainchill.com/"} +{"d:Title": "95bFM", "d:Description": "Internet radio station offering alternative music on both a modem and broadband stream. Based in Auckland, New Zealand.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.95bfm.co.nz/"} +{"d:Title": "KC Cafe Radio", "d:Description": "Provides an eclectic mixture of original and new musical hits on both a high band feed and a dial up feed.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://kccaferadio.com/"} +{"d:Title": "10Radio", "d:Description": "Internet radio station broadcasting music, news, and opinions of listeners from Somerset in the United Kingdom. Broadcasting live on weekends.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.10radio.org/"} +{"d:Title": "Art on Air", "d:Description": "Based from the Clocktower in New York City, an Internet radio station playing a variety of music alongside talkshows and historical recordings regarding art.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.artonair.org/"} +{"d:Title": "radiowayne", "d:Description": "Eclectic audio stream of folk, singer/songwriter, pop, swing, Cajun, country and rock music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.radiowayne.com/"} +{"d:Title": "Radio Warriorsandhealers", "d:Description": "Internet radio station featuring both a variety of music and information and interviews with martial arts, health, and fitness experts.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.radiowh.com/"} +{"d:Title": "Lucky Dog Radio", "d:Description": "An online freeform station that explores the connections that exist among songs from a wide range of musicians and genres, including rock, pop, r&b, country, jazz, reggae and blues.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.luckydogradio.com/"} +{"d:Title": "Froglix Radio", "d:Description": "Features songs from independent artists worldwide to provide an alternative to mainstream music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.froglix.com/"} +{"d:Title": "The Morning Coffee Mix", "d:Description": "Based in Houston, Texas, this station plays a mixture of jazz, rock, and Top 40 hits. Also features a radio show from 10:00 a.m. until Noon, Central Time, on weekdays.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.themorningcoffeemix.com/"} +{"d:Title": "iJoy Radio", "d:Description": "Plays a variety of music from the 1960's until today. Site features an on-air schedule, information regarding DJ's, and a page to request songs.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.ijoyradio.com/"} +{"d:Title": "TalentCast", "d:Description": "Plays music from independent, unsigned artists who submit their own music to be played on the station. Features both a 128 Kbps and 256 Kbps radio feed.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.talentcast.nl/"} +{"d:Title": "Olympic Radio On-Line", "d:Description": "Webcast and narrowcast radio show playing classic hits, dance, jazz, ambient, pop, rhythm and blues, rock, and indie music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.olympicradio.co.uk/"} +{"d:Title": "Trek Radio", "d:Description": "An internet radio station dedicated to Star Trek and the Sci-Fi community featuring live DJs, music, news, podcasts, audio fictions, event coverage, and celebrity interviews.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://www.trekradio.net/"} +{"d:Title": "KZED", "d:Description": "Playlist includes alternative rock. Based in Oregon, United States.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/Variety/Single_Stream", "url": "http://kzed.rad.io/"} +{"d:Title": "Radio Louisiane", "d:Description": "Cajun, Creole and French music from Louisiana artists streamed live on the Web in MP3 and RealAudio formats. Site in French and English.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/World", "url": "http://www.radiolouisiane.com/"} +{"d:Title": "SalsaTap.com", "d:Description": "Salsa and dance links, event listings, news, and a streaming broadcast of salsa music.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/World", "url": "http://www.salsatap.com/"} +{"d:Title": "Anjali International", "d:Description": "Featuring a low and high bandwidth Tamil radio stream. Also with related news, chat, and links.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/World", "url": "http://anjali01.tripod.com/"} +{"d:Title": "World Fusion Music Radio", "d:Description": "The Internet station for the world fusion music movement, featuring a world fusion stream and an Indo-Celtic stream.", "topic": "Top/Arts/Music/Sound_Files/MP3/Streaming/Stations/World", "url": "http://www.ancient-future.com/radio/"} +{"d:Title": "Ehop", "d:Description": "Site containing the latest hip hop playlist, downloads of MP3 files, news, lifestyle, and graffiti art.", "topic": "Top/Arts/Music/Sound_Files/MP3/Styles", "url": "http://www.ehop.moonfruit.com/"} +{"d:Title": "Dubroom", "d:Description": "Dub reggae MP3 site with full album or track downloads.", "topic": "Top/Arts/Music/Sound_Files/MP3/Styles", "url": "http://mp3.dubroom.org/"} +{"d:Title": "Detroit Techno MP3s", "d:Description": "Free Detroit techno MP3s, club listings, links, future funk.", "topic": "Top/Arts/Music/Sound_Files/MP3/Styles", "url": "http://detroittechnomp3s.tripod.com/"} +{"d:Title": "Studio M LIve Music Webcasts", "d:Description": "Webcasts live pre-recorded performances in realplayer format.", "topic": "Top/Arts/Music/Sound_Files/RealAudio", "url": "http://www.studiomlive.com/"} +{"d:Title": "TMOD Real Audio Music", "d:Description": "Variety.", "topic": "Top/Arts/Music/Sound_Files/RealAudio", "url": "http://www.angelfire.com/sd/TMOD2/"} +{"d:Title": "Super Key Word Jukebox: Web Cast Streams", "d:Description": "Plays hits in streaming windows media and RealAudio. Alternative rock, jukebox, audio and video encoding services, streaming media, and windows media.", "topic": "Top/Arts/Music/Sound_Files/RealAudio", "url": "http://www.superkeyword.net/jukebox.htm"} +{"d:Title": "Internet Muzik Zone", "d:Description": "Offers albums, and some of the latest songs in RealAudio format.", "topic": "Top/Arts/Music/Sound_Files/RealAudio", "url": "http://www.angelfire.com/az2/IMZ/index.html"} +{"d:Title": "German Music - Texas Style", "d:Description": "Pre-recorded webcasts from New Braunfels, Texas.", "topic": "Top/Arts/Music/Sound_Files/RealAudio", "url": "http://www.nbgermanmusic.com/"} +{"d:Title": "Last Summer Productions LLC", "d:Description": "Audio clips in Real Audio and MP3 format of audio dramas and music.", "topic": "Top/Arts/Music/Sound_Files/RealAudio", "url": "http://www.lastsummer.com/"} +{"d:Title": "Stronghold", "d:Description": "Stronghold is a Christian hardcore band that sounds something like Project 86 and Blindside", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Independent_Artist", "url": "http://www.angelfire.com/band/stronghold/"} +{"d:Title": "PraiseNation.com", "d:Description": "Presents new praise music, parenting, daily devotion and web hosting.", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Independent_Artist", "url": "http://www.praisenation.com/"} +{"d:Title": "The Rumdogs Room", "d:Description": "Listen as you surf to hip hop, rap, reggae, and dance mixes from the Party Hard Parties and Road Trips. Also for sale on tapes and CDs.", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rap_and_Hip-Hop", "url": "http://www.rumdogs.com/listen.html"} +{"d:Title": "The Lyricists Bomb Spot", "d:Description": "Mixes, profiles, and message boards.", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rap_and_Hip-Hop", "url": "http://www.angelfire.com/ma/thebombspot/index.html"} +{"d:Title": "Steve's Kewl Doo Wop Shops Jukebox", "d:Description": "Members of the Internet's most active DooWop club post their favorites. Fifty six tunes can be found here with new ones submitted every two weeks.", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rock_and_Oldies", "url": "http://stevesdoowopjukebox.htmlplanet.com/"} +{"d:Title": "70s Rock In Streaming CD Stereo Sounds", "d:Description": "Listen to streaming 70s rock in stereo CD sound.", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rock_and_Oldies", "url": "http://www.superkeyword.net/onlinevids/70s.htm"} +{"d:Title": "Daily Jukebox Showcase", "d:Description": "Full length Real Audio music from the 1940's through 1960's in mixed genres. Updated daily. Requests welcome!", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rock_and_Oldies", "url": "http://www.angelfire.com/music3/dailyjukebox/"} +{"d:Title": "The Doo Wop Jukebox", "d:Description": "Listen to the Doo Wop classics of the 50's.", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rock_and_Oldies", "url": "http://www.thedoowopjukebox.com/"} +{"d:Title": "The Big Beat", "d:Description": "Personal favorites of non-hits.", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rock_and_Oldies/Download_Only", "url": "http://thebigbeat.50megs.com/"} +{"d:Title": "Passions of Patchy", "d:Description": "Experience Streaming Oldies, Blues and Gospel from the \"Passionate One\".", "priority": "1", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rock_and_Oldies/Stream_Only", "url": "http://www.dapatchy.com/"} +{"d:Title": "Motorhead", "d:Description": "Listen to the worst band on the internet", "topic": "Top/Arts/Music/Sound_Files/RealAudio/Rock_and_Oldies/Stream_Only", "url": "http://www.angelfire.com/wi2/tbw11/"} +{"d:Title": "Beats and Samples", "d:Description": "Samples and loops of house music, techno and trance. In French and English.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.beatsandsamples.com/"} +{"d:Title": "Flashkit", "d:Description": "Resources for Flash animators. Also an archive of music loops and sound effects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.flashkit.com/"} +{"d:Title": "Spectrasonics", "d:Description": "Company that develops sample libraries and virtual instruments. Product information, downloadable demos, and creative tips.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.spectrasonics.net/"} +{"d:Title": "Daily WAV", "d:Description": "Archive of movie and T.V. vocal samples in WAV format.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.dailywav.com/"} +{"d:Title": "Peff", "d:Description": "Drum and analog synthesizer samples and REX files.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.peff.com/"} +{"d:Title": "Supervinyl", "d:Description": "Break beats, samples, and mixes available for download.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.slickmedia.com/super/index2.html"} +{"d:Title": "SoundProz.com", "d:Description": "Bass, lead, break beat, vocals, and sound effect WAV format samples, free to download. Sample CDs for sale.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.soundproz.com/"} +{"d:Title": "Future Wave Shaper", "d:Description": "A collection of royalty free ambient sounds, loops, and electronic sound effects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.futurewaveshaper.com/"} +{"d:Title": "Groundloops.com", "d:Description": "Sounds and sample CDs for Sonic Foundry Acid software, free samples, and MP3 demos.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.groundloops.com/"} +{"d:Title": "Ghostmbg3.com", "d:Description": "Free samples in MP3 and WAV format, and links to resources for Acid, Music Maker, and Akai S-series samplers.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://mbg3.tripod.com/"} +{"d:Title": "Remix Online", "d:Description": "A portal for professional and amateur remixers and producers. Samples, software and technical news, forum, downloads.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.remixonline.com/"} +{"d:Title": "Platinumloops.com", "d:Description": "Loops and samples available for free download. Ethnic, trance, rock, electronica, funk etc. CDs and DVDs available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.platinumloops.com/"} +{"d:Title": "Proloops", "d:Description": "Royalty free loops and samples, including bass, drum, synth and guitar loops, membership to download.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.proloops.com/"} +{"d:Title": "Looperman", "d:Description": "Free audio loops, effects, and samples, downloadable in WAV format. Also has audio forums, audio tutorials and an audio links engine.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.looperman.com/"} +{"d:Title": "Electronisounds", "d:Description": "Electronic loops and sample CD-ROM's and floppy discs in WAV, SF2, audio, Orion, and Akai formats.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.electronisounds.com/"} +{"d:Title": "MusicLeads.net", "d:Description": "Loop CDs for Acid, Acid Pro, Sonar, Cubase, Protools and many other programs. Libraries in WAV, SoundFont and audio format.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.musicleads.net/"} +{"d:Title": "eFiddler", "d:Description": "Free violin and fiddle loops in MP3 format. Custom tracks, string sections and solos available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://efiddler.com/"} +{"d:Title": "Zero-G", "d:Description": "Developer of professional audio sample libraries and sample CDs for musicians, producers and web developers. Online demos/samples and info resource.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.zero-g.co.uk/"} +{"d:Title": "Big Fish Audio", "d:Description": "Samples, loops, and sound effects available to buy on CD in most formats.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.bigfishaudio.com/"} +{"d:Title": "Loopasonic", "d:Description": "Drum, bass, guitar, and synth loops and samples. Includes musicians directory.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.loopasonic.com/"} +{"d:Title": "Red Reactor Sound Studio", "d:Description": "Sample beats, loops, hits, and sound fx available for purchase via download, with audio previews.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.redreactor.com/"} +{"d:Title": "Sampled", "d:Description": "Looped beats, synthesiser samples, and bass loops.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://sampledloops.tripod.com/"} +{"d:Title": "Peace Love Productions", "d:Description": "Loop and sample CDs including Hip Hop, Techno, House, Jungle, Breaks, and Downtempo.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.peaceloveproductions.com/"} +{"d:Title": "GuitarWavs", "d:Description": "GuitarWavs creates original acid loops featuring guitars and basses, with a free sample page for each release.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.guitarwavs.com/"} +{"d:Title": "AudioToolsOnline", "d:Description": "Offers music loops and sample CDs for use with any Acid program or any sound editor that reads WAV files.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.audiotoolsonline.com/"} +{"d:Title": "Hakachukai's Audio Samples", "d:Description": "Guitar samples in WAV format, available for free download.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.members.tripod.com/hakachukai/samples.html"} +{"d:Title": "Advanced Media Group", "d:Description": "Sample CD producer, online catalog and ordering, downloads.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.amguk.co.uk/"} +{"d:Title": "Smart Loops", "d:Description": "Guitar, bass, drum, and percussion loops that work with Cakewalk, Acid or any other DAW capable of importing WAV files.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.smartloops.com/"} +{"d:Title": "Sample Gate", "d:Description": "Sample site providing sounds, samples, loops, royalty free music, and effects in MP3 format.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.samplegate.com/"} +{"d:Title": "HQ Samples", "d:Description": "Catalogue of links to free high quality samples. 44100Hz/16bit WAV, MP3, SF2.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.hqsamples.com.ua/"} +{"d:Title": "Ueberschall Sample Service", "d:Description": "German company developing libraries for main music styles and for professional use. Catalog, news, purchasing information.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.ueberschall.com/"} +{"d:Title": "Beta Monkey Music", "d:Description": "Acoustic drum loops and samples for rock, and funk. license-free drum loops for ACID, Sonor, Cubase, or ProTools.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.betamonkeymusic.com/"} +{"d:Title": "Drums on Demand", "d:Description": "Real drum track construction CD of royalty-free, stereo loops recorded at 24 bit resolution. Includes a forum, a preview section, and reviews.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.drumsondemand.com/"} +{"d:Title": "Analog Industries", "d:Description": "Drum and synthesizer loops in WAV format, free to download, accepts Paypal donations.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.analogindustries.com/"} +{"d:Title": "Inner Rhythm Studios", "d:Description": "Bass, electric and acoustic guitar loops, key pads, and didgeridoo in .WAV and Sony Acid and Garageband format.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.innerrhythmstudios.com/"} +{"d:Title": "Sonic Bliss", "d:Description": "Download free samples, also has applications, Soundfonts, tips and help.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.puresonicbliss.com/"} +{"d:Title": "Looper's Delight", "d:Description": "Resource for musicians creating with audio loops, especially focused on real-time looping. Contains mailing list, product reviews, tips and tricks, and profiles.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.loopers-delight.com/"} +{"d:Title": "Precision Sound", "d:Description": "Sound effect libraries in Halion, Kontakt, NN-XT, Gigasampler formats. Available as downloads and on audio CD-ROM.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.store.precisionsound.net/"} +{"d:Title": "Samples4u Network", "d:Description": "Free samples and loops in categories, as well as music shareware downloads and an upload area.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://home.deds.nl/~s4u_site/"} +{"d:Title": "Soundsnap", "d:Description": "Free online sound library that allows people to share and download samples in the most common audio formats.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "http://www.soundsnap.com/"} +{"d:Title": "JimDooley.net: Drum Loops&Beats", "d:Description": "Download free drum loops and free drum samples.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops", "url": "https://jimdooley.net/Drum-Loops-and-Beats"} +{"d:Title": "WavCentral", "d:Description": "Movies, television, FX, and commercials.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/General_Collections", "url": "http://www.wavcentral.com/"} +{"d:Title": "Matt's Music Page", "d:Description": "Sounds from different movies, tv shows, and songs.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/General_Collections", "url": "http://www.mattsmusicpage.com/own.htm"} +{"d:Title": "PowerFX", "d:Description": "Samples, loops and sound effects in an online library and CD shop. Production music, media tracks, button sounds, includes WAV, acid, REX, AIFF, ESX24, and Halion formats.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/General_Collections", "url": "http://www.powerfx.com/"} +{"d:Title": "Holographic Sound Page", "d:Description": "Binaural sound clips and a brief explanation of techniques.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/General_Collections", "url": "http://www.noogenesis.com/binaural/binaural.html"} +{"d:Title": "Makko's Sound Exchange", "d:Description": "An audio collection of movie, music, comedy, and wrestling in WAV and MP3 files.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/General_Collections", "url": "http://makko719.tripod.com/"} +{"d:Title": "TrendAudio.com", "d:Description": "Spot music, background music, effects and loops for TV, radio and multimedia productions. Subscription based downloads.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.trendaudio.com/"} +{"d:Title": "Orchestral Sound", "d:Description": "Orchestral music for multimedia and video. Licensing available online.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.orchestralsound.com/"} +{"d:Title": "Tonal Vision", "d:Description": "Music production house and audio post production studio. Catalog and samples.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.tonalvision.com/"} +{"d:Title": "Killer Tracks", "d:Description": "Killer Tracks Production Music libraries are designed to offer producers maximum versatility and convenience.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.killertracks.com/"} +{"d:Title": "Amusicom Records", "d:Description": "From composers, musicians, and producers working in Hollywood today comes an innovation in sound for film, television, commercials, promos, and multimedia.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.amusicom.com/"} +{"d:Title": "OrlaMusic", "d:Description": "Production music for video, television, film and multimedia. Free usable music samples.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://orlamusic.com/"} +{"d:Title": "Associated Production Music", "d:Description": "Offers a collection of music for production with over 375,000 tracks featuring all musical genres.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.apmmusic.com/"} +{"d:Title": "Sonoton", "d:Description": "Experience and leadership combined with a young and enthusiastic team of professionals guarantee a music library of the highest quality, diversity, range, authenticity and personal service.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.sonoton.com/"} +{"d:Title": "LicenseMusic.com", "d:Description": "Pre-cleared music library features music licensing online, advanced search, preview, and downloading of tracks for film, video, television, and multimedia production.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.licensemusic.com/"} +{"d:Title": "Manhattan Production Music", "d:Description": "Selection of high quality music library choices to enhance radio, television, film, commercials, promos, and multimedia projects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.mpmmusic.com/"} +{"d:Title": "AirCraft", "d:Description": "Our production music library offers a complete source of licensed and buy-out stock music for broadcast, corporate and independent media projects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.aircraftmusiclibrary.com/"} +{"d:Title": "Immediate Music", "d:Description": "Production music for major motion picture and television advertising campaigns. Offers cinematic cues to fulfill deadline and production demands within the film marketing community.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.immediatemusic.com/"} +{"d:Title": "Arcadia Interactive", "d:Description": "Production music playback and mp3 download of 14,000 music tracks to bona fide media industry professionals from a PIN-only website using Arcadia's revolutionary Music-Finder system.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.arcadiamusic.com/"} +{"d:Title": "Megatrax", "d:Description": "Production music and sound design tracks. Features a complete source of music for use in television, radio, film, multimedia and industrial applications. Online demos available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.megatrax.com/"} +{"d:Title": "FirstCom Music", "d:Description": "Provides production music tracks and\u00a0CDs via DVD, CD, hard-drive and the web.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.firstcom.com/"} +{"d:Title": "Reliable Source Music", "d:Description": "Broadcast quality production music library for professionals in tv, video, radio and film soundtracks. Also bespoke music production services.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.reliable-source.co.uk/"} +{"d:Title": "Promusic", "d:Description": "Production music library is more than 2000 discs strong. All types of popular and classical genres of music, as well as a variety of specialty, historical, and international styles. Appropriate for any professional film, television, radio, corporate and multimedia productions.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.promusic-inc.com/"} +{"d:Title": "Gene Michael Productions", "d:Description": "Production music library offering a wide variety of music. Music for film, television, advertising, radio, and corporate video productions. Custom music and voiceover services available. Audio samples.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.gmpmusic.com/"} +{"d:Title": "ASA Productions, Inc.", "d:Description": "Award-winning commercial music and sound design production capabilities. Online demo reel.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.asaproductions.com/"} +{"d:Title": "VideoHelper, Inc.", "d:Description": "Production music library cues specifically designed for promos and trailers.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.videohelper.com/"} +{"d:Title": "Primary Elements", "d:Description": "Offers royalty free indie production music licensing via download and CDas well as music composition, production, mixing, editing and mastering, web audio marketing and web site design.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.primaryelements.com/"} +{"d:Title": "Smashtrax Music", "d:Description": "Library of only the highest quality, pro-recorded and performed music. Live orchestras, real artists/bands. Styles range from cutting edge punk, rock, orchestral, lounge, aggressive, chemical, alternative, time periods, weird, pop, jazz, swing, world, latin, fun, and romance.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.smashtrax.com/"} +{"d:Title": "Musicleads", "d:Description": "A professional library of royalty free buy-out production music for video and multi media projects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.buyoutmusictracks.com/"} +{"d:Title": "Net Music Library", "d:Description": "Search, preview, and download royalty free production. Offers flash animations, TV/radio, and multimedia productions.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.netmusiclibrary.com/"} +{"d:Title": "Greenet", "d:Description": "Provides music, filmclips, SFX, samples and other production tools for the multi-media professional.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.greennet.nl/"} +{"d:Title": "Groovers Music Library", "d:Description": "Music collection offering a variety of musical styles for use in motion picture, TV, radio, audio/visual and multimedia productions.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.groovers-music.com/"} +{"d:Title": "Morning Music Limited", "d:Description": "Distributor of production music libraries in Canada.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.morningmusic.ca/"} +{"d:Title": "Extreme Music", "d:Description": "High quality production music for film, television, radio and multimedia. Flash content.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.extrememusic.com/"} +{"d:Title": "Soundfx.com", "d:Description": "Soundfx and audio producers for sound effects library and music library needs. Download free sound fx, pro audio and free sound advice. Chat room available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://soundfx.com/"} +{"d:Title": "Stock Music", "d:Description": "Contemporary music library for film, video or multimedia projects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.stockmusic.net/"} +{"d:Title": "Brand X Music", "d:Description": "Provide editors, music supervisors and producers with original cues for trailers, television promotion and films.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.brandxmusic.net/"} +{"d:Title": "Zebra Music LLC", "d:Description": "Commercial jingles, radio commercials, custom music, sound design, free samples for download.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.zebramusic.com/"} +{"d:Title": "Opus1 Music Library", "d:Description": "Music licensing for commercial applications, catalog, and downloads.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.opus1musiclibrary.com/"} +{"d:Title": "Kayotix", "d:Description": "Royalty / license free music and sound services for small companies.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.kayotix.com/"} +{"d:Title": "Big Bang&Fuzz", "d:Description": "Distributors of Production Music libraries to Australia, NZ and South East Asia.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.bigbangfuzz.com/"} +{"d:Title": "Stockmusic.com", "d:Description": "StockMusic.com offers info about stock music, production music, royalty free music and buy out music for broadcast, film, audio video and multimedia productions.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://stockmusic.com/"} +{"d:Title": "Loopsound.com", "d:Description": "Production music and sound library with royalty free music loops, drum loops and sound effects for multimedia webmasters and games developers.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.loopsound.com/"} +{"d:Title": "Narrator Tracks", "d:Description": "Original music especially composed, recorded and mixed for narration in video.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.ntracks.com/"} +{"d:Title": "The Beat Suite", "d:Description": "Music production company specialising in modern music and audio effects, also provide bespoke music production.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.beatsuite.com/"} +{"d:Title": "Lyrical Stream Music", "d:Description": "CD quality production drum loops.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://celestialstream.com/"} +{"d:Title": "Audio Network Plc", "d:Description": "A source of music and sound for the professional. Showcase \"Featured Composers\".", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.audionetwork.com/"} +{"d:Title": "MusicRevolution", "d:Description": "Online community of professional musicians and composers who collaborate&upload original music for use in TV, film and corporate videos.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.musicrevolution.com/"} +{"d:Title": "The Artful Recorded Music Library", "d:Description": "An independent British production music library distributed in 22 territories. Search for and listen to music instantly.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.artcorp.co.uk/productionmusic/library.php"} +{"d:Title": "Primrose Music", "d:Description": "Music library services available to broadcasters, production companies, facilities houses and advertising agencies.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.primrosemusic.co.uk/"} +{"d:Title": "Music For Sport", "d:Description": "Sports music library with tracks immediately available for download.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://musicforsport.sourceaudio.com/"} +{"d:Title": "Non-Stop Music", "d:Description": "Custom music and libraries in a variety of genres. Audio samples and demo CDs available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.warnerchappellpm.com/non-stop-music/"} +{"d:Title": "UBM Media", "d:Description": "Special, high quality online music production library for movie and media productions. Free keyword search program for quick and sucsessful searching of music composition.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.ubm-media.com/"} +{"d:Title": "Warner/Chappell Production Music", "d:Description": "Music scoring, sound design, production library music, news music, audio and post production services.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries", "url": "http://www.warnerchappellpm.com/"} +{"d:Title": "Jamendo", "d:Description": "Free music downloads for private use. Royalty free music licenses for commercial purpose.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.jamendo.com/"} +{"d:Title": "Soundtaxi", "d:Description": "Offers music that is 100% royalty free, as well as PRO registered. Site available in English and German.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.soundtaxi.net/"} +{"d:Title": "Proud Music", "d:Description": "Large catalog of music with immediate download&licensing.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.proudmusiclibrary.com/"} +{"d:Title": "Twisted Tracks", "d:Description": "Royalty free music available as single tracks and also volume or subscription licensing.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.twistedtracks.com/"} +{"d:Title": "SmartSound", "d:Description": "Offers royalty free singles or albums and music packs for video productions. Also soundtrack software.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.smartsound.com/"} +{"d:Title": "The Beat Suite", "d:Description": "UK based. Instant preview, purchase and download as MP3. Standard licensing or extended options for big budget projects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.beatsuite.com/"} +{"d:Title": "The Music Bakery", "d:Description": "Exclusive royalty-free music featuring professional ensembles and studio musicians.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.musicbakery.com/"} +{"d:Title": "RoyaltyFreeMusic.com", "d:Description": "Getty Images owned, one of the largest online collections of music and sound effects. Subscription and a la carte purchases available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreemusic.com/"} +{"d:Title": "Royalty-Free.tv", "d:Description": "Search and preview online by genre, mood, instrument or tempo. Tracks are downloadable as WAV or high quality mp3.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royalty-free.tv/"} +{"d:Title": "AKM Music", "d:Description": "UK based. In addition to being royalty free, some of the music is PRS-free. Albums and single tracks available as audio CD, data CD, and download.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.akmmusic.co.uk/"} +{"d:Title": "Premium Beat", "d:Description": "Exclusive downloadable music in a wide range of categories.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.premiumbeat.com/"} +{"d:Title": "Partners In Rhyme", "d:Description": "Collections available as downloadable WAV files or shipped DVDs.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.partnersinrhyme.com/"} +{"d:Title": "Shockwave-Sound.com", "d:Description": "Music sold as single tracks and collections, sound effects and loops also available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.shockwave-sound.com/"} +{"d:Title": "RoyaltyFreeTunes.co.uk", "d:Description": "UK based, offers downloadable mp3s of music never registered with PRS.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreetunes.co.uk/"} +{"d:Title": "RoyaltyFreeClassicalMusic.co.uk", "d:Description": "UK based, offers huge range of classical music in full versions and loops. Instant downloads of wav and mp3 files.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreeclassicalmusic.co.uk/"} +{"d:Title": "RoyaltyFreeMusicLibrary.com", "d:Description": "Exclusive collections and individual tracks. Music is not automatically whitelisted for YouTube.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreemusiclibrary.com/"} +{"d:Title": "RoyaltyFreeKings.com", "d:Description": "Royalty free music sold as tracks, albums and bundles, downloadable as AIFF or WAV files. A selection of free background music.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreekings.com/"} +{"d:Title": "Media Music Now", "d:Description": "UK based, customers buy credits and then use them to download mp3s or wavs.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.mediamusicnow.co.uk/"} +{"d:Title": "Audiobank", "d:Description": "Jingles, sound effects and background music can be downloaded as wavs and mp3s.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.audiobank.fm/"} +{"d:Title": "Yopo Music", "d:Description": "UK based non-MCPS music library. CDs or downloadable files.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.yopo.co.uk/"} +{"d:Title": "Tunefruit", "d:Description": "Five tiers of licensing, single tracks available for immediate download as 320k mp3s.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.tunefruit.com/"} +{"d:Title": "Quantum Music Works", "d:Description": "MP3 and WAV files, production cuts and loop sets available for each track.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.quantummusicworks.com/"} +{"d:Title": "RoyaltyFreeMusic.co.uk", "d:Description": "UK based library offering 2 standard and extended royalty free licensing.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreemusic.co.uk/"} +{"d:Title": "Ambient Music Garden", "d:Description": "Specializes in relaxing music aimed at spas and therapists.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.ambientmusicgarden.com/"} +{"d:Title": "RoyaltyFreeMeditationMusic.com", "d:Description": "Relaxation, binaural and chakra music for guided meditation and hypnosis.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreemeditationmusic.com/"} +{"d:Title": "Royalty Free Heaven", "d:Description": "Single tracks and collections. 3 license types, Poland based, tracks are sold in euros.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.royaltyfreeheaven.com/"} +{"d:Title": "Music Screen", "d:Description": "Royalty free music library for the web, games, apps, TV, cinema. Stock music licenses for audiovisual productions. Free for non-commercial use.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://www.musicscreen.org/"} +{"d:Title": "Music for Video", "d:Description": "Downloadable royalty free production music.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Music_Libraries/Royalty_Free", "url": "http://music-for-video.com/"} +{"d:Title": "Radioactive Noise", "d:Description": "Music and sound effects CD. Audio samples and ordering. [Flash required]", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://radioactivenoise.com/"} +{"d:Title": "SoundRangers.com", "d:Description": "Sound effects and music downloadable in WAV and AIFF format. Custom sound design available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.soundrangers.com/"} +{"d:Title": "Sound of the Web", "d:Description": "Library featuring music loops, sound effects, and production music in a range of styles.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.soundoftheweb.com/"} +{"d:Title": "Loop Library", "d:Description": "Preview and download loops and samples for your own music productions. Online store available.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.looplibrary.com/"} +{"d:Title": "Sounds Online", "d:Description": "Wide variety of loops, samples, and sound effects, also sample CDs, software and demos. Ordering and free downloads.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.soundsonline.com/"} +{"d:Title": "Realistic Piano", "d:Description": "A collection of soundbanks for Soundblaster Live, EMU APS, Terratec EWS64L/XL and compatible soundcards and software.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.realisticpiano.com/"} +{"d:Title": "DigiFish Music", "d:Description": "Supplies unique and original, copyright cleared music for multimedia, film, games, television, video, and web projects.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.digifishmusic.com/"} +{"d:Title": "Musicmediaone, LLC", "d:Description": "Music loops and hip hop sounds for MPC 2000, MPC 3000, MPC 4000, and Roland MV8000.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.soundcrafting.com/"} +{"d:Title": "Discovery Sound", "d:Description": "Supplies sample CDs, CD-ROMs, and downloadable sounds featuring sound effects and ethnic, rare, and traditional music.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.discoverysound.com/"} +{"d:Title": "ReasonBanks", "d:Description": "Producing sampling libraries in Refill format for Reason software. Products catalog, support and download area.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.reasonbanks.com/"} +{"d:Title": "ModernBeats Inc.", "d:Description": "Develops samples and loops libraries for all most popular software samplers. Catalog, audio samples, purchasing information.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.modernbeats.com/"} +{"d:Title": "Akaimpc.com", "d:Description": "Custom sound libraries for the akai mpc2000, mpc3000, mpc4000 ensoniq asr10, asrx, asrxpro, and korg triton.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.akaimpc.com/"} +{"d:Title": "AudioPervert", "d:Description": "A collection of analog, digital and live drum, percussion, and effect samples in WAV and Akai CD-ROM format.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.audiopervert.com/"} +{"d:Title": "Big Fish Audio", "d:Description": "Large distributor and creator of sample libraries in all genres.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.bigfishaudio.com/"} +{"d:Title": "Cinesamples", "d:Description": "Downloadable sample libraries for the working film, tv and game composers.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://cinesamples.com/"} +{"d:Title": "Soniccouture", "d:Description": "Develops libraries based on unusual instruments. Audio demos and immediate download.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.soniccouture.com/"} +{"d:Title": "Sonokinetic", "d:Description": "It develops sample collections from ancient musical cultures and forgotten historical music heritage. Products, demos, testimonials.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.sonokinetic.net/"} +{"d:Title": "Beatport Sounds", "d:Description": "It offers a wide catalogue of samples and loops searchable by style, format and label..", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://sounds.beatport.com/"} +{"d:Title": "Sample Magic", "d:Description": "A collection of loops and samples for electronic music producers.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "https://www.samplemagic.com/"} +{"d:Title": "Flavorlab: Producer's Toolbox", "d:Description": "A collection of sound design elements, effects, and musical loops. Ideal for television, Flash and radio, sound designers and editors.", "topic": "Top/Arts/Music/Sound_Files/Samples_and_Loops/Production_Sound_Libraries", "url": "http://www.flavorlab.com/producers-toolbox/"} +{"d:Title": "Addicted to Ayumi", "d:Description": "Contains Ayumi Hamasaki LOVEppears album in VQF format. Also has galleries, reviews and information on the artist.", "topic": "Top/Arts/Music/Sound_Files/VQF", "url": "http://www.angelfire.com/music/ayumi/"} +{"d:Title": "TheSong", "d:Description": "New releases and classic songs with samples in VQF format. Available in English and Portuguese.", "topic": "Top/Arts/Music/Sound_Files/VQF", "url": "http://thesong.tripod.com/"} +{"d:Title": "South West Tafe Music Archive", "d:Description": "Small WAV samples and full MP3 versions of music from South West Australian artists.", "topic": "Top/Arts/Music/Sound_Files/WAV", "url": "http://www.swtafe.vic.edu.au/lrc/music/sounds.html"} +{"d:Title": "Christmas songs", "d:Description": "Free Christmas song clips.", "topic": "Top/Arts/Music/Sound_Files/WAV", "url": "http://ltrem1.tripod.com/xmaswavs.html"} +{"d:Title": "The Mudcat Cafe", "d:Description": "Community collection of information on folk and blues music. Searchable database of song lyrics, research, conversations, and kids stuff.", "topic": "Top/Arts/Music/Styles", "url": "http://www.mudcat.org/"} +{"d:Title": "Wikipedia: Musical Genre", "d:Description": "Brief explanation of the way styles can be defined by region, chronology, technical requirements, marketing trends, or the ideas of critics. Extensively linked to sub-genres and examples of significant artists.", "topic": "Top/Arts/Music/Styles", "url": "http://en.wikipedia.org/wiki/Musical_genre"} +{"d:Title": "Ectophiles Guide by Genre", "d:Description": "Self-described \"Guide to Good Music\" from a group founded in 1991 to support the music of singer Happy Rhodes. Links to artists, almost entirely female vocalists, sorted by genre such as pop, blues, experimental, performance art, beautiful and fierce, and traditional.", "topic": "Top/Arts/Music/Styles", "url": "http://ectoguide.org/genre"} +{"d:Title": "DataDragon: Music Genre Sampler", "d:Description": "Children's site with simple definitions of a few genres including rock, Celtic, and classical with artist and site links.", "topic": "Top/Arts/Music/Styles", "url": "http://datadragon.com/education/genres/"} +{"d:Title": "All Music Guide", "d:Description": "Portal built around articles by music journalists describing music genres, with links and reviews.", "topic": "Top/Arts/Music/Styles", "url": "http://www.allmusic.com/"} +{"d:Title": "Music Classification by Genre: System Performance", "d:Description": "Research project completed in 2003 by Mitali Banerjee at Rice University used automatic process to determine musical genre of audio samples.", "topic": "Top/Arts/Music/Styles", "url": "http://cnx.org/content/m11690/latest/"} +{"d:Title": "American Popular Music", "d:Description": "Online publication contains stories that illustrate how Americans, borrowing from diverse musical traditions, have contributed to humanity\u2019s universal language.", "topic": "Top/Arts/Music/Styles", "url": "http://iipdigital.usembassy.gov/media/pdf/books/american-popular-music.pdf"} +{"d:Title": "Bluegrass Guitar", "d:Description": "A source of information about the acoustic flat-top guitar and the playing styles used in bluegrass music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://bluegrassguitar.com/"} +{"d:Title": "Just Bluegrass Music", "d:Description": "Bluegrass sound reinforcement company and recording studio in Nashville, TN.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.jbmsound.com/"} +{"d:Title": "International Bluegrass Music Association (IBMA)", "d:Description": "Professional trade association promoting the music in the US and over thirty foreign countries. Information on membership, World of Bluegrass events, the annual trade show, IBMA award winners, Grammy winners, Hall of Honor, Fan Fest, educational efforts, and membership forms.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.ibma.org/"} +{"d:Title": "Society for the Preservation of Bluegrass Music of America", "d:Description": "SPBGMA has an annual convention and seeks to preserve the traditional spirit and art form of bluegrass. Festival calendar, events, news, SPBGMA awards, multimedia, artist and business links, and how to join.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.spbgma.com/"} +{"d:Title": "Bluegrass College", "d:Description": "On-line learning resource for bluegrass players of all skill levels. Downloads, recordings, notation and video for bass, banjo, dobro, fiddle, guitar, mandolin and voice.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.bluegrasscollege.org/"} +{"d:Title": "The Pickin' Porch", "d:Description": "A weekly two-hour bluegrass and old-time music show hosted by Tim White.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.pickinporch.org/"} +{"d:Title": "European Bluegrass Music Association (EBMA)", "d:Description": "Official site of the organization promoting bluegrass throughout Europe. EBMA membership, bylaws and directory, festival and concert listings, European Bluegrass Weblog, Bluegrass Europe magazine, and the European World of Bluegrass (EWOB) Festival and Trade Show.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.ebma.org/"} +{"d:Title": "Candlewater.com", "d:Description": "Roots music depository for articles, musician interviews, photos, movies and mp3 files. Featured are Frank Wakefield, Bill Monroe, Kenny Baker, Jesse McReynolds, Bob Black and others.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.candlewater.com/"} +{"d:Title": "A Lil Country A Lil Grass", "d:Description": "Sample recordings of traditional bluegrass and country music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://heavens-gates.com/country/"} +{"d:Title": "Bluegrass and Baseball", "d:Description": "Bluegrass and baseball profile on myspace with photos, videos, personal blog, and connections between the two American pastimes.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "https://myspace.com/bluegrassandbaseball"} +{"d:Title": "Barb Diederich's Handy Bluegrass Links", "d:Description": "Directory of over 2000 links to bluegrass related sites.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.barbdiederich.com/links/"} +{"d:Title": "Bluegrass / Acoustic Music Web Ring", "d:Description": "Web ring for bluegrass and acoustic music bands, fans, and instrument builders.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://www.webring.org/hub?ring=thebluefields&l"} +{"d:Title": "Bluegrass Bios", "d:Description": "Profiles of bluegrass performers past and present, on-line, or bound in a three-ring binder. Aimed primarily at bluegrass deejays, a new edition is released annually.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://bluegrassbios.com/"} +{"d:Title": "ArtistWorks Academy of Bluegrass", "d:Description": "Interactive online learning schools in a variety of instruments including banjo, guitar, mandolin, fiddle, dobro, and double bass. Students receive feedback on their playing when they submit videos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://artistworks.com/bluegrass-lessons"} +{"d:Title": "Free Bluegrass Backing Tracks", "d:Description": "Provides free backing tracks for bluegrass music practice, with the ability to speed up or slow down the tracks to suit ability. Also a number of common chord progressions for practice and study.", "topic": "Top/Arts/Music/Styles/B/Bluegrass", "url": "http://fbbts.com/"} +{"d:Title": "Sunny Side", "d:Description": "Czech traditional bluegrass band from Prague. Songs of Bill Monroe, Flatt and Scruggs, Stanley Brothers, and Osborne Brothers. Schedule, biographies, photos, MP3 samples, videos, forum, lyrics, and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.sunnyside.cz/"} +{"d:Title": "Lewis, Laurie", "d:Description": "Official site for the IBMA award-winning fiddler/singer/songwriter/river rat.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.laurielewis.com/"} +{"d:Title": "Bluegrass 101", "d:Description": "Includes photographs, biographies, booking information, guest book, schedule, and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bluegrass101.com/"} +{"d:Title": "Seldom Scene, The", "d:Description": "The official web site of the world-famous, award-winning bluegrass band. Includes band bio, upcoming appearances and a tribute to the late John Duffey.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.seldomscene.com/"} +{"d:Title": "VW Boys", "d:Description": "Official website of VW Boys, with biographies, photos, and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.vwboys.com/"} +{"d:Title": "IIIrd Tyme Out", "d:Description": "Official site for the five-time IBMA Vocal Group Of The Year winners. Discography, tour schedule, fan club information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.iiirdtymeout.com/"} +{"d:Title": "Mountain Heart", "d:Description": "Official site for the up-and-coming traditional and contemporary bluegrass unit. Schedule, biographies, store, news, message board, and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.mountainheart.com/"} +{"d:Title": "Southern Rail", "d:Description": "Pinecastle recording artists, playing \"Original and traditional bluegrass\".", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://world.std.com/~muller/SR/"} +{"d:Title": "Crowe, J.D.", "d:Description": "Fan site with photos, discography, news, and archival history and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.cs.cmu.edu/~jcm/crowe.html"} +{"d:Title": "Gibson Brothers", "d:Description": "Official site with tour dates, news and reviews.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.gibsonbrothers.com/"} +{"d:Title": "Roland White", "d:Description": "Legendary bluegrass mandolinist Roland White offers mandolin lessons, guitar lessons, tablature, CDs for sale, photos, biography.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.rolandwhite.com/"} +{"d:Title": "DejaBlue Grass Band", "d:Description": "Atlanta, Georgia-based band performing high-energy bluegrass. Includes sound clips, photos, schedule, news and booking information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.digitaldirectives.com/dejablue"} +{"d:Title": "Muleskinner Band, The", "d:Description": "Performs country, bluegrass, gospel, and old rock and roll in a variety of formats and are available for small or large events. Based in Ohio.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://ahandyman.com/muleskinner/"} +{"d:Title": "Steep Canyon Rangers", "d:Description": "Live sound clips, tour dates, photos, mailing list, and general band information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.steepcanyon.com/"} +{"d:Title": "Lewis Family, The", "d:Description": "Official site of \"the First Family of bluegrass gospel music.\" Current and historic photos from their career spanning four decades, tour dates and recording information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.thelewisfamilymusic.com/"} +{"d:Title": "None Of The Above", "d:Description": "None Of The Above is located in Mt. Airy, NC. They feature a unique mix of bluegrass, newgrass, acoustic country, folk and old-time fiddle music. NOTA's music has traditional roots, but their sound is filtered through the band's own special twists.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.noneoftheabove.net/"} +{"d:Title": "Sidesaddle and Company", "d:Description": "Bluegrass band from San Jose, California. Biographies, discography, tour dates and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.sidesaddleandco.com/"} +{"d:Title": "Except-2", "d:Description": "A country, folk, bluegrass, Cajun band from Holland. The band has 7 musicians, among them 2 ladies (Except-2).", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.xs4all.nl/~except2/indexgb.html"} +{"d:Title": "Talley, Chris", "d:Description": "Bluegrass banjo and fiddle player well-known in the St. Louis metropolitan area for her hard-driving banjo and fiddle playing. Bio, photos, sound clips, schedule.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.chris-talley.com/"} +{"d:Title": "Bluegrass Power Company", "d:Description": "Official band site with photos, biographies, schedule, contact and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://bpcband.tripod.com/"} +{"d:Title": "Strings of Fire", "d:Description": "Strings of Fire is a contemporary bluegrass act based in tradition of Appalachian folk music. Award-winning singing, songwritting, and picking.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.angelfire.com/music/stringsoffire/"} +{"d:Title": "Crooked Jades", "d:Description": "Old-time bluegrass band from San Francisco, California. Schedule, news, press kit, store and photo galleries.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.crookedjades.com/"} +{"d:Title": "All 4Hym", "d:Description": "Gospel bluegrass band. Biography, performance schedule, booking information and cassette for sale.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.all4hym.8k.com/"} +{"d:Title": "Bagboys, The", "d:Description": "Boston area bluegrass and western swing band, with schedule, photos, and biographies.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bagboys.com/"} +{"d:Title": "David Thom Band, The", "d:Description": "San Francisco band's official website, offering free mp3s, photos, performance schedule, and biographies.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.thedtb.com/"} +{"d:Title": "Satyr Hill Band", "d:Description": "Band description , artist's biographies, music selections, schedule of performances, contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.satyrhill.com/"} +{"d:Title": "Bob Black's Banjoy", "d:Description": "Banjoy is a site dedicated to Bob and Kristie Black's bluegrass and folk music, featuring Bob's banjo picking and highlighting his latest album: Banjoy", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://banjoy.com/"} +{"d:Title": "Open Road Bluegrass Band", "d:Description": "Official site of Colorado's acclaimed young, traditional bluegrass band.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.openroadbluegrass.com/"} +{"d:Title": "Bluegrass Stomp", "d:Description": "This San Francisco Bay area band plays traditional bluegrass and old-time music, and specializes in weddings, corporate events, square/contra dances, parties and other festive occasions. Music samples, contacts, email list and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bluegrassstomp.com/"} +{"d:Title": "Ben Winship", "d:Description": "Mandolin, bass and banjo player, best known as a founding member of and singer songwriter for the bluegrass band Loose Ties and as a member of the Judith Edelman Band.Bio, photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://benwinship.com/"} +{"d:Title": "Amy Gallatin and Stillwaters", "d:Description": "Official site with CDs, biography, photos, electronic press materials, and sound files.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.amygallatin.com/"} +{"d:Title": "True Blue", "d:Description": "The most exciting traditional bluegrass band to come out of California in years. Photos, bios, calendar.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.truebluegrass.com/"} +{"d:Title": "New Dimensions", "d:Description": "Formerly Boundless Love, a four-part bluegrass group spreading the gospel in song. Band biography, music, schedule and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://boundless_love.tripod.com/"} +{"d:Title": "YeeHaw Junction Bluegrass Band", "d:Description": "This Charleston-based bluegrass band is keeping the bluegrass tradition alive and thriving in South Carolina's Lowcountry and throughout the region. Their hot picking and smooth harmonizing will provide an all-acoustic treat for any bluegrass fan.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.yeehawjunction.net/"} +{"d:Title": "Barry Jones Family", "d:Description": "Bluegrass Gospel Group from Missouri. Booking info, schedule.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.barryjonesfamily.com/"} +{"d:Title": "Larry Stephenson Band", "d:Description": "Pinecastle recording artist and SPBGMA award winner. Biography, photos, schedule, and merchandise.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.larrystephensonband.com/"} +{"d:Title": "Smith, Kenny and Amanda", "d:Description": "Former Lonesome River Band guitarist Kenny Smith now has his own group, featuring his wife Amanda Smith on vocals, Steve Huber on banjo, Ronald Inscore on mandolin and Greg Martin on bass.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.kenny-amandasmith.com/"} +{"d:Title": "Russell Wilson", "d:Description": "Official site for bluegrass singer songwriter Russel Wilson, formerly of the Wilson Brothers.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.wilsonbluegrass.com/"} +{"d:Title": "High Fever Bluegrass Band", "d:Description": "Home page of the High Fever Bluegrass Band based in Wilmington, NC.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.angelfire.com/band2/highfeverbluegrass/"} +{"d:Title": "Dyer Switch", "d:Description": "Band from upstate New York that plays traditional bluegrass music. Biographies, schedule, CDs, photos, YouTube videos and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.dyerswitch.com/"} +{"d:Title": "Second Time Around, The", "d:Description": "This band reunited after 18 years to do a recording project. Traditional bluegrass the way it should be played. Come meet the members and learn about their project \"Traditional Ties\"", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.angelfire.com/wv2/thesecondtimearound/"} +{"d:Title": "Monroe Crossing", "d:Description": "Bluegrass from Minnesota. MP3s, history, photos, schedule, news, store, fan mail, reviews and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.monroecrossing.com/"} +{"d:Title": "Jason Dennie", "d:Description": "Biography, photos, discography, schedule, reviews, links and audio clips.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.jasondennie.com/"} +{"d:Title": "Highway 280", "d:Description": "Alabama based band. Biographies, performance schedule, and booking information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.highway280.net/"} +{"d:Title": "Black Bottom Biscuits", "d:Description": "From Columbia, South Carolina. Fusing old-time, rockabilly and bluegrass. Band info, tour dates, press materials, email address, booking and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://blackbottombiscuits.com/"} +{"d:Title": "Highbridge", "d:Description": "Based in Central Kentucky. Features schedule, photos, and news.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.highbridge.itgo.com/"} +{"d:Title": "Kr\u00fcger Brothers", "d:Description": "Bluegrass and folk band, with heavy European influences. Includes discography, tour dates, biography, photographs, and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.krugerbrothers.com/"} +{"d:Title": "Big Country Bluegrass", "d:Description": "Virginia based bluegrass and gospel bluegrass band, includes links, photographs, biographies, interviews and tour dates.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bigcountrybluegrass.com/"} +{"d:Title": "Fall Creek Bluegrass", "d:Description": "Based in Yadkinville, NC. History, photographs, and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.angelfire.com/band2/fallcreek/"} +{"d:Title": "Constant Change Bluegrass Band", "d:Description": "Based in the Raleigh, NC area. Site contains audio samples, biographies, pictures, booking information and performance dates.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.constantchangebluegrassband.com/"} +{"d:Title": "Cockman Family, The", "d:Description": "Bluegrass gospel group based in North Carolina. Biography, schedule, photographs, sound clips, discography and mailing list.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.cockmanfamily.com/"} +{"d:Title": "Backroom Bluegrass Band", "d:Description": "Includes recordings, schedule, biographies, photographs, and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.backroombluegrassband.com/"} +{"d:Title": "Blue Canyon Boys, The", "d:Description": "Colorado based bluegrass band trio. Biography, schedule, and songs in MP3 format.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bluecanyonboys.com/"} +{"d:Title": "Sunnyside Up", "d:Description": "Includes biographies, audio clips, calendar, and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://sunnysideupmusic.com/"} +{"d:Title": "Dale Ann Bradley", "d:Description": "Includes schedule, news, merchandise store, biography, photo gallery, and booking information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.daleann.com/"} +{"d:Title": "Peter Rowan", "d:Description": "Official site with tour dates, CD releases, photos, discography, press releases, news and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.peter-rowan.com/"} +{"d:Title": "Bluegrass Missourians", "d:Description": "Home page for the traditional bluegrass band.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bluegrassmissourians.com/"} +{"d:Title": "Tom Hobson&Ragged but Right", "d:Description": "Rare recorded performance at \"The Sound Track\" in San Francisco, January 1979. Memories of Tom Hobson by Jerry Garcia, Jorma Kaukonen, family and friends. Photos, recordings and links to web sites of Hobson's musical associates.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.raggedbutright.com/"} +{"d:Title": "Rawhide", "d:Description": "Official site for the Belgian bluegrass band. Photos, mp3s, schedule, reviews and biography.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://users.skynet.be/bk244531/rawhide/index.html"} +{"d:Title": "Mark Cosgrove - Flatpicking Guitarist", "d:Description": "Mark Cosgrove has won Flatpicking Guitar Championships at both Winfield and Merlefest. Biography, schedule, photos, music, merchandise, instruments, links, message board and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.mcosgrove.com/"} +{"d:Title": "Staber and Chasnoff", "d:Description": "Dick Staber (vocal, mandolin) and Judith Chasnoff (vocal, guitar) have been performing folk and bluegrass-based acoustic music since 1993. Biographies, performance calendar, music, photo gallery, media kit, links and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.staberandchasnoff.com/"} +{"d:Title": "Blue Creek", "d:Description": "Official home page of the gospel bluegrass group from LaVernia, Texas. Photos, CD information, schedule, contact and booking information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bluecreekbg.com/"} +{"d:Title": "Mark Templeton&New Vision", "d:Description": "Bluegrass gospel from High Point, North Carolina. Photos, schedule, biography, music and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.mtempleton.com/"} +{"d:Title": "Lost Mill String Band", "d:Description": "\"American Roots\" string band music from East Tennessee. Photos, member profiles, phone and email contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.lostmill.com/"} +{"d:Title": "Audie Blaylock and Redline", "d:Description": "Official site. Tour schedule, biography, music, news, contact, photos, message board and guest book.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.audieblaylock.com/"} +{"d:Title": "Boxcar Preachers", "d:Description": "A little bluegrass, a little old-timey country and blues. Music, schedule, press, contacting the band.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.boxcarpreachers.com/"} +{"d:Title": "Leroy Troy", "d:Description": "The official homepage for Leroy Troy, who plays old-time country music, folk and bluegrass on the banjo. Schedule, videos, CDs, cassettes, product ordering, links and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://leroytroy.us/"} +{"d:Title": "Casey&Chris and the Two-Stringers", "d:Description": "Home to the Nashville, Tennessee-based band. Schedule, news, links, publicity materials, audio clips, contact information, ordering and mailing list.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.twostringers.com/"} +{"d:Title": "Annapolis Bluegrass Coalition", "d:Description": "Official site for the band. Includes calendar, list of members, links and friends, concert photos and contact e-mail.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.annapolisbluegrass.com/"} +{"d:Title": "Katahdin Valley Boys", "d:Description": "Five-piece traditional bluegrass band from central Maine. All members take turns singing lead and work out three and four-part harmonies. Email and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.katahdinvalleyboys.com/"} +{"d:Title": "Triple L Band", "d:Description": "Official site of the family group playing bluegrass and bluegrass gospel music. CD information, history, photos and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.triplelband.com/"} +{"d:Title": "Marc Silver Band, The", "d:Description": "Acoustic/Folk/Singer-Songwriter/Alternative on a palette of traditional bluegrass and country instrumentation. Lively tempos, blazing solos, uplifting harmonies as well as the delicate ballad.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.marcsilvermusic.com/"} +{"d:Title": "Rick Briggs", "d:Description": "Banjoist and vocalist with Southern Rail. Biography, resume and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.rickbriggs.com/"} +{"d:Title": "Tennessee Osmosis", "d:Description": "Tennessee Osmosis played various clubs, pubs and colleges during the mid-seventies. Links, history, mp3s and surprises.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.tennesseeosmosis.com/"} +{"d:Title": "Clampitt, Gaddis&Buck", "d:Description": "Official site for the Portland, Oregon bluegrass band. Biography, photo, press, mp3s, videos, mailing list, and some three-part harmony.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.cgandb.com/"} +{"d:Title": "Copper Ridge", "d:Description": "Official site of the original Richmond, Virginia-based bluegrass band. Samples, CD ordering, contacts, and a regularly updated schedule.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://copperridgebluegrass.com/"} +{"d:Title": "Hoot Holler Music: Tom Dudley", "d:Description": "Official site for the eclectic songwriter, guitarist, independent artist and new record label. Styles from old-time Appalachian to traditional bluegrass and newgrass. CDs, artist biographies, samples, MP3 downloads all available on site.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.hoothollermusic.com/"} +{"d:Title": "High 48s Bluegrass Band, The", "d:Description": "Official site for the traditional bluegrass and gospel band based in Minneapolis. The band plays at festivals, fairs, churches, weddings and private events across the Midwest and beyond. Biography, schedule, press photos, merchandise, phone and email contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.thehigh48s.com/"} +{"d:Title": "Rudy Barrett and Smoky Mountain Banjo", "d:Description": "The official website of Rudy Barrett and his Smoky Mountain Banjo. Bio, photos and music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://rudybarrett.tripod.com/"} +{"d:Title": "Rigney Family Bluegrass Band", "d:Description": "The official web home of the Rigneys from Normandy, Tennessee, which shares the bluegrass and gospel music they love, whom they are, and how to contact them for bookings. Photos, history, schedule and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.rigneyfamilybluegrass.com/"} +{"d:Title": "Hashel Colvin", "d:Description": "Personal page with song lyrics, audio clips, and favorite links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://colvinh0.tripod.com/colvinh.html"} +{"d:Title": "Runaway Freight", "d:Description": "Official site for the traditional bluegrass and gospel band. Music news, bios, shows, photos, promo kit, store, guest book, contact information and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://runawayfreight.com/"} +{"d:Title": "The Cabin Creek Band", "d:Description": "A traditional bluegrass and gospel band from the heart of bluegrass country where it all began - Kentucky.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.backroombluegrassband.com/ccweb/ccindex.htm"} +{"d:Title": "Ivan Rosenberg", "d:Description": "Dobro (resonator guitar) player and clawhammer banjoist in bluegrass, old time, and folk. Audio samples, reviews, CD ordering information, news, tablature, and music licensing.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.ivanrosenberg.com/"} +{"d:Title": "Kenny Kosek", "d:Description": "Official site for the American fiddler, actor, raconteur and session musician. Biography, mp3s, comedy mp3s with John Goodman and Citizen Kafka, rare photos, schedule, guest book, bookings and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://kennykosek.com/index.html"} +{"d:Title": "Frank Wakefield on Film", "d:Description": "On-line video of Frank Wakefield with bluegrass fiddler Jim Moss. The Frank Wakefield Band toured actively from 1997 May of 2007.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.rentalfilm.com/frankwakefield/"} +{"d:Title": "Hillbilly Gypsies, The", "d:Description": "Official site of the Appalachian and bluegrass band from West Virginia. Photos, tour dates, merchandise, mp3s, band links, studio and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.thehillbillygypsies.com/"} +{"d:Title": "Frank Wakefield Band Tours 1997-2007", "d:Description": "Chronicling all the Frank Wakefield Band performances and venues from 1997 to 2007, constructed by then band member and tour manager Jim Moss. This site provides links to photo web pages with photos and occasional audio/video.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.frankwakefield.info/"} +{"d:Title": "Frank Wakefield", "d:Description": "Frank Wakefield official web site with links, including talks about recording with Jerry Garcia and photographs of recording with David Grisman.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.mossware.com/FrankLesn.html"} +{"d:Title": "Infamous Stringdusters, The", "d:Description": "Official site of the newgrass-jam quintet from Charlottesville, Virginia. Tour Dates, archive, audio, videos, photos, press, music, reviews, and blog.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://thestringdusters.com/"} +{"d:Title": "Rolf Family Music", "d:Description": "The official Rolf family bluegrass gospel music website. Contact information, photos and notes about these dedicated young musicians and their parents. Contact information and telephone number.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.rolffamilymusic.com/"} +{"d:Title": "GTM String Band", "d:Description": "The GTM String Band is an acoustic bluegrass trio, two guitars and bass fiddle. Members Greg Stone, Marty Henick and Tony Rocci are from Portland, Oregon and Vancouver, British Columbia. Calendar, biography, music, images, links and contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.gtmstringband.com/"} +{"d:Title": "Hog Operation", "d:Description": "Contemporary Kentucky-based ensemble who incorporate roots music styles including bluegrass, blues, Celtic, country and rock on traditional bluegrass instruments. Photos, member profiles, appearances, guest book, album and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.hogoperation.com/"} +{"d:Title": "Mighty Crows Bluegrass Band", "d:Description": "San Francisco Bay Area bluegrass band that plays traditional bluegrass music. Performs at corporate events, parties, weddings, pubs. Videos&music samples. Contact the band and sign up for an email newsletter.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.mightycrows.com/"} +{"d:Title": "Ronnie Reno and the Reno Tradition", "d:Description": "Traditional bluegrass made by old school veterans who love to play for the fans. Biography, press kit, touring schedule, album and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.ronniereno.com/"} +{"d:Title": "Bluegrass Brothers, The", "d:Description": "Official site for the traditional family bluegrass band from Virginia. Biography, photos, news, schedule, videos, guest book, friends' photos and links, recordings and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.thebluegrassbrothers.com/"} +{"d:Title": "Drifting River Bluegrass Band", "d:Description": "Drifting River, from North Carolina, will provide a variety of bluegrass entertainment for any event. Schedule and phone contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://driftingriver.com/"} +{"d:Title": "Blue Maxx", "d:Description": "From Belgium. Plays on traditional instruments. Includes music samples, contact information, and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.bluemaxx.be/"} +{"d:Title": "Hickory Project, The", "d:Description": "Official site for the band. Schedule, biography, music samples, radio airplay list, photo gallery, CD ordering, and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.hickoryproject.com/"} +{"d:Title": "Niall Toner Band", "d:Description": "Official site for the trio playing original bluegrass and acoustic country music from the Blackstairs Mountain region of Ireland. News, gallery, guest book, iTunes, Twitter, MySpace, facebook and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.nialltonerband.com/"} +{"d:Title": "Higher Ground Bluegrass", "d:Description": "Official site for Higher Ground, a bluegrass band based in New Mexico. Member biographies, photos, history of the band, CD information, calendar, contact information, and sound files of each song recorded and written by the band.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://highergroundbluegrass.com/"} +{"d:Title": "Hanway, Tom", "d:Description": "Banjo player, composer, studio musician, compiler and author of Mel Bay Irish and Celtic books and recordings, also co-designer of the original Stelling SwallowTail banjo. MP3 samples, download stores, biography, gallery, CD and product ordering, articles, links and reviews.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.tomhanway.com/"} +{"d:Title": "Hypnotic Clambake", "d:Description": "Annual music festival at the Kevin Cole Farm, Sherman, NY with camping, roots music, bonfires, drum circles, hiking for all ages. Tickets, schedule of performances, directions and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://hypnoticclambake.com/"} +{"d:Title": "Stoney Creek Bluegrass Band", "d:Description": "Official band site with upcoming tour dates in support of their new album. Featuring Libby Files, Darrell Sanders, Ed Barney, and Brett Smeltzer. Samples, store, music, band biographies, history, and message board.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.stoneycreekbluegrass.net/"} +{"d:Title": "Sandy Creek Pickers Bluegrass Band", "d:Description": "Home of the Sandy Creek Pickers bluegrass band who play traditional bluegrass and contemporary acoustic music. Photos, video, calendar, merchandise, band news, guest book, friends' links, and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.sandycreekbluegrass.com/"} +{"d:Title": "Special Consensus, The", "d:Description": "Official site for the band. News, biographies, schedule, store, education, reviews, contact information and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.specialc.com/"} +{"d:Title": "Ricky Skaggs", "d:Description": "The official home page of Ricky Skaggs and Kentucky Thunder. Music, tour, media, store, and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://rickyskaggs.com/index.htm"} +{"d:Title": "Greensky Bluegrass", "d:Description": "Official homepage for Michigan, USA based Americana group featuring tour dates, band biography, music, photos and merchandise.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://greenskybluegrass.com/"} +{"d:Title": "Evan Lanier and the Bluegrass Express", "d:Description": "Plays all the bluegrass instruments and is joined by friends for live shows around Ohio. Schedule, booking, and news.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://evanlanier.com/"} +{"d:Title": "The Slippery Hill Boys", "d:Description": "They do American-themed barn dances and bluegrass performances in Stoke on Trent, Newcastle under Lyme, Staffordshire, Cheshire and surrounding areas. Schedule, photos, videos and testimonials.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.slipperyhill.co.uk/"} +{"d:Title": "Debra Lyn", "d:Description": "Progressive group based in Horse Cave, Kentucky. Includes news, reviews, quotes, member profiles, and CD shop.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.debralyn.com/"} +{"d:Title": "Driftwood Bluegrass Band", "d:Description": "Official site of the Kenucky-based band Driftwood who play original bluegrass and whose members have appeared at the Grand Ole Opry, MAC, IBMA and many festivals. Biography, recent videos, images, guestbook and schedule.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.driftwoodbluegrass.webs.com/"} +{"d:Title": "Money Creek Mining Co.", "d:Description": "Official site featuring the band's history, performances, schedule, member biographies, photographs, contact information, and an audio sampling of the band's music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://moneycreekbluegrass.com/"} +{"d:Title": "Gold Top County Ramblers", "d:Description": "The band plays a rocked out version of bluegrass at festivals, house parties, bars, street corners and so on.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.goldtopcountyramblers.com/"} +{"d:Title": "Hickory Wind", "d:Description": "Headed by Cheryll Kent on guitar and vocals, this eclectic California-based band's music comprises bluegrass, rockabilly, folk, country, roots and Americana, also some blues and rock standards. Songs, show dates, videos, messages and booking the band.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.reverbnation.com/hickorywindmusic"} +{"d:Title": "Blue Mountain Highway", "d:Description": "Georgia-based bluegrass band who perform traditional and contemporary bluegrass in Southeastern United States. News, photos, audio samples, contact and booking, schedule, and links to all social media sites.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://bluemountainhighway.com/"} +{"d:Title": "The Observers", "d:Description": "From Buffalo, New York, the band blends original folk, indie and Americana compositions.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://artistecard.com/theobservers"} +{"d:Title": "Hickory Wind", "d:Description": "Country and Irish songs, Dylan covers, folk and Americana pub session tunes. Photo, songs and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "https://soundcloud.com/hickory-wind"} +{"d:Title": "Hickory Wind", "d:Description": "Bluegrass by Hickory Wind from Montevideo, Uruguay, also known as Hickory Wind Sudamerica on facebook. Photos, posters, videos, contact information, friends and links. Videos under Hickory Wind and Hickory Wind Sudamerica links to YouTube. Login required.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "https://www.facebook.com/hickory.sudamerica"} +{"d:Title": "Blood Red Mountain Band, The", "d:Description": "Original roots music, Americana, country, and folk festival band based in Dublin, Ireland. Photos, videos, biography, and upcoming shows. Login Required.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "https://www.facebook.com/thebloodredmountainband"} +{"d:Title": "Hamilton County Ramblers, The", "d:Description": "Touring Chattanooga bluegrass band. Member bios, photos, videos, blog and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.hamiltoncountyramblers.com/"} +{"d:Title": "The Wells Family", "d:Description": "Family band playing bluegrass and bluegrass gospel. Biography, schedule, and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.thewellsband.com/"} +{"d:Title": "Above the Town", "d:Description": "Milwaukee-based band's site featuring schedules, booking information, and samples of their music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://abovethetown.weebly.com/"} +{"d:Title": "Amy Black&the Red Clay Rascals", "d:Description": "Official site for the Massachusetts-based bluegrass band. Music, shows, videos, photos, Twitter, MySpace, CD ordering, booking and press kit.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://amyblack.com/"} +{"d:Title": "Coal Porters, The", "d:Description": "The Coal Porters, featuring Sid Griffin, are an original alt-bluegrass act, a veteran UK bluegrass ensemble, long-established on European touring circuits. Biography, discography, press photos, reviews, exploits, store, links and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.sidgriffin.com/the-coal-porters/"} +{"d:Title": "Cunningham, Tom", "d:Description": "Official home page for the San Diego-based songwriter, engineer, CD mastering producer, promoter and emcee who happens to play fiddle, mandolin, dobro and guitar.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.tomcunninghamsongs.com/"} +{"d:Title": "Me&Martha", "d:Description": "Official website of Don DePoy and Martha Hills, a married duo performing American rural roots mountain music in Virginia. Contacts, photos, music and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://meandmartha.info/"} +{"d:Title": "Charlie Boston", "d:Description": "Official site of the songwriter and the Olde Boston Tea Party, also Lara Natural Music, including acoustic country, bluegrass and folk styles. Reviews, music samples, lyric sheets, gig guide with photos, reviews, contact and ordering information. .", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.charlieboston.com/"} +{"d:Title": "Johnson Mountain Boys, The", "d:Description": "A traditional bluegrass band who toured widely, with many individual members still active in the business.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "https://en.wikipedia.org/wiki/Johnson_Mountain_Boys"} +{"d:Title": "Cagle Family Bluegrass Band", "d:Description": "Official site for the Cagle Family Bluegrass Band. Member biographies, photos, and booking contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://caglefamilybluegrass.com/"} +{"d:Title": "Barefoot Movement, The", "d:Description": "Drawing from the styles of bluegrass, folk, acoustic rock, and Americana, The Barefoot Movement converge the old and new into a style all their own.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.thebarefootmovementofficial.com/"} +{"d:Title": "Tangleweed", "d:Description": "Five-man acoustic band from Chicago, Illinois, that plays a modern blend of jazz, bluegrass and roots music. News,photos, videos, concert dates, merchandise and music. Facebook page, so content available will depend on operating system, location and whether you are logged in.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "https://www.facebook.com/tangleweed/"} +{"d:Title": "Cherryholmes", "d:Description": "Performance schedule, biographies, photos, discography, and weblog news from this award-winning family band.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists", "url": "http://www.cherryholmes.com/"} +{"d:Title": "Rambles", "d:Description": "Review of the 2000 album \"Sneak Preview\".", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Acoustic_Endeavors", "url": "http://www.rambles.net/acousticend_sneak.html"} +{"d:Title": "Acoustic Endeavors", "d:Description": "Original bluegrass and acoustic music. Biographies, tour schedule and audio samples from their new CD, Sneak Preview.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Acoustic_Endeavors", "url": "http://www.acousticendeavors.com/"} +{"d:Title": "Blue Highway", "d:Description": "Official site of Grammy nominated, IBMA award winning group. News, reviews, tour dates, biographies, audio, lyrics, photo galleries and merchandise.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Blue_Highway", "url": "http://www.bluehighwayband.com/"} +{"d:Title": "Sam Bush", "d:Description": "Official site with biography, tour schedule, discography, store, articles, TV links, and message board.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Bush,_Sam", "url": "http://www.sambush.com/"} +{"d:Title": "Trowbridgeplanetearth.com: Kathy Chiavola", "d:Description": "Profile and credits for Kathy Chiavola, award-winning bluegrass artist and Nashville veteran. Includes picture and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Chiavola,_Kathy", "url": "http://trowbridgeplanetearth.com/NASH/webpgs/chiavola.html"} +{"d:Title": "Kathy Chiavola", "d:Description": "Official page features a biography, reviews, pictures, performance schedule, album information, ordering, and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Chiavola,_Kathy", "url": "http://www.kathychiavola.com/"} +{"d:Title": "Dry Branch Fire Squad", "d:Description": "Includes links, photographs, and performance schedule.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Dry_Branch_Fire_Squad", "url": "http://www.drybranchfiresquad.com/"} +{"d:Title": "All Music Guide: Lester Flatt", "d:Description": "Detailed discography, credits, song list, and musical influences.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Flatt_and_Scruggs", "url": "http://www.allmusic.com/artist/p634"} +{"d:Title": "Jim and Jesse", "d:Description": "Official site including tour dates, audio clips, biography and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Jim_and_Jesse", "url": "http://www.jimandjesse.com/"} +{"d:Title": "Alison Krauss and Union Station", "d:Description": "Official site. Biographies, news, discography, tour dates, merchandise, mailing lists, links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://www.alisonkrauss.com/"} +{"d:Title": "Last FM - Alison Krauss and Union Station", "d:Description": "Social networking site includes biography, listeners, tags, charts, and similar artists.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://www.last.fm/music/Alison+Krauss+%26+Union+Station"} +{"d:Title": "Alison Krauss", "d:Description": "NPR's Bob Edwards talks to bluegrass fiddler and singer Alison Krauss. She's enjoyed growing popularity since her performance on the soundtrack to the movie \"O Brother, Where Art Thou.\"", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://www.npr.org/templates/story/story.php?storyId=1138127"} +{"d:Title": "Krauss cultivates bluegrass into crossover success", "d:Description": "CNN Worldbeat interview with Krauss.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://cgi.cnn.com/SHOWBIZ/Music/9911/16/wb.krauss/index.html"} +{"d:Title": "About.com: Alison Krauss", "d:Description": "Includes facts, recommended listening, biography and related articles.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://folkmusic.about.com/od/artistsaz/p/AlisonKrauss.htm"} +{"d:Title": "Country, With Twang and Pop", "d:Description": "New York Times article discusses Krauss' career and tour in support of ''Forget About It.''", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://www.nytimes.com/2000/04/30/nyregion/music-country-with-twang-and-pop.html"} +{"d:Title": "Alison Krauss&Union Station: So Long So Wrong", "d:Description": "Album review by George Graham.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://georgegraham.net/krauss.html"} +{"d:Title": "All Music - Alison Krauss", "d:Description": "Includes biography, discography, songs, credits, charts and awards.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://www.allmusic.com/artist/alison-krauss-mn0000745071"} +{"d:Title": "CMT - Alison Krauss and Union Station", "d:Description": "Includes biography, photos, videos, discography, news, message board, awards and related artists.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://www.cmt.com/artists/alison-krauss-union-station/"} +{"d:Title": "Metacritic: Alison Krauss and Union Station", "d:Description": "Music critics and publications review \"New Favorite.\"", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Krauss,_Alison", "url": "http://www.metacritic.com/music/new-favorite/alison-krauss-union-station"} +{"d:Title": "Doyle Lawson&Quicksilver", "d:Description": "Official band site with touring schedule, biographies, discography, newsletter, photo gallery and message board.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Lawson,_Doyle", "url": "http://doylelawson.com/"} +{"d:Title": "Candlewater.com: Jimmy Martin", "d:Description": "A short interview conducted by Jim Moss.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Martin,_Jimmy", "url": "http://www.candlewater.com/interviews/story007.html"} +{"d:Title": "The Del McCoury Band", "d:Description": "Official band site including news, biographies, discography, tour schedule, photos, and message board.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/McCoury,_Del", "url": "http://www.delmccouryband.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Bill Monroe: The 1997 Induction Ceremony", "d:Description": "Biography, time line and profile of Bill Monroe's 1997 induction as an early influence on rock and roll.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Monroe,_Bill", "url": "http://rockhall.com/inductees/bill-monroe/"} +{"d:Title": "Blue Grass Boys", "d:Description": "Attempts to document all the musicians who played with Bill Monroe, with brief profiles of many.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Monroe,_Bill", "url": "http://doodah.net/bgb/"} +{"d:Title": "Bill Monroe Stamp Campaign", "d:Description": "Dedicated to getting Bill Monroe on a US postage stamp. Includes campaign background, address for writing letters of support, and guestbook.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Monroe,_Bill", "url": "http://www.billmonroestamp.org/"} +{"d:Title": "WorldCat Identities - Bill Monroe 1911-1996", "d:Description": "All manner of links about the subject with reviews, online copies, purchase and library availability for each. Includes related identities and associated subjects.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Monroe,_Bill", "url": "http://www.worldcat.org/identities/lccn-n82090832/"} +{"d:Title": "AllMusic - Bill Monroe", "d:Description": "Find Bill Monroe biography, songs, recording credits, awards, similar artists, video information, and discography. This is germane and offers information not found on other sites.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Monroe,_Bill", "url": "http://www.allmusic.com/artist/bill-monroe-mn0000081083"} +{"d:Title": "NPR Music - Bill Monroe", "d:Description": "Bill Monroe artist page with interviews, features and performances archived at NPR Music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Monroe,_Bill", "url": "http://www.npr.org/artists/15374151/bill-monroe"} +{"d:Title": "Wikipedia - Bill Monroe", "d:Description": "Crowd-sourced encyclopedia article about one of the founders of bluegrass music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Monroe,_Bill", "url": "http://en.wikipedia.org/wiki/Bill_Monroe"} +{"d:Title": "Nickel Creek Fan Club", "d:Description": "News, biographies, discography, message board and exclusive interviews.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Nickel_Creek", "url": "http://www.nickelcreek.info/"} +{"d:Title": "Yahoo! Groups : Nickel Creek", "d:Description": "Mailing list, message board, and chat area.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Nickel_Creek", "url": "http://groups.yahoo.com/group/NickelCreek/"} +{"d:Title": "Nickel Creek Official Site", "d:Description": "Features biographies, pictures, audio clips, tour dates, and personal journals.", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Nickel_Creek", "url": "http://www.nickelcreek.com/"} +{"d:Title": "Nickel Creek Forum", "d:Description": "Discussion board with show reviews and chat.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Nickel_Creek", "url": "http://www.nickelcreek.info/forum/"} +{"d:Title": "Big Bruno's Nickel Creek Page", "d:Description": "Candid photos of the band from an autograph session.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Nickel_Creek", "url": "http://www.bigbruno.com/celebrities/nickelcreek.html"} +{"d:Title": "Nickel Creek Guitar Tabs, Chords&Lyrics", "d:Description": "Selections from both the debut album and This Side are featured here.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Nickel_Creek", "url": "http://countrymusic.about.com/library/blnickelcreekgtcl.htm"} +{"d:Title": "All Music Guide: Osborne Brothers", "d:Description": "Biography, discography and links to related artists.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Osborne_Brothers,_The", "url": "http://www.allmusic.com/artist/osborne-brothers-p672"} +{"d:Title": "Peter Rowan", "d:Description": "Official page, with news, discography, photos, and tour dates.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Rowan,_Peter", "url": "http://www.peter-rowan.com/"} +{"d:Title": "John Wright - Traveling the High Way Home", "d:Description": "Brief information on Wright's biography of Ralph Stanley.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Stanley,_Ralph", "url": "http://www.press.uillinois.edu/s95/wright.html"} +{"d:Title": "CMT.com: Rhonda Vincent Takes Five SPBGMA Awards", "d:Description": "News article summarizing the 2004 Society for the Preservation of Bluegrass Music in America awards.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Vincent,_Rhonda", "url": "http://www.cmt.com/news/articles/1484919/02092004/vincent_rhonda.jhtml"} +{"d:Title": "Country Standard Time", "d:Description": "Includes album review of One Step Ahead, interview, and biographical information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Vincent,_Rhonda", "url": "http://www.countrystandardtime.com/d/article.asp?fn=rhondavincent3.asp"} +{"d:Title": "CMT.com", "d:Description": "Includes biography, videos, news clippings, message board, awards and TV listings.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Bands_and_Artists/Vincent,_Rhonda", "url": "http://www.cmt.com/artists/rhonda-vincent/"} +{"d:Title": "FloydFest", "d:Description": "Four days of bluegrass and world music on four stages in the Blue Ridge Mountains in Floyd, VA. Workshops, food, free on-site camping, kids' activities, healing arts, biking and hiking. Site provides directions, links and contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://floydfest.com/"} +{"d:Title": "MerleFest", "d:Description": "Held annually each April in Wilkesboro, North Carolina, this Americana festival offers traditional, bluegrass, folk, gospel, blues, Cajun, old-time, jazz, and Celtic music genres. Artists and schedules, pictures, ticket information, mailing list, discussion board, map, and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.merlefest.org/"} +{"d:Title": "Planet Bluegrass", "d:Description": "Home page for the Telluride Bluegrass Festival, Rocky Mountain Bluegrass Festival (RockyGrass), Rocky Mountain Folks Festival, and Song School (a songwriters' summit), all in Colorado. Music downloads of favorite Telluride artists, tickets, CDs and online merchandise.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.bluegrass.com/"} +{"d:Title": "Strawberry Music Festivals", "d:Description": "Two eclectic bluegrass festivals take place over the Memorial Day and Labor Day weekends at Camp Mather, Yosemite, CA, offering family activities including musical performances, story-telling, arts and crafts, and jamming. No pets, ground or charcoal fires. Announcements, ticket information, maps, weather forecasts, lineups, set lists, message board and feedback.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.strawberrymusic.com/"} +{"d:Title": "Bill Monroe's Bean Blossom", "d:Description": "Bluegrass, gospel, country festivals and happenings at Bean Blossom, Indiana, with event ticket prices, news flashes, history, awards, pictures, guest book, chat room, gift shop, camping and lodging information. Links to artists, festivals, clubs, associations, magazines, and the American Red Cross National Disaster Relief Fund. With a dedication \"to the memory of Bill Monroe, 'The Father of Bluegrass,' and to the legacy of the style of music he left behind.\"", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.beanblossom.com/"} +{"d:Title": "Walnut Valley Festival", "d:Description": "\"Winfield\" is held each September in Kansas and is famous for its picking and fiddling contests and champions, with contestants from all over the world vying for top honors. Four official (performance) and two unofficial (walk-on and jamming) stages. Schedule, media information, rules, contest rules, maps, archives, camping, vendor and special event information, plus linking options.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.wvfest.com/"} +{"d:Title": "Huck Finn Bluegrass Festival", "d:Description": "A large family festival held each June in Victorville, CA, with camping, circus, games, crafts, and contests. Online tickets, directions, band schedule, media information, links, camping and lodging.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.huckfinn.com/"} +{"d:Title": "Dancin' Dave's Bluegrass Festival Camping", "d:Description": "Business offering campsite set-ups, ready to go at various large bluegrass festivals in the US. Email address, calendar of events, resources, pictures and frequently asked questions (FAQs).", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.dancindave.com/"} +{"d:Title": "Topanga Banjo Fiddle Contest&Folk Festival", "d:Description": "Held annually since 1961 in southern California, this old-time and bluegrass May event at the Paramount Ranch features four stages with over 100 contestants, professional bands, folk dancing and singing, jamming, folk arts booths, National Parks exhibits and children's crafts. Schedule, band bios, photos, vendor and volunteer information, FAQ, telephone hotline and email contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.topangabanjofiddle.org/"} +{"d:Title": "Northern Neck Bluegrass Festival", "d:Description": "A bluegrass and family attraction in the scenic Northern Neck area of Virginia. Offers camping, fishing, swimming, bicycling, hayrides and picking. History, calendar, directions, band schedule, ticket prices, accommodations, rates, package deals, area attractions, feedback and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.heritagepark.com/bluegrass.htm"} +{"d:Title": "Oklahoma International Bluegrass Festival", "d:Description": "An annual event held each October within walking distance of Guthrie, with camping and RV hook-ups. Artist roster, schedule, ticket, advance ticket and volunteer information, photos, accommodations, maps, discussion page, guest book, mailing list and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.oibf.com/"} +{"d:Title": "Grey Fox Bluegrass Festival", "d:Description": "Held each July on the Rothvoss Farm in Ancramdale, New York, this is the Northeastern festival formerly known as Winterhawk. Photos, directions, schedules, press releases, a discussion group, on-line vendor application, contests, events, amenities, area accommodations, camping and volunteer information, FAQs, and bluegrass links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.greyfoxbluegrass.com/"} +{"d:Title": "Blistered Fingers", "d:Description": "Two four-day family festivals hosted by the band Blistered Fingers each June and August in Sidney, Maine, with an open stage to encourage up-and-coming bands (no band contest). Maps, directions, performance schedules, past performers, photos, mailing list, guest book, links and fan club.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.blisteredfingers.com/"} +{"d:Title": "PreddyFest Bluegrass Festival", "d:Description": "Held in August on the Tar River in Franklin County, North Carolina, this festival offers both shade, space and plenty of picking, and is one of bluegrass's newest family-style gatherings. Email address, photos, guidelines, schedule, directions, ticket and camping information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.preddyfest.com/"} +{"d:Title": "Telluride Tom's Home Page", "d:Description": "Telluride Tom has some of his personal recordings (on sound files) and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.telluridetom.com/"} +{"d:Title": "Wintergrass Bluegrass Festival", "d:Description": "Held indoors in Bellevue, WA, this mid-February event has diverse headlining acts, stages, workshops, dances, kids' activities, open mics and jamming. Ticket information, contact, travel, hotel, vendor information, and surprises.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://wintergrass.com/"} +{"d:Title": "Ossipee Valley Bluegrass Festival", "d:Description": "Annual Maine event in late July. New England Flatpicking and Banjo Championships, several stages, plus an open stage, dance tent, workshops, prizes, vendors, camping and RV hook-ups. FAQs, advance ticket sales, prices, photos, band biographies, contests, contacts, schedule, directions and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.ossipeevalley.com/"} +{"d:Title": "Silver Strings Bluegrass Festival", "d:Description": "Held in early June at State Fair Park in Lincoln, NE, this event has a covered festival stage, full-service campground, tent sites and a free shuttle. Band schedule, artist profiles, weather, directions, ticket prices, telephone and email contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.statefair.org/"} +{"d:Title": "Dumplin Valley Bluegrass Festival", "d:Description": "This festival is held in the Smoky mountains in Kodak, TN in September, rain or shine. Advance and gate ticket prices, e-mail contact, photos, performer links, map and directions.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.dumplinvalleybluegrass.com/"} +{"d:Title": "Gettysburg Bluegrass Festival", "d:Description": "Annual May and August events in historic Gettysburg, PA. Ticket ordering, artist lineup, directions, FAQs, area attractions, vendor applications, camping and lodging information, news, mailing list, email contact and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.gettysburgbluegrass.com/"} +{"d:Title": "The Acoustic Playground", "d:Description": "Overview of bluegrass and acoustic music festivals. Geographic listings, humor, instrument makers and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://newgrass.freeservers.com/"} +{"d:Title": "Festival In The Pines", "d:Description": "Annual four-day event held the second weekend of May at Tripple Creek Music Campground in Rocky Mount VA. Traditional bands, food, electric camping, barn square dance and reunion shows. Schedule, photos, ticket ordering, mailing list and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.tripplecreek.com/"} +{"d:Title": "Pickathon Music Festival", "d:Description": "An outdoor weekend festival that showcases a national mix of Roots musical styles. Advance ticket sales, festival rules and services, camping and lodging information, directions and email contact.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.pickathon.com/"} +{"d:Title": "Tom's Festival Listings", "d:Description": "Dates and locations of bluegrass festivals in the United States.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.angelfire.com/tx5/tludwi/festivalpage.html"} +{"d:Title": "Fox Family Bluegrass Festival", "d:Description": "Annual August family event held in Old Forge, NY, with music, workshops, children's activities, food, crafts and camping. Site provides schedule, photographs, tickets and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.foxfamilybluegrass.com/"} +{"d:Title": "RenoFest", "d:Description": "Commemorating the life and music of banjo legend Don Reno, this annual festival, hosted by the Reno Brothers, is held indoors at the historic Center Theater in Hartsville, South Carolina, with performances, instrument workshops, outdoor parking-lot picking, showcase and special events around town. Ticket and limited RV reservations, maps, parking, lodging and discount information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.renofest.com/"} +{"d:Title": "Clinton Bluegrass Festival", "d:Description": "Annual Bluegrass Music Festival held the first Saturday of August each year at the Dewitt County Museum in Clinton, Illinois information page with times, prices and featured acts, plus links to related sites.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.freewebs.com/concertflyer/"} +{"d:Title": "Bluegrass 101 Bluegrass Festival", "d:Description": "Kentucky event held in late August with proceeds benefiting WHAS Crusade for children. Advance ticket sales information on site.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.bluegrass101.com/1stAnnualBluegrass101Festival.htm"} +{"d:Title": "RiverCity Bluegrass Festival", "d:Description": "Two solid days of bluegrass and acoustic Americana in January 2005 at the Oregon Convention Center in Portland, Oregon. Hotel and ticket reservations, jamming, schedule, band links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.rivercitybluegrass.com/"} +{"d:Title": "Laurel Highlands Bluegrass Festival", "d:Description": "Annual two-day June festival in the Laurel Highlands of western Pennsylvania. Tickets, camping, schedule, bands, directions and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.laurelhighlandsbluegrass.com/"} +{"d:Title": "Coleman Station Bluegrass Festival", "d:Description": "Annual September family event located in Western Pennsylvania. Map and directions, scheduled bands, camping, links to bands and organizations.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.blue-grass.org/festival/index.html"} +{"d:Title": "Big Horn Mountain Festival", "d:Description": "Three-day Americana festival held in late June at the Johnson County Fairgrounds in Buffalo, Wyoming. Band links, photos, schedule, tickets, lodging, camping, sponsors, vendors, area attractions, and email contact.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.bighornmountainfestival.com/"} +{"d:Title": "Mickey's Mountain Productions", "d:Description": "Three family weekend festivals in June, July and August at Mickey's mountain, Hopedale, Ohio. Band schedules, photos, camping, ticket prices, phone and email contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.mickeysmtn.com/"} +{"d:Title": "Blazin' Bluegrass", "d:Description": "Three-day August festival in Whitley City, Kentucky. Press releases, maps, camping information, email and phone contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.blazinbluegrass.com/"} +{"d:Title": "Bluegrass Festival Guide", "d:Description": "Direct links to upcoming North American festivals, organized weekly and by state and province. Artist and venue schedules, industry links, and music downloads.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.bluegrassfestivalguide.com/"} +{"d:Title": "Bardstown Bluegrass Festival", "d:Description": "Annual two-day June festival at White Acres Campground in Bardstown, Kentucky. Links, advance tickets, schedule, phone and email contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.bluegrass101.com/"} +{"d:Title": "North Wales Bluegrass Festival", "d:Description": "Also known as the International Bluegrass Music and Dance Festival, this is an annual event taking place the first weekend in July, featuring traditional bluegrass, old-time music, dance, and workshops. News, slide show, maps, camping, tourist links and advance ticket sales on-line. Phone, guest list and e-mail contacts. All information is in English and Welsh.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.northwalesbluegrass.co.uk/"} +{"d:Title": "Chicago Bluegrass Band", "d:Description": "The Chicago Bluegrass Band delivers slamming traditional bluegrass music with a big-city wallop. The band's forte is a healthy diet of original tunes about hard-times, love, death, and home.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://chicagobluegrass.com/"} +{"d:Title": "Coastline Bluegrass Music Event", "d:Description": "Three-day family festival in June at Plas Uchaf Farm, near Llandudno, LL28 5PD, North Wales, U.K. Concerts, picking sessions, workshops, advance tickets, venue and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.coastlinebluegrass.co.uk/"} +{"d:Title": "Athy Bluegrass Music Association and Festival", "d:Description": "Ireland's longest running festival, sponsored by the Athy Bluegrass Music Association, held in Co. Kildare. Also concert listings, photo galleries, artist links and local bed and breakfast listings.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.athy-bluegrass.com/"} +{"d:Title": "Central Virginia Family Bluegrass Festival", "d:Description": "Family oriented event held in May and August at Amelia Family Campgrounds. Swimming, fishing, playground. All types of campers and groups welcome. Site provides links and area information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.ameliafamilycampground.com/"} +{"d:Title": "Festival of the Bluegrass", "d:Description": "This annual June event has two stages at the Kentucky Horse Park in Lexington, KY, and has four days of pickin'. Schedule, photos, advance ticket rates, weather information, camping reservation contacts, and area attractions.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.festivalofthebluegrass.com/"} +{"d:Title": "Watermelon Park Fest", "d:Description": "An annual three-day Americana music festival on the banks of the Shenandoah River. Provides ticket, camping, vendor, sponsor and entertainment information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://watermelonparkfest.com/"} +{"d:Title": "Stompin 76", "d:Description": "History of the bluegrass festival, once called the largest of its kind in history.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.concert-promotions.com/StompinSite/users.rcn.com/hal2002/index.html"} +{"d:Title": "Thomas Point Beach Bluegrass Festival", "d:Description": "Held annually on Labor Day weekend in Brunswick, Maine, overlooking Thomas Bay, this long-running festival offers a band competition (cash prize), a huge roster of bands, non-stop jamming, crafts and artist workshops, vendors, camping, and free parking for day trippers. Ticket ordering and camping information, band contest applications, directions, weather information and rules.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.thomaspointbeach.com/bluegrass.o6.lineup.shtml"} +{"d:Title": "Mayville Bluegrass Festival", "d:Description": "Mid-June festival on scenic Chautauqua Lake at Lakeside Park, Mayville, NY. National and regional bands, contests and workshops. Map, artist links, email and phone contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.mayvillebluegrassfestival.com/"} +{"d:Title": "EBMA European World of Bluegrass (EWOB) Festival", "d:Description": "The European Bluegrass Music Association (EBMA) coordinates the annual series with over one-hundred concerts and events in May and June, centering on the event in Voorthuizen, Netherlands. In English and Dutch, with news, photos, videos, sound-clips, band links, history, events, officially listing the top three winners of the annual 'European Bluegrass Band' and 'Audience Popularity' EWOB Awards.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.ewob.eu/"} +{"d:Title": "Northeastern Pennsylvania Bluegrass Festival", "d:Description": "The NEPA Festival is a three-day family hoedown at the Lazy Brook Park in Northeastern Pennsylvania, with traditional and contemporary bluegrass acts, all-night jamming at Danny Stewart's Jam Tent, food, family activities and nature walks. Sun, shade, totem poles, camping, vendors and children's activities. Advance tickets, directions, links, hotels and campgrounds.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.nepabluegrass.com/"} +{"d:Title": "Otis Mountain Music Festival", "d:Description": "Annual bluegrass and Americana festival in Elizabethtown, NY, in the Adirondacks. History, press release, photo gallery, directions, store, vendors, sponsors and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.otismountain.com/"} +{"d:Title": "Poppy Mountain Bluegrass Festival", "d:Description": "This September family festival in Morehead, Kentucky offers showcases and main stage acts, with free admission for children 12 and under. Activities include fishing, hiking, horseback trail-riding, sightseeing and jamming. Discussion group, mailing list (with free raffle entry), prizes, showcase information, photos, FAQs, volunteer forms, directions, camping and vendor information, and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.poppymountainbluegrass.com/"} +{"d:Title": "Brimstock Bluegrass Festival", "d:Description": "Bluegrass family festival with comedy, corn toss, dog show, and free camping. Contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://brimstock-2009.tripod.com/"} +{"d:Title": "Delaware Valley Bluegrass Festival", "d:Description": "Three-day family festival held annually over the Labor Day weekend at the Salem County Fair Grounds in Woodstown, NJ. Ticket prices, history, photos, performers, schedule, location, campground and accommodations information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.delawarevalleybluegrass.org/"} +{"d:Title": "Juniper Jam Music Festival", "d:Description": "A full day of folk, bluegrass, blues, and Americana, plus food, kid's activities, and art, held Labor Day weekend in Enterprise, Oregon. Schedule, tickets information, photos and news.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://juniperjam.com/"} +{"d:Title": "Autumn Leaves Festival", "d:Description": "Annual fall festival, featuring old-time bluegrass and gospel music, held in Mt. Airy, North Carolina, with contacts, schedule, map, sponsor and vendor information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.autumnleavesfestival.com/"} +{"d:Title": "Ogden Friends of Acoustic Music", "d:Description": "OFOAM hosts annual Ogden Bluegrass&Acoustic Music Festival at beautiful Fort Buenaventura, Ogden, UT, the first weekend in June. On-site camping with lots of activities for the kids. Easy access from 1-15, 1 mile from downtown Ogden.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.ofoam.org/"} +{"d:Title": "Appalachian and Bluegrass Festival", "d:Description": "Held in Ulster American Folk Park at the ancestral Mellon family homestead, Omagh, and sponsored by National Museums Northern Ireland. Headlining bands roster and biographies. Tickets, e-newsletter, prizes and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.nmni.com/bluegrass.aspx"} +{"d:Title": "Cornish Bluegrass Association - Home", "d:Description": "Cornish Bluegrass Association - Bluegrass for all", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.cornishbluegrass.co.uk/"} +{"d:Title": "Orwell Bluegrass Festival", "d:Description": "British festival in Ipswich, Suffolk. Line-up, photos, band biographies, news, flier download, ticket and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.orwellbluegrass.co.uk/"} +{"d:Title": "Surrey Mini Bluegrass Festival", "d:Description": "Early August festival at the Mickleham Village Hall, Dell Close, Mickleham, Dorking, Surrey. Camp sites, accommodation, festival description, photo gallery, email and phone contacts.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.surreybluegrass.com/"} +{"d:Title": "The Wharf Bluegrass Weekend", "d:Description": "Early May festival at Stoke Prior Sports and Country Club, Westonhall Road, Stoke Prior, near Bromsgrove. Past events, galleries, facilities, photos, links and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.wharfbluegrass.com/"} +{"d:Title": "Old Blue Memorial Day Bluegrass Festival", "d:Description": "A three-day traditional festival held outdoors and indoors in Grand Junction, CO. Jams, workshops, Sunday Gospel, children's program, and emerging artists' showcase. Camping, RVs, special hotel rates, advance ticket discounts, and half-price admission for teens with parents. Children under 12 free. Mail, email, toll-free phone orders and information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.oldbluesound.com/"} +{"d:Title": "Kentucky to Kent UK", "d:Description": "A one day festival on August Bank Holiday each year at St Julians Club, Sevenoaks, Kent.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.ricktownend.co.uk/KtoK.htm"} +{"d:Title": "Gower Bluegrass Music Festival", "d:Description": "Workshops, informal picks, and bands at this hree-day festival set in the heart of the Gower Peninsula.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.gowerbluegrass.co.uk/"} +{"d:Title": "Bear on the Square Mountain Festival", "d:Description": "Event held in Dahlonega, Georgia. The festival celebrates southern Appalachian culture through music, art, and workshops.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.bearonthesquare.org/"} +{"d:Title": "Pemi Valley Bluegrass Festival", "d:Description": "Family-oriented festival held at the Sugar Shack Campground in Thornton NH. Bluegrass Music in the White Mountains along the Pemigewasset River of New Hampshire. Performers, schedule, tickets, camping, reservations, kid's activities, attractions, lodging, directions, links, email and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.pemivalleybluegrass.com/"} +{"d:Title": "Lakes Bluegrass Festival, The", "d:Description": "Featuring national, regional, and local bluegrass bands providing over forty hours of entertainment during the four-day musical event in Pine River, Minnesota. Tickets, schedule, bands, photos, sponsors, volunteers, map, and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://lakesbluegrassfestival.com/"} +{"d:Title": "3b Music Festival", "d:Description": "From Fiddlers Grove, North Carolina, and annual bluegrass, blues and BBQ Festival. Line-up, vendors, stage schedule, tickets, directions, and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://3bmusicfestival.com/"} +{"d:Title": "Hickory Fest", "d:Description": "Annual mid-August family festival held in Wellsboro, PA at the Stony Fork Campground near the Pennsylvania Grand Canyon. List of performers, stage times, tickets, merchandise, camping, directions, vendors, volunteers, local and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.hickoryfest.com/"} +{"d:Title": "Parkfield Bluegrass Festival", "d:Description": "Held in Parkfield, California, with camping, kids' programs, and workshops. Includes lineup, ticket sales, and general information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.parkfieldbluegrass.org/"} +{"d:Title": "Crested Butte Music Festival", "d:Description": "Two-day mid-July festival in Mt. Crested Butte, Colorado featuring \"newgrass\" and bluegrass bands from around the United States.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://crestedbuttemusicfestival.org/"} +{"d:Title": "Pickin' in the Pines Bluegrass Festival", "d:Description": "Acoustic music festival held in Flagstaff, Arizona, in September. Concerts, workshops, contests, contradancing, and children's activities.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://pickininthepines.org/"} +{"d:Title": "Dalesfest", "d:Description": "A family camping and picking weekend, dogs allowed, held at Dales Bank Farm, Low Lane, Silsden, West Yorkshire, BD20 9JH. Band listings, schedule, directions and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.dalesfest.co.uk/index.php"} +{"d:Title": "Northern Lights Bluegrass and Old Tyme Music Camp and Festival", "d:Description": "Late August festival at Ness Creek, 20 kilometers northeast of Big River, Saskatchewan, Canada. Music Camp at the festival site requires pre-registration. News and contact information", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://www.northernlightsbluegrass.com/"} +{"d:Title": "Uncut Grass", "d:Description": "Early June 2017 festival in Cortland, NY with camping, vendors, food and jamming. Contact information, reviews, event and radio links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Festivals", "url": "http://uncutgrass.com/uncut-grass-festival"} +{"d:Title": "Bluegrass Ireland Blog", "d:Description": "Richard Hawkins's Bluegrass Ireland provides breaking news of Americana music in Ireland, focusing mainly on the bluegrass and old-time communities, with links to international bluegrass and old-time associations. Archived history and photos are provided.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.bluegrassireland.blogspot.com/"} +{"d:Title": "Bluegrass Unlimited", "d:Description": "On-line edition of the monthly magazine, including selected features, national bluegrass survey, classifieds, releases and reviews from current and back issues.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.bluegrassmusic.com/"} +{"d:Title": "iBluegrass Magazine", "d:Description": "Dedicated to the enjoyment of traditional bluegrass and newgrass.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.ibluegrass.com/"} +{"d:Title": "Jim Moss Official Bluegrass and Swing Music Magazine", "d:Description": "Here is a valuable resource of articles, interviews, photos, banjo tab and mp3 music files. See old photos of classic and contemporary bands, and a private mandolin lesson by Frank Wakefield.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.mossware.com/music.html"} +{"d:Title": "MandoZine", "d:Description": "The online journal for the Mandolin", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.mandozine.com/"} +{"d:Title": "Cybergrass", "d:Description": "Bluegrass and acoustic music on-line magazine with current news, forums, feeds, events calendar and classified ads.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.cybergrass.com/"} +{"d:Title": "Roots Music Report", "d:Description": "Includes bluegrass radio airplay charts and CD reviews.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.rootsmusicreport.com/"} +{"d:Title": "Rambles: Bluegrass, Country, Old-Time&Appalachian", "d:Description": "Reviews covering the span of American roots music. Updated regularly with reviews retained in a permanent database.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.rambles.net/bluegrass.html"} +{"d:Title": "Cybergrass", "d:Description": "A source for bluegrass news and information and home of the Bluegrass Music News Network (BMNN).", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.cybergrass.com/newsrss10.php"} +{"d:Title": "Topix: Bluegrass", "d:Description": "News about bluegrass, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/bluegrass.xml"} +{"d:Title": "Bluegrass Music", "d:Description": "Bluegrass music blog with archives.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://bluegrassmusicinfo.blogspot.com/"} +{"d:Title": "Bluegrass Today", "d:Description": "A central clearing house for timely news and information which serves producers and consumers in the bluegrass and acoustic music community,", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://bluegrasstoday.com/"} +{"d:Title": "The Bluegrass Situation", "d:Description": "News, album reviews, videos, contests, and lifestyle columns.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Magazines_and_E-zines", "url": "http://www.thebluegrasssituation.com/"} +{"d:Title": "Bluegrass Radio Network", "d:Description": "Home of weekly program called \"Into the Blue.\" Playlists, affiliates and broadcast schedules, advertising and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Radio", "url": "http://bluegrassradio.com/"} +{"d:Title": "Worldwide Bluegrass", "d:Description": "Offers 24/7 streaming bluegrass music via the Internet and features live shows, interviews, album reviews, news, festivals announcements, forum, chat room, artist and industry links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Radio", "url": "http://www.worldwidebluegrass.com/"} +{"d:Title": "SIRIUS Satellite Radio: Bluegrass Junction", "d:Description": "Bluegrass at the commercial-free music station played around the clock across the US. Artist list, song request form, news.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Radio", "url": "http://www.siriusxm.com/bluegrassjunction"} +{"d:Title": "Bluegrass Australia", "d:Description": "A listing of bluegrass in Australia, including performances, workshops, radio shows and record reviews.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Australia", "url": "http://www.bluegrass.org.au/"} +{"d:Title": "Bluegrass in Belgium", "d:Description": "Bringing together and updating bluegrass musicians and fans in Belgium. Calendar, membership information and links to bands, luthiers, European associations and radio.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Belgium", "url": "http://www.bluegrass.be/"} +{"d:Title": "Valley 'Grass", "d:Description": "The Ottawa Valley Bluegrass Association in Ontario, Canada, offers information on local festivals and other events. News, membership and contact information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Canada", "url": "http://www.valleygrass.ca/"} +{"d:Title": "Northern Bluegrass Committee", "d:Description": "Promoting bluegrass in Ontario and central Canada. Sponsors of the annual Central Canadian Bluegrass Awards. Includes past award winners, schedule of concerts and festivals, and radio directory.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Canada", "url": "http://www.northernbluegrass.com/"} +{"d:Title": "Foothills Bluegrass Music Society", "d:Description": "Bluegrass society in Canada that has been promoting, presenting, and facilitating the playing and teaching of bluegrass music in Calgary and the surrounding area since 1987 through concerts, on-line library, jam sessions, workshops, and newsletter.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Canada", "url": "http://www.foothillsbluegrass.com/"} +{"d:Title": "BlueNa Bluegrass World", "d:Description": "Database for European bluegrass and old-time music, listing festivals, events, contacts, bands, classifieds and links. Information on the printed journals \"Bluegrass B\u00fchne\" and \"BLUEGRASS EUROPE\". Home to BlueNa, BlueNa Country Club, and Streichholz-Schachtel instrument shop.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Germany", "url": "http://www.bluegrass.de/"} +{"d:Title": "Bluegrass Camp Germany", "d:Description": "Annual weekend indoor camp with master classes and international teachers specializing in banjo, dobro, guitar, mandolin, vocal harmonies, and group jamming.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Germany", "url": "http://www.bluegrasscampgermany.com/"} +{"d:Title": "Bluegrass Ireland Blog", "d:Description": "News of Americana music in Ireland, focusing mainly on the bluegrass and old-time scene, with links to international bluegrass and old-time associations. Photos, calendar, readers' comments and archived history.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Ireland", "url": "http://bluegrassireland.blogspot.ie/"} +{"d:Title": "Tzora Folk Club, Bluegrass, Folk and Country Music in Israel, hosted by Judi 'n' Lynn Lewis", "d:Description": "Bluegrass, folk and country music in Israel with overview and performers, MIDI and MP3 Files, FolkNotes Newsletter, The Southern Ramblers Trivia Page, and photo galleries.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Israel", "url": "http://www.tzorafolk.com/club/"} +{"d:Title": "Bluegrass in Israel", "d:Description": "A documentation of activity in Bluegrass music all over Israel, and in invitation to Bluegrass musicians and fans to come and visit.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Israel", "url": "http://pa7450.wixsite.com/bluegrassinisrael"} +{"d:Title": "Swiss Bluegrass Music Association", "d:Description": "Includes membership information, news, and directory of Swiss bands and festivals. [English/German]", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/Switzerland", "url": "http://www.bluegrass.ch/"} +{"d:Title": "Cornish Bluegrass Association", "d:Description": "Home of the Cornwall scene in southwest England, with news, calendar of events, forum, festival, MP3s, photos and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_Kingdom", "url": "http://www.cornishbluegrass.co.uk/"} +{"d:Title": "Bluegrass America", "d:Description": "This organization puts on festivals and promotes bluegrass in the Missouri area. Memberships, band directory, and festivals.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.bluegrassamerica.com/"} +{"d:Title": "Boston Bluegrass Union", "d:Description": "Includes a calendar of upcoming events in the Boston area.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.bbu.org/"} +{"d:Title": "Intermountain Acoustic Music Association", "d:Description": "Nonprofit group based in Salt Lake City, Utah, promoting bluegrass and other acoustic music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.iamaweb.org/"} +{"d:Title": "Kansas Bluegrass Association", "d:Description": "A nonprofit organization dedicated to the preservation and promotion of Bluegrass music in central Kansas.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://kansasbluegrass.org/"} +{"d:Title": "Minnesota Bluegrass and Old-Time Music Association", "d:Description": "Nonprofit, volunteer-based organization working to preserve and promote bluegrass and old-time string band music in Minnesota and the midwest. Includes event calendar, band directory and membership information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://minnesotabluegrass.org/"} +{"d:Title": "The Brandywine Friends of Oldtime Music", "d:Description": "A nonprofit organization dedicated to the preservation and presentation of the traditional music of the United States known as \"old time\" music, including string band, Southern Appalachian, classic early country, and bluegrass music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.brandywinefriends.org/"} +{"d:Title": "North Georgia Bluegrass Chronicles", "d:Description": "Includes archives and articles on North Georgia bluegrass history, festival and band listings, and photos.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://bcbrown.net/bluegrass/chronicles/"} +{"d:Title": "Southwest Traditional and Bluegrass Music Association", "d:Description": "Dedicated to bringing locally, regionally and nationally known acoustic musicians to audiences in Albuquerque and Santa Fe, New Mexico. Event calendar, newsletter, photo gallery and membership information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.southwestpickers.org/"} +{"d:Title": "Grillbillies Online", "d:Description": "A large extended family of bluegrass fans, pickers and chefs from NJ, PA, NY, DE, CT, MD, RI, VA, MA and TN, who dig cooking, dancing, breaking bread, sponsoring activities, and wining and dining hungry pickers and friends at festivals, including their own.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.grillbillies.com/"} +{"d:Title": "SouthEastern Bluegrass Association", "d:Description": "Nonprofit organization based in Atlanta, GA. Has ongoing events, meetings, Newsletter, message board, venues and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.sebabluegrass.org/"} +{"d:Title": "Southwest Bluegrass Association", "d:Description": "Promoting bluegrass music in Arizona and southern California.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.s-w-b-a.com/"} +{"d:Title": "Bluegrass and Old-Time Music Association of New Jersey, Inc.", "d:Description": "Includes calendar of jams and concerts, festival and radio listings, photos and band information. Monthly stage shows and jam sessions on the third Sunday, September through May.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.newjerseybluegrass.org/"} +{"d:Title": "Bluegrass Hawai`i", "d:Description": "News, events, and bands covering bluegrass and traditional music.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.bluegrasshawaii.com/"} +{"d:Title": "Northern Indiana Bluegrass Association", "d:Description": "Includes information on bluegrass jams, festivals and concerts in Indiana, Ohio, and Michigan.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.bluegrassusa.net/"} +{"d:Title": "The Lone Hickory Bluegrass Jam Session", "d:Description": "Information and protocol about the jam session held every Wednesday evening near Yadkinville, North Carolina. Directions, photos, guest book and email updates.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.angelfire.com/folk/lonehickory/index.html"} +{"d:Title": "Appalachian Fiddle&Bluegrass Association", "d:Description": "Eastern Pennsylvania association that promotes festivals and jam sessions. Schedule of events, photos, directions, contacts and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.afbawindgap.org/"} +{"d:Title": "Wisconsin Bluegrass", "d:Description": "The Southern Wisconsin Bluegrass Music Association, Inc. is a non-profit organization that has been promoting bluegrass music in Wisconsin and the Midwest since being founded in 1983.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://swbmai.org/"} +{"d:Title": "Bluegrass Preservation Society", "d:Description": "Supports bluegrass music by sponsoring festivals, competitions, and publications.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.bluegrasspreservation.org/"} +{"d:Title": "Jackson Purchase Friends of Bluegrass", "d:Description": "Organization devoted to bluegrass in, Benton, KY, featuring shows at the Kentucky Opry and environs. Audio links, artist profiles, newsletter, coming events, radio and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.jpfob.com/"} +{"d:Title": "Larry's Grand Ole Garage&Bluegrass Music Park", "d:Description": "Family-oriented venue in the Nashville area which promotes bluegrass bands every other Saturday night and open jam sessions on other nights. Free admission, on-line listening, schedule, band profiles, concession information and directions. Dedicated to Larry Plemons and held in his ole garage.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.larrysgrandolegarage.com/"} +{"d:Title": "Yadkin Valley Bluegrass Convention", "d:Description": "Held annually each third Saturday night in April, this band contest and fund-raiser features local and regional bluegrass talent from northwestern North Carolina and Southwestern Virginia. Money prizes and ribbons for individual instrument, band, lead and group vocal, and youth categories. Directions, history, rules and regulations, guest book and links.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://www.yadkingrass.com/"} +{"d:Title": "International Bluegrass Music Museum - Owensboro, Kentucky", "d:Description": "The mission of the International Bluegrass Music Museum is to develop and maintain an environment in which people of all ages can discover the richness of bluegrass music through an exciting and educational experience.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://bluegrassmuseum.org/"} +{"d:Title": "Arizona Bluegrass Association", "d:Description": "The official web site featuring events, forum, jam sessions, band news, photos and festivals.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States", "url": "http://arizonabluegrass.com/"} +{"d:Title": "Santa Clara Valley Fiddler's Association", "d:Description": "Non-profit organization dedicated to preserving old time, bluegrass and gospel music as played on the fiddle and other acoustic stringed instruments. Includes event calendar and membership information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/California", "url": "http://www.scvfa.org/"} +{"d:Title": "Northern California Bluegrass Society / Santa Cruz Bluegrass Society", "d:Description": "Promoting bluegrass music in the Bay Area and throughout Northern California. Includes event calendar, directories of bands, venues, teachers, and radio shows, reviews, membership information, message board and mailing list.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/California", "url": "http://www.scbs.org/"} +{"d:Title": "Redwood Bluegrass Associates", "d:Description": "A non-profit organization promoting bluegrass in the San Francisco Bay area.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/California", "url": "http://www.rba.org/"} +{"d:Title": "InTune Bluegrass Events in Southern California", "d:Description": "InTune is the home of the Bluegrass Association of Southern California and the San Diego Bluegrass Society. Calendar listings of Southern California Bluegrass events and festivals, band listings and bluegrass resources.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/California", "url": "http://www.socalbluegrass.org/"} +{"d:Title": "California Bluegrass Association", "d:Description": "Promoting bluegrass throughout California, and organizers of the Grass Valley Bluegrass Festival each Father's Day weekend.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/California", "url": "https://www.cbaweb.org/"} +{"d:Title": "Colorado Bluegrass Music Society", "d:Description": "Promoting bluegrass throughout Colorado. Includes band directory, jam calendar, list of radio shows, photo gallery, and membership information.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/Colorado", "url": "http://www.coloradobluegrass.org/"} +{"d:Title": "Black Rose Acoustic Society", "d:Description": "Promoting traditional and acoustic music in the Colorado Springs area.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/Colorado", "url": "http://www.blackroseacoustic.org/"} +{"d:Title": "Central Texas Bluegrass Association", "d:Description": "Promoting bluegrass music throughout Central Texas through festivals, jams, workshops, and radio.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/Texas", "url": "http://www.centraltexasbluegrass.org/"} +{"d:Title": "Rio Grande Valley Bluegrass Association", "d:Description": "Organization dedicated to the preservation of American bluegrass music and offering a free e-mail membership for communication and promotion of bluegrass music events and happenings in the Rio Grande Valley Texas.", "topic": "Top/Arts/Music/Styles/B/Bluegrass/Regional/United_States/Texas", "url": "http://www.rgvbluegrass.com/"} +{"d:Title": "The Delta Blues Museum", "d:Description": "Features an exhibit calendar, online displays: exploring the blues and about the delta. Also included are program and education information, museum support and a gift shop.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.deltabluesmuseum.org/"} +{"d:Title": "The Blues Foundation", "d:Description": "Producer of the W.C. Handy Blues Awards, the Blues Hall of Fame, the Lifetime Achievement Awards and the Int. Blues Talent Competition", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.blues.org/"} +{"d:Title": "BluesWorld", "d:Description": "Blues articles, photographs, reviews, records, compact discs, CDs, 78 rpm phonograph record auctions, magazines, books, musicians, authors, researchers, resources, links.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.bluesworld.com/"} +{"d:Title": "FolkLib Index - Acoustic Blues Artists", "d:Description": "A very complete listing of links for acoustic blues musicians.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.folklib.net/index/blues.shtml"} +{"d:Title": "About.com: Blues", "d:Description": "Blues information, MP3s, CD reviews, interviews, and history.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://blues.about.com/"} +{"d:Title": "Blues Paradise", "d:Description": "Links to classic musicians Sonny Boy Williamson I and II, Muddy Waters, Little Walter, Robert Johnson and Howlin' Wolf as well as links to many more contemporary blues artists.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://bluesparadise.com/"} +{"d:Title": "The Blues Directory", "d:Description": "Contains over 2,200 blues links. Sites are organized in categories like bands and artists, record labels, and lyrics.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://blueslinks.tripod.com/"} +{"d:Title": "Blues for Peace", "d:Description": "Blues for Peace was set up in Israel to honor the roots of blues music and promote peace and the understanding that ALL peoples have had their share of the Blues.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.bluesforpeace.com/"} +{"d:Title": "The Blues Database", "d:Description": "Database with information on blues artists, discographies, and festivals.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.bluesdatabase.com/"} +{"d:Title": "King Biscuit Time", "d:Description": "Encompasses the famous Helena, Arkansas radio show made famous by blues harp legend Sonny Boy Williamson II (Rice Miller), the annual blues festival in Helena, and the monthly magazine dedicated to covering blues festivals and artists. Features articles from the magazine, and blues goodies available from their online store.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.kingbiscuittime.com/"} +{"d:Title": "Blues on Stage", "d:Description": "Web hosting company specializing in blues artists, companies, festivals and societies. Free links to client sites and paid advertising positions.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.bluesonstage.com/"} +{"d:Title": "Corky Siegel's Planet", "d:Description": "Member of a famous chicago blues band in the 60-70's.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.chamberblues.com/"} +{"d:Title": "Early Blues Website", "d:Description": "Broadening awareness and understanding of the origins of blues music and encouraging and supporting live blues music. Features essays, articles, images and a chronology of the blues.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.earlyblues.com/"} +{"d:Title": "New Zealand Blues and Views", "d:Description": "Website for Blues music by New Zealand musicians. Includes a Blues Scene page, and images.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.bluesandviews.com/"} +{"d:Title": "Bluesmovers", "d:Description": "Includes news and links.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.bluesmovers.com/"} +{"d:Title": "Topix: Blues", "d:Description": "News about blues, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://www.topix.com/rss/music/blues.xml"} +{"d:Title": "Blues Links", "d:Description": "An index of artists, festivals, organizations, magazines, books and various establishments that cater to blues.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://aude.m.free.fr/blues"} +{"d:Title": "Big Road Blues", "d:Description": "Discussion forums for blues players and fans.", "topic": "Top/Arts/Music/Styles/B/Blues", "url": "http://bigroadblues.com/"} +{"d:Title": "SFBlues.net", "d:Description": "A website about the artists and venues making up today's San Francisco blues scene.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://www.sfblues.net/"} +{"d:Title": "Knights In Blue Denim", "d:Description": "Tribute to the British blues scene around '68 -'70.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://www.fridhammar.com/london.html"} +{"d:Title": "Blues Index", "d:Description": "Information, sound clips and pictures of blues artists. [In English and Dutch]", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://members.home.nl/zowieso/blues/bluesindex.htm"} +{"d:Title": "Bluesonline", "d:Description": "Directory offering links to dozens of blues artists and bands.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://users.skynet.be/sky73948/startpagina.htm"} +{"d:Title": "Blues, Jazz&Guitar News", "d:Description": "Blues, Jazz and Guitar News, JAM Radio and Hot Links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://www.mikedollins.biz/"} +{"d:Title": "Matt Jacobs blues group", "d:Description": "Band website features a biography, video, audio, images, scheduling and contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://www.matt-jacobs.com/"} +{"d:Title": "Little Louis - the official website", "d:Description": "A blues artist website that offers a show schedule, music downloads, images, video and artist merchandise.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://www.littlelouis.com/news.html"} +{"d:Title": "UnlockAustin: Spotlight on Austin Music", "d:Description": "Spotlighting blues artists and bands from the Austin, Texas area with music clips and information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists", "url": "http://www.unlockaustin.com/"} +{"d:Title": "Ash, Franck", "d:Description": "Blues Guitarist. Biography, tours dates, and photos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.franckash.com/"} +{"d:Title": "All About The Blues", "d:Description": "Blues outfit from Frankfurt, Germany. Band information, photos, album, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.allabouttheblues.de/"} +{"d:Title": "Alvon", "d:Description": "San Francisco Bay Area based singer. Biography, discography, reviews, news and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.alvon.org/"} +{"d:Title": "Armstrong, James", "d:Description": "Hightone recording artist James Armstrong's official site. Site contains reviews, photos, and biography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.jarmblues.com/"} +{"d:Title": "Allison, Bernard", "d:Description": "Singer, songwriter and guitar playing son of Luther. Biographical information, press reviews, photos and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.bernardallison.com/"} +{"d:Title": "Allison, Kimberly", "d:Description": "Female guitarist.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.kimberlyallison.com/"} +{"d:Title": "Ashton, Gwyn", "d:Description": "Band information, reviews, press clips, pictures, tour dates and sound samples is offered on the web site of the Australian born and United Kingdom based electric guitarist and singer.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.gwynashton.com/"} +{"d:Title": "Achison, Geoff", "d:Description": "Blues-rock guitarist from Melbourne, Australia. Biography, news, reviews, recordings, tour schedule and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/A", "url": "http://www.geoffachison.com/"} +{"d:Title": "Blues Priority", "d:Description": "Blues, blues-rock, and electric blues from Switzerland.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://home.datacomm.ch/blues.priority/"} +{"d:Title": "Brozman, Bob", "d:Description": "Hawaiian guitar master. History, biography, and tour information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bobbrozman.com/"} +{"d:Title": "Bad Influence Band", "d:Description": "Washington DC based band that plays a mixture of Swing and Chicago blues. The site of the band that tours the Maryland, Virginia and DC area includes band information, reviews, recordings and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.badinfluenceband.com/"} +{"d:Title": "Brooks, Ronnie Baker", "d:Description": "A Chicago blues club favorite. Official site with tour dates, artist profile, purchase of his music and photos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.ronniebakerbrooks.com/"} +{"d:Title": "B.B. and the Blues Shacks", "d:Description": "Retro style blues band from Hildesheim, Germany. Pictures, gig dates, reviews, and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluesshacks.com/"} +{"d:Title": "Blue Stew", "d:Description": "Quartet from southern California that play their blues rockin'. Band information, discography, reviews and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluestew.com/"} +{"d:Title": "Blue Mother Tupelo", "d:Description": "Knoxville, Tennessee based band that plays its own original songs. Biography, discography, reviews, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluemothertupelo.com/"} +{"d:Title": "Bo, Eddie", "d:Description": "New Orleans' original funk-meister, still knockin' em dead on the keyboards after six decades.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.eddiebo.com/"} +{"d:Title": "Boegl, Reynhard", "d:Description": "Blues harp performer from Austria. Biography, projects, and audio/video files. In English and German.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.boegl.com/"} +{"d:Title": "Brooks, Lonnie", "d:Description": "Includes information, tour dates, a weekly chat with the artist and merchandise.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.lonniebrooks.com/"} +{"d:Title": "Built for Comfort", "d:Description": "Blues band from Denver, Colorado. Biography, gig dates, pictures, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.du.edu/~chmorley/bfc.html"} +{"d:Title": "Burks, Michael", "d:Description": "Guitarist/vocalist from Arkansas. Biography, discography, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.michaelburks.com/"} +{"d:Title": "Bond, Debbie and the Kokomo Blues Band", "d:Description": "Based in Alabama, United States. Biography, booking information, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.alabamablues.org/hub.html"} +{"d:Title": "Big City Blues Band", "d:Description": "Florida blues and rhythm and blues band. Schedule, biography, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bigcityband.com/"} +{"d:Title": "Block, Rory", "d:Description": "Multiple W.C. Handy Award winner Mississippi Delta Country Blues singer/songwriter and guitarist. Biography, discography, reviews, news, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.roryblock.com/"} +{"d:Title": "Blues O Matix", "d:Description": "Profile and playlist of the California band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.napanet.net/~bsims/blues/"} +{"d:Title": "Blues Support", "d:Description": "Swedish bluesband.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://hem.bredband.net/bluesboy/"} +{"d:Title": "Blues Company", "d:Description": "Based in Osnabr\u00fcck, Germany. Profile, discography, tour dates, and pictures. In English and German.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluescompany.de/"} +{"d:Title": "Bj\u00f6rkl\u00f6f, Micke and Blue Strip", "d:Description": "Includes biography, discography, tour dates, gallery and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluestrip.net/"} +{"d:Title": "Blues Victim", "d:Description": "Seven piece blues/jump-blues/swing band from Chicago. Pictures, sound files, and gig dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.angelfire.com/blues/victim/"} +{"d:Title": "Burnside Blues Band", "d:Description": "Steve Burnside and the original Marquis Blues Band recording of Chicago blues/rhythm and blues music. Information, CD purchase, and MP3s.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.burnsidebluesband.com/"} +{"d:Title": "Backwater Blues Band, The", "d:Description": "Tampa, Florida based band that plays traditional, contemporary, and original blues. Band information, photos, tour dates and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.backwaterblues.com/"} +{"d:Title": "Black, Neal", "d:Description": "Discography, profile, pictures, and CD information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://members.tripod.com/neal_black/"} +{"d:Title": "Bell, Carey", "d:Description": "Website features an image and biographical note concerning the artist.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.celticguitarmusic.com/harmbell.htm"} +{"d:Title": "Blue, Sugar", "d:Description": "Biographical note from the book 'Masters of Blues Harp'.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.celticguitarmusic.com/harmsugarb.htm"} +{"d:Title": "Best, Phil", "d:Description": "British country blues guitarist website that offers artist information and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.philbest.co.uk/"} +{"d:Title": "Blues Rooster", "d:Description": "Chicago and West Coast style blues from Switzerland. The site of this outfit includes a biography, news, references, song repertoire, events, pictures and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluesrooster.ch/"} +{"d:Title": "Bluesoul", "d:Description": "Soulful Blues from Germany with loads of organ, guitar and Lap-Steel.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluesoul.de/"} +{"d:Title": "Big Bill and the Cool Tones", "d:Description": "San Francisco Bay Area, California based blues and rhythm and blues band website that includes biographies, reviews, recordings, photos and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.vom.com/bigbill/andthecooltones.htm"} +{"d:Title": "Blues Plate Special", "d:Description": "Modern blues harmonica and guitar band based in the South of New York State. Band information, reviews, photos and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluesplatespecial.com/"} +{"d:Title": "Buford, Mojo", "d:Description": "Biographical note.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.celticguitarmusic.com/buford.htm"} +{"d:Title": "Bluesdoctor", "d:Description": "Bluesdoctor are five musicians from the region of Frankfurt-Gie\u00dfen/Germany, who came together, to have fun with blues and rockmusik. They perform in pubs, on bluesfestivals and keep the private party rolling", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluesdoctor.de/"} +{"d:Title": "Barbeck and 7th Son, Pete", "d:Description": "Blues band from Texas that plays covers as well as original material. Biographies, pictures, and information available.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.petebarbeck.homestead.com/"} +{"d:Title": "Blues Burners, The", "d:Description": "Forestville, California based band. Band information and albums, show information and bookings.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluesburners.com/"} +{"d:Title": "Brosnan, Mike", "d:Description": "Blues slide guitarist from New Zealand. Biography, calendar, discography, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.mikebrosnan.com/"} +{"d:Title": "Back Alley Band, The", "d:Description": "Kansas city based Blues, Soul and rhythm and blues formation. Band information, reviews, photos, tour calendar and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.thebackalleyband.com/"} +{"d:Title": "Big Blue, The", "d:Description": "English three-piece blues band website that offers, free blues music, mp3 downloads and gig listings.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.the-big-blue.com/"} +{"d:Title": "Blues Specialists, The", "d:Description": "Austin, Texas based band. Profiles and history.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bluesspecialists.org/"} +{"d:Title": "Bad Luke and the Happy Few", "d:Description": "Belgian formation that plays Blues and Rhythm and Blues. Includes biographical information, a tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.badluke.be/"} +{"d:Title": "BUCK69", "d:Description": "Father and son create electric contemporary blues. Contains biography, videos, photos and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.buck69.net/"} +{"d:Title": "Blackbirds, The", "d:Description": "Biography, discography, sound files, and gig dates for the band from Belgium.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://home.scarlet.be/rudolphnevi/bbwebsite/index.htm"} +{"d:Title": "Better Off Dead", "d:Description": "New Jersey based band website that offers a biogrqaphy, performance schedule, music samples, images and contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.betteroffdead.com/home.htm"} +{"d:Title": "Big Brother and the Holding Company", "d:Description": "Blues-Rock band once fronted by Janis Joplin. History, band biography, recordings and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B", "url": "http://www.bbhc.com/"} +{"d:Title": "Tab Benoit", "d:Description": "Official site with biography, tour dates, and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B/Benoit,_Tab", "url": "http://www.tabbenoit.com/"} +{"d:Title": "Harry's Blues Lyrics: Big Bill Broonzy", "d:Description": "Large collection of lyrics, some with sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B/Broonzy,_Big_Bill", "url": "http://blueslyrics.tripod.com/artistswithsongs/big_bill_broonzy_1.htm"} +{"d:Title": "Blues on Stage: The Second Time Around", "d:Description": "Reviews by Tony Glover of two Smithsonian Folkways reissues, Trouble in Mind and Memphis Slim--The FolkwaysYears 1959-73.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/B/Broonzy,_Big_Bill/Reviews", "url": "http://www.mnblues.com/cdreview/folkways-bbb-ms.html"} +{"d:Title": "Cooper, Greg", "d:Description": "San Francisco Bay area based blues and jazz guitarist. Artist information, photos and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://gregcooper-guitar.com/"} +{"d:Title": "Campbelljohn, John", "d:Description": "Canadian slide guitarist. Discography, biography, lyrics, pictures, and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.campbelljohn.ca/"} +{"d:Title": "Collins, Albert", "d:Description": "Albert Collins talks about his music, guitar style and career on Blues For Peace.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.bluesforpeace.com/acollins.htm"} +{"d:Title": "Cosmic Mercy", "d:Description": "San Francisco Bay Area rock/blues band. Biography, gig dates, photos, lyrics, and audio/video samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.cosmicmercy.com/"} +{"d:Title": "Campbell, Ron", "d:Description": "News, reviews, pictures, sound clips, and a description of Delta blues.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.ronc.net/"} +{"d:Title": "Catfish Keith", "d:Description": "Singer, songwriter, and bottleneck slide guitarist. Biography, reviews, sound files, and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://catfishkeith.com/"} +{"d:Title": "Chorn\u00e9, Rich", "d:Description": "Guitarist based in the Washington, DC area. Biography, discography, schedule, reviews, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://users.erols.com/rchorne/"} +{"d:Title": "Curtis Sumter Project, The", "d:Description": "Chicago style blues band. Biography, show dates, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.csproject.net/"} +{"d:Title": "Cleary, Jon", "d:Description": "Singer, songwriter and piano player based in New Orleans, Louisiana. Band information and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.joncleary.com/"} +{"d:Title": "Chambers, Sean", "d:Description": "Blues rock guitarist. Biography, show dates, reviews, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.seanchambers.com/"} +{"d:Title": "Crazy Dogs", "d:Description": "Biography and sound files of the UK band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.deus.net/~bjb/music/crazydogs.html"} +{"d:Title": "Copley, Al", "d:Description": "Al Copley plays the blues - piano blues, jazz piano and boogie-woogie.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://alcopley.com/"} +{"d:Title": "Chicago Pete", "d:Description": "Detroit, Michigan based singer of traditional Chicago blues. Biography, reviews, recordings, tour dates and sound bytes.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.execulink.com/~speakeasy/cpete/"} +{"d:Title": "Colossal Dump", "d:Description": "Profile and lineup for the band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://members.tripod.com/~Crichton/dump.html"} +{"d:Title": "Campbell, Lynne", "d:Description": "New Zealand guitarist. Biography, press quotes, and link for sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://members.tripod.com/~Lynnemusic/"} +{"d:Title": "Carter, Terry", "d:Description": "Biography of the saxophone player from Arkansas.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.deltaboogie.com/deltamusicians/cartert.htm"} +{"d:Title": "Chubby, Popa", "d:Description": "Includes tour dates, discography, lyrics, and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.popachubby.com/"} +{"d:Title": "Cadillac Kings, The", "d:Description": "The Cadillac Kings provide premium quality blues jump-jive and swing. Featuring the monster harp playing of harmonica maestro Gary Potts.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.cadillackings.co.uk/"} +{"d:Title": "Crossroads Band, The", "d:Description": "Based in the Pacific Northwest. Biography and schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://web3.foxinternet.net/crossroads/"} +{"d:Title": "Cooley, Jon 'Blind Dog'", "d:Description": "Gig dates, pictures, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://blind-dog.net/"} +{"d:Title": "Classically Blue", "d:Description": "Biography, CD review, sound files, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://members.ozemail.com.au/~classblu/"} +{"d:Title": "C.D.Stone and His Screamin' Blue Orchestra", "d:Description": "An original Blues and Jazz virtuoso guitarist. Press kit, picture gallery, news and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.cdsandsbo.com/"} +{"d:Title": "Climax Blues Band", "d:Description": "British Blues-Rock band based in Stafford, England. Band history, member profiles, discography, tour dates and sound sample.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://climaxbluesband.com/"} +{"d:Title": "Cain, Chris", "d:Description": "San Francisco Bay-based blues guitarist and vocalist. History, tour dates, discography, articles, chat and interview.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/C", "url": "http://www.chriscainmusic.com/"} +{"d:Title": "Downchild Blues Band", "d:Description": "Based in Canada. Profiles, tour dates, history, pictures, sound files, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.downchild.com/"} +{"d:Title": "Dr Feelgood", "d:Description": "History, news, tour dates, gigs archive, and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.drfeelgood.de/index.htm"} +{"d:Title": "del Junco, Carlos", "d:Description": "Based in Toronto, Canada. Biography, career highlights, sound files, reviews, and schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.carlosdeljunco.com/"} +{"d:Title": "Delta Boys", "d:Description": "Chicago, Texas and Delta blues ... in Thailand.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://deltaboys.freeservers.com/"} +{"d:Title": "Denaro, Danny and his Jumpin' Jukes", "d:Description": "Based in San Jose, California. Gig dates, sound files, biography, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.jumpinjukes.com/"} +{"d:Title": "Dynamics, The", "d:Description": "1990 winners of the W.C. Handy Blues Contest Best New Talent. Eight piece band from Bloomington, IN.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.cs.indiana.edu/hyplan/tj/docs/body.html"} +{"d:Title": "Donald Ray Johnson Band", "d:Description": "Bluesman, drummer and vocalist. Grammy Award Winner.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.donaldray.com/"} +{"d:Title": "Diana and the Moondogs", "d:Description": "From Washington, DC. Biography and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.muddypaws.com/moondogs.html"} +{"d:Title": "Dirty River", "d:Description": "Cincinnati, Ohio blues and blues-rock band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://dirtyriverband.com/"} +{"d:Title": "Double Shot Band", "d:Description": "Five-piece rock and blues group from Georgia, US. Includes profile, pictures, lyrics, ordering, and MP3 audio.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.angelfire.com/ga/doubleshot/"} +{"d:Title": "DeBrie and Kessler", "d:Description": "Promotional site for Anne Kessler and Dub Debrie where you can hear music clips and purchase CDs from the three albums this Portland Oregon Duet have produced in the last 10 years.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.debrie.com/"} +{"d:Title": "Dozzler, Christian", "d:Description": "From Vienna, Austria. Biography, tour dates, and discography. In English and German.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.christiandozzler.com/"} +{"d:Title": "Davis, Guy", "d:Description": "Bluesman guitarist from New York. Represented by Red House Records.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/D", "url": "http://www.guydavis.com/"} +{"d:Title": "Earl, John and the Boogey Man Band", "d:Description": "Rhythm and Blues band, based in Las Vegas, Nevada. Official web site with band biography and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/E", "url": "http://www.blueslasvegas.com/"} +{"d:Title": "Earl, Ronnie", "d:Description": "Modern electric blues guitarist based in Boston, Massachusetts.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/E", "url": "http://www.ronnieearl.com/"} +{"d:Title": "Elliott and the Untouchables Blues Band", "d:Description": "Outfit from Columbia, South Carolina that plays jump and swing blues. Their site offers band and recording information as well as a sound sample.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/E", "url": "http://www.elliottandtheuntouchables.com/"} +{"d:Title": "Ellis, Tinsley", "d:Description": "Guitarist, singer and songwriter that plays a blend of Memphis rhythm and blues, Southwest blues, and urban funk. Offers a biography, discography, reviews, photos and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/E", "url": "http://tinsleyellis.com/"} +{"d:Title": "All Music Guide: David ''Honeyboy'' Edwards", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/E/Edwards,_David_Honeyboy", "url": "http://www.allmusic.com/artist/david-honeyboy-edwards-mn0000950372"} +{"d:Title": "Fat Bobs, The", "d:Description": "Roots blues formation based in Syracuse, New York. Band information, reviews, pictures and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://members.tripod.com/~thefatbobs/"} +{"d:Title": "Ford, Robben", "d:Description": "Blues-Rock singer and guitar player. Biography, albums, tour information, message board and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.robbenford.com/"} +{"d:Title": "Flower, Mary", "d:Description": "Singer and fingerstyle guitarist from Denver, Colorado. Biography, recordings and a tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.maryflower.com/"} +{"d:Title": "Five Points Band, The", "d:Description": "New York City based formation that plays straight country blues like Skip James and Son House. Includes band information, press clips, pictures, tour calendar and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.fivepointsband.com/"} +{"d:Title": "Farlow, Billy C.", "d:Description": "Singer, songwriter and harmonica player from Huntsville, Alabama. Biography, pictures and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.billycfarlow.com/"} +{"d:Title": "First Gin of the Day", "d:Description": "Japanese band that plays a mix of jazz and blues. Band information and a song listing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.fujiura.com/fgod/engindex.htm"} +{"d:Title": "Freeman, Denny", "d:Description": "Texas Blues style vocalist, guitar and piano player from Los Angeles, California. Artist information, reviews, photos, tour dates and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.dennyfreeman.com/"} +{"d:Title": "Forest, Andy J.", "d:Description": "New Orleans, Louisiana based vocalist, songwriter and harmonica player that prefers the New Orleans blues style. Biography, recordings, pictures, tour schedule, audio and video clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.andyjforest.com/"} +{"d:Title": "Fins, The", "d:Description": "Band from the New York City/New Jersey metro area that plays blues, jump and swing music. The site offers band information, reviews, a tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/F", "url": "http://www.thefins.com/"} +{"d:Title": "Gillespie, Dana", "d:Description": "Vocalist from the UK. Biography, discography, tour dates, filmography, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.dana-gillespie.com/"} +{"d:Title": "Guitar Mikey and the Real Thing", "d:Description": "Canadian contemporary Blues-Rock formation based in Hamilton, Ontario.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.therealthing.com/"} +{"d:Title": "Gomes, Anthony", "d:Description": "Guitarist from Canada. Biography, tour schedule, sound files, and news.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.anthonygomes.com/"} +{"d:Title": "Glamour Puss", "d:Description": "Canadian band that plays blues-injected, zydeco-tinged rock.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.glamourpuss.ca/"} +{"d:Title": "Given Permission", "d:Description": "Nebraska based band that covers songs by SRV, Kenny Wayne Shepherd, Jonny Lang, Muddy Waters and others as well as originals.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.angelfire.com/blues/givenpermission/"} +{"d:Title": "Griffin, Big Mike", "d:Description": "From Oklahoma. Biography, discography, schedule, and news.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.bigmikegriffin.com/"} +{"d:Title": "Gonzalez, Memo and the Bluescasters", "d:Description": "Based in Germany. Biography, press quotes, tour dates, sound files, pictures, and articles.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.memogonzalez.de/"} +{"d:Title": "Gogo, David", "d:Description": "Official web site for international blues guitarist - David Gogo", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.davidgogo.org/"} +{"d:Title": "Grand, Otis", "d:Description": "Biography, awards, discography, articles, reviews, tour dates, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://website.lineone.net/~otisgrand/"} +{"d:Title": "Adam Gussow's Dirty-South Blues Harp Channel", "d:Description": "YouTube website that features free video tutorials on blues harmonica.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.youtube.com/kudzurunner"} +{"d:Title": "Guy Martin Group", "d:Description": "Ventura, California based Blues-Rock formation.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G", "url": "http://www.guymartin.com/"} +{"d:Title": "RoryON", "d:Description": "Includes an extensive collection of articles, interviews and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Gallagher,_Rory", "url": "http://www.roryon.com/"} +{"d:Title": "Rory Gallagher: Irish Rocker", "d:Description": "Many of his lyrics, tablature, tributes, discography, and links to other Rory pages.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Gallagher,_Rory", "url": "http://www.lastoftheindependents.com/Rory.htm"} +{"d:Title": "Bullfroggers Retreat", "d:Description": "Photographs, message board, streaming audio, downloads, and trading.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Gallagher,_Rory", "url": "http://www.rory-gallagher.co.uk/"} +{"d:Title": "Innerviews: Defender of the Blues", "d:Description": "An interview by Anil Prasad conducted in Toronto during Gallagher's Fresh Evidence tour.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Gallagher,_Rory", "url": "http://www.innerviews.org/inner/rory.html"} +{"d:Title": "WebRing: Rory On", "d:Description": "Sites about the guitarist and blues musician.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Gallagher,_Rory", "url": "http://www.webring.org/hub?ring=roryon"} +{"d:Title": "Buddy Guy: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of many of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Guy,_Buddy", "url": "http://blueslyrics.tripod.com/artistswithsongs/buddy_guy_1.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Buddy Guy", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Guy,_Buddy", "url": "http://rockhall.com/inductees/buddy-guy/"} +{"d:Title": "All Music Guide: Buddy Guy", "d:Description": "Provides biography and discography of his recorded work with ratings and reviews of albums.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/G/Guy,_Buddy", "url": "http://www.allmusic.com/artist/buddy-guy-p356"} +{"d:Title": "Hamsters, The", "d:Description": "Essex, UK's based blues-rock and Jimi Hendrix and ZZ Top cover band. Includes band and contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.thehamsters.co.uk/"} +{"d:Title": "Hog Daddy Band", "d:Description": "Official web site of this Southern rockin' blues band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.angelfire.com/ga/hogdaddyband/"} +{"d:Title": "Hawkins, Ernie", "d:Description": "Acoustic blues musician, influenced by the Rev. Gary Davis Blind Blake. Official web site of this Pittsburgh, Pennsylvania based artist with bio, reviews, tour schedule and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.erniehawkins.com/"} +{"d:Title": "Hitman Blues Band, The", "d:Description": "The site offers sound clips and lyrics of their album, the band is based in the New York area. Official web site.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.quickchange.com/hitman/"} +{"d:Title": "Hector, Billy", "d:Description": "Influenced by Freddie King, T-Bone Walker, The Rolling Stones and Jimi Hendrix the band like their blues rockin'. Based in Ashbury Park, New York. Official web site with bio, schedule, reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://billyhector.com/"} +{"d:Title": "Harris, Don ''Sugarcane''", "d:Description": "Official web site of the late blues rock violinist from Los Angeles, California. Biography, stories, albums.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://sugarcane-harris.com/"} +{"d:Title": "Harris, Ruby", "d:Description": "Chicago, Illinois based blues violin player. Web site contains music, bands, biography, calendar and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.rubyharrismusic.com/"} +{"d:Title": "Hydramatics, The", "d:Description": "Traditional, contemporary and original blues music that swings, jumps and rocks. The site of the Lincoln, Nebraska based band includes biographies, reviews, tour schedule, photos and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.jukehouse.com/"} +{"d:Title": "Harpo, Slim", "d:Description": "Biographical note from the book 'Masters of Blues Harp'.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.celticguitarmusic.com/harmslimharpo.htm"} +{"d:Title": "Hacker, Ron", "d:Description": "San Francisco Bay Area based guitarist. Biography and album information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.ronhacker.com/"} +{"d:Title": "Hall, Bob", "d:Description": "Londoner Bob Hall plays blues and boogie woogie piano. Official web site of this musician based in the United Kingdom with a biography, reviews and soundclips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.boogie.demon.co.uk/"} +{"d:Title": "Hatfield, Rick", "d:Description": "California based composer, singer, harp and guitar player. Biography, pictures, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.sonic.net/~zoom/index.html"} +{"d:Title": "Herd of Blues", "d:Description": "Rockin' blues band based in Trenton, New Jersey. Biography, sound files, and gig dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.jml3.com/web/hob/"} +{"d:Title": "Mitch Hillford", "d:Description": "Website offers band and artist bios, song samples, event schedule and images.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H", "url": "http://www.mitchhillford.com/"} +{"d:Title": "Screamin' Jay Hawkins", "d:Description": "Paying tribute to the late Jump Blues shouter and entertainer this site offers a biography, discography, lyrics, articles and a photo gallery.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Hawkins,_Screamin'_Jay", "url": "http://home.datacomm.ch/mik/ba/h/hawkins_jay/"} +{"d:Title": "All Music Guide: Screamin' Jay Hawkins", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Hawkins,_Screamin'_Jay", "url": "http://www.allmusic.com/artist/p85200"} +{"d:Title": "The Jeff Healey Band: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of most of their songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Healey,_Jeff", "url": "http://blueslyrics.tripod.com/artistswithsongs/jeff_healey_index.htm"} +{"d:Title": "The Jeff Healey Band", "d:Description": "In addition to the band's biography, discography and tour schedule, MP3 sound clips of all tracks from the new album are available.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Healey,_Jeff", "url": "http://www.jeffhealeyband.com/"} +{"d:Title": "All Music Guide: Jeff Healey", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Healey,_Jeff", "url": "http://www.allmusic.com/artist/jeff-healey-mn0000226634"} +{"d:Title": "John Lee Hooker: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of many of his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Hooker,_John_Lee", "url": "http://blueslyrics.tripod.com/artistswithsongs/john_lee_hooker_index.htm"} +{"d:Title": "John Lee Hooker J-File", "d:Description": "Australian Broadcasting Corporation's tribute to the legendary bluesman; includes RealAudio interviews and a short summary of his career.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Hooker,_John_Lee", "url": "http://www.abc.net.au/triplej/jfiles/files/s322591.htm"} +{"d:Title": "Boom Boom Room", "d:Description": "John Lee Hooker's famous club and home to blues and boogie in San Francisco.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Hooker,_John_Lee", "url": "http://www.boomboomroom.com/"} +{"d:Title": "Howlin' Wolf: The Official Home Page", "d:Description": "Howlin' Wolf's official home on the Internet offering a biography complemented with photographs, a discussion forum and a links page.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Howlin'_Wolf", "url": "http://www.howlinwolf.com/"} +{"d:Title": "The Unofficial Howlin' Wolf Web site", "d:Description": "A tribute to this true giant of the blues, offering articles, photographs, discography, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Howlin'_Wolf", "url": "http://www.furious.com/perfect/wolf/"} +{"d:Title": "Howlin' Wolf: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of many of his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Howlin'_Wolf", "url": "http://blueslyrics.tripod.com/artistswithsongs/howlin_wolf_index.htm"} +{"d:Title": "All Music Guide: Howlin' Wolf", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Howlin'_Wolf", "url": "http://www.allmusic.com/artist/p60993"} +{"d:Title": "Harry's Blues Lyrics: Mississippi John Hurt", "d:Description": "Lyrics with notes and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/H/Hurt,_Mississippi_John", "url": "http://blueslyrics.tripod.com/artistswithsongs/mississippi_john_hurt_1.htm"} +{"d:Title": "Instigators, The", "d:Description": "Swedish Blues outfit that plays originals and covers. Includes band information, albums, photos and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/I", "url": "http://www.fridhammar.com/instigators.html"} +{"d:Title": "James, Justin", "d:Description": "Official site of this guitar player and lead singer from Minneapolis, Minnesota.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.angelfire.com/mn/justinjames/"} +{"d:Title": "James, Ben", "d:Description": "Jazz and blues guitar and harp player vocalist based in Santa Cruz, California. Official web site with biography, reviews and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://benjames.net/"} +{"d:Title": "Jordan, Riley", "d:Description": "Riley is a singer, songwriter and producer with a style that is a mixture of roots music including blues, soul and folk, from Emerald, Australia. Biography, pictures, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.angelfire.com/ri/rileyjordan/"} +{"d:Title": "Jackson, Bull Moose", "d:Description": "Site dedicated to this late sax playing and vocalist Jump Blues artist from Cleveland, Ohio. Bio, recordings and pictures are offered.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.bullmoosejackson.com/"} +{"d:Title": "Juggernaut Jug Band", "d:Description": "Jazzy jug band music, played on washboards, washtubs, kazoos, jugs, and various other sundries. Official site of this Louisville, Kentucky based act.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.juggernautjugband.com/"} +{"d:Title": "Jenkins, Bo", "d:Description": "American born and Australia based guitarist, singer and songwriter. Biography, reviews, recordings, books and a tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://bojenkins.com/"} +{"d:Title": "Junkyard Jane", "d:Description": "\"Swampabilly Blues\" from Washington", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://junkyardjane.com/"} +{"d:Title": "Jetton, Lew and 61 South", "d:Description": "Official site features pictures, schedules and MP3 samples from the band's CD.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.61south.com/"} +{"d:Title": "Jean, Cathy", "d:Description": "Blues-Rock singer based in Baltimore, Maryland whose web site offers artist information, press reviews, album information and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.cathyjean.com/"} +{"d:Title": "Juke Jumpers, The", "d:Description": "Rhythm and blues, blues, jump and rockabilly in a traditional Texas style is served by this band, based in Fort Worth, Texas. Official web site with band history and photos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.thecoolgroove.com/jukes.html"} +{"d:Title": "Johnny V.", "d:Description": "Canadian singer and guitarist. Biography, press clips, photos, tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.johnnyv.org/"} +{"d:Title": "Jacobs, Little Walter", "d:Description": "Biographical notes from the book \"Masters of Blues Harp.\"", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.celticguitarmusic.com/harmlw.htm"} +{"d:Title": "James, Lone Wolf", "d:Description": "Acoustic musician from Amesbury, Massachusetts. Biography, news, show dates, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://lonewolfjames.50megs.com/"} +{"d:Title": "James, Steve", "d:Description": "A true master on the acoustic guitar.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.stevejames.com/"} +{"d:Title": "JW-Jones Blues Band, The", "d:Description": "Based in Ottawa, Canada. Biography, tour dates, sound files, reviews, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.jw-jones.com/"} +{"d:Title": "Johnny O. Band", "d:Description": "Offering a mix of blues mixed with funked-up soulful dance music. The web site of this Boulder, Colorado based band offers tour dates, reviews and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.johnnyoband.com/"} +{"d:Title": "Jones, Stacy", "d:Description": "A performing blues artist in the Pacific Northwest (Seattle) area.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://www.stacyjonesband.com/"} +{"d:Title": "John Lisi Blues Band", "d:Description": "New-school blues from New Orleans, Louisiana. Biography, album information, tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J", "url": "http://johnlisi.com/"} +{"d:Title": "Elmore James: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of many of his songs, complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/James,_Elmore", "url": "http://blueslyrics.tripod.com/artistswithsongs/elmore_james_1.htm"} +{"d:Title": "All Music Guide: Elmore James", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/James,_Elmore", "url": "http://www.allmusic.com/artist/p90058"} +{"d:Title": "Skip James: Lyrics and Sound clips", "d:Description": "Collection of lyrics of many of his songs, complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/James,_Skip", "url": "http://blueslyrics.tripod.com/artistswithsongs/skip_james_1.htm"} +{"d:Title": "All Music Guide: Skip James", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/James,_Skip", "url": "http://www.allmusic.com/artist/p389"} +{"d:Title": "The Mudcat's Robert Johnson Room", "d:Description": "Biography and photographs with a special focus on the mysterious circumstances and rumors surrounding his death.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/Johnson,_Robert", "url": "http://www.mudcat.org/rj.cfm"} +{"d:Title": "Robert Johnson: Lyrics and Sound Clips", "d:Description": "Lyrics of all his 29 songs plus 12 alternate takes, complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/Johnson,_Robert", "url": "http://blueslyrics.tripod.com/artistswithsongs/robert_johnson_1.htm"} +{"d:Title": "Robert Johnson And The Crossroads Curse", "d:Description": "This site recounts the legend of Robert Johnson and explores the tragic history of his song \"Crossroads\".", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/Johnson,_Robert", "url": "http://stormloader.com/users/crossroads"} +{"d:Title": "FolkLib Index for Robert Johnson", "d:Description": "Offering links to web sites with biographies, discographies, sound files, photographs and various other subjects.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/Johnson,_Robert", "url": "http://www.folklib.net/index/j/johnson_robert.shtml"} +{"d:Title": "The Life and Death of Robert Johnson", "d:Description": "The true story of how Robert Johnson, the father of the blues, lived and died, based on the accounts of people who actually knew him.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/Johnson,_Robert", "url": "http://www.soul-patrol.com/soul/johnson.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Robert Johnson", "d:Description": "Brief biography, influence, and timeline.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/Johnson,_Robert", "url": "http://rockhall.com/inductees/robert-johnson/"} +{"d:Title": "All Music Guide: Robert Johnson", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/J/Johnson,_Robert", "url": "http://www.allmusic.com/artist/p91178"} +{"d:Title": "Kraemer, Ron and the Hurricanes", "d:Description": "New York based band that offers a tour schedule, sound clip and band information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K", "url": "http://www.ronkraemer.com/"} +{"d:Title": "Kirkland, Eddie", "d:Description": "Jamaica born guitar and harp player and vocalist. His official site has a news, reviews, discography and tour schedule pages.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K", "url": "http://www.eddiekirkland.com/"} +{"d:Title": "King, Chris Thomas", "d:Description": "Louisiana based contemporary bluesman's official site that offers a bio, discography, reviews, tour schedule and sound clips. Traditional blues and blues blended with rap, soul and rock.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K", "url": "http://www.christhomasking.com/"} +{"d:Title": "Keyes, Dave", "d:Description": "New York City based piano player, vocalist and songwriter. Biography, tour dates and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K", "url": "http://www.davekeyes.com/"} +{"d:Title": "King Bees Blues Band, The", "d:Description": "Band that mainly played covers from Cream and Jimi Hendrix, disbanded in September 1999. The site offers biographical information, pictures and songs.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K", "url": "http://www.angelfire.com/ga/thekingbees/"} +{"d:Title": "Kent, Luther", "d:Description": "Singer born and based in New Orleans, Louisiana. Biography, tour schedule, photos and MP3 sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K", "url": "http://www.lutherkent.com/"} +{"d:Title": "Keb' Mo': Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of many of his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/Keb'_Mo'", "url": "http://blueslyrics.tripod.com/artistswithsongs/keb_mo_index.htm"} +{"d:Title": "2 Walls Webzine: Keb' Mo'", "d:Description": "Glenn Pfeifer's review of the self-titled album released in 1994.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/Keb'_Mo'", "url": "http://www.2walls.com/REVIEWS/MUSIC/mo_keb.asp"} +{"d:Title": "George Graham Reviews Keb' Mo's \"Just Like You\"", "d:Description": "Review of the mixed genre album.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/Keb'_Mo'", "url": "http://georgegraham.net/kebmo.html"} +{"d:Title": "Albert King: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of many of his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_Albert", "url": "http://blueslyrics.tripod.com/artistswithsongs/albert_king_index.htm"} +{"d:Title": "All Music Guide: Albert King", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_Albert", "url": "http://www.allmusic.com/artist/albert-king-mn0000617844"} +{"d:Title": "The Official B.B. King Web Site", "d:Description": "The reigning king of the blues and recording artist for over four decades now with countless entries in the rhythm and blues charts.", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.bbking.com/"} +{"d:Title": "Harry's Blues Lyrics - B.B. King", "d:Description": "Extensive collection of song lyrics, many with sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://blueslyrics.tripod.com/artistswithsongs/b_b_king_index.htm"} +{"d:Title": "Cosmopolis - B.B. King&Eric Clapton", "d:Description": "Review of the CD \"Riding With The King\" (with Eric Clapton) along with a profile of the blues musician.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.cosmopolis.ch/english/cosmo8/kingclapton.htm"} +{"d:Title": "Rock and Roll Hall of Fame - B. B. King", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://rockhall.com/inductees/bb-king/"} +{"d:Title": "B.B. King Museum and Delta Interpretive Center", "d:Description": "General and visitor information, news, events, and exhibits. Located in Indianola, Mississippi.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.bbkingmuseum.org/"} +{"d:Title": "RollingStone.com - B.B. King", "d:Description": "Includes a biography, articles, photo gallery, discography and album reviews, trivia, a message board, and videos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.rollingstone.com/music/artists/b-b-king"} +{"d:Title": "WorldCat Identities - B.B. King", "d:Description": "Works by and about the subject with reviews, online copies, purchase and library availability for each. Includes related identities and associated subjects.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.worldcat.org/identities/lccn-n82-6577"} +{"d:Title": "The New York Times - B.B. King Highlights", "d:Description": "Collected highlights from the archives, with additional resources.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://topics.nytimes.com/top/reference/timestopics/people/k/bb_king/index.html"} +{"d:Title": "IMDb - B.B. King", "d:Description": "Lists of film and television appearances along with related videos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.imdb.com/name/nm0454475/"} +{"d:Title": "Blues Boy King", "d:Description": "British fan site includes news, reviews, photos, and exclusive features.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.bluesboyking.com/"} +{"d:Title": "National Visionary Leadership Project - B.B. King", "d:Description": "Video of \"The First Time I Saw an Electric Guitar\" by B.B. King, from the oral history archive of extraordinary African American elders who have shaped American history.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.visionaryproject.org/kingbb"} +{"d:Title": "Blues is King; A Tribute to B.B. King", "d:Description": "Presents a timeline, photographs, and concert and interview videos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://isites.harvard.edu/icb/icb.do?keyword=bbking"} +{"d:Title": "MusicBrainz - B.B. King", "d:Description": "Indexed and cross-referenced discography of the blues musician.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://musicbrainz.org/artist/dcb03ce3-67a5-4eb3-b2d1-2a12d93a38f3"} +{"d:Title": "RadiOM - B. B. King: Number 1 Bluesman", "d:Description": "Audio documentary (55 minutes) on B.B. King, the great blues singer and guitarist. Includes examples of his music as well as excerpts from an interview with Dan McClosky and Bill Newell, in which he discusses his early career, musical influences, and interest in jazz guitar. (from KPFA Folio)", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://radiom.org/detail.php?et=documentary&omid=C.1969.11.22.c2"} +{"d:Title": "AllMusic - B. B. King", "d:Description": "Provides his biography, awards, videos, photo gallery, credits, and discography with song cross-reference. Includes ratings and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.allmusic.com/artist/bb-king-mn0000059156"} +{"d:Title": "Wikipedia - B.B. King", "d:Description": "Hyperlinked encyclopedia article about the famous blues guitarist and singer.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://en.wikipedia.org/wiki/B.B._King"} +{"d:Title": "BBC Music - B.B. King", "d:Description": "Artist profile, information and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.bbc.co.uk/music/artists/dcb03ce3-67a5-4eb3-b2d1-2a12d93a38f3"} +{"d:Title": "Discogs - B.B. King", "d:Description": "Overview, videos and complete iscography of the blues musician.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.discogs.com/artist/B.B.+King"} +{"d:Title": "The Guardian - B.B. King", "d:Description": "Collected news and commentary along with his biography, video and audio clips, album list, and top tracks.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.theguardian.com/music/bb-king"} +{"d:Title": "Chicago Tribune - B.B. King Dead at 89", "d:Description": "Obituary by Greg Kot, including how Lucille came to be the name of his guitar(s).", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.chicagotribune.com/entertainment/music/ct-bb-king-obituary-20150515-column.html#page=1"} +{"d:Title": "PBS - American Roots Music: B. B. King", "d:Description": "Transcript of an oral history recording of bluesman B.B. King, from the 2001 PBS documentary.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.pbs.org/americanrootsmusic/pbs_arm_oralh_bbking.html"} +{"d:Title": "KLRU - Austin City Limits: B.B. King", "d:Description": "Complete video of his live performance in 1983. (56:03)", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://video.klru.tv/video/2365490253/"} +{"d:Title": "C-SPAN - B.B. King", "d:Description": "Videos and transcripts of appearances on C-SPAN.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://www.c-span.org/person/?bbking"} +{"d:Title": "The New York Times - B.B. King", "d:Description": "Complete collection of archived news, commentary and photos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/K/King,_B.B.", "url": "http://topics.nytimes.com/top/reference/timestopics/people/k/b_b_king/index.html"} +{"d:Title": "Lady Bianca", "d:Description": "Singer, songwriter and pianist who plays gospel, blues and rhythm and blues and is based in Oakland. Offers a biography, band information, press releases, interviews, tour dates and photos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://ladybianca.com/"} +{"d:Title": "Lang, Jeff", "d:Description": "Australian songwriter and guitarist influenced by artists like Skip James, Blind Willie Johnson, Jimi Hendrix, Ry Cooder. Biography, albums, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.jefflang.com.au/"} +{"d:Title": "Lawrence, Marshall", "d:Description": "Self-proclaimed Dr. of the Blues who plays guitar blues and calls Jimi Hendrix, Chuck Berry, Johnny Winter, Son Seals and B.B. King his main influences. Site offers bio, interviews and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.doctorblues.com/"} +{"d:Title": "Linden, Colin", "d:Description": "Canadian singer, songwriter and guitarist. The web site of this modern electric blues artist offers his biography, albums and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.colinlinden.com/"} +{"d:Title": "Lamb, Paul and the King Snakes", "d:Description": "British band built around harp player Lamb. Biographies, news, discography, concert dates and picture galleries.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.paullamb.com/"} +{"d:Title": "Love, Joey and the Blues Xpress", "d:Description": "Dallas, Texas based band that plays blues from Cajun stomp to solid southern shuffles. Band and album information and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.joeylove.com/"} +{"d:Title": "Lightning Red", "d:Description": "Austin, Texas based guitarist that plays the blues Texas style, naturally. Albums and sound samples, reviews and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://lightningred.com/"} +{"d:Title": "Lange, Mike and Boogie Express", "d:Description": "Woodstock, MD based boogie woogie, swing and blues band. The web site offers band information, tour dates and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.boogiex.com/"} +{"d:Title": "Lynn, Terri", "d:Description": "Nashville, Tennessee based singer and songwriter. Biography, reviews, pictures, tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.mkoc.com/TerriLynn/"} +{"d:Title": "Lintecum, Sarge", "d:Description": "Singer and harmonica player from Arizona. Biography, sound files, events, pictures, and CD information. Also includes poetry and Vietnam stories.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://vietnamblues.com/"} +{"d:Title": "Luedtke, Howard \"Guitar\" and Blue Max", "d:Description": "Blues rock band, based in Eau Claire, Western Wisconsin. Official web site with band information, recordings and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.howardluedtke.com/"} +{"d:Title": "Larsson, Carsten", "d:Description": "Danish blues guitar player. Biography, bands, releases and video.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://www.carstenlarsson.dk/"} +{"d:Title": "Levenson, Barry", "d:Description": "Guitarist, producer and artists and repertoire man for Storyville Records.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L", "url": "http://barrylevenson.weebly.com/"} +{"d:Title": "Jonny Lang's Blues&Candies", "d:Description": "Discography, lyrics, sound samples, videos, articles, interviews, photos, links, updated tour schedules, country statistics and contacts.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Lang,_Jonny", "url": "http://www.angelfire.com/blues/jlbc/index.html"} +{"d:Title": "Leaving To Stay: A Jonny Lang Fan Page", "d:Description": "This site offers tour dates, pictures, news, artwork, discography, concert experiences and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Lang,_Jonny", "url": "http://www.angelfire.com/in2/realm/jonny.html"} +{"d:Title": "Lindsay's Unofficial Jonny Lang Page", "d:Description": "Includes pictures, lyrics, articles, quotes, reviews and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Lang,_Jonny", "url": "http://www.angelfire.com/mn/jonnylang0198/"} +{"d:Title": "Angel Of Mercy: A Jonny Lang Site", "d:Description": "Fan site with biography, news, tour dates, photos, album information and lyrics.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Lang,_Jonny", "url": "http://www.angelfire.com/blues/JonnyLang/index.html"} +{"d:Title": "Jonny Lang: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of all his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Lang,_Jonny", "url": "http://blueslyrics.tripod.com/artistswithsongs/jonny_lang_index.htm"} +{"d:Title": "Breakin' Me", "d:Description": "A tribute site with lyrics and tabs, pictures, tour dates, news, merchandise, sounds and a genereal appreciation for Jonny's music.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Lang,_Jonny", "url": "http://www.angelfire.com/wa2/jonnylang/opening.html"} +{"d:Title": "MTV: Jonny Lang", "d:Description": "News, album reviews, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Lang,_Jonny", "url": "http://www.mtv.com/artists/jonny-lang/"} +{"d:Title": "Leadbelly: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Leadbelly", "url": "http://blueslyrics.tripod.com/artistswithsongs/leadbelly_1.htm"} +{"d:Title": "All Music Guide: Leadbelly", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/L/Leadbelly", "url": "http://www.allmusic.com/artist/p415"} +{"d:Title": "Manfra, Big Joe", "d:Description": "Electric modern blues artist from Brazil. History, news, tour dates, pictures and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.bigjoemanfra.com/"} +{"d:Title": "McClain, Mighty Sam", "d:Description": "Soul blues is Mighty Sam's preferred flavor of blues. Biography, reviews, discography and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://mightysam.com/"} +{"d:Title": "Mudcat Blues Band", "d:Description": "Georgia based band playing their blues Delta-style, mixed with funk, ragtime, gospel, Cajun, jazz, country, vaudeville and Georgia blues. Site offers band information, tour schedule, pictures and message board.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.mudcatblues.com/"} +{"d:Title": "Mack, Bobby", "d:Description": "Bobby plays Texas Blues that includes rockin' roadhouse music with funk and soul. The site of the San Antonio, Texas based artist offers a bio, pictures, tour calendar and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.bobbymack.com/"} +{"d:Title": "Manriquez, Bobby", "d:Description": "Native Washington-based guitarist, singer, songwriter and guitarist. Offers a biography and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.b-side-blues.com/bobby-manriquez/"} +{"d:Title": "Margolin, Bob", "d:Description": "As a former member of the Muddy Waters band, Steady Rollin' Bob Margolin plays his blues Chicago style, naturally. Biography, discography, tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.bobmargolin.com/"} +{"d:Title": "Manning, Phil", "d:Description": "Australian acoustic blues singer, songwriter, fingerpicking and slide guitarist influenced by Blind Blake, Robert Johnson, Doc Watson and Celtic sounds. Biography, discography and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.philmanning.com.au/"} +{"d:Title": "Mighty Mike Schermer", "d:Description": "San Francisco Bay Area vocalist and guitarist. Artist information, a calendar, photos and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.mighty-mike.com/"} +{"d:Title": "Mission of Blues", "d:Description": "Blues, Soul and Rhythm and Blues band from Boston, Massachusetts. Band biography, tour schedule and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.missionofblues.com/"} +{"d:Title": "Mojo Blues Band", "d:Description": "Vienna, Austria based Chicago blues style band. Website offers band information, press reviews, discography, tour schedule and sound samples. of the 1940s and 1950s is on this band's menu.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.mojobluesband.com/"} +{"d:Title": "Michael Barclay Blues Band, The", "d:Description": "Funky original blues tunes and rockin' swing blues classics. The web site of this Petaluma, California based act offers band information, recordings and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.sonic.net/~michaelb/"} +{"d:Title": "MillionAires, The", "d:Description": "Detroit (Michigan) based Jump Blues and Swing Band. Post-swing era style.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.millionairesplay.com/"} +{"d:Title": "Magic Frankie and the Blues Disease", "d:Description": "Profile and booking information for the Dutch group.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.genuinemusic.nl/magicfrankieeng.htm"} +{"d:Title": "Mississippi Heat", "d:Description": "Sextet from Chicago playing traditional blues. Site offers band information, discography and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://www.mississippiheat.net/"} +{"d:Title": "Mojos, The", "d:Description": "Melbourne, Australia based blues band that plays Southern and New Orleans style blues, Zydeco, swing and rolling piano boogie. The website offers CD information, images and contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://members.ozemail.com.au/~boyesm/"} +{"d:Title": "Mahjun, Jean-Louis", "d:Description": "Electric violin and mando blues player from France. Includes biography, MP3 and video files, discography and biography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M", "url": "http://mahjun.free.fr/"} +{"d:Title": "Forrest McDonald", "d:Description": "Official site. Includes biography, reviews, lyrics, news and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/McDonald,_Forrest", "url": "http://www.wtrhq.com/"} +{"d:Title": "Muddy Waters: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of many of his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Muddy_Waters", "url": "http://blueslyrics.tripod.com/artistswithsongs/muddy_waters_index.htm"} +{"d:Title": "Topix: Muddy Waters", "d:Description": "News about Muddy Waters, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Muddy_Waters", "url": "http://www.topix.com/rss/who/muddy-waters.xml"} +{"d:Title": "RollingStone.com: Muddy Waters", "d:Description": "Includes a biography, discography and album reviews, articles, message board, and trivia.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Muddy_Waters", "url": "http://www.rollingstone.com/music/artists/muddy-waters"} +{"d:Title": "Rock and Roll Hall of Fame: Muddy Waters", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Muddy_Waters", "url": "http://rockhall.com/inductees/muddy-waters/"} +{"d:Title": "All Music Guide: Muddy Waters", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Muddy_Waters", "url": "http://www.allmusic.com/artist/p108085"} +{"d:Title": "Charlie Musselwhite: Lyrics and Sound Clips", "d:Description": "Collection of his lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Musselwhite,_Charlie", "url": "http://blueslyrics.tripod.com/artistswithsongs/charlie_musselwhite_1.htm"} +{"d:Title": "Masters of Blues Harp: Charlie Musselwhite", "d:Description": "Biographical note.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Musselwhite,_Charlie", "url": "http://www.celticguitarmusic.com/harmmusselwhite.htm"} +{"d:Title": "The Official Charlie Musselwhite Web Site", "d:Description": "Offers his biography, articles, questions and answers, discography, photos, itinerary and sound byte samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Musselwhite,_Charlie", "url": "http://www.charliemusselwhite.com/"} +{"d:Title": "All Music Guide: Charlie Musselwhite", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/M/Musselwhite,_Charlie", "url": "http://www.allmusic.com/artist/charlie-musselwhite-mn0000211627"} +{"d:Title": "Nucklebusters Blues Band", "d:Description": "South Florida based outfit. Band information, sound samples and gig calendar.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N", "url": "http://nucklebusters.com/"} +{"d:Title": "Nighthawks, The", "d:Description": "Washington, DC area blues band. Biography, schedule, sound files, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N", "url": "http://www.thenighthawks.com/"} +{"d:Title": "Numbers Band (15 60 75), The", "d:Description": "Discography, schedule, biography, and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N", "url": "http://www.numbersband.com/"} +{"d:Title": "Nitzinger, John", "d:Description": "Biography, discography, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N", "url": "http://www.nitzinger.com/"} +{"d:Title": "Nevling, Dave", "d:Description": "Harmonica player, vocalist and songwriter from Houston, Texas. Artist information, recordings, schedule, press releases and photos.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N", "url": "http://www.davenevling.com/"} +{"d:Title": "Natural Remedy", "d:Description": "Blues based band from Holyhead, North Wales (UK)", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N", "url": "http://naturalremedy.8m.com/"} +{"d:Title": "Noelle", "d:Description": "Concert review of the blues singer.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N", "url": "http://www.mnblues.com/review/noelle.html"} +{"d:Title": "All Music Guide: Kenny Neal", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N/Neal,_Kenny", "url": "http://www.allmusic.com/artist/p476"} +{"d:Title": "North Mississippi Allstars", "d:Description": "Official site includes biography, news, discography, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/N/North_Mississippi_Allstars", "url": "http://www.nmallstars.com/"} +{"d:Title": "Oreo Blue", "d:Description": "Fayetteville, Arizona based five-piece outfit that plays funky, rockin' blues. The band's web site offers biographical information, press reviews, tour calendar and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.oreoblue.com/"} +{"d:Title": "O'Dell, Tommy Ray", "d:Description": "Cleveland, Tennessee based artist that utilizes the blues to spread his religious messages. Artist information, album information and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.tommyray.org/"} +{"d:Title": "Oxford, Do\u00f1a", "d:Description": "Two fisted Boogie Woogie keyboard player based in New York City. Biography, reviews, photos, recordings and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.donaoxford.com/"} +{"d:Title": "Old Chicago Blues Band", "d:Description": "Canadian formation based in London, Ontario. Includes band information, reviews and articles.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.execulink.com/~speakeasy/ocbb/"} +{"d:Title": "Oakland, Moses", "d:Description": "Front man of a Minneapolis, Minnesota based blues, rhythm and blues, and jazz quartet. Includes biographical information, reviews, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.moseso.com/"} +{"d:Title": "The Others", "d:Description": "Australian blues/rock band formed in 1963 with albums released in 1982, 1998 and 2004.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.theothers.com.au/"} +{"d:Title": "Open Harp Surgery", "d:Description": "Nigel Feist on harmonica and Russell Groom on guitar play pubs in Kent, UK.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.openharpsurgery.com/"} +{"d:Title": "Omar and the Howlers", "d:Description": "Austin, Texas based Blues-Rock and Texas Blues style band lead by guitarist, singer and songwriter Omar Kent Dykes. Includes a biography, reviews, discography, lyrics, photos and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O", "url": "http://www.omarandthehowlers.com/"} +{"d:Title": "FolkLib Index for Odetta", "d:Description": "Offering links to web sites with biographies, discographies, sound files, photographs and various other subjects.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O/Odetta", "url": "http://www.folklib.net/index/o/odetta.shtml"} +{"d:Title": "All Music Guide: Odetta", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/O/Odetta", "url": "http://www.allmusic.com/artist/p483"} +{"d:Title": "Paparozzi, Rob", "d:Description": "Harmonica player from New York. Upcoming events, accomplishments, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://home.earthlink.net/~chromboy/"} +{"d:Title": "Parche, Alex", "d:Description": "German blues/rock musician. Biography, discography, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.alexparche.de/"} +{"d:Title": "Pennock, Liz and Dr. Blues", "d:Description": "Florida-based piano and guitar blues/boogie duo. Biography, gig dates, discography, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://lizpennock.com/"} +{"d:Title": "Principato, Tom", "d:Description": "Discography, performance calendar, pictures, and biography of the guitarist.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.tomprincipato.com/"} +{"d:Title": "Primich, Gary", "d:Description": "Harmonica player from Austin, Texas. Biography, news, discography, reviews, articles, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.drfeelgood.de/primich/"} +{"d:Title": "Plumeri, Paul", "d:Description": "Trenton, New Jersey based blues artist.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.paulplumeri.com/"} +{"d:Title": "Paris, Jon", "d:Description": "Blues-Rock musician.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.jonparis.com/"} +{"d:Title": "Paul deLay Band", "d:Description": "Biography, upcoming appearances, and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.europa.com/~damray/"} +{"d:Title": "Peer Gynt", "d:Description": "Norvegian bluesmusician. \"The King Of Mountain Blues\"", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.peergynt.net/"} +{"d:Title": "Primich, Gary", "d:Description": "Biographical note from the book 'Masters of Blues Harp'.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.celticguitarmusic.com/harmprimich.htm"} +{"d:Title": "Payne, Jackie", "d:Description": "Based in California. Biography, schedule, and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://www.luckymojo.com/shadesofblues.html"} +{"d:Title": "Powder Blues", "d:Description": "Vancouver, British Columbia, based Canadian band that plays swing, blues and rock and roll. Band history, biography, discography, newsletter and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P", "url": "http://powderblues.net/"} +{"d:Title": "Charley Patton: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P/Patton,_Charley", "url": "http://blueslyrics.tripod.com/artistswithsongs/charley_patton_1.htm"} +{"d:Title": "Grave of Charley Patton", "d:Description": "Grave site of \"The King of the Delta Blues\", in the middle of a cotton field near the tiny town of Holly Ridge, Mississippi.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P/Patton,_Charley", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5340"} +{"d:Title": "All Music Guide: Charley Patton", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P/Patton,_Charley", "url": "http://www.allmusic.com/artist/p486"} +{"d:Title": "Ana Popovic", "d:Description": "Official site, includes news, biography, galleries, CDs, DVDs, an interview and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P/Popovi\u0107,_Ana", "url": "http://www.anapopovic.com/"} +{"d:Title": "Wikipedia.org - Ana Popovi\u0107", "d:Description": "Encyclopedia entry, includes biography, discography and awards.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/P/Popovi\u0107,_Ana", "url": "http://en.wikipedia.org/wiki/Ana_Popovi%C4%87"} +{"d:Title": "Ruben Hoeke Bluesband", "d:Description": "Brief information about the Dutch band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.rubenhoeke.com/"} +{"d:Title": "Radiotones", "d:Description": "Alt-blues band from the UK. Gig dates, photographs, Liquid Audio sound bites, CD information, biographies, fact sheet, mailing list, and merchandise.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.radiotones.com/"} +{"d:Title": "Rockin' Jake Band, The", "d:Description": "Based in New Orleans. Biography, gig dates, sound files, news, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.rockinjake.com/"} +{"d:Title": "Rio, Rob", "d:Description": "Blues and Boogie-Woogie piano player. Biographical information, discography and mp3 sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://robrio.com/"} +{"d:Title": "Rush, Bobby", "d:Description": "Career highlights and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.angelfire.com/md/bobbyr/"} +{"d:Title": "Robillard, Duke", "d:Description": "Tour dates, discography, reviews, biography, and booking information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.rosebudus.com/robillard/"} +{"d:Title": "Richey, Kelly", "d:Description": "Based in Cincinnati, Ohio. Biography, calendar, discography, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.kellyrichey.com/"} +{"d:Title": "Ruffo, Stan", "d:Description": "Based in California. Biography, appearance dates, discography, articles, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.ruffo.com/"} +{"d:Title": "Reddog Blues Band", "d:Description": "Biography, sound files, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.mindspring.com/~safereturn/"} +{"d:Title": "Ruffner, Mason", "d:Description": "Rock and blues singer, songwriter and guitarist. Biography, articles, reviews, lyrics, pictures, discography, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.masonruffner.com/"} +{"d:Title": "Rambling Blues Trio", "d:Description": "Hungarian acoustic blues band. Band story, photo gallery, gig schedule, reviews and MP3 files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.ramblingblues.hu/"} +{"d:Title": "Rough and Ready", "d:Description": "Biography and pictures of the New York area band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.roughandreadyblues.com/"} +{"d:Title": "Richman, Barry", "d:Description": "Discography, calendar, news, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.barryrichman.com/"} +{"d:Title": "Rhodes, Sonny", "d:Description": "Biography of the steel guitarist.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.well.com/user/wellvis/rhodes.html"} +{"d:Title": "Robert Ross Band, The", "d:Description": "Award winning blues artist who has been a mainstay of the NY scene since the late 1960's.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.robertrossband.com/"} +{"d:Title": "Rivers, Red and The Rocketones", "d:Description": "Biography and press quotes for the Australian musician.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.rockabillyhall.com/RedRivers.html"} +{"d:Title": "Rishell, Paul and Annie Raines", "d:Description": "Biographies, show dates, recordings, and press quotes.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.paulandannie.com/"} +{"d:Title": "Riley, Billy Lee", "d:Description": "Based in Arkansas. Biography, discography, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://deltaboogie.com/deltamusicians/rileyb/"} +{"d:Title": "Rogues on the Run", "d:Description": "Jersey Shore based band specializing in traditional blues and original contemporary blues/jazz music. Sound files, schedule, reviews, and profile.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.roguesontherun.com/"} +{"d:Title": "Rod&The Shotgun Blues", "d:Description": "French blues band known to have made the first part of a concert of John Lee Hooker in San Francisco in the 90's.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://rodshotgunblues.free.fr/"} +{"d:Title": "Roy Roberts Blues", "d:Description": "North Carlolina based blues artist. The website offers news, history, music, photos, performance schedule and merchandise sales.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.royrobertsblues.com/"} +{"d:Title": "Rabson, Ann", "d:Description": "Guitarist, vocalist, and piano player. Biography, discography, schedule, and news.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R", "url": "http://www.annrabson.com/"} +{"d:Title": "Ma Rainey: Lyrics and Sound Clips", "d:Description": "Website is a collection of lyrics songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Rainey,_Ma", "url": "http://blueslyrics.tripod.com/artistswithsongs/ma_rainey_index.htm"} +{"d:Title": "Grave of Gertrude Ma Rainey", "d:Description": "A memorial website that features a biography, photos, and allows tributes postings.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Rainey,_Ma", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2477"} +{"d:Title": "Red Hot Jazz: Ma Rainey", "d:Description": "Website features the blues artist's biography and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Rainey,_Ma", "url": "http://www.redhotjazz.com/rainey.html"} +{"d:Title": "Rock and Roll Hall of Fame: Ma Rainey", "d:Description": "Website features the artist's inductee profile and hyperlinks to connected artists.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Rainey,_Ma", "url": "http://rockhall.com/inductees/ma-rainey/"} +{"d:Title": "All Music Guide: Ma Rainey", "d:Description": "Website feature a biography and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Rainey,_Ma", "url": "http://www.allmusic.com/artist/ma-rainey-mn0000188279"} +{"d:Title": "Jimmy Reed: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Reed,_Jimmy", "url": "http://blueslyrics.tripod.com/artistswithsongs/jimmy_reed_1.htm"} +{"d:Title": "Grave of Jimmy Reed", "d:Description": "His final resting place in the Lincoln Cemetery, Blue Island, Illinois.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Reed,_Jimmy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3792"} +{"d:Title": "All Music Guide: Jimmy Reed", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/R/Reed,_Jimmy", "url": "http://www.allmusic.com/artist/p504"} +{"d:Title": "Smither, Chris", "d:Description": "Biography, press quotes, discography, lyrics, news, and FAQ.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://smither.com/"} +{"d:Title": "Simpson, Martin", "d:Description": "Acoustic guitarist from the UK. Biography, reviews, schedule, sound files, and stories.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.watershed-arts.com/msimpson.html"} +{"d:Title": "Sas, Julian", "d:Description": "Based in the Netherlands. Profiles, discography, and show dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.juliansas.com/"} +{"d:Title": "Smith, George", "d:Description": "Biographical note on the harmonica player.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.celticguitarmusic.com/harmgeosmith.htm"} +{"d:Title": "Shrimp City Slim", "d:Description": "Based in Charleston, South Carolina. Biography, gig dates, and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.shrimpcityslim.com/"} +{"d:Title": "Savoy Brown", "d:Description": "British blues/rock band. History, discography, tour dates, reviews, newsletter, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.savoybrown.com/"} +{"d:Title": "Smith, Lavay", "d:Description": "Based in California. Biography, press quotes, reviews, schedule, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.lavaysmith.com/"} +{"d:Title": "Seth Walker Band, The", "d:Description": "This Austin, Texas based band plays a mixture of traditional blues with a New Orleans flair.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.sethwalker.com/"} +{"d:Title": "Sista Monica", "d:Description": "Gospel influenced blues singer and songwriter - and former Sergeant in the US Marine Corps - based in the Bay Area of California.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.sistamonica.com/"} +{"d:Title": "Steepwater Band, The", "d:Description": "Fuses Delta blues, southern rock and a country stomp, to create a sound they prefer to call ?outlaw blues.?", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.steepwater.com/"} +{"d:Title": "Sommers, Dusty", "d:Description": "Coventry, Rhode Island based slide guitarist and harmonica player that plays a mixture of blues, rock and jazz.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.dustysommers.com/"} +{"d:Title": "Sound of Blues", "d:Description": "Rockin' blues band from Florida. Profile, sound files, calendar, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.angelfire.com/blues/soundofblues/"} +{"d:Title": "Sayers, Hadden", "d:Description": "Texas blues artist.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.haddensayers.com/"} +{"d:Title": "Sellers, Gary", "d:Description": "Long Island based blues musician. Brief biography, audio samples and CD ordering, photo galleries, mail list, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.garysellers.com/"} +{"d:Title": "Sonny Kenn Band", "d:Description": "Band that tours the Jersey side. Biography, pictures, and upcoming dates", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.sonnykennband.com/"} +{"d:Title": "Sallings, Mark", "d:Description": "Wynne, Arizona based sax player.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://deltaboogie.com/deltamusicians/sallings/"} +{"d:Title": "Stevens, Corey", "d:Description": "Blues-based rock singer, songwriter and guitarist. Biography, tour dates, photos, chronology, and CD information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.coreystevens.com/"} +{"d:Title": "Sweet Daddy Cool Breeze", "d:Description": "A New England based harp and sax blues band.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://sweetdaddycoolbreeze.bravehost.com/"} +{"d:Title": "LaRhonda Steele Band", "d:Description": "Portland, Oregon based blues and funk style band. Website includes a gig schedule, band news, a biography and media information.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://larhondasteele.com/"} +{"d:Title": "Los Sidetrackers", "d:Description": "Texas Blues Combo. Website offers images, audio and biography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S", "url": "http://www.sidetrackers.com.ar/"} +{"d:Title": "Bessie Smith Links Page", "d:Description": "Includes a collection of links to poems, photographs, sounds samples and articles.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S/Smith,_Bessie", "url": "http://www.wussu.com/bessiesmith/"} +{"d:Title": "Bessie Smith: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of many of her songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S/Smith,_Bessie", "url": "http://blueslyrics.tripod.com/artistswithsongs/bessie_smith_index.htm"} +{"d:Title": "All Music Guide: Bessie Smith", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/S/Smith,_Bessie", "url": "http://www.allmusic.com/artist/p526"} +{"d:Title": "Timothea", "d:Description": "New Orleans musician. Biography, discography, pictures, and gig dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.timothea.com/"} +{"d:Title": "Turner, Twist", "d:Description": "Chicago blues drummer. Biography, discography, news, pictures, and stories.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://members.tripod.com/~Twist_Turner/"} +{"d:Title": "Tanner, Gary Rex", "d:Description": "Profile and links for sound files of the California based musician.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.garyrextanner.com/"} +{"d:Title": "Two Timers", "d:Description": "Acoustic/electric duo of Sarah James and Gordon Russell. Gig guide, reviews, biography, discography, and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.drfeelgood.de/2timers/"} +{"d:Title": "Tommy Castro Band", "d:Description": "News, schedule, discography, pictures, and reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.tcband.com/"} +{"d:Title": "Thornton, Little Doc", "d:Description": "The blues on bottleneck slide guitar. Biographical information and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.aai-net.com/littledoc"} +{"d:Title": "Theessink, Hans", "d:Description": "Tour schedule, biography, discography, photographs, and merchandise for the Austrian guitar player.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.theessink.com/"} +{"d:Title": "Tiger Blues Band", "d:Description": "Purveyors of mainstream blues, featuring guitarist/vocalist Mike Manne and his band. Gigs, biographies, photos, sound samples, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.tigerblues.com/"} +{"d:Title": "T-Bones, The", "d:Description": "Rockin blues band, based in the Pacific Northwest. Song list, picture, and sound files.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.kalama.com/~laxtell/"} +{"d:Title": "Tommy Castro Band Official Site", "d:Description": "Contains reviews, discography, biographies, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.tommycastro.com/"} +{"d:Title": "Tyler, Rhett", "d:Description": "Website includes a biography, news, recordings, tour dates and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.rhetttyler.com/"} +{"d:Title": "Trout, Walter and the Radicals", "d:Description": "Biography, pictures, news, and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T", "url": "http://www.waltertrout.com/"} +{"d:Title": "Taj Mahal: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T/Taj_Mahal", "url": "http://blueslyrics.tripod.com/artistswithsongs/taj_mahal_1.htm"} +{"d:Title": "Taj Mahal and the Hula Blues Band", "d:Description": "Taj Mahal's part time band from Hawaii. Includes band information, photos, a tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T/Taj_Mahal", "url": "http://brudda.com/kilohana/taj.html"} +{"d:Title": "Taj Mahal", "d:Description": "Official site includes news, tour schedule, discography, audio/video and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T/Taj_Mahal", "url": "http://www.tajblues.com/"} +{"d:Title": "All Music Guide: Taj Mahal", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T/Taj_Mahal", "url": "http://www.allmusic.com/artist/p540"} +{"d:Title": "Susan Tedeschi Band Home Page", "d:Description": "News, discography, and lyrics.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T/Tedeschi,_Susan", "url": "http://www.pipeline.com/~stb/"} +{"d:Title": "The Unofficial Susan Tedeschi Fan Club", "d:Description": "Collection of professional and fan-contributed concert pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/T/Tedeschi,_Susan", "url": "http://members.tripod.com/~dchristian/stbpics.htm"} +{"d:Title": "Underdogs, The", "d:Description": "Blues and roots music band from the Gulf Coast of Florida. Photos, tour dates and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/U", "url": "http://www.underdogblues.com/"} +{"d:Title": "Urban EXcentrics", "d:Description": "Blues, folk and rock from down under in Sydney, Australia plays classical double bass and acoustic and electric guitars. Offers band information, quotes, a tour schedule and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/U", "url": "http://www.urbanex.com.au/"} +{"d:Title": "Vellotones, The", "d:Description": "Blues and classic rock formation based in Augusta, Georgia. Their web site offers band history, photo galleries, song list, tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/V", "url": "http://www.vellotones.com/"} +{"d:Title": "Vadas, Ed and the Fabulous Heavyweights", "d:Description": "The site of this band from the Northeast of the U.S. offers band information, reviews, a tour schedule and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/V", "url": "http://www.tagyerit.com/blues.htm"} +{"d:Title": "W.C. Clark Blues Revue", "d:Description": "The Revue mixes Memphis soul and hard Texas blues to create their own blend of blues. Official web site of this Austin, Texas based act with bio, press coverage, itinerary, photos and sound bites.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.wcclark.com/"} +{"d:Title": "Westside Andy", "d:Description": "Official web site of this electric harmonica player from the Midwest. Biography, sound bites, tour schedule and reviews are offered.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.westsideandy.com/"} +{"d:Title": "Wolfe, Todd and the Todd Wolfe Blues Project", "d:Description": "New York based band offers band information, tour dates, photos and discography.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.toddwolfe.com/"} +{"d:Title": "Wetterstein, Hary", "d:Description": "Solo guitar player and vocalist with a repertoire of original traditional blues and rhythm and blues tunes, based in Vienna, Austria. Official site with history, songs and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.hary.at/"} +{"d:Title": "Willson, Michelle ''Evil Gal''", "d:Description": "Shouting swing and jump blues vocalist from Massachusetts. Biography, recordings, reviews and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.evilgal.com/"} +{"d:Title": "West Side Wayne and the Boulevard Band", "d:Description": "West coast blues is the flavor of this Los Angeles, California based band. Official web site with biography and sound bites.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.westsidewayne.com/"} +{"d:Title": "Wright, Marva", "d:Description": "Blues, jazz and gospel singer from New Orleans, Louisiana. Official web site with biography, discography, pictures and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.marvawright.com/"} +{"d:Title": "Wright, Gregg", "d:Description": "Singer, songwriter and guitarist. Official site of this Los Angeles, California based artist with artist information, reviews, tour dates and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.greggwright.com/"} +{"d:Title": "Washboard Chaz", "d:Description": "Acoustic country blues artists that favors the washboard as his main instrument. The site of this Kansas City, MO based performer offers a bio, albums and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.washboardchaz.com/"} +{"d:Title": "Whyte, Ellen and Reflex Blue", "d:Description": "Blues and rock, with a jazz influence. The site of this Northwest Oregon based band offers the band's history, CD information, event calendar and sound samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.ellenwhyte.com/"} +{"d:Title": "Whitley, Chris", "d:Description": "Texas based singer, songwriter and guitarist whose repertoire includes country blues, blues rock and alternative rock. Biography, discography, tour dates and sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.chriswhitley.com/"} +{"d:Title": "Watson, Junior", "d:Description": "Blues guitarist and vocalist, former long time Canned Heat band member. Biography, discography and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W", "url": "http://www.juniorwatson.com/"} +{"d:Title": "T-Bone Walker: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Walker,_T-Bone", "url": "http://blueslyrics.tripod.com/artistswithsongs/t_bone_walker_1.htm"} +{"d:Title": "All Music Guide: T-Bone Walker", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Walker,_T-Bone", "url": "http://www.allmusic.com/artist/p27828"} +{"d:Title": "Bukka White: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/White,_Bukka", "url": "http://blueslyrics.tripod.com/artistswithsongs/bukka_white_1.htm"} +{"d:Title": "FolkLib Index for Bukka White", "d:Description": "Offering links to web sites with biographies, discographies, sound files, photographs and various other subjects.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/White,_Bukka", "url": "http://www.folklib.net/index/w/white_bukka.shtml"} +{"d:Title": "All Music Guide: Bukka White", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/White,_Bukka", "url": "http://www.allmusic.com/artist/p574"} +{"d:Title": "Masters of Blues Harp: John Lee Williamson (Sonny Boy I)", "d:Description": "Biographical note.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Williamson,_Sonny_Boy,_I", "url": "http://www.celticguitarmusic.com/harmsb1.htm"} +{"d:Title": "Sonny Boy Williamson I: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of his songs, sound clips, biography, recommended listening, reading and viewing.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Williamson,_Sonny_Boy,_I", "url": "http://blueslyrics.tripod.com/artistswithsongs/sonny_boy_williamson_i_index.htm"} +{"d:Title": "Sonny Boy Williamson II: Lyrics and Sound Clips", "d:Description": "Collection of lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Williamson,_Sonny_Boy,_II", "url": "http://blueslyrics.tripod.com/artistswithsongs/sonny_boy_williamson_ii_1.htm"} +{"d:Title": "Masters of Blues Harp: Rice Miller (Sonny Boy II)", "d:Description": "Biographical notes.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Williamson,_Sonny_Boy,_II", "url": "http://www.celticguitarmusic.com/Harmsb2.htm"} +{"d:Title": "The Grave of Sonny Boy Williamson", "d:Description": "Pictures of his final resting place on the Whitfield Baptist Church cemetery in Tutwiler, Mississippi.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Williamson,_Sonny_Boy,_II", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5341"} +{"d:Title": "Sonny Boy's Lonesome Cabin", "d:Description": "The nearly official site of this colorful and influential giant of the blues and blues harmonica. Includes biographical information, photos, record and song listings.", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Williamson,_Sonny_Boy,_II", "url": "http://www.sonnyboy.com/"} +{"d:Title": "All Music Guide: Sonny Boy Williamson II", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Williamson,_Sonny_Boy,_II", "url": "http://www.allmusic.com/artist/p138629"} +{"d:Title": "Johnny Winter: In Concert", "d:Description": "Collection of concert photos with several from the Johnny Winter/Jon Paris early days.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Winter,_Johnny", "url": "http://euklid.mi.uni-koeln.de/~hannes/winter.html"} +{"d:Title": "Johnny Winter: Lyrics and Sound Clips", "d:Description": "Large collection of lyrics of his songs complemented with links to sound clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Winter,_Johnny", "url": "http://blueslyrics.tripod.com/artistswithsongs/johnny_winter_1.htm"} +{"d:Title": "The Official Johnny Winter Web Site", "d:Description": "Almost everything you could possibly want to know is on offer. Some of the highlights are the articles, reviews and tour dates, a questions and answers archive and the chat room.", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/W/Winter,_Johnny", "url": "http://www.johnnywinter.net/"} +{"d:Title": "Yngstr\u00f6m, Clas and Sky High", "d:Description": "Swedish band. Band information, discography, pictures and tour dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/Y", "url": "http://www.skyhighblues.com/"} +{"d:Title": "Zola Moon", "d:Description": "Singer, songwriter and harmonica player from California. Biography, reviews, quotes, photos, tour schedule, audio and video samples.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/Z", "url": "http://www.zolamoon.com/"} +{"d:Title": "Zwingenberger, Axel", "d:Description": "Boogie Woogie piano player from Hamburg, Germany. Biography, recordings and tour schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/Z", "url": "http://www.boogiewoogie.net/home_englisch.html"} +{"d:Title": "Zuckerman, Phil", "d:Description": "North Virginia based blues-rock guitarist, singer, songwriter and teacher. Artist information, photos, sound samples, recordings and gig schedule.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/Z", "url": "http://www.user.shentel.net/philz/"} +{"d:Title": "Zetterberg, Sven", "d:Description": "Swedish guitar player. Artist information, news, photos, audio and video clips.", "topic": "Top/Arts/Music/Styles/B/Blues/Bands_and_Artists/Z", "url": "http://www.svenzetterberg.com/"} +{"d:Title": "Mississippi Valley Blues Society", "d:Description": "(Davenport, IA) Site features festival information, events calendar, blues education, images, video and blues links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.mvbs.org/"} +{"d:Title": "Phoenix Blues Society", "d:Description": "(Arizona) Non-profit organization website that features information on Blues Blast festival, events, clubs, membership, merchandise, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.phoenixblues.org/"} +{"d:Title": "DC Blues Society", "d:Description": "(Washington, DC) Non-profit organization website that provides news, events, venues in Washington, Maryland, and Virginia, radio, local bands, membership, merchandise, articles, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.dcblues.org/"} +{"d:Title": "Natchel' Blues Network", "d:Description": "(Norfolk, Virginia) Non-profit organization. Blues events, newsletter, music reviews, photo gallery, archive, local blues bands, venues, blues hotlines.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.natchelblues.org/"} +{"d:Title": "Central Iowa Blues Society", "d:Description": "(Des Moines, IA) Non-profit organization. Website contains local news, calendar, band directory, festival info, Blues challenge, endowment, merchandise, links and scrapbook.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.cibs.org/"} +{"d:Title": "Toronto Blues Society", "d:Description": "(Canada) Magazine, mailing list, events, membership, merchandise, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.torontobluessociety.com/"} +{"d:Title": "Baltimore Blues Society", "d:Description": "(Baltimore, MD) Non-profit organization. Website offers local venue and show information, photo gallery, message board and a featured CD review.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://mojoworkin.com/"} +{"d:Title": "Boston Blues Society", "d:Description": "(MA) Non-profit organization. Website includes a live show schedule, columns, reviews, blues challenge and jam information, society merchandise and a discussion group.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.bostonblues.com/"} +{"d:Title": "Billtown Blues Association", "d:Description": "(Northcentral PA) Non-profit organization. Provides information on its festival, a newsletter, auditions and a photo gallery.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.billtownblues.org/"} +{"d:Title": "Magic City Blues Society", "d:Description": "(Alabama) Non-profit organization website that features a calendar, events, hotline, local bands, articles, reviews, library.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.magiccityblues.org/"} +{"d:Title": "Colorado Blues Society", "d:Description": "Non-profit organization website that provides classifieds, calendar, venues, newsletter article submission, photo gallery, radio, membership.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.coblues.com/"} +{"d:Title": "West Michigan Blues Society", "d:Description": "Non-profit organization website that features local events, upcoming specials, special programs, gig and CD reviews, local artist and venue information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.wmbs.org/"} +{"d:Title": "Lehigh Valley's Blues Network", "d:Description": "(Allentown, PA) Non-profit organization. Local events, jams, bands/clubs, national acts, membership information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.lvbn.org/"} +{"d:Title": "Kentuckiana Blues Society", "d:Description": "Non-profit organization. Society and membership information, events, local venues, bands, and CD-ROMs, merchandise, reviews, blues news and history, recommended readings and discography, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://members.aye.net/~kbsblues/"} +{"d:Title": "Linn County Blues Society", "d:Description": "(Eastern Iowa) Non-profit organization website that features festival information, local clubs, events, news, specials, membership, links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.lcbs.org/"} +{"d:Title": "Washington Blues Society", "d:Description": "(Seattle, Washington) Events, clubs, radio schedule, photographs, CD reviews, newsletter, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.wablues.org/"} +{"d:Title": "San Antonio Blues Society", "d:Description": "(Texas) Non-profit organization website that features blues related articles, local blues artists, blues news, club and gig information, events, in memoriam, memberships, merchandise, reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.sanantonioblues.com/"} +{"d:Title": "Suncoast Blues Society", "d:Description": "(Tampa Bay, FL) Blues fund, festival information, reviews, discussion, membership.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.suncoastblues.org/"} +{"d:Title": "Hornby Island Blues Society", "d:Description": "(Canada) Coming events, workshop information, photo gallery, sound schedule and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.hornby-blues.bc.ca/"} +{"d:Title": "European Blues Association", "d:Description": "(UK) Features news, events and African American music archive.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://euroblues.org/"} +{"d:Title": "Dorset Blues Society", "d:Description": "(Dorchester, UK) Gig guide, sound bytes, club news, humor, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.bluesnights.co.uk/"} +{"d:Title": "Southern California Blues Society", "d:Description": "(Southern California) Non-profit oraganization website that features festival dates, line-up and ticket pricing.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.socalblues.org/"} +{"d:Title": "MO Blues Association Inc.", "d:Description": "(Central Missouri) Non-profit organization website that features music links, photo archives, MP3s, Missouri Blues radio guide and membership information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.moblues.org/"} +{"d:Title": "Boise Blues Society", "d:Description": "(Boise, ID) Non-profit organization. Includes a gig calendar, newsletter, music reviews, jam sessions information and society merchandise.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.boiseblues.org/"} +{"d:Title": "Blues Lovers United of San Diego", "d:Description": "(San Diego, CA) Website offers a gig calendar, jam and membership information, newsletter, online store, images and a bulletin board.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.blusd.org/"} +{"d:Title": "Sacramento Blues Society", "d:Description": "(Sacramento,CA) Local clubs, membership and weekly jam information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.sacblues.com/"} +{"d:Title": "Archie Edwards Blues Heritage Foundation (AEBHF)", "d:Description": "(Washington, DC) Website for a museum, music hall and educational facility located in a barbershop. Website offers biographies, events calendar and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.acousticblues.com/"} +{"d:Title": "Greater Cincinnati Blues Society", "d:Description": "(Ohio) Non-profit organization website that features a blues festival, local and regional events, membership, merchandise, links, directory of bands, clubs, jams, education, and radio.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://cincyblues.org/"} +{"d:Title": "Southern Arizona Blues Heritage Foundation", "d:Description": "(Southern Arizona) Non-profit organization informational website.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.azblues.org/"} +{"d:Title": "Central Valley Blues Society", "d:Description": "California organization provides its events calendar, links and sites related to local and visiting bands.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.cvblues.org/"} +{"d:Title": "Oklahoma Blues Society", "d:Description": "CD-ROM reviews, the OK Blues Gig Guide, schedules by date, band, venue or city, booking information, sites, maps and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.okblues.org/"} +{"d:Title": "River City Blues Society", "d:Description": "(Peoria, IL) Website offers events, bands, links and Blues Hotline information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.rivercityblues.com/"} +{"d:Title": "Santa Barbara Blues Society", "d:Description": "Current society newsletter, information concerning membership, upcoming shows, blues radio and a links page.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.sbblues.org/"} +{"d:Title": "Mid-Mississippi Muddy Water Blues Society", "d:Description": "(Quincy, Illinois) Provides calendar of society events, membership information, sharing thoughts on the talk board, and information for annual Area Blues Fest.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.quincyblues.com/"} +{"d:Title": "Blusin' on in the BitterRoot", "d:Description": "(Hamilton, MT) Website is intended as a Montana Blues news forum. The site also features upcoming events and a image gallery.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.bitterrootblues.com/"} +{"d:Title": "Music City Blues", "d:Description": "(Nashville, Tennessee) Non-profit organization. News, events calendar, Blues in the Schools, club and band lists and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.musiccityblues.org/"} +{"d:Title": "Blues Society of the Lower Cape Fear", "d:Description": "(Wilmington, NC) Non-profit organization. Website contains links, jam information, blues challenge, festival, and special events information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.capefearblues.org/"} +{"d:Title": "Houston Blues Society, The", "d:Description": "(Texas) Site offers information on local artists, venues, news, directory, discussion, membership and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.houstonbluessociety.org/"} +{"d:Title": "Memphis Blues Society", "d:Description": "(Memphis, TN) Music charts, audio, calendar, history, links and local events information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.memphisbluessociety.com/"} +{"d:Title": "Connecticut Blues Society", "d:Description": "Non-profit organization website that provides a newsletter, radio programming, blues bands, talent search and information on society t-shirts and bumper stickers.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.ctblues.org/"} +{"d:Title": "Saskatoon Blues Society", "d:Description": "(Canada)Website offers upcoming events, membership information, blues news and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.saskatoonbluessociety.ca/"} +{"d:Title": "Blues Society of Western Pennsylvania", "d:Description": "(Pittsburgh, PA) Non-profit organization. Events calendar, image album, local blues and festival information.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://www.bswpa.org/"} +{"d:Title": "Columbus Blues Alliance", "d:Description": "(Ohio) Local news, events, jams, clubs, archives and festivals.", "topic": "Top/Arts/Music/Styles/B/Blues/Blues_Societies", "url": "http://columbusblues.com/"} +{"d:Title": "Mojo Blues Bar", "d:Description": "Copenhagen, Denmark", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.mojo.dk/"} +{"d:Title": "Tipitina's", "d:Description": "New Orleans", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.tipitinas.com/"} +{"d:Title": "Preservation Hall", "d:Description": "New Orleans", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.preservationhall.com/"} +{"d:Title": "Fitzgeralds Night Club", "d:Description": "Chicago", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.fitzgeraldsnightclub.com/"} +{"d:Title": "Rosa's Lounge", "d:Description": "Chicago", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.rosaslounge.com/"} +{"d:Title": "Knitting Factory", "d:Description": "New York", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.knittingfactory.com/"} +{"d:Title": "Blue Note Live", "d:Description": "The legendary NYC Club. Live/Archived Events.", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://bluenote.net/live"} +{"d:Title": "Slippery Noodle Inn", "d:Description": "Indianapolis, Indiana", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.slipperynoodle.com/"} +{"d:Title": "Heimathaus Twist", "d:Description": "Twist, Germany.", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.heimathaus-twist.de/"} +{"d:Title": "Blue Chicago", "d:Description": "Chicago, Illinois (3 clubs)", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://bluechicago.com/"} +{"d:Title": "Blues caf\u00e9 Apeldoorn Holland", "d:Description": "Live blues in Holland. 4 live performances a week.", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.bluescafe.nl/"} +{"d:Title": "Spirit of 66", "d:Description": "Verviers, Belgium", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.spiritof66.com/"} +{"d:Title": "Banana Peel Bluesclub", "d:Description": "Ruiselede, Belgium", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.bananapeel.freeservers.com/"} +{"d:Title": "Arthur's Tavern", "d:Description": "New York City", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.arthurstavernnyc.com/"} +{"d:Title": "Maloe Melo", "d:Description": "Amsterdam, Netherlands.", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.maloemelo.nl/"} +{"d:Title": "House of Blues", "d:Description": "Includes internet radio, concert archives, interviews, downloads, links, and lists artists.", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.houseofblues.com/"} +{"d:Title": "Vic's Kangaroo Cafe", "d:Description": "New Orleans", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "https://www.facebook.com/pages/Vics-Kangaroo-Cafe/111506342223010"} +{"d:Title": "Boom Boom Room", "d:Description": "Blues club located in San Francisco, California. Offers calendar of events and booking information.", "topic": "Top/Arts/Music/Styles/B/Blues/Clubs", "url": "http://www.boomboomroom.com/"} +{"d:Title": "Swing Blues and Jump Blues Guitar", "d:Description": "Jump Blues and Swing Blues Guitar is a complete and free instructional Jump Guitar method (interm/adv)", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.swingblues.com/"} +{"d:Title": "WholeNote: The Blues Learning Track", "d:Description": "Comprehensive site that includes lessons, instruction, articles and resources for the blues guitarist. Covers technique, theory basics, chords, riffs, scales, licks and soloing.", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.wholenote.com/tracks/blues.asp"} +{"d:Title": "ActiveBass: Blues Bass Lessons", "d:Description": "Blues bass guitar lessons created by ActiveBass members with bass tab and customizable music playback. For beginners and intermediate level players.", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://activebass.com/asp/lessons/blues.asp"} +{"d:Title": "Acoustic Guitar Workshop", "d:Description": "Online lessons in the art of fingerstyle acoustic blues, plus acoustic guitar resources and free ezine.", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.acousticguitarworkshop.com/"} +{"d:Title": "Cyberfret: Blues Guitar 101", "d:Description": "Free guitar lessons covering the basics of blues.", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://cyberfret.com/styles/blues/101/index.htm"} +{"d:Title": "Blues Slide Guitar", "d:Description": "A suite of articles and lessons on playing blues slide/bottleneck guitar.", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.bigroadblues.com/slide/"} +{"d:Title": "Blueslessons.net", "d:Description": "A collection of lessons on playing the Blues, from basic to classics. [German and English]", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.blueslessons.net/"} +{"d:Title": "Eric Clapton Slowhand Blues Guitar", "d:Description": "Guitar tutorial and FTP archive of tablature.", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.12bar.de/"} +{"d:Title": "Ultimate Guitar Archive: Blues Lessons", "d:Description": "Beginners lessons covering theory and practice, provided by visitors of the archive.", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.ultimate-guitar.com/search.php?s=blues&w=lessons"} +{"d:Title": "Olav Torvund's Guitar Site - Blues Guitar", "d:Description": "Website offers blues guitar lessons.", "topic": "Top/Arts/Music/Styles/B/Blues/Education", "url": "http://www.torvund.net/guitar/index.php?page=bluesguitar"} +{"d:Title": "Keepin The Blues Alive", "d:Description": "Blues links, blues artists, blues clubs Those remembered and Real Audio", "topic": "Top/Arts/Music/Styles/B/Blues/Fan_Pages", "url": "http://www.members.tripod.com/bluzchick1_04/"} +{"d:Title": "Jimmy Dawkins - Plays the blues as blue as it gets", "d:Description": "The very first Jimmy Dawkins site with a complete discography and reviews of all his albums.", "topic": "Top/Arts/Music/Styles/B/Blues/Fan_Pages", "url": "http://hem.fyristorg.com/bukka/dawhem.html"} +{"d:Title": "Bluesrockers", "d:Description": "Profiles and discographies of artists, reviews, and links to artists and festivals.", "topic": "Top/Arts/Music/Styles/B/Blues/Fan_Pages", "url": "http://www.bluesrockers.ws/"} +{"d:Title": "DashersBluesCruisers", "d:Description": "Dashers Yahoo! site for Ultimate Rhythm and Blues Cruisers (URBC) and all blues lovers, all searching for the perfect \"BluesCruise\" or \"BluesFestival\". Cruise and reunion information, photos, and upcoming events.", "topic": "Top/Arts/Music/Styles/B/Blues/Fan_Pages", "url": "http://groups.yahoo.com/group/BluesCruisers"} +{"d:Title": "WorldOfBlues.com", "d:Description": "Fan website that features lists of blues artists, records and songs.", "topic": "Top/Arts/Music/Styles/B/Blues/Fan_Pages", "url": "http://www.worldofblues.com/"} +{"d:Title": "Pistoia Blues Festival", "d:Description": "Pistoia, Italy (July) Images and dates.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.pistoiablues.com/"} +{"d:Title": "Bluesfest Ingolstadt", "d:Description": "- Ingolstadt, Germany. German language site.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bluesfestingolstadt.de/"} +{"d:Title": "blues festival.de", "d:Description": "German Blues festivals site.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bluesfestival.de/"} +{"d:Title": "Gaildorfer Bluesfest", "d:Description": "Gaildorf, Germany (July)", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.kulturschmiede.de/"} +{"d:Title": "NiX BBBlues Festival", "d:Description": "Holland. The site provides information on festivals.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bluesfestival.nl/"} +{"d:Title": "Drijf In Festival Giethoorn", "d:Description": "Giethoorn (August) Annual festival in the Venice of the North.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.muziekingiethoorn.nl/"} +{"d:Title": "Notodden blues festival ,Norway", "d:Description": "Notodden, Norway. Ticket, program, artists and essential information provided.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bluesfest.no/"} +{"d:Title": "Blues Music Venues and Festivals", "d:Description": "International listings for blues festivals and venues showcasing blues music.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.blues-fest.com/"} +{"d:Title": "Mississippi Valley Blues Society", "d:Description": "(Davenport, IA) Site offers news, events calendar, blues education, festival and lodging information, directories and partnerships.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.mvbs.org/"} +{"d:Title": "Winthrop Rhythm&Blues Festival", "d:Description": "Winthrop, WA (July) Three day event. Tickets, schedule, vendor information. Site offers a gallery and guestbook.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.winthropbluesfestival.com/"} +{"d:Title": "New Orleans Jazz and Heritage Festival", "d:Description": "New Orleans, LA (April-May) Lineup, ticket sales, travel and maps, photos, store, chat.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.nojazzfest.com/"} +{"d:Title": "Bluesfestivals.com", "d:Description": "Large list of bluesfestivals, all over the world.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bluesfestivals.com/"} +{"d:Title": "San Francisco Blues Festival", "d:Description": "San Francisco, CA (September) Two day event. Archives, lodging, food, attractions, map, schedule of events.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.sfblues.com/"} +{"d:Title": "Waterfront Blues Festival", "d:Description": "Portland, OR (July) Five day event. Performers, photos, visitor information, sponsors, merchandise, history, weather, contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.waterfrontbluesfest.com/"} +{"d:Title": "Live Music Night Klosterlangheim", "d:Description": "Lichtenfels, Germany (June)", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.live-music-night.de/"} +{"d:Title": "Sarasota Blues Fest", "d:Description": "Sarasota, FL (November) Tickets, photos, sponsors.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.sarasotabluesfest.com/"} +{"d:Title": "Briggsfarm Blues Festival", "d:Description": "Nesopeck, PA (July) Lineup, directions, camping and ticket information, past event photos.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.briggsfarm.com/"} +{"d:Title": "Springing the Blues", "d:Description": "Jacksonville, FL (April) Three day event. Ticket information, performance schedule, performers, FAQ, Maps and accommodations.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.springingtheblues.com/"} +{"d:Title": "Tampa Bay Blues Festival", "d:Description": "St. Petersburg, FL (April) Three day event. Accommodations, tickets, maps, directions.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.tampabaybluesfest.com/"} +{"d:Title": "W.C. Handy Blues and Barbecue Festival", "d:Description": "Henderson, KY (June) Four day event. Festival schedule, contacts, t-shirts, photo gallery, past events.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.handyblues.org/"} +{"d:Title": "Nidaros Bluesfestival", "d:Description": "Trondheim, Norway (April) Three day festival.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.nidarosbluesfestival.com/"} +{"d:Title": "Sunflower River Blues Festival", "d:Description": "Clarksdale, MS. Area information, history, news, stories, contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.sunflowerfest.org/"} +{"d:Title": "Boston Blues Festival", "d:Description": "Boston, MA (September) Past Performers, mascot image, festival history, sponsors, contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bluestrust.com/"} +{"d:Title": "Telluride Blues&Brews Festival", "d:Description": "Telluride, CO (September) Three day event. Schedule, tickets, breweries, lodging, camping, airs, sponsors, contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.tellurideblues.com/"} +{"d:Title": "Rogue Valley Blues Festival", "d:Description": "Ashland, OR (January) Ticket and lodging information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.stclairevents.com/blues_festival.htm"} +{"d:Title": "Central Valley Blues Festival", "d:Description": "Merced, CA (October) Two day event. Site offers venue and contact information, dates and times.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://centralvalleybluesfestival.com/"} +{"d:Title": "Boogie Woogie Piano Festival", "d:Description": "Switzerland (April) Artist and ticket information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.boogiegroove.ch/festival/index.html"} +{"d:Title": "Thredbo Blues Festival", "d:Description": "Thredbo, Australia (January) Festival information, accommodations, artists, venues, merchandising.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.thredboblues.com/"} +{"d:Title": "Betty&Larry's Ultimate Event Special", "d:Description": "Eastern Pennsylvania (October) Two day event. Site features schedule, directions and contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.angelfire.com/music4/bluesfestival/"} +{"d:Title": "Magic City Blues Festival", "d:Description": "Billings, MT (August) A two-night event. Site features performers, ticket and general information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.magiccityblues.com/"} +{"d:Title": "Great Woods Music Festival", "d:Description": "Beausejour, MB, Canada (August) Three day event. Site offers general festival and lodging information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.greatwoodspark.com/"} +{"d:Title": "Columbia Blues Festival", "d:Description": "Columbia, SC (October) Information on a free annual concert blues, zydeco and traditional music.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.wordofmouthproductions.org/"} +{"d:Title": "Blues Festival Guide", "d:Description": "Online directory for blues festivals and societies.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bluesfestivalguide.com/"} +{"d:Title": "Salmon Arm Roots&Blues Festival", "d:Description": "Shuswap, BC, Canada (August) Performers, sponsors, tickets, location information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.rootsandblues.ca/"} +{"d:Title": "Mill Hill Music Festival", "d:Description": "UK site that is a portal to music festival and jazz clubs.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://millhillmusicfest.co.uk/"} +{"d:Title": "Bayfront Blues Festival", "d:Description": "Duluth, MN (August). Event information, image library.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bayfrontblues.com/"} +{"d:Title": "International Gloucester Blues&Heritage Festival", "d:Description": "Gloucester, UK (July-August) Website offers an event schedule, tickets, site maps, hotline, accommodation information and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.gloucesterblues.co.uk/"} +{"d:Title": "NYS Rhythm&Blues Festival - Syracuse, NY", "d:Description": "New York State Rhythm and Blues Festival (July). Offers schedules, directions, ticket and sponsors information, merchandise, past headliners, press-releases and a festival review.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.nysbluesfest.com/"} +{"d:Title": "Binkom Blues 2004", "d:Description": "Blues festival in Binkom - Lubbeek (Oktober) Website offers general festival information such as lineup, schedule, tickets, sponsors, volunteers and location.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.binkomblues.be/"} +{"d:Title": "Riverwalk Blues Festival", "d:Description": "Ft Lauderdale, FL (November) Website offers festival information including history, production credits, blues news, directions,links, sponsors and a band submission page.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.riverwalkbluesfestival.com/"} +{"d:Title": "Polish Heritage Festival", "d:Description": "The Festival serves to promote Polish culture and traditions. It also highlights Polish-American organizations and provides college scholarships. serves as a family-oriented gathering place for all to enjoy", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.polfunfest.com/"} +{"d:Title": "The Southern BluesNight 2005", "d:Description": "The Netherlands. Program, bands, history, location, downloads, contact information and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.southernbluesnight.nl/"} +{"d:Title": "Chesapeake Bay Blues Festival", "d:Description": "Annapolis, MD. (May) Website offers festival information, musical line up, tickets and VIP information, benefiting charities, venue directions and accommodations, sponsorship opportunities and an archive of years gone by.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.bayblues.org/"} +{"d:Title": "Pittsburgh Blues Festival", "d:Description": "(July) A three day events held at Hartwood Acres. Offers information on national and local blues bands, food, kid\u2019s activities, raffles, jamming tent. The festival benefits Greater Pittsburgh Community Food Bank.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.pghblues.com/"} +{"d:Title": "Boundary Waters Blues Festival", "d:Description": "Ely, Minnesota (August) Website offers a festival line-up, tickets, places to stay, photo gallery, directions, sponsor and contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.elyblues.com/"} +{"d:Title": "The Bean Blossom Blues Fest", "d:Description": "Nashville, Indiana (September) Three day event. Site features festival line-up, tickets, sponsor and general information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://beanblossomblues.com/"} +{"d:Title": "Fargo Blues Fest", "d:Description": "Fargo, ND (August) Two day festival. Schedule, history, photographs, band profiles, ticket ordering, accommodations, and maps.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://fargobluesfest.homestead.com/home.html"} +{"d:Title": "Blues sur Seine Festival", "d:Description": "Mantes la Jolie - Paris, France", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.blues-sur-seine.com/"} +{"d:Title": "Bridging The Blues", "d:Description": "Connecting the Highway 61 Blues Festival and the King Biscuit Blues Festival with other events across Arkansas, Mississippi and Memphis, Tennessee. End of September through the beginning of October.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://bridgingtheblues.blogspot.com/"} +{"d:Title": "King Biscuit Blues Festival", "d:Description": "Annual festival held every Columbus Day Weekend (October) in Helena, Arkansas, hosting tens of thousands of blues enthusiasts and historians from all over the world to hear performances of this American art form.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.kingbiscuitfestival.com/"} +{"d:Title": "Highway 61 Blues Festival", "d:Description": "Official site of the annual music festival held the end of September at the blues museum in Leland, Mississippi.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.highway61blues.com/festival_page_1.htm"} +{"d:Title": "Wikipedia - Category: Blues Festivals", "d:Description": "Category of articles about blues festival worldwide.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://en.wikipedia.org/wiki/Category:Blues_festivals"} +{"d:Title": "Dark Season Blues", "d:Description": "The world's northernmost blues festival, held annually in October in Norway for four days. [English, Norwegian]", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://www.svalbardblues.com/"} +{"d:Title": "Blues at the Crossroads", "d:Description": "Terre Haute, IN (September) Website offers event schedule, photo gallery,lodging and contact information.", "topic": "Top/Arts/Music/Styles/B/Blues/Festivals", "url": "http://bluesatthecrossroads.weebly.com/"} +{"d:Title": "Delta Blues Museum", "d:Description": "The Museum houses thousands of books, recordings, tapes, CDs, videos, rare photographs and memorabilia tracing the roots of this unique American phenomenon.", "topic": "Top/Arts/Music/Styles/B/Blues/History", "url": "http://www.deltabluesmuseum.org/"} +{"d:Title": "Blues&Race Relations", "d:Description": "A website about the history of the Blues and how it affected the race relations in the USA.", "priority": "1", "topic": "Top/Arts/Music/Styles/B/Blues/History", "url": "http://www.angelfire.com/sc/bluesthesis/"} +{"d:Title": "History of the Blues", "d:Description": "Presenting the history of blues music in a tree, maps, essays and links.", "topic": "Top/Arts/Music/Styles/B/Blues/History", "url": "http://blueslyrics.tripod.com/blueshistory.htm"} +{"d:Title": "Jump Blues History", "d:Description": "A short introduction to the history of this energetic style of blues.", "topic": "Top/Arts/Music/Styles/B/Blues/History", "url": "http://www.stuve.com/history.htm"} +{"d:Title": "Hampton Studios", "d:Description": "Photographs of jazz and blues musicians and bands.", "topic": "Top/Arts/Music/Styles/B/Blues/Image_Galleries", "url": "http://www.hamptonstudios.com/"} +{"d:Title": "Photographs by Greg Evans", "d:Description": "Freelance photographers site with an emphasis on blues musicians.", "topic": "Top/Arts/Music/Styles/B/Blues/Image_Galleries", "url": "http://www.gsevans.com/photography/"} +{"d:Title": "Blue Cry", "d:Description": "Pictures of blues and jazz musicians in concert, taken by former professional musician Dragan Tasic.", "topic": "Top/Arts/Music/Styles/B/Blues/Image_Galleries", "url": "http://www.nga.ch/"} +{"d:Title": "Smithsonian Magazine: Focus on the Blues", "d:Description": "Richard Waterman's never-before-published photographs of blues legends. Full article available in PDF format.", "topic": "Top/Arts/Music/Styles/B/Blues/Image_Galleries", "url": "http://www.smithsonianmag.com/arts-culture/blues.html"} +{"d:Title": "Country Blues and Blues Harp Pages by Glenn Weiser", "d:Description": "Free blues harp transcriptions including \"Juke,\" a slide guitar lesson from Acoustic Guitar magazine, discographies for blues harp and country blues guitar, and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Instruments", "url": "http://www.celticguitarmusic.com/bluesmain.htm"} +{"d:Title": "OffBeat Magazine", "d:Description": "New Orleans and Louisiana Music and Entertainment Monthly", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.offbeat.com/"} +{"d:Title": "Blues Access Online", "d:Description": "Offering current and past issues, forum and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.bluesaccess.com/"} +{"d:Title": "New Orleans Music News", "d:Description": "New Orleans music news, concert listings, artist biographies, audio clips, and music shopping.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.satchmo.com/nolavl/nomusic.html"} +{"d:Title": "Jazz and Blues Report", "d:Description": "Search for information on current artists.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.jazz-blues.com/"} +{"d:Title": "Blues Bytes", "d:Description": "A monthly online magazine of blues CD reviews.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.bluenight.com/BluesBytes/"} +{"d:Title": "Jefferson Blues Magazine", "d:Description": "Named after legendary Texas bluesman Blind Lemon Jefferson, this Scandinavian magazine was founded in 1968 and is the oldest blues magazine in the world. The Internet version began in 1996.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.jeffersonbluesmag.com/"} +{"d:Title": "Blues Music Now", "d:Description": "Blues music e-zine provides the latest news and reviews about blues and soul artists.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.bluesmusicnow.com/"} +{"d:Title": "Blues Matters!", "d:Description": "British blues magazine. News, reviews, forums, gig guide and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.bluesmatters.com/"} +{"d:Title": "Living Blues", "d:Description": "Published since 1970, features interviews, photography, news, reviews, and the nation's only blues radio airplay chart.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.livingblues.com/"} +{"d:Title": "The Blues Audience", "d:Description": "Online blues magazine from New Hampshire, with listings of New England blues band gigs and club schedules.", "topic": "Top/Arts/Music/Styles/B/Blues/Magazines_and_E-zines", "url": "http://www.thebluesaudience.com/"} +{"d:Title": "Nola Music - Announce", "d:Description": "Google group headlines.", "topic": "Top/Arts/Music/Styles/B/Blues/Newsgroups_and_Mailing_Lists", "url": "http://groups.google.com/group/nola-music-announce/feed/rss_v2_0_msgs.xml?num=50"} +{"d:Title": "The Blues Corner 2", "d:Description": "A Yahoo Music Group website for Blues enthusiasts. Membership required to access features.", "topic": "Top/Arts/Music/Styles/B/Blues/Newsgroups_and_Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/thebluescorner2/?yguid=273028233"} +{"d:Title": "KFAI", "d:Description": "90.3 FM Minneapolis and 106.7 FM St. Paul. Twin Cities community radio with over 20 hours of blues and rhythm and blues programming each week.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.kfai.org/"} +{"d:Title": "Boundary Waters Blues Jam", "d:Description": "Broadcast Saturdays at 7pm on WELY 94.5 FM and 1450 AM from Ely, Minnesota, or on the Internet. Includes a photo gallery.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.elyblues.com/"} +{"d:Title": "KLCC-FM 89.7", "d:Description": "From Eugene, Oregon airing \"Blues Power\" Saturdays 1-5pm: Traditional to contemporary blues, plus zydeco, soul and funk.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.klcc.org/"} +{"d:Title": "WWOZ-FM 90.7", "d:Description": "New Orleans, Louisiana volunteer-operated station featuring the music and musical heritage of the Crescent City including blues, rhythm and blues, jazz, and gospel. Live Internet broadcast.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.wwoz.org/"} +{"d:Title": "The South Side", "d:Description": "Website for weekly Blues and Rhythm and Blues, streaming live Friday 7 to 10pm PST. Website offers playlists, images of classic \"boxing-style\" posters, artist and blues links. KHUM 104.7 FM / 104.3 FM Humboldt County, California.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.thesouthside.org/"} +{"d:Title": "BluePower.com", "d:Description": "Hosted by John Rhys and Jeanie Cunningham, featuring streaming audio and examining the history of blues and rhythm and blues producers, writers and performers.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.bluepower.com/"} +{"d:Title": "KMUD-FM 91.1", "d:Description": "\"People-Powered\" Community Radio from Garberville, California featuring \"Jomama's Blues\" Sunday 9-11pm.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.kmud.org/"} +{"d:Title": "WFDU-FM 89.1", "d:Description": "Teaneck, New Jersey. Home of \"Blues Groove\" Monday 6-9am.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.wfdu.fm/"} +{"d:Title": "Beale Street Caravan", "d:Description": "Internet broadcast and playlists of a blues program aired worldwide on NPR, NPI and the Armed Forces Network. [Requires Windows Media Player]", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.bealestreetcaravan.com/"} +{"d:Title": "KPFA-FM 94.1", "d:Description": "Berkeley, California Pacifica Network Radio affiliate. Home of \"The Johnny Otis Show\" Saturdays 9am-Noon with classic rhythm and blues and \"Blues by the Bay\" with Tom Mazzolini, Saturdays Noon-2pm, playing a mix of raw edged, soulful blues.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.kpfa.org/"} +{"d:Title": "KRVS-FM 88.7", "d:Description": "Radio Acadie from the University of Louisiana at Lafayette. \"Blues Box\" Friday 9am-1pm and \"Dirty Rice\" Saturday 8:30-10:30pm. Live Internet broadcast.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.krvs.org/"} +{"d:Title": "KDHX-FM 88.1", "d:Description": "Blues for St. Louis, Missouri plus rock 'n roll, folk, and world music.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.kdhx.org/"} +{"d:Title": "Crescent City Music Show", "d:Description": "South Florida's outlet for Louisiana music, Saturday nights on WAXY-AM 790 and cybercast.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.steveapple.com/ccms/"} +{"d:Title": "Chicago Blues X-plosion", "d:Description": "Thursday 10-12pm Eastern on WRMN-AM 1410. Includes live blues listings for Chicago, playlists and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.bluesexplosion.com/"} +{"d:Title": "White Knight Radio Network", "d:Description": "Playlists for \"Junior's Place,\" \"From The Years Of 78's\" and \"Back Track With Jack\" plus blues links.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.wkrn.net/"} +{"d:Title": "Shout Brother Shout", "d:Description": "Delta blues, folk, and old-time with Brian Fostervold Friday 9pm-12am on WXCI-FM 91.7 Danbury, Connecticut. Features playlists and links.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.shoutbrothershout.homestead.com/"} +{"d:Title": "SmokestackLightnin", "d:Description": "Internet-only program with new Blues and newly reissued classic blues, adult rhythm and blues, and soul, blues rock, and zydeco. Refreshed every other week.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.smokestacklightnin.com/"} +{"d:Title": "Blues After Hours", "d:Description": "WNHU-FM 88.7 in West Haven, Connecticut. Features club Listings, playlists, blues pictures from Italy, record label links, song of the week, top 25 chart.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.drbillbluesafterhours.com/"} +{"d:Title": "XM 74 - Bluesville", "d:Description": "Traditional and electric blues broadcast via satellite to the continental US. List of typical cuts, program schedule, song request form.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.xmradio.com/programming/channel_page.jsp?ch=74"} +{"d:Title": "HOTFM Sunraysia Community Radio", "d:Description": "HOT FM 106.7, from Mildura Victoria, Australia. Live broadcast every day at 10pm local time. (12am GMT)", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.hotfm.org.au/"} +{"d:Title": "Blues Hotel Radio", "d:Description": "Links to online blues broadcasts.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://members.chello.nl/~b.nicolai/blues.html"} +{"d:Title": "Highway Blues", "d:Description": "KZUM-FM 89.3 from Lincoln, Nebraska, USA. Website offers streaming blues music every Tuesday from 3-6pm CT.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.highwayblues.org/"} +{"d:Title": "KMHD-FM 89.1", "d:Description": "Public radio from Mt. Hood Community College in Gresham, Oregon featuring \"Blue Friday\" with \"Friday Freeway Blues\" 4-6pm, \"Rhapsody In Blues\" 6-10pm, and \"The Blues Palace\" from 10pm to 2am.", "topic": "Top/Arts/Music/Styles/B/Blues/Radio", "url": "http://www.opb.org/kmhd/"} +{"d:Title": "Alabama Blues Project, The", "d:Description": "Highlighting Alabama's Blues accomplishments", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://www.alabamablues.org/"} +{"d:Title": "Stavanger Blues Club", "d:Description": "in Stavanger, Norway", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://www.stavanger-bluesclub.no/"} +{"d:Title": "Melbourne Blues", "d:Description": "Features bands, links, festivals, and music releases.", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://www.angelfire.com/on/theblues/"} +{"d:Title": "Central Pennsylvania Blues Calendar", "d:Description": "Listing blues events in central and northern Pennsylvania.", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://www.delta-blues.com/PABLUES.HTM"} +{"d:Title": "Blues Ginza", "d:Description": "Guide for blues music in Tokyo, Japan. Also includes reviews and pictures.", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://members.jcom.home.ne.jp/bluesy/index_e.html"} +{"d:Title": "Delta Boogie Teasers", "d:Description": "An information resource for arts and entertainment in Northeast Arkansas and the Mississippi Delta.", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://www.deltaboogie.com/"} +{"d:Title": "Trenton Blues", "d:Description": "Performers, concerts, festivals and special events in central New Jersey and Bucks County, Pennsylvania.", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://www.trentonblues.biz/"} +{"d:Title": "PapaBlues", "d:Description": "Images and reviews from the Swedish blues scene, plus sound clips and links to Swedish bands and blues societies.", "topic": "Top/Arts/Music/Styles/B/Blues/Regional", "url": "http://www.papablues.com/"} +{"d:Title": "BluesRoad", "d:Description": "A selection of fine Blues CDs, from the origins of Blues to contemporary artists. In English and French.", "topic": "Top/Arts/Music/Styles/B/Blues/Reviews", "url": "http://bluesroad.free.fr/"} +{"d:Title": "Electric Blues", "d:Description": "100s of Blues and Classic rock CD ratings with artist website links, CD reviews, RealAudio Blues Jukebox, online music stores, and a message board.", "topic": "Top/Arts/Music/Styles/B/Blues/Reviews", "url": "http://www.electricblues.com/"} +{"d:Title": "New Music-Blues Reviews", "d:Description": "A place for blues fans to meet and discuss old and new blues recordings and performances.", "topic": "Top/Arts/Music/Styles/B/Blues/Reviews", "url": "http://members.tripod.com/bluesbreakers/"} +{"d:Title": "45adaptor: I Sold My Soul On eBay", "d:Description": "Modern blues song available in several different formats.", "topic": "Top/Arts/Music/Styles/B/Blues/Sound_Files", "url": "http://www.zoetrope.com/files/pri/168/c45a.htm"} +{"d:Title": "The Official Blues Ring", "d:Description": "A wide variety of blues-oriented websites.", "topic": "Top/Arts/Music/Styles/B/Blues/Web_Rings", "url": "http://www.webring.org/hub?ring=blues"} +{"d:Title": "The Blues MP3 Webring", "d:Description": "Webring website that centralizes links that offer blues audio files.", "topic": "Top/Arts/Music/Styles/B/Blues/Web_Rings", "url": "http://www.webring.org/hub?ring=bluesmp3"} +{"d:Title": "Southern Music Network", "d:Description": "Brief paragraphs on notable and influential country, blues, jazz, gospel, and rock artists of the southern US, organized by decade from 1900 to 2000.", "topic": "Top/Arts/Music/Styles/By_Decade", "url": "http://www.southernmusic.net/"} +{"d:Title": "1940s", "d:Description": "Includes a collection of audio files of songs, wartime sounds, and German propaganda.", "topic": "Top/Arts/Music/Styles/By_Decade/1940s", "url": "http://www.1940.co.uk/"} +{"d:Title": "The Music of the Fifties - Oldies but Goodies", "d:Description": "Resource for music produced during the decade.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://www.fiftiesweb.com/music.htm"} +{"d:Title": "Oldies Music", "d:Description": "History, trivia and charts pertaining to the music of the 50s, 60s and 70s.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://www.oldiesmusic.com/"} +{"d:Title": "Tom Simon's Rock-and-Roll Page", "d:Description": "Articles about artists, bands, producers, and DJs.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://www.tsimon.com/rockroll.htm"} +{"d:Title": "Patchy's Lost In The 50s Oldies JukeBoxes", "d:Description": "Over 250 full length RealAudio songs.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://dapatchy.com/oldies/"} +{"d:Title": "Doo-Wop: The Music That Won't Die", "d:Description": "A brief synopsis of the music and pointers to other interesting web resources.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://rupertsrecords.tripod.com/book.htm"} +{"d:Title": "SongHits", "d:Description": "Rockbilly artist discographies, reviews and information from the 50s.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://songhits.us/"} +{"d:Title": "The Wanderer Crusin' 50's", "d:Description": "RealAudio jukebox of hits from the fifties.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://www.wanderers.com/"} +{"d:Title": "Remembering The 50s", "d:Description": "Dozens of hit songs as full-length WAV files, with nostalgic photos from 1950s TV shows and movies as well as links to other Fifties sites.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s", "url": "http://heavens-gates.com/50s/"} +{"d:Title": "Bennett, Boyd", "d:Description": "Teenage 50's rock and roll music pioneer. He wrote and sang \"Seventeen\".", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/B", "url": "http://www.boydbennett.com/"} +{"d:Title": "Big Bopper Page", "d:Description": "Features a brief biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/B/Big_Bopper,_The", "url": "http://www.tsimon.com/bopper.htm"} +{"d:Title": "The Big Bopper, Hellooo... Baby", "d:Description": "Fan site with a brief biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/B/Big_Bopper,_The", "url": "http://www.rockabilly.nl/artists/bbopper.htm"} +{"d:Title": "Artist Direct: The Big Bopper", "d:Description": "Includes biography, merchandise, and message board.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/B/Big_Bopper,_The", "url": "http://www.artistdirect.com/artist/big-bopper/404135"} +{"d:Title": "The Beaumont Enterprise - 'They didn't bury the Big Bopper,' says his son", "d:Description": "News article about the unearthing and reburial of the musician's remains. (March 11, 2007)", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/B/Big_Bopper,_The", "url": "http://www.angelfire.com/music5/archives/bopperexhumed.html"} +{"d:Title": "Danny and The Juniors", "d:Description": "Fifities group that is still performing. Includes biography, schedule, booking information, store, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/D", "url": "http://www.dannyandthejuniors.com/"} +{"d:Title": "Freed, Alan", "d:Description": "Official site of the DJ who coined the phrase 'Rock and Roll'. Features biography, store, and archives.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/F", "url": "http://www.alanfreed.com/"} +{"d:Title": "Rydell, Bobby", "d:Description": "Fan club site. Features articles, forum, biography, links, and tour schedule.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/R", "url": "http://www.bobbyrydell.com/"} +{"d:Title": "Rockabilly Hall of Fame: Ritchie Valens", "d:Description": "Review of the artist's music and short career.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/V/Valens,_Ritchie", "url": "http://www.rockabillyhall.com/RitchieValens.html"} +{"d:Title": "History of Rock and Roll: Ritchie Valens", "d:Description": "Features biography and photographs.", "topic": "Top/Arts/Music/Styles/By_Decade/1950s/Bands_and_Artists/V/Valens,_Ritchie", "url": "http://www.history-of-rock.com/ritchie_valens.htm"} +{"d:Title": "Both Sides Now Publications", "d:Description": "Information about where to find and buy oldies CDs. Includes a message board and FAQ.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s", "url": "http://www.bsnpubs.com/"} +{"d:Title": "Sundazed Music", "d:Description": "Reissues original albums of classic and overlooked sounds from the sixties including surf and hot rod music, garage rock, psychedelic, pop, country, folk rock, soul and rockabilly.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s", "url": "http://www.sundazed.com/"} +{"d:Title": "Oldies Music", "d:Description": "History, trivia and charts of fifties, sixties and seventies music.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s", "url": "http://www.oldiesmusic.com/open.htm"} +{"d:Title": "Oldies Music at About.com", "d:Description": "Resources for fifties and sixties music on the web. Includes newsworthy and timely material related to oldies music.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s", "url": "http://oldies.about.com/"} +{"d:Title": "Vinyl Cobwebs", "d:Description": "Provides oldies radio programs. Includes playlists, photographs, host information, archives, guestbook and download for the latest show.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s", "url": "http://www.vinylcobwebs.freeservers.com/"} +{"d:Title": "Manchester Beat", "d:Description": "A salute to Manchester bands of the 1960s. Offers bands, music shops, DJs, agents, venues and memories.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s", "url": "http://www.manchesterbeat.com/"} +{"d:Title": "Dance Crasher", "d:Description": "Jamaican music from the sixties and early seventies including ska, rocksteady and reggae. Illustrations of record labels from each genre plus sections for Studio 1, Trojan and others.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s", "url": "http://www.dancecrasher.co.uk/"} +{"d:Title": "The Great Folk Scare", "d:Description": "Dedicated to the folk and protest singers from the late 1950s through early 1960s.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Folk_Rock", "url": "http://www.zipcon.net/~highroad/folkscare.html"} +{"d:Title": "Brothers Four", "d:Description": "They were at the forefront of the folk era movement. Their official site has a biography, discography, photo gallery, sound clips, concert calendar and booking/contact information.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Folk_Rock/Bands_and_Artists", "url": "http://www.brothersfour.com/"} +{"d:Title": "Louie Louie.net", "d:Description": "A collection of information about one of the most recognizable party songs ever recorded. Song history, latest news, the FBI files, the Frank Zappa connection, FAQ, documentary film information and a Richard Berry tribute.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Garage", "url": "http://www.louielouie.net/"} +{"d:Title": "Prae-Kraut Pandaemonium", "d:Description": "German sixties garage punk underground compilation series. Includes history, album artwork and liner notes.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Garage", "url": "http://prae-kraut.de/"} +{"d:Title": "Ancestors, The", "d:Description": "1960s garage band from Dublin, Georgia. Includes history, photos and memorabilia.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Garage/Bands_and_Artists", "url": "http://www.theancestors.com/"} +{"d:Title": "Regents, The", "d:Description": "Popular 60s garage band from Tacoma, Washington. Biography, photos, band news and a guestbook.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Garage/Bands_and_Artists", "url": "http://members.tripod.com/~the_regents/frames.htm"} +{"d:Title": "Mysts of Tyme, The", "d:Description": "Rock and roll music from the 50s and 60s played by an eight piece garage band (including three horns) from Glasgow, Kentucky.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Garage/Bands_and_Artists", "url": "http://mystsoftyme.com/"} +{"d:Title": "Mods, The", "d:Description": "1960s Garage Band from Toledo, Ohio. Introduction, contact information, biography, discography, images, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Garage/Bands_and_Artists", "url": "http://www.themods.us/"} +{"d:Title": "The Lyrics Library", "d:Description": "Lyrics from songs by the Animals, the Dave Clark Five, Herman's Hermits, Jay and the Americans, Johnny Rivers, the Mamas and Papas, the Searchers and others.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Lyrics", "url": "http://www.mathematik.uni-ulm.de/paul/lyrics/"} +{"d:Title": "Todd's Vintage R&B", "d:Description": "Lyrics to many older Motown, Atlantic, and Stax rhythm and blues hits.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Lyrics", "url": "http://www.thepeaches.com/music/randb/"} +{"d:Title": "Myke's Psych Site", "d:Description": "An appreciation of psychedelia, psychedelic and progressive music from 1966 through 1974. Includes reviews of rare LPs and CD re-issues.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Psychedelic", "url": "http://www.angelfire.com/music3/mykelspsych/"} +{"d:Title": "Psychedelic Music", "d:Description": "Features photographs of rare album covers by lesser known bands. Includes covers from around the world and information about the records.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Psychedelic", "url": "http://www.annexus.homestead.com/"} +{"d:Title": "Electric Prunes, The", "d:Description": "Popular 60s band that has been shrouded in mystery. Biography, photos, discography, audio files, interviews, news, concert history and a guestbook.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Psychedelic/Bands_and_Artists", "url": "http://www.electricprunes.com/"} +{"d:Title": "Tangerine Zoo, The", "d:Description": "A '60s hippie band from Boston, Massachusetts. Includes history, photos and music.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Psychedelic/Bands_and_Artists", "url": "http://tangerinezoo.homestead.com/indextz.html"} +{"d:Title": "Arthur Lee and Love Discography", "d:Description": "Band information, photos, discography, lyrics, chords and a tour history.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Psychedelic/Bands_and_Artists", "url": "http://love.torbenskott.dk/"} +{"d:Title": "Big Brother and the Holding Company", "d:Description": "From the 1960s San Francisco rock scene and the forefront of the psychedelic movement, they are the band that launched Janis Joplin. History, biographies, discography, photos, reviews, interviews and tour dates.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Psychedelic/Bands_and_Artists", "url": "http://www.bbhc.com/"} +{"d:Title": "Peanut Butter Conspiracy", "d:Description": "Fan site for the Los Angeles band. Contains music, photos, biographies, and discography. Also contains information on the folk rock group The Ashes, a precursor to the PBC.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Psychedelic/Bands_and_Artists", "url": "http://peanutbutterconspiracy.com/"} +{"d:Title": "Roe, Tommy", "d:Description": "Official website of the famous bubblegum singer/songwriter. Songs and interview in Real Audio, discography, biography and a photo album.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.tommyroe.com/"} +{"d:Title": "Tokens, The", "d:Description": "Their recording of \"The Lion Sleeps Tonight\" became a smash hit in the early 60s. Biography, photos, scrapbook, audio files, tour schedule, guestbook and club information.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.thetokens.com/"} +{"d:Title": "Vogues, The", "d:Description": "Their sound left an indelible mark on the music world. Includes a brief history, concert dates and booking information.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.vogues.com/"} +{"d:Title": "Duprees, The", "d:Description": "Their vocals are blended with the big band arrangements of the forties to create a unique sound. The official site has biographies, photos, full length songs in Real Audio, album showcase, concert schedule and contact information.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.duprees.com/"} +{"d:Title": "Goldsboro, Bobby", "d:Description": "Official website of the influential singer/songwriter. Biography, photos and collectables.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.bobbygoldsboro.com/"} +{"d:Title": "Berry, Mike", "d:Description": "Tribute site with a biography, photographs, discography and show dates.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.angelfire.com/rnb/mikeberry/"} +{"d:Title": "Puckett, Gary", "d:Description": "Official site of the singer for Gary Puckett and the Union Gap. Includes a band biography, member profiles, photo gallery, discography, tour dates, booking information, news, merchandise and a fan club page.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.garypuckettmusic.com/"} +{"d:Title": "Buckinghams, The", "d:Description": "\"Kind of a Drag\" was the first hit for these five teenagers from Chicago. Includes photos, biography and FAQs.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.thebuckinghams.com/home.html"} +{"d:Title": "Beau Brummels", "d:Description": "Their biggest hit's included \"Laugh,Laugh\" and \"Just A Little\". This fan site has news, discography, calendar and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://beaubrummels.tripod.com/"} +{"d:Title": "Best, Pete", "d:Description": "The original drummer for the Silver Beatles and The Beatles. Includes a photographs of the artist, timeline, discography, guestbook and message board.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.petebest.com/"} +{"d:Title": "David Box", "d:Description": "Born August 11, 1943 in Sulphur Springs, Texas. Includes a biography of the artist and song list from his CD.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://www.davidbox.net/"} +{"d:Title": "Medora, Eddy - Sunrays", "d:Description": "Tells the story of the band and its early days. Includes photographs and narrative.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://sunrays718.tripod.com/"} +{"d:Title": "Precisions, The", "d:Description": "The official website of the group, one of the East Coast Doo Wop groups from rock music's golden era. Includes biography of the group, calendar of upcoming shows and events, photographs and booking information.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists", "url": "http://theprecisions.com/"} +{"d:Title": "Everly.net", "d:Description": "News, reviews, tour dates, discography, photos, biographies and sound clips.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Everly_Brothers", "url": "http://www.everly.net/"} +{"d:Title": "The Everly Brothers", "d:Description": "Explains how the close-harmony singing of the Everly Brothers had its roots in Appalachian music.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Everly_Brothers", "url": "http://www.history-of-rock.com/everlybros.htm"} +{"d:Title": "Rock and Roll Hall of Fame: The Everly Brothers", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Everly_Brothers", "url": "http://rockhall.com/inductees/the-everly-brothers/"} +{"d:Title": "Fireballs, The", "d:Description": "Official site of the original Fireballs. Includes history, discography, news, updates, photos, sound clips and contact information.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Fireballs,_The", "url": "http://www.fireballs-original.com/"} +{"d:Title": "Lovin Spoonful", "d:Description": "The official site includes current and historical information about the band, biographies, photos, discography, hit parade and concert dates.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Lovin_Spoonful", "url": "http://www.lovinspoonful.com/"} +{"d:Title": "Sebastian, John", "d:Description": "The front man of the Lovin Spoonful, John Sebastian's website includes current news, photographs and interviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Lovin_Spoonful", "url": "http://www.johnbsebastian.com/"} +{"d:Title": "Paul Revere", "d:Description": "This official site includes everything from their history and music to current news and tour dates.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Revere,_Paul_and_the_Raiders", "url": "http://www.paulrevereraiders.com/"} +{"d:Title": "Volk, Phil Fang", "d:Description": "The original bass guitar player for Paul Revere and the Raiders'. Includes a musical biography, guestbook and photographs.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Revere,_Paul_and_the_Raiders", "url": "http://www.philfangvolk.com/"} +{"d:Title": "Mitch Ryder", "d:Description": "Fan site for the godfather of rock, Mitch Ryder, includes biography and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Ryder,_Mitch", "url": "http://www.angelfire.com/rock3/mitchryder/"} +{"d:Title": "Traffic", "d:Description": "Wilson and Alroy's record reviews of the band Traffic.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Traffic", "url": "http://www.warr.org/traffic.html"} +{"d:Title": "Traffic", "d:Description": "Offers a detailed history of the band, as well as a history of the talented artists that played in Traffic.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Rock_and_Pop/Bands_and_Artists/Traffic", "url": "http://www.brumbeat.net/traffic.htm"} +{"d:Title": "Soul-Patrol", "d:Description": "A celebration of great black music, from the ancient to the future, including soul, jazz, blues, rock, funk and the culture that has evolved from it.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul", "url": "http://www.soul-patrol.com/"} +{"d:Title": "Welcome to Motown N' Soul", "d:Description": "This site is a celebration of Soul in all its forms. An artist-focused approach including pages on The Temptations, William Bell, The Shirelles and others.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul", "url": "http://www.angelfire.com/biz6/motownNsoul4Ever/"} +{"d:Title": "Soul Shack, The", "d:Description": "Rhythm and Blues Oldies, Blues, Northern Soul, Funk. Scott Relf and Johnny Rivera host The Soul Shack Radio Show available in downloadable mp3 format.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul", "url": "http://www.soulshack45.com/"} +{"d:Title": "Soul Tracks", "d:Description": "The companion site to the Soul Tracks show on XM Satellite Radio. Includes artist bios and \"where are they now\" features.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul", "url": "http://www.soultracks.com/"} +{"d:Title": "Topix: Soul", "d:Description": "News about soul music, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul", "url": "http://www.topix.com/rss/music/soul.xml"} +{"d:Title": "Soulsville U.S.A", "d:Description": "The Museum of American Soul Music showcases more than 2,000 exhibits covering the history of Stax Records, news and events, membership and gift shop.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul", "url": "http://www.staxmuseum.com/"} +{"d:Title": "Rawls, Lou", "d:Description": "Official website includes his biography, discography, career facts, trivia, itinerary, photo album, acting credits, contact sources and information on the Lou Rawls Theater and Cultural Center.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists", "url": "http://www.lourawls.com/"} +{"d:Title": "The Mighty Dells", "d:Description": "Official site of one of Soul's most enduring vocal groups. Also downloadable MP3 samples of past hits and new releases.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists", "url": "http://www.themightydells.com/"} +{"d:Title": "Irma Thomas - The Soul Queen of New Orleans", "d:Description": "Unofficial web-site of the woman dubbed \"The Soul Queen of New Orleans\". Life story plus LP/CD discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists", "url": "http://www.helsinki.fi/~ilva/irma.html"} +{"d:Title": "Dells, The", "d:Description": "This Chicago Doo Wop/Soul group celebrates 50 years on the music scene, starting in 1950's with 'Oh What a Nite', right up to their latest relese in 2002 'Open Up Your Heart', in tribute to the victims of the 9-11 attacks.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists", "url": "http://www.soul-patrol.com/dells/"} +{"d:Title": "Dramatic Soul", "d:Description": "A fan site for the soul music vocal group, the Dramatics! Includes pictures, games, and biographies.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists", "url": "http://dramaticsoul.tripod.com/"} +{"d:Title": "All Music Guide: Gene Chandler", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Chandler,_Gene", "url": "http://www.allmusic.com/artist/gene-chandler-p3869"} +{"d:Title": "Gene Chandler: The Dukedom", "d:Description": "The official site of \"The Duke Of Earl\", with his biography and discography, several videos, and performance schedule.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Chandler,_Gene", "url": "http://www.genechandler.com/"} +{"d:Title": "Delfonics", "d:Description": "Reviews of several of the Philadelphia soul band's albums.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Delphonics,_The", "url": "http://www.soul-patrol.com/funk/delfonic.htm"} +{"d:Title": "Wil Hart and the Delphonics", "d:Description": "Profile and booking information for the current lineup.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Delphonics,_The", "url": "http://www.utopiaartists.com/bio_delphonics.htm"} +{"d:Title": "Otis Redding", "d:Description": "Official site with biography, discography, song lyrics and information about the Otis Redding Foundation (scholarship).", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Redding,_Otis", "url": "http://www.otisredding.com/"} +{"d:Title": "Rock and Roll Page: Otis Redding", "d:Description": "A biography of the artist's life.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Redding,_Otis", "url": "http://www.tsimon.com/redding.htm"} +{"d:Title": "Athens Daily News: Otis Redding Article", "d:Description": "Otis Redding draws fans 30 years after death, posthumous hit by Russ Bynum. Includes comments by Redding's widow.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Redding,_Otis", "url": "http://www.athensnewspapers.com/1997/113097/1130.a3otis.html"} +{"d:Title": "Grave of Otis Redding", "d:Description": "View a photo of the artist's final resting place, along with detailed directions to the site.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Redding,_Otis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2205"} +{"d:Title": "OldieLyrics.com: Otis Redding lyrics", "d:Description": "Otis Redding lyrics in albums release order.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Redding,_Otis", "url": "http://www.oldielyrics.com/o/otis_redding.html"} +{"d:Title": "History of Rock: Otis Redding", "d:Description": "Biography and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Redding,_Otis", "url": "http://www.history-of-rock.com/otis_redding.htm"} +{"d:Title": "All Music Guide: Percy Sledge", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Bands_and_Artists/Sledge,_Percy", "url": "http://www.allmusic.com/artist/percy-sledge-p5455"} +{"d:Title": "Northern Soul Night Shift", "d:Description": "History and reminscences plus updates on the scene in Melbourne, Australia.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://home.iprimus.com.au/stephenbardsley/"} +{"d:Title": "Soulful Kinda Music", "d:Description": "Includes history of the UK's Northern Soul scene, discographies, articles, DJ profiles, interviews, reviews, venue reports, and monthly event schedule.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.soulfulkindamusic.net/"} +{"d:Title": "Northern Soul Music", "d:Description": "A history of the genre featuring Tamla Motown and other 60's and 70's artists.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.northern-soul-music.org.uk/"} +{"d:Title": "Northern-Soul.com", "d:Description": "Soul, mod, and medway. Events pages, records for sale, audio, message board, books and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.northern-soul.com/"} +{"d:Title": "Anoraks Corner", "d:Description": "A site for 60's Soul collectors. Includes label listings, scans, trade adverts, club flyers, and a method of calculating the date and origin of discs.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.anorakscorner.homestead.com/"} +{"d:Title": "Northern Soul Music", "d:Description": "Audio site focussing on the soul scene that originated in the north of England and now has a worldwide following. Includes profile, audio and image galleries, events, venue reviews, artist profiles, forum, and cd services.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.northernsoulmusic.co.uk/"} +{"d:Title": "Soul Source", "d:Description": "Northern soul online magazine featuring articles, event guide, sales, forum, sounds, video, and radio.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.soul-source.co.uk/"} +{"d:Title": "Hearts Of Soul", "d:Description": "Northern soul site containing Real Audio, scans of badges and labels, radio schedules and UK fanzines.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.hearts-of-soul.co.uk/"} +{"d:Title": "Soul Echoes", "d:Description": "Dedicated to Northern Soul nostalgia. Streaming audio. Listen to Eddie Parker and Lorraine Chandler live.", "topic": "Top/Arts/Music/Styles/By_Decade/1960s/Soul/Northern_Soul", "url": "http://www.soulechoes.co.uk/"} +{"d:Title": "Rhino Records", "d:Description": "Music history, news and featured artists from the 1970s.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s", "url": "http://www.rhino.com/"} +{"d:Title": "Super70s.com", "d:Description": "Covering all aspects of life in the 1970s including music, movies, television, news and culture.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s", "url": "http://www.super70s.com/Super70s/Music/"} +{"d:Title": "Naff Caff", "d:Description": "Features music and trends of the 70s and 80s. Includes pictures, glam and radio information, a quiz, a timeline and audio clips.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s", "url": "http://www.naffcaff.co.uk/"} +{"d:Title": "Shades Of Seventies", "d:Description": "Disco classics, high-nrg, after hours music, and early morning selections are covered in MP3 on this salute to disco music. Special tributes to disco artists, producers and musicians are also featured.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s", "url": "http://www.shadesofseventies.com/"} +{"d:Title": "Summer Jam - Watkins Glen 1973", "d:Description": "The largest rock concert in history held at Watkins Glen, N.Y. in 1973. Lots of rare photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s", "url": "http://www.glenphotos.com/summerjam/"} +{"d:Title": "'70s Preservation Society", "d:Description": "Seventies music, memories, trivia, and a Saturday night radio show.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s", "url": "http://www.70ps.com/"} +{"d:Title": "Greenbaum. Norman", "d:Description": "Official Spirit In The Sky site. Includes CD sales with music clips and reviews, and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Bands_and_Artists/G", "url": "http://www.spiritinthesky.com/"} +{"d:Title": "Orlando, Tony", "d:Description": "Official site with biography, current itinerary, photo album, acting credits, media flashes, veteran's page, and contacts.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Bands_and_Artists/O", "url": "http://www.tonyorlando.com/"} +{"d:Title": "Rawls, Lou", "d:Description": "Official website includes his biography, discography, career facts, trivia, itinerary, photo album, acting credits, contact sources and information on the Lou Rawls Theater and Cultural Center.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Bands_and_Artists/R", "url": "http://www.lourawls.com/"} +{"d:Title": "Taste of Honey, A", "d:Description": "Fan site includes discography, sound files, photos, and lyrics.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Bands_and_Artists/T", "url": "http://www.angelfire.com/biz3/bss/tasteofhoney.html"} +{"d:Title": "All Music Guide: Cockney Rebel", "d:Description": "Biography, career summary, and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Cockney_Rebel", "url": "http://www.allmusic.com/artist/cockney-rebel-p165066"} +{"d:Title": "The Slade Gallery", "d:Description": "Includes photos, video clips, and a Noddy Holder interview.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Slade", "url": "http://www.angelfire.com/ok/sladejournal/Mainpage.html"} +{"d:Title": "The Slade Archive", "d:Description": "Includes gear description, band and members biographies, archived news and tour dates, and live photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Slade", "url": "http://www.crazeeworld.plus.com/"} +{"d:Title": "The International Slade Mailing List", "d:Description": "User guide and information on how to subscribe, sample messages and pictures.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Slade", "url": "http://mailinglist.stroemoe.com/"} +{"d:Title": "Freddie's Slade Page", "d:Description": "Discography with lyrics and audio clips.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Slade", "url": "http://jukebox.au.nu/rock_it/1970s/slade/"} +{"d:Title": "Weer All Crazee", "d:Description": "Discography includes pictures of sleeves and lyrics.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Slade", "url": "http://www.slade-weerallcrazee.co.uk/"} +{"d:Title": "Cheap Trash NYC: Sweeney Todd", "d:Description": "Picture, history, and review of \"If Wishes Were Horses\".", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Sweeney_Todd", "url": "http://cheaptrashnyc.tripod.com/sweeneytoddbio.html"} +{"d:Title": "CanConRox: Sweeney Todd", "d:Description": "History, discography, and picture.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Sweeney_Todd", "url": "http://www.canadianbands.com/Sweeney%20Todd.html"} +{"d:Title": "Home Sweet Home", "d:Description": "Fan site with news, pictures, band history, tributes to band members, trivia, tablature, a mailing list, and a fan club.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Sweet,_The", "url": "http://www.thesweet.com/"} +{"d:Title": "The Sweet WWW Page", "d:Description": "Discography and lyrics, band profile, song index, singles release dates, and MP3s.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Sweet,_The", "url": "http://www.infopro.spb.su/~freddie/sweet/"} +{"d:Title": "All Music Guide: The Sweet", "d:Description": "History and discography of the band.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/Sweet,_The", "url": "http://www.allmusic.com/artist/sweet-p5574"} +{"d:Title": "T. Rex Action Group", "d:Description": "Organization dedicated to the protection and maintenance of the Bolan Memorial Garden at the site of Bolan's fatal 1977 car accident.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://www.marc-bolan.org/"} +{"d:Title": "Rockmagic.net lyrics", "d:Description": "T.Rex song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://lyrics.rockmagic.net/lyrics/t_rex/"} +{"d:Title": "J\u00f8rgen Angel Photography", "d:Description": "J\u00f8rgen Angel's photos of rock bands, including Marc Bolan and T. Rex", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://www.angel.dk/Pages/BOLANovers.html"} +{"d:Title": "The Groover", "d:Description": "Articles, discography, a catalogue of bootlegs and rarities, a \"who's who,\" and chat.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://www.thegroover.com/"} +{"d:Title": "Official Marc Bolan Fan Club", "d:Description": "A site produced by the Official Fan Club.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://www.marc-bolan.com/"} +{"d:Title": "Till Dawn", "d:Description": "Marc Bolan tribute. An off-shoot of the internet chat list/forum of the same name. Includes news, reviews, audio and video files, lyrics, fonts, an encyclopedia of \"Bolan people\", and directory of list members.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://www.tilldawn.net/"} +{"d:Title": "M&M Enterprises - Mark McLellan's Marc Bolan page", "d:Description": "Album reviews, and chart performances and a concert review.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://www.mmenterprises.co.uk/trex.htm"} +{"d:Title": "Marc Bolan/TRex Webring", "d:Description": "A webring for those touched by the lyrics and music of Marc Bolan/T. Rex.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://www.webring.org/hub?ring=mbolan"} +{"d:Title": "Marc Bolan 1947-1977 - A Chronology", "d:Description": "Dedicated to Cliff McLenehan's book detailing the concert, TV, radio and studio session career of Marc Bolan.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex", "url": "http://mujweb.cz/klif/"} +{"d:Title": "T.Rextasy", "d:Description": "Voted as the UK's number one live tribute band by a BBC1 'Battle of the Fantasy Bands.' Biography, fan club, member profiles, discography, photos, concert dates, and RealMedia files.", "topic": "Top/Arts/Music/Styles/By_Decade/1970s/Glam_Rock/Bands_and_Artists/T._Rex/Tribute_Bands", "url": "http://www.trextasy.com/"} +{"d:Title": "Autobahn Project", "d:Description": "Histories, photographs, MIDI files, discographies, and more than 4000 lyrics. The site is available in Portuguese and English.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.autobahn.com.br/"} +{"d:Title": "80s Rock Bands", "d:Description": "Photographs, band biographies, and interviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.angelfire.com/ga/GeneS/index.html"} +{"d:Title": "Pure Eighties Pop Music", "d:Description": "Lyric quizzes, sound files, top 10s, trivia, and links to bands and artists of the 80s.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.pure80spop.co.uk/"} +{"d:Title": "In the 80s", "d:Description": "Information about music, movies, television, fads, and world events. Includes a message board, chat room, trivia quiz, links, and a streaming audio broadcast.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.inthe80s.com/"} +{"d:Title": "80s Music Site - About.Com", "d:Description": "Original articles, featured albums of the week, contests and drawings, links, chat room, and forums.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://80music.about.com/"} +{"d:Title": "Backtrax USA with Kid Kelly", "d:Description": "Weekly eighties radio show. Timeline, online broadcast, station locator, FAQ, games, and bulletin board.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://backtrax.com/"} +{"d:Title": "Awesome80s.com", "d:Description": "Grammy information and essential albums from each year of the 80s.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.awesome80s.com/Awesome80s/Music/"} +{"d:Title": "80s Server - Music", "d:Description": "Jukebox, charts, and name that tune.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.80s.com/Entertainment/Music/"} +{"d:Title": "Driko's 80s Music and Nostalgia", "d:Description": "Features a large 80s tribute collage, song lyrics, mix tapes, essays, links, and reminiscences.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.driko.org/80smusic.html"} +{"d:Title": "80sxchange.com", "d:Description": "Pop charts, newsletter, featured artists, message board, and chat room.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.80sxchange.com/80s_charts/radio.htm"} +{"d:Title": "Eighty-Eightynine", "d:Description": "Charts, trivia, misheard lyrics, and articles.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.eightyeightynine.com/music/index.html"} +{"d:Title": "Dark Neon: Back To The Eighties", "d:Description": "Exclusive original interviews with the pop stars of the 80s, plus fact files, trivia and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s", "url": "http://www.darkneon.com/"} +{"d:Title": "Gregory Abbott", "d:Description": "Official site. News, events, biography, gallery, discography, and online store.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Abbott,_Gregory", "url": "http://www.gregoryabbott.com/"} +{"d:Title": "MTV: Gregory Abbott", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Abbott,_Gregory", "url": "http://www.mtv.com/artists/gregory-abbott/"} +{"d:Title": "All Music Guide: Gregory Abbott", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Abbott,_Gregory", "url": "http://www.allmusic.com/artist/gregory-abbott-mn0000193025"} +{"d:Title": "The Alarm Home Page", "d:Description": "Discography, lyrics, mailing list, photos, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Alarm,_The", "url": "http://www.wizzu.com/alarm/index.html"} +{"d:Title": "The Alarm", "d:Description": "Official site. News, history, articles, tour dates, reviews, photos, links, downloads, and online store.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Alarm,_The", "url": "http://www.thealarm.com/"} +{"d:Title": "MTV: The Alarm", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Alarm,_The", "url": "http://www.mtv.com/artists/the-alarm-1/"} +{"d:Title": "Animotion", "d:Description": "Official site. Bio, news, photos, and audio and video samples.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Animotion", "url": "http://www.animotionlive.com/"} +{"d:Title": "VH1: Animotion", "d:Description": "News, biography, discography, audio clips, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Animotion", "url": "http://www.vh1.com/artists/az/animotion/artist.jhtml"} +{"d:Title": "All Music Guide: Animotion", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Animotion", "url": "http://www.allmusic.com/artist/p3556"} +{"d:Title": "Art of Noise - Roots of the Battle", "d:Description": "Essay about the background of the band and its influence on 80s music.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Art_of_Noise", "url": "http://www.soul-patrol.com/funk/aon1.htm"} +{"d:Title": "MTV: The Art of Noise", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Art_of_Noise", "url": "http://www.mtv.com/artists/art-of-noise/"} +{"d:Title": "All Music Guide: Jon Astley", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Astley,_Jon", "url": "http://www.allmusic.com/artist/p12264"} +{"d:Title": "Killermont Street", "d:Description": "News, discography, photos, fan essays, forum, guestbook, and online shop.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Aztec_Camera", "url": "http://www.killermontstreet.net/"} +{"d:Title": "All Music Guide: Aztec Camera", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Aztec_Camera", "url": "http://www.allmusic.com/artist/p3594"} +{"d:Title": "MTV: Aztec Camera", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Aztec_Camera", "url": "http://www.mtv.com/artists/aztec-camera/"} +{"d:Title": "AMG All Music Guide: Dreamland", "d:Description": "Rick Anderson's review: \"there are some great moments here, including the aching \"Let Your Love Decide\" and the slightly creepy \"Valium Summer\"; fans of the Camera should like this album just fine.\"", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Aztec_Camera/Reviews/Dreamland", "url": "http://www.allmusic.com/album/dreamland-r170215"} +{"d:Title": "AMG All Music Guide: Frestonia", "d:Description": "Stephen Thomas Erlewine's review: \"Frame's meticulous production and sophisticated pop/R&B songwriting blends effortlessly together, providing a seamless sequence of songs.\" 3 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Aztec_Camera/Reviews/Frestonia", "url": "http://www.allmusic.com/album/frestonia-r226557"} +{"d:Title": "AMG All Music Guide: High Land Hard Rain", "d:Description": "Ned Raggett's review: \"Whether listeners want to investigate further from here is up to them, but High Land, Hard Rain itself is a flat-out must-have.\"", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Aztec_Camera/Reviews/High_Land_Hard_Rain", "url": "http://www.allmusic.com/album/high-land-hard-rain-mw0000312171"} +{"d:Title": "AMG All Music Guide: Stray", "d:Description": "Stewart Mason's review: \"Shorn of the pretentiousness that mars some of Frame's earlier lyrics - written, to be fair, while he was still in his mid-teens - the lyrics on Stray are the first that stand up to Frame's remarkable melodic sense.\" 4 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/A/Aztec_Camera/Reviews/Stray", "url": "http://www.allmusic.com/album/stray-r1047"} +{"d:Title": "Big Country Official Web Site", "d:Description": "News, tour dates, images, and audio and video clips, biography, discography, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Big_Country", "url": "http://www.bigcountry.co.uk/"} +{"d:Title": "VH1: Big Country", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Big_Country", "url": "http://www.vh1.com/artists/az/big_country/artist.jhtml"} +{"d:Title": "AMG All Music Guide: Steeltown", "d:Description": "William Ruhlmann's review: \"much of the music, as on the first album, made for stirring rock&roll.\" 3 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Big_Country/Reviews/Steeltown", "url": "http://www.allmusic.com/album/steeltown-mw0000649890"} +{"d:Title": "AMG All Music Guide: The Crossing", "d:Description": "Tom Demalon's review: \"The lyrics are straightforward and, despite the grand themes of many of the tracks, manage to steer clear of being overly pretentious.\" 4 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Big_Country/Reviews/The_Crossing", "url": "http://www.allmusic.com/album/the-crossing-mw0000189226"} +{"d:Title": "AMG All Music Guide: The Seer", "d:Description": "Tom Demalon's review: \"the simple, anthemic choruses and effects-laden guitars are beginning to wear a little thin four years after the band's promising breakthrough.\" 2 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Big_Country/Reviews/The_Seer", "url": "http://www.allmusic.com/album/the-seer-mw0000192993"} +{"d:Title": "Bodeans Net", "d:Description": "Photos, lyrics, links, tour dates, sound bytes, message board, discography, and mailing list.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/BoDeans,_The", "url": "http://www.bodeans.net/"} +{"d:Title": "Entertainment Ave: The BoDeans", "d:Description": "Review of a concert at The Arie Crown Theater in Chicago, Illinois.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/BoDeans,_The/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/bodeans/bo110896.htm"} +{"d:Title": "Entertainment Ave: The BoDeans", "d:Description": "Review of a concert at Eastern Illinois University in Charleston, Illinois.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/BoDeans,_The/Reviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/bodeans/bo041396.htm"} +{"d:Title": "AMG All Music Guide: Go Slow Down", "d:Description": "William Ruhlmann's review: \"The BoDeans made their best album since their debut by returning to the basic folk and rock elements that had always worked best for them.\" 4.5 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/BoDeans,_The/Reviews/Go_Slow_Down", "url": "http://www.allmusic.com/album/go-slow-down-r189368"} +{"d:Title": "AMG All Music Guide: Home", "d:Description": "William Ruhlmann's review: \"initially, they sounded so style-bound that you wondered if any growth was possible, but with this album they were charging off in half a dozen directions at once.\" 3 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/BoDeans,_The/Reviews/Home", "url": "http://www.allmusic.com/album/home-r2211"} +{"d:Title": "AMG All Music Guide: Love and Hope and Sex and Dreams", "d:Description": "William Ruhlmann's review: \"Much of the album was slight, but there was enough of an individual sound to the better material to think of the BoDeans as a band of considerable promise.\" 4 1/2 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/BoDeans,_The/Reviews/Love_and_Hope_and_Sex_and_Dreams", "url": "http://www.allmusic.com/album/love-hope-sex-dreams-mw0000189275"} +{"d:Title": "AMG All Music Guide: Outside Looking In", "d:Description": "William Ruhlmann's review: \"the de-emphasis on such signature sounds as Sammy Llanas' nasal voice inclined the album toward anonymity.\" 2 stars.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/BoDeans,_The/Reviews/Outside_Looking_In", "url": "http://www.allmusic.com/album/outside-looking-in-mw0000193345"} +{"d:Title": "All Music Guide: Boy Meets Girl", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Boy_Meets_Girl", "url": "http://www.allmusic.com/artist/p3758"} +{"d:Title": "Hands to Heaven", "d:Description": "Lyrics, band history, articles, interviews, discography, and pictures.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Breathe", "url": "http://www.angelfire.com/wi/handstoheaven/index.html"} +{"d:Title": "All Music Guide: Breathe", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Breathe", "url": "http://www.allmusic.com/artist/breathe-p3769"} +{"d:Title": "All Music Guide: Bronski Beat", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Bronski_Beat", "url": "http://www.allmusic.com/artist/p16100"} +{"d:Title": "BBC: \"Bronski Beat keyboardist Larry Steinbachek dies at 56\"", "d:Description": "An article outlining his work and death from cancer in December 2016.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/B/Bronski_Beat", "url": "http://www.bbc.co.uk/news/entertainment-arts-38597071"} +{"d:Title": "Artistdirect: John Cafferty and the Beaver Brown Band", "d:Description": "Includes biography, discography, products, links, and message board.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cafferty,_John", "url": "http://www.artistdirect.com/music/artist/card/0,,410864,00.html"} +{"d:Title": "MTV: John Cafferty", "d:Description": "Includes discography, biography, and message board.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cafferty,_John", "url": "http://www.mtv.com/artists/john-cafferty/"} +{"d:Title": "Christopher Cross", "d:Description": "Official site. Biography, discography, tour dates, fan forum, photos, and store.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cross,_Christopher", "url": "http://www.christophercross.com/"} +{"d:Title": "All Music Guide: Christopher Cross", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cross,_Christopher", "url": "http://www.allmusic.com/artist/p3997"} +{"d:Title": "All Music Guide: The Cruzados", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cruzados", "url": "http://www.allmusic.com/artist/the-cruzados-p16742"} +{"d:Title": "VH1: Cruzados", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cruzados", "url": "http://www.vh1.com/artists/az/cruzados/artist.jhtml"} +{"d:Title": "Broadcast: The Cutting Crew Homepage", "d:Description": "Biography, discography, videography, news, lyrics, photos, message board, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cutting_Crew", "url": "http://www.tgilmore.com/cc/"} +{"d:Title": "All Music Guide: Cutting Crew", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/C/Cutting_Crew", "url": "http://www.allmusic.com/artist/wasp-mn0000815090"} +{"d:Title": "Dan Reed Archive", "d:Description": "Fan site with news, discography, photos, forum, guestbook, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dan_Reed_Network", "url": "http://www.angelfire.com/music3/DanReedArchive/"} +{"d:Title": "Seven Sisters Road", "d:Description": "Fan site with discography, news, media, videos, and MP3s.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dan_Reed_Network", "url": "http://www.sevensistersroad.com/"} +{"d:Title": "MTV: Dan Reed Network", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dan_Reed_Network", "url": "http://www.mtv.com/artists/dan-reed-network/"} +{"d:Title": "Del Amitri", "d:Description": "Official US site. News, tour dates, gallery, band biographies, lyrics, discography, message board, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Del_Amitri", "url": "http://www.delamitri.com/"} +{"d:Title": "University of Del Amitri", "d:Description": "Biography, history, discography, videography, and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Del_Amitri", "url": "http://members.tripod.com/~UofDA/"} +{"d:Title": "Sandeep's Del Amitri Page", "d:Description": "Chatroom, lyrics, articles, photos, and downloads.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Del_Amitri", "url": "http://www.users.globalnet.co.uk/~choh/dels.htm"} +{"d:Title": "Del Amitri - The 5th fret", "d:Description": "Australian fan site. News, chords, lyrics, links, and guestbook.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Del_Amitri", "url": "http://www.campobassi.com/the5thfret/index.shtml"} +{"d:Title": "MTV: Del Amitri", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Del_Amitri", "url": "http://www.mtv.com/artists/del-amitri/"} +{"d:Title": "Planet Earth: Bin Sweet Bin", "d:Description": "Videos, audio, downloads, news, articles, discography, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Devo", "url": "http://members.tripod.com/electricdimension/devoright.htm"} +{"d:Title": "MTV: Devo", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Devo", "url": "http://www.mtv.com/artists/devo-00/"} +{"d:Title": "Beatnik Board of Directors", "d:Description": "Biography page at Beatnik (a company founded by Dolby).", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dolby,_Thomas", "url": "http://www.beatnik.com/company/board.html#thomas"} +{"d:Title": "The Unofficial Thomas Dolby", "d:Description": "A site dedicated to singer, songwriter, composer, producer, and actor: Thomas Dolby", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dolby,_Thomas", "url": "http://www.tmdrfan.com/"} +{"d:Title": "Thomas Dolby", "d:Description": "Thomas Dolby's personal web site. Features photographs, music, videos and an inside look at the artist.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dolby,_Thomas", "url": "http://www.thomasdolby.com/"} +{"d:Title": "MTV: Thomas Dolby", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dolby,_Thomas", "url": "http://www.mtv.com/artists/thomas-dolby/"} +{"d:Title": "Yahoo! Groups: Dream Academy", "d:Description": "Message board, newsletter, and polls.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dream_Academy", "url": "http://groups.yahoo.com/group/dream-academy/"} +{"d:Title": "All Music Guide: Dream Academy", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/D/Dream_Academy", "url": "http://www.allmusic.com/artist/the-dream-academy-mn0000803513"} +{"d:Title": "Echo and the Bunnymen", "d:Description": "Their official site features audio, video, streaming multimedia, lyrics, tour dates, news, forums, merchandise and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/E/Echo_and_the_Bunnymen", "url": "http://www.bunnymen.com/"} +{"d:Title": "Way Out and Up We Go", "d:Description": "Fan listing, message board, downloads, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/E/Echo_and_the_Bunnymen", "url": "http://www.angelfire.com/80s/eatbfanlisting/"} +{"d:Title": "Ian McCulloch and the Pre-Raphaelite Tradition", "d:Description": "Essay comparing singer/songwriter Ian McCulloch of Echo and the Bunnymen to the Pre-Raphaelite painter/poet Dante Gabriel Rossetti.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/E/Echo_and_the_Bunnymen", "url": "http://www.angelfire.com/wy2/preraph/"} +{"d:Title": "Album Reviews", "d:Description": "Echo and the Bunnymen, Ian McCulloch and Electrafixion reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/E/Echo_and_the_Bunnymen", "url": "http://www.angelfire.com/wy2/bunnymen/"} +{"d:Title": "An Annotated Discography", "d:Description": "Works by Echo and the Bunnymen, Ian McCulloch, Will Sergeant, Electrafixion and Glide.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/E/Echo_and_the_Bunnymen", "url": "http://www.angelfire.com/wy2/discog/"} +{"d:Title": "MTV: Echo and the Bunnymen", "d:Description": "Includes album reviews, news, audio downloads, biography, discography and bulletin boards.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/E/Echo_and_the_Bunnymen", "url": "http://www.mtv.com/artists/echo-the-bunnymen/"} +{"d:Title": "The Night of the Living Feelies", "d:Description": "Biography, discography, press room, lyrics, photos, audio clips, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/F/Feelies,_The", "url": "http://www.thefeeliesweb.com/"} +{"d:Title": "All Music Guide: The Feelies", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/F/Feelies,_The", "url": "http://www.allmusic.com/artist/the-feelies-mn0000762177"} +{"d:Title": "The Fixx Page", "d:Description": "Fan page with artwork, album information, personnel information, lyrics, photos, and articles.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/F/Fixx,_The", "url": "http://byrneweb.com/Fixx/"} +{"d:Title": "The Fixx", "d:Description": "Official site. News, mailing list, FAQ, discography, tour dates, MP3s, links, and online store.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/F/Fixx,_The", "url": "http://www.thefixx.com/"} +{"d:Title": "The Things We Do", "d:Description": "Discography and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/F/Fun_Boy_Three", "url": "http://qroyd.tripod.com/TheFunBoyThree.html"} +{"d:Title": "Alan Frew", "d:Description": "Official site of the band's lead singer. News, message board, interviews, reviews, MP3s, and online store.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/G/Glass_Tiger", "url": "http://www.alanfrew.com/"} +{"d:Title": "Glass Tiger Online", "d:Description": "Official site. Biography, discography, tour dates, photos, lyrics, and merchandise.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/G/Glass_Tiger", "url": "http://www.glasstiger.ca/"} +{"d:Title": "All Music Guide: Go West", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/G/Go_West", "url": "http://www.allmusic.com/artist/p4374"} +{"d:Title": "Dan Hartman Tribute", "d:Description": "Biography, discography, interviews with friends, photo gallery, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/H/Hartman,_Dan", "url": "http://www.danhartman.com/"} +{"d:Title": "All Music Guide: Dan Hartman", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/H/Hartman,_Dan", "url": "http://www.allmusic.com/artist/dan-hartman-mn0000670057"} +{"d:Title": "Hoodoo Guruvosity", "d:Description": "Biography, discography, lyrics, tablature, news, reviews, MP3s, and guestbook.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/H/Hoodoo_Gurus", "url": "http://politika.tripod.com/"} +{"d:Title": "All Music Guide: Hoodoo Gurus", "d:Description": "Discography and biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/H/Hoodoo_Gurus", "url": "http://www.allmusic.com/artist/hoodoo-gurus-mn0000261106"} +{"d:Title": "April Skies", "d:Description": "News, discography, gigography, photos, image gallery, message board, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/J/Jesus_and_Mary_Chain,_The", "url": "http://www.amniisia.com/aprilskies/"} +{"d:Title": "Wikipedia: The Jesus and Mary Chain", "d:Description": "Encyclopedia article includes a discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/J/Jesus_and_Mary_Chain,_The", "url": "http://en.wikipedia.org/wiki/The_Jesus_and_Mary_Chain"} +{"d:Title": "Some Candy Talking", "d:Description": "Message board about The Jesus and Mary Chain and related bands.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/J/Jesus_and_Mary_Chain,_The", "url": "http://sct.amniisia.com/"} +{"d:Title": "All Music Guide: Johnny Hates Jazz", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/J/Johnny_Hates_Jazz", "url": "http://www.allmusic.com/artist/johnny-hates-jazz-p4618"} +{"d:Title": "Kajagoogoo", "d:Description": "Official site. History, discography, lyrics, discussion board, photo gallery, articles, and online shop.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/K/Kajagoogoo", "url": "http://www.kajagoogoo.com/"} +{"d:Title": "All Music Guide: Kajagoogoo", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/K/Kajagoogoo", "url": "http://www.allmusic.com/artist/kajagoogoo-mn0000354609"} +{"d:Title": "Hewey Lewis and the News", "d:Description": "Official site featuring current information about the band. Includes a history, biographies, photographs, tour dates, forum, chat, discography, lyrics and articles.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/L/Lewis,_Huey_and_the_News", "url": "http://www.hueylewisandthenews.com/"} +{"d:Title": "Little River Band", "d:Description": "Official site. News, biography, tour dates, photos, guestbook, audio clips, and online store.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/L/Little_River_Band", "url": "http://www.littleriverband.com/"} +{"d:Title": "LRB.net", "d:Description": "News, gossip, history, discography, reviews, articles, audio, mailing list, message board, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/L/Little_River_Band", "url": "http://www.lrb.net/"} +{"d:Title": "Men Without Hats", "d:Description": "Their official site features band information, photographs, discography, audio, video, lyrics, chords, trivia, FAQ and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/M/Men_Without_Hats", "url": "http://www.menwithouthats.com/"} +{"d:Title": "Frey's Men Without Hats Page", "d:Description": "Features chords and lyrics.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/M/Men_Without_Hats", "url": "http://www-personal.umich.edu/~freyce/music/mwh.html"} +{"d:Title": "All Music Guide: Milli Vanilli", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/M/Milli_Vanilli", "url": "http://www.allmusic.com/artist/milli-vanilli-mn0000412710"} +{"d:Title": "The Missing Persons&Dale Bozzio Discussion Group", "d:Description": "Message board, chat, newsletter, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/M/Missing_Persons", "url": "http://groups.yahoo.com/group/missingpersons/"} +{"d:Title": "Terry Bozzio", "d:Description": "Drummer's official site. Discography, audio, video, tour dates, photos, links and merchandise.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/M/Missing_Persons", "url": "http://www.terrybozzio.com/"} +{"d:Title": "The Motels Information Site", "d:Description": "Includes history, discography, articles, information about rare recordings, image gallery, lyrics and a gig archive.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/M/Motels,_The", "url": "http://www.the-motels.info/"} +{"d:Title": "Caribbean Hall of Fame: Billy Ocean", "d:Description": "Short profile.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/O/Ocean,_Billy", "url": "http://www.afiwi.com/people2.asp?id=72"} +{"d:Title": "The Outfield", "d:Description": "Official site. News, tour dates, biography, discography, audio clips, photos, links, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/O/Outfield,_The", "url": "http://www.theoutfield.com/"} +{"d:Title": "A Tommy Page Web Page", "d:Description": "Discography, news, guestbook, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/P/Page,_Tommy", "url": "http://www.angelfire.com/my/tommypage/start.html"} +{"d:Title": "All Music Guide: The Plimsouls", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/P/Plimsouls,_The", "url": "http://www.allmusic.com/artist/the-plimsouls-mn0000486645"} +{"d:Title": "Thru the Flowers", "d:Description": "Discography, history, list of cover songs, links, and articles.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/P/Primitives,_The", "url": "http://members.tripod.com/~notsorted/primitives.html"} +{"d:Title": "All Music Guide: Quarterflash", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/Q/Quarterflash", "url": "http://www.allmusic.com/artist/p5204"} +{"d:Title": "Real Life", "d:Description": "Official site. News, biography, message board, MP3s, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Real_Life", "url": "http://www.reallifemusic.net/"} +{"d:Title": "All Music Guide: Real Life", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Real_Life", "url": "http://www.allmusic.com/artist/p5239"} +{"d:Title": "Redd Kross", "d:Description": "Official site. News, discography, photos, interviews, mailing list, videos, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Redd_Kross", "url": "http://www.reddkross.com/"} +{"d:Title": "Elephant Flares", "d:Description": "Discography, biography, news, links, and guestbook.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Redd_Kross", "url": "http://www.angelfire.com/mt2/elephantflares/"} +{"d:Title": "REO Speedwagon", "d:Description": "Official site. Appearances, newsletter and merchandise.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon", "url": "http://www.speedwagon.com/"} +{"d:Title": "Rock Monsters or Monstrous Rock?", "d:Description": "A look back at the band's hits and misses.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Articles", "url": "http://www.jacksonville.com/tu-online/stories/020701/ent_5329950.html"} +{"d:Title": "Am I Right?", "d:Description": "REO Speedwagon song parodies, misheard lyrics and untapped commercials", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Articles", "url": "http://www.amiright.com/artists/reospeedwagon.shtml"} +{"d:Title": "REO Sets Record Straight", "d:Description": "Kevin Cronin confirms the band failed to sell 400 tickets in the notorious \"Queens Creek\" Arizona incident.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Articles", "url": "http://www.nyrock.com/worldbeat/05_2001/053001a.asp"} +{"d:Title": "Faceless Bands", "d:Description": "Reprint of infamous Rolling Stone article describing REO, Foreigner, Styx and Rush. Take the \"Who's who?\" test.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Articles", "url": "http://www.racerrecords.com/Styx/faceless.html"} +{"d:Title": "REO Speedwagon Arrested!", "d:Description": "Details of \"Can't Fight This Feeling\" escapade.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Articles", "url": "http://www.defectiveyeti.com/archives/000673.html"} +{"d:Title": "REO Webring", "d:Description": "Links to REO Speedwagon related sites", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Directories", "url": "http://www.webring.org/hub?ring=reo"} +{"d:Title": "Dave Amato", "d:Description": "Fan site featuring biography, photos, equipment, and articles.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Fan_Pages", "url": "http://www.daveamato.com/"} +{"d:Title": "Kevin Cronin", "d:Description": "Fan site with guitars, pictures and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Fan_Pages", "url": "http://www.kevincronin.com/"} +{"d:Title": "Neal Doughty", "d:Description": "Fan site with biography, family, hobbies, favorites, and guestbook.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Fan_Pages", "url": "http://nealdoughty.iwarp.com/"} +{"d:Title": "REO Speedwagon in the 70's", "d:Description": "Analyzes REO's history through various line-up changes. Includes early REO trivia questions.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Fan_Pages", "url": "http://www.angelfire.com/music4/deejay55920/DJ_REO.html"} +{"d:Title": "USA TODAY: Kevin Cronin", "d:Description": "talks about his songwriting projects and Napster", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Interviews", "url": "http://www.usatoday.com/community/chat/2001-02-06-cronin.htm"} +{"d:Title": "LyricsFreak.com", "d:Description": "An alphabetical listing of REO lyrics.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Lyrics", "url": "http://www.lyricsfreak.com/r/reo+speedwagon/"} +{"d:Title": "AMG All Music Guide: REO Speedwagon", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Resources", "url": "http://www.allmusic.com/artist/reo-speedwagon-p117990"} +{"d:Title": "MTV.com: REO Speedwagon", "d:Description": "News archive, albums, and biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Resources", "url": "http://www.mtv.com/artists/reo-speedwagon/"} +{"d:Title": "Ink19.com", "d:Description": "\"This may be the single most embarrassing, hackneyed piece of marketing crap to ever be foisted on music purchasers.\"", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Reviews/Albums/Arch_Allies", "url": "http://www.ink19.com/issues/november2000/wetInk/musicSlSw/styxReoSpeedwagon.html"} +{"d:Title": "Video Vista", "d:Description": "\"Unfortunately, the host and interviewer - a blonde bimbo called Alex - does a very poor job...\"", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Reviews/Albums/Real_Artists_Working", "url": "http://www.videovista.net/reviews/nov02/reoswagon.html"} +{"d:Title": "Loopfest", "d:Description": "Tweeter Center, Tinley Park, IL. \"The band encored with an abbreviated version of its classic performance piece, 157 Riverside Avenue, which sadly lacked the humor and impact the band once gave to the song as an impromptu jam in its heyday.\"", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Reviews/Concerts", "url": "http://www.popstops.net/loopfest.htm#top"} +{"d:Title": "Entertainment Ave: REO Speedwagon", "d:Description": "Review of a concert with Foreigner and Peter Frampton at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Reviews/Concerts", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/r/reo_speedwagon/rs080196.htm"} +{"d:Title": "GuitarTabs.com", "d:Description": "Tabs to 157 Riverside Avenue, Say You Love Me, Son of a Poor Man, Only the Strong Survive and 8 others", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/REO_Speedwagon/Tablature", "url": "http://www.guitaretab.com/r/reo-speedwagon"} +{"d:Title": "Lionel Richie Worldwide Headquarters", "d:Description": "Official site. Biography, discography, photos, tour dates, forum, audio and video clips, and news.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Richie,_Lionel", "url": "http://www.lionelrichie.com/"} +{"d:Title": "Oldielyrics: Lionel Richie", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Richie,_Lionel", "url": "http://www.oldielyrics.com/l/lionel_richie.html"} +{"d:Title": "The Online Lionel Richie Fan Club", "d:Description": "Includes news and a mailing list.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Richie,_Lionel", "url": "http://launch.groups.yahoo.com/group/LionelRichieFanClub/"} +{"d:Title": "Roachford", "d:Description": "Official site. News, discography, biography, audio clips, lyrics, and video.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Roachford", "url": "http://www.roachford.co.uk/"} +{"d:Title": "All Music Guide: Roachford", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Roachford", "url": "http://www.allmusic.com/artist/roachford-p5285"} +{"d:Title": "Romantics", "d:Description": "Official site. Tour dates, band history, discography, audio/video clips, photo gallery, and mailing list.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Romantics,_The", "url": "http://www.romanticsdetroit.com/"} +{"d:Title": "All Music Guide: The Romantics", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Romantics,_The", "url": "http://www.allmusic.com/artist/p5300"} +{"d:Title": "All Music Guide: Romeo Void", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/R/Romeo_Void", "url": "http://www.allmusic.com/artist/romeo-void-mn0000831448"} +{"d:Title": "Bibbly-o-tek", "d:Description": "Fan site includes weblog, video, audio, and gigography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Scritti_Politti", "url": "http://bibbly-o-tek.com/"} +{"d:Title": "The New Yorker: Lovestruck: Scritti Politti Trades Theory for Feelings", "d:Description": "Article by Sasha Frere-Jones.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Scritti_Politti", "url": "http://www.newyorker.com/archive/2006/07/24/060724crmu_music"} +{"d:Title": "All Music Guide: Scritti Politti", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Scritti_Politti", "url": "http://www.allmusic.com/artist/scritti-politti-p5381"} +{"d:Title": "Sevcom Com Com", "d:Description": "Home site of the electronic group Severed Heads. Features streaming radio, galleries, music sales, and sound files.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Severed_Heads", "url": "http://www.sevcom.com/"} +{"d:Title": "Snarl Texts: Severed Heads", "d:Description": "Interview with Tom Ellard from 1994.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Severed_Heads", "url": "http://www.snarl.org/texts/features/severed.htm"} +{"d:Title": "Godsend: Severed Heads Interview", "d:Description": "Interview from 1990 with Tom Ellard.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Severed_Heads", "url": "http://www.psyche-hq.de/interviews/godsend90.html"} +{"d:Title": "The Severed Heads Liberation Front", "d:Description": "Features lyrics, FAQ, and liner notes.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Severed_Heads", "url": "http://eyevocal.ottawa-anime.org/shlf/index.html"} +{"d:Title": "Chaos Control: Severed Heads", "d:Description": "Interview from 1996 with Mark Ellard.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Severed_Heads", "url": "http://www.chaoscontrol.com/severed-heads/"} +{"d:Title": "All Music Guide: Phil Seymour", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Seymour,_Phil", "url": "http://www.allmusic.com/artist/p20463"} +{"d:Title": "Simple Minds", "d:Description": "Official site. News, discography, band journal, tour dates, merchandise, chat, photo gallery, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Simple_Minds", "url": "http://www.simpleminds.com/"} +{"d:Title": "Alley Cat's Simple Minds Website", "d:Description": "Includes lyrics, original desktop wallpapers and artwork, pictures of fan gatherings, reviews and pictures of concerts.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Simple_Minds", "url": "http://simple.minds.free.fr/"} +{"d:Title": "Oldielyrics: Simple Minds", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Simple_Minds", "url": "http://www.oldielyrics.com/s/simple_minds.html"} +{"d:Title": "All Music Guide: Simply Red", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Simply_Red", "url": "http://www.allmusic.com/artist/p5435"} +{"d:Title": "All Music Guide: Jermaine Stewart", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Stewart,_Jermaine", "url": "http://www.allmusic.com/artist/jermaine-stewart-p23329"} +{"d:Title": "Stompers, The", "d:Description": "Official site includes a band history, scrapbook, discography, sound clips, and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Stompers,_The", "url": "http://www.thestompers.com/"} +{"d:Title": "All Music Guide: The Stompers", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Stompers,_The", "url": "http://www.allmusic.com/artist/the-stompers-p144620"} +{"d:Title": "All Music Guide: Strawberry Switchblade", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Strawberry_Switchblade", "url": "http://www.allmusic.com/artist/strawberry-switchblade-p165716"} +{"d:Title": "Oldielyrics: Stray Cats", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Stray_Cats", "url": "http://www.oldielyrics.com/s/stray_cats.html"} +{"d:Title": "All Music Guide: Stray Cats", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Stray_Cats", "url": "http://www.allmusic.com/artist/stray-cats-p5545"} +{"d:Title": "Survivor Archive", "d:Description": "Discography, timeline, news, and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Survivor", "url": "http://www.angelfire.com/rock2/survivorarchive/"} +{"d:Title": "Swing Out Sister", "d:Description": "Discography, news, photo gallery, articles, discussion board, mailing list, and online store.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Swing_Out_Sister", "url": "http://www.swingoutsister.com/"} +{"d:Title": "All Music Guide: Swing Out Sister", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/S/Swing_Out_Sister", "url": "http://www.allmusic.com/artist/p5579"} +{"d:Title": "All Music Guide: Taco", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Taco", "url": "http://www.allmusic.com/artist/p25382"} +{"d:Title": "All Music Guide: Thompson Twins", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Thompson_Twins", "url": "http://www.allmusic.com/artist/p5644"} +{"d:Title": "All Music Guide: Timbuk 3", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Timbuk_3", "url": "http://www.allmusic.com/artist/p5660"} +{"d:Title": "MTV.com: Timbuk 3", "d:Description": "Biography, discography, message board, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Timbuk_3", "url": "http://www.mtv.com/artists/timbuk-3/"} +{"d:Title": "Wikipedia: Triumph", "d:Description": "History and discography of the band.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Triumph", "url": "http://en.wikipedia.org/wiki/Triumph_(band)"} +{"d:Title": "TriumphMusic.com", "d:Description": "Official music. Features news, album reviews, photos, interviews, audio clips, videos, and a forum.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Triumph", "url": "http://www.triumphmusic.com/"} +{"d:Title": "Tommy Tutone", "d:Description": "Official site. News, reviews, articles, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Tutone,_Tommy", "url": "http://www.tutone.com/"} +{"d:Title": "All Music Guide: Tommy Tutone", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/T/Tutone,_Tommy", "url": "http://www.allmusic.com/artist/p5717"} +{"d:Title": "The Vapors: Secret Noise", "d:Description": "A Swedish fan maintains this tribute page. Complete discography, and interviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/V/Vapors,_The", "url": "http://www.parengstrom.com/vapors.htm"} +{"d:Title": "All Music Guide: The Vapors", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/V/Vapors,_The", "url": "http://www.allmusic.com/artist/p21238"} +{"d:Title": "A Ton of Cheese and Lunchmeat", "d:Description": "Interviews, discography, desktop theme, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/W/Waitresses,_The", "url": "http://hannahtoes.tripod.com/waitresses.html"} +{"d:Title": "The Waitresses", "d:Description": "Discography, history, reviews, interviews, and photo gallery.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/W/Waitresses,_The", "url": "http://www.hardcafe.co.uk/waitresses/"} +{"d:Title": "TrouserPress.com: Wall of Voodoo", "d:Description": "Overview of the band's career and recordings.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/W/Wall_of_Voodoo", "url": "http://www.trouserpress.com/entry.php?a=wall_of_voodoo"} +{"d:Title": "The Official Steve Winwood Site", "d:Description": "Biography, discography, lyrics, downloads, and mailing list.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/W/Winwood,_Steve", "url": "http://www.stevewinwood.com/"} +{"d:Title": "All Music Guide: Steve Winwood", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/W/Winwood,_Steve", "url": "http://www.allmusic.com/artist/steve-winwood-mn0000045313"} +{"d:Title": "Peter Wolf", "d:Description": "Official site. News, discography, biography, scrapbook, and reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/W/Wolf,_Peter", "url": "http://www.peterwolf.com/"} +{"d:Title": "All Music Guide: Peter Wolf", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1980s/Bands_and_Artists/W/Wolf,_Peter", "url": "http://www.allmusic.com/artist/peter-wolf-mn0000682958"} +{"d:Title": "Nineties Rock and Pop", "d:Description": "Features streaming 90s music in stereo CD sound.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s", "url": "http://www.superkeyword.net/onlinevids/90s.htm"} +{"d:Title": "Beautiful South Discography, The", "d:Description": "A list of recordings made by the band.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Beautiful_South,_The", "url": "http://www.xmission.com/~dking/bsdiscog.htm"} +{"d:Title": "Welcome 2 :: The Suitable Mouth", "d:Description": "A Beautiful South website featuring a profile on the band and a discography.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Beautiful_South,_The", "url": "http://www.suitablemouth.co.uk/"} +{"d:Title": "All-Reviews.com", "d:Description": "A review of Fold Your Hands Child, You Walk Like a Peasant.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Belle_and_Sebastian", "url": "http://www.all-reviews.com/music/fold-your-hands.htm"} +{"d:Title": "Sinister Mailing List", "d:Description": "Based in Scotland and dedicated to Belle and Sebastian. Includes photos, list archives and chords.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Belle_and_Sebastian", "url": "http://www.missprint.org/sinister"} +{"d:Title": "Belle and Sebastian", "d:Description": "Information from Matador Records includes a biography, discography, multimedia, tour dates, photo gallery, news and band links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Belle_and_Sebastian", "url": "http://www.matadorrecords.com/belle_and_sebastian/"} +{"d:Title": "Belle and Sebastian", "d:Description": "Unofficial site includes band information, news, photos, an auction and merchandise.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Belle_and_Sebastian", "url": "http://www.belleandsebastian.co.uk/"} +{"d:Title": "Belle and Sebastian", "d:Description": "Band biography, releases, lyrics, tour dates and video clips.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Belle_and_Sebastian", "url": "http://www.belleandsebastian.com/"} +{"d:Title": "Metacritic: Belle and Sebastian", "d:Description": "Multiple critic and user reviews for Fold Your Hands Child, You Walk Like A Peasant.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Belle_and_Sebastian", "url": "http://www.metacritic.com/music/fold-your-hands-child-you-walk-like-a-peasant/belle-sebastian"} +{"d:Title": "With a Little Charm", "d:Description": "The original unofficial Bluetones web site, live since the beginning of 1995. Features news, full discography, and pictures.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Bluetones,_The", "url": "http://www.bluetones.org.uk/"} +{"d:Title": "The Blootoes", "d:Description": "Spoof site featuring a selection of photos of how the band could look with different haircuts.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Bluetones,_The", "url": "http://blootoes.tripod.com/"} +{"d:Title": "Bluetonic", "d:Description": "Includes a small collection of live and rare mp3 tracks.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Bluetones,_The", "url": "http://myweb.tiscali.co.uk/gmbrook/bluetonic/"} +{"d:Title": "Artist Direct: The Bluetones", "d:Description": "A good resource for Bluetones links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Bluetones,_The", "url": "http://www.artistdirect.com/artist/bluetones/538685"} +{"d:Title": "All Music Guide: The Bluetones", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Bluetones,_The", "url": "http://www.allmusic.com/artist/p169981"} +{"d:Title": "booradleys.co.uk", "d:Description": "Revamped site which details the band's history and where they have moved on since the split. Also features photos, discography, tab and lyrics.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Boo_Radleys,_The", "url": "http://www.booradleys.co.uk/"} +{"d:Title": "Black Session: The Boo Radleys", "d:Description": "Black and white band photographs.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Boo_Radleys,_The", "url": "http://lorseau.hinah.com/gallery.php?c=pzic&s=session&g=booradleys"} +{"d:Title": "The Boo Radleys Webhome", "d:Description": "Fan page with latest news, band information, interviews, biography and discography, MP3 and video samples, chat, and bulletin board.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Boo_Radleys,_The", "url": "http://workshy.tripod.com/"} +{"d:Title": "Artist Direct: The Boo Radleys", "d:Description": "A good resource for Boo Radleys links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Boo_Radleys,_The", "url": "http://www.artistdirect.com/artist/boo-radleys/406301"} +{"d:Title": "All Music Guide: Boo Radleys", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Boo_Radleys,_The", "url": "http://www.allmusic.com/artist/p22209"} +{"d:Title": "Official Bernard Butler Site", "d:Description": "Large selection of articles and interviews. Plus news, biography, images, and information on contacting Butler.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Butler,_Bernard", "url": "http://www.bernardbutler.com/"} +{"d:Title": "Not Alone", "d:Description": "Mailing list information.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/B/Butler,_Bernard", "url": "http://www.angelfire.com/nt/alone/index.html"} +{"d:Title": "D'Yer Know What He Means", "d:Description": "A July 1996 interview with John Power of Cast.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/C/Cast", "url": "http://members.tripod.com/~fritzh/Music/Cast.htm"} +{"d:Title": "The Charlatans Official Website", "d:Description": "The Charlatans Official Website", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/C/Charlatans,_The", "url": "http://www.thecharlatans.net/"} +{"d:Title": "Nine Acre Court", "d:Description": "Charlatans fan site with discography, pictures, and screensavers.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/C/Charlatans,_The", "url": "http://north_country_boy51.tripod.com/NineAcreCourt/"} +{"d:Title": "Cornershop", "d:Description": "Official site. Band information, photographs, audio, video, and tour dates.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/C/Cornershop", "url": "http://www.cornershop.com/"} +{"d:Title": "Metacritic: Clinton/Cornershop", "d:Description": "Multiple critic and user reviews for Disco and The Half Way To Discontent.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/C/Cornershop", "url": "http://www.metacritic.com/music/disco-and-the-half-way-to-discontent/clinton"} +{"d:Title": "Embrace", "d:Description": "Their official site includes member profiles, photos, discography, news, message board and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/E/Embrace", "url": "http://www.embrace.co.uk/"} +{"d:Title": "Embrace Discussion List", "d:Description": "A Yahoo Groups discussion of the band and related topics.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/E/Embrace", "url": "http://groups.yahoo.com/group/embrace/"} +{"d:Title": "Yahoo Groups - To See The Lights", "d:Description": "A new mailing list. Get news straight to your email.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/G/Gene", "url": "http://groups.yahoo.com/group/ToSeeTheLights"} +{"d:Title": "Yahoo! Groups - Never Walk Again", "d:Description": "Discussion group for the band Gene. Sharing downloaded mp3 files, trade bootlegs, anything that relates to the band.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/G/Gene", "url": "http://groups.yahoo.com/group/neverwalkagain"} +{"d:Title": "Gene Club, The", "d:Description": "Meet and chat with other fans from around the world. Check the calendar, get links, post photos, and have fun.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/G/Gene", "url": "http://groups.yahoo.com/group/TheGeneClub"} +{"d:Title": "Crud Magazine: Gomez", "d:Description": "Allan Kemler interview with Ian Ball about the In Our Gun album.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/G/Gomez", "url": "http://www.2-4-7-music.com/newsitems/april02/gomez.asp"} +{"d:Title": "Metacritic: Gomez", "d:Description": "Multiple critic and user reviews for Abandoned Shopping Trolley Hotline.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/G/Gomez", "url": "http://www.metacritic.com/music/abandoned-shopping-trolley-hotline/gomez"} +{"d:Title": "Longpigs Spot, A", "d:Description": "Includes photos, sketches and gig dates.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/L/Longpigs", "url": "http://members.tripod.com/~longpig/"} +{"d:Title": "Lush", "d:Description": "Fan site contains the usual information, as well as such topics as Miki's hair.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/L/Lush", "url": "http://www.angelfire.com/oh/Lush/index.html"} +{"d:Title": "Downer / The Lush Site", "d:Description": "Features news, articles, interviews, information, links, photos and lyrics.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/L/Lush", "url": "http://www.curve.demon.co.uk/lush/index.html"} +{"d:Title": "Eyesore: Lush", "d:Description": "Discography with cover images, and an archive of interviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/L/Lush", "url": "http://quimby.gnus.org/html/group/lush.html"} +{"d:Title": "Kawaii Mansun", "d:Description": "Fan site with news, gig reviews, photos and a guide to their videos and television performances.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/M/Mansun", "url": "http://www.angelfire.com/nd/kawaii/mansun.html"} +{"d:Title": "Desperate Icons", "d:Description": "Features discography with sleeve scans, photo archives, information for Britpop record collectors and updates. [English and Japanese.]", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/M/Mansun", "url": "http://sound.jp/mansun/"} +{"d:Title": "World in Motion: Monaco", "d:Description": "Includes biographies, discography, videography, gig list, lyrics, and photos.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/M/Monaco", "url": "http://www.worldinmotion.net/monaco.htm"} +{"d:Title": "Metacritic: Monaco", "d:Description": "Multiple critic and user reviews for Monaco.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/M/Monaco", "url": "http://www.metacritic.com/music/monaco/monaco"} +{"d:Title": "Jake Shillingford Interview 1996", "d:Description": "A discussion about the band's vision and the recording of Mornington Crescent.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/M/My_Life_Story", "url": "http://www.sean.co.uk/a/musicjournalism/mls.shtm"} +{"d:Title": "Jake Shillingford Interview 1999", "d:Description": "A discussion about why the band dismissed MP3 when releasing their first single on the Internet.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/M/My_Life_Story", "url": "http://www.sean.co.uk/a/musicjournalism/var/mls99.shtm"} +{"d:Title": "Metacritic: Ocean Colour Scene", "d:Description": "Multiple user and critic reviews for Mechanical Wonder.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/O/Ocean_Colour_Scene", "url": "http://www.metacritic.com/music/mechanical-wonder/ocean-colour-scene"} +{"d:Title": "Deep Space", "d:Description": "Fan site with a biography, discography, screen captures, photographs, and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/R/Rialto", "url": "http://www.inspiracy.com/rialto/"} +{"d:Title": "TrouserPress.com: Ride", "d:Description": "Reviews of the band's recordings.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/R/Ride", "url": "http://trouserpress.com/entry.php?a=ride"} +{"d:Title": "Ride", "d:Description": "Official site for the band and the OX4 box set. Features questions and answers and an email list.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/R/Ride", "url": "http://www.rideox4.net/"} +{"d:Title": "Ticket To Ride", "d:Description": "Fan site features lyrics, discography, news, and sound files.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/R/Ride", "url": "http://www.ticket2ride.it/"} +{"d:Title": "AllMusic: Ride", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/R/Ride", "url": "http://www.allmusic.com/artist/p5269"} +{"d:Title": "Entertainment Ave: Spacehog", "d:Description": "Review of a concert at the Q101 Jamboree 1998 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/S/Spacehog", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_1998/spacehog.htm"} +{"d:Title": "The Official Supergrass Site", "d:Description": "The official band site, run by Parlophone records.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/S/Supergrass", "url": "http://www.supergrass.com/"} +{"d:Title": "All-Music Guide: Supergrass", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/S/Supergrass", "url": "http://www.allmusic.com/artist/p142415"} +{"d:Title": "Launch Pad", "d:Description": "Directory of Swervedriver related sites. Includes links to news, reviews, interviews and merchandise.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/S/Swervedriver", "url": "http://www.swervedriver.com/"} +{"d:Title": "Wired Magazine: Swervedriver - Mezcal Head", "d:Description": "Kristin Spence's review: \"A tad poppier and less whiny than that first LP, this is angst-free, violence-free rock at its best\".", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/S/Swervedriver/Reviews/Mezcal_Head", "url": "http://www.wired.com/wired/archive/1.06/music_reviews.html?pg=2"} +{"d:Title": "Travisonline", "d:Description": "The official site, with photos and information about the band. There's also a message board.", "priority": "1", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://www.travisonline.com/"} +{"d:Title": "The Men Who", "d:Description": "Contains the usual discography, lyrics, messageboard, sounds, quotes and tour dates. Also has desktop themes and e-cards to download.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://members.tripod.com/~yeoyl/travis.html"} +{"d:Title": "popmatters.com: Travis Concert Review", "d:Description": "A review of Travis at the London Arena in March 2002.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://www.popmatters.com/music/concerts/t/travis-020322.html"} +{"d:Title": "The Men Who", "d:Description": "Travis fansite containing lyrics, pictures, discography, a desktop theme and biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://yeoyl.tripod.com/"} +{"d:Title": "Entertainment Ave: Travis", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/travis.htm"} +{"d:Title": "Travis", "d:Description": "A French based site, written in English, containing pictures, lyrics, tab, news and biography.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://justthefaceschange.free.fr/"} +{"d:Title": "TravisPage", "d:Description": "Fan site featuring discography, lyrics, news and pictures. This site is unique in that it is published in both English and Polish.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://www.travispage.alpha.pl/"} +{"d:Title": "MTV: Travis", "d:Description": "MTV's Travis site features music video clips, concert reviews, and exclusive online interviews.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://www.mtv.com/artists/"} +{"d:Title": "Metacritic: The Invisible Band", "d:Description": "An index of reviews of The Invisible Band from a number of music critics and publications.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/T/Travis", "url": "http://www.metacritic.com/music/the-invisible-band/travis"} +{"d:Title": "Life's An Ocean", "d:Description": "Fan site with photos, lyrics, news, a brief history and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/V/Verve,_The", "url": "http://www.angelfire.com/biz3/theverve/"} +{"d:Title": "The Verve Live", "d:Description": "Verve fan-site includes news, biographies, discography, tour dates, and a forum.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/V/Verve,_The", "url": "http://www.thevervelive.com/"} +{"d:Title": "Verve Universe", "d:Description": "Fan site with updated news, RealAudio, discography, lyrics and FAQ.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/V/Verve,_The", "url": "http://www.musicsaves.org/verve/"} +{"d:Title": "Little Gem", "d:Description": "Has a detailed history of the verve and notes their influences.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/V/Verve,_The", "url": "http://www.stwing.upenn.edu/~pmarin/verve/"} +{"d:Title": "The Verve Blog", "d:Description": "Latest news on the events of the Verve and Richard Ashcroft", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/V/Verve,_The", "url": "http://verve.scottcarroll.co.uk/"} +{"d:Title": "The Verve Online", "d:Description": "Unofficial site for the band, including up-to-date news, videos, discography, gigography, and biographies.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/V/Verve,_The", "url": "http://www.theverveonline.com/"} +{"d:Title": "Ego - Unofficial Robbie Williams", "d:Description": "Includes biography, pictures, news, discography, sounds and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie", "url": "http://www.iol.ie/~kasst/robbie/"} +{"d:Title": "Kat n' Kels Robbie guide", "d:Description": "Robbie Williams links page.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie", "url": "http://www.angelfire.com/celeb/robbiewilliams/"} +{"d:Title": "Music Olympus: Robbie Willliams", "d:Description": "Pictures, lyrics, biography, wallpaper, midi music, guitar tabs and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie", "url": "http://www.musicolympus.com/robbiewilliams/"} +{"d:Title": "Robbie Williams Fun Site", "d:Description": "Biography, discography, lyrics, picture gallery, wallpaper, guitar chords, multimedia and links.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie", "url": "http://www.angelfire.com/music3/robbiepwilliams/"} +{"d:Title": "Official Web site about Guy Chambers and Robbie williams", "d:Description": "Guy Chambers, songwriter, musician, and producer reveals his work with artists such as Robbie Williams, Kylie Minogue, and Julian Cope as well as score, lyrics and audio downloads.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie", "url": "http://www.guychambers.com/"} +{"d:Title": "MTV: Robbie Williams", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie", "url": "http://www.mtv.com/artists/robbie-williams/"} +{"d:Title": "Robbie Williams", "d:Description": "The official site with news, interviews, photos and audio clips.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie", "url": "http://www.robbiewilliams.com/"} +{"d:Title": "Millennium", "d:Description": "Tribute act featuring Matthew Holbrook and his dancers. Includes performance and booking information.", "topic": "Top/Arts/Music/Styles/By_Decade/1990s/Bands_and_Artists/W/Williams,_Robbie/Tribute_Acts", "url": "http://www.millenniumrobbietribute.com/"} +{"d:Title": "Ayres, Paul", "d:Description": "British composer and arranger, specialising in choral, vocal, music for theatre and small-scale instrumental works.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://www.paulayres.co.uk/"} +{"d:Title": "YRMusic.com - Paul Ayres", "d:Description": "Biography, and published works.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://yrmusic.com/v2/artists/bios/artist.php?ID=84"} +{"d:Title": "Barnes, Eric Lane", "d:Description": "Composer of choral music, including the music theater work \"Fairy Tales\". Biography, online music catalog.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://www.ericlanebarnes.com/"} +{"d:Title": "Bevan, Allan", "d:Description": "Canadian choral composer. Publications, recordings, upcoming performances, a brief biography, and CV.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://www.allanbevan.ca/"} +{"d:Title": "Gellersted, Kurt", "d:Description": "A New York, NY based composer of choral and chamber works. Sound samples and CDs available.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://www.kurtgellersted.com/"} +{"d:Title": "Schram, Ruth Elaine", "d:Description": "Descriptions and audio samples of original choral and instrumental works for school and church choirs.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://www.choralmusic.com/"} +{"d:Title": "Willcocks, Jonathan", "d:Description": "Specialises in choral works.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://www.jonathanwillcocks.com/"} +{"d:Title": "Wilton, Nicholas", "d:Description": "Nicholas Wilton began writing sacred choral music in 1990, having previously composed mainly for the piano. His music was first recorded by the Magnificat choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://www.catholicmusic.co.uk/"} +{"d:Title": "Wood, Dale", "d:Description": "Complete listing of published works, recordings, and biographical information.", "topic": "Top/Arts/Music/Styles/C/Choral/Composers", "url": "http://woodworks.mcn.org/"} +{"d:Title": "Vocal Area Network", "d:Description": "Directory of vocal ensemble music in the New York City area. Choir directory, concert calendar, and links.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.van.org/"} +{"d:Title": "Musica International Database of choral music repertoire", "d:Description": "Project of the International Federation for Choral Music. 83,000 titles comprehensively described. Also: Favourite piece of the month, anniversaries of composers, submit of score, and mailing list.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.musicanet.org/"} +{"d:Title": "British Choirs", "d:Description": "Links to all known British (and some Irish) choirs, with Web or e-mail addresses.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.choirs.org.uk/"} +{"d:Title": "Houston Choral Site", "d:Description": "Choral societies and sites for choral enthusiasts. Houston, Texas.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.choral.org/"} +{"d:Title": "Gerontius.net", "d:Description": "Concert diary and links for choirs in the United Kingdom.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.gerontius.net/"} +{"d:Title": "Choirs at The Music Site", "d:Description": "Choir listings from this global music search engine. In German and English, most choirs are German or Austrian.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.music-seek.com/cgi-bin/search/suche.cgi?search=CAT&Category=Artists:By+Genre:Choirs+-+Ch%F6re&Qualifier="} +{"d:Title": "Hear the Choirs Sing", "d:Description": "Sites that play choral music clips in various formats.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.hearchoirs.net/"} +{"d:Title": "Cornish Choirs", "d:Description": "List of all known Cornish choirs.", "topic": "Top/Arts/Music/Styles/C/Choral/Directories", "url": "http://www.cornishchoirs.co.uk/"} +{"d:Title": "World Festival Choir", "d:Description": "Mixed choir with thousands of members from around the world, meeting to perform at festivals in many countries. Membership applications, news of past concerts.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.wfc.no/"} +{"d:Title": "European Choral Project 2000AD", "d:Description": "Joint project for European choirs, singers and choirs can sign up at the site.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.pnms.co.uk/2000.htm"} +{"d:Title": "Festival Internacional de M\u00fasica de Cantonigr\u00f2s", "d:Description": "A Festival built on a base of competition and exhibition of mixed choral music, both female voices, children's choir and popular dances, that takes part every year, since 1983, at Cantonigr\u00f2s (Catalonia), during 4 days of july. Site in English, Spanish and Catalan.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.fimc.es/en-inici.htm"} +{"d:Title": "Angel Festival of Song", "d:Description": "Music is well said to be the speech of Angels. Song festival in Johannesburg, South Africa.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://angelusfest.tripod.com/"} +{"d:Title": "Show Choir Nationals", "d:Description": "Annual competition open to US high school show choirs, in mixed and women's divisions.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.showchoirnationals.com/"} +{"d:Title": "Castile International Choir Festival", "d:Description": "The festival will bring together, during a period of approximately a week in various cities of Castile, Spain, a selection of choral groups from around the world.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.performinspain.com/"} +{"d:Title": "Three Choirs Festival", "d:Description": "Annual week-long classical music event that rotates between Hereford, Gloucester, and Worcester, UK. Current schedule, news, and reviews of previous years.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.3choirs.org/"} +{"d:Title": "Music Contact International", "d:Description": "Organizes choir concert tours to invitational festivals, and music tours in North America, Europe, and Africa.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.music-contact.com/"} +{"d:Title": "Cranleigh Choral Week", "d:Description": "Images, course details and registration information for the annual summer choral course based at the Cranleigh School in Surrey.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.ccweek.org.uk/"} +{"d:Title": "Elora Festival", "d:Description": "A musical celebration of the classical arts in an intimate village setting. Elora, Ontario, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Festivals", "url": "http://www.elorafestival.ca/"} +{"d:Title": "Greater Boston Choral Consortium", "d:Description": "Founded in 1989 as a cooperative effort to promote greater awareness of the many and varied choral groups in the greater Boston area", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.bostonsings.org/"} +{"d:Title": "Chorus Austin", "d:Description": "An organization promoting fine choral and orchestral music through performances and education in the city of Austin, Texas and surrounding communities.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.chorusaustin.org/"} +{"d:Title": "The Epiphany Chorale", "d:Description": "A sacred music pilgrimage choir/organization from Kansas City, Missouri and Minneapolis/Saint Paul, Minnesota.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://epiphanychorale.tripod.com/"} +{"d:Title": "GALA Choruses, Inc.", "d:Description": "International association serving the gay and lesbian choral movement. 170 member choruses worldwide. Includes links to choruses.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.galachoruses.org/"} +{"d:Title": "Chorus America", "d:Description": "National organization to develop and promote professional, volunteer and youth choruses. Listing of choral industry job openings.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.chorusamerica.org/"} +{"d:Title": "International Federation for Choral Music", "d:Description": "IFCM was founded in 1982 for the purpose of facilitating communication and exchange between choral musicians throughout the world. Contacts, publications, projects, and members.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.ifcm.net/"} +{"d:Title": "Choral Dynamics", "d:Description": "Regional choral group from Galesburg, Illinois, made up entirely of volunteers. Proceeds from two productions per year go to local charity groups.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.choraldynamics.org/"} +{"d:Title": "Pueri Cantores International", "d:Description": "Federation of boys' choirs.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.puericantores.org/"} +{"d:Title": "Sister Singer's Network", "d:Description": "An international organization of feminist women's choruses.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.sistersingers.net/"} +{"d:Title": "SULASOL", "d:Description": "The acronym is based on \"Suomen Laulajain ja Soittajain Liitto\", The Finnish Amateur Musicians' Association (FAMA). Contains 400 choirs and 25 orchestras.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.sulasol.fi/en/"} +{"d:Title": "Choristers Guild", "d:Description": "An ecumenical organization of directors of children's and youth choirs and publisher of music and related materials. Includes information about events and scholarships.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.choristersguild.org/"} +{"d:Title": "Europa Cantat", "d:Description": "European Federation of Young Choirs, an international choral association for Europe. Among other things, it organises a Parliament of Young Musicians which attempts to influence music policies in member countries.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.europeanchoralassociation.org/"} +{"d:Title": "Gloucester Cathedral Old Choristers' Association", "d:Description": "UK based, the GCOCA site contains information, photos and reunion details.", "topic": "Top/Arts/Music/Styles/C/Choral/Organizations", "url": "http://www.gcoca.co.uk/"} +{"d:Title": "Canadian Chamber Choir", "d:Description": "a national choral ensemble for emerging Canadian singers, conductors, and composers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs", "url": "http://www.canadianchamberchoir.ca/"} +{"d:Title": "Amadeus - The Chamber Choir", "d:Description": "Chamber choir available for hire with a wide-ranging repertoire, from church music and madrigals to contemporary works and Broadway songs. Formby, North West of England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/A", "url": "http://www.amadeus.gb.com/"} +{"d:Title": "Adelaide Chamber Singers", "d:Description": "Adelaide, South Australia: singers specialising in Australian contemporary and early european music. Includes concerts, recordings, choir and director information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/A", "url": "http://www.adelaidechambersingers.com/"} +{"d:Title": "Aire Valley Singers", "d:Description": "A 30+ voice chamber choir based in Shipley, West Yorkshire, England, with a varied repertoireL contains information about concerts, recordings, and music director.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/A", "url": "http://airevalleysingers.wordpress.com/"} +{"d:Title": "Bath Camerata", "d:Description": "A versatile semi-professional mixed chamber choir, formed by former King's Singer Nigel Perrin. Bath, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/B", "url": "http://www.bathcamerata.org.uk/"} +{"d:Title": "Baroque Artists of Champaign-Urbana", "d:Description": "BACH is a not-for-profit, professional ensemble of instrumentalists and vocalists specializing in historically-informed performances of music from the 17th and 18th centuries. Events and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/B", "url": "http://www.baroqueartists.org/"} +{"d:Title": "The BBC Singers", "d:Description": "Britain's only full-time professional chamber choir. Includes a history, concert diary, and a choral music timeline.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/B", "url": "http://www.bbc.co.uk/singers"} +{"d:Title": "The Bellevue Chamber Chorus", "d:Description": "Choral group from Bellevue, Washington. Comprised of 30 to 40 members, they perform works from all musical time periods.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/B", "url": "http://bellevuechamberchorus.org/"} +{"d:Title": "Cappella Nova", "d:Description": "Professional vocal ensemble from Scotland, specialising in early and contemporary music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cappella-nova.com/"} +{"d:Title": "Canzonetta", "d:Description": "A versatile chamber choir from Manchester, England", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.canzonetta.freeserve.co.uk/"} +{"d:Title": "Coral Cantigas", "d:Description": "Choir from Washington DC that specializes in performing the music of Spain, Latin America and the Caribbean. Site is in English and Spanish.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cantigas.org/"} +{"d:Title": "Cambridge Taverner Choir", "d:Description": "Since 1986, one of Britain's leading early music chamber choirs, specialising in Renaissance polyphony. The choir makes recordings on the Herald label.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cambridgetavernerchoir.org.uk/"} +{"d:Title": "Concora", "d:Description": "All-professional chorus with a reputation for artistic excellence throughout Connecticut and New England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.concora.org/"} +{"d:Title": "Consort of Voices", "d:Description": "An ensemble of 12 voices, specialising in a cappella music and small-scale choral repertoire from 16th to 20th century. Edinburgh, Scotland.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cov.org.uk/"} +{"d:Title": "Cantillation - chorus", "d:Description": "A part-time, professional chamber choir based in Sydney, Australia, specialising in contemporary music. Biography, recordings, reviews, and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cantillation.com.au/"} +{"d:Title": "Cantores Missae", "d:Description": "Pre-eminent liturgical vocal group from London, UK. Introduction, audio samples, and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cantoresmissae.co.uk/"} +{"d:Title": "Cappella Singers, The", "d:Description": "Stroud, England based choir founded in 1960. History, performance schedule, and reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cappellasingers.co.uk/"} +{"d:Title": "Canticum, London", "d:Description": "A mixed voice chamber choir based in London, England. Includes information about upcoming performances and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.canticum.org.uk/"} +{"d:Title": "Concordia Society", "d:Description": "A chamber chorus founded in Cambridge, MA, in 1999 that performs secular and sacred a cappella music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.angelfire.com/bc3/concordiasociety/"} +{"d:Title": "CoroAllegro", "d:Description": "Chamber choir from the Delaware valley, under the continued direction of Jack Warren Burnam, offering two formal concerts a year featuring both a cappella works and performances with organ, piano, or orchestra.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.coroallegro.com/"} +{"d:Title": "Cathedral Youth Choir, Waterford, Ireland", "d:Description": "Information on the choir, its members, events being held, as well as information on joining the choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.cycwaterford.com/"} +{"d:Title": "Canonbury Chamber Choir", "d:Description": "Performs baroque music with authentic instruments, usually with the Holborne players. North London, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "http://www.canonburycc.freeuk.com/"} +{"d:Title": "Chamber Choir Ireland", "d:Description": "Details of the 17-singer choir, its concerts and tour plans, education programme, discography and administration.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/C", "url": "https://www.chamberchoirireland.com/"} +{"d:Title": "Exultate Chamber Choir and Orchestra", "d:Description": "Minnesota-based chamber choir and orchestra specializes in choral chamber works for voices and instruments.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://www.exultate.org/"} +{"d:Title": "Estonian Philharmonic Chamber Choir", "d:Description": "The Estonian Philharmonic Chamber Choir was founded in 1981 by its artistic director and principal conductor T\u00f5nu Kaljuste.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://www.epcc.ee/"} +{"d:Title": "Epsom Chamber Choir", "d:Description": "UK based SATB choir of about 35 voices. Includes future events, concerts, picture gallery and past recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://www.epsomchamberchoir.org.uk/"} +{"d:Title": "Exeter Festival Chorus", "d:Description": "Choral concerts and workshops in around Exeter, Devon, UK. Directed by former King's Singer, Nigel Perrin.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://www.exeterfestivalchorus.org.uk/"} +{"d:Title": "Exmoor Singers of London", "d:Description": "UK based chamber choir features concert information, repertoire, history, music director, tallis, gallery and contact details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://www.exmoorsingers.org/"} +{"d:Title": "Edinburgh University Renaissance Singers", "d:Description": "Numbers about thirty singers, made up of students and staff of the university, as well as people from the wider Edinburgh community.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://eurs.eusa.ed.ac.uk/"} +{"d:Title": "Elysian Singers", "d:Description": "Chamber choir based in London, England. Includes concerts, broadcasts and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://www.elysiansingers.com/"} +{"d:Title": "The English Chamber Choir", "d:Description": "London, England based chamber choir. News, performances, and choir library.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/E", "url": "http://www.englishchamberchoir.co.uk/"} +{"d:Title": "Forest City Singers", "d:Description": "This group of 24 experienced classical singers performs regularly around the Cleveland Ohio area. A calendar of past/future performances, pictures, sound clips recorded live in concert, and PDF files of printed programs are available. Pepper Pike, Ohio.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/F", "url": "http://rjsprafka.com/fcs/"} +{"d:Title": "The Guelph Chamber Choir", "d:Description": "Concerts, events, profile, and photos. Guelph, Ontario, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/G", "url": "http://www.guelphchamberchoir.ca/"} +{"d:Title": "Glasgow Chamber Choir", "d:Description": "Founded in 1994, it has a membership of around 30 and is one of Scotland\u2019s finest amateur choirs. Repertoire spans the Renaissance to the 20th Century.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/G", "url": "http://www.glasgowchamberchoir.org.uk/"} +{"d:Title": "Gesualdo Consort", "d:Description": "A professional group with an international reputation, specialising in Italian and English music of the High Renaissance. London, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/G", "url": "http://www.pcuk.net/musiclink.co.uk/gesualdo/"} +{"d:Title": "Greenwood Singers", "d:Description": "A versatile choir able to sing a variety of styles and genres from Renaissance to Broadway. Edmonton, Alberta, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/G", "url": "http://greenwoodsingers.ca/"} +{"d:Title": "Houston Chamber Choir", "d:Description": "The only professional choral ensemble in Houston, Texas, USA.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/H", "url": "http://www.houstonchamberchoir.org/"} +{"d:Title": "Heartland Voices", "d:Description": "Heartland Voices is a chamber ensemble serving the Fox Valley and northern Illinois, providing 3 concerts annually, plus guest performances with The Illinois Chamber Orchestra and numerous outreach programs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/H", "url": "http://www.heartlandvoices.com/"} +{"d:Title": "The Hill Singers Chamber Choir", "d:Description": "A chamber choir based in Merton, London. Information about concerts and joining the choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/H", "url": "http://www.hillsingers.co.uk/"} +{"d:Title": "Vocalis", "d:Description": "An amateur choir with a professional outlook. Motto: Fund raising for charity through quality choral music. North Yorkshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/H", "url": "http://www.harrogatevocalis.org.uk/"} +{"d:Title": "Ionian Singers, London", "d:Description": "Chamber choir of about 35 singers with a national and international reputation based on performances and recordings. London, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/I", "url": "http://www.ioniansingers.co.uk/"} +{"d:Title": "The Indialantic Chamber Singers", "d:Description": "A non-profit chamber choir based in the town of Indialantic, in Brevard County, Florida. Photos, members, upcoming concerts, and past programs of the group.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/I", "url": "http://www.indialanticchambersingers.org/"} +{"d:Title": "Kent Chamber Choir", "d:Description": "English choir that enjoys a high reputation for its performances of a wide range of sacred and secular music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/K", "url": "http://www.kentchamberchoir.org.uk/"} +{"d:Title": "K\u00f6lner Kantorei", "d:Description": "Mainly a cappella choral works of the nineteenth and twentieth centuries. K\u00f6ln, Nordrhein-Westfalen, Germany. Site in German and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/K", "url": "http://www.koelner-kantorei.de/"} +{"d:Title": "Kampin Laulu", "d:Description": "Chamber choir from Helsinki, Finland. Site in Finnish and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/K", "url": "http://kampinlaulu.fi/"} +{"d:Title": "Korinnya Ukrainian Folk Choir", "d:Description": "The repertoire consists of traditional Ukrainian folk music, both sung a cappella and with traditional Ukrainian instruments. Korinnya is under the musical direction of Cathy Lebedovich.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/K", "url": "http://www.korinnya.com/"} +{"d:Title": "Kettwiger Bach-Ensemble", "d:Description": "Established since 1984 at the catholic parishchurch St. Peter in Essen-Kettwig, NRW, Germany. Site in German and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/K", "url": "http://www.kettwig.de/vereine/kettwiger-vokalmusik/e_index.html"} +{"d:Title": "King Charles Singers", "d:Description": "Chamber choir of ex-choral scholars based in Tunbridge Wells, UK. Services, concerts, and rehearsals.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/K", "url": "http://www.kingcharlessingers.org.uk/"} +{"d:Title": "London Concord Singers", "d:Description": "London-based chamber choir, repertoire ranges from Renaissance to Contemporary.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/L", "url": "http://www.londonconcordsingers.org.uk/"} +{"d:Title": "The Linden Singers of Victoria", "d:Description": "A community-based mixed voice choir dedicated to the enjoyment of choral music. Victoria, British Columbia, Canada", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/L", "url": "http://lindensingers.com/"} +{"d:Title": "Musica Viva of New York", "d:Description": "Chamber choir performing early and new music at The Unitarian Church of All Souls.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/M", "url": "http://www.musicaviva.org/"} +{"d:Title": "The Master Singers", "d:Description": "This critically-acclaimed chorus presents music written expressly for a small ensemble, ranging from Renaissance masterpieces to world premieres of works by Boston's leading composers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/M", "url": "http://themastersingers.org/"} +{"d:Title": "Meljon Singers", "d:Description": "A well regarded chamber choir, seeking to promote high standards of music primarily in and around the London Borough of Redbridge area, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/M", "url": "http://www.meljon.org.uk/"} +{"d:Title": "New London Chamber Choir", "d:Description": "At home in an exceptionally broad range of musical styles the choir specialises in 20th- and 21st-century works. It has appeared at many of the most prestigious festivals and venues throughout Europe.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/N", "url": "http://www.nlcc.org.uk/"} +{"d:Title": "Village Harmony", "d:Description": "An umbrella for a range of choral music, world music and harmony singing activities, encompassing performing groups, workshops and summer camps, and publishing of songbooks and recordings. Marshfield, Vermont, USA.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/N", "url": "http://northernharmony.pair.com/"} +{"d:Title": "Nonsuch Singers", "d:Description": "Based in London, UK performing a cappella and accompanied. Includes choir and director profiles, season archives, rehearsal schedule and information about concerts and membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/N", "url": "http://nonsuch.freeservers.com/"} +{"d:Title": "New Cambridge Singers", "d:Description": "Chamber choir of about 32 singers from Cambridge, England specialising in baroque and contemporary music. Concerts, membership, and repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/N", "url": "http://newcambridgesingers.org.uk/"} +{"d:Title": "North Downs Consort", "d:Description": "25-30 mixed voices, aiming to perform lesser-known liturgical music and madrigals. Purley, Surrey, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/N", "url": "http://www.northdownsconsort.org.uk/"} +{"d:Title": "Norise chamber choir", "d:Description": "Latvian chamber choir \"Norise\", conductor Imants Vasmanis, his biography, history and pictures of choir. In English and Latvian.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/N", "url": "http://norise.balticom.lv/"} +{"d:Title": "New Jersey Chamber Singers", "d:Description": "An ensemble intended for performance of chamber music from classical to contemporary under the direction of Dr. Jeffrey B. Unger.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/N", "url": "http://www.njchambersingers.org/"} +{"d:Title": "Ohlone Chamber Singers", "d:Description": "A 46 voice choir performing a wide range of music repertoire, from Renaissance through significant 20th century works. California.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/O", "url": "http://www.chambersingers.org/"} +{"d:Title": "Orpheus Chamber Singers", "d:Description": "A professional 24-voice choir specializing in the performance of choral music of the last five centuries.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/O", "url": "http://www.orpheuschambersingers.org/"} +{"d:Title": "Orlando Chamber Choir", "d:Description": "35-40 voices baroque choir from London, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/O", "url": "http://www.orlandochoir.org.uk/"} +{"d:Title": "The Pittsburgh Camerata", "d:Description": "Pittsburgh's premier chamber choir. Site contains samples and an on-line store area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/P", "url": "http://www.pittsburghcamerata.org/"} +{"d:Title": "Paragon Singers", "d:Description": "A chamber choir specialising in Renaissance, Baroque and 20th-century music. Bath, Somerset, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/P", "url": "http://www.paragonsingers.co.uk/"} +{"d:Title": "Ripieno Choir", "d:Description": "A chamber choir of 30 singers based in Esher, UK, singing sacred and secular music, with an emphasis on the early. Concerts and choir information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/R", "url": "http://www.ripienochoir.org.uk/"} +{"d:Title": "The Renaissance Singers, London", "d:Description": "The choir plays an important part in the revival and development of Early Music in London, England. Programme, booking, and history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/R", "url": "http://www.renaissancesingers.com/"} +{"d:Title": "The Renaissance Singers", "d:Description": "A mixed chamber choir performing music of the 15th to 20th centuries. Waterloo,Ontario, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/R", "url": "http://www.renaissancesingers.ca/"} +{"d:Title": "Sacred and Profane Chamber Chorus", "d:Description": "Since its inception, the group has concentrated on a cappella works of the medieval era, the Renaissance and the 20th century. Oakland and Berkeley, California, USA.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://sacredprofane.org/"} +{"d:Title": "San Francisco Choral Artists", "d:Description": "A 24-voice chamber choir that mainly performs music by 20th century composers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.sfca.org/"} +{"d:Title": "St. Martin's Chamber Choir", "d:Description": "A professional ensemble of 20 voices from Denver, Colorado USA", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.stmartinschamberchoir.org/"} +{"d:Title": "Sevenoaks, The Cantate Choir", "d:Description": "Large chamber choir focused on quality performances. Brief information, including upcoming events and ticket prices. Sevenoaks, Kent, UK.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.cantate-choir.info/"} +{"d:Title": "Scottish Chamber Orchestra Chorus", "d:Description": "Provides the Orchestra with a resident choir. Link to the choir on the orchestra home page.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.sco.org.uk/"} +{"d:Title": "St Albans Chamber Choir", "d:Description": "A major contributor to the musical life of the St Albans area, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://homepage.ntlworld.com/keith.dobbs/SACC/"} +{"d:Title": "Stairwell Carollers", "d:Description": "Small chamber a cappella choir whose repertoire includes seasonal carols, as well as music of the Renaissance. Ottawa, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.stairwellcarollers.com/"} +{"d:Title": "San Francisco Bay Area Chamber Choir", "d:Description": "Specializes in a cappella works of masters of the past and significant modern composers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.sfbaychoir.org/"} +{"d:Title": "Somerset Chamber Choir", "d:Description": "English chamber choir singing music from medieval times through to the present day.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.somersetchamberchoir.performers.co.uk/"} +{"d:Title": "The Sixteen", "d:Description": "Internationally known English choral group with a supporting orchestra, concentrating on the heritage of early English polyphony, the masterpieces of the Renaissance as well as a diversity of twentieth century choral work.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://www.thesixteen.com/"} +{"d:Title": "Summa Musica Chamber Choir", "d:Description": "An a cappella choir based in Perth, Western Australia, Australia", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/S", "url": "http://members.iinet.net.au/~martins/"} +{"d:Title": "Thomas Circle Singers", "d:Description": "The Singers perform a wide range of classical and contemporary music, from Baroque masterworks to American spirituals and folk songs, Renaissance motets and madrigals to music of contemporary American composers. Washington DC.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/T", "url": "http://www.thomascirclesingers.org/"} +{"d:Title": "Tapiola Chamber Choir", "d:Description": "Originally an offshoot of the world-famous Tapiola Children's Choir, the Tapiola Chamber Choir is today an independent semi-professional ensemble with about 30 members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/T", "url": "http://www.tapiolachamberchoir.fi/"} +{"d:Title": "Tallis Scholars", "d:Description": "English group, leading exponents of Renaissance sacred music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/T", "url": "http://www.thetallisscholars.co.uk/"} +{"d:Title": "Voces Chamber Choir", "d:Description": "Vocal ensemble from J\u00f6nk\u00f6ping, Sweden. Site in Swedish and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/V", "url": "http://www.voces.nu/"} +{"d:Title": "Vancouver Chamber Choir", "d:Description": "\"Canada's leading professional vocal ensemble.\" Led by conductor Jon Washburn, the Choir tours extensively throughout North America, Asia and Europe. In 1998, the Choir was presented with the Margaret Hillis Award for Choral Excellence.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/V", "url": "http://www.vancouverchamberchoir.com/"} +{"d:Title": "The Vasari Singers Website", "d:Description": "The Vasari Singers are one of the most popular and versatile chamber choirs in the UK.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/V", "url": "http://www.vasarisingers.org/"} +{"d:Title": "Voci Cantanti", "d:Description": "About 35 singers, specialising in contemporary music. Farnborough, Hampshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/V", "url": "http://www.celias.demon.co.uk/voci/"} +{"d:Title": "Winterthurer Vokalensemble", "d:Description": "A mixed vocal ensemble (18-20) specializing in a cappella repertoire spanning the early Renaissance to Baroque periods. Switzerland.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/W", "url": "http://winterthur-vokalensemble.ch/"} +{"d:Title": "The Winkleigh Singers", "d:Description": "Chamber choir based in Devon, available for charity events, weddings, recitals and concerts. Repertoire from 16th century to contemporary.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/W", "url": "http://www.winkleighsingers.org.uk/"} +{"d:Title": "Westphalian Chamber Choir", "d:Description": "The choir has a large repertoire of sacred and secular unaccompanied music, with particular emphasis on the often demanding choral works of the 19th and 20th centuries. Nordrhein-Westfalen, Germany. Site in German and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/W", "url": "http://www.muenster.de/~wkm/english/index_engl.html"} +{"d:Title": "West Coast Mennonite Chamber Choir", "d:Description": "Discography for the volunteer choir composed of singers who live throughout the Fraser Valley of British Columbia. Abbotsford, British Columbia, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/W", "url": "http://www.mennolink.org/books/westcoastmennonitechamberchoir.html"} +{"d:Title": "Wilbye Consort, Virtual", "d:Description": "Vocal ensemble dedicated to performing the works of John Wilbye. First and second sets of madrigals with RAM, WMA, and some MP3 audio files plus lyrics.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/W", "url": "http://a-babe.plala.jp/~wilbye/index-e.html"} +{"d:Title": "Wisconsin Chamber Choir", "d:Description": "Choral ensemble based in Madison, Wisconsin, led by music director Gary McKercher.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Chamber_Choirs/W", "url": "http://www.wisconsinchamberchoir.org/"} +{"d:Title": "Regensburger Domspatzen", "d:Description": "A German boy's choir known all over the world. Its tradition is more than 1000 years old. Here you can get more information about the choir, concert tours or hear and order our new CDs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.domspatzen.de/"} +{"d:Title": "BONIFANTES, Czech Republic", "d:Description": "Pardubice - New boy choir - 200 singing boys in 5 sections", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.bonifantes.cz/"} +{"d:Title": "Czech Boys' Choir Boni Pueri", "d:Description": "Boys' choir from the Czech Republic. English, Czech and other languages.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.bonipueri.cz/"} +{"d:Title": "Roden Boyschoir - Roder Jongenskoor", "d:Description": "The Roder Jongens Koor (RJK, or Roden Boys\u2019 Choir) was founded in 1985 in the small town of Roden in the north of the Netherlands by choirmaster Bouwe Dijkstra. His main aim was to form a boys\u2019 choir in the English style, featuring English choral music in its broadest sense.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.roderjongenskoor.nl/"} +{"d:Title": "Boychoir Past, Present and Future", "d:Description": "Presenting the history of boychoir and boy singers from the ancient past through the development of cathedral choirs and community boychoirs and to provide support for the development of a strong choral tradition in our time.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.boychoirs.org/"} +{"d:Title": "Voices of Angels", "d:Description": "A boychoir discussion group and community of CD purchasers, moms and dads, boychoir directors (former and current), and choristers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://groups.yahoo.com/group/Voices_Of_Angels/"} +{"d:Title": "Zurich Boys Choir", "d:Description": "The Zurich Boys Choir is probably Switzerlands most popular boy's Choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.zsk.ch/"} +{"d:Title": "Trinity Boys Choir", "d:Description": "Trinity School in Croydon, UK. Performing in television, radio, churches, and cathedrals. Events, photos, and multimedia.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.trinityboyschoir.co.uk/"} +{"d:Title": "Trinity Church's Boys and Men's Choir", "d:Description": "Presentation, news and calendar. Esbjerg, Denmark.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.treenighedskirkensdrengekor.dk/index-uk.php"} +{"d:Title": "Ragazzi", "d:Description": "Northern California Peninsula boys chorus. The site contains news, downloadable samples, travel logs and schedules for the chorus.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.ragazzi.org/"} +{"d:Title": "Boys Voices", "d:Description": "About boys voices and boys choirs. Movies, mp3, photos, information records.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://marcelb.free.fr/index.htm"} +{"d:Title": "Oregon Children's Choir", "d:Description": "Formed in January 1982 to supplement the musical training offered in local schools. Includes boys', girls', and youth choirs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.oregonchildrenschoir.com/"} +{"d:Title": "The American Boychoir School", "d:Description": "America's most widely touring and frequently performing choral ensemble. The nation's only non-sectarian boychoir boarding school, home to over 80 boys in grades 5-8.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.americanboychoir.org/"} +{"d:Title": "Libera", "d:Description": "The latest news on the group, press releases, audio and video samples, lyrics and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.libera.org.uk/"} +{"d:Title": "Pacific Boychoir Academy", "d:Description": "Oakland, California based boy choir institution. Information on CD-ROM releases, performances, auditions and audio samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.pacificboychoiracademy.org/"} +{"d:Title": "Windsbacher Knabenchor", "d:Description": "Boys' choir from Germany, site in German and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://windsbacher-knabenchor.de/"} +{"d:Title": "Chattanooga Boys Choir", "d:Description": "Since 1954, a community organization where musically talented boys have opportunities of superior training. Repertoire includes varieties of sacred, folk, Broadway, secular, and contemporary selections.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.chattanoogaboyschoir.org/"} +{"d:Title": "Portland Boychoir", "d:Description": "David York directs this choir which provides music education and professional-quality performance opportunities that develop self-esteem, depth of character, and advanced music skills in boys ages 8 to 18.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.portlandboychoir.org/"} +{"d:Title": "Almost Angels", "d:Description": "Features information on boy choirs, boy soloists and young actors with concert reviews as well as audio and video clips. Clips are not CD or DVD quality, thereby encouraging fans to purchase the real thing.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://almostangels.org/"} +{"d:Title": "Madison Boychoir", "d:Description": "A treble voice choir for boys between age 8 and 14, with training, intermediate, and advanced levels. The choir performs locally, regionally, and internationally.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.madisonyouthchoirs.org/"} +{"d:Title": "San Francisco Boys Chorus", "d:Description": "Founded in 1948 the choir provides music education, vocal training, and performance experience to Bay area boys.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.sfbc.org/"} +{"d:Title": "Land of Lakes Choirboys", "d:Description": "Located in Minnesota, the choir provides boys with a musical education and tours throughout the United States and abroad.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.lolcb.org/"} +{"d:Title": "Atlanta Boy Choir", "d:Description": "Founded in 1957 by Fletcher Wolfe, the choir has a broad repertoire ranging from the early liturgical composers such as Palestrina and Monteverdi to contemporary masterworks by Britten and Penderecki.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.atlantaboychoir.org/"} +{"d:Title": "Yahoo! Groups : treble2", "d:Description": "A forum to review and discuss recordings and concerts of young male singing talents (before voice-change) and boychoirs of all genres (classical, sacred and secular music).", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://launch.groups.yahoo.com/group/treble2/"} +{"d:Title": "Sofia Boys' Choir", "d:Description": "The Sofia Boys' Choir is one of the most prestigious Bulgarian children choirs. The choir's repertoire includes Bulgarian choir music, Orthodox and Catholic ecclesiastical music and works of various styles from 14th century to present day.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.sofiaboyschoir.altpro.net/"} +{"d:Title": "Florida's Singing Sons Boychoir", "d:Description": "An internationally recognized boy choir founded in 1975, devoted to music knowledge, education and vocal training, performances world wide, and published music recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.singingsons.org/"} +{"d:Title": "Australian Boys Choir", "d:Description": "Part of Australian Boys Choral Institute, the Australian Boys' Choir was formed in 1939 to provide musical education to talented boys.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.australianboyschoir.com.au/"} +{"d:Title": "Aurelius S\u00e4ngerknaben Calw", "d:Description": "A German boys' choir. Information in German, English, Spanish and French about the choir, concerts, soloists and CDs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.calw.de/Aurelius"} +{"d:Title": "The Vienna Boys' Choir in Concert", "d:Description": "Traditional performances at the Musikverein, Vienna.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Boys'_Choirs", "url": "http://www.mondial-reisen.com/en/kultur/die-wiener-saengerknaben-in-concert"} +{"d:Title": "The Adolf Fredrik's Girls Choir, Stockholm", "d:Description": "One of the foremost girls choir in the world, from the famous music school of Adolf Fredrik in Stockholm, Sweden", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.afgirlschoir.net/"} +{"d:Title": "Oregon Children's Choir", "d:Description": "Formed in January 1982 to supplement the musical training offered in local schools. Includes boys', girls', and youth choirs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.oregonchildrenschoir.com/"} +{"d:Title": "The Australian Girls Choir", "d:Description": "Over 3000 members throughout Australia. They have performed all over the world and are known as the Qantas Choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.ausgirlschoir.com.au/"} +{"d:Title": "Phoenix Girls Chorus", "d:Description": "The world famous international Phoenix Girls Chorus", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.girlschorus.org/"} +{"d:Title": "Portland Symphonic Girlchoir", "d:Description": "(Portland, WA, area) Audition-based choir for 4th-12th grade girls.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.girlchoir.com/"} +{"d:Title": "San Francisco Girl's Chorus", "d:Description": "Founded in 1978, the Girls Chorus pioneers girls' choral music as an art form in the United States and is a regional center for music education and choral training for girls and young women ages 7-18.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.sfgirlschorus.org/"} +{"d:Title": "Tucson Girls Chorus", "d:Description": "Composed of nearly 200 girls from grades 2 through 12, representing over 60 schools throughout Pima County.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.tucsongirlschorus.org/"} +{"d:Title": "Seattle Girls' Choir", "d:Description": "The mission of the Seattle Girls' Choir is to foster a deep commitment to musical excellence and to the musical and artistic development of the complete person. The Primi Voci concert choir tours extensively and has won several awards.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://www.seattlegirlschoir.org/"} +{"d:Title": "Chattanooga Girls' Choir", "d:Description": "A performing choir of almost 200 girls in grades 4th through 12th.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Girls'_Choirs", "url": "http://chattanoogagirlschoir.com/"} +{"d:Title": "Children's choir from Liberec (CZ)", "d:Description": "Children's choir by the basic artists school in Liberec (Northern Bohemia - Czech Republic)", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.severacek.cz/"} +{"d:Title": "Cantabella Children's Chorus", "d:Description": "A non-profit organization, located in the Livermore Tri-Valley of the San Francisco Bay Area, which strives to promote the appreciation of choral music in children.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.cantabella.org/"} +{"d:Title": "ChildrenSong of New Jersey", "d:Description": "An auditioned children's chorus serving children 8-14 in Camden, Burlington, and Gloucester Counties, New Jersey. Information on the choir, performances, news, links, and a parent's area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.childrensong.org/"} +{"d:Title": "Columbia Boys' and Girls' Choir", "d:Description": "Children's Choirs from Kirkland, near Seattle", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.columbiachoirs.com/"} +{"d:Title": "Colorado Children's Chorale", "d:Description": "Combining the discipline of music with the exuberance of youth.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.childrenschorale.org/"} +{"d:Title": "Indianapolis Children's Choir", "d:Description": "Providing music instruction. Founded in 1986 by Henry Leck, ICC serves nearly 1,200 children in Central Indiana.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.icchoir.org/"} +{"d:Title": "Kantilena", "d:Description": "Mission, activities and other information from the life of the children's choir. Brno, Czech republic. Site in English, German and Czech.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.kantilena.cz/"} +{"d:Title": "South Bay Children's Choir", "d:Description": "Community youth chorus dedicated to vocal music education and performance. Harmony, sight reading, music theory. Treble voice, ages 8 - 18. Three directors and composer in residence.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.sbcc.singer.net/"} +{"d:Title": "Queensland Youth Choir Inc.", "d:Description": "A highly-regarded community organisation providing quality training and performance experience for children and young adults from 5 to 25 years. QYC manages three performance choirs and a training ensemble catering for a range of ages and experience.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.qldyouthchoir.com.au/"} +{"d:Title": "World Children's Choir", "d:Description": "To teach children and youth of all cultures and nations to sing with beauty, artistry and joy. Virginia, USA.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.worldchildrenschoir.org/"} +{"d:Title": "North Orange County Children's Choir", "d:Description": "Choral and musical training for children ages 6 and up in 4 groups. Non-profit, established 1989, based in Southern California. Events, membership, and choir information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.noccc.com/"} +{"d:Title": "Cantabile Children's Chorus", "d:Description": "San Francisco Bay Area - Choral excellence for children first grade and older.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.cantabile.org/"} +{"d:Title": "Columbus Children's Choir", "d:Description": "The Voice of the City. Children's choir from Columbus, Ohio.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.columbuschildrenschoir.org/"} +{"d:Title": "Young Voices of Melbourne", "d:Description": "One of Australia's finest and most active children's choir organisations.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.yvm.com.au/"} +{"d:Title": "Salt Lake Children's Choir", "d:Description": "During the winter of 1983 the choir was featured on the Christmas concerts and broadcast of the Mormon Tabernacle Choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.childrensing.com/"} +{"d:Title": "Chicago Children's Choir", "d:Description": "A multiracial, multicultural choral music education organization, making a difference in the lives of children and youth through musical excellence.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.ccchoir.org/"} +{"d:Title": "Logansport Children's Choir Online", "d:Description": "Description, schedule, and pictures of the activities of this north central Indiana children's choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.logansportchildrenschoir.org/"} +{"d:Title": "Fort Wayne Children's Choir", "d:Description": "Choir information, tours, pictures, and news.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.fwcchoir.org/"} +{"d:Title": "New London Children's Choir", "d:Description": "Choir formed by Ronald Corp in 1991 for boys and girls aged 8-18. Their repertory ranges from early to 20th-century music, with a special commitment to commissioning new works. Concerts, diary, and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.newlondonchildrenschoir.org.uk/"} +{"d:Title": "Young Naperville Singers", "d:Description": "A children's chorus located in Naperville, Illinois.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.youngnapervillesingers.org/"} +{"d:Title": "Evergreen Children's Chorale", "d:Description": "Choir for children from 4th through 8th grade, selected by audition, Evergreen, Colorado. Performances and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.evergreenchorale.org/"} +{"d:Title": "Seattle Children's Chorus", "d:Description": "Choir for children ages 7-20 from Seattle, Washington.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.seattlechildrenschorus.org/"} +{"d:Title": "St. Marys Children's Choir", "d:Description": "Children's choir with four divisions and a commitment to excellence in performance and personal musisionship. Ontario, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.stmaryschildrenschoir.ca/"} +{"d:Title": "Cincinnati Children's Choir", "d:Description": "Ensemble-in-residence at the University of Cincinnati College, Conservatory of Music. Information about the program, calendar, schedule and festival.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.cincinnatichoir.org/"} +{"d:Title": "Georgian Bay Children's Choir", "d:Description": "Owen Sound, Ontario, Canada. Includes concert dates, audition information, and how to sponsor the choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.gbcc.ca/"} +{"d:Title": "The Children's Chorus of Greater Dallas", "d:Description": "The mission of the CCGD is to provide children of the greater Dallas area with the experience of musical excellence and artistry through choral singing in a group that reflects the diversity of the metropolitan area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://www.thechildrenschorus.org/"} +{"d:Title": "Phoenix Children's Chorus", "d:Description": "A culturally diverse group of more than 100 students from the greater metropolitan Phoenix area in Arizona. Calendar, auditions, and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://phoenixchildrenschorus.org/"} +{"d:Title": "Alaska Children's Choir", "d:Description": "Consists of about 180 children, aged 5 to 18, from throughout southcentral Alaska. Six choir levels. Information, tour dates and CD information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Children's_Choirs/Mixed_Choirs", "url": "http://alaskachildrenscho.wixsite.com/alaskachildrenschoir"} +{"d:Title": "All Saints Church", "d:Description": "Bow Brickhill, UK - All Saints Church, Bow Brickhill, has a long choral tradition.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.angelfire.com/wy/bowbrickhill/church.html"} +{"d:Title": "All Saints' Church Choir", "d:Description": "Rotherfield Peppard, near Henley, Oxfordshire, UK - Glorious bursts of Widor greet visitors to this site, and RealAudio is there a-plenty too.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.chord.demon.co.uk/peppard/choir.htm"} +{"d:Title": "Bobby Connors and The North Church Mass Choir", "d:Description": "Homepage for this touring and performing group. They have two CDs available for sale.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://bobbyconnorsmusic.tripod.com/"} +{"d:Title": "Christ Church Cathedral Choir", "d:Description": "500 year old choir justly famous for the youthfulness of its sound and its daring and adventurous musical programming. Oxford, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.chchchoir.org/"} +{"d:Title": "Glasgow University Chapel Choir", "d:Description": "Information about and recordings of the choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.chapelchoir.org/"} +{"d:Title": "Kingston Parish Church Voluntary Choir", "d:Description": "About the choir itself, when it meets, what music it sings, and about the historic church building, as well as a chance to sign-up online.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.allsaintskingston.co.uk/"} +{"d:Title": "Notre Dame Church Choir", "d:Description": "Gospel/contemporary music in a Roman Catholic setting. Intergenerational choir. Clips from CDs, photos, concert news.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://churchchoir.faithweb.com/"} +{"d:Title": "Notre Dame Folk Choir", "d:Description": "Principal liturgical choir at the University of Notre Dame, IN.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.nd.edu/~folk/"} +{"d:Title": "Peace Lutheran Church Choir, King George, VA", "d:Description": "Songs the choir has and will perform, and recordings and sound files from the choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://plc_choir.tripod.com/index.htm"} +{"d:Title": "Rochester Cathedral Choirs", "d:Description": "Information on the three Cathedral Choirs, service times, and pictures. Rochester, UK.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.rochestercathedralchoirs.org/"} +{"d:Title": "St. Bartholomew Catholic Church Choir", "d:Description": "Find out what special events the choir is involved in and what music is being sung each Sunday. You can also obtain information on how to join the choir. Chicago, Il.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.sbcchoir.homestead.com/"} +{"d:Title": "St. Gabriel the Archangel Episcopal Church", "d:Description": "Traditional Anglican worship in liturgy and music style.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.stgabriels.org/"} +{"d:Title": "St John's Episcopal Church Choir", "d:Description": "Church choir from Edinburgh, Scotland.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.thechoir.co.uk/"} +{"d:Title": "St Mary the Virgin", "d:Description": "Men and boys' choir from Nottingham, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.nottingham.ac.uk/~lazstmv/music.html"} +{"d:Title": "St Mary the Virgin Choir", "d:Description": "Around 30 trebles and gentlemen, reflecting the broad Anglican choral repertoire within a traditional liturgy. Merton, SW London, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.stmarysmerton.org.uk/choir.htm"} +{"d:Title": "St Thomas of Canterbury Parish Church Choir", "d:Description": "Three choirs from one church: the Parish Choir, the Girls' Choir and the Becket Singers. Brentwood, Essex, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.st-thomas.org.uk/"} +{"d:Title": "University of London Church Choir", "d:Description": "A choir of graduates and students who live and work in and around London, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.ulcc.org.uk/"} +{"d:Title": "Vikmanshyttan", "d:Description": "Two church choirs in Vikmanshyttan, a little village in Dalarna, Sweden: Mikaelik\u00f6ren and Ung Ton.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://hem.fyristorg.com/mikaelikoren/english/"} +{"d:Title": "Westminster Abbey Choir", "d:Description": "Includes Real Audio clips of the choir and organ. London, England. Follow link to the Choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.westminster-abbey.org/"} +{"d:Title": "Westminster Cathedral Choir", "d:Description": "Part of the original foundation of Westminster Cathedral. The Choir School in which the boys live and work is situated within the precincts of the Cathedral. London, England. From Hyperion Records.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=westminster"} +{"d:Title": "Winchester Cathedral Choir", "d:Description": "Recognised as one of Britain's leading Cathedral choirs. Hampshire, England. From Hyperion Records.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=winchester"} +{"d:Title": "Pembroke College Cambridge Chapel Choir", "d:Description": "Made up entirely of volunteers and directed by two undergraduate organ scholars. Cambridge, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.pem.cam.ac.uk/about/chapel/music/choir/"} +{"d:Title": "New Hope Praise Band", "d:Description": "Praise band of Hope United Methodist Church in San Diego, California. The site includes member profiles, history of the band, and a description of how midi is used in worship.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.hopeumc.com/pages.asp?pageid=35313"} +{"d:Title": "Cappella Catharinae", "d:Description": "Katarina Church, Stockholm, Sweden. Includes information about the choir's history, upcoming and past concerts and tours.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://cappellacatharinae.se/"} +{"d:Title": "Viri Galilaei Association, Cathedral of Santa Maria, Florence, Italy", "d:Description": "Rediscovering the value and the tradition of Gregorian Chant and Christian Culture. [Italian, English]", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.gregoriano-virigalilaei.it/"} +{"d:Title": "St John's College Choir", "d:Description": "The main duty is singing the daily services in the College Chapel during the University Term. Cambridge, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.sjcchoir.co.uk/"} +{"d:Title": "Emmanuel Church Choir", "d:Description": "A church choir based in the west midlands, Sutton Coldfield, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.emmanuelchoir.talktalk.net/"} +{"d:Title": "Royal Holloway Chapel Choir", "d:Description": "A mixed voice students' choir that sings daily in the college Chapel. Information on choral or organ scholarships, the Choir's CD recordings, foreign tours, services, concerts, and audio samples. London, UK.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://chapelchoir.co.uk/"} +{"d:Title": "St John's Church Choir", "d:Description": "Four basses, four tenors, five altos and about sixteen sopranos/trebles from Upper Norwood, London, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://myweb.tiscali.co.uk/offroadrunner/"} +{"d:Title": "Conrad Grebel University College Chapel Choir", "d:Description": "Provides music for weekly worship services at the Mennonite college, which is affiliated with the University of Waterloo, Ontario, Canada. About the choir, touring schedule, and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "https://uwaterloo.ca/music/ensembles/chapel-choir/"} +{"d:Title": "University of Notre Dame Liturgical Choir", "d:Description": "Composed of 65 singers drawn from the undergraduate and graduate student body. Notre Dame, IN.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://litchoir.nd.edu/"} +{"d:Title": "St Peter's Church Choir", "d:Description": "Music clips and a collection of articles about hymns. Nottingham, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.nottinghamchurches.org/music/stpeterschoir/"} +{"d:Title": "Christ's College Chapel Choir", "d:Description": "Includes a background to the choir, a calendar of concerts and tours, and details of CD recordings available.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "http://www.christs.cam.ac.uk/college-life/choir-christs-college-cambridge"} +{"d:Title": "Loyola University Chapel Choir", "d:Description": "Located in Baltimore, Maryland, singing Christian music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "https://www.loyola.edu/department/campus-ministry/worship/music"} +{"d:Title": "Romsey Abbey Choir", "d:Description": "Choir of Romsey Abbey, Hampshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs", "url": "https://www.romseyabbey.org.uk/about/choirs/"} +{"d:Title": "Of Choristers and Kings", "d:Description": "An illustrated talk about the world renowned King's College Choir by Gerald Peacocke, international lecturer and former headmaster and master over the choristers of King's College School, Cambridge.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs/King's_College_Choir", "url": "http://geraldpeacocke.tripod.com/"} +{"d:Title": "Anglican Church Music", "d:Description": "Information, resources and links for Anglican and Episcopal cathedral and church musicians, clergy, congregation members, and music lovers worldwide.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Church_Choirs/Resources", "url": "http://www.churchmusic.org.uk/"} +{"d:Title": "Cantus", "d:Description": "A popular and prize-winning women's choir with 35 members based in Trondheim, Norway. Site is in Norwegian and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.cantus.no/"} +{"d:Title": "Echo Women's Choir", "d:Description": "A community-based choir of women's voices based in Toronto, Canada. Directors, concert dates, and photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.echowomenschoir.ca/"} +{"d:Title": "Vesnivka Ukrainian Women's Choir", "d:Description": "Exists to promote Ukrainian liturgical and choral tradition. Ontario, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.vesnivka.com/"} +{"d:Title": "Santa Fe Women's Ensemble", "d:Description": "Twelve-voice choir in Santa Fe, New Mexico that performs music from the Middle Ages to contemporary commissioned pieces.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.sfwe.org/"} +{"d:Title": "University of Notre Dame Women's Liturgical Choir", "d:Description": "The 50 voice ensemble, under the direction of Andrew McShane, provides sacred music at the Saturday Vigil Mass at the Basilica of the Sacred Heart. Notre Dame, Indiana.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.nd.edu/~ndwlc/"} +{"d:Title": "Capella Artemisia", "d:Description": "An all-women vocal ensemble from Bologna, Italy, consisting of a core of 8-10 singers with continuo. Specializes in historically accurate performance of early music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.cappella-artemisia.com/"} +{"d:Title": "Forth Valley Chorus", "d:Description": "Four-part harmony women's chorus, 60+ members strong. Edinburgh, Scotland.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.forthvalleychorus.org/"} +{"d:Title": "Orange County Women's Chorus", "d:Description": "A 30-voice ensemble dedicated to performing the best and most interesting music for women's voices. Concert schedule, joining, and photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.ocwomenschorus.org/"} +{"d:Title": "Cantilena", "d:Description": "A women's chorale in the Boston area performing a variety of music for treble voice, founded as Cambridge Chorale 1968.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.cantilena.org/"} +{"d:Title": "Medieval Women's Choir", "d:Description": "Seattle-based women's ensemble who studies and performs music written for women's voices in the Middle Ages.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.medievalwomenschoir.org/"} +{"d:Title": "The Women's Chorus of Dallas", "d:Description": "Provides entertainment with a repertoire that includes a range of music from Bach to Broadway.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.thewomenschorusofdallas.com/"} +{"d:Title": "Singing Women of Texas", "d:Description": "A Texas Baptist women's choir with five regional chapters that perform locally and statewide. How to join, concert season, and member page.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Female_Choirs", "url": "http://www.singingwomenoftexas.com/"} +{"d:Title": "Notre Dame des Bananes Choir", "d:Description": "Ensemble in Edmonton that sings songs of peace, freedom and equality. Information on membership and upcoming performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://www.notredamedesbananes.ca/"} +{"d:Title": "Seattle Peace Chorus", "d:Description": "Promoting peace and justice through the sharing of music and ideas. Concert schedule, history, membership information, and links to relevant resources.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://www.seattlepeacechorus.org/"} +{"d:Title": "Red Notes", "d:Description": "A socialist choir which campaigns for peace, justice, freedom and internationalism. Details of their repertoire, events diary, newsletter and merchandise. [Bristol, UK]", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://www.rednoteschoir.org.uk/"} +{"d:Title": "Nottingham Clarion Choir", "d:Description": "A Labour movement choir, supporting trade unions, socialist, radical and environmental organisations. Includes details of their recordings and performances. [Nottingham, UK]", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://www.nottinghamclarionchoir.org.uk/"} +{"d:Title": "New York City Labor Chorus", "d:Description": "Promotes union solidarity by singing the history and ongoing struggles of workers for economic and social justice. Details of membership, repertoire, performances, recordings and news.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://www.nyclc.org/"} +{"d:Title": "The Brooklyn Women's Chorus", "d:Description": "Community choir with a repertoire ranging from South African freedom songs to contemporary songwriters on freedom and justice, peace, resistance, and women's labor history. Includes events listing, details of recordings, and news. [New York, US]", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://www.brooklynwomenschorus.com/"} +{"d:Title": "Protest in Harmony", "d:Description": "Edinburgh-based radical choir; information about meetings, campaigns, membership, events and news. [Scotland, UK]", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://www.protestinharmony.org.uk/"} +{"d:Title": "Cardiff Reds Choir :: C\u00f4r Cochion Caerdydd", "d:Description": "A campaigning choir, supporting peace, justice and freedom in Britain and around the world. Includes details of recordings, lyrics, and contact details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Labor_and_Solidarity_Choirs", "url": "http://cor.cochion.tripod.com/"} +{"d:Title": "Conductors Club", "d:Description": "Members of the Conductors Club come from male choral groups that perform in New York State. Links to the conductors' choirs and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs", "url": "http://conductorsclub.org/"} +{"d:Title": "Audley and District Male Voice Choir", "d:Description": "The choir membership is drawn from a wide area and currently around ninety members enjoy the fellowship that the choir affords. Audley, Newcastle-under-Lyme, Staffordshire, England", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/A", "url": "http://www.admvc.co.uk/"} +{"d:Title": "Bayside Glee Club", "d:Description": "Male chorus in Queens, New York. Membership and concert information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/B", "url": "http://www.baysidegleeclub.org/"} +{"d:Title": "Blaenavon Male Voice Choir", "d:Description": "Programme, choir and town information. Blaenavon, (Blaenafon) Gwent, South Wales.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/B", "url": "http://www.bmvc.org/"} +{"d:Title": "Bolsterstone Male Voice Choir", "d:Description": "The choir was the 1999 National Male Voice Choir Champion of Great Britain, having won the title in 1992 and 1997 as well.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/B", "url": "http://www.bolsterstonemvc.co.uk/"} +{"d:Title": "Basingstoke Male Voice Choir, Hampshire", "d:Description": "Male Voice Choir from Basingstoke, Hampshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/B", "url": "http://www.basingstokemvc.org.uk/"} +{"d:Title": "Chacewater Carnon Vale Male Choir", "d:Description": "Cornish Male Choir information, concert dates and contact. Chacewater, Cornwall.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://ccvmchoir.tripod.com/"} +{"d:Title": "Connecticut Gay Men's Chorus", "d:Description": "Connecticut's only performing-arts organization of openly gay men presents two concert/show series per year, 4 performances each in December and in April.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://www.ctgmc.org/"} +{"d:Title": "Canoldir Male Choir", "d:Description": "Male choir from Birmingham, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://www.canoldir.co.uk/"} +{"d:Title": "Cantate Boys' Choir", "d:Description": "An English freelance choir of some thirty boys and twenty men.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://www.cantatemusic.co.uk/"} +{"d:Title": "Chess Valley Male Voice Choir", "d:Description": "English choir, singing in Buckinghamshire and Hertfordshire, rehearsing in Chesham.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://www.chessvalley.org.uk/"} +{"d:Title": "C\u00f4r Me\u00edbion Froncysylte", "d:Description": "High quality male choir from Clwyd, Wales.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://www.fronchoir.com/"} +{"d:Title": "Chanticleer", "d:Description": "With its seamless blend of twelve male voices, ranging from countertenor to bass, Chanticleer has earned international renown as \"an orchestra of voices.\" San Francisco Bay Area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://www.singers.com/group/Chanticleer/"} +{"d:Title": "The City of Cork Male Voice Choir", "d:Description": "The Leading TTBB choir from Cork City on the south coast of Ireland.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C", "url": "http://www.cityofcorkmalevoicechoir.com/"} +{"d:Title": "IMDb: Comedian Harmonists", "d:Description": "Films in which the group performed.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C/Comedian_Harmonists", "url": "http://www.imdb.com/name/nm0363575/"} +{"d:Title": "European Jazz and Close Harmony Vocal Groups", "d:Description": "A substantial part of this site is devoted to the Comedian Harmonists. Also has information on some other groups of the 1930s.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C/Comedian_Harmonists", "url": "http://www.yodaslair.com/dumboozle/eurojazz/eurodex.html"} +{"d:Title": "Comedian Harmonists", "d:Description": "Short \"biography\" of the group, compiled by Carol Traxler for the Washington S\u00e4ngerbund's newsletter.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/C/Comedian_Harmonists", "url": "http://www.traxlers.org/articles/comhar.html"} +{"d:Title": "Dublin Welsh Male Voice Choir", "d:Description": "The Choir was formed in the 1960's. It has retained a unique and authentically Welsh choral sound and repertoire - with Irish songs included for good measure. It has released 2 CDs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/D", "url": "http://www.dublinwelsh.com/"} +{"d:Title": "de Profundis", "d:Description": "An a cappella men's ensemble in Albuquerque, New Mexico. History, schedule, repertoire and singers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/D", "url": "http://www.depro.org/"} +{"d:Title": "Fort Worth Men's Chorus", "d:Description": "Information about the chorus and its current concert season.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/F", "url": "http://www.fwmc.org/"} +{"d:Title": "Glasgow Philharmonic Male Voice Choir", "d:Description": "The choir was founded in 1925 and performs in and around Glasgow in charity concerts.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/G", "url": "http://www.glasphilmvc.org.uk/"} +{"d:Title": "Gentlemen Songsters Male Voice Choir", "d:Description": "the choir is nearly seventy strong, and has sung in many far flung venues, based in Kingswinford, Dudley, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/G", "url": "http://www.gsmvc.org.uk/"} +{"d:Title": "Golden Gate Men's Chorus", "d:Description": "Founded in 1982 with the aim of presenting high quality performances of serious men's choral music to the San Francisco Bay Area community.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/G", "url": "http://www.ggmc.org/"} +{"d:Title": "The Gay Men's Chorus of Washington, DC", "d:Description": "Strives to affirm the gay experience and promotes a culture of diversity through musical and performance excellence.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/G", "url": "http://www.gmcw.org/"} +{"d:Title": "Gledholt Male Voice Choir", "d:Description": "50 singers, the only male voice choir remaining within Huddersfield, Yorkshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/G", "url": "http://www.gledholt.org.uk/"} +{"d:Title": "Hendrick Hudson Male Chorus", "d:Description": "Male chorus that rehearses in East Greenbush and performs throughout New York State's capital district. Membership information and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/H", "url": "http://www.hendrickhudson.org/"} +{"d:Title": "Heart Of Texas Chorus", "d:Description": "Mission: to entertain the Central Texas Corridor with the best possible male a cappella harmony.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/H", "url": "http://www.hotchorus.org/"} +{"d:Title": "Huntingdon Male Voice Choir", "d:Description": "Details of concerts, choir history, recordings, and joining information. Based in Huntingdon, Cambridgeshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/H", "url": "http://website.lineone.net/~familytownsend/"} +{"d:Title": "Hampshire Police Choir", "d:Description": "About 60 male singers, with serving and retired police officers, special constables, civilian staff and others associated with the service. Hampshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/H", "url": "http://www.hampshirepolicechoir.org.uk/"} +{"d:Title": "Kenfig Hill&District Male Voice Choir", "d:Description": "Contact names, history, activities and future events.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/K", "url": "http://www.kenfigwelshmalechoir.org.uk/"} +{"d:Title": "Kent Police Male voice choir", "d:Description": "Home pages of Kent Police Choir includes concerts, contacts, history etc.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/K", "url": "http://www.kpmvc.org.uk/"} +{"d:Title": "King's Singers - six healthy Englishmen", "d:Description": "Tribute to the English vocal group. Biographies, complete discography, and pictures.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/K/King's_Singers", "url": "http://www.kingssing.com/"} +{"d:Title": "The King's Singers", "d:Description": "Official web site with current information about itinerary, members, former members and recording information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/K/King's_Singers", "url": "http://www.kingssingers.com/"} +{"d:Title": "London Welsh Male Voice Choir", "d:Description": "Based in the heart of London with a membership of over 100 choristers. In 2002, the Choir will be celebrating its centenary. Site in Welsh and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/L", "url": "http://www.londonwelshmvc.org/"} +{"d:Title": "Leigh Orpheus Male Voice Choir - Essex - England", "d:Description": "The choirs history, music, 70 plus membership, musical director, and concert diary, charity fund raising concerts,", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/L", "url": "http://www.lomvc.org/"} +{"d:Title": "London Gay Men's Chorus", "d:Description": "Choir of around 200 men perform a variety of music, also offering CDs for sale. Includes profile, performance dates, newsletter, and contact details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/L", "url": "http://www.lgmc.org.uk/"} +{"d:Title": "Lihk\u00f6ren Male Choir", "d:Description": "Link\u00f6ping University Male Voice Choir, Sweden. Site in Swedish and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/L", "url": "http://www.lihkoren.se/index_e"} +{"d:Title": "Mannskoret \u00d8rnen (The Eagle Male Choir)", "d:Description": "A special male choir from Oslo (Norway) focused on humour and music ranging from barock to rock. Site mostly in Norwegian, but an English page is available.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/M", "url": "http://www.eagles.no/"} +{"d:Title": "Morriston Orpheus Choir", "d:Description": "The choir, engagements, archive, and catalogue. Morriston, Swansea, South Wales.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/M", "url": "http://www.morristonorpheus.com/"} +{"d:Title": "Mendelssohn Club of Kingston", "d:Description": "All-male chorus that performs in and around Kingston, New York. Membership information and performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/M", "url": "http://www.mckny.org/"} +{"d:Title": "Morriston R.F.C. Male Choir", "d:Description": "Recognised among the leading Welsh Choirs and with a membership of 120 plus choristers also one of the largest.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/M", "url": "http://www.morristonrfcmalechoir.org/"} +{"d:Title": "Mevagissey Male Choir", "d:Description": "Male voice choir from Cornwall, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/M", "url": "http://www.meva-choir.co.uk/"} +{"d:Title": "The Morehouse College Glee Club", "d:Description": "Official MCGC website. Get information on concerts and tours, booking the Glee Club and ordering recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/M", "url": "http://www.mcgclub.com/"} +{"d:Title": "Nottingham Boys' Choir", "d:Description": "Despite their title, they're 20/30-strong SATB with men as well as boys.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/N", "url": "http://www.nottinghamboyschoir.co.uk/"} +{"d:Title": "Oakland East Bay Gay Men's Chorus", "d:Description": "The group's history, calendar of events, contact information, photos, sound clips, audition information, member names, list of directors, and the artistic director's biography.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/O", "url": "http://www.oebgmc.org/"} +{"d:Title": "Ogmore Valley Male Voice Choir", "d:Description": "This Welsh choir has a membership of around 55 members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/O", "url": "http://www.philogmore.co.uk/two/NewChoir.html"} +{"d:Title": "Polyteknikkojen Kuoro", "d:Description": "The Polytech Choir: an academic male choir whose singers are students and graduates of the Helsinki University of Technology, Finland. Information about the choir and its recordings in English and Finnish.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/P", "url": "http://www.polyteknikkojenkuoro.fi/en/"} +{"d:Title": "Reading Male Voice Choir", "d:Description": "Concert choir in South East England. Includes profile, news, repertoire, audio clips, and information on guest artistes.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/R", "url": "http://www.rmvc.net/"} +{"d:Title": "San Francisco Gay Men's Chorus", "d:Description": "The world's oldest gay men's chorus now has 250+ singers. Contains history, recordings, and concert information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://www.sfgmc.org/"} +{"d:Title": "The Saengerfest Concert Chorus", "d:Description": "Men's choral group from the greater Boston area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://www.saengerfest.org/"} +{"d:Title": "Schubert Male Chorus of Grand Rapids, Michigan", "d:Description": "Established in 1883 it's the longest continuously performing male chorus in the United States.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://www.schubertmalechorus.org/"} +{"d:Title": "Silicon Valley Gay Men's Chorus", "d:Description": "Serves the San Jose, California area and surrounding communities.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://www.svgmc.org/"} +{"d:Title": "Slavic Male Chorus of Washington DC", "d:Description": "Interpretations of the folk songs and sacred hymns of Russia and many Slavic lands.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://www.slavicmalechorus.org/"} +{"d:Title": "Swindon Male Voice Choir", "d:Description": "70 singers from Swindon, Wiltshire, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://www.swindonmalevoicechoir.co.uk/"} +{"d:Title": "Sydney Male Choir", "d:Description": "The longest continually operating choir in Australia. Sample music files, CD purchase information, future performance events, and information about members and director.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://www.sydneymalechoir.com.au/"} +{"d:Title": "Snowdown Male Voice Choir", "d:Description": "Over 40 singers from East Kent, England. Includes newsletter, concerts, music, people, joining and hiring", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/S", "url": "http://snowdown-choir.co.uk/"} +{"d:Title": "Twin Cities Gay Men's Chorus", "d:Description": "The Chorus presents a positive image of gay men to their audiences and the larger community. Four major concerts are presented each season. Minneapolis, MN.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/T", "url": "http://www.tcgmc.org/"} +{"d:Title": "Tallinn Technical University Academic Male Choir", "d:Description": "News, choir, music and contact in English and Estonian.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/T", "url": "http://www.tam.ee/"} +{"d:Title": "Veldhovens Mannenkoor", "d:Description": "Male choir from the Netherlands.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/V", "url": "http://www.veldhovensmannenkoor.nl/"} +{"d:Title": "Vocalensemble M\u00f6mlingen", "d:Description": "Male choir from Bayern, Germany. Site in German and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/V", "url": "http://www.vocalensemble-moemlingen.de/"} +{"d:Title": "Vancouver Welsh Men's Choir", "d:Description": "More than 100 singers from British Columbia, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/V", "url": "http://www.vwmc.ca/"} +{"d:Title": "Warrington Male Voice Choir", "d:Description": "Describes this English choir with information about membership and upcoming performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.warringtonchoir.org.uk/"} +{"d:Title": "Washington Men's Camerata", "d:Description": "With upcoming concert news, details of previous recordings, concert reviews and choral links.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.camerata.com/"} +{"d:Title": "Warblers Club", "d:Description": "Describes its activities and history with information on membership, past recordings and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.warblersclub.org/"} +{"d:Title": "Weybridge Male Voice Choir", "d:Description": "With information on how the choir started, choir people, latest news and concert diary.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.weybridgemvc.org.uk/"} +{"d:Title": "Wycombe Orpheus Male Voice Choir", "d:Description": "Information about future concerts, previous recordings, and the choir's fundraising activities.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.wycombeorpheus.org.uk/"} +{"d:Title": "Wigston and District Male Voice Choir", "d:Description": "Presently eighty strong, describes its history, past choir tours, future concerts and musical team with photo gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.wigstonmalechoir.co.uk/"} +{"d:Title": "Wessex Male Choir", "d:Description": "Formed in 2001, with information on its charity support and latest recording, concert news and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.wessexmalechoir.co.uk/"} +{"d:Title": "Warley Male Choir", "d:Description": "Describes its activities and musical team, with information on past foreign visits and upcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.warleymalechoir.co.uk/"} +{"d:Title": "Worcester Male Voice Choir", "d:Description": "With information on the choir's repertoire, music team, tours and festival details and future concerts.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.worcestermalevoicechoir.org.uk/"} +{"d:Title": "Worrall Male Voice Choir", "d:Description": "Describes its activities, with concert news and information on music officials, membership and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/W", "url": "http://www.worrallmvc.org.uk/"} +{"d:Title": "Yankee Male Chorus", "d:Description": "Approximately 90 male choral singers that convene in Vermont and New Hampshire each August for four days and present four concerts in different, scenic locales.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Male_Choirs/Y", "url": "http://www.yankeemalechorus.org/"} +{"d:Title": "Zemer Chai: Washington DC's Jewish Community Chorus", "d:Description": "A volunteer choral arts society, founded in 1976, dedicated to sharing the cultural heritage of the Jewish people through its poetry and music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs", "url": "http://www.zemerchai.org/"} +{"d:Title": "Hong Kong City Choir", "d:Description": "40 singer vocal ensemble found in 1999. In English and Chinese. News, background, performance history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Asia/Hong_Kong", "url": "http://www.hkcitychoir.org/"} +{"d:Title": "Victoria Chorale", "d:Description": "Comprised of students from Victoria School and Victoria Junior College, performing a wide range of works. Schedule, director, and gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Asia/Singapore", "url": "http://www.vc.org.sg/"} +{"d:Title": "Voices International", "d:Description": "Ensemble founded in 1997 that focuses on SATB and SAB pieces. Upcoming concerts, repertoire, audio samples, and CDs available for purchase.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe", "url": "http://www.voicesinternational.lu/"} +{"d:Title": "The European Union Choir", "d:Description": "Volunteer ensemble performing a wide repertoire ranging from Renaissance to contemporary music all across the continent. Based in Brussels.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Belgium", "url": "http://www.choeurs-union-europeenne.net/"} +{"d:Title": "Brussels Choral Society", "d:Description": "100-member symphony ensemble from 20 different countries, performs with high level orchestras, soloists, and conductors. Includes concert schedule, history, pictures, and list of recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Belgium", "url": "http://www.brusselschoralsociety.com/"} +{"d:Title": "Brussels Madrigal Singers", "d:Description": "Founded in 1973. Includes event schedule, repertoire, and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Belgium", "url": "http://www.brusselsmadrigals.be/"} +{"d:Title": "Academic Choir", "d:Description": "One of Denmark's best known oratorio choirs. A tradition of some 50 years is the performance of Handel's Messiah in the Cathedral of Copenhagen each December.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Denmark", "url": "http://akademisk.kor.dk/aok-e.htm"} +{"d:Title": "The Paris Choral Society", "d:Description": "Non-professional auditioned group. Concert schedule, rehearsal information, contact, and brief history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/France", "url": "http://www.parischoralsociety.org/"} +{"d:Title": "German-American Community Choir", "d:Description": "100 international singers who each year put together concerts from classical via jazz to musical in both English and German.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Germany", "url": "http://www.gacc-frankfurt.de/"} +{"d:Title": "Dublin County Choir", "d:Description": "A journal of the 25 years of the group, which has a membership of 120 and a wide range of music. Also offers schedule, repertoire and member list.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Ireland", "url": "http://www.dublincountychoir.com/"} +{"d:Title": "Guinness Choir", "d:Description": "100+ group based in Dublin and performing large master works. Membership information, brief history, and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Ireland", "url": "http://www.guinnesschoir.org/"} +{"d:Title": "Waterford City Choir", "d:Description": "50-voice choir based in Waterford. Concert schedule, history, repertoire, rehearsals, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Ireland", "url": "http://www.iol.ie/~newtownw/wcco/"} +{"d:Title": "Dun Laoghaire Choral Society", "d:Description": "100-member vocal ensemble offering regular local performances. Current and past concert schedule and member list.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Ireland", "url": "https://sites.google.com/a/dlcs.ie/dlcs/"} +{"d:Title": "Choral School of Giacomo Puccini", "d:Description": "Mixed choir that is composed of over 50 members and based in Sassulo. In English, Spanish, and Italian. Concert schedule, repertoire, members, audio samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Italy", "url": "http://www.coralepuccini.it/"} +{"d:Title": "Corale Quadriclavio, Bologna, Italy", "d:Description": "Amateur choir based in Bologna that performs classical and baroque sacred music. Italian and English. Conductor, repertoire, concert schedule, music available for download.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Italy", "url": "http://www.quadriclavio.it/"} +{"d:Title": "Ultra Vox", "d:Description": "30-voice ensemble based Loiano, Bologna performing a cappella versions of Italian songs from 1940's and 1950's. In English and Italian.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Italy", "url": "http://www.comune.loiano.bologna.it/coro/"} +{"d:Title": "Hesperimenta Vocal Ensemble", "d:Description": "Italian vocal ensemble performing Renaissance European music. Site in Italian, English pages available.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Italy", "url": "http://www.hesperimenta.com/"} +{"d:Title": "Jauna Muzika", "d:Description": "Professional Vilnius municipal choir founded in 1989. Includes a list of prizes, repertoire, concert tours, workshops, reviews, schedule, discography, and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Lithuania", "url": "http://www.jaunamuzika.lt/"} +{"d:Title": "Collegium Vocale Bydgoszcz", "d:Description": "Members, history, discography, photos, reviews, and audio samples. Site in English and Polish.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Poland", "url": "http://www.collegiumvocale.bydgoszcz.pl/"} +{"d:Title": "Warsaw University Choir", "d:Description": "Student and graduate choir. Includes highlights, choirmaster, recordings, membership, and history. In Polish, English, French, Italian, German, Russian, and Spanish.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Poland", "url": "http://www.chor.uw.edu.pl/"} +{"d:Title": "Coral Allegro", "d:Description": "Amateur ensemble near Sintra. Performs primarily a cappella music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Portugal", "url": "http://www.bcn.cat/IMEB/allegro/"} +{"d:Title": "Mixed Choir Tirnavia", "d:Description": "History, members, conductor, CD, photos, forum. Site in English and Slovak.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Slovakia", "url": "http://eee.tirnavia.asteri.sk/"} +{"d:Title": "Coral Santa Teresa", "d:Description": "A cappella choir from Zaragoza, Aragon, Spain. With audio files in MP3 format, history, repertory, photographs, links, and concerts. French, English, German, Italian, Spanish versions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Spain", "url": "http://coralsantateresa.org/"} +{"d:Title": "Cor Aulos", "d:Description": "Catalonia-based choir founded in October 1993. Spanish and English versions. History, conductor, repertoire, gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/Spain", "url": "http://www.coraulos.com/"} +{"d:Title": "The Really Big Chorus", "d:Description": "Approximately 30,000 member group made up of singers from many other groups performing three concerts annually in the Royal Albert Hall. Calendar of events, history of the group, news, and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England", "url": "http://www.trbc.co.uk/"} +{"d:Title": "Bedford Choral Society", "d:Description": "130-member choir that usually performs in Bedford's Corn Exchange. Includes concert programme, past performances and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Bedfordshire", "url": "http://www.bedfordchoralsociety.org.uk/"} +{"d:Title": "Danesborough Chorus", "d:Description": "Over 100 singers singing anything from Monteverdi to Rutter, plus new commissions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Bedfordshire", "url": "http://www.danesborough.org.uk/"} +{"d:Title": "The Royal Free Singers", "d:Description": "Formed in 1973 from parents of pupils at the Royal Free Boys' School, Windsor. History, concert information, reviews and tours.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Berkshire", "url": "http://www.rfs.royal-windsor.com/"} +{"d:Title": "Reading Festival Chorus", "d:Description": "Founded in 1945. Includes history, annual programme and general information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Berkshire", "url": "http://www.readingfestivalchorus.org.uk/"} +{"d:Title": "Newbury Choral Society", "d:Description": "Non-auditioned 80+ member vocal group open to all age groups. Member information and performance history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Berkshire", "url": "http://www.newburychoral.org.uk/"} +{"d:Title": "Parenthesis Choir", "d:Description": "50-voice ensemble based in Tilehurst, performing several concerts annually. Also offers an a cappella group.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Berkshire", "url": "http://www.parenthesis.org.uk/"} +{"d:Title": "Wokingham Choral Society", "d:Description": "130-voice amateur group. Includes membership details, concert programme and repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Berkshire", "url": "http://www.wokingham-choral-society.org.uk/"} +{"d:Title": "The Stoke Poges Singers", "d:Description": "Amateur non-auditioned group having a wide repertoire. Concert schedule and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Berkshire", "url": "http://www.stoke-poges-singers.org.uk/"} +{"d:Title": "Voices Anon", "d:Description": "Non-auditioned group of 40 singers, aiming to introduce adults to the skills, techniques, fun and enjoyment of choral singing. Maidenhead.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Berkshire", "url": "http://www.voicesanon.co.uk/"} +{"d:Title": "Bristol Bach Choir", "d:Description": "Ensemble that performs works ranging from the fifteenth century to modern day. Concert schedule, tickets, recordings, reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Bristol", "url": "http://www.bristolbach.org.uk/"} +{"d:Title": "City of Bristol Choir", "d:Description": "Concert diary, contact and membership details for 60 strong vocal group.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Bristol", "url": "http://www.cityofbristolchoir.org.uk/"} +{"d:Title": "Aylesbury Choral Society", "d:Description": "Non-auditioned choir offers both classic and modern works. Concert schedule, member list.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Buckinghamshire", "url": "http://www.aylesburychoral.org.uk/"} +{"d:Title": "Wycombe Philharmonic Choir", "d:Description": "70 strong ensemble based in High Wycombe. Performs primarily major oratorio works.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Buckinghamshire", "url": "http://www.wycombephil.org.uk/"} +{"d:Title": "Amersham&Chesham Bois Choral Society", "d:Description": "A choral society with over a 100 singing members where all classical tastes are catered for. Details of who and what the society is about along with photos and means of contact.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Buckinghamshire", "url": "http://www.amchor.com/"} +{"d:Title": "Cambridge Chorale", "d:Description": "Ensemble of 35-40 mixed voices performing in Cambridge and East Anglia. Recordings, reviews, mailing list, musical director.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.cambridgechorale.org.uk/"} +{"d:Title": "Cambridge Philharmonic Choir", "d:Description": "A chorus and orchestra performing large-scale works several times a year. Admission by audition. History, concert schedule, members' area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.cam-phil.org.uk/"} +{"d:Title": "Ramsey Choral Society", "d:Description": "A 40 strong non-auditioned ensemble. Past and present performance schedule and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.ramseychoral.org/"} +{"d:Title": "Huntingdonshire Philharmonic Choir", "d:Description": "More than 80 singers with own orchestra.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.huntsphil.org.uk/"} +{"d:Title": "Fairhaven Singers of Cambridge", "d:Description": "A 50-voice ensemble performing a cappella and major works with full orchestra accompaniment in college chapels. Concert schedule and information on past performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.fairhavensingers.org.uk/"} +{"d:Title": "Polyphony", "d:Description": "Professional choir and orchestra led by Stephen Layton. Member biographies, merchandise, and reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cambridgeshire", "url": "http://www.stephenlayton.com/polyphony"} +{"d:Title": "Altrincham Choral Society", "d:Description": "100 voice amateur choir. Concert schedule, member information, rehearsal schedule, music samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cheshire", "url": "http://www.altrincham-choral.co.uk/"} +{"d:Title": "St. George's Singers", "d:Description": "Poynton-based ensemble. News, tickets, concert schedule, history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cheshire", "url": "http://www.take2theweb.com/pub/manchester/stgeorgessingers/"} +{"d:Title": "Sotto Voce", "d:Description": "Background, photos, or book the group for a wedding or other event.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cheshire", "url": "http://www.sottovoce.org.uk/"} +{"d:Title": "The Tatton Singers", "d:Description": "A small choir singing two major classical concerts (Michaelmas and Easter) and two lighter ones (June and Christmas) each year.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cheshire", "url": "http://www.thetattonsingers.co.uk/"} +{"d:Title": "The Castle Singers", "d:Description": "A mixed choir with about sixty members, based on the Wirral. Repertoire and events", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Cheshire", "url": "http://www.castlesingers.co.uk/"} +{"d:Title": "North Devon Choral Society", "d:Description": "110-voice ensemble performing local concerts regularly. Program, concerts, tickets, director, and membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Devon", "url": "http://www.northdevonchoral.org.uk/"} +{"d:Title": "Torbay Cameo Singers", "d:Description": "Small group of choristers, including information about concerts, programs and current vocal vacancies.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Devon", "url": "http://www.torbaysingers.com/"} +{"d:Title": "Brighton Festival Chorus", "d:Description": "Performs throughout the year in concerts at major venues on tour abroad and at home, and during May each year at the Brighton Festival. English, French, Spanish, German. Concert schedule, membership, repertoire, discography.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.bfc.org.uk/"} +{"d:Title": "Brighton Orpheus Choir", "d:Description": "100-member ensemble founded in 1948 that sings classical and modern choral works. Reviews, tickets, concert schedule, calendar of other local choral events, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.brightonorpheus.org/"} +{"d:Title": "Sussex Chorus", "d:Description": "Large vocal ensemble performing in Brighton and Mid Sussex with professional orchestras and soloists. Membership and sponsorship information, plus performance schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.sussexchorus.org/"} +{"d:Title": "Hullabaloo Community Choir", "d:Description": "A funky and fiesty choir. Performances, workshops and rehearsals information. Membership forum. Members sing pieces from doo-wop to hip hop, folk to funk.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/East_Sussex", "url": "http://www.hullabalooquire.org/"} +{"d:Title": "Burnham Music Group", "d:Description": "Choral ensemble that sings music from a variety of periods in its concerts in Burnham-on-Crouch and the surrounding district. Burnham-on-Crouch. Next concert, tickets, location, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.users.globalnet.co.uk/~dhuck/"} +{"d:Title": "Harlow Chorus", "d:Description": "120 voice amateur ensemble. Offers programme, events, and Singing for All workshops.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.harlowchorus.org.uk/"} +{"d:Title": "Howard Wallace Chorale", "d:Description": "70-voice group from Shenfield. Concert schedule and group history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://howardwallacechorale.com/"} +{"d:Title": "St John's Festival Choir", "d:Description": "Ensemble that brings together vocalists for individual performances. Loughton. Workshops, tours, competitions, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.pnms.co.uk/sjfc/index.html"} +{"d:Title": "Waltham Singers", "d:Description": "80 singers from Great Waltham, near Chelmsford.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.walthamsingers.org.uk/"} +{"d:Title": "Witham Choral Society", "d:Description": "Offers an overview, membership, concerts and contact.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.withamchoralsociety.org.uk/"} +{"d:Title": "Colchester Bach Choir", "d:Description": "Includes history, future programme and pictures.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.colchesterbachchoir.co.uk/"} +{"d:Title": "Mosaic", "d:Description": "An amateur adult choir concentrating on music of a \"lighter nature\". Thurrock. Repertoire, news, photos, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.zen24579.zen.co.uk/mosaic/mosaic.htm"} +{"d:Title": "University of Essex Choir", "d:Description": "Large ensemble comprised of staff and students of the University and from the local community.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "http://www.universityofessexchoir.org/"} +{"d:Title": "Springfield Singers", "d:Description": "A four-part choir meeting in All Saints Church Hall, Springfield Green, Chelmsford.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Essex", "url": "https://sites.google.com/site/springfieldsingers/home"} +{"d:Title": "Charlton Kings Choral Society", "d:Description": "Amateur ensemble of approximately 120 singers from Cheltenham. Concerts, members, membership information, links.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Gloucestershire", "url": "http://www.ckcsonline.org.uk/"} +{"d:Title": "Cheltenham Bach Choir", "d:Description": "Ensemble that performs music from the 16th to the 20th centuries. Choir news, concerts, past performances, music translations, recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Gloucestershire", "url": "http://www.aujs65.dsl.pipex.com/cbctest/"} +{"d:Title": "Tyndale Choral Society", "d:Description": "The Society, which currently has about 90 singing members, performs two concerts a year (in Dursley and Wotton-under-Edge) and hosts workshops and classes for beginners.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Gloucestershire", "url": "http://www.tyndale-choral-society.org/"} +{"d:Title": "Basingstoke Choral Society", "d:Description": "A mixed choir performing three concerts each year at The Anvil. Basingstoke. Concert schedule, membership, reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hampshire", "url": "http://www.basingstoke-choral.org.uk/"} +{"d:Title": "Southampton Philharmonic Society", "d:Description": "Ensemble that performs traditional and contemporary pieces. Concerts, membership information, members section.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hampshire", "url": "http://www.southamptonphil.org/"} +{"d:Title": "Botley Choral Society", "d:Description": "The choir give a winter concert and one at Easter in local venues. Concert schedule, musical director, officers, photo gallery, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hampshire", "url": "http://www.botleychoral.co.uk/"} +{"d:Title": "Winchester Music Club", "d:Description": "Membership of about 120 that is joined for some concerts by the Winchester College Glee Club and Quiristers. History, membership, concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hampshire", "url": "http://www.winchestermusicclub.org.uk/"} +{"d:Title": "Renaissance Choir of Emsworth, Hampshire", "d:Description": "Performs both Renaissance and modern music in four-to-eight part harmony. Schedule, group history, membership information, and music samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hampshire", "url": "http://www.renaissancechoir.org.uk/"} +{"d:Title": "Global Harmony", "d:Description": "A group of singers that sing unaccompanied world songs. St. Albans. Sample recordings and group information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hertfordshire", "url": "http://globalharmony.tripod.com/"} +{"d:Title": "The Hertfordshire Chorus", "d:Description": "Choir of 150, with smaller groups to sing for weddings and functions. News, events calendar, membership information, repertoire, tours and commercial recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hertfordshire", "url": "http://www.hertfordshirechorus.org.uk/"} +{"d:Title": "St. Albans Bach Choir", "d:Description": "Founded in 1924. Concerts, music director, sponsorship, membership information, members' section.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hertfordshire", "url": "http://www.stalbansbachchoir.org.uk/"} +{"d:Title": "St. Alban's Choral Society", "d:Description": "Ensemble of about 90 singers that perform major choral works. Herts. Concert schedule, reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hertfordshire", "url": "http://www.choralsociety.com/"} +{"d:Title": "Welwyn Garden City Music Society", "d:Description": "The society supports both a 70 voice choir and an 25 player orchestra. Concert schedule, membership, Welwyn Garden Singers, photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hertfordshire", "url": "http://www.wgcms.org.uk/"} +{"d:Title": "Orpheus Choir of North Hertfordshire", "d:Description": "Based in Herts with 20 to 30 singers who rehearse and perform a wide range of choral music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Hertfordshire", "url": "http://orpheusnorthherts.blogspot.com/"} +{"d:Title": "Beckenham Chorale", "d:Description": "90-strong with a wide and varied repertoire. Concert schedule, membership information, and tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Kent", "url": "http://www.beckenhamchorale.org.uk/"} +{"d:Title": "Canterbury Choral Society", "d:Description": "Southeast English ensemble that performs four major concerts at the Canterbury Cathedral annually. Concerts, membership, rehearsals.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Kent", "url": "http://www.canterburychoral.co.uk/"} +{"d:Title": "The Oriana Singers", "d:Description": "Ensemble specialising in Church music. Details of concerts, repertoire and joining.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Kent", "url": "http://www.orianasingers.org.uk/"} +{"d:Title": "University of Leicester Singers and Choral Society", "d:Description": "Non-auditioned group open to all skill levels plus a small chamber choir drawn from this group. Offers evening and lunchtime concerts.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Leicestershire", "url": "http://www2.le.ac.uk/institution/music/bands"} +{"d:Title": "Trianon Music Group", "d:Description": "East Anglia ensemble, performing three major choral works each year with Trianon Symphony Orchestra.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Lincolnshire", "url": "http://www.tmg.org.uk/"} +{"d:Title": "Chiswick Choir", "d:Description": "An amateur mixed choir that performs a repertoire ranging from early Baroque to twentieth century pieces. Concerts, links.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.chiswickchoir.org.uk/"} +{"d:Title": "City of London Choir", "d:Description": "Ensemble with approximately 100 singers and a repertoire ranging from the 16th century to the present. Concerts, sponsorship, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.cityoflondonchoir.org/"} +{"d:Title": "Ealing Choral Society", "d:Description": "Performs major works with professional soloists and orchestral players. About 85 singers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.ealingchoralsociety.org.uk/"} +{"d:Title": "Fulham&Hammersmith Choral Society", "d:Description": "A non-auditioned choir based in West London. All skills levels equally welcomed. Concert schedule, membership information, history and group information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.fhcs.org.uk/"} +{"d:Title": "Harrow Choral Society", "d:Description": "Performs a wide repertoire ranging from established classics to new commissions. Offers concert schedule, history, news, and workshops.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.harrowchoral.org.uk/"} +{"d:Title": "Highgate Choral Society", "d:Description": "Amateur choir established in 1878, performing a repertoire ranging from classics to modern. Purchase tickets, contact the group, become a member, or review concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://hcschoir.com/"} +{"d:Title": "Imperial College Choir", "d:Description": "Non-auditioned 150-voice group. Offers news and events as well as member information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "https://union.ic.ac.uk/arts/choir/"} +{"d:Title": "The London Chorus", "d:Description": "120-voice amateur group performing a challenging and varied repertoire. Brief history, concert schedule, membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.londonchorus.org.uk/"} +{"d:Title": "London Concert Choir", "d:Description": "Performs in major venues such as the South Bank, and St John's Smith Square.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.london-concert-choir.org.uk/"} +{"d:Title": "London Philharmonic Choir", "d:Description": "Information about current season, concerts, reviews, and membership details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.lpc.org.uk/"} +{"d:Title": "London Symphony Chorus", "d:Description": "Purchase tickets, view concert schedule, get membership information, or read a brief history of the organization.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.lsc.org.uk/"} +{"d:Title": "The Pink Singers", "d:Description": "Main gay and lesbian choir performing publicly in the city. Schedule, contact information, merchandise for sale.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.pinksingers.co.uk/"} +{"d:Title": "Raised Voices", "d:Description": "A political street choir that sings at protests and benefits. No auditions required.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.raised-voices.org.uk/"} +{"d:Title": "Royal Choral Society", "d:Description": "Has 200 members. Concerts, our repertoire, how to join, sponsor, and where to buy tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.royalchoralsociety.co.uk/"} +{"d:Title": "South West London Choral Society", "d:Description": "A friendly non-auditioned performing group based in Balham. Membership details, concert dates and other details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.swlcs.org.uk/"} +{"d:Title": "Wimbledon Choral Society", "d:Description": "Surrey-based choir of about 160 singers that performs in major venues in and around the city. Events, concert schedule, rehearsals, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.wimbledon-choral.org.uk/"} +{"d:Title": "Crouch End Festival Chorus", "d:Description": "Founded in 1984, has a wide repertoire encompassing both the standard classics and less familiar works from the twentieth century. History, concert calendar, news and reviews, recordings, people.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.cefc.org.uk/"} +{"d:Title": "Stanmore Choral Society", "d:Description": "Ensemble from Middlesex. Membership, events diary, repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.stanmore-choral.org.uk/"} +{"d:Title": "The Bach Choir", "d:Description": "270 member professional choir founded in 1875. Concert schedule, audition information, and history of the organization.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.thebachchoir.org.uk/"} +{"d:Title": "Monteverdi Choir", "d:Description": "Information about the group, English Baroque Soloists, and Orchestre revolutionnaire et Romantique, founded by Sir John Eliot Gardiner. Performances, news, recordings, reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.monteverdi.co.uk/"} +{"d:Title": "Philharmonia Chorus", "d:Description": "Performs major choral repertoire with such orchestras as the Philharmonia Orchestra, London Philharmonic and the BBC Symphony Orchestra. Diary, training, history, and reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.philharmoniachorus.co.uk/"} +{"d:Title": "Feltham Choral Society", "d:Description": "30 voice ensemble led by a professional conductor, performing mostly Baroque and Modern music. Concert schedule, membership information, and news.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.felthamchoralsociety.org.uk/"} +{"d:Title": "East London Chorus", "d:Description": "Performs classical choral music with rehearsals in Stratford and performances with professional orchestra and soloists.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.eastlondonchorus.org.uk/"} +{"d:Title": "Blackheath Choir", "d:Description": "Mixed chorus of some 80 singers based in south-east London. Concert programme, history, membership, and works performed.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.blackheathchoir.org.uk/"} +{"d:Title": "Esterhazy Singers", "d:Description": "40-member professional ensemble singing 3 concerts a year in London either a cappella or with professional orchestra and soloists. Southwark.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.esterhazysingers.com/"} +{"d:Title": "Maida Vale Singers, London", "d:Description": "Choir and session performers, performing on television, corporate gigs, recording sessions, backing group at concerts and opera performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.maidavalesingers.co.uk/"} +{"d:Title": "Rotherhithe and Bermondsey Choral Society (RBCS)", "d:Description": "Web presence of mixed-voice, non-audition amateur choir, based in Rotherhithe, south-east London.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://sites.google.com/site/rbcschoir/"} +{"d:Title": "Guildford Choral Society", "d:Description": "Large ensemble with members from all over the South East of all ages and occupations. About the group, concert schedule, music for sale, and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "https://guildfordchoral.org/"} +{"d:Title": "Enfield Choral Society", "d:Description": "Lists future concerts and committee names, describes its new home at Trinity Church, types of music performed and details of rehearsals.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.enfieldchoralsociety.org.uk/"} +{"d:Title": "Whitton Choral Society", "d:Description": "Performs mostly religious works. Membership information and news.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://neilhawes.com/wcs/"} +{"d:Title": "Islington Choral Society", "d:Description": "Non-auditioned performing group of choral singers based in Islington. Concert schedule, membership information, history of the group.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://islingtonchoralsociety.wordpress.com/"} +{"d:Title": "Twickenham Choral Society", "d:Description": "100-voice vocal ensemble based in Middlesex. Concert schedule, membership information, past performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.twickenhamchoral.org.uk/"} +{"d:Title": "Eltham Choral Society", "d:Description": "Amateur group of around 90. Includes history, rehearsals, events and members' noticeboard.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.elthamchoral.org.uk/"} +{"d:Title": "Uxbridge Choral Society", "d:Description": "An amateur group of about 80 members and singing mainly classical repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "https://sites.google.com/site/uxbridgechoralsociety/"} +{"d:Title": "The Sixteen", "d:Description": "Internationally known English choral group with a supporting orchestra, concentrating on the heritage of early English polyphony, the masterpieces of the Renaissance as well as a diversity of twentieth century choral work.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.thesixteen.com/"} +{"d:Title": "London Orpheus Choir", "d:Description": "Information for concert goers and prospective members for this 60 strong friendly choir performing at the South Bank and St John's, Smith Sq. with the London Orpheus Orchestra.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.londonorpheuschoir.co.uk/"} +{"d:Title": "Goldsmiths Choral Union", "d:Description": "Amateur performing group. Performance and events schedules, ticket information, profile, and audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.goldsmithschoral.org.uk/"} +{"d:Title": "Whitehall Choir", "d:Description": "Weekly rehearsals and regular concerts under the direction of Paul Spicer.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://www.whitehallchoir.org/"} +{"d:Title": "Camden Choir", "d:Description": "Performs regularly at St. Mary's, Primrose Hill, and Hampstead Parish Church. Concert schedule, conductor, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/London", "url": "http://camdenchoir.london/"} +{"d:Title": "Manchester Chorale", "d:Description": "An eight part ensemble. Performances, news, history, and booking.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Manchester", "url": "http://www.manchesterchorale.org.uk/"} +{"d:Title": "Oldham Choral Society", "d:Description": "Dedicated to promoting love and knowledge of music locally. Group history, membership information, performance schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Manchester", "url": "http://www.oldhamchoral.org.uk/"} +{"d:Title": "Formby Choral Society", "d:Description": "100 singers performing a wide range of choral music. Concerts, tickets, and chorus resources.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Merseyside", "url": "http://www.formbychoralsociety.org/"} +{"d:Title": "Hoylake Choral Society", "d:Description": "Mixed choir. Information about concerts, membership and their music library.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Merseyside", "url": "http://www.hoylakechoralsociety.org.uk/"} +{"d:Title": "St Helens Choral Society", "d:Description": "Amateur choir. Information about concerts, membership and the history of the choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Merseyside", "url": "http://www.sthelenschoralsociety.org/"} +{"d:Title": "The Liverpool Welsh Choral Union", "d:Description": "Performing classical repertoire in the Philharmonic Hall. Concert details, how to join, recordings, and a brief history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Merseyside", "url": "http://www.lwcu.co.uk/"} +{"d:Title": "Reading Phoenix Choir", "d:Description": "Amateur vocal ensemble which gives about 15 concerts each year at venues around the country. Includes history, forthcoming concerts, repertoire and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Norfolk", "url": "http://www.readingphoenixchoir.com/"} +{"d:Title": "Wellingborough Orpheus Choir", "d:Description": "A mixed choir of some 50 voices. History, conductor, concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Northamptonshire", "url": "http://www.orpheuschoir.info/"} +{"d:Title": "Harrogate Choral Society", "d:Description": "Auditioned ensemble. Includes information about the society, membership, a brief history and a list of forthcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/North_Yorkshire", "url": "http://www.harrogatechoral.org.uk/"} +{"d:Title": "Mansfield Choral Society", "d:Description": "80 singers performing a wide variety of challenging works in a happy and social atmosphere.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Nottinghamshire", "url": "http://www.mansfieldchoral.org.uk/"} +{"d:Title": "Cherwell Singers", "d:Description": "Based in Oxford. History, membership information, events.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.cherwellsingers.org/"} +{"d:Title": "South Chiltern Choral Society", "d:Description": "120 member ensemble from Reading. Musical director, current and past events, history, audio samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.southchilternchoralsociety.org.uk/"} +{"d:Title": "Summertown Choral Society", "d:Description": "80-member amateur ensemble based in Oxford. In English and French. Membership information, concert schedule, history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.summertownchoral.org.uk/"} +{"d:Title": "Oxford Bach Choir", "d:Description": "A 200-voice ensemble drawing members from the city, county and universities. News, concert schedules, photos, and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Oxfordshire", "url": "http://www.oxfordbachchoir.org/"} +{"d:Title": "Bath Choral Society", "d:Description": "Musical group composed of approximately 120 amateur singers, professional soloists, and orchestra. Concert schedule, rehearsal information, and membership requirements.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Somerset", "url": "http://www.bath-choral-society.org.uk/"} +{"d:Title": "Sheffield Bach Society", "d:Description": "Performs a wide range of choral works. Conductor, concerts, tickets, photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/South_Yorkshire", "url": "http://www.sheffieldbachchoir.org.uk/"} +{"d:Title": "Abbeydale Singers", "d:Description": "Sheffield based ensemble formed in 1986. Mainly sings \"a cappella\" music of all centuries at concerts, weddings, festivals, dinners and other events.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/South_Yorkshire", "url": "http://www.abbeydalesingers.org.uk/"} +{"d:Title": "Sheffield Oratorio Chorus", "d:Description": "Formed in 1949 in order to sing the oratorio repertoire and similar choral works in Sheffield Cathedral. Conductor, concert schedule, social activities, members, history, press.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/South_Yorkshire", "url": "http://www.oratorio.org.uk/"} +{"d:Title": "Hadleigh Choral Society", "d:Description": "Offers concert schedule, repertoire, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Suffolk", "url": "http://www.hadleighchoralsociety.org.uk/"} +{"d:Title": "Ipswich Bach Choir", "d:Description": "An 80-strong choral society performing a wide range of classical choral music.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Suffolk", "url": "http://www.torwell.plus.com/ipswichbachchoir/"} +{"d:Title": "Sudbury Choral Society", "d:Description": "60 singer ensemble that usually performs in St. Peter's Church in Sudbbury. Concert schedule, previous concerts, how to join.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Suffolk", "url": "http://www.sudburychoralsociety.co.uk/"} +{"d:Title": "Downland Chorale", "d:Description": "A vocal ensemble of 60 singers which rehearses weekly in Old Coulsdon. Schedule, member list, history, and how to join.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://www.downlandchorale.org.uk/"} +{"d:Title": "East Surrey Choral Society", "d:Description": "Non-auditioned ensemble based in Caterham.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://www.escs.org.uk/"} +{"d:Title": "Godalming Choral Society", "d:Description": "Concert schedule, audition information, member list and events, plus relevant music resources.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://www.godalmingchoral.org.uk/"} +{"d:Title": "Guildford Philharmonic Choir", "d:Description": "Founded originally to sing with the Guildford Philharmonic Orchestra. Includes a newsletter, details of past and upcoming concerts, and member's biographical information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://www.vivacechorus.org/"} +{"d:Title": "Epsom Choral Society", "d:Description": "SATB choir of approximately 100 voices. Includes a brief history, future concerts and social activities, music hire and contact details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://www.epsomchoral.org.uk/"} +{"d:Title": "The Waverley Singers", "d:Description": "The 100 strong choir performs in and around Farnham. Concerts, material for members, and a full archive of all the concerts going back to 1955.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://www.waverleysingers.com/"} +{"d:Title": "English Arts Chorale", "d:Description": "50-voice amateur group founded in 1980 in Reigate. Lists upcoming concerts, CDs, members, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://englisharts.org/"} +{"d:Title": "Kingston Choral Society", "d:Description": "100-voice group. Offers concert and event schedule, history of past performances, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://kingstonchoralsociety.org.uk/"} +{"d:Title": "Reigate&Redhill Choral Society", "d:Description": "A friendly, fun group of 100+ singers with a wide and varied repertoire and plenty of opportunities for choral singers of all standards and abilities.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Surrey", "url": "http://www.reigateredhillchoral.org.uk/"} +{"d:Title": "Ravenswood Singers", "d:Description": "Mixed choir established 1986 at a school in Heaton offers photos, audio, booking and member information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Tyne_and_Wear", "url": "http://ravenswoodsingers.com/"} +{"d:Title": "Birmingham Bach Choir", "d:Description": "Ensemble of 75 singers that perform pieces by Bach and baroque composers. News, concerts, CDs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Midlands", "url": "http://www.birmingham.bachchoir.com/"} +{"d:Title": "Birmingham Choral Union", "d:Description": "One of the longest-established choirs in the area. Concert schedule, reviews, history, and photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Midlands", "url": "http://www.birminghamchoralunion.org.uk/"} +{"d:Title": "City of Birmingham Choir", "d:Description": "Choir that performs regularly at Symphony Hall in Birmingham. Includes performance schedules, reviews and background information on soloists and composers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Midlands", "url": "http://www.citychoir.org.uk/"} +{"d:Title": "Birmingham Festival Choral Society", "d:Description": "One of the longest-running community choirs in the area. Concerts, reviews, membership, and history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Midlands", "url": "http://www.bfcs.org.uk/"} +{"d:Title": "Arun Choral Society", "d:Description": "Includes events, reviews, director biography, list of music for hire, roll of honour and contact details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.arunchoralsociety.co.uk/"} +{"d:Title": "Cantatrice", "d:Description": "Ladies choirs based in Horsham and Steyning. Includes profiles, rehearsal times, locations and costs, directors biographies, list of past concerts, repertoire, FAQ, and contact details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.cantatrice.co.uk/"} +{"d:Title": "Concordia Singers", "d:Description": "A choir of around 40+ sopranos, altos, tenors and basses offering three main concerts a year. Includes profile, and joining and event information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.concordia.org.uk/"} +{"d:Title": "Rowland Singers", "d:Description": "Choir arranging 3 concerts per year and rehearsing in Goring-by-Sea. Includes profile, information on concerts, social events, and sheet music for sale, audio clips, photograph gallery, and contact form.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Sussex", "url": "http://www.rowlandsingers.co.uk/"} +{"d:Title": "Weald Choir of Crawley", "d:Description": "Ensemble performs familiar music of the masters and works from 20th-century composers. Membership information, concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Sussex", "url": "https://wealdchoircrawley.wordpress.com/"} +{"d:Title": "The Heritage Singers, Leeds", "d:Description": "Group keeping alive the Anglican Choral Tradition. Information about them, their recordings and events diary.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Yorkshire", "url": "http://www.heritage.singers.20m.com/"} +{"d:Title": "The Leeds Festival Chorus", "d:Description": "Consists of about 160 amateur singers performing three prestigious concerts each season. It also sings for the BBC and accepts other engagements.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Yorkshire", "url": "http://www.leedsfestivalchorus.co.uk/"} +{"d:Title": "Huddersfield Choral Society", "d:Description": "News of the current season, history, membership details, reviews, and details of recordings. Also includes Youth Choir, and Children's Choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/West_Yorkshire", "url": "http://www.huddersfieldchoral.com/"} +{"d:Title": "Kentwood Choir", "d:Description": "Based in Swindon. Schedule, merchandise, sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Wiltshire", "url": "http://www.kentwoodshowchoir.org/"} +{"d:Title": "Barnt Green Choral Society", "d:Description": "Ensemble with a membership of about 65 singing members. Schedule of concerts, profile of music director, picture gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/England/Worcestershire", "url": "http://www.barntgreenchoralsociety.org.uk/"} +{"d:Title": "Aberdeen Bach Choir", "d:Description": "Amateur choir of around 80 singers who perform bi-annually, usually including works by J.S. Bach.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.aberdeenbachchoir.org.uk/"} +{"d:Title": "Voice House", "d:Description": "Non-auditioned Edinburgh group open to all skill levels. All songs are taught by ear with regular concerts throughout the year.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.voicehouse.org.uk/"} +{"d:Title": "Dunedin Consort", "d:Description": "Professional vocal ensemble located in Edinburgh. View biographies, performance schedule, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.dunedin-consort.org.uk/"} +{"d:Title": "The Jubilo Choral Society", "d:Description": "Located in Edinburgh. Offers concert schedule, membership information, and music samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.jubilo.org.uk/"} +{"d:Title": "Edinburgh Royal Choral Union", "d:Description": "Independent amateur choral society who have worked with the BBC Scottish Symphony Orchestra. Concert diary and music library.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.ercu.org.uk/"} +{"d:Title": "Cadenza Choir", "d:Description": "This mixed-voice choir performs concerts throughout Scotland, with an annual performance at the Edinburgh Festival Fringe. Concerts, news, membership, photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.cadenza.org.uk/"} +{"d:Title": "Strathaven Choral Society", "d:Description": "An amateur group of around 80 members. Strathaven, South Lanarkshire. Concert schedule, music director, previous concerts and reviews, repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.strathavenchoral.com/"} +{"d:Title": "The Glasgow Phoenix Choir", "d:Description": "Information on the group's history, latest news and samples of their work.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.phoenixchoir.org/"} +{"d:Title": "Pentland Singers, Balerno", "d:Description": "Non-auditioned local chorus performing two concerts annually. Concert schedule, membership information, and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.pentlandsingers.org.uk/"} +{"d:Title": "Stirling University Choir", "d:Description": "Non-auditioned student, staff, and community choir. Membership information, photos, message board.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://stirlinguniversitychoir.co.uk/"} +{"d:Title": "Forres Big Choir", "d:Description": "50 voice ensemble singing inspirational songs from around the world. Contact information and rehearsal schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.forresbigchoir.info/"} +{"d:Title": "Paisley Philharmonic Choir", "d:Description": "Offers details of concerts, repertoire, members, and contact details.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.paisleyphilharmonicchoir.co.uk/"} +{"d:Title": "Edinburgh University Music Society", "d:Description": "One of the oldest of the university's societies. Concert schedule and news.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://eums.org.uk/"} +{"d:Title": "St. Andrews Chorus", "d:Description": "Ensemble with approximately 100 members that doesn't require auditions. Fife, Scotland. Newsletter, concert schedule, photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://standrewschorus.weebly.com/"} +{"d:Title": "Aberdeen Gilbert and Sullivan Society", "d:Description": "Offers group history, events, singers, reviews, and members area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "https://gilbertandsullivansociety.wordpress.com/"} +{"d:Title": "Kevock Choir", "d:Description": "Edinburgh based mixed 60-voice choir recognised as one of Scotland's foremost large choirs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Scotland", "url": "http://www.kevockchoir.org.uk/"} +{"d:Title": "Newport Philharmonic Choir", "d:Description": "Information about the ensemble and its past and present performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Wales", "url": "http://www.nptphil.org.uk/"} +{"d:Title": "C\u00f4r Godre'r Garth", "d:Description": "A mixed choir of 60 members based in Pontypridd, some 20 Kilometres north of Cardiff, the capital of Wales. French, Czech., English, and Italian versions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Wales", "url": "http://www.corgodrergarth.com/"} +{"d:Title": "Ariosa Singers", "d:Description": "Youth choir based in Swansea. Provides information on concerts, news, slide shows, music, FAQ and sponsors.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Wales", "url": "http://www.ariosasingers.com/"} +{"d:Title": "BBC National Orchestra and Chorus of Wales", "d:Description": "Organization of approximately 160 voluntary singers. History, concert and broadcast schedule, and profiles of conductors.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Europe/United_Kingdom/Wales", "url": "http://www.bbc.co.uk/bbcnow"} +{"d:Title": "Yoav Choir", "d:Description": "45 voice a cappella group performing folk songs and classical pieces from the Renaissance, Baroque and Romantic periods, along with a substantial selection of Israeli compositions. In Hebrew and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Middle_East/Israel", "url": "http://yoavchoir.com/"} +{"d:Title": "The Amadeus Choir", "d:Description": "115-voice auditioned choir in Toronto. Offers concert schedule, music samples, and database of Amadeus Choir repertoire or competition winners.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.amadeuschoir.com/"} +{"d:Title": "Amabile Choirs of London, Canada", "d:Description": "Information about the world-renowned choirs. Includes biographies, photos, concert dates, recording samples and audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.amabile.com/"} +{"d:Title": "Toronto Mendelssohn Choir", "d:Description": "World-renowned large vocal ensemble. Includes a youth choir. Schedule, box office, news, contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.tmchoir.org/"} +{"d:Title": "Notre Dame des Bananes Choir", "d:Description": "Ensemble in Edmonton that sings songs of peace, freedom and equality. Information on membership and upcoming performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.notredamedesbananes.ca/"} +{"d:Title": "Ottawa Bach Choir", "d:Description": "Performs a repertoire from all historical periods, keeping Bach's choral works as the focus. Lists its history, profiles of the Director and Patron, as well as upcoming performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.ottawabachchoir.ca/"} +{"d:Title": "St.Sava Serbian Orthodox Choir", "d:Description": "Comprised of over fifty voices, the Mississauga-based ensemble is dedicated to preserving and perpetuating both the religious and secular choral music of the Serbian people.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.stsavachoir.com/"} +{"d:Title": "Menno Singers", "d:Description": "The 60 voice SATB choir, produces four concerts per season. Kitchener, Ontario. Concerts, history, director, CDs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.mennosingers.com/"} +{"d:Title": "The Lynne Singers", "d:Description": "Performs in and around Edmonton. Repertoire is predominantly Rock and Roll from the 50's and 60's and Swing from the 40's and 50's.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.lynnesingers.ca/"} +{"d:Title": "Whitehorse Community Choir", "d:Description": "Yukon Territory-based ensemble consisting of about 100 members. Concert schedule, history, conductor, accompanist, Persephone Singers.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.whitehorsechoir.org/"} +{"d:Title": "St. Lawrence Choir", "d:Description": "An 80-member choir that performs regularly with the Montreal Symphony Orchestra. English and French. Concert schedule, history, auditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.choeur.qc.ca/"} +{"d:Title": "Karen Schuessler Singers", "d:Description": "A concert choir from London, Ontario dedicated to high standards and varied repertoire. Concert schedule and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.kssingers.com/"} +{"d:Title": "Yellow Door Choir", "d:Description": "40-voice ensemble dedicated to performance of eclectic music from all over the world. Located in Montreal, Quebec. Offers concert schedule, music samples and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/Canada", "url": "http://www.yellowdoorchoir.com/"} +{"d:Title": "Arizona Repertory Singers", "d:Description": "A 32-voice mixed ensemble bringing choral music to southern Arizona. Offers concert schedule, membership information, and mailing list.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Arizona", "url": "http://www.arsingers.org/"} +{"d:Title": "I Cantori di Carmel", "d:Description": "60-voice ensemble that performs regularly on the Monterey Peninsula. Offers schedule, membership and sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.icantori.org/"} +{"d:Title": "Fun Times Singers", "d:Description": "A Santa Clara valley community chorus that provides music education, performance opportunities, and socialization with others who enjoy singing fun contemporary music. Schedule and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.funtimessingers.org/"} +{"d:Title": "Valley Concert Chorale", "d:Description": "45-member ensemble based in Livermore. Concerts, history, audition information, recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.valleyconcertchorale.org/"} +{"d:Title": "Peninsula Cantare", "d:Description": "Community chorus of 50 singers, based in Redwood City, performing classical choral music from all periods. Offers schedule, member list, repertoire, and reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.peninsulacantare.org/"} +{"d:Title": "Little Dickens Carolers", "d:Description": "Christmas music: performance and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.carolers.com/"} +{"d:Title": "Los Angeles Master Chorale", "d:Description": "This 120-member chorus is one of the resident companies of the Los Angeles Music Center and performs at Walt Disney Concert Hall. Concert schedule, ticket information, how to join, and a brief history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.lamc.org/"} +{"d:Title": "Bella Musica Chorus and Orchestra", "d:Description": "Berkeley-based ensemble. Concert schedule, sponsorship, and membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.bellamusica.org/"} +{"d:Title": "Berkeley Community Chorus and Orchestra", "d:Description": "A non-audition community chorus that provides free concerts of great choral masterworks to the Bay Area community. Concerts, membership, and donations.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.bcco.org/"} +{"d:Title": "California Bach Society", "d:Description": "Ensemble performing Renaissance and Baroque choral music. Calendar, ticket order information, reviews, auditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.calbach.org/"} +{"d:Title": "Camerata Singers of Monterey County", "d:Description": "Mixed choir that performs for and educates its audiences. Concert schedule, educational outreach programs, member roster, biography of music director.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.camerata-singers.org/"} +{"d:Title": "Chorale Bel Canto", "d:Description": "A community masterworks chorale based in Whittier. Concert schedule, tickets, auditions, gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.choralebelcanto.org/"} +{"d:Title": "Coro Hispano de San Francisco", "d:Description": "A Latino performing ensemble, based in San Francisco whose concerts are produced and presented by Instituto Pro Musica de California. English and Spanish. Reviews, tickets, news, membership, gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.corohispano.org/"} +{"d:Title": "Masterworks Chorale of San Mateo", "d:Description": "Northern California ensembles that presents an annual series of concerts featuring full symphonic chorus and orchestra. Concert schedule, musical director, roster, tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.masterworks.org/"} +{"d:Title": "Sacramento Choral Society", "d:Description": "An auditioned, volunteer chorus and professional orchestra based in Gold River and committed performing a wide range of choral orchestral repertoire. Concert schedule, auditions, education, conductor, reviews, e-newsletter.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.sacramentochoral.com/"} +{"d:Title": "Sacramento Master Singers", "d:Description": "A group of forty singers from the greater Sacramento area. History, concert announcements, repertoire and CD listings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.mastersingers.org/"} +{"d:Title": "San Francisco Bach Choir", "d:Description": "Ensemble that specializes in German choral works from the late sixteenth through the 18th centuries. Concerts, musicians, auditions, repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.sfbach.org/"} +{"d:Title": "San Francisco Choral Society", "d:Description": "Ensemble established 1989 that performs works from the Renaissance to Bernstein's Chichester Psalms. Events, auditions, member information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.sfchoral.org/"} +{"d:Title": "San Francisco Lyric Chorus", "d:Description": "Ensemble, founded in 1995, that performs a variety of choral works of all periods. Concert schedule, membership information, members only area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.sflc.org/"} +{"d:Title": "San Jose Symphonic Choir", "d:Description": "A non-profit, all volunteer choral group with 110 voices. Events, director, members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.sanjosesymphonicchoir.org/"} +{"d:Title": "San Luis Obispo Vocal Arts Ensemble", "d:Description": "Mixed choir founded in 1977. Recordings, rehearsal information, contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.vocalarts.org/"} +{"d:Title": "Santa Barbara Choral Society", "d:Description": "Ensemble founded in 1948 that performs four concerts per year. Profile, mission, repertoire and tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.sbchoral.org/"} +{"d:Title": "Santa Clara Chorale", "d:Description": "Ensemble that has performed in numerous locations in the Bay Area and carried its music abroad in several concert tours. Concert schedule, auditions, tickets, directions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.scc.org/"} +{"d:Title": "Santa Clarita Master Chorale", "d:Description": "Ensemble that performs an annual concert series, participates in educational enrichment programs, and other community initiatives. Concert tickets, directions, auditions, director, press, history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.scmasterchorale.org/"} +{"d:Title": "Sonoma Valley Chorale", "d:Description": "110-voice community chorus with a repertoire raning from Brahms to Broadway. Schedule, tickets, CDs, members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.sonomavalleychorale.org/"} +{"d:Title": "St. Dominic's Catholic Church Choirs", "d:Description": "Four choirs (contemporary, traditional, family and Spanish) that are affiliated with the church. San Francisco. Recordings, schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.stdominics.org/music/choirs.asp"} +{"d:Title": "West Coast Singers", "d:Description": "Chorus of the lesbian/gay community of Los Angeles. Concert schedule, photo gallery, members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.westcoastsingers.org/"} +{"d:Title": "Valley Choral Society", "d:Description": "Sacramento community based chorus offers free performances of classical and contemporary choral music accompanied by a live orchestra.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.valleychoral.org/"} +{"d:Title": "Santa Ynez Valley Chorale", "d:Description": "Mixed choir founded in 1978. Vision, music director, accompanist, press releases, schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.syvchorale.org/"} +{"d:Title": "Healdsburg Community Chorus", "d:Description": "A non-profit group of 40 to 50 individuals offering a Christmas and Spring concert each year. History, rehearsal and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.healdsburgchorus.com/"} +{"d:Title": "Los Robles Master Chorale", "d:Description": "Offering regular performances in and around the Conejo Valley. Includes news, schedule of concerts, and photographs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.losroblesmasterchorale.org/"} +{"d:Title": "University of California Alumni Chorus", "d:Description": "A 95 member ensemble comprised of alumni, staff, and graduate students. Calendar, history, membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://ucac.net/"} +{"d:Title": "Oakland Symphony Chorus", "d:Description": "Community resource for choral performance and learning, offering education and performances. Director background, season information and special events.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/California", "url": "http://www.oaklandsymphony.org/about-us/chorus/"} +{"d:Title": "Harmony", "d:Description": "Mixed ensemble in Denver based in the gay and lesbian community who strive to effect change and equality through their performances. Concert schedule, membership information, brief history, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Colorado", "url": "http://harmonychorale.org/"} +{"d:Title": "The Columbine Chorale", "d:Description": "Community-based group performing five to six concerts a year in the Denver metropolitan area. Concert schedule, ticket, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Colorado", "url": "http://www.columbinechorale.org/"} +{"d:Title": "Cherry Creek Chorale", "d:Description": "Ensemble, based in Englewood, that performs pieces primarily by Mozart and Bach. Schedule, artistic staff, membership information, tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Colorado", "url": "http://www.cherrycreekchorale.com/"} +{"d:Title": "Colorado Choir", "d:Description": "A nonprofit organization with a membership of 90-110 singers. Conductor, performances, recordings available for download, news, auditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Colorado", "url": "http://www.coloradochoir.com/"} +{"d:Title": "Colorado Chorale", "d:Description": "Ensemble based in Lakewood. Concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Colorado", "url": "http://www.coloradochorale.org/"} +{"d:Title": "Concora", "d:Description": "Professional choir, based in New Britain that performs classical and contemporary American choral music. Events, director, interactive educational program.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.concora.org/"} +{"d:Title": "Greater New Haven Community Chorus", "d:Description": "A non-auditioned SABT ensemble offering winter and spring concerts of classical and contemporary works. Concert schedule, director, news, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.gnhcc.org/"} +{"d:Title": "The Hartford Chorale", "d:Description": "Performance schedule, audition information, bio of Director/Conductor and history of the group.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.hartfordchorale.org/"} +{"d:Title": "Connecticut Choral Society", "d:Description": "A group of 120 auditioned amateur and professional singers based in Southbury. Tickets, concert schedule, auditions, scholarship.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.ctchoralsociety.org/"} +{"d:Title": "Connecticut Master Chorale", "d:Description": "Ensemble comprised of 55 auditioned singers based in Danbury. Concert schedule, audio and video clips, reviews, clips of past performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.cmchorale.org/"} +{"d:Title": "Waterbury Chorale", "d:Description": "Western Connecticut-based ensemble of amateur and semi-professional singers founded in 1967. Profile, concert schedule, membership, tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.waterburychorale.org/"} +{"d:Title": "Greenwich Choral Society", "d:Description": "Symphonic ensemble performs classic, contemporary and newly commissioned works. Includes season schedule, ticket information, history, personnel, membership, support and choral links.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.greenwichchoralsociety.org/"} +{"d:Title": "Con Brio Choral Society, Inc.", "d:Description": "Organization of statewide members offering news and information on the Director, programs, sponsorship opportunities and auditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://www.conbrio.org/"} +{"d:Title": "Yale Alumni Chorus", "d:Description": "Group formed by alumni of the Yale Glee Club. Offers concert schedule and tour dates.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://alumninet.yale.edu/sigs/yac/home.html"} +{"d:Title": "New England Chamber Choir", "d:Description": "Non-profit volunteer organization drawing members from allover central Connecticut. Offers history, schedule, and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://newenglandchamberchoir.org/"} +{"d:Title": "Yale Glee Club", "d:Description": "60-voice group dedicated to the performance of great choral music in a wide variety of styles. Offers concert schedule and tour dates as well as group history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Connecticut", "url": "http://gleeclub.yalecollege.yale.edu/"} +{"d:Title": "Nova Singers", "d:Description": "Classical community choir made up of 125 men and women. Offers schedule, history, membership information, and music samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Florida", "url": "http://www.nova.edu/novasingers/"} +{"d:Title": "Bach Festival Society of Winter Park, Florida", "d:Description": "Volunteer choir of approximately 150 singers performing works of Bach and other composers with orchestral accompaniment in annual spring festival, founded in 1935. Photos, performance schedule, children's choir, fund-raising, conductor biography.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Florida", "url": "http://www.bachfestivalflorida.org/"} +{"d:Title": "Gainesville Civic Chorus", "d:Description": "60 to 70 mixed voices that bring three major concerts to the public each year. Schedule, member list, subscription information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Florida", "url": "http://www.gcchorus.net/"} +{"d:Title": "Choral Guild of Atlanta", "d:Description": "The city's oldest independent chorus offers concert schedule, ticket information, audition information, and group history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Georgia", "url": "http://www.cgatl.org/"} +{"d:Title": "Atlanta Symphony Orchestra Chorus", "d:Description": "Volunteer group that performs regularly with the orchestra throughout the year. Concert schedule, history, member list, audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Georgia", "url": "http://www.asochorus.org/"} +{"d:Title": "Gwinnett Choral Guild", "d:Description": "A community chorus that performs a variety of choral music from classical to contemporary. About the group, how to join, concert schedule, and staff information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Georgia", "url": "http://www.gwinnettchoralguild.org/"} +{"d:Title": "Covington/Conyers Choral Guild", "d:Description": "Community chorus from Newton and Rockdale Counties. History, performances, membership, staff.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Georgia", "url": "http://www.choralguild.org/"} +{"d:Title": "Michael O'Neal Singers", "d:Description": "Ensemble comprised of a symphonic chorus of 150 auditioned voices and a professional chamber ensemble of twenty-four voices selected from the larger chorus. Based in Roswell. Concerts, recordings, order online.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Georgia", "url": "http://www.mosingers.com/"} +{"d:Title": "The Wendell P. Whalum Community Chorus", "d:Description": "Ensemble from the Atlanta University Center. Concert schedule, booking information, auditions, CDs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Georgia", "url": "http://www.angelfire.com/ga/wpwc/"} +{"d:Title": "Apollo Chorus of Chicago", "d:Description": "150-voice choir best known for its yearly performance of Handel's Messiah. Concert schedule, membership information, group history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Illinois", "url": "http://www.apollochorus.org/"} +{"d:Title": "Naperville Chorus", "d:Description": "Offers both a symphonic choir and a chamber choir. Sound clips, concerts, history, and photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Illinois", "url": "http://www.napervillechorus.org/"} +{"d:Title": "The Chorale", "d:Description": "A mixed 60 voice choir based in Champaign. Schedule, history, music director, membership, rehearsals, scholarship.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Illinois", "url": "http://www.thechorale.org/"} +{"d:Title": "The Lexington Singers", "d:Description": "Presenting classical and popular choral works.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Kentucky", "url": "http://lexsing.org/"} +{"d:Title": "Oratorio Chorale", "d:Description": "An auditioned 50-member chorus that performs a broad repertoire of music. Includes performance schedules, ticket information, and audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maine", "url": "http://www.oratoriochorale.org/"} +{"d:Title": "Portland Community Chorus", "d:Description": "Performs four-part vocal works ranging from light popular to gospel and classical. Rehearsal and concert schedules, music samples, and photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maine", "url": "http://www.portlandcommunitychorus.org/"} +{"d:Title": "Cantate Chamber Singers", "d:Description": "Montgomery County vocal ensemble performing a cappella and accompanied choral works. Offers concert schedule and audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.cantate.org/"} +{"d:Title": "Choral Arts Society of Frederick", "d:Description": "Local society promoting choral group singing. Membership, concerts, repertoire and music samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.casof.org/"} +{"d:Title": "Baltimore Choral Arts Society", "d:Description": "Full chorus, orchestra, and chamber chorus that performs throughout the mid-Atlantic region. Concert listings, community forum, and reviews of past shows.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.baltimorechoralarts.org/"} +{"d:Title": "Hagerstown Choral Arts", "d:Description": "Mixed and women's choirs that perform traditional classical religious music. CDs, concerts, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://hagerstownchoralarts.org/"} +{"d:Title": "Arundel Vocal Arts Society", "d:Description": "A non-profit organization, formed to support the art of choral singing through the study and performance of a wide variety of musical styles. Anne Arundel County, Annapolis.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.arundelvocalarts.org/"} +{"d:Title": "Columbia Pro Cantare", "d:Description": "Columbia-based choir that performs music ranging from opera and oratorio to showtunes and spirituals. Soloists, concert schedule, reviews, auditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.procantare.org/"} +{"d:Title": "Masterworks Chorale of Carroll County", "d:Description": "A nonprofit community ensemble owned and operated by the singers in Westminster.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.masterworksofcc.org/"} +{"d:Title": "Central Maryland Chorale", "d:Description": "Group that performs masterworks from the western fine art tradition, and other regions. Includes performance calendar, and profiles of key members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.centralmarylandchorale.com/"} +{"d:Title": "The Handel Choir of Baltimore", "d:Description": "Community choral society with adult and children's choirs specializing in the music of the Baroque. Includes season schedule, ticket information, profile, audition information and links.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://www.handelchoir.org/"} +{"d:Title": "The Annapolis Chorale", "d:Description": "180-voice choir conducted by J. Ernest Green. Contact information, concert schedule, information about youth chorus.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Maryland", "url": "http://liveartsmaryland.org/?page_id=96"} +{"d:Title": "Zamir Chorale of Boston", "d:Description": "Founded in 1969, specializing in music arising out of the various Jewish traditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.zamir.org/"} +{"d:Title": "Assabet Valley Mastersingers", "d:Description": "Community-based classical music chorus of approximately 60 singers of all ages, located in Northborough. Offers performance schedule and member and sponsor information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.avmsingers.org/"} +{"d:Title": "Dedham Choral Society", "d:Description": "A large vocal ensemble and a smaller 50-voice Pops chorus performing locally. Offers schedule and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.dedhamchoral.org/"} +{"d:Title": "Polymnia Choral Society", "d:Description": "50-member vocal ensemble located in Melrose. Offers schedule, photos and music samples.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.polymnia.org/"} +{"d:Title": "Neponset Choral Society", "d:Description": "Chorus based in Foxborough, with approximately 80 members covering the southeastern Massachusetts and northern Rhode Island area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.ncschorus.org/"} +{"d:Title": "Convivium Musicum", "d:Description": "Boston-based music ensemble specializing in works from the European Renaissance. Concert schedule, musical director, contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.convivium.org/"} +{"d:Title": "Masterworks Chorale", "d:Description": "A Boston-area ensemble that includes singers from throughout New England. Concert schedule, history, auditions, student chorus, reviews, job opportunities.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.masterworkschorale.org/"} +{"d:Title": "Mishawum Choral Society", "d:Description": "A regional choral group serving Eastern Massachusetts and based in Woburn. Concert information, tickets, members, membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.mishawumchoral.org/"} +{"d:Title": "MIT Concert Choir", "d:Description": "A choral group, open by audition to both graduate and undergraduate students, and to members of the MIT community. Auditions, mailing list, members, concert schedule, history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://web.mit.edu/21M401/www/"} +{"d:Title": "Seraphim Singers", "d:Description": "Ensemble based in Boston that performs sacred choral music. Concerts, brochures, members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.seraphimsingers.org/"} +{"d:Title": "Spectrum Singers", "d:Description": "Cambridge-based ensemble that performs choral music from the pre-Renaissance through the 20th century, emphasizing seldom-heard works by master composers. Concerts, tickets, auditions, rehearsals, archives.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.spectrumsingers.org/"} +{"d:Title": "Weston Community Chorus", "d:Description": "A non-auditioned singing group based in Weston. Repertoire, concert schedule, past performances, musical director.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.commchorus.org/"} +{"d:Title": "Jewish Community Chorus of Boston", "d:Description": "Koleinu is an open rehearsal, non-audition group welcoming singers of all experience levels, performing the full range of Jewish music. Performances benefit area charities. Membership and sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Massachusetts", "url": "http://www.koleinu.org/"} +{"d:Title": "Vanguard Voices", "d:Description": "70+ voice ensemble from Dearborn. Performance schedule and contact information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Michigan", "url": "http://www.vanguardvoices.org/"} +{"d:Title": "Detroit Concert Choir", "d:Description": "International award-winning group. Information about the organization, season and singers, plus a list of available CD/Tape recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Michigan", "url": "http://detroitconcertchoir.org/"} +{"d:Title": "Holland Chorale", "d:Description": "Ensemble established in 1946 that strives for community improvement and civic engagement through their performances. Concert schedule, mission statement, and group history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Michigan", "url": "http://www.hollandchorale.org/"} +{"d:Title": "St. Louis Metro Singers", "d:Description": "A non-profit volunteer organization with a membership of over 80 quality voices representing the entire metropolitan area. Concert schedule, brief history, selections from repertoire, and musical glossary.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Missouri", "url": "http://www.metrosingers.org/"} +{"d:Title": "Manchester Choral Society", "d:Description": "An auditioned community chorus from Manchester. Reviews, membership, purchase CDs and concert tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_Hampshire", "url": "http://www.mcsnh.org/"} +{"d:Title": "Granite State Choral Society", "d:Description": "Community ensemble open to all ages and levels of ability. Includes performance schedule, joining information, and chorus history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_Hampshire", "url": "http://www.gschoralsociety.org/"} +{"d:Title": "Princeton Singers", "d:Description": "Small vocal ensemble performing all around the state. Offers schedule, membership information, music samples, and reviews.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_Jersey", "url": "http://www.princetonsingers.org/"} +{"d:Title": "Harmonium, A Classical Choral Society", "d:Description": "65 voice ensemble remains dedicated to the performance of fine choral works from all periods. Located in Morristown. Offers schedule, repertoire, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_Jersey", "url": "http://www.harmonium.org/"} +{"d:Title": "New Jersey Choral Society", "d:Description": "An auditioned choir of about 90 members based in Ridgewood performing a varied repertoire. Concert schedule and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_Jersey", "url": "http://www.njcs.org/"} +{"d:Title": "Monmouth Civic Chorus", "d:Description": "Has a wide variety of the choral repertoire, including classical and modern choral music, opera, operetta, and musical theater. Concert schedule, tickets, recordings, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_Jersey", "url": "http://monmouthcivicchorus.org/"} +{"d:Title": "Raritan Valley Choral Society", "d:Description": "Non-auditioned community ensemble performing a wide range of choral repertoire. Based in Hillsborough. Concert schedule, repertoire, staff, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_Jersey", "url": "http://www.raritanvalleychorus.org/"} +{"d:Title": "Polyhymnia", "d:Description": "Small ensemble committed to historically informed performances of music composed between 1450-1650. Concert information includes ticket prices and venue directions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.polyhymnia-nyc.org/"} +{"d:Title": "The Ulster Choral Society", "d:Description": "60-voice ensemble in the Hudson Valley area performing sacred and secular classics by contemporary and old masters. Events, photos, and audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.ulsterchoral.org/"} +{"d:Title": "Oratorio Society of Queens", "d:Description": "Non-auditioned community ensemble. Includes FAQ, calendar, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.queensoratorio.org/"} +{"d:Title": "New York Choral Society", "d:Description": "150-voice symphonic choir performing at Carnegie Hall. Schedule, history, audition information, ticket prices.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.nychoral.org/"} +{"d:Title": "New York Virtuoso Singers and Canticum Novum Singers", "d:Description": "Features both a professional and an all-volunteer chorus. Tour dates, schedules, recording samples, and audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.nyvirtuoso.org/"} +{"d:Title": "Greenwich Village Singers", "d:Description": "50 voice mixed choir founded in 1976, attracting singers from throughout the metropolitan area. Concerts, events, and membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.gvsingers.org/"} +{"d:Title": "Riverside Choral Society", "d:Description": "Non-profit amateur ensemble based in New York City. Membership and sponsorship information, concert schedule, and staff biographies.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.riversidechoral.org/"} +{"d:Title": "Rochester Oratorio Society", "d:Description": "Dedicated to bringing the best of choral music to local audiences and providing opportunities for singers in the community to take part.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.rossings.org/"} +{"d:Title": "William Appling Singers", "d:Description": "Professional ensemble performing works in all styles and from all periods, particularly from American composers. Contact information and CD purchase.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.muzen.com/waso.html"} +{"d:Title": "The Central City Chorus", "d:Description": "An amateur group of talented, dedicated singers in New York City, performing three concerts each year. Concert schedule, audition information, and tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.centralcitychorus.com/"} +{"d:Title": "Camerata Chorale", "d:Description": "Sixty-five person ensemble from Poughkeepsie that sings sacred and secular classics by old masters and contemporary composers. Schedule, auditions, biographies, photos.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.camerata-chorale.org/"} +{"d:Title": "Canterbury Choral Society", "d:Description": "Mixed choir from Canterbury, New York. Concert schedule, list of past performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.angelfire.com/ny/CanterburyChorale/"} +{"d:Title": "Cayuga Vocal Ensemble", "d:Description": "Sixteen voice ensemble based in Ithaca. Concert schedule, tickets, CDs, bios of the conductor and musicians.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.cayuga-vocal.org/"} +{"d:Title": "Choral Arts Society", "d:Description": "The oldest continuously operating choral group in Westchester County. Located in Briarcliff Manor. Membership, concert and rehearsal schedule, musical director, history.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.choralartssociety.org/"} +{"d:Title": "Syracuse Chorale", "d:Description": "Founded in 1953, this ensemble performs three concerts per year for Central New York audiences. Music, concerts, membership, contributions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.syracusechorale.org/"} +{"d:Title": "Syracuse Vocal Ensemble", "d:Description": "A semi-professional choral ensemble that has served the musical arts in Syracuse and its surrounding regions with choral programming and performance since 1973. Concerts, tickets, artistic director, singers, past repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.syracusevocalensemble.org/"} +{"d:Title": "Cecilia Chorus of New York", "d:Description": "Secular ensemble founded in 1906 and based in New York City. Concert schedule, tickets, history, conductor, photo gallery, press, mailing list.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/New_York", "url": "http://www.ceciliachorusny.org/"} +{"d:Title": "The West Shore Chorale", "d:Description": "Lakewood-based ensemble that maintains a regular concert schedule and performs for churches, organizations, and professional music conferences. Conductor, concert schedule, music samples, membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Ohio", "url": "http://westshorechorale.org/"} +{"d:Title": "Eugene Concert Choir", "d:Description": "Information about concerts and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Oregon", "url": "http://www.eugeneconcertchoir.org/"} +{"d:Title": "Choral Arts Ensemble of Portland", "d:Description": "37 member ensemble based in Portland. Concert schedule, tickets, downloads of past performances.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Oregon", "url": "http://www.caeportland.com/"} +{"d:Title": "Oregon Repertory Singers", "d:Description": "Internationally recognized 65-voice ensemble from Portland. News and events, history, audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Oregon", "url": "http://www.orsingers.org/"} +{"d:Title": "Bach Choir of Bethlehem", "d:Description": "Choir devoted to the music of Bach with special emphasis on the Mass in B Minor, which is performed at the annual Bach Festival each May. Includes concert schedule, list of recordings and books available for purchase, and list of members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Pennsylvania", "url": "http://www.bach.org/"} +{"d:Title": "Shenango Valley Chorale", "d:Description": "70-member ensemble organized in 1972 to give area residents a chance to perform master works. Concert schedule, a brief history, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Pennsylvania", "url": "http://www.shenangovalleychorale.com/"} +{"d:Title": "Choral Arts Society of Philadelphia", "d:Description": "Ensemble that has performed works by 100 composers in 9 different languages. News, concert season, audio gallery.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Pennsylvania", "url": "http://www.choralarts.com/"} +{"d:Title": "Mendelssohn Club of Philadelphia", "d:Description": "Philadelphia's oldest continuously performing community chorus, founded in 1874. Concert season, news, reviews, history, membership.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Pennsylvania", "url": "http://mcchorus.org/"} +{"d:Title": "Singing City", "d:Description": "A Philadelphia choral ensemble that strives to create a sense of community among its singers and audiences. Concert schedule, outreach, auditon, news.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Pennsylvania", "url": "http://www.singingcity.org/"} +{"d:Title": "Glen Rock Carolers Association", "d:Description": "Bringing the musical message of Christmas to the local community since 1848. Performances, photos and songs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Pennsylvania", "url": "http://www.northbelltower.com/c/"} +{"d:Title": "Williamsport Civic Chorus", "d:Description": "A non-auditioned community chorus with more than 70 singers from a wide range of ages and abilities. Concert schedule, history, members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Pennsylvania", "url": "http://williamsportcivicchorus.org/"} +{"d:Title": "Chorus of Westerly", "d:Description": "Group comprised of both children and adults. Concert schedule and information on choral symposia.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Rhode_Island", "url": "http://www.chorusofwesterly.org/"} +{"d:Title": "Rhode Island Civic Chorale and Orchestra", "d:Description": "An 80-voice community ensemble performing classical masterworks. Includes performance schedule, history, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Rhode_Island", "url": "http://www.ricco.org/"} +{"d:Title": "Cumberland Lincoln Community Chorus", "d:Description": "A non-profit, all volunteer adult chorus, based in Lincoln, with youth apprentice program. Events, audio clips, photos, members only, apprentices.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Rhode_Island", "url": "http://www.clccmusic.org/"} +{"d:Title": "Swanhurst Chorus", "d:Description": "50 to 75 member ensemble based in Newport. Director, performances, membership, chamber singers, recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Rhode_Island", "url": "http://www.swanhurst.org/"} +{"d:Title": "Evening Song", "d:Description": "An auditioned group of 36 voices from the Dallas/Fort Worth area. Non-profit organization that performs free of charge for churches and other organizations.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Texas", "url": "http://www.eveningsong.com/"} +{"d:Title": "Houston Choral Showcase", "d:Description": "Adult show choir with a unique style of choral presentation enhanced by showy costumes and choreography. Concert schedule and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Texas", "url": "http://www.houstonchoralshowcase.org/"} +{"d:Title": "Silver Serenaders of Texas", "d:Description": "A non-profit, interdenominational community ensemble for senior adults. Concerts include both sacred and secular music of a wide variety.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Texas", "url": "http://www.silverserenaders.org/"} +{"d:Title": "The Austin Singers", "d:Description": "50-voice chorus founded in 1995 under the direction of Lanier Bayliss with a focus of world music. Offers history, performance schedule and audition information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Texas", "url": "http://austinsingers.wordpress.com/"} +{"d:Title": "Mormon Tabernacle Choir", "d:Description": "360 voice ensemble based in Salt Lake City. Choir facts, concert schedule, tickets, recordings, auditions, FAQs, newsroom.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Utah", "url": "http://www.mormontabernaclechoir.org/"} +{"d:Title": "Fairfax Choral Society", "d:Description": "Five performing ensembles including several youth choirs. Offers concert schedule, membership information, and resources for artists and students.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Virginia", "url": "http://www.fairfaxchoralsociety.org/"} +{"d:Title": "Vienna Choral Society", "d:Description": "A non-profit non-auditioned community group performing several times annually. Concert schedule, membership information, sponsorship.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Virginia", "url": "http://www.viennachoralsociety.org/"} +{"d:Title": "The Virginia Consort", "d:Description": "A vocal ensemble under the direction of Judith Gary, dedicated to the study and performance of choral art music. Charlottesville.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Virginia", "url": "http://www.virginiaconsort.org/"} +{"d:Title": "Reston Chorale", "d:Description": "Community chorus providing a variety of choral music experiences to local audiences. Performance schedule, group history, membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Virginia", "url": "http://www.restonchorale.org/"} +{"d:Title": "The Loudoun Chorale", "d:Description": "Community-based arts organization which was created to respond to the need of local performers to offer quality music presentations on a continuing basis. Concert schedule, membership, and tickets.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Virginia", "url": "http://www.loudounchorale.org/"} +{"d:Title": "The William&Mary Choir", "d:Description": "College choir from Williamsburg. Concert schedule, repertoire, director, audio samples, scrapbook.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Virginia", "url": "http://choir.blogs.wm.edu/"} +{"d:Title": "Northwest Repertory Singers", "d:Description": "Vocal ensemble promoting choral music in Tacoma. Offers a performance schedule, biography of the artistic director, ticket purchasing, mailing list, and membership information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.nwrs.org/"} +{"d:Title": "The Esoterics", "d:Description": "Adventuresome vocal ensemble from Seattle, specializing in contemporary a cappella music. Provides audio samples, concert schedule, member bios, and repertoire listing.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.theesoterics.org/"} +{"d:Title": "Everett Chorale", "d:Description": "Information about upcoming concerts, membership and links to other cultural sites in the Snohomish County area.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.everettchorale.org/"} +{"d:Title": "Seattle Peace Chorus", "d:Description": "45 member group promoting peace and justice through the sharing of music and ideas. Concert schedule, history, membership information, and links to relevant resources.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.seattlepeacechorus.org/"} +{"d:Title": "Masterworks Choral Ensemble", "d:Description": "A community choir based in Olympia that serves the South Puget Sound region of Washington. Concert schedule, tickets, auditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.mce.org/"} +{"d:Title": "Seattle Choral Company", "d:Description": "Ensemble serving the Puget Sound region. Concerts, tickets, auditions, recordings, press room, auction.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.seattlechoralcompany.org/"} +{"d:Title": "Seattle Pro Musica", "d:Description": "Ensemble with a repertoire ranging from Medieval chant to choral masterpieces and the works of living composers. Concerts, event/news, CDs.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.seattlepromusica.org/"} +{"d:Title": "Skagit Valley Chorale", "d:Description": "Non-audition choir based in Mount Vernon. Concert schedule, repertoire.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.skagitvalleychorale.org/"} +{"d:Title": "Cascadian Chorale", "d:Description": "Based in the Puget Sound area. Concert schedule, CDs, audition information, news, and contact.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington", "url": "http://www.cascadianchorale.org/"} +{"d:Title": "Cathedral Choral Society", "d:Description": "Symphonic chorus that plays concerts primarily at the Washington National Cathedral. Concert schedule, tickets, recordings, musicians, email newsletter.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington_DC", "url": "http://www.cathedralchoralsociety.org/"} +{"d:Title": "Choral Arts Society of Washington", "d:Description": "200 member ensemble that performs regularly at the Kennedy Center. Concert schedule, box office, recordings, broadcasts, auditions.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington_DC", "url": "http://www.choralarts.org/"} +{"d:Title": "Washington Bach Consort", "d:Description": "Committed to the study and performance of the complete vocal and instrumental works of Johann Sebastian Bach and his contemporaries. Biographical information on Bach, press, event schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington_DC", "url": "http://www.bachconsort.org/"} +{"d:Title": "The Washington Chorus", "d:Description": "200-voice ensemble that performs an annual concert series at the Kennedy Center. Purchase tickets, concert information, recordings, music director, press.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington_DC", "url": "http://www.thewashingtonchorus.org/"} +{"d:Title": "The Congressional Chorus", "d:Description": "An organization of current and former House and Senate staffers who love to sing and who share their love of song with each other and with the Capitol Hill community.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Washington_DC", "url": "http://www.congressionalchorus.org/"} +{"d:Title": "Marquette University Chorus", "d:Description": "Choir for non-music-major volunteers based in Milwaukee. Concerts, photos, history, sample recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/North_America/United_States/Wisconsin", "url": "http://www.marquette.edu/chorus/"} +{"d:Title": "Adelaide Harmony Choir", "d:Description": "100 voice choir formed in 1947. Repertoire from oratorio to operetta and songs. Tickets, schedule, conductor, and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/Australia", "url": "http://www.adelaideharmonychoir.org.au/"} +{"d:Title": "Adelaide Philharmonia Chorus", "d:Description": "130 voice choir in South Australia. Concert schedule, rehearsal information, member list, contact.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/Australia", "url": "http://philharmonia.net/"} +{"d:Title": "Nurses Choir of Victoria", "d:Description": "Established in February 1997 as a health promotion initiative for working registered nurses. Membership and hiring information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/Australia", "url": "http://www.nurseschoir.8m.com/"} +{"d:Title": "Brisbane Concert Choir", "d:Description": "An auditioned mixed-voice community choir which performs diverse repertoire ranging from a cappella pieces to large works with orchestra. Concerts, membership, music library.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/Australia", "url": "http://www.brisbaneconcertchoir.com/"} +{"d:Title": "A Kapella Munda", "d:Description": "Describes the history of this unaccompanied voice choir, with events calendar, rehearsal details, and repertoire information. A community group in Kalamunda, Western Australia.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/Australia", "url": "http://www.akappellamunda.asn.au/"} +{"d:Title": "Queensland University Musical Society", "d:Description": "A non-auditioning group and a founding member of AICSA, the Australian Intervaristy Choral Societies Associations. Also offers singing lessons and recreational activities for members.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/Australia", "url": "http://www.qums.org.au/"} +{"d:Title": "Glen Eira City Choir", "d:Description": "Concert schedule and ticket information, how to join, conductor, and accompanist.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/Australia", "url": "http://gleneiracitychoir.org.au/"} +{"d:Title": "Orpheus Choir of Wellington", "d:Description": "150-voice ensemble drawing members from the local community. News, concerts, and choir information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Mixed_Choirs/Oceania/New_Zealand", "url": "http://www.orpheuschoir.org.nz/"} +{"d:Title": "Turku Cathedral Boys' choir in Finland", "d:Description": "(Finland) International concert schedule.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.ccikuoro.fi/"} +{"d:Title": "Choral Studio Permonik", "d:Description": "Musical organization from Karvina, Czech Republic. Members are Youth Choir Permonik, Quadricinium Vocale Carviniense and other, mainly vocal bodies. Organizer of Islands of Music youth festival.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.permonik.com/"} +{"d:Title": "The Minnesota Boychoir", "d:Description": "The choir performs locally in a variety of settings, and also tours internationally.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.boychoir.org/"} +{"d:Title": "Queensland Youth Choir Inc.", "d:Description": "A highly-regarded community organisation providing quality training and performance experience for children and young adults from 5 to 25 years. QYC manages three performance choirs and a training ensemble catering for a range of ages and experience.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.qldyouthchoir.com.au/"} +{"d:Title": "Youth Choirs, Inc", "d:Description": "Support for youth choirs and their directors.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.youthcue.com/"} +{"d:Title": "Brooklyn Youth Chorus", "d:Description": "A performance-based vocal music education program that serves girls and boys from all over New York City.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.brooklynyouthchorus.org/"} +{"d:Title": "Grosse Pointe South Choir", "d:Description": "The Grosse Pointe South High School Vocal Music Program combines classical music, jazz, show choir, dance and acting into a diverse and challenging educational experience in Grosse Pointe, Michigan.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://gpsouthchoir.org/"} +{"d:Title": "National Youth Choirs of Scotland", "d:Description": "Consists of main choir (of 100 members aged 16-24 and born, resident or studying in Scotland and two choirs for children aged 7 and 8 and a training choir.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.nycos.co.uk/"} +{"d:Title": "Calgary Girls Choir", "d:Description": "Canadian choir that consists of six choral ensembles, and includes singers from the age of four to twenty three. Choirs, performances, and member information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.calgarygirlschoir.com/"} +{"d:Title": "National Youth Choir of Great Britain", "d:Description": "Mixed choir of 130 young singers aged from 16 to 22.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.nycgb.net/"} +{"d:Title": "The Schoolcraft Schools High School Choir's home page", "d:Description": "Provides information on choir performances and activities.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.angelfire.com/mi2/shschoir/"} +{"d:Title": "The Youth Choir of Central Oregon", "d:Description": "A community based choral program that provides advanced vocal experiences for 110 talented 3rd through 12th grade students with unchanged singing voices, Oregon, USA.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.ycco.org/"} +{"d:Title": "Youth Singers of Calgary", "d:Description": "Singing and dancing show choir for youths aged 3 1/2 to 29 provides musical training and performs world-wide. The latest news and program information.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.youthsingers.org/"} +{"d:Title": "All-Ohio State Fair Youth Choir", "d:Description": "200 high school students spend 3 weeks at the Ohio State Fair singing under the direction of Charles R. Snyder. Specific to the choir of 2001.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.angelfire.com/oh5/aosfyc01/index.html"} +{"d:Title": "Kentridge High School Choir and Orchestra", "d:Description": "Information about and fundraising for the choir from Kent, CA.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.angelfire.com/nb/kentridgehs/"} +{"d:Title": "Kokopelli Youth Choir", "d:Description": "The official homepage of the choir, whose singers range in age from 14 to 23. Edmonton, Alberta, Canada.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.kokopellichoir.com/"} +{"d:Title": "All-Ohio State Fair Youth Choir", "d:Description": "Official home page for the All-Ohio State Fair Youth Choir. Information on the Choir, its members and alumni, and events.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.aosfyc.com/"} +{"d:Title": "Oulainen Youth Choir", "d:Description": "Site in Finnish and in English. Information, news and calendar.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.oulainen.fi/nuorisokuoro/"} +{"d:Title": "The British Methodist Youth Choir (BMYC)", "d:Description": "The site contains information on the history of the choir and a list of future concerts, as well as reviews and photos of previous concerts.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.bmyc.info/"} +{"d:Title": "Young People's Chorus of New York City", "d:Description": "The Young People's Chorus is a performance-based choral program that has become a citywide ensemble for children and young adults. YPC creates a mosaic of NY's multicultural population and helps urban youth fulfill their personal and artistic potential.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.ypc.org/"} +{"d:Title": "Taman Rimba Choir", "d:Description": "Home page for the Taman Rimba choir, a church choir based in Sabah, Malaysia. Contains details such as the choir's history, its members and a calendar of events.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://tamanrimbachoir.tripod.com/thechoirministry/index.html"} +{"d:Title": "Farnham Youth Choir", "d:Description": "Comprised of about 45 young people from the aged 10-16, singing both sacred and secular music. Farnham, Surrey, England.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.fyc.org.uk/"} +{"d:Title": "Conrad Grebel University College Chapel Choir", "d:Description": "Provides music for weekly worship services at the Mennonite college, which is affiliated with the University of Waterloo, Ontario, Canada. About the choir, touring schedule, and recordings.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "https://uwaterloo.ca/music/ensembles/chapel-choir/"} +{"d:Title": "Queensland University Musical Society", "d:Description": "Home page of the Queensland University Musical Society (QUMS), a member society of the Australian Inter-varsity Choral Societies Association and a constituent body of the University of Queensland Union.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.qums.org.au/"} +{"d:Title": "Western Illinois University Choirs", "d:Description": "About WIU Choirs, audition information, on-campus events, outreach. Director of choral activities is Dr. James C. Stegall.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://www.wiu.edu/cofac/choirs/"} +{"d:Title": "Partners in Praise Girls Choir", "d:Description": "A non-profit organization dedicated to exposing choir members and audiences to a variety of musical styles.", "topic": "Top/Arts/Music/Styles/C/Choral/Performing_Groups/Youth_Choirs", "url": "http://partnersinpraisechoir.homestead.com/"} +{"d:Title": "ChoralNet", "d:Description": "Portal for those interested in choral music offers extensive links to repertoire, festivals, educational resources and employment.", "topic": "Top/Arts/Music/Styles/C/Choral/Resources", "url": "http://choralnet.org/"} +{"d:Title": "Lay Clerks' Mailbase", "d:Description": "An e-mail mailing list for the gentlemen of the cathedral and collegiate choirs of the UK.", "topic": "Top/Arts/Music/Styles/C/Choral/Resources", "url": "http://uk.groups.yahoo.com/group/lay-clerks/"} +{"d:Title": "Notebashers", "d:Description": "Retired UK chorister creates and sells musical accompaniment CDs emphasizing a particular choral or lead part for rehearsal. Catalogue includes complete Gilbert and Sullivan as well as works by Verdi, Mozart, and Puccini.", "topic": "Top/Arts/Music/Styles/C/Choral/Resources", "url": "http://www.notebashers.com/"} +{"d:Title": "Note Perfect", "d:Description": "NoDeby note training includes Handel's Messiah.", "topic": "Top/Arts/Music/Styles/C/Choral/Resources", "url": "http://www.note-perfect.com/"} +{"d:Title": "Choir and Choral Music Web Ring", "d:Description": "Music sites ranging from Palestrina to Barbershop.", "topic": "Top/Arts/Music/Styles/C/Choral/Resources", "url": "http://hub.webring.org/hub/choir"} +{"d:Title": "La mia Musica - My Music", "d:Description": "Free choral music sheet collection. Many hymns, some other works. Most are in Italian.", "topic": "Top/Arts/Music/Styles/C/Choral/Sheet_Music", "url": "http://www.marcovoli.it/"} +{"d:Title": "Kantoreiarchiv", "d:Description": "Free sheet music for choir, strings, brass, recorder, organ. Cantatas, motets, and masses. Full scores and parts. Site in German and English.", "topic": "Top/Arts/Music/Styles/C/Choral/Sheet_Music", "url": "http://www.kantoreiarchiv.de/"} +{"d:Title": "ChoirWorks.com", "d:Description": "Free choral music for LDS / Christian church choirs in PDF form. Free practice MP3s available for most titles.", "topic": "Top/Arts/Music/Styles/C/Choral/Sheet_Music", "url": "http://choirworks.com/"} +{"d:Title": "The Choral Public Domain Library", "d:Description": "The primary purpose of the Choral Public Domain Library (CPDL) is to create a repository of editions of music in the public domain.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Choral/Sheet_Music", "url": "http://www.cpdl.org/"} +{"d:Title": "Werner Icking Music Archive", "d:Description": "Lots of choral sheet music here, as well as other scores.", "topic": "Top/Arts/Music/Styles/C/Choral/Sheet_Music", "url": "http://icking-music-archive.org/"} +{"d:Title": "MusicaNet Choral Music", "d:Description": "Database of the choral music repertoire of the world. +83,000 titles of choral music in Musica International. Musica International coordinates the work of choral music federations, music libraries and choral music publishers.", "topic": "Top/Arts/Music/Styles/C/Choral/Sheet_Music", "url": "http://www.musicanet.org/"} +{"d:Title": "Arenai Vocal Ensemble Scores Database", "d:Description": "Free critical editions of many French and Latin choral works of the Renaissance.", "topic": "Top/Arts/Music/Styles/C/Choral/Sheet_Music", "url": "http://arenai.free.fr/Database.htm"} +{"d:Title": "The Oratorio Society of New York", "d:Description": "200 voice choir performing at Carnegie Hall. Find out about concerts and history of the society.", "topic": "Top/Arts/Music/Styles/C/Choral/Societies", "url": "http://www.oratoriosocietyofny.org/"} +{"d:Title": "Choral Society of the Palm Beaches", "d:Description": "Community choral group presenting concerts at the Eissey Theatre at Palm Beach Community College. Concert schedule, ticket sales, membership, and history.", "topic": "Top/Arts/Music/Styles/C/Choral/Societies", "url": "http://choralsociety.tripod.com/"} +{"d:Title": "Virtual Wilbye Consort", "d:Description": "Free RealAudio files of Elizabethan madrigals by John Wilbye performed by a Tokyo-based vocal ensemble.", "topic": "Top/Arts/Music/Styles/C/Choral/Sound_Files", "url": "http://a-babe.plala.jp/~wilbye/index-e.html"} +{"d:Title": "Wendell's Choral Midi", "d:Description": "Midi realizations of choral works by J. S. Bach, or inspired by him. Sacred and secular, leaning towards sacred.", "topic": "Top/Arts/Music/Styles/C/Choral/Sound_Files", "url": "http://home.insightbb.com/~wpyles/"} +{"d:Title": "Academic Choir Sound Files", "d:Description": "Real Audio and MP3 samples from the Copenhagen, Denmark based oratorio choir. Includes Halleluja from Handel's Messiah.", "topic": "Top/Arts/Music/Styles/C/Choral/Sound_Files", "url": "http://akademisk.kor.dk/lyt-e.htm"} +{"d:Title": "Classical Net", "d:Description": "A collection of information and news: articles, CD reviews, composers and their music, the basic repertoire, recommended compositions and recordings.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.classical.net/"} +{"d:Title": "Cadenza", "d:Description": "\"Resources for classical and contemporary musicians\": Basil Ramsey programme note library, multi-lingual classical music glossary, musicians' directory, international events list (sorted by country).", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.cadenza.org/"} +{"d:Title": "Classical Music Cube ... The Music Beat", "d:Description": "Links: basic repertoire, record companies, music history.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.search-beat.com/classic.htm"} +{"d:Title": "Classical Music at About.com", "d:Description": "Articles, links, news, chat room.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://classicalmusic.about.com/"} +{"d:Title": "Chamber Music America", "d:Description": "National association of professional chamber music provides links, calendars, email lists, publications, and grants.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.chamber-music.org/"} +{"d:Title": "Chamber Music 2000", "d:Description": "Project initiated by the Schubert Ensemble of London to commission a large number of works for piano and strings from leading composers, suitable for playing by young and amateur musicians.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.chambermusic2000.com/"} +{"d:Title": "Classical Music Thematic Catalogers Index", "d:Description": "Catalog of thematic catalogers (of composers' complete works), with links where available online.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://members.tripod.com/~musiclassical/bwv.html"} +{"d:Title": "NPR Topics: Classical Music", "d:Description": "News and articles from National Public Radio.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.npr.org/rss/rss.php?id=1041"} +{"d:Title": "Keeping Score", "d:Description": "PBS TV and radio series about classical music composers, scores and techniques. Created by Michael Tilson Thomas with the San Francisco Symphony.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.keepingscore.org/"} +{"d:Title": "Medici TV", "d:Description": "Offers free live broadcasting of concerts, operas and ballets along with video on demand from their catalogue of concerts and classical music documentaries.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://www.medici.tv/"} +{"d:Title": "Classical Music Navigator", "d:Description": "Brief information on composers, notable works, and forms and styles of music.", "topic": "Top/Arts/Music/Styles/C/Classical", "url": "http://people.wku.edu/charles.smith/music/index2.htm"} +{"d:Title": "Brass Band of Battle Creek", "d:Description": "News, photographs, performers and the band's cds.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.bbbc.net/"} +{"d:Title": "Motor City Brass Band", "d:Description": "Concert series information and what's new.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.mcbb.org/"} +{"d:Title": "The Brass Band Portal", "d:Description": "Search engine for brass bands, home page of the brass band mailing list. Brass band vacancies, sheet music, news, MP3.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.bandsman.co.uk/"} +{"d:Title": "Lake Wobegon Brass Band", "d:Description": "Group information, performances, discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.lwbb.org/"} +{"d:Title": "Natural State Brass Band", "d:Description": "Includes news, group photograph and information about members and performances. Based in Little Rock, Arkansas, USA.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.nsbb.org/"} +{"d:Title": "Allegheny Brass Band", "d:Description": "Personnel, schedule, history and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.alleghenybrassband.com/"} +{"d:Title": "University of Warwick Brass Society", "d:Description": "Includes news, events, photo galleries, member profiles and information about awards, contests and sponsorship. Based in the UK.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.sunion.warwick.ac.uk/brass/"} +{"d:Title": "Martlesham Brass", "d:Description": "An organisation of 26 musicians and three Bandleaders. Includes membership details, contact information, pictures and press releases.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.martleshambrass.co.uk/"} +{"d:Title": "Jersey Premier Brass", "d:Description": "The island of Jersey's premier brass band. National Final winners 2005 (3rd Section).", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.jerseypremierbrass.org.je/"} +{"d:Title": "City of Cambridge Brass Band", "d:Description": "Information and history with upcoming concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.cambridgeband.co.uk/"} +{"d:Title": "Yankee Brass", "d:Description": "History and friends of the band, a scrapbook, and a history of brass bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.yankeebrassband.org/"} +{"d:Title": "Glossop Old Band", "d:Description": "Details of the band, what's on at the bandroom each week, player profiles.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.glossopband.org/"} +{"d:Title": "Dobcross Band Social Club", "d:Description": "Includes diary of events, information about club sections and history. Based in Saddleworth, UK.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.dobcross.f2s.com/bandclub/"} +{"d:Title": "The Internet Bandsman", "d:Description": "Brass band links and related sites for bandsmen and those who enjoy brass music.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.ibew.co.uk/"} +{"d:Title": "The National Association Of Brass Band Conductors", "d:Description": "Contact information, diary dates and background to the National Association of Brass Band Conductors", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands", "url": "http://www.nabbc.org.uk/"} +{"d:Title": "Fanfare Union V\u00e9troz", "d:Description": "Photographs, diary of this Swiss band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.fanfareunion.ch/"} +{"d:Title": "Eikanger-Bj\u00f8rsvik Musikklag", "d:Description": "(Norway). Concert schedule, photographs and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.ebml.no/"} +{"d:Title": "Rothwell Temperance Band", "d:Description": "Band based in Leeds, Yorkshire, UK.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.rtb.org.uk/"} +{"d:Title": "Tewit Youth Band", "d:Description": "(North Yorkshire, UK): News, diary, repertoire, contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.harrogate.co.uk/tyb"} +{"d:Title": "Third Carrickfergus Brass Band", "d:Description": "Antrim, Northern Ireland: History, news and events of this Boys' Brigade Band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.thirdcarrickband.co.uk/"} +{"d:Title": "UNISON Kinneil Band", "d:Description": "(West Lothian, UK): News and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.kinneilband.co.uk/"} +{"d:Title": "Unison Kinneil Band", "d:Description": "Brass Band based in Scotland.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.kinneil.freeservers.com/"} +{"d:Title": "Whitburn Band", "d:Description": "Whitburn, Scotland concerts, players, news, and awards.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.whitburnband.co.uk/"} +{"d:Title": "Wirral Brass Ensemble Web Site", "d:Description": "In North West of England. Formed and run by young people. Includes information about the band, photos, contacts, some audio clips, and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://wbe.iwarp.com/"} +{"d:Title": "Yiewsley and West Drayton Band", "d:Description": "West London, UK: Includes calendar of concerts and band history.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.ywdband.com/"} +{"d:Title": "Yorkshire&Humberside Brass Band Association", "d:Description": "Diary, services offered by the Association, contact details, contest results", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.yhbba.org.uk/"} +{"d:Title": "Yorkshire Imperial Urquhart Travel Band", "d:Description": "[West Yorkshire, UK]: A history of the band, with information about performances, recordings, and membership.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.yorkshireimps.co.uk/"} +{"d:Title": "Avonbank (Evesham) Brass Band", "d:Description": "[Worcestershire, UK] Diary and information about the band including membership instructions.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.avonbankbrass.co.uk/"} +{"d:Title": "Battle Town Band", "d:Description": "[East Sussex, UK] News, diary, band history, youth band, humour and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.battletownband.com/"} +{"d:Title": "Bedford Brass", "d:Description": "[Bedfordshire, UK] Contact information and list of vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.bedfordbrass.com/"} +{"d:Title": "Betteshanger Brass Band", "d:Description": "(Kent, UK) - Based in the south east of England, near the coastal towns of Dover and Deal and the historic city of Canterbury. An informative site with details of the band, forthcoming concerts and a humorous insight into brass band life.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.betteshanger.org/"} +{"d:Title": "The Bostock Band", "d:Description": "[Cheshire, UK]: Recent and future activities, members, committee, and conductor, their aims and objects, as well as contact and location information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.bostockband.co.uk/"} +{"d:Title": "Brackley&District Brass Band", "d:Description": "(Northamptonshire, UK): Contact information and engagements.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.brackleyband.org.uk/"} +{"d:Title": "Brass Band De W\u00e2ldsang", "d:Description": "Dutch Championship Section brass band and the current national champions", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.dewaldsang.nl/"} +{"d:Title": "Brass Band Oberschwaben-Allg\u00e4u (BBOA)", "d:Description": "One of the few German Brass Bands, website including Realaudio-Streaming and MP3.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.bboa.de/"} +{"d:Title": "Brass Band Schoonhoven", "d:Description": "(NL) News, diary, playing and non-playing memberships, CD and history of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.brassbandschoonhoven.nl/"} +{"d:Title": "Brassband Bernlef", "d:Description": "Photographs, diary and membership information of this Dutch band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.brassbandbernlef.nl/"} +{"d:Title": "Brassband Juliana Kollumerzwaag", "d:Description": "[The Netherlands] Diary, pictures and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://members.chello.nl/~a.tigchelaar/"} +{"d:Title": "Burbage Band (Buxton)", "d:Description": "(Buxton, Derbyshire, UK): Membership, history, calendar and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.burbageband.co.uk/"} +{"d:Title": "Carlton Brass", "d:Description": "(UK Nottinghamshire): Band profile, contact information, news, photographs and diary.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://carltonbrass.co.uk/"} +{"d:Title": "Cawston Brass Band", "d:Description": "British band. Personnel, group photograph, history, achievements and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://members.tripod.com/~cawstonband/index.htm"} +{"d:Title": "Cinderford Band", "d:Description": "[Gloucestershire, UK]: Diary, list of players, history and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.cinderfordbrassband.co.uk/"} +{"d:Title": "City Of Chester Brass Band", "d:Description": "(UK - Cheshire): Chester Band mailing list and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.chesterbrass.co.uk/"} +{"d:Title": "The Cobham Band", "d:Description": "(Surrey, UK): Calendar, biographies of members, news and information about the band's sponsors.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.thecobhamband.org/"} +{"d:Title": "Comber Brass", "d:Description": "Co. Down, Northern Ireland: Events and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.comberbrass.co.uk/"} +{"d:Title": "Corsham Band", "d:Description": "(Wiltshire, UK): News, diary page and list of members.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.freewebs.com/corshamband/"} +{"d:Title": "Coventry Festival Brass Band", "d:Description": "[WARKS., UK] Diary, contact information, statement about disabled access, band history and information about work with local schools.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.coventryfestivalband.co.uk/"} +{"d:Title": "Cranbrook Town Band Web Site", "d:Description": "(Kent, UK): Introduction and background, news and concert schedule, photographs, contact information and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.cranbrooktownband.org.uk/"} +{"d:Title": "Dunston Silver Band", "d:Description": "(Gateshead, UK) Community band with senior and junior sections, playing traditional, classical, pop and modern music. Information about the band, rehearsal times and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.dunstonsilverband.co.uk/"} +{"d:Title": "Easington Brass Band", "d:Description": "(UK - County Durham). News, history and profiles.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://members.tripod.com/ecb_easington/"} +{"d:Title": "Elland Silver Band", "d:Description": "(West Yorkshire, UK) History, biographies of players and conductor, diary.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.ellandsilverband.co.uk/"} +{"d:Title": "The Fairey FP (Music) Brass Band", "d:Description": "[Cheshire, UK] History, list of players, diary, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.faireyband.com/"} +{"d:Title": "Fishburn Band", "d:Description": "(Co. Durham, UK): Player Profiles, diary, news, discography, and band links.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://fishburnband.homestead.com/"} +{"d:Title": "Freckleton Band", "d:Description": "Brass Band in Lancashire near to Blackpool and Preston.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.freckletonband.co.uk/"} +{"d:Title": "Great Western Youth Band", "d:Description": "Group based in Swindon, UK. Forum, rehearsal schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.greatwesternyouth.com/"} +{"d:Title": "Grimethorpe Colliery RJB Band", "d:Description": "Yorkshire-based brass band tours worldwide. Band information, history, schedules, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.grimethorpeband.com/"} +{"d:Title": "Gweek Silver Band", "d:Description": "(UK, Cornwall): History, repertoire, profiles, engagement list and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.gweeksilverband.org.uk/"} +{"d:Title": "Hammonds Saltaire Band", "d:Description": "British Open and All England Masters Champions 1999 (originally the Hammond Sauce Works Band). Information about repertoire and musicians, with details of recordings, booking information, and events diary.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.hammondsband.org.uk/"} +{"d:Title": "Harrogate Band", "d:Description": "British brass band, from North Yorkshire. Details of the band and its activities, engagements, and contests.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.harrogateband.org/"} +{"d:Title": "Hepworth Band", "d:Description": "(West Yorkshire, UK): Information about the band, its CD, MD, contact information and diary.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.hepworthband.co.uk/"} +{"d:Title": "Kidlington Concert Brass", "d:Description": "[ Oxfordshire, UK ] Contains events diary, news, photos and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.kidlingtonconcertbrass.org.uk/"} +{"d:Title": "Tapton Youth Brass Band", "d:Description": "[South Yorkshire, UK] History, discography, contact information and diary.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://taptonbrass.8k.com/"} +{"d:Title": "Test Valley Brass", "d:Description": "(Andover, Hampshire, UK): Background, diary, newsletter, photographs and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.testvalleybrass.co.uk/"} +{"d:Title": "Wantage Silver Band", "d:Description": "(Oxfordshire, UK): Covers A and B bands, as well as the training and youth bands. Lists news, events and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.wantageband.org/"} +{"d:Title": "Waterbeach Brass", "d:Description": "(Cambridge, UK): History, members photographs, junior band, diary, contact information, news.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.waterbeachbrass.org/"} +{"d:Title": "Phoenix Brass", "d:Description": "[ Somerset, UK ]: Events diary, contact details and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://phoenixbrass.com/"} +{"d:Title": "Pilling Jubilee Silver Band", "d:Description": "(Lancashire, England) Concert, marching, contests.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.pillingband.com/"} +{"d:Title": "Rayleigh Brass", "d:Description": "[Essex, UK]: List of upcoming events.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.rayleighbrass.com/"} +{"d:Title": "Watford Band", "d:Description": "Plays in Hertfordshire, Bedfordshire and Buckinghamshire, England. Engagements, photo gallery and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://watfordband.org.uk/"} +{"d:Title": "James Fitzgeral Memorial Brass Band (Mitchelstown Brass Band)", "d:Description": "Brass and Concert Band from County Cork in Ireland. History, events and members pages.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://homepage.eircom.net/~jfmbb/"} +{"d:Title": "Odense Bl\u00e6serne", "d:Description": "Official home page of the Danish brass band Odense Bl\u00e6serne.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.odenseblaeserne.dk/"} +{"d:Title": "Okehampton Excelsior Silver Band", "d:Description": "(Devon, UK): Events, news, contact details and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.okehamptonband.co.uk/"} +{"d:Title": "Parc&Dare Brass Band", "d:Description": "Rhonnda, UK - Information about the band's history, photographs and news. Also Treorchy Youth Band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.brassbands.co.uk/"} +{"d:Title": "British Bandsman", "d:Description": "Weekly international brass magazine, Includes information on subscriptions and advertising.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.britishbandsman.com/"} +{"d:Title": "Bon Accord Silver Band", "d:Description": "Based in Grampian region of UK.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Europe", "url": "http://www.bonaccordband.org.uk/"} +{"d:Title": "Brass Band of Columbus", "d:Description": "Brass Band based in Columbus, Ohio. Concert schedule, recording information, history of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.brassbandofcolumbus.org/"} +{"d:Title": "Chesapeake Silver Cornet Brass Band", "d:Description": "(DE, USA): Group photograph, season schedule and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://chesapeakebrassband.org/"} +{"d:Title": "Imperial Brass", "d:Description": "(NJ, US): Player list, band history, discography and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.imperialbrass.org/"} +{"d:Title": "Little Mountain Brass Band", "d:Description": "A brass band ensemble from Vancouver, British Columbia, Canada. LMBB is a recreational, non-auditioned band that plays music arranged for British-style brass band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://lmbb.vabbs.org/"} +{"d:Title": "New England Brass Band", "d:Description": "Brass band based near Boston, USA. Information about upcoming events and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.newenglandbrassband.org/"} +{"d:Title": "North American Brass Band Association", "d:Description": "Official site. Featured concerts, band links, championship information and test pieces.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.nabba.org/"} +{"d:Title": "Territorial Brass", "d:Description": "Arizona's official historical brass band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.territorialbrass.com/gallery.html"} +{"d:Title": "The Triangle Brass Band", "d:Description": "[NC, USA]: Band personnel listing and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.trianglebrass.org/"} +{"d:Title": "Golden State British Brass Band", "d:Description": "Los Angeles based. Photos, upcoming performances, personnel and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.goldenstatebritishbrassband.org/"} +{"d:Title": "Illinois Brass Band", "d:Description": "Information, photos, available cds, band history and personnel.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.illinoisbrassband.org/"} +{"d:Title": "The Rockville Brass Band", "d:Description": "Based in Maryland. Schedule, personnel and a brief biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.rockvillebrassband.org/"} +{"d:Title": "Whitby Brass Band", "d:Description": "(Ontario, Canada): Photographs, biography and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://whitbybrassband.com/"} +{"d:Title": "Wildcat Regiment Band (Pennsylvania)", "d:Description": "An authentic 19th-century brass band.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.wildcatband.com/"} +{"d:Title": "Cincinnati Brass Band", "d:Description": "Details on the Cincinnati Brass Band, a British-style band, together with an extensive list of brass bands in the United States and Canada.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "http://www.cincinnatibrassband.com/"} +{"d:Title": "River City Brass Band", "d:Description": "America's only professional brass band, presenting concerts in a subscription series in the Pittsburgh area and touring world wide.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/North_America", "url": "https://rivercitybrass.org/"} +{"d:Title": "Boroondara Brass", "d:Description": "(Victoria, Australia): News, History, contact information and CD information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://www.boroondarabrass.org/"} +{"d:Title": "Brisbane Excelsior Brass Band", "d:Description": "(Queensland, AUS): Background information, pictures, contact information, diary, band history, forum, and CD information.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://www.ausbrass.com/"} +{"d:Title": "Canning City Brass Band", "d:Description": "Perth, Western Australia, history and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://www.canningcitybrass.com/"} +{"d:Title": "Kumeu Brass Band", "d:Description": "(West Auckland, NZ): news, events, history and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://www.kumeubrass.org.nz/"} +{"d:Title": "Marion City Brass Band", "d:Description": "(South Australia, AU) Background information, photo album, diary", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://www.marioncityband.org/"} +{"d:Title": "Midland Brick Brass", "d:Description": "(Perth, Western Australia): Sound clips, contacts, news and pictures.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://www.wabrass.com/"} +{"d:Title": "Gosford City Brass Band Inc.", "d:Description": "Gosford City Brass Band is located near Sydney, Australia.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://gosfordcitybrassband.tripod.com/"} +{"d:Title": "Mitcham City Band Inc.", "d:Description": "(South Australia, Adelaide): Diary, history, profiles, contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Oceania", "url": "http://www.mitchamcitybrass.org/"} +{"d:Title": "The Brass Crest", "d:Description": "Information about brass instruments, bands and brass band music from the Salvation Army brass band tradition.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Salvation_Army", "url": "http://www.brasscrest.com/"} +{"d:Title": "The Flint Citadel Band of the Salvation Army", "d:Description": "Flint, Michigan, US: Personnel lists and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Salvation_Army", "url": "http://flintcitadelband.org/"} +{"d:Title": "London Citadel Band, The Salvation Army", "d:Description": "[ON, Canada] Band History, Members, Alumni and Engagment Calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Salvation_Army", "url": "http://www.londoncitadelband.on.ca/"} +{"d:Title": "The International Staff Band", "d:Description": "Diary and information about the players", "topic": "Top/Arts/Music/Styles/C/Classical/Brass_Bands/Salvation_Army", "url": "http://www.theisb.com/"} +{"d:Title": "Friends of Chamber Music", "d:Description": "Promoter specializing in chamber music concerts and education events in Portland, Oregon (USA).", "topic": "Top/Arts/Music/Styles/C/Classical/Business", "url": "http://www.focm.org/"} +{"d:Title": "Metropolitan", "d:Description": "Munich-based producers of classical music for film, television and video: includes catalog of video recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Business", "url": "http://www.metropolitanclassic.com/"} +{"d:Title": "Decca Music Groups: Concerts", "d:Description": "Tour dates for musicians represented by Decca Records.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar", "url": "http://www.deccaclassics.com/"} +{"d:Title": "Culture Kiosque", "d:Description": "List of major concerts worldwide.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar", "url": "http://www.culturekiosque.com/cult/pick/rhecal_c.htm"} +{"d:Title": "Chamber Music Festival", "d:Description": "(Nelson, New Zealand; Bi-Annual) held the last week of January and first week of February 1999.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar", "url": "http://www.music.org.nz/"} +{"d:Title": "Old First Concerts, San Francisco, CA", "d:Description": "(downtown San Francisco, CA) Calendar of concert performances: classical piano and chamber music; world, jazz and choral.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar", "url": "http://www.oldfirstconcerts.org/"} +{"d:Title": "Danbury Concert Association", "d:Description": "(Southwest Connecticut)", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar", "url": "http://www.danburyconcert.org/"} +{"d:Title": "Orchestrades Universelles", "d:Description": "A unique festival of music for young musicians from the whole world. Held in Brive, France.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.orchestrades.com/"} +{"d:Title": "Boston Early Music Festival", "d:Description": "Critically-acclaimed biennial festival of early period music.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.bemf.org/"} +{"d:Title": "Indianapolis Early Music Festival", "d:Description": "The oldest festival in the U.S. with early and baroque music.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.emindy.org/"} +{"d:Title": "The Honest Brook Music Festival", "d:Description": "Presenting classical music recital and chamber performances in July and August in a rural barn in Meredith, NY. Also presenting salon-style classical performances in private homes in NYC and Delaware County NY in January, February, and March.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://hbmf.org/"} +{"d:Title": "Bellingham festival", "d:Description": "Summer event in Washington.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.bellinghamfestival.org/"} +{"d:Title": "Green Lake Festival of Music", "d:Description": "A summer series of fine music concerts and workshops, held in a resort area situated in Green Lake, Wisconsin.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://greenlakefestival.org/"} +{"d:Title": "Brookhaven Choral Festival", "d:Description": "Choral festival and work shop held every July in Brookhaven, New York.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.brookhavenchoral.org/"} +{"d:Title": "Ottawa chamber music festival", "d:Description": "Two-week summer program featuring local, national and international artists.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.chamberfest.com/"} +{"d:Title": "Amherst Early Music", "d:Description": "Teaching music festival for historical performance in Massachusetts.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.amherstearlymusic.org/"} +{"d:Title": "Aspen Festival", "d:Description": "Outdoor event which lasts for nine weeks in the summer.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.aspenmusicfestival.com/"} +{"d:Title": "Gilmore International Keyboard Festival", "d:Description": "Biennial festival of world-famous keyboard musicians performing Classical and Jazz music. (Kalamazoo, MI)", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.gilmore.org/"} +{"d:Title": "Midsummer Mozart Festival", "d:Description": "California-based Mozart festival conducted by George Cleve.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.midsummermozart.org/"} +{"d:Title": "Al Bustan Festival", "d:Description": "An annual event held for 5 weeks in Beirut, Lebanon. Features opera, chamber music, orchestral concerts, solo recitals, theatre, dance, and marionettes.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.albustanfestival.com/"} +{"d:Title": "Garth Newel Music Center", "d:Description": "An annual festival which takes place at an estate in the mountains of Virginia which is dedicated to the appreciation of classical music.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://garthnewel.org/"} +{"d:Title": "Grand Teton Music Festival", "d:Description": "Over 40 summer concerts situated at the gateway to Yellowstone park, with lectures and children events. Site also includes a wine auction.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.gtmf.org/"} +{"d:Title": "Portland Chamber Music Festival", "d:Description": "Held annually during August in Portland, Maine.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.pcmf.org/"} +{"d:Title": "Charlottesville Chamber Music Festival", "d:Description": "Two weeks of chamber music concerts during September at the Jefferson Theater in Charlottesville, Virginia. Contains ticket information and performer profiles.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.cvillechambermusic.org/"} +{"d:Title": "Brisbane Festival", "d:Description": "Official site of the festival in Queensland, Australia.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.brisbanefestival.com.au/"} +{"d:Title": "Midsummer's Music Festival", "d:Description": "Several-week-long music festival taking place in Door County, Wisconsin.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://midsummersmusic.com/"} +{"d:Title": "Central Vermont Chamber Music Festival", "d:Description": "Presents two weeks of concerts in Randolph, VT annually in August.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://centralvtchambermusicfest.org/"} +{"d:Title": "Cooperstown Chamber Music Festival", "d:Description": "Features internationally acclaimed musicians. Artist biographies, schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.cooperstownmusicfest.org/"} +{"d:Title": "Moab Music Festival", "d:Description": "An annual series of chamber music concerts in Moab, Utah. Schedule, program information, musician profiles, newsletter, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.moabmusicfest.org/"} +{"d:Title": "Carmel Bach Festival", "d:Description": "3 week annual summer event with an emphasis on baroque orchestral and choral music. Carmel, California.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "https://www.bachfestival.org/"} +{"d:Title": "Oregon Bach Festival", "d:Description": "Conductor Helmuth Rilling leads choral and orchestral works, chamber concerts, educational programs, and social events.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.oregonbachfestival.com/"} +{"d:Title": "Lasker Summer Music Festival", "d:Description": "The homepage of a classical music festival in which Christian musicians are challenged to explore the intersection of their faith and their art.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://www.laskersummermusicfestival.org/"} +{"d:Title": "Bard Music Festival", "d:Description": "Organized by the Bard College (Annandale-on-Hudson, NY)", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://fishercenter.bard.edu/bmf/"} +{"d:Title": "Crested Butte Music Festival", "d:Description": "An annual four-week summer event with a year-round performance schedule. Takes place in Crested Butte, Colorado. Site provides a schedule, history, ticket information, and accommodation information.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://crestedbuttemusicfestival.org/"} +{"d:Title": "Miami International Piano Festival", "d:Description": "An annual piano music festival showcasing international talents.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://miamipianofest.com/"} +{"d:Title": "Victoria Summer Music Festival", "d:Description": "Summer season of chamber music concerts in Victoria, Canada.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals", "url": "http://vsmf.org/"} +{"d:Title": "Salzburg Festival", "d:Description": "Austrian festival, started in 1920, which combines music and drama.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals/Europe", "url": "http://www.salzburgerfestspiele.at/"} +{"d:Title": "Geneva International Music Competition", "d:Description": "A prestigious event that each year chooses several categories in which international figures compete.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals/Europe", "url": "http://www.concoursgeneve.ch/"} +{"d:Title": "Festival Internacional de Santander", "d:Description": "Festival combining art, music, and culture. Held in Spain from August 1 - 30, 2002. Event history, program schedule, information on event locations, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals/Europe", "url": "http://www.festivalsantander.com/"} +{"d:Title": "Stockholm Early Music Festival", "d:Description": "Annual international festival of medieval, Renaissance, and Baroque music. Held in Stockholm, Sweden. Program, artist profiles, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Calendar/Festivals/Europe", "url": "http://www.semf.se/"} +{"d:Title": "Rajhans Orchestra", "d:Description": "(Flanders, Belgium) Composer Hans Vermeersch combines European instruments and traditions with the raga, melodic and percussion instruments of India.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://users.telenet.be/rajhans.orchestra/"} +{"d:Title": "Orion Ensemble", "d:Description": "(Chicago, Illinois) Five female musicians playing clarinet, violin, viola, cello, and piano. Listing performance schedule and awards, ensemble in residence at Chicago College of Performing Arts at Roosevelt University.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.orionensemble.org/"} +{"d:Title": "Radius Ensemble", "d:Description": "(Boston, Massachusetts) Nine core musicians and guests performing contemporary music with non-traditional introductions, established 1998. Extensive reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://radiusensemble.org/"} +{"d:Title": "Amici Ensemble", "d:Description": "(Canada) Clarinet, cello and piano. performs classical repertoire and commissioned works by Canadian composers.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://amiciensemble.com/"} +{"d:Title": "Kungsbacka Piano Trio", "d:Description": "(London, UK) Malin Broman (violin), Simon Crawford-Phillips (cello), and Jesper Svedberg (piano) offer biographies as well as prizes, reviews, and an extensive schedule of worldwide tour dates.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://pianotrio.com/"} +{"d:Title": "Debussy Trio", "d:Description": "(Los Angeles, California) Harp, flute and viola ranging from Baroque to jazz fusion.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.debussytrio.com/"} +{"d:Title": "Rembrandt Chamber Players", "d:Description": "(Chicago, Illinois) Seven musicians playing winds, strings and keyboard, repertoire ranging from Baroque to the 21st century.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://rembrandtchamberplayers.org/"} +{"d:Title": "Alaria Chamber Ensemble", "d:Description": "(New York City) Piano, violin, and cello.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.alaria.org/"} +{"d:Title": "Doansburg Chamber Ensemble", "d:Description": "(Mahopac, New York) Bagpipes with flute, harp, and guest artists present annual concert series of Irish, jazz, and chamber orchestral music.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://users.rcn.com/doansburg/"} +{"d:Title": "Blue Amadeus", "d:Description": "London-based clarinet with strings plays swing arrangements of Benny Goodman and Artie Shaw as well as classical Mozart across the UK. Audio samples and price list.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.blueamadeus.com/"} +{"d:Title": "Amati Chamber Music", "d:Description": "(Atlanta, Georgia) Trio or quartet with strings, flute or piano, offering sound files and FAQ.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.amatimusic.com/"} +{"d:Title": "Arioso Chamber Players", "d:Description": "(New England) Various groups for weddings including strings, flute, brass and harp.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.ariosochamberplayers.com/"} +{"d:Title": "Azalea Chamber Music", "d:Description": "(Boston, Massachusetts) String chamber music for weddings and parties.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.azaleachambermusic.com/"} +{"d:Title": "Bostonia Chamber Music Players", "d:Description": "(Massachusetts, US) Music from Bach to Gershwin for parties, ceremonies, weddings and receptions.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://bostoniachambermusic.com/"} +{"d:Title": "The Capriccio Ensemble", "d:Description": "(New York) Providing elegant ensemble music and classical music for all occasions.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.capriccioensemble.com/"} +{"d:Title": "Carolina Chamber Players", "d:Description": "(Charlotte, North Carolina) Music for all occasions including weddings, receptions, parties, recitals, church special music and special events. Includes audio samples, repertoire list, FAQ, and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.ccplayers.com/"} +{"d:Title": "Copley Chamber Players", "d:Description": "(New England) Classical chamber music. Includes reviews and information on ensembles and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.copleyplayers.com/"} +{"d:Title": "Gracenotes Chamber Music", "d:Description": "(Washington, DC) Trios and quartets with flute and/or strings for weddings and corporate events, with photos, audio, and client testimonials.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.gncm.org/"} +{"d:Title": "Intermezzo Chamber Players", "d:Description": "(Boston, Massachusetts) String quartet, duo, or trio for weddings and special events. Photos, FAQ, audio.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.intermezzoplayers.com/"} +{"d:Title": "Music for the Moment", "d:Description": "(New York) Available as a string quartet, double quartet, or string trio for weddings in the metro area. Testimonials and audio.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.string-music.com/"} +{"d:Title": "Windwood Trio", "d:Description": "(London, UK) All-female trio of flute, oboe and clarinet playing for weddings, parties, and restaurants. Repertoire list, testimonials, audio.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.windwoodtrio.co.uk/"} +{"d:Title": "Duo Barrenechea", "d:Description": "(Iowa, US) Brazilian-born husband-and-wife flute and piano duo perform Brazilian music as well as traditional classical repertoire. Audio, video, publications. [English and Portuguese]", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://www.duobarrenechea.mus.br/"} +{"d:Title": "Nash Ensemble of London", "d:Description": "(London) Acclaimed group established in 1964, playing both new works and classical masterpieces in the UK and on tour worldwide. Discography lists dozens of albums.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles", "url": "http://home2.btconnect.com/nashensemble/"} +{"d:Title": "Duo46", "d:Description": "(Cyprus and USA) Violin and Guitar. Actively commissioning, recording, and performing new classical music. MP3s available. Matt Gould, guitar and Beth Ilana Schneider-Gould, violin.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.duo46.com/"} +{"d:Title": "Duo Firenze", "d:Description": "(Radford, Virginia USA)Fortepiano and Guitar ensemble performing original 18th- and 19th- century music on period instruments, in addition to more recent works on modern instruments. Robert Trent-guitar and Pamela Swenson Trent-piano. Dorian recording artists. Flash enabled.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.duofirenze.com/"} +{"d:Title": "Billington-Gonzalez Duo", "d:Description": "(Miami, Florida USA)Flute and Guitar ensemble performing classical music ranging from transcriptions of Elizabethan music for recorder and lute to original compositions of twentieth-century music. Dr. Robert David Billington-flute and Dr. Rene Gonzalez-guitar.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://pw1.netcom.com/~rdbflute/lgem3.html"} +{"d:Title": "Dearing Concert Duo", "d:Description": "(Michigan, USA) Guitar and Flute. A site listing upcoming concerts, music samples, CD purchasing available, biographies, letters of recommendation and photos. Steven Dearing, guitar and Abha Dearing, flute.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.dearingconcertduo.com/"} +{"d:Title": "Hampshire Guitar Orchestra (Hago)", "d:Description": "Guitar orchestra. Includes concert details, guitar FAQs and contact information. [Hampshire, UK]", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.hago.org.uk/"} +{"d:Title": "Duo Ahlert and Schwab", "d:Description": "Mandolins, guitar and lute duo; includes profiles, pictures, schedule, discography, and information about their repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.ahlert-schwab.de/"} +{"d:Title": "Zagreb Guitar Quartet", "d:Description": "Profile, news, audio samples, and reviews of a Croatian ensemble.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.guitar4.com/"} +{"d:Title": "Alla Breve", "d:Description": "(Massachusetts) Husband-and-wife guitar and flute duo.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.allabreveduo.com/"} +{"d:Title": "Duo Lenz Internationally Acclaimed Guitar Duo", "d:Description": "Classical Guitar Duo based in Perth, Western Australia. For performance&tuition.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://www.duolenz.com/"} +{"d:Title": "Cuypers-Lop Duo", "d:Description": "Saxophone and guitar ensemble performing modern works. Carola Cuypers-saxophones and Enrique Lop-guitars. Great saxophone links.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://usuaris.tinet.cat/cuyma/welcome.html"} +{"d:Title": "Petar Jankovic Ensemble", "d:Description": "String quartet and guitar ensemble", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Guitar", "url": "http://petarjankovic.com/ensemble/"} +{"d:Title": "Chamber Music Plus", "d:Description": "Active chamber music organization in Connecticut. Contains events calendar, arts in education program for elementary school children, MP3s.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Organizations", "url": "http://www.chambermusicplus.org/"} +{"d:Title": "South Bay Chamber Music Society", "d:Description": "Annually presents seven pairs of concerts, free to the public. These concerts are given at Harbor College in Wilmington, and the Pacific Unitarian Church in Rancho Palos Verdes, which are communities in Los Angeles, California.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Organizations", "url": "http://www.palosverdes.com/sbcms"} +{"d:Title": "Friends of Chamber Music - Kansas City", "d:Description": "Schedule of International Chamber Music, Master Pianist series and Early Music concerts in Kansas City, information on musicians, educational programs - MusiConnection and What Makes It Great? concerts, tickets and donations.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Organizations", "url": "http://www.chambermusic.org/"} +{"d:Title": "Rhinebeck Chamber Music Society", "d:Description": "Concert Schedule including performances, newsletter, and place for comments.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Organizations", "url": "http://www.rhinebeckmusic.org/"} +{"d:Title": "Chamber Music Tulsa", "d:Description": "(Tulsa, Oklahoma) Arts organization for the presentation of chamber music concerts in the area, founded 1954.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Organizations", "url": "http://www.chambermusictulsa.org/"} +{"d:Title": "Chamber Music Society of Fort Worth", "d:Description": "(Texas, USA) Society comprised of civic leaders providing world-class classical musical entertainment for the local Fort Worth community.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Organizations", "url": "http://www.chambermusicfw.org/"} +{"d:Title": "Emerson String Quartet", "d:Description": "Grammy-winning group lists performances with festivals worldwide.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.emersonquartet.com/"} +{"d:Title": "Shanghai Quartet", "d:Description": "One of the most respected and polished string quartets in the world. Guest Professors at the Shanghai Conservatory in China. 200 years of repertoire with impressive work in 20th-century music. Weigang Li and Yiwen Jiang-violins, Honggang Li-viola, Nicholas Tzavaras-cello. Contains audio excerpts, discography, and biographies.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.shanghaiquartet.com/"} +{"d:Title": "Australian String Quartet", "d:Description": "(Adelaide, Australia) Well-established quartet.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.asq.com.au/"} +{"d:Title": "Status Cymbal String Quartet", "d:Description": "(UK) Concert performances of traditional classical and popular music.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.status-cymbal.co.uk/"} +{"d:Title": "Chiara String Quartet", "d:Description": "(New York City) Musician biographies, music clips, awards, news, and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.chiaraquartet.net/"} +{"d:Title": "Oslo String Quartet", "d:Description": "(Norway) Geir Inge Lotsberg and Per Kristian Skalstad-violins, Are Sandbakken-viola, \u00d8ystein Sonstad-cello. Focus on modern works alongside the classics including Beethoven, Haydn, and Mozart.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.stringquartet.com/"} +{"d:Title": "Quatuor Johannes", "d:Description": "Graduates of the Conservatoire National Sup\u00e9rieur de Musique in Lyon. Ensemble history, MP3 samples, and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.quatuorjohannes.com/"} +{"d:Title": "Takacs Quartet", "d:Description": "Recognized as one of the world's greatest string quartets. Since its formation in 1975, the ensemble has appeared regularly in every major music capital and prestigious festival. Edward Dusinberre and K\u00e1roly Schranz-violins, Roger Tapping-viola, Andr\u00e1s Fej\u00e9r-cello.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.takacsquartet.com/"} +{"d:Title": "Strings Attached", "d:Description": "(UK) Professional all-female string quartet available for all occasions: weddings, corporate events and studio work.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.stringsattached.co.uk/"} +{"d:Title": "Kapralova Quartet", "d:Description": "(Prague, Czech Republic) Named after the Czech woman composer Vitezslava Kapralova. Repertoire ranging from Haydn to contemporary. Many recordings. Rita Cepurcenko and Simona Hurnikova-violin, Svetlana Jahodova-viola, Margit Klepacova-cello].", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.kapralova.org/QUARTET.htm"} +{"d:Title": "Glasgow String Quartet", "d:Description": "(Glasgow, Scotland) Includes musician biographies with reviews and calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.glasgowstringquartet.com/"} +{"d:Title": "Allegri String Quartet", "d:Description": "(UK) Focusing on new repertoire and commissions as well as traditional classical repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.allegriquartet.org.uk/"} +{"d:Title": "Grainger String Quartet", "d:Description": "(Dorset, UK) Gives chamber recitals in south England and France, with repertoire list and group history.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://grainger-quartet.co.uk/"} +{"d:Title": "Astor String Quartet", "d:Description": "(UK) All-female string quartet for weddings and corporate functions.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.astorstringquartet.co.uk/"} +{"d:Title": "Bay Strings", "d:Description": "(Baltimore, Maryland) String quartet available for weddings, Bar Mitzvahs, and special occasion.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.baystrings.com/"} +{"d:Title": "Bow Belles String Quartet", "d:Description": "(UK) Playing popular classics; film themes, show tunes, ragtime, and the Great American Songbook.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.bowbelles-stringquartet.co.uk/"} +{"d:Title": "Celebrated String Quartet", "d:Description": "(Washington, DC) Classical favorites, popular tunes, jazz standards, tangos, waltzes, and salsa, also available as a double string quartet or string orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.string4.com/"} +{"d:Title": "Daytona Beach String Quartet", "d:Description": "Provides music for parties and weddings throughout Central Florida, playing folk and show tunes as well as classical.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.dbquartet.com/"} +{"d:Title": "Forte String Quartet", "d:Description": "(Olathe, Kansas) Playing classical and sacred music for weddings, corporate events, parties, and community functions.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.fortequartet.org/"} +{"d:Title": "The Four Seasons String Quartet", "d:Description": "(Detroit, Michigan) Music for weddings and company functions.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://fourseasonsquartet.tripod.com/"} +{"d:Title": "Gyros String Quartet", "d:Description": "(Dallas/Ft.Worth, Texas) Offers audio samples, repertoire list, press clippings, contact information, and wedding links.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.gyrosquartet.com/"} +{"d:Title": "Mallette String Quartet", "d:Description": "(Los Angeles, California) Live classical music for weddings and all special occasions.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.mallettestringquartet.com/"} +{"d:Title": "Musical Moments String Quartet", "d:Description": "(SC) Two violins, one viola, and a cello. Profile and sound files.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.yourmusicalmoments.com/"} +{"d:Title": "Serenata String Quartet", "d:Description": "Irish string quartet providing a wide repertoire of music from classical to pop.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://homepage.tinet.ie/~carolq/"} +{"d:Title": "Strathcona String Quartet", "d:Description": "(Edmonton, Alberta, Canada) Weddings, receptions, concerts and small or large corporate events. Performing for over 13 years.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.strathconastringquartet.com/"} +{"d:Title": "Four by Four String Quartet", "d:Description": "(Aberdeen, Scotland) Members drawn from the ensemble known as Concordia, with group photo.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.mobilediscos.co.uk/String%20Quartets/four_by_four_string_quartet.htm"} +{"d:Title": "New English Rose String Quartet", "d:Description": "(UK) All-female group plays at the Royal Academy of Arts and De Beers, offering photos, repertoire list and audio.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.string-quartet.info/"} +{"d:Title": "The Fitzwilliam String Quartet", "d:Description": "(UK) Formed in Cambridge in the 1960's, now performing worldwide; includes their profile and history, details of their recordings, their concert programme and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.fitzwilliamquartet.org/"} +{"d:Title": "The Orion String Quartet", "d:Description": "(New York City, NY)Classical string quartet with extensive discography and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.orionquartet.com/"} +{"d:Title": "Tokyo String Quartet", "d:Description": "(New York City, NY)Established in 1970 this renowned string quartet has performed all over the world.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.tokyoquartet.com/"} +{"d:Title": "Sage String Quartet", "d:Description": "(Washington, DC)Specializes in classical and popular music. Active chamber performers in the DC area.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.sagestringquartet.com/"} +{"d:Title": "New England String Quartet", "d:Description": "Gives classical chamber music recitals. Site includes members bios, repertoire, services.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://nestringquartet.com/"} +{"d:Title": "Allegros String Quartet", "d:Description": "Allegros string quartet is based in Helsinki, Finland. The group is specialized in classical and light music to be performed in various occasions.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.allegrosmusic.com/"} +{"d:Title": "Cypress String Quartet", "d:Description": "Official website for the San Francisco-based Cypress String Quartet, formed in 1996. Site includes bio, photos, discography, audio/video samples, contact info etc.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.cypressquartet.com/"} +{"d:Title": "Manor House String Quartet", "d:Description": "Professional string quartet based in Buckinghhamshire, UK", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.manorhousemusic.co.uk/"} +{"d:Title": "Dolmen String Quartet", "d:Description": "Classical string quartet available for weddings and corporate events. Profile, history and repertoire with samples. [Co Clare, Ireland]", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.dolmensq.com/"} +{"d:Title": "Giardini String Quartet", "d:Description": "(UK) Classical string ensemble. London.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://giardinostrings.co.uk/"} +{"d:Title": "Aaron String Quartet", "d:Description": "(UK) All-female group for weddings, functions, and parties.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/String_Quartets", "url": "http://www.aaronstrings.co.uk/"} +{"d:Title": "Mirror Visions", "d:Description": "(Northeastern US) Trio of singers (soprano, baritone, and tenor-pianist) performing mirror visions - settings of the same text to music by different composers.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Vocal", "url": "http://www.mirrorvisions.org/"} +{"d:Title": "Blackledge Woodwind Quintet", "d:Description": "(Connecticut , USA) Featuring chamber music by Connecticut-area musicians. Helene Rosenblatt-Flute/Piccolo/Director, Janet Rosen-Oboe, Teri Herel-Clarinet, Joel Winter-Horn, Richard Kandetzki-Bassoon. Links to Salmon Brook Trio Plus!", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://blackledgemusic.tripod.com/"} +{"d:Title": "Dorian Wind Quintet", "d:Description": "Includes history, touring schedule, discography, and audio samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://dorianwindquintet.org/"} +{"d:Title": "Philharmonisches Bl\u00e4serquintett", "d:Description": "Official site, giving information on members, recordings and current tour dates. Also contains contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://www.windquintet.com/"} +{"d:Title": "The Redwood Consort", "d:Description": "A woodwind ensemble providing music for all occasions in the San Francisco Bay area.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://www.wvancamp.com/~vancamp/RedwoodConsort.html"} +{"d:Title": "Ventos Wind Quintet", "d:Description": "Vancouver, BC Canada based professional woodwind quintet. Includes information about Ventos, recordings, repertoire and concert information.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://www.ventos.org/"} +{"d:Title": "Duvante Quintet", "d:Description": "(Australia) Woodwind quintet performs both classical and contemporary music. Available for both weddings and special events.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://www.angelfire.com/band/windquintet/"} +{"d:Title": "Equinox Chamber Players", "d:Description": "(St. Louis, Missouri) Woodwind quintet.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://www.equinoxchamberplayers.org/"} +{"d:Title": "Imani Winds", "d:Description": "Ensemble of African-Americans from the New York area offer reviews from the New York Times and Washington Post, with performing schedule including touring production of Josephine Baker show.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://www.imaniwinds.com/"} +{"d:Title": "Meadow Winds Woodwind Quintet", "d:Description": "(Illinois, US) Available for weddings, art openings, banquets, and other events.", "topic": "Top/Arts/Music/Styles/C/Classical/Chamber_Ensembles/Woodwind_Quintets", "url": "http://www.meadowwinds.0catch.com/"} +{"d:Title": "The Julian Classical Music Forum", "d:Description": "Message board; chat.", "topic": "Top/Arts/Music/Styles/C/Classical/Chats_and_Forums", "url": "http://forums.delphiforums.com/n/main.asp?webtag=julianclassical&nav=start"} +{"d:Title": "The Concert Band Portal", "d:Description": "Searchable database containing web addresses of concert bands worldwide, news items, vacancies and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles", "url": "http://www.concert-bands.co.uk/index.htm"} +{"d:Title": "West Winds, Band of the Bukit Batok Community Club", "d:Description": "Singapore: Volunteer group playing an advanced repertoire. Concert dates are included with rehearsal information and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Asia", "url": "http://wwinds.wordpress.com/"} +{"d:Title": "Boston University Concert Band", "d:Description": "Boston, MA: Concert Band for students of BU; includes concerts, auditions, college credit.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Collegiate", "url": "http://www.bu.edu/bands/concert/band/"} +{"d:Title": "St Olaf Band", "d:Description": "Northfield, MN, USA: Describes the band, and presents a biography of the conductor, roster, photo archives and performance schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Collegiate", "url": "http://www.stolaf.edu/music/stolaf_band/"} +{"d:Title": "Filarmonica Mousik\u00e9 Wind and Percussion Orchestra", "d:Description": "Gazzaniga, Italy: Contact information and conductor biography. Membership list, repertoire and competition rankings. [English and Italian]", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.filarmonicamousike.it/"} +{"d:Title": "Drietomanka Brass Band", "d:Description": "Drietoma, Slovak Republic: Performance schedule, photo gallery, discography (including sound clips), history and members information.[German, English and Slovak]", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.drietomanka.sk/"} +{"d:Title": "Wirral Community Wind Band", "d:Description": "Moreton, UK: History, members and musical director, engagements, a photo gallery, sound files and links to other bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.jrdhome.plus.com/"} +{"d:Title": "South Norfolk Youth Symphonic Band", "d:Description": "Diss, UK: Provides history, engagements past and present, a monthly newsletter, and sponsors of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.snysb.org.uk/"} +{"d:Title": "AD Concert Band", "d:Description": "Solihull, UK: Includes history, current concerts and social events.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.adband.org.uk/"} +{"d:Title": "Banda La Guineu", "d:Description": "Barcelona, Spain: History, members, concerts and photos. [English and Spanish]", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://perso.wanadoo.es/maurici.albas/"} +{"d:Title": "Birmingham Symphonic Winds", "d:Description": "Midlands, UK: Band, director, player, and soloist information, concert listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.bsw.org.uk/"} +{"d:Title": "Littlehampton Concert Band", "d:Description": "West Sussex, UK: Concert details, history, contacts and sound recordings of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.littlehampton-concertband.co.uk/"} +{"d:Title": "Farnborough Concert Band of The Royal British Legion", "d:Description": "Aldershot, UK: Engagements, news and contact information. Details of the band's annual Proms concert.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.farnboroughconcertband.org/"} +{"d:Title": "Ipswich Hospital Band", "d:Description": "Suffolk, UK: Concerts, contact details and photo gallery. Describes the training band and plans for the development of a community arts centre in Ipswich.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.ipswichhospitalband.org.uk/"} +{"d:Title": "Reynish, Tim", "d:Description": "Includes biography, articles, CD reviews, contact and engagement information. Descriptions and critiques of pieces for wind ensemble, a history of the wind orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.timreynish.com/"} +{"d:Title": "Challney Concert Band", "d:Description": "Luton, UK: Concerts, repertoire, contact details and picture gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.challneyconcertband.co.uk/"} +{"d:Title": "Danish Concert Band", "d:Description": "Bronshoj, Denmark: Concerts, recordings, and photos. Archives of news items, tours undertaken and press reviews.[English, Danish, French and German]", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.concertband.dk/"} +{"d:Title": "Band of the Hampshire Constabulary", "d:Description": "Southampton, UK: Concert dates, CD details and soundclips, contact information and guestbook. A History of the band, press and photo archives.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.hampshirepoliceband.co.uk/"} +{"d:Title": "Abington Wind Band", "d:Description": "Northampton, UK: Rehearsal, concerts and repertoire details. Contact and subscription information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.abingtonwindband.org.uk/"} +{"d:Title": "Adamson Military Band", "d:Description": "Dunkinfield, UK: Events diary and recordings information. History of the band, news and picture gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.adamsonband.co.uk/"} +{"d:Title": "Charnwood Concert Bands", "d:Description": "Loughborough, UK: Rehearsal schedules, events diary and photo gallery. Details of instrument loan scheme, press cuttings and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.charnwoodconcertbands.co.uk/"} +{"d:Title": "White Rose Concert Band", "d:Description": "Yorkshire, UK: Concerts, news, soundclips. Events and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.whiteroseconcertband.co.uk/"} +{"d:Title": "Hallamshire Military Concert Band", "d:Description": "Yorkshire, UK: Concerts, photo galleries and a history of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.beefy.force9.co.uk/band/hallam.htm"} +{"d:Title": "Crawley Millennium Concert Band", "d:Description": "West Sussex, UK: Engagements, members list, musical director biography and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.cmcband.co.uk/"} +{"d:Title": "Gravesend Borough Band", "d:Description": "Kent, UK: History, concerts and photo gallery. Details rehearsal venue and times for main and training bands. Contact details and information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.gravesendband.co.uk/"} +{"d:Title": "Halifax Concert Band", "d:Description": "Yorkshire, UK: Concert listings, sound clips and repertoire information. CD details, history and contact and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.halifaxconcertband.co.uk/"} +{"d:Title": "Southampton Concert Wind Band", "d:Description": "Hampshire, UK: Performance dates, repertoire list and conductor biography. Details of recordings and photo archive.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.southamptonconcertwindband.org/"} +{"d:Title": "South Downs Concert Band", "d:Description": "West Sussex, UK: Concert dates, conductor biography, contact details and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.southdowns-concertband.co.uk/"} +{"d:Title": "Thame Concert Band", "d:Description": "Kent, UK: Events list, photo gallery, contact information and information for those wishing to hire or join the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.thameconcertband.org.uk/"} +{"d:Title": "Nottingham Concert Band", "d:Description": "Notts, UK: Concert details, player vacancies and photo galleries.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.nottinghamconcertband.org/"} +{"d:Title": "City of Swansea Concert Band", "d:Description": "UK: Events calendar, members lists and photos, music library catalogue. Rehearsal details and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://swanseaconcertband.co.uk/"} +{"d:Title": "Bagshot Concert Band", "d:Description": "Surrey, UK: Engagements, history, conductor and player pen pictures, contact details and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.dencooke.plus.com/bagshotband/"} +{"d:Title": "Barnes Concert Band", "d:Description": "London, UK: Events, repertoire and vacancies lists. Photo gallery and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.barnesconcertband.freeservers.com/"} +{"d:Title": "Basingstoke Concert Band", "d:Description": "Hampshire, UK: Engagements, vacancies and members list. Photo galleries and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.bcband.org.uk/"} +{"d:Title": "Rushmoor Concert Band", "d:Description": "Aldershot, UK: Information about the main and training bands, concerts and biography of the musical director.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.rushmoorconcertband.org/"} +{"d:Title": "Kew Wind Orchestra", "d:Description": "London, UK: Concerts, rehearsal and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.kewwindorchestra.org.uk/"} +{"d:Title": "Harmonie Municipale de Dudelange", "d:Description": "Features events news, a discussion forum, photos, sound clips and member information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.hmd.lu/"} +{"d:Title": "Derby Concert Band", "d:Description": "Derby, UK: Concert dates, membership information and history. Conductor biography and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.derbyconcertband.co.uk/"} +{"d:Title": "Capital Concert Band", "d:Description": "Leith, UK: Band information, rehearsal details, forthcoming concerts and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.capitalconcertband.com/"} +{"d:Title": "Bournemouth and District Concert Band", "d:Description": "Dorset, UK: Rehearsal and concert details, information for new members and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.bournemouthanddistrictconcertband.co.uk/"} +{"d:Title": "Bisham Concert Band", "d:Description": "Buckinghamshire, UK; Information about the band and its activities, diary and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.bishamconcertband.org.uk/"} +{"d:Title": "Guardian Concert Band", "d:Description": "Lytham, UK: Membership, concert and rehearsal information and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.guardianconcertband.co.uk/"} +{"d:Title": "Harrow Concert Band", "d:Description": "London, UK: History, forthcoming concerts, conductor biography and details about the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.harrowconcertband.org.uk/"} +{"d:Title": "Sheffield Concert Band", "d:Description": "Yorkshire, UK: History, concerts and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.beefy.force9.co.uk/scb/"} +{"d:Title": "Bicester Concert Band", "d:Description": "Oxfordshire, UK: Site features information about the band, player and repertoire lists, photographs and concert details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.bicesterconcertband.org.uk/"} +{"d:Title": "Chiltern Concert Band", "d:Description": "High Wycombe, UK: Features information about the band, rehearsal details, concert dates, repertoire and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.chilternconcertband.org.uk/"} +{"d:Title": "National Concert Band Festival", "d:Description": "Organises non-competitive wind band festivals. Site contains details of local and national festivals, entry forms, news items and forums.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.ncbf.info/"} +{"d:Title": "Thanet Concert Band", "d:Description": "Kent, UK: Contact, recruitment and concert details. Descriptions of the main and junior bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.thanetconcertband.co.uk/"} +{"d:Title": "North Cheshire Wind Orchestra", "d:Description": "Warrington, UK: Concerts, history and conductor biographies. Details of wind orchestra and conducting courses, commissions, news and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.northcheshire.org.uk/"} +{"d:Title": "Exmouth Town Concert Band", "d:Description": "Devon, UK: Band history, engagements, photo gallery and members. Contact details and biographies of some band officers.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.exmouthtownconcertband.org.uk/"} +{"d:Title": "Adur Concert Band", "d:Description": "West Sussex, UK: Forthcoming events, bookings and membership information. Press archives and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.adurconcertband.org.uk/"} +{"d:Title": "XBY Concert Band", "d:Description": "Bromley, UK: Concert dates, news and information about the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.xbyconcertband.co.uk/"} +{"d:Title": "Harlow Concert Band", "d:Description": "Essex, UK: Concert dates, repertoire, player vacancies and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://myweb.tiscali.co.uk/harlowconcertband/"} +{"d:Title": "Preston Concert Band", "d:Description": "Lancashire, UK: History, contact details and photo gallery. Events calendar, repertoire and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.prestonconcertband.co.uk/"} +{"d:Title": "Nottingham Symphonic Wind Orchestra", "d:Description": "Notts, UK: Concert diary, player vacancies and conductor biography. Details of CD recordings and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.nottinghamsymphonicwinds.org.uk/"} +{"d:Title": "Derwent Valley Wind Band", "d:Description": "Duffield, UK: History, news, forthcoming events and contact.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://myweb.tiscali.co.uk/dvwband/"} +{"d:Title": "Ricmanje Wind Orchestra", "d:Description": "Slovenia: Historical information and events, performances and achievements of the orchestra. Details of music school and youth band, photo gallery. [English, Italian and Slovenian]", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.ricmanje.org/contenutoeng/index.htm"} +{"d:Title": "South Berks Concert Band", "d:Description": "Englefield, UK: Concerts, rehearsal and contact information. Also history, social events and committee members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.southberksmusic.org.uk/"} +{"d:Title": "St. Helens Concert Band", "d:Description": "Merseyside, UK: Events, history and photo galleries. Music library listing and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.sthelensconcertband.co.uk/"} +{"d:Title": "Barnsley Co-operative Concert Band", "d:Description": "South Yorkshire, UK: Rehearsal, concert dates and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.barnsleyconcertband.co.uk/"} +{"d:Title": "Ardee Concert Band", "d:Description": "Co. Louth, Ireland: Includes photos, history, and latest news.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.ardeeconcertband.com/"} +{"d:Title": "South West Surrey Concert Band", "d:Description": "Guildford, UK: Events, repertoire and merchandise information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.swsconcertband.co.uk/"} +{"d:Title": "Redland Wind Band", "d:Description": "Bristol, UK: Engagements, photo gallery and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.redlandwindband.co.uk/"} +{"d:Title": "Winslow Concert Band", "d:Description": "Buckinghamshire, UK: Engagements, band history, members lists and rehearsal details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.winslowconcertband.co.uk/"} +{"d:Title": "Tenbury Town Band", "d:Description": "Worcestershire, UK: History, events listing and rehearsal and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.tenburytownband.co.uk/"} +{"d:Title": "Harmonie - The South Essex Symphonic Wind Band", "d:Description": "Rayleigh, UK: Concert dates, rehearsal information and picture gallery. Details, including soundclips, of the band's CD, contact information and a picture gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.harmonie.org.uk/"} +{"d:Title": "Restormel Concert Band", "d:Description": "Cornwall, UK: Concert dates, repertoire, history and photo album.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.restormelconcertband.co.uk/"} +{"d:Title": "Norwich City Concert Band", "d:Description": "Norfolk, UK: History, engagements, photo gallery and players list. Vacancies and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.nccb.co.uk/"} +{"d:Title": "Yorkshire Wind Orchestra", "d:Description": "Yorkshire, UK: Events, news and contact details, and information about the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.yorkshirewinds.co.uk/"} +{"d:Title": "Central Band of The Royal British Legion", "d:Description": "London, UK: Information about the band, news and a biography of the Director of music. History, engagements and membership information. Details of CD recordings and band contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.centralband.com/"} +{"d:Title": "Christchurch Royal British Legion Band", "d:Description": "Dorset, UK: Events listing, news, photo galleries and information about the band and its activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.royalbritishlegionband.co.uk/"} +{"d:Title": "Sussex Band of the Royal British Legion", "d:Description": "Horsham, UK: Events diary, history and photo galleries. Contact information and details of associated dance band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.horshamband.ic24.net/"} +{"d:Title": "Northamptonshire Orchestral Winds", "d:Description": "Wellingborough, UK: Information about the band and associated starter and intermediate ensembles. Workshop and tuition information, events, photographs, purchasing details of CD recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.now4band.co.uk/"} +{"d:Title": "Hitchin Concert Band", "d:Description": "Hertfordshire, UK: Information about the band, conductor biography and repertoire list. Rehearsal and concert details, photo gallery and player vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.hitchinconcertband.co.uk/"} +{"d:Title": "Harmonie des chemins de fer d'Epernay", "d:Description": "Epernay, France: Concert dates and programmes, CD details and sound clips, members list, photo album and contact details. [English and French]", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://pagesperso-orange.fr/jean-francois.herr/harmonie.html"} +{"d:Title": "South Ulster Concert Band", "d:Description": "Portadown, NI: Describes the band, and includes events lists, members and contact details and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.sucb.org/"} +{"d:Title": "Werneth Concert Band", "d:Description": "Stockport, UK: News, events and diaries of overseas tours.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.wernethconcertband.org.uk/"} +{"d:Title": "Crumpsall Concert Band", "d:Description": "Manchester, UK: Describes the band and includes concert schedule and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.crumpsallconcertband.co.uk/"} +{"d:Title": "Ashby Concert Band", "d:Description": "Ashby de la Zouche, UK: Details of the band and its activities, engagements, events, history and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.ashbyconcertband.com/"} +{"d:Title": "Beckenham Concert Band", "d:Description": "Kent, UK: Schedule, history, and links. Repertoire list and some brief biographies of composers/arrangers for concert band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://beckenhamconcertband.com/"} +{"d:Title": "South Beds Concert Band", "d:Description": "Dunstable, UK: Describes the band and includes rehearsal details, concert dates and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.southbedsconcertband.com/"} +{"d:Title": "Wakefield Orchestral Wind", "d:Description": "West Yorkshire, UK: Features information about the band, repertoire, news, player vacancies and rehearsal information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.wakefieldorchestralwind.org.uk/"} +{"d:Title": "Boherbuoy Brass and Reed Band", "d:Description": "Limeric, Ireland: Information about the band and its history, photo gallery. Details of the junior band and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://homepage.tinet.ie/~boherbuoy/index.html"} +{"d:Title": "Beland Band Club", "d:Description": "Zejtun, Malta: News, band history, online CD store, events and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.belandband.com/"} +{"d:Title": "Bromley Concert Band", "d:Description": "Kent, UK: Describes the band, concert and rehearsal schedule, and membership information. Includes a photo gallery and band history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.bromleyconcertband.com/"} +{"d:Title": "New Harmonie", "d:Description": "Horsham, UK: Wind decet/octet/quintet/trio. Describes the aims of the ensemble, provides repertoire lists, sound clips and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.newharmonie.org.uk/"} +{"d:Title": "Glasgow Wind Band", "d:Description": "Glasgow, UK: Concerts, photo galleries and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.gwb.org.uk/"} +{"d:Title": "Stratford Concert Band", "d:Description": "Warwickshire, UK: Engagements, history, members and vacancy details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.stratfordconcertband.org.uk/"} +{"d:Title": "Malmesbury Concert Band", "d:Description": "Wiltshire, UK: Information about the band, engagements and photo galleries. Band roster, information for prospective members and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.freewebs.com/malmesburyconcertband/"} +{"d:Title": "Symphonic Wind Orchestra of North London", "d:Description": "Muswell Hill, UK: Repertoire details, photo gallery, sound clips, rehearsal dates and vacancies list.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.swon.org.uk/"} +{"d:Title": "Belvedere Concert Band", "d:Description": "Bexley, UK: Description, conductor biography, information about charities supported, information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.belvedereconcertband.co.uk/"} +{"d:Title": "Suffolk Concert Band", "d:Description": "Ipswich, UK: Ensemble history, past and future concerts, and directions.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.suffolkconcertband.org.uk/"} +{"d:Title": "Slinfold Concert Band", "d:Description": "Horsham, UK: Concert engagements, history, and contact information. Also some details of the band's recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.slinfoldconcertband.org/"} +{"d:Title": "Southwark Concert Band", "d:Description": "London, UK: Details of concerts, programme notes archive and newsletter articles.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.southwarkconcertband.org.uk/"} +{"d:Title": "Taunton Concert Band", "d:Description": "Somerset, UK: Engagement and rehearsal details, photo gallery. Details of the training band, CD recording, members and vacancies lists.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.tauntonconcertband.co.uk/"} +{"d:Title": "Lewisham Concert Band", "d:Description": "London, UK: Concert and rehearsal schedules, and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.lewishamconcertband.co.uk/"} +{"d:Title": "Phoenix Concert Band", "d:Description": "Leeds, UK; Conductor biography, rehearsal and concert details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.phoenixband.co.uk/"} +{"d:Title": "Greenwich Concert Band", "d:Description": "London, UK: Introduction to the band, concert listings, photo galleries and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.greenwichconcertband.co.uk/"} +{"d:Title": "East Sussex Concert Band", "d:Description": "Chailey, UK: Concerts, repertoire and membership information. Photo gallery, conductor biography and sound clips. Also includes a brief history of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.eastsussexconcertband.org.uk/"} +{"d:Title": "Trinity Concert Band", "d:Description": "Reading, UK: Features event listings, photo gallery and concert details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.trinityband.co.uk/"} +{"d:Title": "Phoenix Concert Band", "d:Description": "Radlett, UK: Concert listings, history, press cuttings and photo gallery. Also contact details, rehearsal and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.phoenixconcertband.co.uk/"} +{"d:Title": "Second Wind", "d:Description": "Sevenoaks, UK: Presents information about the band, its repertoire, members and concert listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.secondwind.org.uk/"} +{"d:Title": "Bognor Regis Concert Band", "d:Description": "West Sussex, UK: Concert diary and events, history and contact details. Rehearsal dates and information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.brconcertband.org.uk/"} +{"d:Title": "Chalfont Wind Band", "d:Description": "Buckinghamshire, UK: Concerts, history, entry requirements and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.chalfontwindband.co.uk/"} +{"d:Title": "Cleveland Concert Band", "d:Description": "Middlesbrough, UK. Concert details, biographies of members and news pages.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://clevelandconcertband.bravehost.com/"} +{"d:Title": "New Redbridge Wind Orchestra", "d:Description": "Essex, UK: Rehearsal and events listing, repertoire list and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.nrwo.co.uk/"} +{"d:Title": "Essex Yeomanry Band", "d:Description": "Chelmsford, UK: History, photo galleries, details of sound recording and extracts. Repertoire list and concert dates.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.essexyeomanryband.org.uk/"} +{"d:Title": "Band of the Surrey Yeomanry", "d:Description": "Reigate, UK: Concert diary, photo gallery and information about the band. MP3 soundclips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.surreyyeomanry.com/"} +{"d:Title": "Brecon Town Concert Band", "d:Description": "Powys, UK: Concert listings, members list and a biography of the musical director. Photo gallery, music samples and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.brecontownband.com/"} +{"d:Title": "Northbrook Community Concert Band", "d:Description": "Worthing, UK: Describes the band and includes repertoire lists, MP3 sound clips, band members and concert details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.northbrookband.org.uk/main/index.php"} +{"d:Title": "Essex Concert Band", "d:Description": "Rowhedge, UK: Performance, rehearsal and membership information, band history, photo gallery and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.essexconcertband.users.solutios.com/"} +{"d:Title": "Dunstable Town Band", "d:Description": "Beds.,UK: Concert, rehearsal and membership information. Photo gallery and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.dunstabletownband.co.uk/"} +{"d:Title": "Wind Band Directory", "d:Description": "UK: Directory of adult wind bands. Free listing service. Aims to help musicians locate bands and promote contact between bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.windbanddirectory.co.uk/"} +{"d:Title": "Valley Winds Band", "d:Description": "Jerusalem, Israel: Conductor biography, band history, concert schedule and band roster. Photo gallery and concert program.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://webspace.webring.com/people/cv/valleywinds/"} +{"d:Title": "Brussels Concertband", "d:Description": "Haren, Belgium: Features information about the band, sound clips and concert dates.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.brusselsconcertband.com/"} +{"d:Title": "Celebration Reed and Brass Band", "d:Description": "Evesham, UK: Information on band members and vacancies, history, picture gallery, and concert dates.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.celebrationreedandbrassband.org.uk/"} +{"d:Title": "Aylesbury Concert Band", "d:Description": "Bucks, UK: Future engagements, newsletter, a history of the band and rehearsal details. Biography of the musical director.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://aylesburyband.org/"} +{"d:Title": "Bristol Concert Wind Band", "d:Description": "Bristol, UK: Concerts diary, membership information for main and training bands. Rehearsal information, vacancies and repertoire list.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.bristolconcertwindband.co.uk/"} +{"d:Title": "Southend Wind Orchestra", "d:Description": "South Essex, UK: Concert listings, events, repertoire and rehearsal information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.southendwindorchestra.org/"} +{"d:Title": "Poynton Royal British Legion Concert Band", "d:Description": "Poynton, Cheshire: Concert and rehearsal details, conductor biography, band history and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.poyntonrblconcertband.org.uk/"} +{"d:Title": "Eynsford Concert Band", "d:Description": "Kent, UK: Concerts, biographies of band office holders, CD recording details, information for prospective members and photo galleries.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.eynsfordconcertband.co.uk/"} +{"d:Title": "West Bergholt Concert Band", "d:Description": "Essex, UK: Events, sound files and information about both the concert and training bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.wbcb.org.uk/"} +{"d:Title": "Cadbury Wind Band", "d:Description": "North Somerset, UK: Description, concert details and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://cadburywindband.yolasite.com/"} +{"d:Title": "Newark and Sherwood Concert Band", "d:Description": "Nottinghamshire, UK: Concert dates, members list and information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://newarkandsherwoodconcertband.weebly.com/"} +{"d:Title": "Blarney Brass and Reed Band", "d:Description": "Cork, Ireland: Photo gallery, concert, repertoire and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://blarneybrassandreedband.wordpress.com/"} +{"d:Title": "St.Ives Concert Band", "d:Description": "Cornwall, UK: News, concert dates and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.stivesconcertband.com/"} +{"d:Title": "Burnham Concert Band", "d:Description": "Berks, UK: Events, membership and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://burnhamconcertband.btck.co.uk/"} +{"d:Title": "Doncaster Concert Band", "d:Description": "Doncaster, UK: Concert listings, photo galleries, vacancies and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.doncasterconcertband.co.uk/"} +{"d:Title": "Bury St. Edmunds Concert Band", "d:Description": "Suffolk, UK: Features: History, news, photographs, mailing list, engagements, contact details, booking details, repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.burystedmundsconcertband.co.uk/"} +{"d:Title": "Bacchus Wind Orchestra", "d:Description": "Tonbridge, UK: Membership and rehearsal details, events, soundclips and player vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://bacchuswind.org.uk/"} +{"d:Title": "Warwick Orchestral Winds", "d:Description": "Coventry, UK: Concert dates and rehearsal schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.warwickorchestralwinds.co.uk/"} +{"d:Title": "Devizes Town Band", "d:Description": "Wiltshire, UK: Events, rehearsals, contacts and historical information. Gallery, concert programmes and band roster.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.devizestownband.com/"} +{"d:Title": "Blackwell Concert Band", "d:Description": "Worcestershire, UK: Concerts, contact information and rehearsal details. Player profiles and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.blackwellconcertband.co.uk/"} +{"d:Title": "Meridian Winds", "d:Description": "Hampshire, UK: Concert diary, rehearsal schedules and contacts. Details of band recordings and biographies of conductors.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.meridianwinds.org.uk/"} +{"d:Title": "Richmond Symphonic Concert Band", "d:Description": "London, UK: Conductor biography, concert dates, gallery. Also rehearsal details and player vacancy information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.richmondscband.org.uk/"} +{"d:Title": "Buckrose Concert Band", "d:Description": "East Yorkshire, UK: Presents information about the band including rehearsal and concert details, news and video gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://buckroseband.org.uk/"} +{"d:Title": "Northampton Concert Band", "d:Description": "Northampton, UK: Engagements, player vacancies and rehearsal details. Contact information and a biography of the musical director.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://northamptonconcertband.blogspot.co.uk/"} +{"d:Title": "Woodley Concert Band", "d:Description": "Berks, UK: Presents information about the band, photo galleries and concert listings. Also includes news items and video clips .", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.woodleyconcertband.org.uk/"} +{"d:Title": "Great Wyrley Community Band", "d:Description": "South Staffordshire, UK: Band members, repertoire, concert dates, social events and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://gwcb.co.uk/"} +{"d:Title": "Edinburgh Concert Band", "d:Description": "UK: Concert and rehearsal diary, sound clips and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.edinburghconcertband.net/"} +{"d:Title": "Sleaford Concert Band", "d:Description": "Lincolnshire, UK: Introduction, concerts and vacancies. Details of e-mail newsletter.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.sleafordconcertband.info/"} +{"d:Title": "Dublin Concert Band", "d:Description": "Dublin, Ireland: History, members list, events and biographies of musical directors. Details of recordings, photo gallery and forum.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://dublinconcertband.ie/"} +{"d:Title": "Nantwich Concert Band", "d:Description": "Cheshire, UK: Information about the band, engagements, contact details and members list. Details of recordings, including MP3 sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Europe", "url": "http://www.nantwichband.co.uk/"} +{"d:Title": "Chantilly High School Band", "d:Description": "Fairfax, VA Calendar, news, fundraisers, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.chantillyband.org/"} +{"d:Title": "Carusi Middle School Band", "d:Description": "Cherry Hill, NJ", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.angelfire.com/nj2/carusiband/index.html"} +{"d:Title": "McCracken Middle School Bands", "d:Description": "McCracken Middle School Bands, Skokie, Illinois. News, recordings, and resources for band directors, students, and parents.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.mccrackenband.com/"} +{"d:Title": "Ouachita Parish High School Band", "d:Description": "Listen to the band's CD, live performances, or keep up with the band's activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://angelfire.com/myband/ophsband"} +{"d:Title": "Wooster High School Music Department", "d:Description": "News and up-to-date information about the Wooster High School Music Department in Wooster, Ohio, USA.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.whsmusic.nvi.net/"} +{"d:Title": "Ridgewood High School Bands", "d:Description": "Ridgewood, NJ", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.rhsbands.org/"} +{"d:Title": "Southern West Virginia Honor Band", "d:Description": "Describes the schedule, audition process and results and repertoire of the two bands involved in a weekend rehearsal and performance event in South West Virginia, USA.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.swvhonorband.org/"} +{"d:Title": "Highbury Area Band", "d:Description": "Portsmouth, UK: Diary, merchandise, events, links and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.highburyareaband.co.uk/"} +{"d:Title": "Indianapolis Youth Wind Ensemble", "d:Description": "Indianapolis, USA: Details of auditions, activities and the benefits of membership.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/High_and_Middle_School", "url": "http://www.icomusic.org/youthensemble.htm"} +{"d:Title": "Community Music Mailing List", "d:Description": "E-mail list, which supports community band and orchestral musicians and directors. Links to several hundred such ensembles, with contact information for around 700 groups. Links, instructions on subscribing, and archival access.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Mailing_Lists", "url": "http://www.boerger.org/c-m/"} +{"d:Title": "United States Navy Band", "d:Description": "Washington, D.C., USA; Presents extensive information about the band, including related ensembles, events listings and educational activities. Also conact details, information and media, auditions and booking details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Military", "url": "http://www.navyband.navy.mil/"} +{"d:Title": "United States Naval Academy Band", "d:Description": "Annapolis, MD: History, news, schedule, sound files, mailing list, newsletter, FAQ, links, and job postings. Performing units include the Marching Band, Concert Band, ceremonial units, Electric Brigade Top 40 band, Next Wave jazz ensemble, Superintendent's Combo jazz quartet and Commandant's Combo reception quintet.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Military", "url": "http://www.usna.edu/USNABand/"} +{"d:Title": "Royal Air Force Music", "d:Description": "UK: Information about the service and its performing ensembles, concert diaries, on line CD store and recruitment information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Military", "url": "http://www.rafmusic.co.uk/"} +{"d:Title": "United States Army Band", "d:Description": "Washington DC: Event calendar, details of competitions and vacancies, media files and press clippings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Military", "url": "http://www.usarmyband.com/"} +{"d:Title": "The Admiralty Navy Band of Russia", "d:Description": "St Petersburg, Russia: News, photo and video galleries, concert schedule, history, roster, repertoire and discography. Also offers an on line store.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Military", "url": "http://www.admiraltymusic.com/"} +{"d:Title": "Band of the 15th Field Artillery Regiment", "d:Description": "Vancouver, BC: Band description, photo gallery and recruitment information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Military", "url": "http://www.militarymusic.ca/15fieldband/"} +{"d:Title": "United States Coast Guard Band", "d:Description": "New London, Connecticut, USA: Presents information about the band, concert schedule and news items. Band roster, multimedia and details of related ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Military", "url": "http://www.uscg.mil/band/"} +{"d:Title": "Pacific Symphonic Wind Ensemble", "d:Description": "Coquitlam, BC: Concert schedule, musicians, CD recording details and educational solo competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.pswe.ca/"} +{"d:Title": "Waterloo Concert Band", "d:Description": "Ontario, Canada: Tells about the band, the members, concert dates and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.waterlooband.com/"} +{"d:Title": "Westwinds Music Society", "d:Description": "Calgary, Alberta. Includes community band and choir ensembles. Concert schedule and biographies of directors.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.westwindsmusic.org/"} +{"d:Title": "Westshore Community Concert Band", "d:Description": "Victoria, British Columbia. The WCCB is a 25 piece ensemble serving greater Victoria's western communities. Includes concert schedule, links, and information about director Mike Dominy.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.angelfire.com/bc3/westshoreband/"} +{"d:Title": "Greater Victoria Concert Band", "d:Description": "Victoria, B.C.: Describes the senior, junior and intermediate and novice bands. Also sound clips, history and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.gvcb.ca/"} +{"d:Title": "Ottawa Wind Ensemble", "d:Description": "Ontario: Concerts, personnel, sound clips and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.ottawawindensemble.ca/"} +{"d:Title": "North Shore Concert Band", "d:Description": "North Vancouver, BC: Events, members lists and photo gallery. CD recording details and information about related ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.northshorebands.com/"} +{"d:Title": "National Capital Concert Band", "d:Description": "Ottawa: Photo galleries, repertoire, details of CD recordings and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.nccb.ca/"} +{"d:Title": "Perth Citizens' Band", "d:Description": "Perth, ON: Describes the band and contains events schedule and band history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.perthband.ca/"} +{"d:Title": "No Strings Attached Community Band", "d:Description": "Sudbury, Ontario: Members list, history, conductor biography, repertoire, news and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.nostringsattachedband.org/"} +{"d:Title": "Galt Kiltie Band", "d:Description": "Cambridge, Ontario: News, concert diary, rehearsal details and band history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.galtkiltieband.com/"} +{"d:Title": "Nepean Concert Band", "d:Description": "Nepean, Ontario: Concert schedule, information about the band and video and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.nepeanconcertband.com/"} +{"d:Title": "Etobicoke Community Concert Band", "d:Description": "Etobicoke, ON: Concert schedule, photo gallery and information about the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.eccb.ca/"} +{"d:Title": "Lambton Concert Band", "d:Description": "Petrolia, Ontario: Concert schedule, band roster, photo gallery and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://lambtonconcertband.com/"} +{"d:Title": "Dundas Concert Band", "d:Description": "Dundas, Ontario: concert schedule, conductor biography, photo gallery and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.dundasconcertband.com/"} +{"d:Title": "City Of Brampton Concert Band", "d:Description": "Brampton, Ontario: Events, photo gallery and conductor biography. Band history and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.bramptonconcertband.com/"} +{"d:Title": "East York Concert Band", "d:Description": "East York, Toronto: Rehearsal details, conductor biography and information about upcoming concerts. History, photo gallery and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.eastyorkconcertband.ca/"} +{"d:Title": "Encore...The Concert Band", "d:Description": "London, Ontario: Photo and video galleries, conductor biography and concert schedule. Details of CD recordings and information about the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.encoretheconcertband.ca/"} +{"d:Title": "Festival City Winds", "d:Description": "Edmonton, Alberta: Concert schedule, repertoire and conductor biographies. Band history and rehearsal programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.festivalcitywinds.ca/"} +{"d:Title": "Petawawa Legion Community Band", "d:Description": "Petawawa, Ontario: History, events, photo gallery and description of the band and its activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.petawawalegion.ca/band/"} +{"d:Title": "Mississauga Pops Concert Band", "d:Description": "Mississauga, Ontario: Concert schedule and mailing list details, photo and video galleries and conductor biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.mississaugapops.com/"} +{"d:Title": "Stratford Concert Band", "d:Description": "Stratford, Ontario: Information about the band and its conductor, photo gallery and news page.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.stratfordconcertband.com/"} +{"d:Title": "Delta Music Makers Concert Band", "d:Description": "Delta, BC: Band roster, events calendar, news pages and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.deltamusicmakers.org/"} +{"d:Title": "Greater Windsor Concert Band", "d:Description": "Windsor, Ontario: Concert listings, band roster, photo gallery and MP3 sound clips. History, director biography and sponsor listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.greaterwindsorconcertband.ca/"} +{"d:Title": "Thornhill Community Band", "d:Description": "Thornhill, Ontario: Events listings, membership roster, repertoire and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.tcband.ca/"} +{"d:Title": "Oakville Wind Orchestra", "d:Description": "Oakville, Ontario: Band history, conductor biography and photo gallery. Details of CD recordings available with MP3 sound clips, events diary and player vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.owo.ca/"} +{"d:Title": "Church Lad's Brigade Regimental Band", "d:Description": "St John's, Newfoundland: History, band roster and photo gallery. Events schedule and information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://regimentalband.theclb.ca/"} +{"d:Title": "The Chatham Concert Band", "d:Description": "Chatham, Ontario: Information about the band, concert schedule, photo gallery and conductor biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.chathamconcertband.ca/"} +{"d:Title": "Oxford Winds Community Concert Band", "d:Description": "Oxford, Ontario: Events, photo gallery and sound and video clips. Information about the band and its sponsors.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://oxfordwinds.ca/"} +{"d:Title": "Wellington Winds", "d:Description": "Elmira, Ontario: Band roster, events, Cd recording and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.wellingtonwinds.ca/"} +{"d:Title": "Cosmopolitan Music Society", "d:Description": "Edmonton, Alberta: Adult community organization offering opportunities to participate in concert bands and chorus.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.cosmopolitanmusic.org/"} +{"d:Title": "Caledon Concert Band", "d:Description": "Caledon, Ontario: History, conductor biography, performance schedule and MP3 sound clips. Details of competition honors and scholarships offered.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.caledonconcertband.ca/"} +{"d:Title": "Orillia Wind Ensemble", "d:Description": "Orillia, Ontario: Events listings, conductor biography, band history and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.orilliawindensemble.com/"} +{"d:Title": "Foothills Concert Band", "d:Description": "Calgary, Alberta: Concert schedule and information for current and prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/Canada", "url": "http://www.wulfin.com/fcb/"} +{"d:Title": "Capitol Sounds Concert Band", "d:Description": "Montgomery, Alabama: News, band roster, concert schedule and biographies of past and present directors. Music library and photo galleries.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Alabama", "url": "http://www.capitolsounds.org/"} +{"d:Title": "Fairbanks Community Band", "d:Description": "Fairbanks, AK: Information about the band, its music libraries and its members. Performances and rehearsal schedules, concert programmes and history of the organisation.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Alaska", "url": "http://www.communityband.org/"} +{"d:Title": "Tucson Concert Band", "d:Description": "Palo Verde, AZ: Community band page describing the band and rehearsal location. Includes calendar, newsletter and band photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Arizona", "url": "http://tucsonconcertband.homestead.com/TCB.html"} +{"d:Title": "Arizona Winds", "d:Description": "Glendale, AZ: Director biography, concert schedule and mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Arizona", "url": "http://www.azwinds.com/"} +{"d:Title": "Ahwatukee Foothills Concert Band", "d:Description": "Phoenix, Arizona: History, schedule and information for supporters of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Arizona", "url": "http://www.afcband.org/"} +{"d:Title": "Arkansas Winds Community Concert Band", "d:Description": "Fayetteville, AR: Information about the band and its members, concert schedule and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Arkansas", "url": "http://www.arkansaswinds.org/"} +{"d:Title": "Palos Verdes Symphonic Band", "d:Description": "Palos Verdes, CA: Includes membership information, photos and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.palosverdes.com/pvsb/"} +{"d:Title": "Contra Costa Wind Symphony", "d:Description": "Walnut Creek, CA: Information on this 65 piece concert band includes history of the group and the name, concert schedule, photos, director and musician information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://ccwindsymphony.org/"} +{"d:Title": "Coastal Communities Concert Band", "d:Description": "San Diego County, CA: Community band for experienced musicians. Included are notable guest conductors, top venues and concert dates, rehearsal information and recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.cccband.com/"} +{"d:Title": "Danville Community Band", "d:Description": "Danville, CA: Information about the band, director and concert schedule. MP3 sound files, press clippings, members and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://danvilleband.org/"} +{"d:Title": "Ontario/Chaffey Community Show Band", "d:Description": "Ontario, CA: Band history, director biography, concert schedule, MP3 sound files and photo gallery. Rehearsal schedule and press clippings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.showband.net/"} +{"d:Title": "Pomona Concert Band", "d:Description": "Pomona, CA: Band history, concert calendar, photo gallery, MP3 sound files and conductor biographies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.pomonaconcertband.org/"} +{"d:Title": "Sacramento Symphonic Winds", "d:Description": "Sacramento, CA: Forthcoming concerts, biography of the musical director, photo gallery and CD recording details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.sacwinds.org/"} +{"d:Title": "Foothills Symphonic Winds", "d:Description": "Los Altos, CA: Description, membership roster, repertoire list and conductor biography. Concert schedule and program notes.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.windband.org/foothill/"} +{"d:Title": "Prime Time Band", "d:Description": "Santa Barbara, CA:\u00a0Provides information about the band, including concert schedule, history and MP3 sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.ptband.org/"} +{"d:Title": "Newark Symphonic Winds", "d:Description": "Newark, CA: Performance schedule, conductor biography and photo album.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.newarksymphonic.org/"} +{"d:Title": "Stockton Concert Band", "d:Description": "Stockton, CA: Concert details, conductor biography and band history. Also video clips of performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://stocktonconcertband.com/"} +{"d:Title": "Los Angeles Pierce Symphonic Winds", "d:Description": "Woodland Hills, CA:\u00a0Presents information about the band, including director biography, roster and guest performers. Audio and video clips, music lists and details of outreach activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.lawinds.org/"} +{"d:Title": "Woodside Village Band", "d:Description": "Woodside, CA:\u00a0Concert schedule, band roster and repertoire list.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.windband.org/woodside/"} +{"d:Title": "Saratoga Community Band", "d:Description": "Saratoga, CA: Details related ensembles, band history, concert schedule, members roster and rehearsal details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.saratogaband.org/"} +{"d:Title": "Huntington Beach Concert Band", "d:Description": "Huntington Beach, CA: Rehearsal details and concert schedule, band history and personnel. Photo gallery and music library.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.hbconcertband.org/"} +{"d:Title": "San Jose Metropolitan Band", "d:Description": "San Jose, CA:\u00a0Photo galleries, sound clips, repertoire list and rehearsal details. Also FAQ page and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.sjmetroband.org/"} +{"d:Title": "Lodi Community Band", "d:Description": "Stockton, CA: Presents information about the band, a biography of the musical director and news and events listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://lodicommunityband.com/"} +{"d:Title": "El Segundo Community Concert Band", "d:Description": "El Segundo, CA: Events, news and community program information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.esconcertband.org/"} +{"d:Title": "Riverside Concert Band", "d:Description": "Riverside, CA: News, events, rehearsal information, band roster and director biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.riversideconcertband.org/"} +{"d:Title": "Santa Clarita Valley Concert Band", "d:Description": "Santa Clarita, CA: Presents information about the band, including rehearsal details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://scvconcertband.org/"} +{"d:Title": "Cupertino Symphonic Band", "d:Description": "San Jose, CA: History, members listing, small ensemble information, concert dates, photos, audio samples, educational community programs and financial help to promising students.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/California", "url": "http://www.cupertinosymphonicband.org/"} +{"d:Title": "Boulder Concert Band", "d:Description": "Boulder, CO: Information about the band, concert schedule and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Colorado", "url": "http://www.boulderband.org/"} +{"d:Title": "East Woodstock Cornet Band", "d:Description": "East Woodstock, CT: Information on performances, musical selections, musicians and rehearsals.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.quietcornerbands.org/EWCornet.HTM"} +{"d:Title": "Greater Killingly Community Band", "d:Description": "Danielson, CT: Description, photos and rehearsal details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.quietcornerbands.org/GKBand.HTM"} +{"d:Title": "Old Lyme Town Band", "d:Description": "Old Lyme, CT: Concert schedule, repertoire, and current member information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.oldlymetownband.org/"} +{"d:Title": "Stratford Community Concert Band", "d:Description": "Stratford, CT: History of the organization and information on the conductors, officers, rehearsal and concert schedules and current photos. Has musician and chuckle of the month features.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.stratfordcommunityband.org/"} +{"d:Title": "South Windsor Community Band", "d:Description": "South Windsor, CT: Lists rehearsal and performance schedule and contacts for joining the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.swband.org/"} +{"d:Title": "Windham Concert Band", "d:Description": "Willimantic, CT: Includes spring rehearsal and summer concert series and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.quietcornerbands.org/Windham.HTM"} +{"d:Title": "First Company Governor's Foot Guard", "d:Description": "Hartford, CT: Description and history of the band, membership information and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.governorsfootguard.com/"} +{"d:Title": "Simsbury Community Band", "d:Description": "Simsbury, CT: Current concert schedule, roster of band personnel, and background on the music director as well as the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.simsburyband.org/"} +{"d:Title": "Westport Community Band", "d:Description": "Westport, CT: Describes the band and contains performance schedule, roster, repertoire and photo gallery. Sound clips, newsletter and rehearsal details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.westportcommunityband.com/"} +{"d:Title": "Cheshire Community Band", "d:Description": "Cheshire, CT: Provides their concert and rehearsal schedules, repertoire, and pictures.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.cheshirecommunityband.org/"} +{"d:Title": "Sphinx Shrine Band", "d:Description": "Newington, CT: Shriner's band accepts community players in the band. Lists contact information to join.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Connecticut", "url": "http://www.sphinxshrine.org/band.htm"} +{"d:Title": "Diamond State Concert Band", "d:Description": "Wilmington, DE: Presents membership information, rehearsal and concert schedules, bylaws, and officers.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Delaware", "url": "http://www.dscb.org/"} +{"d:Title": "First Coast Wind Ensemble", "d:Description": "Jacksonville, FL: Information about membership, concerts, and recordings available, including sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Florida", "url": "http://www.fcwe.org/"} +{"d:Title": "The Pensacola Civic Band", "d:Description": "Pensacola, FL: Photos, schedule, history, and personnel information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Florida", "url": "http://www.pensacolacivicband.org/"} +{"d:Title": "Gainesville Community Band", "d:Description": "Gainesville, FL: Adult concert band of north-central Florida, featuring ensemble information, concert schedule and photos. Sound clips of concert performances and director biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Florida", "url": "http://www.gnvband.org/"} +{"d:Title": "St. Petersburg Community Band", "d:Description": "St. Petersburg, FL: Concert schedule, repertoire lists and dlirector biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Florida", "url": "http://www.stpeteband.com/"} +{"d:Title": "Naples Concert Band", "d:Description": "Naples, Florida: Presents information about the band, including members roster, conductor biography and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Florida", "url": "http://www.naplesconcertband.org/"} +{"d:Title": "Symphonic Band of the Palm Beaches", "d:Description": "West Palm Beach, FL: Includes concert dates, musicians, conductor, soloist information, volunteers and friends of the band and donations.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Florida", "url": "http://www.symbandpb.com/"} +{"d:Title": "Clay County Community Band", "d:Description": "Orange Park, FL: News, concert schedule and band history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Florida", "url": "http://www.claycountyband.com/"} +{"d:Title": "Callanwolde Concert Band", "d:Description": "Atlanta, GA: Includes photos, concert schedule, and information on the band and its musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Georgia", "url": "http://www.calcb.org/"} +{"d:Title": "Atlanta Wind Symphony", "d:Description": "Atlanta, GA: Group, contact, ticket information, and concert calendar. Also CD recording details, music library, director biography and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Georgia", "url": "http://www.atlantawindsymphony.org/"} +{"d:Title": "Alpharetta City Band", "d:Description": "Alpharetta, GA: Traditional community band made up of volunteers. Links to concert schedule and other bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Georgia", "url": "http://www.alpharettaband.com/"} +{"d:Title": "Cobb Wind Symphony", "d:Description": "Atlanta, Georgia: Concert schedule and sound clips of performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Georgia", "url": "http://www.cobbwindsymphony.org/"} +{"d:Title": "Atlanta Concert Band", "d:Description": "Atlanta, GA: Includes concert schedule, band history, sound clips, photo gallery, CD sales and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Georgia", "url": "http://www.atlantaconcertband.org/"} +{"d:Title": "Northshore Concert Band", "d:Description": "Evanston, IL: Details of educational activities, festivals and CD recordings. Band roster and concert and ticket details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://northshoreband.org/"} +{"d:Title": "Crystal Lake Community Band", "d:Description": "Crystal Lake, IL: Band information, history, photo gallery and upcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://www.clcb.org/"} +{"d:Title": "Fox Valley Concert Band", "d:Description": "St. Charles, IL: Information here about the band and its members, Concert schedule, history and details of internships available.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://www.fvcb.org/"} +{"d:Title": "Rockford Wind Ensemble", "d:Description": "Rockford, IL: Tickets, concert schedule, members roster, photo gallery and sound clips of live performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://rockfordwindensemble.org/"} +{"d:Title": "Encore Concert Band", "d:Description": "Orland Park, IL: Rehearsal and concert schedules, membership information and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://www.encoreband.org/"} +{"d:Title": "Glenview Concert Band", "d:Description": "Glenview, Illinois: Concert and rehearsal schedules and program archives.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://www.glenviewband.org/"} +{"d:Title": "Wheaton Municipal Band", "d:Description": "Wheaton, Illinois:\u00a0Concert schedule and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://www.wheatonmunicipalband.org/"} +{"d:Title": "Aurora American Legion Band", "d:Description": "Aurora, Illinois: Introduction to the band, its history and concert schedule. FAQ's.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://auroralegionband.com/"} +{"d:Title": "Bloomington-Normal Community Concert Band", "d:Description": "Bloomington, IL: Information about the band, including concert schedule and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Illinois", "url": "http://www.bn-communityband.org/"} +{"d:Title": "America's Hometown Band", "d:Description": "Muncie, Indiana: Details performing ensembles, concerts and programs. Photo gallery and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://www.amhometownband.org/"} +{"d:Title": "Greater Greenwood Community Band", "d:Description": "Greenwood, IN: Photos, concerts, membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://www.greenwoodband.com/"} +{"d:Title": "Brazil Concert Band", "d:Description": "Brazil, Indiana: Contains band information, details of CD recordings and concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://brazilconcertband.org/"} +{"d:Title": "Terre Haute Community Band", "d:Description": "Terre Haute, IN: Schedule, musicians, and concert locations.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://www.terrehautecommunityband.org/thcb.html"} +{"d:Title": "Manchester Civic Band", "d:Description": "North Manchester, Indiana: Information about the band, news and events details, contacts and information for new members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://www.civicband.org/"} +{"d:Title": "Indianapolis Municipal Band", "d:Description": "Indianapolis, IN: Band history, performance schedule and roster. Photo galleries and rehearsal information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://www.indianapolismunicipalband.org/"} +{"d:Title": "Old Dam Community Band", "d:Description": "Newburgh, IN:\u00a0Band information and history, concert schedule and members list.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://www.olddamband.com/"} +{"d:Title": "Fort Wayne Area Community Band", "d:Description": "Fort Wayne, IN: Concert schedule, band roster and MP3 sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://www.fwacb.org/"} +{"d:Title": "Bloomington Community Band", "d:Description": "Bloomington, Indiana: Providing the concert schedule, playlist, band press, rehearsal information and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Indiana", "url": "http://bloomingtoncommunityband.net/"} +{"d:Title": "Cedar Falls Municipal Band", "d:Description": "Cedar Falls, Iowa: Offers information and history, concert schedule, and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Iowa", "url": "http://www.cedarnet.org/cfband/"} +{"d:Title": "Burlington Municipal Band", "d:Description": "Burlington, Iowa: Community concert band that plays a one hour concert each week during the summer. Band history and information, next concert repertoire, photos, and related links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Iowa", "url": "http://muniband.homestead.com/"} +{"d:Title": "Cedar Rapids Municipal Band", "d:Description": "Cedar Rapids, Iowa: Concert schedule and programs, band history and roster.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Iowa", "url": "http://www.crmuniband.org/"} +{"d:Title": "Florence Community Band", "d:Description": "Florence, KY: Offers information about the band, including rehearsal details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Kentucky", "url": "http://florencecommunityband.freeservers.com/"} +{"d:Title": "Central Kentucky Concert Band", "d:Description": "Georgetown, KY: Information about the band includes scholarships offered, concert schedule, conductor biographies and photo album. Also a few biographies of featured composers.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Kentucky", "url": "http://www.ckcb.org/"} +{"d:Title": "Lafayette Concert Band", "d:Description": "Lafayette, Louisiana: Conductor biography, performance and rehearsal schedules and roster.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Louisiana", "url": "http://www.lcband.org/"} +{"d:Title": "Seacoast Wind Ensemble", "d:Description": "South Berwick, Maine: Concert schedule, rehearsal details,photo gallery and conductor biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Maine", "url": "http://seacoastwindensemble.org/"} +{"d:Title": "Bel Air Community Band", "d:Description": "Bel Air, MD: Band history, member list, director biography, concert schedule and rehearsal information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Maryland", "url": "http://belaircommunityband.org/"} +{"d:Title": "Southern Maryland Concert Band", "d:Description": "Waldorf, MD: Introduction to the band, photo gallery, sound clips and information about the related swing band. Rehearsal information and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Maryland", "url": "https://sites.google.com/site/somdconcertband/home"} +{"d:Title": "Concord Band", "d:Description": "Concord, MA: Includes schedule, discography, band roster, and upcoming events for this symphonic community band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Massachusetts", "url": "http://www.concordband.org/"} +{"d:Title": "Chelmsford Community Band", "d:Description": "Chelmsford, MA: Band and members information, schedule, a newsletter, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Massachusetts", "url": "http://www.chelmsfordband.org/"} +{"d:Title": "Middlesex Concert Band", "d:Description": "Wakefield, MA: Schedule, CD collection, member information and photo album.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Massachusetts", "url": "http://www.mcbconcertband.org/"} +{"d:Title": "Southeastern Massachusetts Community Concert Band", "d:Description": "Medway, MA: Boston MA metro-west area; shows the concert calendar, member news, and sponsors.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Massachusetts", "url": "http://s97175637.onlinehome.us/smcws/"} +{"d:Title": "Leominster Colonial Band", "d:Description": "Leominster, MA:\u00a0Presents information about the band including its history, CD recording, conductor and concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Massachusetts", "url": "http://www.colonialband.org/"} +{"d:Title": "New Sousa Band", "d:Description": "Cambridge, MA: Presents information about the band, including performance details reviews, articles about Sousa and his musical style, and photo galleries.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Massachusetts", "url": "http://www.newsousaband.com/"} +{"d:Title": "Farmington Community Band", "d:Description": "South-east Michigan: Information about the band, past, and current season.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.mystery.com/fcb/"} +{"d:Title": "Meridian Community Band", "d:Description": "Okemos, MI: Concert band serving the metropolitan Lansing, Michigan area.Includes concerts including Sousa series. Lists of music and history of the group.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.meridiancommunityband.org/"} +{"d:Title": "Lansing Concert Band", "d:Description": "Lansing, MI: Includes the upcoming schedule, the band director biography and donor information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.lansingconcertband.org/"} +{"d:Title": "Grand Rapids Symphonic Band", "d:Description": "Grand Rapids, MI: Contains information about the band, its concert schedule, conductor biography and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.grsymphonicband.org/"} +{"d:Title": "Zeeland Community Band", "d:Description": "Zeeland, MI:\u00a0Concert dates, biography of the musical director, photo gallery and history of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.zeelandcommunityband.org/"} +{"d:Title": "Canton Concert Band", "d:Description": "Canton, Michigan: Information includes band roster, conductor biography and events calendar. Photo gallery and player vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.cantonband.com/"} +{"d:Title": "Central Michigan Area Concert Band", "d:Description": "Shepherd, Michigan: Presents information about the band and its concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.cmacb.org/"} +{"d:Title": "Clarkston Community Band", "d:Description": "Clarkston, Michigan: Rehearsal and concert details, sound clips and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.clarkstoncommunityband.org/"} +{"d:Title": "Birmingham Concert Band", "d:Description": "Birmingham, Michigan: Information about the band and its concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.birminghamconcertband.com/"} +{"d:Title": "North Oakland Concert Band", "d:Description": "Lake Orion, Michigan: Presents information about the band including concert dates, roster and conductor biography. MP3 sound clips and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://nocb.org/"} +{"d:Title": "Forest Hills Adult Community Band", "d:Description": "Forest Hills, Michigan: Provides information on membership, history, director and concert dates.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.fhacb.org/"} +{"d:Title": "Ypsilanti Community Band", "d:Description": "Ypsilanti, MI: Membership and rehearsal information. Includes concert schedule and audio samples of music.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Michigan", "url": "http://www.ypsicommband.org/"} +{"d:Title": "Calhoun-Isles Community Band", "d:Description": "Minneapolis, MN: News and information for the members and friends of the band. Includes schedule and repertoire, members list and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://www.calhounislesband.org/"} +{"d:Title": "Star of the North Concert Band", "d:Description": "Minneapolis, MN: Band information, concert details and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://www.starofthenorth.org/"} +{"d:Title": "Shoreview Northern Lights Variety Band", "d:Description": "Shoreview, MN: Includes concert dates, sponsorship, rehearsal and member information, details of CD recordings and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://www.snlvb.com/"} +{"d:Title": "Medalist Concert Band", "d:Description": "Bloomington, MN: Performance schedule and membership information shown.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://www.medalistband.com/"} +{"d:Title": "Albert Lea Community Band", "d:Description": "Albert Lea, MN: Concert and rehearsal details, information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://albertleacommunityband.50webs.com/index.html"} +{"d:Title": "Hopkins Westwind Concert Band", "d:Description": "Hopkins, Minnesota: Information about the band and related ensembles, membership details and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://www.hopkinswestwind.org/"} +{"d:Title": "Roseville Community Band", "d:Description": "Roseville, MN: Includes concert schedule, overview of the band, rehearsals and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://www.rosevillecommunityband.org/"} +{"d:Title": "Eden Praire Community Band", "d:Description": "Eden Prairie, MN: General information, news, soundclips, concert schedule, and member calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Minnesota", "url": "http://www.epcommunityband.org/"} +{"d:Title": "North Star Community Band", "d:Description": "Kansas City, Missouri: \"Band Buddies\" mentoring program, member information and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Missouri", "url": "http://www.nstarband.org/"} +{"d:Title": "After Hours Community Band", "d:Description": "Saint Charles,Missouri: History and information, schedules, repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Missouri", "url": "http://www.ahcb.org/"} +{"d:Title": "Nevada County Concert Band", "d:Description": "Nevada City, Nevada: Band schedule, roster and history. Details of scholarships available.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Nevada", "url": "http://www.nccb.org/"} +{"d:Title": "The Temple Town Band", "d:Description": "Temple, NH: Band description and events schedules.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Hampshire", "url": "http://www.templeband.org/"} +{"d:Title": "Upper Valley Community Band", "d:Description": "Hanover, NH: General information, history, conductors, musicians, and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Hampshire", "url": "http://www.uvcb.org/"} +{"d:Title": "Strafford Wind Symphony", "d:Description": "Gonic, NH: Provides details of schedule, newsletter and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Hampshire", "url": "http://www.straffordwindsymphony.org/"} +{"d:Title": "South Jersey Area Wind Ensemble", "d:Description": "NJ: Includes concert and rehearsal schedules, photo gallery, members roster and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Jersey", "url": "http://www.sjawe.com/"} +{"d:Title": "Ridgewood Concert Band", "d:Description": "Ridgewood, NJ: Director biography, members list, sound clips, CD recording details and information about the band's youth soloist competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Jersey", "url": "http://ridgewoodband.org/"} +{"d:Title": "Whitehouse Wind Symphony", "d:Description": "Peapack, NJ: Describes the band and includes events schedule and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Jersey", "url": "http://www.whitehousewindsymphony.org/"} +{"d:Title": "Montclair Community Band", "d:Description": "Montclair, NJ: Presents information about the band, upcoming events and photo galleries.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Jersey", "url": "http://www.montclaircommunityband.org/"} +{"d:Title": "The Blawenburg Band", "d:Description": "Blawenburg, NJ: Concert schedule, photo galleries and newsletters.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Jersey", "url": "http://www.princetonol.com/groups/blawenburgband/"} +{"d:Title": "Greater Shore Concert Band", "d:Description": "Monmouth County, NJ: Information about the band, including its history, repertoire and roster. Concert schedule, photo albums and MP3 sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Jersey", "url": "http://www.greatershoreconcertband.org/"} +{"d:Title": "Santa Fe Concert Band", "d:Description": "Santa Fe, NM: Pages show concert schedule and history of the band, with conductor biography and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_Mexico", "url": "http://www.santafeconcertband.org/"} +{"d:Title": "Rockland County Concert Band", "d:Description": "North of N.Y. City: This community band displays its concert series, annual Tuba Christmas, special ensembles and music samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_York", "url": "http://www.rocklandcountyconcertband.org/"} +{"d:Title": "Eastman Wind Ensemble", "d:Description": "Rochester, NY: Includes history, biographies of conductors, events archives and sound clips of concert performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_York", "url": "http://www.esm.rochester.edu/ewe/"} +{"d:Title": "Westchester Symphonic Winds", "d:Description": "Tarrytown, NY: Information about the band, concert schedule and details of auditions.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_York", "url": "http://www.westchestersymphonicwinds.org/"} +{"d:Title": "The Band of Long Island", "d:Description": "Long Island, NY: Information about the band including its concert schedule and members roster.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_York", "url": "http://www.boli.org/"} +{"d:Title": "Coxsackie-Athens Community Band", "d:Description": "Coxsackie, Greene County, N.Y.: Community band history and information, upcoming concerts, repertoire and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_York", "url": "http://wa2fti.tripod.com/"} +{"d:Title": "Aerophone", "d:Description": "Wappingers Falls, NY: Provides information about the band, its conductor and rehearsal and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/New_York", "url": "http://aerophoneband.com/"} +{"d:Title": "Triangle Wind Ensemble", "d:Description": "Raleigh-Durham-Chapel Hill, NC: Learn about this community band and their schedule, members and music.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/North_Carolina", "url": "http://trianglewind.org/"} +{"d:Title": "Durham Community Concert Band", "d:Description": "North Carolina: Brass band made up of members of all ages and levels of musical talent. Band, conductor, and members information, photos, repertoire, events, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/North_Carolina", "url": "http://www.durhamband.org/"} +{"d:Title": "Charlotte Concert Band", "d:Description": "Charlotte, NC: Details the band, its mission and goals, concert schedule repertoire and offers sound clips of performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/North_Carolina", "url": "http://www.charlotteconcertband.org/"} +{"d:Title": "Ohio Military Band", "d:Description": "Cincinnati, Ohio: Band history, concerts and repertoire lists.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Ohio", "url": "http://www.ohiomilitaryband.com/"} +{"d:Title": "Youngstown Area Community Concert Band", "d:Description": "Youngstown, Ohio: Information on Performances, Membership, and Rehearsals.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Ohio", "url": "http://www.yaccb.org/"} +{"d:Title": "Reynoldsburg Community Band", "d:Description": "OH: Description of the band, rehearsal schedule, welcome letter, information for members and upcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Ohio", "url": "http://www.reynoldsburgcommunityband.org/"} +{"d:Title": "Great Lakes Area Regional Band Links", "d:Description": "Listing and links to Ohio area bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Ohio", "url": "http://www.meridiancommunityband.org/Meridian_Community_Band/BandLinks.html"} +{"d:Title": "Greater Columbus Concert Band", "d:Description": "Columbus, Ohio: Presents information about the band and its members. Also concert schedule and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Ohio", "url": "http://gccband.com/"} +{"d:Title": "Westerville Community Bands", "d:Description": "OH: Includes history, concert, director information as well as CD sales, donations and photo album.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Ohio", "url": "http://www.westervillebands.org/"} +{"d:Title": "Gorge Winds Concert Band", "d:Description": "A volunteer community band dedicated to making a musical contribution to the Mid-Columbia Gorge area, on the Washington - Oregon border.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Oregon", "url": "http://gorgewindsband.org/"} +{"d:Title": "Tualatin Valley Community Band", "d:Description": "Find out the concert schedule, including the Oregon Zoo, rehearsal schedule, recent events,history and member information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Oregon", "url": "http://www.tvcb.org/"} +{"d:Title": "Southern Oregon Concert Band", "d:Description": "Ashland, Oregon: Information about the band, its conductor and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Oregon", "url": "http://www.socband.org/"} +{"d:Title": "Ashland City Band", "d:Description": "Ashland, Oregon: Band roster and performance schedule. Archive of programs. History, repertoire and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Oregon", "url": "http://www.ashlandband.org/"} +{"d:Title": "West Chester Concert Band", "d:Description": "West Chester, PA: Information and concert listings for the band, including membership, concert CDs and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://wcband.org/"} +{"d:Title": "Twin Tiers Community Band", "d:Description": "Eldred, PA: Spanning, Olean, NY area and, Bradford PA areas, this band presents their concert schedule, member information and associated swing band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.angelfire.com/music3/ttcb/"} +{"d:Title": "Jeannette Community Band", "d:Description": "Presents its history, schedule, roster and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.jcbweb.com/"} +{"d:Title": "Ringgold Band", "d:Description": "Reading, PA: Community concert band. Includes schedule, history and information on the director. Includes cassettes and CDs for sale by mail order.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.ringgoldband.com/"} +{"d:Title": "East Winds Symphonic Band", "d:Description": "Community band. Concert dates, rehearsals, band information, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://ewsb.org/"} +{"d:Title": "Allentown Band", "d:Description": "Allentown, PA: History, conductor biographies, members list and details of CD recordings available. Photo albums and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.allentownband.com/"} +{"d:Title": "Spring Garden Band", "d:Description": "York, PA: Band membership of 72 musicians present the greater York Area an annual series of summer \"park\" concerts, a midwinter concert, and a spring concert.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.springgardenband.org/"} +{"d:Title": "Armstrong Concert Band", "d:Description": "Worthington, PA: Includes rehearsal and performance schedules along with photos and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://sites.google.com/site/armconband/"} +{"d:Title": "Tri-County Band", "d:Description": "Feasterville, PA: Presents photos of the band, membership, concert, and background information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.tricountyband.org/"} +{"d:Title": "Macungie Band", "d:Description": "Macungie, PA:\u00a0Schedule, roster, band history and details of CD recordings available.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.macungieband.com/"} +{"d:Title": "New Holland Concert Band", "d:Description": "New Holland, PA: Information about the band including its members, history and museum. Concert schedule, MP3 sound clips, online store and sponsorship details. Site also offers a mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.newhollandband.org/"} +{"d:Title": "Chester County Concert Band", "d:Description": "West Chester, PA: Presents information about the band, its concert schedule and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.cccband.org/"} +{"d:Title": "Community Band South", "d:Description": "Pittsburgh, PA: Presents information about the band, including its history, conductors, players and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://cbs.pghfree.net/"} +{"d:Title": "Bethlehem Municipal Band", "d:Description": "Bethlehem, PA:\u00a0History and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.cityband.org/"} +{"d:Title": "Somerset County Community Band", "d:Description": "PA: Concert band presents its schedule, member listings, rehearsals, and notes. Afilliated with Laurel Arts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Pennsylvania", "url": "http://www.somersetcountyband.com/"} +{"d:Title": "American Band", "d:Description": "Providence, RI: Includes musicians from Rhode Island, and nearby Connecticut and Massachusetts. Band history, concert schedule, and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Rhode_Island", "url": "http://www.ric.edu/am_band/"} +{"d:Title": "East Tennessee Concert Band", "d:Description": "Knoxville, Tennessee: Performance calendar, band roster and rehearsal details. Photo gallery, program archive and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Tennessee", "url": "http://www.etcband.org/"} +{"d:Title": "Blasmusik Texas", "d:Description": "\"Texas All-State German Band\" is comprised of accomplished musicians from throughout the State of Texas who perform at special events in the USA and Europe. English and German.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.blasmusiktexas.com/"} +{"d:Title": "Dallas Wind Symphony", "d:Description": "Professional Symphony with concert band instrumentation. Concert information, pictures, complete discography. Guest conductors include Frederick Fennell.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.dws.org/"} +{"d:Title": "Hill Country Community Band", "d:Description": "Wimberley, Texas. Playing a broad range of music, from traditional marches to Broadway and show tunes, to classical pieces.Membership information and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.angelfire.com/tx/hccband/"} +{"d:Title": "Richardson Community Band", "d:Description": "TX: Serving Dallas-Ft Worth area, this large band in its 30th year, presents its concert series, membership, archives and past programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.richardsoncommunityband.org/index.htm"} +{"d:Title": "Southlake Community Band", "d:Description": "Southlake, TX: 70 piece community band and affiliated Swing Band and choir. Includes invitation to join and list of coming performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.southlakeband.com/"} +{"d:Title": "Waco Community Band", "d:Description": "TX: The band consists of amateur and professional musicians from throughout Central Texas and is sponsored by McLennan Community College. Includes concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.wacocommunityband.org/"} +{"d:Title": "Metropolitan Winds", "d:Description": "Performs symphonic band music in the Dallas/Fort Worth Metroplex, notably film scores such as John Williams pieces. Includes ticket and CD sales, musician roster, ensembles and sponsors.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.metropolitanwinds.org/"} +{"d:Title": "Austin Civic Wind Ensemble", "d:Description": "Austin, TX: Community band of amateur and professional musicians. Includes conductor biography, concert schedule, photos, and underwriter guide.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.acwe.org/"} +{"d:Title": "San Angelo Community Band", "d:Description": "San Angelo, TX: News, history, band roster, events and information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.sanangelocommunityband.org/"} +{"d:Title": "Fort Worth City Band", "d:Description": "Fort Worth, TX: Describes the purpose of the band, its repertoire, membership and rehearsals.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://fwcityband.com/"} +{"d:Title": "Austin Symphonic Band", "d:Description": "Austin, Texas. Presents symphonic band literature nationwide, usually at free public concerts: sounds, schedules, and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://www.austinsymphonicband.org/"} +{"d:Title": "Gulf Coast Concert Band", "d:Description": "Houston, TX: Includes description and history of band, concert dates, photos and rehearsal information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Texas", "url": "http://gulfcoastconcertband.webs.com/"} +{"d:Title": "Waterbury Community Band", "d:Description": "VT: Volunteer band mentions latest concerts, contact information and the booking policy.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Vermont", "url": "http://www.blueberry-brain.org/waterbury/wcband.html"} +{"d:Title": "Burlington Concert Band", "d:Description": "Burlington, Vermont:\u00a0Concert schedule, history and photo galleries.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Vermont", "url": "http://www.burlingtonconcertband.org/"} +{"d:Title": "City of Fairfax Band", "d:Description": "Virginia: A symphonic wind ensemble made up of talented community musicians from the Washington, D.C. area.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://www.fairfaxband.org/"} +{"d:Title": "The Municipal Band of Charlottesville", "d:Description": "Charlottsville, VA: Civic band presents the history of the band, current activities, concert season, municipal center and musician listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://www.charlottesvillemunicipalband.org/"} +{"d:Title": "Vienna Community Band", "d:Description": "Virginia: All-volunteer band. Ensemble information, schedule, and invitation to new members. Musical links page.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://www.seas.gwu.edu/~carroll/vienna/index.html"} +{"d:Title": "European Wind Ensemble", "d:Description": "Richmond, VA: Performs traditional European music. Director from Germany.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://users.erols.com/plates/music/ewe/ewe.htm"} +{"d:Title": "Prince William Community Band", "d:Description": "Woodbridge, VA: Band vacancies, rehearsal details, music library and roster. History and information on related ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://www.pwcb.org/"} +{"d:Title": "Stonewall Brigade Band", "d:Description": "Staunton, VA: Includes Band schedule, concert details and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://www.stonewallbrigadeband.com/"} +{"d:Title": "Fauquier Community Band", "d:Description": "Warrenton, Virginia: Presents information about the band and its forthcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://sites.google.com/site/fauquiercommunityband/"} +{"d:Title": "Virginia Grand Military Band", "d:Description": "Vienna, VA: Lead by Loras Schissel, the band plays in the military traditional style. CDs listed include Music of the American Circus and The John Philip Sousa Birthday Concert.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Virginia", "url": "http://www.vgmb.com/"} +{"d:Title": "The Gateway Concert Band", "d:Description": "Buckley, WA: traditional volunteer instrumental band performing in the Enumclaw area.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington", "url": "http://www.gatewayconcertband.org/"} +{"d:Title": "Sequim City Band", "d:Description": "Sequim, WA: Event schedule, list of band members, photographs and the \"BandAids\" who support the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington", "url": "http://www.sequimcityband.org/"} +{"d:Title": "Cornucopia Concert Band", "d:Description": "Edmonds, WA: Seattle area 21+ piece group which performs music circa 1890-1930. Performance schedule, photos, MP3 clips, merchandise, and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington", "url": "http://musicandbeauty.bizland.com/cornband/"} +{"d:Title": "Woodinville Community Band", "d:Description": "WA: Includes contact, mission statement,volunteer musicians, calendar and photos of the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington", "url": "http://www.woodinvilleband.org/"} +{"d:Title": "Walla Walla Valley Bands", "d:Description": "Walla Walla, WA: History, coductor biography, membership information and concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington", "url": "http://wwvalleybands.org/"} +{"d:Title": "Tacoma Concert Band", "d:Description": "Tacoma, WA: Details band members, CD recordings available, press cuttings and student soloist competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington", "url": "http://www.tacomaconcertband.org/"} +{"d:Title": "Boeing Employees' Concert Band", "d:Description": "Seattle, WA: Information about the band, its music library and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington", "url": "http://www.becb.org/"} +{"d:Title": "City of Fairfax Band", "d:Description": "All-volunteer, 120-member concert band. Includes member roster, schedule, sound files, history, small ensembles, young artists competition, merchandise and online ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.fairfaxband.org/"} +{"d:Title": "D.C.'s Different Drummers", "d:Description": "Sponsors concert, swing, marching and pep bands and offers regular concerts and social events. Calendar, member profiles and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.dcdd.org/"} +{"d:Title": "Columbia Flute Choir", "d:Description": "Presents a variety of classical music, as well as original works for flute choir, and hosts an annual festival. Includes schedule, photos, press, video, recordings and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.flute.net/cfc/"} +{"d:Title": "Virginia Grand Military Band", "d:Description": "Performs classic concert band repertoire. Includes upcoming concerts, CDs, history, personnel and bandmaster profile.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.vgmb.com/"} +{"d:Title": "Vienna Community Band", "d:Description": "Offers free concerts throughout the year in and around Vienna, Virginia. Director profiles, band roster, staff, concert schedule, membership information, photos and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.viennacommunityband.org/"} +{"d:Title": "Mount Vernon Community Bands", "d:Description": "Sponsors Mount Vernon Concert Band, Dixieland Jazz Band, Mount Vernon Swing Band and Mount Vernon German Band. Performance, personnel and scholarship information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.mvbands.com/"} +{"d:Title": "Montgomery Village Community Band", "d:Description": "Non-auditioned, all-volunteer concert band. Schedule, history, conductor profile, musician roster, membership and rehearsal information, photos, newsletter and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.montgomeryvillagecommunityband.org/"} +{"d:Title": "Rockville Concert Band", "d:Description": "Presents free concerts at the F. Scott Fitzgerald Theatre, as well as outdoors during the warm weather months. History, concerts, directions, conductor profile and sound files.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://rocknet.org/Leisure/Band/"} +{"d:Title": "National Concert Band of America", "d:Description": "Founded in 1974 by former military band members and dedicated to maintaining the traditions of the American concert band through performances of the historic repertoire. Includes history, schedule, sample programs, personnel, membership, booking information and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://nationalconcertband.wordpress.com/"} +{"d:Title": "Flutopia Wind Ensemble", "d:Description": "Composed of high school wind players in and around Washington. Promotes community outreach through local ensemble and chamber performances and master classes and workshops. Includes personnel, events, press, photos and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.flutopia.org/"} +{"d:Title": "Flutes On The Brink", "d:Description": "The only adult flute choir in upper Montgomery County, composed of professional and amateur musicians. Includes schedule, sound clips, links and store.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.flutesonthebrink.com/"} +{"d:Title": "Alexandria Citizens Band", "d:Description": "Community organization with about 50 members, performing year-round. History, performance schedule, membership information and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://alexandriacitizensband.com/"} +{"d:Title": "Capital Wind Symphony", "d:Description": "Dedicated to preserving the great American band tradition by presenting performances of contemporary and classic works, and by working closely with music educators to nurture student musicianship. Profile, director and personnel, concert schedule, sound samples, photos, workshops, links and mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.capitalwindsymphony.org/"} +{"d:Title": "Falls Church Concert Band", "d:Description": "Community band open to musicians with a wide variety of skills and interests. Profile, history, schedule, photos and videos, membership information and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://www.fallschurchconcertband.org/"} +{"d:Title": "National Capital Band", "d:Description": "The Salvation Army's musical force in the District of Columbia and the surrounding area. Includes history, events, personnel, recordings, photos and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Washington,_DC", "url": "http://nationalcapitalband.com/"} +{"d:Title": "Shinnston [WV] Community Band", "d:Description": "A concert band consisting of amateur and professional musicians from the North-Central West Virginia area. Performance schedule, photos muxsical clips, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/West_Virginia", "url": "http://www.shinnstonband.com/"} +{"d:Title": "Charleston Metropolitan Bands", "d:Description": "Charleston, WV: Contains background information and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/West_Virginia", "url": "http://www.cmbands.org/"} +{"d:Title": "Northeast Wisconsin Concert Band", "d:Description": "Coleman, WI: Concert and rehearsal schedules, band roster, conductor biographies and general information.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Wisconsin", "url": "http://www.newconcertband.com/"} +{"d:Title": "Lakeshore Symphonic Band", "d:Description": "Glendale, WI: Band history, biography of musical director and description of scholarships offered. Concert listings and details of available CD recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Wisconsin", "url": "http://www.lakeshoresymphonicband.org/"} +{"d:Title": "Waunakee Community Band", "d:Description": "Waunakee, WI: Concert and rehearsal schedules, activities and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Wisconsin", "url": "http://www.waunakeecommband.org/"} +{"d:Title": "Jackson Hole Community Band", "d:Description": "Jackson Hole, WY: Rehearsal, concert and program information. Photo gallery and membership lists.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/North_America/United_States/Wyoming", "url": "http://www.jhcband.org/"} +{"d:Title": "Grainger Wind Symphony", "d:Description": "Located in Melbourne, Australia, the birth place of Percy Grainger and includes details of concerts, news and discussion forum.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.graingerwindsymphony.asn.au/"} +{"d:Title": "Engineering Music Society", "d:Description": "Based at Melbourne University, Australia and open to all faculties the society runs an orchestra, concert band, big band, handbell ensemble, cello octet, string quartet and jazz combo.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.ems.org.au/"} +{"d:Title": "Canberra City Band", "d:Description": "Leading community band organization in Australia's capital city, consisting of a concert band, stage band and marching band. Program, photos and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://canberracityband.com/"} +{"d:Title": "Eltham Concert Band", "d:Description": "Community based concert band located within the Nillumbik Shire of Melbourne, Australia. Includes details of upcoming events and band photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.elthamconcertband.org/"} +{"d:Title": "Sydney University Wind Orchestra", "d:Description": "Concert band based at Sydney University, Australia. Includes calendar, sheet music links, photos, forum discussion feature, documents.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://usydwindorchestra.tripod.com/"} +{"d:Title": "Rainbow Connection", "d:Description": "Musicians that identify with Perth's queer community [WA, Australia], accessible to group members only. Bulletin boards, polls and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://groups.yahoo.com/group/perthqueermusos/"} +{"d:Title": "Blue Mountains Concert Band", "d:Description": "A community-based organisation which provides practice, performance and competition experience for Concert Band musicians in the Blue Mountains and Nepean regions, west of Sydney, Australia.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.bmcb.net.au/"} +{"d:Title": "Auckland Wind Orchestra", "d:Description": "Auckland, NZ: Describes the band and the Auckland Youth Symphonic Band. Mailing list and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.awo.co.nz/"} +{"d:Title": "Brisbane Symphonic Band", "d:Description": "Brisbane, Australia: Includes the band's history, swing band information, an events calendar, how to join, a mailing list, and conductor biographies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://brisbanesymphonicband.com/"} +{"d:Title": "Port Macquarie Hastings Municipal Band", "d:Description": "Located in Port Macquarie, NSW, Australia. The group consists of six groups: the senior concert band, the blue notes ensemble, new notes, young guns youth band, hifalootin' flute choir and learn&return.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.pmhmband.midcoast.com.au/"} +{"d:Title": "Armadale City Concert Band", "d:Description": "Located in Armadale, WA, Australia the band performs about twelve concerts per year. The aim is to perform music which is musically challenging to its members and entertaining for its audience.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.armadalecityconcertband.org.au/"} +{"d:Title": "Monash Concert Band", "d:Description": "Community based concert band located within the City of Monash, Melbourne, Australia. Includes details of upcoming events and band photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.monashconcertband.org.au/"} +{"d:Title": "Onkaparinga City Concert Band", "d:Description": "Community based concert band located within the Onkaparinga region south of Adelaide, Australia. The group comprises a concert band, training band and big band and has an extensive range of instruments available for hire.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://onkaparingaband.com.au/"} +{"d:Title": "Queensland Wind Orchestra", "d:Description": "Located in Brisbane, Australia QWO provides a supportive and challenging musical environment enabling advanced players to develop their instrumental skills and ensemble musicianship to an elite standard", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.qwo.org.au/"} +{"d:Title": "Queensland Wind and Brass", "d:Description": "This A grade band is based in Brisbane, Australia. It was formed in December 1989 and arose from a need for a high standard musical group for post-secondary musicians. The group performs regularly for the community and in competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.qwab.com.au/"} +{"d:Title": "Melbourne Rainbow Band", "d:Description": "Located in Melbourne, Australia the band provides an opportunity for musicians of all ages, sexualities and walks of life to develop their musical abilities in an environment free from prejudice and discrimination and to promote the gay and lesbian community to the wider population.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.melbrainbowband.org.au/"} +{"d:Title": "The Perth Concert Band", "d:Description": "Perth, Western Australia: Information includes events, repertoire, and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.perthconcertband.org.au/"} +{"d:Title": "Albury City Band Inc.", "d:Description": "Albury, NSW, Australia: Includes information about performances, the bands CD recording and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.alburycityband.com/"} +{"d:Title": "The Lane Cove Concert Band", "d:Description": "Lane Cove, NSW, Australia: Details of the various ensembles, rehearsal times and location, performance calendar, and fee information included.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.lccb.org.au/"} +{"d:Title": "Brisbane Municipal Concert Band", "d:Description": "Brisbane, Australia: Presents information about the band and its activities, including news and events listings and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://bmcb.org.au/"} +{"d:Title": "City of Adelaide Concert Band", "d:Description": "Adelaide, AUS: Features concert dates, photo gallery and information about the band.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.adelaideconcertband.org.au/"} +{"d:Title": "Unley Concert Band", "d:Description": "Adelaide, AUS: Events and rehearsal calendar, news and band history. Photo gallery and conductor biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://www.ucb.org.au/"} +{"d:Title": "Southern Area Concert Band", "d:Description": "Moorabbin, Victoria, AUS: Includes details of the organisation's different ensembles, together with events listings, histories and membership details.", "topic": "Top/Arts/Music/Styles/C/Classical/Concert_Bands_and_Wind_Ensembles/Oceania", "url": "http://sacb.com.au/"} +{"d:Title": "Alonso-Crespo, Eduardo", "d:Description": "Argentinian conductor, includes biography, recent performances and recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/A", "url": "http://www.angelfire.com/music3/eduardoalonsocrespo/"} +{"d:Title": "Alsop, Marin", "d:Description": "Official site. Includes biography, schedule, news, discography, press information.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/A", "url": "http://www.marinalsop.com/"} +{"d:Title": "Alexander, Kristian A.", "d:Description": "Includes a biography, curriculum vitae, photo gallery, list of awards, affiliations, and articles.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/A", "url": "http://www.kristianalexander.com/"} +{"d:Title": "Asbury, Stefan", "d:Description": "English conductor and exponent of contemporary music. Features his biography, schedule and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/A", "url": "http://www.stefanasbury.com/"} +{"d:Title": "Baghdassarian, Luc", "d:Description": "Swiss conductor. Includes biography, repertoire, reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/B", "url": "http://www.lucbaghdassarian.com/"} +{"d:Title": "Benyamin, Ashraf", "d:Description": "Includes biography, photos, and listings of past engagements.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/B", "url": "http://ashraf.art.pl/"} +{"d:Title": "Bronnimann, Baldur", "d:Description": "Information, biography, concerts and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/B", "url": "http://www.baldur.info/"} +{"d:Title": "Cosmopolis: Daniel Barenboim", "d:Description": "Biography and concert review.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/B/Barenboim,_Daniel", "url": "http://www.cosmopolis.ch/english/cosmo4/barenboim.htm"} +{"d:Title": "Daniel Barenboim", "d:Description": "Official site of the conductor and pianist, born in Buenos Aires in 1942. Biography, discography, performances, personal on-line journal, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/B/Barenboim,_Daniel", "url": "http://www.danielbarenboim.com/"} +{"d:Title": "Cleobury, Nicholas", "d:Description": "English orchestral, operatic and choral conductor.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/C", "url": "http://www.nicholascleobury.net/"} +{"d:Title": "Carson, Caroline", "d:Description": "Director of Choral Activities at the University of New Orleans and music director at a local church, with links to Bulgarian music.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/C", "url": "http://www.choralnut.com/"} +{"d:Title": "Dalal, Zane", "d:Description": "Includes resume, repertoire, performances, and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/D", "url": "http://www.zanedalal.com/"} +{"d:Title": "Dorati, Antal", "d:Description": "Official site: includes biography, compositions, and paintings.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/D", "url": "http://www.dorati.com/"} +{"d:Title": "Dikran, Hovsep", "d:Description": "Bulgaria: includes resume, review clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/D", "url": "http://hovsepdikran.tripod.com/"} +{"d:Title": "Culture Kiosque: Sir Colin Davis", "d:Description": "Includes an interview with Joel Kasow.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/D/Davis,_Colin,_CBE", "url": "http://www.culturekiosque.com/opera/intervie/ra1davis.htm"} +{"d:Title": "BBC News: Sir Colin Davis' Musical Honour", "d:Description": "Reporting award of the Companion of Honour.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/D/Davis,_Colin,_CBE", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/1390665.stm"} +{"d:Title": "Fischer, Adam", "d:Description": "General Music Director of the Austro-Hungarian Haydn Orchestra. Includes biography, discography, concert schedule and recipes.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/F", "url": "http://haydnphil.org/en/fischer.htm"} +{"d:Title": "Wilhelm Furtwangler, Genius Forged in the Cauldron of War", "d:Description": "Peter Gutmann provides a brief biography and extensive examination of his artistry clashing with Nazi morality and politics. Contrasts him to Herbert von Karajan and provides a discography.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/F/Furtw\u00e4ngler,_Wilhelm", "url": "http://www.classicalnotes.net/features/furtwangler.html"} +{"d:Title": "Wilhelm Furtw\u00e4ngler and Music in the Third Reich", "d:Description": "Article from Antony Charles in the Journal of Historical Review. Provides a re-examination of his life, musical artistry, and relationships both with the Nazis and with the post-war world.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/F/Furtw\u00e4ngler,_Wilhelm", "url": "http://www.ihr.org/jhr/v17/v17n3p-2_Charles.html"} +{"d:Title": "Wilhelm Furtwangler", "d:Description": "Biography, photographs, and details of his conducting, especially as related to the Bach cantatas.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/F/Furtw\u00e4ngler,_Wilhelm", "url": "http://www.bach-cantatas.com/Bio/Furtwangler-Wilhelm.htm"} +{"d:Title": "Wilhelm Furtwaengler", "d:Description": "Biography and analysis of his wartime work, photograph, survey, and recommended recordings. Includes links to further reading and to noted contemporaries.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/F/Furtw\u00e4ngler,_Wilhelm", "url": "http://members.macconnect.com/users/j/jimbob/classical/furtwaengler.html"} +{"d:Title": "The Devil's Music Master: The Controversial Life and Career of Wilhelm Furtwaengler", "d:Description": "Review of Sam H. Shirakawa's biography by Andrew Gray. Reviewer defends the conductor to an even greater extent than does the author.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/F/Furtw\u00e4ngler,_Wilhelm", "url": "http://www.ihr.org/jhr/v14/v14n1p41_Shirakawa.html"} +{"d:Title": "Wilhelm Furtw\u00e4ngler", "d:Description": "Filmography at IMDb with on-screen and conductor credits.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/F/Furtw\u00e4ngler,_Wilhelm", "url": "http://www.imdb.com/name/nm0299162/"} +{"d:Title": "Griffiths, Hilary", "d:Description": "Includes biography, reviews, repertoire and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/G", "url": "http://www.hilarygriffiths.com/"} +{"d:Title": "Golan, Lawrence", "d:Description": "Conductor, Violinist (Atlantic Chamber Orchestra; University of Southern Maine; Portland Symphony Orchestra)", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/G", "url": "http://www.lawrencegolan.com/"} +{"d:Title": "Gergiev, Valery", "d:Description": "Information on this Russian conductor who currently devotes much of his time to conducting Prokofiev and Shostakovich.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/G", "url": "http://www.cosmopolis.ch/english/cosmo11/gergiev.htm"} +{"d:Title": "Girard, Jonathan", "d:Description": "Conductor for Waltham Philharmonic: includes biography, reviews, repertoire, and calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/G", "url": "http://www.jonathangirard.com/"} +{"d:Title": "Monteverdi.co.uk", "d:Description": "Website of the Monteverdi Choir, English Baroque Soloists, and Orchestre R\u00e9volutionnaire et Romantique. Information about the groups, their performances and recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/G/Gardiner,_John_Eliot", "url": "http://www.monteverdi.co.uk/"} +{"d:Title": "Bach Cantatas Website: John Eliot Gardiner", "d:Description": "Biography, photos, information about recordings of Bach, and links to other sites.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/G/Gardiner,_John_Eliot", "url": "http://www.bach-cantatas.com/Bio/Gardiner-John-Eliot.htm"} +{"d:Title": "Hogwood, Christopher", "d:Description": "Filmography at IMDb including conducting credits.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/H/Hogwood,_Christopher", "url": "http://www.imdb.com/name/nm0389780/"} +{"d:Title": "J\u00e4rvi, Paavo", "d:Description": "Estonian-born conductor. Includes biography, concert schedule, discography, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/J", "url": "http://www.paavojarvi.com/"} +{"d:Title": "J\u00e4rvi, Neeme", "d:Description": "Includes concert schedule, biography and discography for Estonian conductor.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/J", "url": "http://www.neemejarvi.ee/"} +{"d:Title": "Klassen, Frank", "d:Description": "Canadian conductor: includes repertoire and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/K", "url": "http://www.maestroklassen.com/"} +{"d:Title": "Kleiber, Erich and Carlos", "d:Description": "Fan page for these father-and-son conductors.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/K", "url": "http://www.thrsw.com/"} +{"d:Title": "Kaljuste, T\u00f5nu", "d:Description": "Estonian conductor: news, biography, concert schedule, discography, and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/K", "url": "http://www.tonukaljuste.com/"} +{"d:Title": "Herbert von Karajan", "d:Description": "Comprehensive biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/K/Karajan,_Herbert_von", "url": "http://www.karajan.org/"} +{"d:Title": "The Koussevitzky Music Foundations", "d:Description": "Includes information and applications for grants for commissioned works.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/K/Koussevitzky,_Serge", "url": "http://www.koussevitzky.org/"} +{"d:Title": "Koussevitzky Recordings Society", "d:Description": "Includes biography, bibliography, discography, articles, and information on organization's activity and publications.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/K/Koussevitzky,_Serge", "url": "http://www.classical.net/music/guide/society/krs/krstop.html"} +{"d:Title": "Koussevitzky.com", "d:Description": "Fan site: links, biography and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/K/Koussevitzky,_Serge", "url": "http://www.koussevitzky.com/"} +{"d:Title": "Leach, Brenda Lynne", "d:Description": "Includes biography, resume, and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/L", "url": "http://www.bllmusic.org/"} +{"d:Title": "Minsky, Meir", "d:Description": "Includes biography, discography, reviews, news, photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/M", "url": "http://www.meirminsky.com/"} +{"d:Title": "Masur, Kurt", "d:Description": "Official site: concert schedule, biography, photo album, discography, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/M", "url": "http://www.kurtmasur.com/"} +{"d:Title": "Matakiev, Metodi", "d:Description": "Conductor of the Chorus of the Bulgarian National Radio: includes biography, discography, and pictures.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/M", "url": "http://matakiev.tripod.com/"} +{"d:Title": "Neville Marriner", "d:Description": "Wikipedia entry with life, accomplishments, and internal links to related people and themes.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/M/Marriner,_Neville,_Sir", "url": "http://en.wikipedia.org/wiki/Neville_Marriner"} +{"d:Title": "Neville Marriner", "d:Description": "Brief biography with credited filmography as conductor, actor, musical director, and music supervisor from the Internet Movie Database.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/M/Marriner,_Neville,_Sir", "url": "http://www.imdb.com/name/nm0550165/"} +{"d:Title": "Nanut, Anton", "d:Description": "Slovenian Radio Symphony Orchestra conductor: brief biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/N", "url": "http://www2.arnes.si/~supananu/"} +{"d:Title": "Northey, Benjamin", "d:Description": "Australian conductor: includes biography and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/N", "url": "http://benjaminnorthey.tripod.com/"} +{"d:Title": "Emmanu\u00ebl Pleijers", "d:Description": "Netherlands: includes biography; opera, church, and orchestral repertoire, and calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/P", "url": "http://www.emmanuelpleijers.com/"} +{"d:Title": "Padmore, Andrew", "d:Description": "Choral/orchestral conductor. Includes biography, press quotes, and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/P", "url": "http://www.drapadmore.co.uk/"} +{"d:Title": "Andr\u00e9 Previn", "d:Description": "Andr\u00e9 Previn's filmography at IMDb.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/P/Previn,_Andr\u00e9", "url": "http://www.imdb.com/name/nm0006238/"} +{"d:Title": "Andre Previn Biography", "d:Description": "A biography of Andr\u00e9 Previn.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/P/Previn,_Andr\u00e9", "url": "http://www.musicsalesclassical.com/composer/short-bio/Andr%C3%A9-Previn"} +{"d:Title": "Rescigno, Joseph", "d:Description": "Includes discography with audio clips, discussion, concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/R", "url": "http://www.concertatore.com/"} +{"d:Title": "Joshua Rifkin: Authentic at Heart", "d:Description": "Article from the Ha'aretz English Edition showing his disagreements with many who are considered experts in early music performance, including Harnoncourt, Leonhardt, and John Eliot Gardiner.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/R/Rifkin,_Joshua", "url": "http://www.haaretz.co.il/hasen/pages/ShArt.jhtml?itemNo=115302&contrassID=2&subContrassID=11&sbSubContrassID=0&listSrc=Y&itemNo=115302"} +{"d:Title": "Joshua Rifkin: Conductor, Musicologist", "d:Description": "Biography from Bach-Cantatas.com by Aryeh Oron tracing his musical development and changes, listing major works and performances, and linking to some of the groups with which he has performed or conducted.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/R/Rifkin,_Joshua", "url": "http://www.bach-cantatas.com/Bio/Rifkin-Joshua.htm"} +{"d:Title": "Saul, Emir", "d:Description": "Argentine-Italian Conductor and Composer specializing in American composers: biography, reviews and repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S", "url": "http://www.emirsaul.org/"} +{"d:Title": "Skipworth, George", "d:Description": "Biographical information and photos of conductor/composer George Skipworth, whose career covers three continents.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S", "url": "http://rosslare8.tripod.com/"} +{"d:Title": "So, Perry", "d:Description": "Personal site of young conductor active in China and Russia, laureate of the Prokofiev Competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S", "url": "http://www.perryso.com/"} +{"d:Title": "Obies: Robert Shaw, To Work With a Master", "d:Description": "Article describing work with Oberlin College students.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S/Shaw,_Robert", "url": "http://www.oberlin.edu/wwwcomm/ats/atspast/ats0497/ats0497_obies.html"} +{"d:Title": "Leopold Stokowski", "d:Description": "Biography from The Leopold Stokowski Society of America hosted by Classical Net. Includes picture gallery and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S/Stokowski,_Leopold", "url": "http://www.classical.net/music/guide/society/lssa/stokybio.html"} +{"d:Title": "Leopold Stokowski: Forever Young", "d:Description": "Review of reissued works he conducted with the All-American Youth Orchestra. Commentary by a passionate fan includes biography and history of the founding of the orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S/Stokowski,_Leopold", "url": "http://www.classicalnotes.net/columns/youthweb.html"} +{"d:Title": "Leopold Stokowski Collection", "d:Description": "University of Pennsylvania Special Collections entry with facsimiles of a marked score, a letter from Ralph Vaughn Williams, and pages from his new musician auditions.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S/Stokowski,_Leopold", "url": "http://www.library.upenn.edu/exhibits/rbm/music/leopold.html"} +{"d:Title": "Leopold Stokowski", "d:Description": "Filmography at IMDb with actor and conductor credits.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/S/Stokowski,_Leopold", "url": "http://www.imdb.com/name/nm0831439/"} +{"d:Title": "Tabachnik, Michel", "d:Description": "Conductor and composer. Biography and list of works.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/T", "url": "http://www.tabachnik.org/"} +{"d:Title": "Trout, Jonathan", "d:Description": "An experienced orchestral conductor available for engagements worldwide.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/T", "url": "http://www.jonathantrout.co.uk/"} +{"d:Title": "Michael Tilson Thomas", "d:Description": "Profile and links concerning this influential conductor.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/T/Thomas,_Michael_Tilson", "url": "http://www.musicsalesclassical.com/composer/short-bio/1594"} +{"d:Title": "Udagawa, Yoichi", "d:Description": "Biography, repertoire, articles, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/U", "url": "http://www.yoichiudagawa.com/"} +{"d:Title": "Vass, George", "d:Description": "British conductor, provides his biography, news, concerts, discography and a list of premieres.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/V", "url": "http://www.georgevass.co.uk/"} +{"d:Title": "Verrot, Pascal", "d:Description": "French orchestra conductor, provides his biography, discography, press articles and photos. [French/English]", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/V", "url": "http://verrot.free.fr/"} +{"d:Title": "Woods, Cynthia", "d:Description": "American conductor and Music Director of Cambridge Symphony Orchestra and the Artistic Director of the Worcester Youth Orchestras.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/W", "url": "http://www.cynthiawoods.org/"} +{"d:Title": "Zaliouk, Yuval", "d:Description": "Extensive information on this prominent Israeli conductor.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/Z", "url": "http://www.zaliouk.com/"} +{"d:Title": "Zuccarini, Marco", "d:Description": "Has significant national and international experience as an orchestra conductor.", "topic": "Top/Arts/Music/Styles/C/Classical/Conductors/Z", "url": "http://www.marcozuccarini.it/english"} +{"d:Title": "Irish Contemporary Music Centre", "d:Description": "Documents and promotes contemporary Irish concert music.", "topic": "Top/Arts/Music/Styles/C/Classical/Contemporary", "url": "http://www.cmc.ie/"} +{"d:Title": "Boston Musica Viva", "d:Description": "Professional ensemble playing new music by American composers under the direction of Richard Pittman. Board, commissions.", "topic": "Top/Arts/Music/Styles/C/Classical/Contemporary", "url": "http://www.bmv.org/"} +{"d:Title": "New Consonant Music", "d:Description": "Resource center for post-modern contemporary music. Information about composers, performers, compositions with graphical and MIDI samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Contemporary", "url": "http://www.newconsonantmusic.com/"} +{"d:Title": "Classical Music on The Russian Web", "d:Description": "Links to Russian musicians, conductors, choruses, orchestras, musical organization, halls and theaters.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://www.classicalmusic.spb.ru/links/index.html"} +{"d:Title": "Major Classical Composition Titles or Nicknames", "d:Description": "1000+ compositions, with composer.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://www.angelfire.com/biz/musiclassical/cmtitlesearch.html"} +{"d:Title": "Classical Music on the Web U.S.A.", "d:Description": "Directory of links to composers, conductors, performers, multimedia and reference sites. Includes jazz and other genres.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://classicalusa.com/"} +{"d:Title": "Cosmopolis Music Links", "d:Description": "A directory of orchestras, opera houses, festivals, magazines and other resources.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://www.cosmopolis.ch/musiclinks.htm"} +{"d:Title": "Classical Artists Worldwide", "d:Description": "A subscription directory for ensembles and performers of classical music.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://www.classical-artists.com/"} +{"d:Title": "Classical Music Directories", "d:Description": "Extensive collection of links maintained by a classical music enthusiast.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://www.angelfire.com/music4/mclub/mc.html"} +{"d:Title": "MusicWeb International", "d:Description": "Classical Music, Film Music, Soundtrack, Nostalgia, Rock, and Jazz CD and live concert reviews, as well as detailed Composer profiles", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://www.musicweb-international.com/"} +{"d:Title": "aMUSIClassical Directory", "d:Description": "Searchable index includes composers, performers, themes, notable works and programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://musiclassical.tripod.com/"} +{"d:Title": "MusiClassical", "d:Description": "Directories of classical music composers, performers and other indexes of interest.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://musclas.blogspot.com/"} +{"d:Title": "Art of Music WebRing", "d:Description": "Lists sites that deal with history, theory, performance, education, composers and artists.", "topic": "Top/Arts/Music/Styles/C/Classical/Directories", "url": "http://nav.webring.org/navcgi?ring=artmusic"} +{"d:Title": "Schubertiade", "d:Description": "Official site of the prestigious music festival held annually in June in Feldkirch, Austria. In German and English.", "topic": "Top/Arts/Music/Styles/C/Classical/Lieder", "url": "http://www.schubertiade.at/"} +{"d:Title": "The art of singing piano", "d:Description": "Lieder, melodies, art song. Catalogue by composer, catalogues of the Fischer-Dieskau/Moore and Hyperion complete Schubert Lieder recordings, bibliography, articles, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Lieder", "url": "http://www.gopera.com/lieder/"} +{"d:Title": "DISCUSSION GROUP Links", "d:Description": "Classical Music Discussion Group Links Page. Includes links to the majordomo of the Brahms and Schumann Lists. These lists not yet reviewed.", "topic": "Top/Arts/Music/Styles/C/Classical/Lieder", "url": "http://www.angelfire.com/biz/acousticdigest/cmdgroups.html"} +{"d:Title": "On the Enjoyment of Challenges", "d:Description": "Interview with Dietrich Fischer-Dieskau in English translation.", "topic": "Top/Arts/Music/Styles/C/Classical/Lieder", "url": "http://www.gopera.com/lieder/fidi.html"} +{"d:Title": "Contributions to the Lieder Repertoire 1900-1999", "d:Description": "A list of published compositions available in score form.", "topic": "Top/Arts/Music/Styles/C/Classical/Lieder", "url": "http://www.pjb.com.au/mus/lieder_writers.html"} +{"d:Title": "British Song Fa La La", "d:Description": "Searchable database of English, Irish, Scottish, Welsh, Canadian, Australian and South African songs. Publishers' addresses and a booklist.", "topic": "Top/Arts/Music/Styles/C/Classical/Lieder", "url": "http://cfaonline.cfa.asu.edu/hoffer/"} +{"d:Title": "La Scena Musicale", "d:Description": "Free monthly magazine: interviews, articles, CD reviews; Media Watch, Audio and Video links; Canadian classical music calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.scena.org/"} +{"d:Title": "Opera-Opera", "d:Description": "(Australian Musical Theatre) some articles online.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.opera-opera.com.au/"} +{"d:Title": "The Classical Source", "d:Description": "News, reviews and concert listings regularly updated. UK based site.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.classicalsource.com/"} +{"d:Title": "Klassiknet", "d:Description": "Classical music news, features, concert calendar, interviews, concert and CD reviews by international critics and journalists.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.culturekiosque.com/klassik/index.htm"} +{"d:Title": "Music&Vision", "d:Description": "Daily online magazine devoted to classical music, with reviews, articles, interviews, artist profiles, fiction, editorials, and an email newsletter.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.mvdaily.com/"} +{"d:Title": "Gramophone Magazine", "d:Description": "Contains news, competitions, CD reviews, and forum.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.gramophone.co.uk/"} +{"d:Title": "La Folia", "d:Description": "Good, in depth articles.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.lafolia.com/"} +{"d:Title": "All Things Strings", "d:Description": "The Web site of Strings Magazine. Profiles, reviews, news, market updates, a searchable dealer database, and answers to questions on bowed instruments, players, and makers.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.stringsmagazine.com/"} +{"d:Title": "Classical Singer", "d:Description": "Includes auditions, singer forum, singer directory, classifieds, sublets, and products.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.classicalsinger.com/"} +{"d:Title": "NewMusicBox", "d:Description": "E-zine of the American Music Center: news and articles on contemporary American music.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.newmusicbox.org/"} +{"d:Title": "Musical Opinion", "d:Description": "Concert reviews and features on classical music and musicians, including opera, ballet and contemporary composition.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.musicalopinion.com/"} +{"d:Title": "London Music Guide", "d:Description": "Classical music in London, in depth guide to opera, ballet and orchestral music with venues, listings, links and information.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.londonnet.co.uk/ln/out/music/classical.html"} +{"d:Title": "Choir and Organ", "d:Description": "Choir and Organ classical music magazine - religious, secular, choral - reviews, news, events.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.choirandorgan.com/"} +{"d:Title": "Topix: Classical", "d:Description": "News about classical, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/classical.xml"} +{"d:Title": "Classical-music.com", "d:Description": "BBC Music Magazine. Features articles, CD reviews, news, competitions and concert listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Magazines_and_E-zines", "url": "http://www.classical-music.com/"} +{"d:Title": "Armenian Philharmonic Orchestra", "d:Description": "Includes history, conductors, news and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Asia", "url": "http://apo.am/"} +{"d:Title": "Malaysian Philharmonic Orchestra", "d:Description": "Kuala Lumpur: Includes history and sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Asia", "url": "http://www.mpo.com.my/"} +{"d:Title": "Hong Kong Philharmonic Orchestra", "d:Description": "Includes schedule and ticket information. English and Chinese.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Asia/Hong_Kong", "url": "http://www.hkpo.com/"} +{"d:Title": "Japan Philharmonic Symphony Orchestra", "d:Description": "News, profiles of conductors, concert schedule, and ticket ordering. Tokyo.[English/Japanese]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Asia/Japan", "url": "http://www.japanphil.or.jp/"} +{"d:Title": "Fujisawa Symphony Orchestra", "d:Description": "Fujisawa, Kanagawa Prefect: includes rehearsal and concert calendar, and ticket price information. (English, Japanese)", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Asia/Japan", "url": "http://orchestra.musicinfo.co.jp/~fujikyo/"} +{"d:Title": "Singapore Symphony Orchestra", "d:Description": "Includes concert schedule, members, ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Asia/Singapore", "url": "http://www.sso.org.sg/"} +{"d:Title": "City Chamber Orchestra of Hong Kong", "d:Description": "Personnel, schedule, reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Asia", "url": "http://www.ccohk.com/"} +{"d:Title": "Chamber Orchestra of Europe", "d:Description": "Includes schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe", "url": "http://www.coeurope.org/"} +{"d:Title": "Slovene Philharmonic String Chamber Orchestra", "d:Description": "Personnel, repertoire, discography", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe", "url": "http://www.drustvo-kgosf.si/"} +{"d:Title": "Torun Chamber Orchestra", "d:Description": "(Poland) Concert schedule, repertoire, history, and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe", "url": "http://www.tos.art.pl/index.php?lang=en"} +{"d:Title": "Salzburg Chamber Soloists", "d:Description": "Performs worldwide. History, biographies, reviews, discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Austria", "url": "http://www.salcsol.com/"} +{"d:Title": "Rajhans Orchestra", "d:Description": "(Belgium) Orchestra devoted to fusing South Asian and European classical musical traditions. Schedule, information about the orchestra and Indian Music Project, audio samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Belgium", "url": "http://users.telenet.be/rajhans.orchestra/"} +{"d:Title": "Brussels Chamber Orchestra", "d:Description": "Biographies, sound clips, repertoire. [English and French]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Belgium", "url": "http://www.brusselschamberorchestra.com/"} +{"d:Title": "Die Deutsche Kammerphilharmonie Bremen", "d:Description": "Includes concert calendar, photographic archives, partial discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Germany", "url": "http://www.kammerphilharmonie.com/"} +{"d:Title": "Kammersymphonie Berlin", "d:Description": "History, information, biographies, reviews, schedule. In English and German.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Germany", "url": "http://www.kammersymphonie-berlin.de/"} +{"d:Title": "Ensemble Zefiro", "d:Description": "Music group specialised in the repertory of the 1700's. Overview, discography, repertoire, calendar of events and contact details. [English and Italian]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Italy", "url": "http://www.ensemblezefiro.it/"} +{"d:Title": "Cappella Augustana", "d:Description": "Early music ensemble specialising in music of the late Renaissance and the Baroque. About, conductor's biography, discography, sound clips. [English and Italian]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Italy", "url": "http://www.cappella-augustana.org/"} +{"d:Title": "Moscow Chamber Orchestra", "d:Description": "Information, history, reviews, recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Russia", "url": "http://www.moscowchamberorchestra.com/"} +{"d:Title": "St. Petersburg Chamber Philharmonic", "d:Description": "A chamber orchestra recently founded by conductor Jeffery Meyer in honor of St. Petersburg's 300th Anniversary. Mission, concert schedule, sound and film clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Russia", "url": "http://www.st-pcp.org/"} +{"d:Title": "Ensemble XXI", "d:Description": "Moscow-based international chamber orchestra. History, news, sound clips, calendar, discography, friends, sponsorship.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Russia", "url": "http://ensemblexxi.org/"} +{"d:Title": "Lucerne Festival Strings", "d:Description": "Swiss chamber orchestra. History, director's biography, schedule, discography. [English, German and French]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/Switzerland", "url": "http://www.festivalstringslucerne.org/"} +{"d:Title": "Scottish Chamber Orchestra", "d:Description": "Profile of the orchestra, with a history, concert programme, booking details, and merchandise. Also includes their education projects, and information about the SCO Chorus.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.sco.org.uk/"} +{"d:Title": "The Academy of Ancient Music (AAM)", "d:Description": "Period instrument ensemble. News, history, biographies, discography, schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.aam.co.uk/"} +{"d:Title": "Camerata of London", "d:Description": "Flexible chamber orchestra and ensembles specialising in baroque, classical and new music. Information, sample programmes.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.camerataoflondon.org/"} +{"d:Title": "City of London Sinfonia", "d:Description": "History of this chamber orchestra, with their concert schedule, details of their education and community programs, and information about their recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.cityoflondonsinfonia.co.uk/"} +{"d:Title": "The English Concert", "d:Description": "Baroque orchestra based in London. Includes a history, concert schedule, and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.englishconcert.co.uk/"} +{"d:Title": "Kensington Chamber Orchestra", "d:Description": "Amateur orchestra performing in London. Concert schedules.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.kco.org.uk/"} +{"d:Title": "La Serenissima", "d:Description": "Specialize in the music of Antonio Vivaldi and his contemporaries, bringing works seldom if ever heard to the concert platform. Information about their recordings, concert schedule, with reviews and biographies.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.laserenissima.co.uk/"} +{"d:Title": "Glasgow Chamber Orchestra", "d:Description": "Amateur orchestra. Schedule, history, friends.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.gco.org.uk/"} +{"d:Title": "London Sinfonietta", "d:Description": "Specialises in contemporary classical music. Events, booking, archive of reviews, interviews and details of musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.londonsinfonietta.org.uk/"} +{"d:Title": "Orchestra of Square Chapel", "d:Description": "Based at the Square Chapel Centre for the Arts, Halifax. Concert schedule and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.aqsf29.dsl.pipex.com/new/"} +{"d:Title": "Midland Chamber Players", "d:Description": "Birmingham-based chamber music group that plays regular lunchtime concerts. Site details upcoming concerts, history of the players and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.midlandchamberplayers.org.uk/"} +{"d:Title": "Cambridge University Chamber Orchestra", "d:Description": "Concert calendar and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.cuco.co.uk/"} +{"d:Title": "Devon Baroque", "d:Description": "A Devon-based professional chamber orchestra specialising in the music of the baroque, is directed by Margaret Faultless, a violinist of international stature.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.devonbaroque.co.uk/"} +{"d:Title": "Manchester Camerata", "d:Description": "Chamber orchestra based in Manchester. Details of their concert schedules, their education and community work, with background information on their support and members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.manchestercamerata.co.uk/"} +{"d:Title": "Sale Chamber Orchestra", "d:Description": "Gives concerts in Sale, Manchester and surrounding area; information about concerts and the Trafford Young String Player award.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.salechamberorchestra.co.uk/"} +{"d:Title": "Camden Chamber Orchestra", "d:Description": "Non-professional London chamber ensemble. Information on the orchestra, schedule of concerts and rehearsals, notice board, reviews, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.camdenso.org.uk/"} +{"d:Title": "Ten Tors Orchestra", "d:Description": "Professional chamber orchestra touring Devon and Cornwall: details of concerts, new commissions, concerts and musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://simonible.com/tentorsorchestra.html"} +{"d:Title": "Ashford Baroque Ensemble", "d:Description": "Amateur orchestra in Feltham, Middx. Rehearses fortnightly on Monday evenings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://www.ashfordbaroque.org.uk/"} +{"d:Title": "Letchworth Sinfonia", "d:Description": "Amateur chamber orchestra based in Letchworth, Hertfordshire. Performs two or three concerts a year for the local community.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://letchworth-sinfonia.webs.com/"} +{"d:Title": "London Mozart Players", "d:Description": "British orchestra directed by Andrew Parrott. Information about concerts, recordings, and their education programme.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom", "url": "http://londonmozartplayers.com/"} +{"d:Title": "Academy of St Martin-in-the-Fields", "d:Description": "A short history with photographs and discography of Bach cantatas and other vocal works.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom/Academy_of_St._Martin_in_the_Fields", "url": "http://www.bach-cantatas.com/Bio/ASMF.htm"} +{"d:Title": "Live in Studio 4A: ASMF Chamber Ensemble", "d:Description": "Biography and recorded highlights from National Public Radio's Performance Today. Includes works by J. S. Bach, Grainger, and Dvor\u00e1k in RAM audio.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Europe/United_Kingdom/Academy_of_St._Martin_in_the_Fields", "url": "http://www.npr.org/programs/pt/4a/asmf.html"} +{"d:Title": "Les Violons du Roy", "d:Description": "Qu\u00e9bec chamber orchestra. Classical, baroque and choral repertoire with La Chapelle de Qu\u00e9bec. Discography, personnel, schedule. [Eglsih and French]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/Canada", "url": "http://www.violonsduroy.com/"} +{"d:Title": "I Musici de Montr\u00e9al", "d:Description": "Chamber orchestra in Montreal, provides concert calendar, discography and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/Canada", "url": "http://www.imusici.com/"} +{"d:Title": "Sinfonia Toronto", "d:Description": "Schedule, news and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/Canada", "url": "http://www.sinfoniatoronto.com/"} +{"d:Title": "Oakville Chamber Orchestra", "d:Description": "Oakville, Ontario. History, biographies, schedules, programme notes, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/Canada", "url": "http://www.oakvillechamber.org/"} +{"d:Title": "The Galiano Ensemble", "d:Description": "(Victoria, BC) Schedule, biographies, reviews, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/Canada", "url": "http://members.shaw.ca/Galianoensemble/index.htm"} +{"d:Title": "Red Mountain Chamber Orchestra", "d:Description": "[Birmingham, AL] Includes concert schedule, photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Alabama", "url": "http://www.rmco.org/rmco/"} +{"d:Title": "South Bay Chamber Music Society of Southern California", "d:Description": "Performs monthly concerts in the LA area. Schedule, history, members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/California", "url": "http://www.palosverdes.com/sbcms"} +{"d:Title": "Chamber Orchestra of the South Bay", "d:Description": "Near Los Angeles, CA: includes calendar, reviews, history, subscription information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/California", "url": "http://www.palosverdes.com/chamberorchestra"} +{"d:Title": "San Francisco Chamber Orchestra", "d:Description": "Northern California's oldest professional chamber orchestra. History, personnel, news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/California", "url": "http://www.sfchamberorchestra.org/"} +{"d:Title": "New Century Chamber Orchestra", "d:Description": "Includes schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/California", "url": "http://www.ncco.org/"} +{"d:Title": "San Jose Chamber Orchestra", "d:Description": "Reviews, concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/California", "url": "http://www.sjco.org/"} +{"d:Title": "Chamber Orchestra of the Springs", "d:Description": "(CO) Non-profit community chamber orchestra. History, schedule, information", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Colorado", "url": "http://www.chamberorchestraofthesprings.org/"} +{"d:Title": "Musica Sacra Chamber Orchestra", "d:Description": "Affiliated with the Augustana Lutheran Church of Denver. Schedule, information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Colorado", "url": "http://www.augustanaarts.org/"} +{"d:Title": "Connecticut Virtuosi Chamber Orchestra", "d:Description": "(New Britain, CT) Schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Connecticut", "url": "http://www.thevirtuosi.org/"} +{"d:Title": "Saybrook College Orchestra", "d:Description": "(New Haven, CT) Student-run chamber orchestra. Concert schedule, personnel, biographies, photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Connecticut", "url": "http://syorchestra.sites.yale.edu/"} +{"d:Title": "New Chicago Chamber Orchestra", "d:Description": "Season schedule of upcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Illinois", "url": "http://www.newcco.org/"} +{"d:Title": "New World Chamber Orchestra Inc.", "d:Description": "[Indianapolis, IN] Alumni, concert schedule, conductors biographies, photos, history, personnel, news, rehearsals, competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Indiana", "url": "http://www.nwyso.org/"} +{"d:Title": "Orchestra Kentucky", "d:Description": "Includes a calendar of performances, special events, an overview of the players and singers, and ticket details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Kentucky", "url": "http://www.orchestrakentucky.com/"} +{"d:Title": "Maine Music Society", "d:Description": "Orchestral and choral group in the southern Maine area. History, biographies, schedule, reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Maine", "url": "http://www.mainemusicsociety.org/"} +{"d:Title": "Baltimore Chamber Orchestra", "d:Description": "[Maryland] Professional ensemble. Concert schedule, tickets and subscriptions, personnel, news, CD information, volunteer opportunities and directions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Maryland", "url": "http://www.thebco.org/"} +{"d:Title": "Chameleon Arts Ensemble of Boston", "d:Description": "(MA) Schedule, ticket information, artists, reviews, and mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.chameleonarts.org/"} +{"d:Title": "Pro Arte Chamber Orchestra of Boston", "d:Description": "Includes ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.proarte.org/"} +{"d:Title": "New England String Ensemble", "d:Description": "Schedule, ticket sales, history, biographies, reviews, sponsors, outreach, competitions, personnel.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.newenglandstringensemble.org/"} +{"d:Title": "Boston Baroque", "d:Description": "Period instrument ensemble and chamber chorus. CD sales, history, instrumentation, concert schedule, artistic highlights, news and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.bostonbaroque.org/"} +{"d:Title": "Bach Society Orchestra", "d:Description": "Harvard student-run chamber orchestra. Includes members, performances, competitions and sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.hcs.harvard.edu/~bachsoc/"} +{"d:Title": "Minnesota Sinfonia", "d:Description": "Information, calendar, and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Minnesota", "url": "http://www.mnsinfonia.org/"} +{"d:Title": "Saint Paul Chamber Orchestra", "d:Description": "Includes ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Minnesota", "url": "http://www.thespco.org/"} +{"d:Title": "Exultate", "d:Description": "(Minnesota) Chamber Choir and Orchestra. Schedule, personnel, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Minnesota", "url": "http://www.exultate.org/"} +{"d:Title": "Reno Chamber Orchestra", "d:Description": "[NV] Introduction, schedule, friends, events and competitions, ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Nevada", "url": "http://www.renochamberorchestra.org/"} +{"d:Title": "Keene Chamber Orchestra", "d:Description": "Professional/student ensemble. Background, program notes, online ticket purchases.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/New_Hampshire", "url": "http://www.keenechamberorchestra.org/"} +{"d:Title": "Nashua Chamber Orchestra", "d:Description": "Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/New_Hampshire", "url": "http://nco-music.org/"} +{"d:Title": "Broadway Bach Ensemble", "d:Description": "New York City-based orchestra offering free concerts on the west side. Programs, program notes, biographies, sound clips, mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/New_York", "url": "http://www.broadwaybach.org/"} +{"d:Title": "One World Symphony", "d:Description": "(NY) Ensemble specialising in new, culturally diverse, and classical music. Includes a community music calendar and bulletin boards for volunteer instrumentalists/singers.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/New_York", "url": "http://www.oneworldsymphony.org/"} +{"d:Title": "The Park Avenue Chamber Symphony", "d:Description": "Non-professional chamber orchestra in New York City. Concert details, biographies, program notes, recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/New_York", "url": "http://www.chambersymphony.com/"} +{"d:Title": "Orpheus Chamber Orchestra", "d:Description": "(NYC) Schedule, ticket information, history, biographies, recordings, and mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/New_York", "url": "http://www.orpheusnyc.org/"} +{"d:Title": "Cleveland Chamber Symphony", "d:Description": "Concerts, members, awards.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Ohio", "url": "http://www.clevelandchambersymphony.org/"} +{"d:Title": "ProMusica Chamber Orchestra", "d:Description": "Organization's history, season schedule, biographies of guest artists, sign-up form for the mailing list, and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Ohio", "url": "http://www.promusicacolumbus.org/"} +{"d:Title": "Starling Chamber Orchestra", "d:Description": "Cincinnati youth orchestra. About, history, schedule, faculty, alumni, tours, discography, sound clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Ohio", "url": "http://www.starling.org/"} +{"d:Title": "Chamber Orchestra of Philadelphia", "d:Description": "Introduction, history, personnel, biographies, reviews, bookings, schedule, ticket sales, support, online store.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.chamberorchestra.org/"} +{"d:Title": "Pennsylvania Centre Chamber Orchestra", "d:Description": "Schedule, history, personnel, conductor's biography, venues.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.centreorchestra.org/"} +{"d:Title": "Black Pearl Chamber Orchestra", "d:Description": "Based in Philadelphia and lead by Jeri Lynne Johnson, it is a not-for-profit racially diverse orchestra. Contains multimedia, how to support, programs, and news items.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.blackpearlco.org/"} +{"d:Title": "Fort Bend Symphony Orchestra", "d:Description": "Symphony and string orchestra. News, information, Young Artist Concerto Competition, schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Texas", "url": "http://www.fbso.org/"} +{"d:Title": "La Follia Austin Baroque", "d:Description": "(Austin, TX) Performing Baroque music on period instruments.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Texas", "url": "http://www.lafollia.org/"} +{"d:Title": "Houston Sinfonietta", "d:Description": "Includes concert information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Texas", "url": "http://www.houstonsinfonietta.org/"} +{"d:Title": "Austin Chamber Ensemble", "d:Description": "Austin, Texas. Calendar; ticket ordering; group information; links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Texas", "url": "http://www.austinchamberensemble.com/"} +{"d:Title": "Symphony North of Houston", "d:Description": "Community-based orchestra in North Houston. History, schedules.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Texas", "url": "http://www.symphonynorth.org/"} +{"d:Title": "Brazos Chamber Orchestra", "d:Description": "(Johnson County, TX) Information, schedule, photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Texas", "url": "http://katalina011.tripod.com/"} +{"d:Title": "Montpelier Chamber Orchestra Society", "d:Description": "(VT) Schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Vermont", "url": "http://www.montpelierchamberorchestra.org/"} +{"d:Title": "Old Bridge Chamber Orchestra", "d:Description": "Prince William County area: includes concert calendar and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Virginia", "url": "http://www.obco.org/"} +{"d:Title": "Williamsburg Symphonia", "d:Description": "Concert schedule, history, music director's biography, education.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Virginia", "url": "http://www.williamsburgsymphonia.org/"} +{"d:Title": "Virginia Chamber Orchestra", "d:Description": "Forty-member group performing in communities throughout the Washington metropolitan area and Virginia. Includes season schedule, profiles, reviews and outreach programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.virginiachamberorchestra.org/"} +{"d:Title": "Bach Sinfonia", "d:Description": "Period-instrument chamber orchestra provides concerts, lectures and discussions to enhance understanding of the variety of styles, composers and masterpieces of the Baroque, pre-Classical, and Classical eras.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.bachsinfonia.org/"} +{"d:Title": "Eclipse Chamber Orchestra", "d:Description": "Composed primarily of members of the National Symphony Orchestra with the goal of making concert performances accessible to all audiences. Includes season schedule, history, personnel, reviews and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.eclipseco.org/"} +{"d:Title": "PostClassical Ensemble", "d:Description": "An \"experimental orchestral laboratory\" producing thematic, cross-disciplinary programming. Includes profile, performances, mission, directors, press materials, social media, blog and mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://postclassical.com/"} +{"d:Title": "New Orchestra of Washington (NOW)", "d:Description": "Eclectic chamber group dedicated to repertoire in which classical, jazz, rock, folk, crossover and other genres coexist. Includes member biographies, profile, events and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.neworchestraofwashington.org/"} +{"d:Title": "Washington Sinfonietta", "d:Description": "Performances, music director profile, membership information and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.washington-sinfonietta.org/"} +{"d:Title": "Apollo Chamber Orhcestra", "d:Description": "Dedicated to promoting and nurturing the careers of young musicians. Profile, personnel, events and gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.apolloorchestra.com/"} +{"d:Title": "Ars Nova Chamber Orchestra", "d:Description": "Thirty-member conductorless chamber ensemble's profile, upcoming performances and mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://ancoselfconducted.org/"} +{"d:Title": "Inscape", "d:Description": "Offers performances \"to engage audiences and provide a compelling way to explore both standard and non-standard works.\" Profile, concerts, musicians, sound samples, photos and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.inscape.org/"} +{"d:Title": "Takoma Ensemble", "d:Description": "String chamber orchestra offers local live classical concerts to the people of Takoma Park and surrounding neighborhoods in Montgomery County and Washington, DC. Includes profile, schedule, personnel, press releases and mailing list.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Washington,_DC", "url": "http://takomaensemble.blogspot.com/"} +{"d:Title": "Wisconsin Chamber Orchestra", "d:Description": "[WI] Background, concert schedule, tickets, donations, sponsors, personnel, conductor's biography, news, education, store, venues, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.wcoconcerts.org/"} +{"d:Title": "Kevinwood Orchestra", "d:Description": "Community orchestra based in Eastwood, Australia. News, programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Oceania/Australia", "url": "http://suzmash.freewebspace.com/kevinwood.html"} +{"d:Title": "Australian Chamber Orchestra", "d:Description": "Includes ticket information and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Oceania/Australia", "url": "http://www.aco.com.au/"} +{"d:Title": "Melbourne Musicians", "d:Description": "Chamber Orchestra specialising in popular baroque pieces as well as less-known string works including contemporary. Concert schedule, sample clip.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Oceania/Australia", "url": "http://www.melbournemusicians.com/"} +{"d:Title": "Melbourne Chamber Orchestra", "d:Description": "Melbourne: Includes concert and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Oceania/Australia", "url": "http://www.mco.org.au/"} +{"d:Title": "Auckland Chamber Orchestra", "d:Description": "Professional orchestra under the direction of Peter Scholes. Concert listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/Oceania/New_Zealand", "url": "http://www.aco.co.nz/"} +{"d:Title": "Camerata Lazarte", "d:Description": "(Argentina) General information, repertoire, issued CDs, soloists and conductors, links. (In Spanish.)", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Chamber_Orchestras/South_America", "url": "http://www.angelfire.com/music3/cameratalazarte/"} +{"d:Title": "Fujisawa Symphony Orchestra", "d:Description": "Includes schedule and history. (English, Japanese)", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Asia/Japan", "url": "http://orchestra.musicinfo.co.jp/~fujikyo/"} +{"d:Title": "Orchestra Do Svidanya", "d:Description": "Tokyo: Orchestra and chorus constituted by admirers of Dmitry Shostakovich. Includes message from president, conductor profile, history, library, and program notes. English and Japanese.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Asia/Japan", "url": "http://www.dasubi.org/index_e.html"} +{"d:Title": "Kensington Symphony Orchestra", "d:Description": "Non-professional ensemble, based in London, with its own concert series on London's South Bank, and at St John's, Smith Square. Details of program, and rehearsals.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.kso.org.uk/"} +{"d:Title": "Barnet Symphony Orchestra", "d:Description": "Giving talented, local amateur musicians the opportunity to play and perform from the classical repertoire. Details of their three concerts a year, and membership.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://website.lineone.net/~barnet.orchestra"} +{"d:Title": "The Lambeth Orchestra", "d:Description": "Making orchestral music available to music-lovers in Lambeth and neighboring boroughs. Profile of the ensemble, with a concert schedule, and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.lambeth-orchestra.org.uk/"} +{"d:Title": "Reading Symphony Orchestra", "d:Description": "Brings together amateur musicians from the Thames Valley to perform classical music concerts. Program and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.rso.org.uk/"} +{"d:Title": "Todmorden Orchestra", "d:Description": "Based in West Yorkshire; includes dates and programs for forthcoming concerts, with reviews and information for new orchestra members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.todmordenorchestra.org.uk/"} +{"d:Title": "Nottingham Philharmonic Orchestra", "d:Description": "Profile of a thriving amateur orchestra, with details of their concert season, ticket information, reviews, programme notes, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.nottinghamphilharmonic.co.uk/"} +{"d:Title": "Forest Philharmonic Orchestra", "d:Description": "Based in Waltham Forest, London. Describes the aims of the ensemble founded in 1964. Includes current programme, performers and history, and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://forestphilharmonic.org.uk/"} +{"d:Title": "Oxford Symphony Orchestra", "d:Description": "Based within Oxford, with rehearsals during university term time. Includes their history, programme details, and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.oxfordsymphonyorchestra.org/"} +{"d:Title": "Plymouth Symphony Orchestra", "d:Description": "One of the oldest amateur city orchestras in the country. Information on concerts, and how to support the ensemble, with news and a history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.plymouthsymphony.co.uk/"} +{"d:Title": "Chester Philharmonic Orchestra", "d:Description": "Regional English amateur orchestra, featuring concert program, history, rehearsal information, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.chesterphilorchestra.co.uk/"} +{"d:Title": "Isle of Wight Symphony Orchestra", "d:Description": "A non-professional orchestra on the Isle of Wight; regular concerts with high-profile soloists throughout the year. Site provides programme info, mailing lists, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.iwso.info/"} +{"d:Title": "Kensington Philharmonic Orchestra", "d:Description": "Amateur orchestra in London, which aims to give an opportunity for advanced players to study and perform works from the classical and modern repertoire. Includes their concert schedule, and information about membership.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.kpo.org.uk/"} +{"d:Title": "Sussex Symphony Orchestra", "d:Description": "Information about the orchestra, attracting professional and non-professional players from across Sussex. Includes their concert programme, and ticket details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://ssomusic.co.uk/"} +{"d:Title": "London Phoenix Orchestra", "d:Description": "Amateur orchestra founded in 1924 as the London Insurance Orchestral Society. Includes a history, with information on members, rehearsals, and concert schedule at Queen Elizabeth Hall and St John's Smith Square.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.phoenixorchestra.org/"} +{"d:Title": "North London Symphony Orchestra", "d:Description": "Community symphony orchestra giving five concerts a year in North London. Includes membership information, details of concerts and rehearsals, and their history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.nlso.org.uk/"} +{"d:Title": "The Mozart Orchestra of Norwich", "d:Description": "Includes rehearsal and concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.mozartorchestra.org.uk/"} +{"d:Title": "Havering Concert Orchestra", "d:Description": "Information about the ensemble in the London Borough of Havering, with details of concerts and rehearsals. Also contains background on instruments and composers.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.hcoweb.co.uk/"} +{"d:Title": "Gorton Philharmonic Orchestra", "d:Description": "Amateur symphony orchestra, believed to be oldest orchestra in Manchester. Details of concerts, profiles of members, history, and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://myweb.tiscali.co.uk/gortonphil/"} +{"d:Title": "Bolton Symphony Orchestra", "d:Description": "Includes concert calendar, ticket prices, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.boltonsymphony.org.uk/"} +{"d:Title": "Scarborough Orchestra", "d:Description": "Details concerts, reviews, news, contact details and joining instructions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.scarborough-orchestra.co.uk/"} +{"d:Title": "Northampton Symphony Orchestra", "d:Description": "Information about the ensemble, conductor, concert dates, and ticketing. Also recruiting for members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.nso.org.uk/"} +{"d:Title": "The Alderley Edge Orchestra", "d:Description": "Gives several concerts each year in Alderley Edge Methodist Church, Macclesfield. Details of programmes, workshops and membership.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.aeorchestra.org.uk/"} +{"d:Title": "High Peak Orchestra", "d:Description": "Founded in 1975 as The Cooperative Orchestra, and based in Whaley Bridge. Includes their history, concert and ticket details, and information on membership.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.hpo.ukgo.com/"} +{"d:Title": "Manchester Beethoven Orchestra", "d:Description": "Amateur orchestra giving concerts in Manchester. Information about calendar, membership, recordings, history and library.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.beethovenorchestra.co.uk/"} +{"d:Title": "Maidstone Symphony Orchestra", "d:Description": "Performs 5 concerts a year with professional soloists. Includes information about orchestra, vacancies, concerts, and ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.mso.org.uk/"} +{"d:Title": "Bathgate Concert Orchestra", "d:Description": "Profiles a voluntary group of musicians. Includes rehearsal times and details of performances, history and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.bathgateconcertorchestra.org.uk/"} +{"d:Title": "Bournemouth Rehearsal Orchestra", "d:Description": "Group playing classical music in a relaxed atmosphere, without the pressure of performances. Details of membership and programme, with photos, video and audio clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.rehearsalorchestra.org/"} +{"d:Title": "Woodford Symphony Orchestra", "d:Description": "Profile and history of this amateur orchestra, based in London Borough of Redbridge. Includes details of performances and rehearsals.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.pnms.co.uk/wso/"} +{"d:Title": "The British Police Symphony Orchestra", "d:Description": "An amateur orchestra drawing its players from across the 44 police forces within the United Kingdom. Includes audio samples, a history, and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.bpso.org.uk/"} +{"d:Title": "North Devon Sinfonia", "d:Description": "Gives classical music concerts near Barnstaple, Devon. Information about schedule, rehearsals, and ticketing arrangements.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.northdevonsinfonia.org.uk/"} +{"d:Title": "Salisbury Symphony Orchestra", "d:Description": "Concerts, history, membership and associate information for an amateur orchestra in Wiltshire; established 1917.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.salisburysymphonyorchestra.org.uk/"} +{"d:Title": "Newent Orchestra", "d:Description": "Amateur community orchestra based in Newent, Gloucestershire. Promotes local music making and composition through performances and education.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.newentorchestra.org/"} +{"d:Title": "Aldworth Philharmonic Orchestra", "d:Description": "Amateur orchestra based in Reading, Berkshire. Includes concert schedule, image gallery and information about rehearsals, education programs and social events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.aldworthphilharmonic.org.uk/"} +{"d:Title": "Fulham Symphony Orchestra", "d:Description": "Amateur orchestra, includes concert schedule, new member recruitment, rehearsal schedule and venues, links, and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://fso.org.uk/"} +{"d:Title": "The Saturday Morning Orchestra", "d:Description": "Profile of an orchestra, which began as an Adult Education Workshop in Calcot, Berkshire, in 1971. Rehearsal and concert schedules, vacancies, history, news, repertoire, and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.saturdaymorningorchestra.co.uk/"} +{"d:Title": "Windsor and Maidenhead Symphony Orchestra (WMSO)", "d:Description": "Information about a Berkshire amateur orchestra, with their concert and rehearsal schedule, and information about their repertoire and support.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.wmso.org.uk/"} +{"d:Title": "Wessex Concert Orchestra", "d:Description": "Amateur orchestra specialising in mixed-repertoire concerts. Details of their programme, membership, vacancies, and reviews. [Wiltshire]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.wco.org.uk/"} +{"d:Title": "North Wiltshire Orchestra", "d:Description": "Amateur orchestra which gives three concerts a year in Chippenham. Includes a history, concert and rehearsal details, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.northwiltsorchestra.org.uk/"} +{"d:Title": "Airedale Symphony Orchestra", "d:Description": "Amateur orchestra formed in 1898, now performing mainly in Ilkley and Saltaire, West Yorkshire. Includes history, concert schedule, and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.airedaleso.org.uk/"} +{"d:Title": "West London Sinfonia", "d:Description": "Amateur symphony orchestra based in Ealing. Information about concerts, artists and music, with contact details for those interested in joining.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.westlondonsinfonia.org/"} +{"d:Title": "Slaithwaite Philharmonic Orchestra", "d:Description": "The symphony orchestra of the Colne Valley. Concert dates for classical music with guest artistes, performed in Huddersfield, West Yorkshire, UK.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://home.btconnect.com/Measures/newspo/spo.htm"} +{"d:Title": "Bolton Chamber Orchestra", "d:Description": "Amateur orchestra in Lancashire performs three concerts a year. Includes details of concerts and rehearsals.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.boltonchamberorchestra.co.uk/"} +{"d:Title": "Scottish Sinfonia", "d:Description": "Performs several classical orchestral concerts each year in Greyfriars Kirk, and noted for its performances of Mahler on the Fringe of Edinburgh Festival. Includes information on their concert diary, recordings, sponsors, and tickets.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.scottishsinfonia.org.uk/"} +{"d:Title": "Bushey Symphony Orchestra", "d:Description": "Based in Hertfordshire. Includes information about the ensemble, calendar of concerts and events, and program notes.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.busheysymphony.org.uk/"} +{"d:Title": "Basingstoke Symphony Orchestra", "d:Description": "Amateur orchestra in Hampshire. Includes a history of the ensemble, and details of their concerts, history, tours and ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.bso.org.uk/"} +{"d:Title": "Hull Philharmonic Society", "d:Description": "Includes history and concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.hullphilharmonic.org/"} +{"d:Title": "The City Of Southampton Orchestra", "d:Description": "Concert dates and programmes, venues and booking information, with a history and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.csorchestra.org/"} +{"d:Title": "King Edward Musical Society", "d:Description": "Amateur orchestra and choir in Macclesfield, Cheshire. Information on concerts, rehearsals and workshops.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.kems.org.uk/"} +{"d:Title": "St Giles Orchestra", "d:Description": "Oxford: Includes repertoire, concert calendar, pictures, links, membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.stgilesorchestra.org.uk/"} +{"d:Title": "EMG Symphony Orchestra", "d:Description": "The Exeter Music Group is the largest amateur symphony orchestra in the South West of England. Profile, concert and workshop details, and information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.emgsymphonyorchestra.org/"} +{"d:Title": "Studio Symphony Orchestra", "d:Description": "Ulster's longest established orchestra. Information about membership, their concert programme, ticketing and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.studiosymphony.org.uk/"} +{"d:Title": "Royal Tunbridge Wells Symphony Orchestra", "d:Description": "Non-professional orchestra for Kent and Sussex. Includes details of forthcoming concerts and biographies of conductors.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.rtwso.org/"} +{"d:Title": "Oxford Sinfonia", "d:Description": "Amateur chamber orchestra. Includes details of performances and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://home.btconnect.com/simon_payne/"} +{"d:Title": "Winchester Symphony Orchestra", "d:Description": "Concert programme, and information for new and existing members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://winchestersymphonyorchestra.org.uk/"} +{"d:Title": "Surrey Philharmonic Orchestra", "d:Description": "Leatherhead; usually performs in Dorking and Epsom: Includes history, concert calendar, ticket purchase information, and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.surreyphil.org.uk/"} +{"d:Title": "Halden Sound Music Group", "d:Description": "An amateur orchestra welcoming new players of all abilities. Profile of the group and details of its repertoire and rehearsals.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://haldensound.blogspot.com/"} +{"d:Title": "Richmond Orchestra", "d:Description": "Amateur orchestra in Surrey. Includes their rehearsal and concert schedule, conductor's biography, and membership/vacancy details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.richmondorchestra.org.uk/"} +{"d:Title": "Portobello Orchestra", "d:Description": "Formed to provide learning and performing experience for a range of adult abilities. Includes their schedule of concerts for charity, past performances, and new player information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.theportobelloorchestra.co.uk/"} +{"d:Title": "Nottingham Symphony Orchestra", "d:Description": "A weekly-rehearsing amateur orchestra playing a major role in the classical music of Nottingham. Includes concert dates and programmes, booking information and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.nso.talktalk.net/"} +{"d:Title": "Burgess Hill Symphony Orchestra", "d:Description": "Formed by local musicians and performing two concerts each year, normally in May and November. Includes news, history, programme, repertoire, and joining and sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.bhso.org.uk/"} +{"d:Title": "Oldham Symphony Orchestra", "d:Description": "Information about their concerts, rehearsals, and vacancies.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.oldhamsymphonyorchestra.org.uk/"} +{"d:Title": "Wilmslow Symphony Orchestra", "d:Description": "Amateur orchestra performing in Wilmslow, Cheshire. Information about concerts, rehearsals, and members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.wilmsloworchestra.co.uk/"} +{"d:Title": "London Charity Orchestra", "d:Description": "Profile of an amateur orchestra, promoting the love of music and charitable causes. Includes news, player listing, and information on their concert and rehearsal programme.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://londoncharityorchestra.co.uk/"} +{"d:Title": "York Symphony Orchestra", "d:Description": "The oldest amateur orchestra in the city presents their concert calendar. Includes ticket information, and historical archives.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.yso.org.uk/"} +{"d:Title": "Essex Symphony Orchestra", "d:Description": "Chelmsford: includes concert and rehearsal schedule, program notes, membership and volunteer opportunities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.essexsymphony.org.uk/"} +{"d:Title": "Leeds Baroque Orchestra", "d:Description": "Period-instrument orchestra specializing in baroque repertoire: includes next concert date.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.leedsbaroque.org/"} +{"d:Title": "Sheffield Philharmonic Orchestra", "d:Description": "The longest-running amateur Orchestra in Sheffield, the SPO performs major orchestral works in the South Yorkshire area. Rehersal and contact details, concert venues and programs listed.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.sheffieldphilharmonicorchestra.org/"} +{"d:Title": "Huddersfield Philharmonic Orchestra (UK)", "d:Description": "Kirklees' longest-established non-professional orchestra. Information about the orchestra and details of the concert programme for the current season.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.huddersfield-phil.org.uk/"} +{"d:Title": "Edinburgh Symphony Orchestra", "d:Description": "Includes history and past concerts, concert and rehearsal schedule, membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.edinburghsymphonyorchestra.co.uk/index.shtml"} +{"d:Title": "Hertford Symphony Orchestra", "d:Description": "Profile of the orchestra, and their concert program. Includes membership information, and details of how to support them.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "https://sites.google.com/site/hertssymphony/"} +{"d:Title": "Haslemere Musical Society", "d:Description": "Musical society with both choir and orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.hmsoc.org.uk/"} +{"d:Title": "Haverhill Sinfonia", "d:Description": "Profile and history of the Suffolk-based orchestra. Includes their concert and recital programme, and details of the Haverhill Sinfonia Soloist Competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "https://haverhillsinfonia.wordpress.com/"} +{"d:Title": "Petersfield Orchestra", "d:Description": "Includes concert calendar; history, pictures, and archive of program notes.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "https://www.facebook.com/petersfieldorchestra"} +{"d:Title": "The Salford Symphony Orchestra", "d:Description": "Amateur orchestra based in the Salford area. Regular concerts are performed at Peel Hall, Salford University.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.salfordsymphony.org/"} +{"d:Title": "Bromley Symphony Orchestra", "d:Description": "South London amateur orchestra performing concerts of professional standard, with concert list, ticket ordering and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.bromleysymphony.org/"} +{"d:Title": "Wrexham Symphony Orchestra", "d:Description": "A North Wales community orchestra, with their concert schedule, biographies of players, and history. Also includes details of their support network.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Europe/United_Kingdom", "url": "http://www.wrexhamorch.co.uk/"} +{"d:Title": "The Edmonton Philharmonic Orchestra", "d:Description": "Includes concert calendar, MP3 files, membership information, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Alberta", "url": "http://www.edmontonphilharmonic.com/"} +{"d:Title": "The Civic Orchestra of Victoria", "d:Description": "(Victoria, BC) Volunteer musical organization dedicated to providing the opportunity for musicians of varying ages and abilities. Orchestra information, concert schedule, tickets, and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/British_Columbia", "url": "http://www.civicorchestraofvictoria.org/"} +{"d:Title": "Prince George Symphony Orchestra", "d:Description": "Includes history; fund raising campaigns and season ticket packages; concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/British_Columbia", "url": "http://www.pgso.com/"} +{"d:Title": "Kamloops Symphony Orchestra", "d:Description": "Offers, information, season concert list and ticket pricing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/British_Columbia", "url": "http://www.kamloopssymphony.com/"} +{"d:Title": "Okanagan Symphony", "d:Description": "Performs in Kelowna, Vernon, Salmon Arm, and Penticton. Includes event calendar, musician biographies, and information about tickets and school programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/British_Columbia", "url": "http://www.okanagansymphony.com/"} +{"d:Title": "Vancouver Island Symphony", "d:Description": "Includes schedule and ticket information. Located in Nanaimo.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/British_Columbia", "url": "http://vancouverislandsymphony.com/"} +{"d:Title": "Victoria Symphony", "d:Description": "Concert calendar, ticket ordering information, Canadian and British Columbian musical links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/British_Columbia", "url": "http://victoriasymphony.ca/"} +{"d:Title": "Deep River Symphony Orchestra", "d:Description": "Includes concert calendar and ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.drso.ca/"} +{"d:Title": "Sudbury Symphony Orchestra", "d:Description": "Includes concert calendar, ticket sales information, and educational programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.sudburysymphony.com/"} +{"d:Title": "Kingston Symphony and Chorus", "d:Description": "Includes member information, volunteer and sponsorship opportunities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.kingstonsymphony.on.ca/"} +{"d:Title": "Kanata Symphony Orchestra", "d:Description": "Members from across Ottawa and Gatineau: contact and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://kanatasymphony.ca/"} +{"d:Title": "Counterpoint Community Orchestra", "d:Description": "Toronto, Gay and Lesbian orchestra: includes membership and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.ccorchestra.org/"} +{"d:Title": "Cathedral Bluffs Symphony Orchestra", "d:Description": "Scarborough: includes concert calendar and ticket prices.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.cathedralbluffs.com/"} +{"d:Title": "Oakville Symphony Orchestra", "d:Description": "Includes concert calendar, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.oakvillesymphony.com/"} +{"d:Title": "Orchestra Toronto", "d:Description": "Includes concert calendar, ticket sales, fundraising and volunteer activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.orchestratoronto.ca/"} +{"d:Title": "North Bay Community Symphony Orchestra", "d:Description": "Includes concert calendar and ticket sales information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.northbaysymphony.org/"} +{"d:Title": "Mississauga Symphony", "d:Description": "Ontario, Canada: Calendar of concerts and activities; ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.mississaugasymphony.ca/"} +{"d:Title": "Quinte Symphony", "d:Description": "Belleville: includes contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://thequintesymphony.com/"} +{"d:Title": "Peterborough Symphony Orchestra", "d:Description": "Includes concert calendar and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Ontario", "url": "http://www.thepso.org/"} +{"d:Title": "Saskatoon Philharmonic Orchestra", "d:Description": "Adult community symphony style orchestra, concert schedule, orchestra information and links", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/Canada/Saskatchewan", "url": "http://saskatoonphilharmonicorchestra.com/"} +{"d:Title": "VA National Medical Musical Group Orchestra and Chorus", "d:Description": "Travelling volunteer orchestra and chorus, primarily comprised of medical professionals: includes concert calendar, news and reviews, audio and video clips.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States", "url": "http://medicalmusical.com/"} +{"d:Title": "Southern Arizona Symphony Orchestra", "d:Description": "(Tucson) Concert calendar, season ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Arizona", "url": "http://www.sasomusic.org/"} +{"d:Title": "Civic Orchestra of Tucson", "d:Description": "Community orchestra offering free concerts: includes concerts, history, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Arizona", "url": "http://www.cotmusic.org/"} +{"d:Title": "Chandler Symphony", "d:Description": "Offers free concerts in the eastern metro Phoenix area. Includes concert schedule, symphonic programs, membership details, roster and player opportunities. Based in Chandler.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Arizona", "url": "http://www.chandlersymphony.net/"} +{"d:Title": "Prometheus Symphony", "d:Description": "An all-volunteer ensemble devoted to the rehearsal and performance of the symphonic repertoire based in Oakland CA. Five free concerts a year.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.prometheussymphony.org/"} +{"d:Title": "Nova Vista Symphony", "d:Description": "Provides schedules and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.novavista.org/"} +{"d:Title": "Redwood Symphony", "d:Description": "Premier peninsula symphony orchestra presenting unusual and ambitious repertoire in an educational, fun environment.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.redwoodsymphony.org/"} +{"d:Title": "Santa Monica Symphony", "d:Description": "Debuting in 1945, the SMS performs 4 free concerts each year in the greater Los Angeles area. Features a musician roster, concert schedule and archival materials.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.smsymphony.org/"} +{"d:Title": "Redlands Symphony Orchestra", "d:Description": "Provides concert information, seating chart, and education programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.redlandssymphony.com/"} +{"d:Title": "Palo Alto Philharmonic", "d:Description": "(Palo Alto, CA) Includes season synopsis, music director, ticket information, map, mailing list, orchestra members, support, contacts, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.paphil.org/"} +{"d:Title": "Peninsula Symphony", "d:Description": "Rolling Hills Estates: provides free concerts and a young musicians performance competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.pensym.org/"} +{"d:Title": "Bay Area Little Symphony", "d:Description": "Offers concerts in schools and for families: includes activities, audio files, printable coloring book.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.bamusic.org/Bay_Area_Little_Symphony/"} +{"d:Title": "Saratoga Symphony", "d:Description": "Focuses on rarely heard works: includes rehearsal and concert calendar, audio files [WAV format].", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.saratogasymphony.com/"} +{"d:Title": "Livermore-Amador Symphony", "d:Description": "Four regular season concerts, plus a pops concert each year. Scholarship and performance information. Livermore/Pleasanton, CA.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://livermoreamadorsymphony.org/"} +{"d:Title": "Oakland Symphony", "d:Description": "Classical music symphony orchestra and non-profit organization located in Oakland, California.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/California", "url": "http://www.oaklandsymphony.org/"} +{"d:Title": "Aurora Symphony Orchestra", "d:Description": "(CO) Schedule, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Colorado", "url": "http://www.aurorasymphony.org/"} +{"d:Title": "Arapahoe Philharmonic", "d:Description": "(Englewood, Colorado) Includes schedules, educational outreach, schedule, directions, contact information, and its youth orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Colorado", "url": "http://www.arapahoe-phil.org/"} +{"d:Title": "Longmont Symphony Orchestra", "d:Description": "Featuring concert schedule, group history, previous seasons, and related events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Colorado", "url": "http://longmontsymphony.org/"} +{"d:Title": "Manchester Symphony Orchestra", "d:Description": "40 year old Community Orchestra and Chorale lists concerts, membership,tickets and special events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Connecticut", "url": "http://www.msoc.org/"} +{"d:Title": "Middletown Symphonic Band", "d:Description": "Professional and amateur musicians perform together. Extensive repertoire, performing classical, semi-classical, broadway, jazz, marches, and traditional.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Connecticut", "url": "http://middletownsymphonicband.org/"} +{"d:Title": "West Hartford Symphony Orchestra", "d:Description": "Community Orchestra site describes classical concert season, musicians, and rehearsal schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Connecticut", "url": "http://www.whso.org/"} +{"d:Title": "Farmington Valley Symphony Orchestra", "d:Description": "Non profit orchestra listing 21st season concert schedule, volunteer membership and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Connecticut", "url": "http://www.fvso.org/"} +{"d:Title": "The Community-Music e-mail list", "d:Description": "Community-Music e-mail list by Ron Boerger that gives contacts and links to bands and orchestras nationally .", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Connecticut", "url": "http://www.boerger.org/c-m/"} +{"d:Title": "Bach Festival Society of Winter Park, Florida", "d:Description": "Volunteer orchestra and choir performing works of Bach and other composers in annual spring festival. Photos, performance schedule, children's choir, fund-raising, conductor biography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Florida", "url": "http://www.bachfestivalflorida.org/"} +{"d:Title": "Imperial Symphony Orchestra", "d:Description": "Orchestra serving the Central Florida area.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Florida", "url": "http://www.imperialsymphony.org/"} +{"d:Title": "Tampa Bay Symphony", "d:Description": "Sponsor of annual Young Artist Competition. Performs throughout greater Tampa Bay area.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Florida", "url": "http://tampabaysymphony.com/"} +{"d:Title": "The Alhambra Community Orchestra", "d:Description": "(South Miami, Florida) Founded in 1990 to provide amateur and non-professional musicians an opportunity to rehearse and perform classical music. Season schedule, repertoire, affiliated artists, photographs, conductor information, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Florida", "url": "http://www.alhambramusic.org/"} +{"d:Title": "Beethoven Chamber Orchestra", "d:Description": "Metro Atlanta area: includes concert calendar with audio files, news, audition and volunteer opportunities,", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Georgia", "url": "http://www.beethovenchamberorchestra.org/"} +{"d:Title": "Idaho Falls Symphony", "d:Description": "Includes concert calendar and programs, history, ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Idaho", "url": "http://www.idahofallssymphony.com/"} +{"d:Title": "Idaho State Civic Symphony", "d:Description": "Includes program schedules, ticket information, symphony history. Contact form and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Idaho", "url": "http://www.thesymphony.us/"} +{"d:Title": "DuPage Symphony Orchestra", "d:Description": "serving DuPage County, Illinois.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Illinois", "url": "http://www.dupagesymphony.org/"} +{"d:Title": "Lake Shore Symphony Orchestra", "d:Description": "Performance schedule, ticket information, audition information, location, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Illinois", "url": "http://www.lsso.org/"} +{"d:Title": "West Suburban Symphony Orchestra", "d:Description": "Chicagoland: Provides ticket sales, season schedule, children's concert information, and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Illinois", "url": "http://www.westsubsymphony.org/"} +{"d:Title": "Rockford Symphony Orchestra", "d:Description": "Features events calendar and performance information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Illinois", "url": "http://www.rockfordsymphony.com/"} +{"d:Title": "Quad City Symphony Orchestra", "d:Description": "Includes concert schedule, store, education, volunteering and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Illinois", "url": "https://qcso.org/"} +{"d:Title": "Evansville Philharmonic Orchestra", "d:Description": "Includes classical, pops, and family concert calendar, educational programs, history, and affiliated community ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Indiana", "url": "http://www.evansvillephilharmonic.org/"} +{"d:Title": "South Bend Symphony Orchestra", "d:Description": "Features concert schedules, ticket information, biographies, frequently asked questions, and educational program information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Indiana", "url": "http://www.southbendsymphony.com/"} +{"d:Title": "Shreveport Symphony Orchestra", "d:Description": "Information and schedules.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Louisiana", "url": "http://www.shreveportsymphony.com/"} +{"d:Title": "Monroe Symphony Orchestra", "d:Description": "News, concert schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Louisiana", "url": "http://www.mymso.org/"} +{"d:Title": "Bangor Symphony Orchestra", "d:Description": "The oldest community orchestra in the United States operating continuously, since 1896. Offers classical series, youth and casual family concerts and outreach programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Maine", "url": "http://www.bangorsymphony.org/"} +{"d:Title": "NIH Community Orchestra", "d:Description": "Based at the National Institute of Health in Bethesda. News, rehearsal schedule, repertoire, sound files, ticket information, and pictures.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Maryland", "url": "http://www.nihco.org/"} +{"d:Title": "Columbia Orchestra", "d:Description": "Includes concert calendar, history, volunteer and sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Maryland", "url": "http://www.columbiaorchestra.org/"} +{"d:Title": "Prince George's Philharmonic", "d:Description": "Find information about concerts, auditions, history, director, roster, and the board.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Maryland", "url": "http://www.pgphilharmonic.org/"} +{"d:Title": "Longwood Symphony Orchestra", "d:Description": "Full-sized symphonic orchestra supporting the medically underserved community by presenting top-quality musical benefit performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.longwoodsymphony.org/"} +{"d:Title": "The Civic Symphony Orchestra of Boston", "d:Description": "Founded in 1924 as a teaching orchestra, the CSO now consists of advanced and graduate level conservatory students and highly-skilled players following other professions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.csob.org/"} +{"d:Title": "Cambridge Symphony Orchestra", "d:Description": "Offers free concerts in and around Cambridge: includes concert schedule and directions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.cambridgesymphony.org/"} +{"d:Title": "Waltham Philharmonic Orchestra", "d:Description": "Community Orchestra site. Includes concert schedule, rehearsal schedule, membership information and the upcoming concerto competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.wphil.org/"} +{"d:Title": "North Shore Philharmonic Orchestra", "d:Description": "Beverly, MA: This Boston area community orchestra plays a symphonic and pops repertoire. The site lists concert schedule, history and support information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.nspo.org/"} +{"d:Title": "Lowell Philharmonic Orchestra", "d:Description": "Classical community Orchestra lists concert schedule, describes student programs and membership opportunities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.lowellphilharmonic.org/"} +{"d:Title": "Boston Landmarks Orchestra", "d:Description": "Performing free summer concerts in Boston.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.landmarksorchestra.org/"} +{"d:Title": "Melrose Symphony Orchestra", "d:Description": "Volunteer group performing high level classical concerts north of Boston, Massachusetts. Schedule, personnel, ticket sales, and scholarship information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.melrosesymphony.org/"} +{"d:Title": "Pioneer Valley Symphony", "d:Description": "Greenfield,western MA: Group founded 1938. Information, calendar, online ticket order, links. Most members of the orchestra are unpaid volunteer musicians of outstanding musical ability.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.pvsoc.org/"} +{"d:Title": "Southwest Michigan Symphony Orchestra", "d:Description": "St. Joseph, MI: Includes concert calendar, audition information, volunteer opportunities, educational programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Michigan", "url": "http://www.smso.org/"} +{"d:Title": "Minnesota Philharmonic Orchestra", "d:Description": "GLBT Orchestra: features concert calendar, support, membership, and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Minnesota", "url": "http://mnphil.org/"} +{"d:Title": "St. Cloud Symphony", "d:Description": "Includes concert schedule with program notes, ticket sales information, information on educational activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Minnesota", "url": "http://stcloudsymphony.com/"} +{"d:Title": "Liberty Symphony Orchestra", "d:Description": "Includes concert calendar, ticket ordering information, history and sponsors.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Missouri", "url": "http://www.libertysymphony.org/"} +{"d:Title": "Symphony NH", "d:Description": "Orchestra and choral society.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_Hampshire", "url": "http://symphonynh.org/"} +{"d:Title": "Rowan University Community Orchestra", "d:Description": "Located in Glassboro, NJ, the Rowan University Community Orchestra has been performing for over 30 years in South Jersey.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_Jersey", "url": "http://www.rowan.edu/mars/clubs/orch/"} +{"d:Title": "Philharmonic of Southern New Jersey", "d:Description": "Cherry Hill: includes concert calendar and ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_Jersey", "url": "http://www.psnj.org/"} +{"d:Title": "Bloomfield Symphony Orchestra", "d:Description": "A non-profit orchestra serving the Bloomfield area. Contains news, photos, and a concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_Jersey", "url": "http://bloomfieldsymphony.org/"} +{"d:Title": "South Jersey Pops Orchestra", "d:Description": "The South Jersey Pops Orchestra provides popular music (light classics, and broadway tunes) to Burlington, Camden and Gloucester counties in New Jersey.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_Jersey", "url": "http://www.southjerseypops.org/"} +{"d:Title": "Nassau Pops Symphony Orchestra", "d:Description": "Schedule, conductor profile, photo gallery, discography, audio files, and newsletter.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_York", "url": "http://www.npso.org/"} +{"d:Title": "Classical Music by Albert Einstein Symphony Orchestra", "d:Description": "Community orchestra offering free classical music concerts in the New York City area", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_York", "url": "http://einsteinorch.tripod.com/"} +{"d:Title": "New York Repertory Orchestra", "d:Description": "(New York City, NY) All-volunteer with professional, semi-professional, and amateur musicians. Performance and rehearsal schedules, locations, and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_York", "url": "http://www.nyro.org/"} +{"d:Title": "Putnam Symphony Orchestra", "d:Description": "New York State: includes general and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_York", "url": "http://putnamsymphony.homestead.com/"} +{"d:Title": "Penfield Symphony Orchestra", "d:Description": "Includes history, personnel, activities, schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_York", "url": "http://www.penfieldsymphony.org/"} +{"d:Title": "New York Symphonic Arts Ensemble", "d:Description": "Includes concert schedule, history, members list, photo gallery and information about the music director and auditions. Based in Manhattan.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_York", "url": "http://www.nysae.net/"} +{"d:Title": "The Musica Bella Orchestra of New York", "d:Description": "Manhattan: includes information on next concert.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/New_York", "url": "http://www.musicabella.com/"} +{"d:Title": "Durham Symphony Orchestra", "d:Description": "Includes audition, rehearsal, concert, and ticket sales information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/North_Carolina", "url": "http://www.durhamsymphony.org/"} +{"d:Title": "Wilmington Symphony Orchestra", "d:Description": "Includes concert calendar, ticket information, FAQ for concertgoers.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/North_Carolina", "url": "http://www.wilmingtonsymphony.org/"} +{"d:Title": "Hendersonville Symphony Orchestra", "d:Description": "Offers concert series, ticket information, conductor profile, board of directors, members, and music education programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/North_Carolina", "url": "http://www.hendersonvillesymphony.org/"} +{"d:Title": "The Cleveland Women's Orchestra", "d:Description": "Community orchestra of women that performs in the Northeast Ohio area: concert calendar, audition and sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Ohio", "url": "http://www.clevelandwomensorchestra.org/"} +{"d:Title": "Southeastern Ohio Symphony Orchestra", "d:Description": "Zanesville: includes season schedule, ticket availability, and future plans.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Ohio", "url": "http://www.seoso.org/"} +{"d:Title": "Central Oregon Symphony", "d:Description": "Formed in 1967, as a part of the expanding Central Oregon Community College Fine Arts Department. History, concert schedule, photos, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Oregon", "url": "http://www.cosymphony.com/"} +{"d:Title": "Central PA Syphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.centralpasymphony.org/"} +{"d:Title": "Main Line Symphony Orchestra", "d:Description": "The Main Line Symphony Orchestra rehearses and performs in Valley Forge, Pennsylvania. Three subscription concerts each year, normally in November, March, and May.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.mlso.org/"} +{"d:Title": "Nittany Valley Symphony", "d:Description": "State College, PA", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.nvs.org/"} +{"d:Title": "Lansdowne Symphony Orchestra", "d:Description": "Founded in 1946, the Lansdowne Symphony Orchestra is one of the oldest community orchestras in the Greater Philadelphia area.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.lansdowneso.org/"} +{"d:Title": "Ambler Symphony Orchestra", "d:Description": "Ambler: performs concerts to benefit scholarships for young musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.amblersymphony.org/"} +{"d:Title": "Delaware County Symphony", "d:Description": "Presents four symphony concerts and four chamber concerts each year.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.dcsmusic.org/"} +{"d:Title": "Washington Symphonic Orchestra", "d:Description": "Includes concert calendar, members, music directors, sponsors and volunteers, ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.washsym.org/"} +{"d:Title": "Erie Philharmonic", "d:Description": "Information on the performances, the conductor, and the Junior Philharmonic.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.eriephil.org/"} +{"d:Title": "Johnstown Symphony Orchestra", "d:Description": "Performing concerts. Includes conductors biography, information on tickets, schedule, seating, location, youth orchestra, education programs, children's chorus and the auxiliary.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.johnstownsymphony.org/"} +{"d:Title": "Bucks County Symphony Orchestra", "d:Description": "(Doylestown, PA) showcases local musicians and provides an entertaining and exciting repretiore to the community.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.buckscountysymphony.org/"} +{"d:Title": "Orchestra Society of Philadelphia", "d:Description": "Reading different orchestral repertoire every Friday night: includes concert and rehearsal schedules, membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Pennsylvania", "url": "http://orchestrasociety.org/"} +{"d:Title": "Rhode Island Civic Chorale and Orchestra", "d:Description": "History of the organization plus profile of conductor and information on performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Rhode_Island", "url": "http://www.ricco.org/"} +{"d:Title": "Fort Bend Symphony Orchestra", "d:Description": "(near Houston, Texas) News, information, Young Artist Concerto Competition, and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.fbso.org/"} +{"d:Title": "Houston Civic Symphony", "d:Description": "Houston's first and finest community orchestra. Bringing fine classical repertoire to the greater Houston Metro area for over 33 years. All our concerts are free.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.civicsymphony.org/"} +{"d:Title": "Fort Worth Civic Orchestra", "d:Description": "History, list of musicians, schedule, and information on joining.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.fwco.org/"} +{"d:Title": "Brazos Valley Symphony Orchestra", "d:Description": "Includes concert calendar and ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.bvso.org/"} +{"d:Title": "Lewisville Lake Symphony", "d:Description": "Lewisville: Includes concert calendar with program notes, ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.lewisvillesymphony.org/"} +{"d:Title": "Starlight Symphony Orchestra", "d:Description": "String orchestra offering free concerts in Wimberley and nearby towns: includes concert calendar, members, and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.starlightsymphony.org/"} +{"d:Title": "Victoria Symphony Orchestra", "d:Description": "founded in 1973 in Victoria, Texas. The combined talents of musicians from Corpus Christi, Austin, San Antonio, Houston, and Victoria are joined through diverse programming to enhance the quality of our lives through music.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.victoriasymphony.com/"} +{"d:Title": "The Waco Symphony Orchestra", "d:Description": "Includes information about orchestral and chamber music concerts, youth orchestra, and holiday music events; subscription details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.wacosymphony.com/"} +{"d:Title": "The Abilene Philharmonic Orchestra", "d:Description": "Includes season information, concert notes, frequently asked questions, educational material and interactive quizzes.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.abilenephilharmonic.org/"} +{"d:Title": "Austin Area Community Philharmonic", "d:Description": "Includes concert information, bylaws, and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.austinphilharmonic.org/"} +{"d:Title": "Lubbock Symphony Orchestra", "d:Description": "Presents classical and pops concerts and performances for children: includes concert and rehearsal schedules, information on educational programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Texas", "url": "http://www.lubbocksymphonyorchestra.org/"} +{"d:Title": "Montpelier Chamber Orchestra Society", "d:Description": "Calendar of events and profile of conductor.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Vermont", "url": "http://www.montpelierchamberorchestra.org/"} +{"d:Title": "Vermont Philharmonic", "d:Description": "Performing in Barre and Central Vermont: includes history; rehearsal and concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Vermont", "url": "http://www.vermontphilharmonic.org/"} +{"d:Title": "Old Bridge Chamber Orchestra", "d:Description": "Prince William County area: includes concert calendar and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Virginia", "url": "http://www.obco.org/"} +{"d:Title": "Orchestra Seattle and Seattle Chamber Singers", "d:Description": "Information about Orchestra Seattle and The Seattle Chamber Singers, led by their founder, George Shangrow. Date and locations of upcoming concerts, ticket information, and musical links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington", "url": "http://www.osscs.org/"} +{"d:Title": "Skagit Symphony", "d:Description": "(Mount Vernon, WA) Orchestra information, concert schedule, calendar, ticketing, board of directors, maps, support, league, and contactgs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington", "url": "http://www.skagitsymphony.com/"} +{"d:Title": "Lake Union Civic Orchestra", "d:Description": "Seattle: includes contact and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington", "url": "http://www.luco.org/"} +{"d:Title": "The Ravenna String Orchestras", "d:Description": "Two community string orchestras sponsored by the Seattle Parks and Recreation Department: includes membership information and concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington", "url": "http://www.donaldndaisy.com/RCO/"} +{"d:Title": "Port Angeles Symphony Orchestra", "d:Description": "Volunteer symphony, chamber, and youth orchestras: performance schedules, ticket information, members, and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington", "url": "http://www.portangelessymphony.org/"} +{"d:Title": "Walla Walla Symphony", "d:Description": "Includes concert calendar, ticket sales, history, educational programs, support opportunities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington", "url": "http://www.wwsymphony.org/"} +{"d:Title": "Friday Morning Music Club", "d:Description": "Volunteer symphony orchestra composed of professional and amateur players, offering four to five free concerts annually.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://fmmc.org/"} +{"d:Title": "McLean Symphony", "d:Description": "Group of 65 musicians drawn from the community, offering a variety of programming throughout the year, including family concerts and small-ensemble recitals.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.mclean-symphony.org/"} +{"d:Title": "Pan American Symphony Orchestra", "d:Description": "Community-based orchestra founded to present new and rarely performed Latin American music to the public.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.panamsymphony.org/"} +{"d:Title": "Washington Pro Musica", "d:Description": "Tax-exempt organization whose purpose is to foster the appreciation of classical music. Sponsors chamber and youth orchestras and a swing band.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.washingtonpromusica.org/"} +{"d:Title": "Capital City Symphony", "d:Description": "Volunteer ensemble based at the Atlas Performing Arts Center in Northeast offers classic symphonic repertoire, family concerts and educational and historical performances. Formerly the Georgetown Symphony Orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.capitalcitysymphony.org/"} +{"d:Title": "NIH Community Orchestra", "d:Description": "All-volunteer, 60-member ensemble affiliated with the National Institutes of Health performs repertoire ranging from Renaissance through 20th-century works.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.nihco.org/"} +{"d:Title": "Montgomery Philharmonic", "d:Description": "Based in Gaithersburg, Md. Includes concert schedule, profiles, news clips, photo galleries and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://montgomeryphilharmonic.org/"} +{"d:Title": "Symphony of the Potomac", "d:Description": "Volunteer orchestra serving Montgomery County, Md., and the greater Washington area, dedicated to education and cultural enrichment through the enjoyment of symphonic music. Includes profile, director and personnel, events, membership information, mailing list and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.symphonypotomac.org/"} +{"d:Title": "Washington Metropolitan Philharmonic Association (WMPA)", "d:Description": "Nonprofit organization of musicians and music lovers dedicated to making high-quality performances and opportunities accessible to the community. Sponsors three orchestras, free summer concert series, concerto and composition competitions and a volunteer guild.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.wmpamusic.org/"} +{"d:Title": "NOVA Manassas Symphony Orchestra", "d:Description": "College and community organization comprised of residents of the greater Manassas area and students at the NVCC Manassas campus. Profile, news, concert schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.manassassymphony.org/"} +{"d:Title": "McLean Orchestra", "d:Description": "Eighty-member ensemble offering varied repertoire and committed to community outreach. Includes information on season schedule, sponsorship, artists and youth orchestra. Online ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://mclean-orchestra.org/"} +{"d:Title": "Montgomery Symphony Orchestra", "d:Description": "Local amateur and volunteer professional ensemble. Profile, history, schedule, photos, calendar and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://montgomerysymphonyorchestra.com/"} +{"d:Title": "Landon Symphonette", "d:Description": "Unique group of talented musicians from Landon School and other area schools and colleges playing together with seasoned professionals. Includes profile, schools and performance schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.landon.net/page.cfm?p=4356"} +{"d:Title": "Washington Metropolitan Gamer Symphony Orchestra", "d:Description": "Dedicated to the performance of orchestral video game music in a community ensemble setting. Includes news, events, profile, conductor biography, audition information and press.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.wmgso.org/"} +{"d:Title": "DC Concert Orchestra", "d:Description": "Symphonic orchestra that provides opportunities for serious amateur musicians. Profile, roster, concerts and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Washington,_DC", "url": "http://dcconcertorchestra.org/"} +{"d:Title": "Huntington Symphony Orchestra", "d:Description": "Includes classical and pops concert calendar, ticket sales, history, and volunteer opportunities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/West_Virginia", "url": "http://www.huntingtonsymphony.org/"} +{"d:Title": "Racine Symphony Orchestra", "d:Description": "Offers classical and pops concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.racinesymphony.org/"} +{"d:Title": "Chippewa Valley Symphony", "d:Description": "Eau Claire: includes history, concert calendar, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.cvsymphony.org/"} +{"d:Title": "La Crosse Symphony Orchestra", "d:Description": "Includes history, concert calendar, ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.lacrossesymphony.org/"} +{"d:Title": "Fox Valley Symphony", "d:Description": "Appleton: includes concert calendar, ticket purchase information, educational activities, youth symphony.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.foxvalleysymphony.com/"} +{"d:Title": "Oshkosh Symphony Orchestra", "d:Description": "Unofficial page including history, links, and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.folklib.net/wi/oso/"} +{"d:Title": "Penrith Symphony Orchestra", "d:Description": "Western Sydney: includes concert calendar, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.pso.org.au/"} +{"d:Title": "Sutherland Shire Symphony Orchestra", "d:Description": "Bringing the classical symphonic repertoire to the Sutherland Community", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.ssso.org/"} +{"d:Title": "Zelman Memorial Symphony Orchestra", "d:Description": "The Orchestra performs works from the Baroque to the present day in regular concerts, primarily in the inner eastern suburbs of Melbourne, Australia.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://zelmansymphony.org.au/"} +{"d:Title": "The Ku-ring-gai Philharmonic Orchestra", "d:Description": "Includes concerts and program notes, news, information on secondary schools concerto contest, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.kpo.org.au/"} +{"d:Title": "Brisbane Philharmonic", "d:Description": "Concert and contact information", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.brisbanephil.org.au/"} +{"d:Title": "Stonnington Symphony", "d:Description": "Melbourne orchestra with contact, concert, subscription details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.stonningtonsymphony.org.au/"} +{"d:Title": "North Sydney Symphony Orchestra - Home", "d:Description": "The North Shores longest established orchestra", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.nsso.org.au/"} +{"d:Title": "Hills Symphony Orchestra", "d:Description": "Comprised of community musicians from the Eastern and Hills suburbs, and offering fundraising performances throughout the state. Includes photographs, player biographies, and concert schedule. Perth, Western Australia.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.hso.org.au/"} +{"d:Title": "The Beecroft Orchestra", "d:Description": "Site for this Sydney orchestra", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.thebeecroftorchestra.net.au/"} +{"d:Title": "Strathfield Symphony Orchestra", "d:Description": "Sydney amateur orchestra. Includes concert calendar, history, ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.strathfieldsymphony.org.au/"} +{"d:Title": "Mosman Orchestra", "d:Description": "Mosman and Lane Cove community orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.mosmanorchestra.org.au/"} +{"d:Title": "Heidelberg Orchestras Inc.", "d:Description": "Details and concerts for a group of Melbourne orchestras.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.heidelbergsymphony.com.au/"} +{"d:Title": "Whitehorse Orchestra", "d:Description": "This community orchestra performs many concerts for adults and children, with a popular and classical repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.whitehorseorchestra.org.au/"} +{"d:Title": "The Gold Coast Philharmonic Society", "d:Description": "Comprising the Orchestra and Concert Band.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.goldcoastphilharmonic.com/"} +{"d:Title": "The University of New South Wales Orchestra", "d:Description": "The UNSW Orchestra is a full symphony orchestra drawing its members from students and staff in most faculties.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.orchestra.unsw.edu.au/"} +{"d:Title": "Victorian Concert Orchestra", "d:Description": "One of Australia's longest standing touring orchestras, based in Melbourne, VIC.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.victorianconcertorchestra.org.au/"} +{"d:Title": "Launceston Youth and Community Orchestra", "d:Description": "Community orchestra in Launceston, Tasmania. Features a performance calendar, photos, MP3 audio files and information about how to join.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Community_Orchestras/Oceania/Australia", "url": "http://www.lyco.org.au/"} +{"d:Title": "Rotterdam Philharmonic Orchestra", "d:Description": "Includes news, concert and touring schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe", "url": "http://www.rpho.nl/"} +{"d:Title": "The Slovenian Philharmonic", "d:Description": "Orchestra and chamber choir: concert calendar, history, discography, photographs, ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe", "url": "http://www.filharmonija.si/"} +{"d:Title": "Tenerife Symphony Orchestra", "d:Description": "Canary Islands, Spain: includes concert calendar and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe", "url": "http://www.ost.es/en"} +{"d:Title": "The Vienna Philharmonic Orchestra", "d:Description": "Includes calendar, discography, news, shop.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Austria", "url": "http://www.wienerphilharmoniker.at/"} +{"d:Title": "Wiener Flair", "d:Description": "Performs Viennese and classical music and operetta. Includes history, general information, upcoming events, repertoire, audio samples, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Austria", "url": "http://www.wienerflair.at/"} +{"d:Title": "Dubrovnik Symphony Orchestra", "d:Description": "Croatia: History, yearly program, musicians, shop, photo gallery, concerts on request.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Croatia", "url": "http://www.dso.hr/"} +{"d:Title": "Janacek Philharmonic Orchestra", "d:Description": "Ostrava, Czech Republic: includes history, calendar, and ticket prices.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Czech_Republic", "url": "http://www.jfo.cz/"} +{"d:Title": "Helsinki Baroque Orchestra", "d:Description": "Period instrument performances. Includes concert calendar, recordings in mp3 format, press photos and director biography. [English and Swedish]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Finland", "url": "http://www.hebo.fi/"} +{"d:Title": "Tampere Philharmonic Orchestra", "d:Description": "Includes history, concert schedule, discography, ticket pricing and an image and program archive. [English and Finnish]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Finland", "url": "http://www.tampere.fi/filharmonia.html"} +{"d:Title": "Bamberg Symphony Orchestra", "d:Description": "(Germany) Calendar, discography, reviews, program notes. English and German.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Germany", "url": "http://www.bamberger-symphoniker.de/"} +{"d:Title": "The Berlin Philharmonic", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Germany", "url": "http://www.berliner-philharmoniker.de/en/"} +{"d:Title": "Icelandic Symphony Orchestra", "d:Description": "Schedule and ticket information. [Icelandic/English]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Iceland", "url": "http://www.sinfonia.is/"} +{"d:Title": "RT\u00c9 Music: National Symphony Orchestra", "d:Description": "Includes concert calendar, membership and ticket ordering information, discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Ireland", "url": "http://www.rte.ie/music/index.html"} +{"d:Title": "Italian Chamber Orchestra", "d:Description": "Non profit organization aimed at training young musicians. Description of the orchestra, special events, master classes, and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Italy", "url": "http://www.icosoverato.it/"} +{"d:Title": "Orchestra di Padova e del Veneto", "d:Description": "Chamber ensembles: includes concerts, news, discography, ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Italy", "url": "http://opvorchestra.it/"} +{"d:Title": "Orchestre de la Suisse Romande", "d:Description": "The Orchestre de la Suisse Romande and its artistique director Fabio Luisi present their concerts. Bilingual site English/French", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/Switzerland", "url": "http://www.osr.ch/"} +{"d:Title": "Royal Scottish National Orchestra", "d:Description": "Information about Scotland's national symphony orchestra and its chorus, including concerts, musicians, education and sponsorship; the \"news\" section includes collected reviews of concerts and CDs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.rsno.org.uk/"} +{"d:Title": "Retrospect Ensemble", "d:Description": "Period instrument orchestra; includes orchestra profile, concert and recording calendar, online sales for their CDs, and information on sponsors and friends program.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.retrospectensemble.com/"} +{"d:Title": "Oxford Philomusica", "d:Description": "Orchestra in residence at the University of Oxford; with their profile, concert diary, ticket information, reviews, and biographies. Also includes details of their International Piano Competition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://oxfordphil.com/"} +{"d:Title": "London Metropolitan Orchestra", "d:Description": "Information about this ensemble and its work in film and television. Details of their live performances, news, discography, and player profiles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.lmo.co.uk/"} +{"d:Title": "London Symphony Orchestra", "d:Description": "Resident orchestra at The Barbican. Information on their concert schedule, recordings, and ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.lso.co.uk/"} +{"d:Title": "City of Birmingham Symphony Orchestra", "d:Description": "Profile of the orchestra and members, details of their community education programme, and a history. Includes concert schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.cbso.co.uk/"} +{"d:Title": "Hall\u00e9 Orchestra", "d:Description": "Britain's longest-established professional symphony orchestra. Official site with contact details, membership information, history, and concert listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.halle.co.uk/"} +{"d:Title": "Philharmonia Orchestra", "d:Description": "Resident at the South Bank Centre, London. Concert and tour schedules, online booking, downloads and information on recordings, players, instruments and music education.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.philharmonia.co.uk/"} +{"d:Title": "London Philharmonic Orchestra", "d:Description": "History of the ensemble, with a concert schedule, news and reviews. Includes information on their education programme, and their support.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.lpo.org.uk/"} +{"d:Title": "Association of British Orchestras", "d:Description": "The national body representing the collective interests of professional orchestras throughout the UK.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.abo.org.uk/"} +{"d:Title": "Royal Liverpool Philharmonic Orchestra", "d:Description": "Schedule of concerts by the RLPO and choirs at the Philharmonic Hall, with news and press releases.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.liverpoolphil.com/"} +{"d:Title": "Amadeus Orchestra", "d:Description": "Training orchestra for young professionals and music students. News, reviews and details of forthcoming performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.amadeusorchestra.co.uk/"} +{"d:Title": "The Orchestra of the Age of Enlightenment", "d:Description": "A period instrument orchestra playing baroque, classical and early romantic music on original instruments. Principal guest conductors are Sir Simon Rattle and Frans Br\u00fcggen. Concert details and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.oae.co.uk/"} +{"d:Title": "Milton Keynes City Orchestra", "d:Description": "Site provides list of forthcoming concerts, news, reviews and profiles of some members of the orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.mkco.org/"} +{"d:Title": "The Welsh Sinfonia", "d:Description": "Concert guide, repertoire, overview of the orchestra and information on their education services. (Cymraeg and English.)", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://welshsinfonia.co.uk/"} +{"d:Title": "BBC Philharmonic Orchestra", "d:Description": "Based in Manchester. Information about concerts, CD releases, education work and the orchestra itself.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.bbc.co.uk/orchestras/philharmonic/"} +{"d:Title": "Royal Philharmonic Orchestra", "d:Description": "Founded by Sir Thomas Beecham, and based at the Royal Festival and Royal Albert Halls, and tours throughout the UK. Concert details, history, information about their community work, and online purchase of tickets and recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.rpo.co.uk/"} +{"d:Title": "Brighton Philharmonic Orchestra", "d:Description": "Brighton's resident professional orchestra. Information about their concert schedule, booking information, and their supporters.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.brightonphil.org.uk/"} +{"d:Title": "South Yorkshire Symphony Orchestra", "d:Description": "Sheffield: Concert calendar and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.syso.co.uk/orchestra.htm"} +{"d:Title": "BBC Symphony Orchestra", "d:Description": "Founded by Adrian Boult in 1930 as London's first permanent orchestra, and now the flagship orchestra of the BBC, with a commitment to new music. Includes a history, concert schedule, and a profile of music they have premiered.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.bbc.co.uk/orchestras/symphonyorchestra/"} +{"d:Title": "BBC Concert Orchestra", "d:Description": "House band for BBC Radio 2's Friday Night is Music Night plus regular appearances on television and radio, also providing the soundtracks to various BBC Television programmes and giving performances of classical, jazz, film and crossover music on tour. Includes profile, history, information on composers, conductors and players, concert diary, and audio, video and image galleries.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.bbc.co.uk/orchestras/concertorchestra/"} +{"d:Title": "London Shostakovich Orchestra", "d:Description": "Currently embarked on a complete cycle of Shostakovich's symphonies: includes details of performances and recordings, programme notes and articles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://lsho.jmea.co.uk/"} +{"d:Title": "Bournemouth Symphony Orchestra", "d:Description": "Concert listing, with booking information. Includes information on their history, community and education projects, sponsorship and membership details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.bsolive.com/"} +{"d:Title": "Ulster Orchestra", "d:Description": "History of the Belfast-based orchestra. Includes their concert and event schedule, and information about their recordings and substantial community education programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.ulsterorchestra.com/"} +{"d:Title": "BBC Scottish Symphony Orchestra", "d:Description": "Founded in 1935 as the first full-time orchestral ensemble in Scotland. Includes a profile of the orchestra, with details of their concert schedule, recordings, reviews, and tickets.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.bbc.co.uk/bbcsso"} +{"d:Title": "BBC National Orchestra of Wales", "d:Description": "Information about the orchestra, including its concert and broadcast schedule, education and community programme, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Europe/United_Kingdom", "url": "http://www.bbc.co.uk/bbcnow"} +{"d:Title": "Jerusalem Symphony Orchestra", "d:Description": "The orchestra in Israel's capital city. A full concert schedule, the players, conductor and visiting artists.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Middle_East/Israel", "url": "http://www.jso.co.il/"} +{"d:Title": "Israel Philharmonic Orchestra", "d:Description": "Directed by Maestro Zubin Mehta.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Middle_East/Israel", "url": "http://www.ipo.co.il/"} +{"d:Title": "Orchestras Canada", "d:Description": "Non-profit national support organization for Canada's orchestras.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada", "url": "http://orchestrascanada.org/"} +{"d:Title": "Symphony New Brunswick", "d:Description": "Live orchestral and chamber music, based in Saint John, NB. Features up-coming performance dates and locations throughout New Brunswick, subscription and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada", "url": "http://www.symphonynb.com/"} +{"d:Title": "The Edmonton Philharmonic Orchestra", "d:Description": "Includes concert calendar, MP3 files, membership information, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Alberta", "url": "http://www.edmontonphilharmonic.com/"} +{"d:Title": "Edmonton Symphony Orchestra", "d:Description": "Describes orchestra, conductor and the Winspear Performance Centre; includes information about recordings, keynote performances and a calendar of upcoming events and performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Alberta", "url": "http://www.edmontonsymphony.com/"} +{"d:Title": "Lethbridge Symphony Association", "d:Description": "Lethbridge: Information about Orchestra and Musaeus string quartet; tickets, sponsorship, openings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Alberta", "url": "http://www.lethbridgesymphony.org/"} +{"d:Title": "Red Deer Symphony Orchestra", "d:Description": "Includes concert calendar, ticket sales, fundraising information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Alberta", "url": "http://www.rdso.ca/"} +{"d:Title": "Calgary Philharmonic Orchestra", "d:Description": "Includes ticket orders, performances, educational programmes and special events; information about the musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Alberta", "url": "http://calgaryphil.com/"} +{"d:Title": "Pacific Baroque Orchestra", "d:Description": "playing Renaissance, Baroque, and Classical repertoire, and new Canadian commissions in Vancouver and throughout BC.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/British_Columbia", "url": "http://www.pacificbaroque.com/"} +{"d:Title": "The Winnipeg Symphony Orchestra", "d:Description": "Lists program, events, location, ticket ordering, concert hall seating, amenities and fund raising activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Manitoba", "url": "http://www.wso.ca/"} +{"d:Title": "Newfoundland Symphony Orchestra", "d:Description": "St. John's: includes concert calendar, news, ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Newfoundland", "url": "http://nsomusic.ca/"} +{"d:Title": "Symphony Nova Scotia", "d:Description": "Halifax: includes schedule of concerts, information on the orchestra, off-stage team, partners, musical chairs, the connections program, subscriptions, prices and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Nova_Scotia", "url": "http://www.symphonynovascotia.ca/"} +{"d:Title": "Ottawa Symphony Orchestra", "d:Description": "Information on orchestra, concert dates, and subscription.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.ottawasymphony.com/"} +{"d:Title": "Tafelmusik Baroque Orchestra", "d:Description": "Period instrument orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.tafelmusik.org/"} +{"d:Title": "Esprit Orchestra", "d:Description": "History of the Toronto-based orchestra, with annual concert listings, discography, educational programming, special events and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.espritorchestra.com/"} +{"d:Title": "The Windsor Symphony", "d:Description": "Contains concert schedules, ticket information and pictures, CD sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.windsorsymphony.com/"} +{"d:Title": "Toronto Symphony Orchestra", "d:Description": "Information, online ticket sales, and upcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.tso.ca/"} +{"d:Title": "Thunder Bay Symphony Orchestra", "d:Description": "Lists calendar, ticket information and musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.tbso.ca/"} +{"d:Title": "Scarborough Philharmonic", "d:Description": "Ontario, Canada", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.spo.ca/"} +{"d:Title": "International Symphony Orchestra", "d:Description": "Presents concerts of classical music in Sarnia, Ontario and Port Huron, Michigan. Lists information about the players and upcoming events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.theiso.org/"} +{"d:Title": "Kitchener-Waterloo Symphony", "d:Description": "Includes a calendar of concerts and online ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.kwsymphony.ca/"} +{"d:Title": "Brantford Symphony Orchestra", "d:Description": "Provides history, concert details, and information about donations.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.brantfordsymphony.ca/"} +{"d:Title": "Niagara Symphony", "d:Description": "Orchestra in Residence at Brock University, under the direction of Daniel Swift.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Ontario", "url": "http://www.niagarasymphony.com/"} +{"d:Title": "Montreal Symphony Orchestra", "d:Description": "Includes history and discography, news and articles, online ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Quebec", "url": "http://www.osm.ca/"} +{"d:Title": "Orchestre M\u00e9tropolitain", "d:Description": "Under the direction of Yannick N\u00e9zet-S\u00e9guin. Includes concert schedule, director profile, history and on-line ticket subscriptions. Based in Montr\u00e9al. [English and French]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Quebec", "url": "http://www.orchestremetropolitain.com/"} +{"d:Title": "Saskatoon Symphony", "d:Description": "Includes ticket and schedule information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/Canada/Saskatchewan", "url": "http://www.saskatoonsymphony.org/"} +{"d:Title": "League of American Orchestras", "d:Description": "Provides leadership and service to American orchestras.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States", "url": "http://www.americanorchestras.org/"} +{"d:Title": "Huntsville Symphony Orchestra", "d:Description": "Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alabama", "url": "http://www.hso.org/"} +{"d:Title": "Mobile Symphony", "d:Description": "Includes concert schedule, program notes, artist biographies, history, and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alabama", "url": "http://www.mobilesymphony.org/"} +{"d:Title": "Alabama Symphony Orchestra", "d:Description": "(Birmingham) Includes season calendar and ticket information, musician roster and biographies, and orchestra background.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alabama", "url": "http://www.alabamasymphony.org/"} +{"d:Title": "Tuscaloosa Symphony Orchestra", "d:Description": "Includes concert season, phone sales of tickets.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alabama", "url": "http://www.tsoonline.org/"} +{"d:Title": "Montgomery Symphony Orchestra", "d:Description": "Includes calendar, ticket costs and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alabama", "url": "http://www.montgomerysymphony.org/"} +{"d:Title": "Anchorage Symphony Orchestra", "d:Description": "Offers ticket information, concert calendar, upcoming events, program notes, education and volunteer opportunities and information about the organization.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alaska", "url": "http://www.anchoragesymphony.org/"} +{"d:Title": "Fairbanks Symphony Association", "d:Description": "Home of the Fairbanks Symphony Orchestra and the Arctic Chamber Orchestra. Information and history about the organization, tickets, concert calendar, and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alaska", "url": "http://www.fairbankssymphony.org/"} +{"d:Title": "Juneau Symphony Orchestra", "d:Description": "Juneau Alaska's community orchestra. Performs symphonic music, chamber music concerts, and youth symphony events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Alaska", "url": "http://www.juneausymphony.org/"} +{"d:Title": "Tucson Symphony Orchestra", "d:Description": "Includes schedule, ticket information and purchase, booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Arizona", "url": "http://www.tucsonsymphony.org/"} +{"d:Title": "Phoenix Symphony", "d:Description": "Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Arizona", "url": "http://www.phoenixsymphony.org/"} +{"d:Title": "Flagstaff Symphony Orchestra", "d:Description": "Includes history, season schedule, ticket and subscription information, player roster, and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Arizona", "url": "http://www.flagstaffsymphony.org/"} +{"d:Title": "Symphony of the Southwest", "d:Description": "Mesa. Includes concert schedule, ticket and supporter information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Arizona", "url": "http://www.symphonyofthesouthwest.org/"} +{"d:Title": "Arkansas Symphony Orchestra", "d:Description": "Information on the season performance schedules and ticket availability. Background provided for the ASO, the Youth Symphony, Guilds, and the Quapaw String Quartet.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Arkansas", "url": "http://www.arkansassymphony.org/"} +{"d:Title": "South Arkansas Symphony", "d:Description": "Features concert schedule, brief history, director biography and details of outreach and educational programs. Based in El Dorado.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Arkansas", "url": "http://www.southarkansassymphony.org/"} +{"d:Title": "San Francisco Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.sfsymphony.org/"} +{"d:Title": "Berkeley Symphony Orchestra", "d:Description": "Includes schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.berkeleysymphony.org/"} +{"d:Title": "Fresno Philharmonic", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.fresnophil.org/"} +{"d:Title": "Santa Barbara Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.thesymphony.org/"} +{"d:Title": "Monterey County Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.montereysymphony.org/"} +{"d:Title": "Santa Rosa Symphony", "d:Description": "Includes a schedule, profiles, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.santarosasymphony.com/"} +{"d:Title": "Marin Symphony", "d:Description": "(San Rafael) Includes schedule, tickets, volunteer and youth orchestra information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.marinsymphony.org/"} +{"d:Title": "California Symphony", "d:Description": "Walnut Creek: includes concert calendar, activities, sponsorship information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.californiasymphony.org/"} +{"d:Title": "San Bernardino Symphony Orchestra", "d:Description": "Includes concert calendar, ticket price information, and fundraising activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.sanbernardinosymphony.org/"} +{"d:Title": "Los Angeles Philharmonic", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.laphil.com/"} +{"d:Title": "Pacific Symphony Orchestra", "d:Description": "Plays in several halls in the Orange County area. Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.pacificsymphony.org/"} +{"d:Title": "Santa Cruz County Symphony", "d:Description": "Concert schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.santacruzsymphony.org/"} +{"d:Title": "San Diego Symphony", "d:Description": "Describes concerts, musicians, corporate sponsorship, outreach programs, and ticket purchases.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.sandiegosymphony.org/"} +{"d:Title": "California Philharmonic Orchestra", "d:Description": "Information on concerts, festivals, kid's music camps, and sponsors.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://www.calphil.com/"} +{"d:Title": "Fremont Symphony Orchestra", "d:Description": "Calendar of performances, competitions, youth programs, ticket and volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://fremontsymphony.org/"} +{"d:Title": "Long Beach Symphony Orchestra", "d:Description": "Includes contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/California", "url": "http://longbeachsymphony.org/"} +{"d:Title": "Fort Collins Symphony Orchestra", "d:Description": "(Fort Collins, CO) 47+ years old.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Colorado", "url": "http://www.fcsymphony.org/"} +{"d:Title": "Colorado Symphony Orchestra", "d:Description": "Includes schedule, ticket information, and artist information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Colorado", "url": "http://www.coloradosymphony.org/"} +{"d:Title": "Boulder Philharmonic", "d:Description": "Concert calendar and ticket sales for Boulder's professional symphony orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Colorado", "url": "http://www.boulderphil.org/"} +{"d:Title": "The Hartford Symphony Orchestra", "d:Description": "Performance and ticket information provided.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.hartfordsymphony.org/"} +{"d:Title": "Orchestra New England", "d:Description": "(New Haven) Newsletter, history, season schedule and information on tickets.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.orchestranewengland.org/"} +{"d:Title": "Stamford Symphony Orchestra", "d:Description": "Profile of music director and information on music series.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.stamfordsymphony.org/"} +{"d:Title": "New Britain Symphony Orchestra", "d:Description": "Symphony history, concert schedule, ticket prices, scholarship information and orchestra details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.newbritainsymphony.org/"} +{"d:Title": "Norwalk Symphony Orchestra", "d:Description": "Concert schedule, ticket information, and musicians. Presents an annual series of symphonic, vocal and band performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.norwalksymphony.org/"} +{"d:Title": "Ridgefield Symphony Orchestra", "d:Description": "Ridgefield, CT. Includes music clips and information about musicians, soloists and staff.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.ridgefieldsymphony.org/"} +{"d:Title": "Waterbury Symphony Orchestra", "d:Description": "Each season, the orchestra offers the music public in northwestern Connecticut a program of classical, pops, educational and family concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.waterburysymphony.org/"} +{"d:Title": "Wallingford Symphony Orchestra", "d:Description": "The Orchestra, in addition to a four-concert series, presents children's concerts and other arts programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.wallingfordsymphony.org/"} +{"d:Title": "New Haven Symphony Orchestra", "d:Description": "One of the oldest orchestras in the U.S. presents performances by resident players with world-renowned guest soloists and conductors. Most NHSO concerts take place in Woolsey Hall on the Yale University Campus.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.newhavensymphony.org/"} +{"d:Title": "Greenwich Symphony Orchestra", "d:Description": "Professional orchestra provides its history and information on its current season, conductor and the Greenwich Symphony Guild.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.greenwichsymphony.org/"} +{"d:Title": "Eastern Connecticut Symphony Orchestra", "d:Description": "(New London) Concert schedule, news and information, season subscriptions, Young Artists Competition, performance center, and directions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.ectsymphony.com/"} +{"d:Title": "Greater Bridgeport Symphony", "d:Description": "History and information on competitions, concerts, conductor and tickets.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://www.bptsym.org/"} +{"d:Title": "Yale Symphony Orchestra", "d:Description": "New Haven CT: Large student symphony at Yale University, has toured Europe, Korea, and the US.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Connecticut", "url": "http://yso.yalecollege.yale.edu/"} +{"d:Title": "The Florida Orchestra", "d:Description": "(Tampa Bay) Professional symphony orchestra. Schedule of performances, online ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Florida", "url": "http://www.floridaorchestra.org/"} +{"d:Title": "Jacksonville Symphony Orchestra", "d:Description": "Performs works in classical and family formats: includes biographies of staff and performers, and concert listings and online ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Florida", "url": "http://www.jaxsymphony.org/"} +{"d:Title": "Miami Symphony Orchestra", "d:Description": "Includes concert calendar, ticket purchase and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Florida", "url": "http://www.miamisymphony.org/"} +{"d:Title": "Pensacola Symphony Orchestra", "d:Description": "offers masterworks, matinee, and pops concerts. Includes schedule, ticket purchase, volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Florida", "url": "http://www.pensacolasymphony.com/"} +{"d:Title": "Sarasota Orchestra", "d:Description": "Sarasota: News, concert and ticket information, youth symphony.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Florida", "url": "http://www.sarasotaorchestra.org/"} +{"d:Title": "Palm Beach Symphony", "d:Description": "Orchestra established in 1973. Reviews, schedule of performances with photos of guest artists, history, subscription form.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Florida", "url": "http://palmbeachsymphony.org/"} +{"d:Title": "Atlanta Symphony Orchestra", "d:Description": "Established in 1945, performs 200 concerts annually at Atlanta Symphony Hall as well as around Georgia and internationally. Sections for history, chorus, youth symphony, conductors, program schedules, financial support, ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Georgia", "url": "http://www.atlantasymphony.org/"} +{"d:Title": "Columbus Symphony Orchestra", "d:Description": "Includes concert calendar and ticket sales, audition and volunteer opportunities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Georgia", "url": "http://www.csoga.org/"} +{"d:Title": "Albany Symphony Orchestra", "d:Description": "Includes concert calendar, ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Georgia", "url": "http://www.albanysymphony.org/"} +{"d:Title": "Macon Symphony Orchestra", "d:Description": "Performers, conductor profile, program schedule, subscriptions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Georgia", "url": "http://www.maconsymphony.com/"} +{"d:Title": "DeKalb Symphony Orchestra", "d:Description": "(Atlanta) Information on the ensemble, current season, community concerts, annual symphony ball, and ticket ordering.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Georgia", "url": "http://www.gpc.edu/~gpcdso/"} +{"d:Title": "Coeur d'Alene Symphony Orchestra", "d:Description": "Includes concert calendar, history, ticket ordering and information about a youth competition and symphony league.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Idaho", "url": "http://www.cdasymphony.org/"} +{"d:Title": "Peoria Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Illinois", "url": "http://www.peoriasymphony.org/"} +{"d:Title": "Chicago Symphony Orchestra", "d:Description": "Includes concert schedules, ticket information, answers frequent questions and provides information for supporters, sponsors and teachers.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Illinois", "url": "http://cso.org/"} +{"d:Title": "Illinois Symphony Orchestra", "d:Description": "Performs diverse concerts for the communities of Springfield and Bloomington-Normal. Includes concert calendar, ticket sales, news and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Illinois", "url": "http://www.ilsymphony.org/"} +{"d:Title": "Anderson Symphony Orchestra", "d:Description": "(Anderson, Indiana) General information and history, concert schedule, special events, and ticket prices.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Indiana", "url": "http://www.andersonsymphony.org/"} +{"d:Title": "Columbus Indiana Philharmonic", "d:Description": "Includes season schedule, ticket and donation information, background on orchestra, conductor, and chorus, audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Indiana", "url": "http://www.thecip.org/"} +{"d:Title": "Richmond Symphony Orchestra", "d:Description": "Offers ticket, concert, and event information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Indiana", "url": "http://www.richmondsymphony.org/"} +{"d:Title": "Muncie Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Indiana", "url": "http://www.munciesymphony.org/"} +{"d:Title": "Indianapolis Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Indiana", "url": "http://www.indianapolissymphony.org/"} +{"d:Title": "Des Moines Symphony", "d:Description": "Includes concert calendar, ticket information, artist biographies, education programs, history, volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Iowa", "url": "http://www.dmsymphony.org/"} +{"d:Title": "Sioux City Symphony", "d:Description": "Includes program information, ticket sales, educational and fundraising activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Iowa", "url": "http://www.siouxcitysymphony.org/"} +{"d:Title": "Waterloo/Cedar Falls Symphony Orchestra", "d:Description": "Performs in the Cedar Valley: includes concert calendar, ticket sales, broadcast schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Iowa", "url": "http://www.wcfsymphony.org/"} +{"d:Title": "Orchestra Iowa", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Iowa", "url": "http://www.artsiowa.com/orchestra/"} +{"d:Title": "Kansas City Symphony", "d:Description": "Includes schedule and members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Kansas", "url": "http://www.kcsymphony.org/"} +{"d:Title": "Wichita Symphony Orchestra", "d:Description": "(Wichita Kansas) Includes schedule, history, and who's who.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Kansas", "url": "http://wichitasymphony.org/"} +{"d:Title": "Kentucky Symphony Orchestra", "d:Description": "Offers performance calendar, subscription and ticket information, history and profile and audio and video samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Kentucky", "url": "http://www.kyso.org/"} +{"d:Title": "Owensboro Symphony Orchestra", "d:Description": "Provides season schedule, ticket, and outreach information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Kentucky", "url": "http://www.owensborosymphony.org/"} +{"d:Title": "Louisville Orchestra", "d:Description": "Source for concert information, contemporary classical discography (first edition recordings), and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Kentucky", "url": "http://www.louisvilleorchestra.org/"} +{"d:Title": "Lexington Philharmonic", "d:Description": "Concert series and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Kentucky", "url": "http://www.lexphil.org/"} +{"d:Title": "Paducah Symphony Orchestra", "d:Description": "Includes concert calendar and ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Kentucky", "url": "http://paducahsymphony.org/"} +{"d:Title": "Acadiana Symphony Orchestra", "d:Description": "(Lafayette) Information about the orchestra, director, youth orchestra, music conservatory, concert schedule, employment, and directions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Louisiana", "url": "http://www.acadianasymphony.org/"} +{"d:Title": "Baton Rouge Symphony", "d:Description": "Includes concert schedule, online ticket purchasing, history and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Louisiana", "url": "http://www.brso.org/"} +{"d:Title": "Louisiana Philharmonic Orchestra", "d:Description": "(New Orleans, performs throughout the Gulf-south region)", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Louisiana", "url": "http://www.lpomusic.com/"} +{"d:Title": "Portland Symphony Orchestra", "d:Description": "Provides information for scheduled events, classical music, pops, and Symphony Sundays. Tickets may be ordered online.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Maine", "url": "http://www.portlandsymphony.org/"} +{"d:Title": "Maryland Symphony Orchestra", "d:Description": "Performing a broad range of compositions and concerts since 1982. Includes performances and ticket sales, conductor and performer information, history, education programs and services.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Maryland", "url": "http://www.marylandsymphony.org/"} +{"d:Title": "Baltimore Symphony Orchestra", "d:Description": "Performs a variety of works, in classical, \"superpops\", and family formats. Biographies of staff and performers, a music education section, and online concert listings and ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Maryland", "url": "http://www.bsomusic.org/"} +{"d:Title": "The Civic Symphony Orchestra of Boston", "d:Description": "Founded in 1924 as a teaching orchestra, the CSO now consists of advanced and graduate level conservatory students and highly-skilled players following other professions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.csob.org/"} +{"d:Title": "Boston Modern Orchestra Project", "d:Description": "Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.bmop.org/"} +{"d:Title": "Boston Symphony Orchestra", "d:Description": "Information about Boston Symphony and Boston Pops orchestras, Symphony Hall in Boston, and Tanglewood.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.bso.org/"} +{"d:Title": "Handel and Haydn Society", "d:Description": "Founded in 1815, Boston's period orchestra and professional chorus.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.handelandhaydn.org/"} +{"d:Title": "New England Philharmonic", "d:Description": "(Boston) Includes history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.nephilharmonic.org/"} +{"d:Title": "Symphony by the Sea", "d:Description": "Chamber orchestra that serves the north of Boston region.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.symphonybythesea.org/"} +{"d:Title": "Boston Philharmonic", "d:Description": "Semi-professional orchestra conducted by Benjamin Zander. Includes season concert information, venues, contributions, recordings and audition information. Ticket subscriptions online.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.bostonphil.org/"} +{"d:Title": "Merrimack Valley Philharmonic Orchestra", "d:Description": "(North Andover) Includes history, concert calendar, information for audiences and potential orchestra members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.mvpomusic.org/"} +{"d:Title": "Cape Symphony Orchestra", "d:Description": "Yarmouth: information on Classical and Pops series, subscriptions, tickets and the music director.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.capesymphony.org/"} +{"d:Title": "Harvard - Radcliffe Orchestra", "d:Description": "196th Season Orchestra and 40th year for the Director. Orchestra concert program, Symphonic performances in Saunders Theater.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.hcs.harvard.edu/~hro/"} +{"d:Title": "Brockton Symphony Orchestra", "d:Description": "Brockton, MA: Symphony schedule and special events listed, including educational outreach and BrSO ticket availability.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.brocktonsymphony.org/"} +{"d:Title": "Tuckerman Hall - Central Massachusetts Symphony Orchestra", "d:Description": "Worcester: The Symphony's annual activities include the admission-free Summer Concert Series in Worcester's Institute Park as well as symphonic and 'pops' performances in Worcester's Tuckerman and Mechanics Halls", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.tuckermanhall.org/"} +{"d:Title": "Cape Ann Symphony Orchestra", "d:Description": "Gloucester, MA:Professional Symphony flourishing with Yoichi Udagawa, Music Director. Concert and ticket information, and schedule are shown here.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.capeannsymphony.org/"} +{"d:Title": "Springfield Symphony Orchestra", "d:Description": "MA: Provides musical performances and youth programs. A schedule is provided along with the roster, sponsors and supporters.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.springfieldsymphony.org/"} +{"d:Title": "Indian Hill Symphony Orchestra", "d:Description": "Littleton, MA: Concert season schedule for the orchestra, ticket information and details about the Indian Hill School of Music.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.indianhillmusic.org/"} +{"d:Title": "New England Conservatory of Music", "d:Description": "Information about admissions, concert calendar for all large and small ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://necmusic.edu/"} +{"d:Title": "Plymouth Philharmonic Orchestra", "d:Description": "Plymouth, MA: \"The Phil\", a professional classical orchestra provides their concert program and calendar. Also information on ticket sales, and educational programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://plymouthphil.org/"} +{"d:Title": "Atlantic Symphony Orchestra", "d:Description": "Boston area Symphony Orchestra listings of concert series, masterworks, pops and recitals. Information on ticket sales, special events and kids concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.atlanticsymphony.org/"} +{"d:Title": "New Philharmonia Orchestra", "d:Description": "Performs both classical and children's concerts in Newton and Wellesley. Includes audition information, concert schedule, and musicians' biographies.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.newphil.org/"} +{"d:Title": "Mistral Music", "d:Description": "Presents unique programs of chamber music, both new and traditional, performed by internationally renowned musicians from major symphony orchestras Based in the Rogers Center for the Artsat Merrimack College, Andover, MA.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://www.mistralmusic.org/"} +{"d:Title": "New England Symphony Orchestra", "d:Description": "Leominster: Orchestra classical concert series calendar and Community Music School details with a instrument donation program. Concerts at the Performing Arts Center in Fitchburg.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Massachusetts", "url": "http://newenglandsymphony.org/"} +{"d:Title": "Grand Rapids Symphony", "d:Description": "Founded 1929, became fully professional in 1973.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Michigan", "url": "http://www.grsymphony.org/"} +{"d:Title": "Kalamazoo Symphony Orchestra", "d:Description": "Includes history, schedule and online ticket purchases.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Michigan", "url": "http://www.kalamazoosymphony.com/"} +{"d:Title": "Traverse Symphony Orchestra", "d:Description": "Includes season schedule, news, player roster.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Michigan", "url": "http://www.traversesymphony.org/"} +{"d:Title": "Detroit Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Michigan", "url": "http://www.dso.org/"} +{"d:Title": "Minnesota Orchestra", "d:Description": "(Minneapolis) Offers concert schedule, ticket purchasing, guest artist profiles, and information about musicians, history, recordings, and education programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Minnesota", "url": "http://www.minnesotaorchestra.org/"} +{"d:Title": "Duluth-Superior Symphony Orchestra", "d:Description": "Performs in Northern Minnesota and the Twin Ports region. Calendar, ticket sales, youth ensemble, history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Minnesota", "url": "http://www.dsso.com/"} +{"d:Title": "Mississippi Symphony Orchestra", "d:Description": "Includes schedule, information about the organization, and openings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Mississippi", "url": "http://www.msorchestra.com/"} +{"d:Title": "Springfield Symphony", "d:Description": "Calendar, group and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Missouri", "url": "http://www.springfieldmosymphony.org/"} +{"d:Title": "Saint Louis Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Missouri", "url": "http://www.stlsymphony.org/"} +{"d:Title": "Great Falls Symphony Association", "d:Description": "Includes season, ticket, and ensemble information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Montana", "url": "http://www.gfsymphony.org/"} +{"d:Title": "Bozeman Symphony", "d:Description": "Includes schedule, members, and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Montana", "url": "http://www.bozemansymphony.org/"} +{"d:Title": "Omaha Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Nebraska", "url": "http://www.omahasymphony.org/"} +{"d:Title": "Lincoln Symphony Orchestra", "d:Description": "Contains concert calendar only.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Nebraska", "url": "http://www.lincolnsymphony.org/"} +{"d:Title": "Las Vegas Philharmonic", "d:Description": "Concert calendar, ticket sales, volunteer information, photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Nevada", "url": "http://www.lvphil.org/"} +{"d:Title": "Orchestra of St. Peter by the Sea", "d:Description": "(Bay Head) Orchestra, conductor, and musicians information, concert schedule, photos, recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_Jersey", "url": "http://www.orchsp.com/"} +{"d:Title": "New Jersey Symphony Orchestra", "d:Description": "Includes schedule, online ticket purchases, musician biographies, education programs, and volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_Jersey", "url": "http://www.njsymphony.org/"} +{"d:Title": "Symphony in C", "d:Description": "Provides upcoming events, educational programs they offer, directions, news items, and contacts. Camden.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_Jersey", "url": "http://www.symphonyinc.org/"} +{"d:Title": "Discovery Orchestra", "d:Description": "Provides current events, seminars, donation information, speakers, and performance schedule. Located in Warren.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_Jersey", "url": "http://www.discoveryorchestra.org/"} +{"d:Title": "Roswell Symphony Orchestra", "d:Description": "Concert schedule, seating chart, ticket information, Roswell Symphony Foundation Bookstore and information about conductor John Farrer.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_Mexico", "url": "http://www.roswellsymphony.org/"} +{"d:Title": "Buffalo Philharmonic Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.bpo.org/"} +{"d:Title": "Westchester Philharmonic Orchestra", "d:Description": "Offers information on its concert series, programs for kids, sponsors and musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.westchesterphil.org/"} +{"d:Title": "Rochester Philharmonic Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.rpo.org/"} +{"d:Title": "Society for New Music", "d:Description": "Serves the musical community of central New York by performing, commissioning, and advocating for new works by regional composers.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.societyfornewmusic.org/"} +{"d:Title": "American Composers Orchestra", "d:Description": "(New York City) Devoted to American music, performing at Carnegie. Features details of new music and outreach programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.americancomposers.org/"} +{"d:Title": "Albany Symphony Orchestra", "d:Description": "Includes concert and subscription information, roster, job listings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.albanysymphony.com/"} +{"d:Title": "Schenectady Symphony Orchestra", "d:Description": "Community orchestra. Includes schedule, news, history, roster, competition and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.schenectadysymphony.org/"} +{"d:Title": "The New York Pops", "d:Description": "Includes news, concert and ticket information, discography with audio samples and CD sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.newyorkpops.org/"} +{"d:Title": "Orchestra of St. Luke's", "d:Description": "(New York City) Orchestra and chamber ensemble. Includes history, performance schedule, information on special projects and educational activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.oslmusic.org/"} +{"d:Title": "New York Philharmonic", "d:Description": "Includes orchestra and member profiles, history, concert schedules, online ticket purchasing, e-store and a visitors planning guide.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://nyphil.org/"} +{"d:Title": "Long Island Baroque Ensemble", "d:Description": "Early music performed on period instruments: Includes contact information and concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://www.libaroque.org/"} +{"d:Title": "Orchestra of the Southern Finger Lakes", "d:Description": "Performs in Elmira and Corning: includes concert and ticket information, FAQ, information on educational programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/New_York", "url": "http://osfl.publishpath.com/"} +{"d:Title": "Symphony Guild of Charlotte", "d:Description": "Was created in 1950 to support the Charlotte Symphony Orchestra and symphonic music in the Charlotte area.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Carolina", "url": "http://www.symphonyguildcharlotte.org/"} +{"d:Title": "Asheville Symphony Orchestra", "d:Description": "Includes schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Carolina", "url": "http://www.ashevillesymphony.org/"} +{"d:Title": "Charlotte Symphony Orchestra", "d:Description": "Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Carolina", "url": "http://www.charlottesymphony.org/"} +{"d:Title": "North Carolina Symphony", "d:Description": "Professional Symphony Orchestra: calendar, ticket information, contribution and volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Carolina", "url": "http://www.ncsymphony.org/"} +{"d:Title": "Greensboro Symphony Orchestra", "d:Description": "Includes concert calendar and ticket sales information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Carolina", "url": "http://www.greensborosymphony.org/"} +{"d:Title": "Western Piedmont Symphony", "d:Description": "Hickory: includes concerts and tickets, youth symphony, kids club, and photos.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Carolina", "url": "http://www.wpsymphony.org/"} +{"d:Title": "Winston-Salem Symphony", "d:Description": "Information on tickets, events, news and educational programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Carolina", "url": "http://www.wssymphony.org/"} +{"d:Title": "Bismarck-Mandan Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/North_Dakota", "url": "http://www.bismarckmandansymphony.org/"} +{"d:Title": "Cleveland Pops Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.clevelandpops.com/"} +{"d:Title": "Cincinnati Symphony and Pops Orchestras", "d:Description": "Includes schedule, news, and members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.cincinnatisymphony.org/"} +{"d:Title": "Springfield Symphony Orchestra", "d:Description": "Includes schedule, history, and members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.springfieldsym.org/"} +{"d:Title": "Toledo Symphony Orchestra", "d:Description": "Schedule, ticket ordering, audio samples, musician profiles, and previous performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.toledosymphony.com/"} +{"d:Title": "Hamilton-Fairfield Symphony and Chorale", "d:Description": "Information on orchestra, forthcoming Mozart Festival, and conductor, and audio samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.hfso.org/"} +{"d:Title": "Youngstown Symphony", "d:Description": "Regional symphony orchestra and performing arts center housed in the historic Edward W. Powers Auditorium", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.youngstownsymphony.com/"} +{"d:Title": "Columbus Symphony Orchestra", "d:Description": "Includes schedule, news, history, and members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.columbussymphony.com/"} +{"d:Title": "Canton Symphony Orchestra", "d:Description": "Includes activities, concert calendar and program notes, and ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.cantonsymphony.org/"} +{"d:Title": "The Cleveland Orchestra", "d:Description": "Official site with upcoming concerts, tickets, and education programs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://www.clevelandorchestra.com/"} +{"d:Title": "Dayton Philharmonic Orchestra", "d:Description": "Includes a concert schedule, information on the orchestra, events, and ticket ordering.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Ohio", "url": "http://daytonperformingarts.org/philharmonic"} +{"d:Title": "Oklahoma City Philharmonic", "d:Description": "Includes schedule, online ticket purchases and donations, history, artist biographies, educational programs, and special events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Oklahoma", "url": "https://okcphil.publishpath.com/"} +{"d:Title": "Oregon Symphony", "d:Description": "(Portland) Includes schedule, online subscription and ticket purchases, conductor biographies, education information, and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Oregon", "url": "http://www.orsymphony.org/"} +{"d:Title": "Rogue Valley Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Oregon", "url": "http://www.rvsymphony.org/"} +{"d:Title": "Portland Baroque Orchestra", "d:Description": "Includes history, musician profiles, event and concert listings, ticket information, and volunteer opportunities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Oregon", "url": "http://www.pbo.org/"} +{"d:Title": "Eugene Symphony", "d:Description": "Features ticket information; concert calendar; pre-concert talks with the musical director.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Oregon", "url": "http://www.eugenesymphony.org/"} +{"d:Title": "Oregon East Symphony and Chorale", "d:Description": "Pendleton: Includes concert dates, educational activities, conductor and soloist information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Oregon", "url": "http://www.oregoneastsymphony.org/"} +{"d:Title": "Lansdowne Symphony Orchestra", "d:Description": "Concert schedule and general information concerning one of the oldest regional ensembles serving the Greater Philadelphia area.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.lansdowneso.org/"} +{"d:Title": "Northeastern Pennsylvania Philharmonic", "d:Description": "Located in the Poconos. Concert and educational program calendars, ticket purchase information, volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.nepaphil.org/"} +{"d:Title": "Philadelphia Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.philorch.org/"} +{"d:Title": "Pittsburgh Symphony Orchestra", "d:Description": "PSO and Heinz Hall calendar, ticket information, biographies of musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.pittsburghsymphony.org/"} +{"d:Title": "Harrisburg Symphony Orchestra", "d:Description": "Includes schedule and location of performances and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.harrisburgsymphony.org/"} +{"d:Title": "Peter Nero and the Philly Pops", "d:Description": "Includes ticket information, schedules and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.phillypops.com/"} +{"d:Title": "Reading Symphony Orchestra", "d:Description": "Includes concert calendar, activities including youth orchestra, program archive, sound samples, and ticket sales information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.readingsymphony.com/"} +{"d:Title": "Allentown Symphony Orchestra", "d:Description": "Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.millersymphonyhall.org/"} +{"d:Title": "Rhode Island Philharmonic Orchestra", "d:Description": "(Providence) Includes history, educational programs, concert schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Rhode_Island", "url": "http://www.ri-philharmonic.org/"} +{"d:Title": "Charleston Symphony Orchestra", "d:Description": "Describes conductors, musicians, and educational programs; includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/South_Carolina", "url": "http://www.charlestonsymphony.org/"} +{"d:Title": "South Dakota Symphony", "d:Description": "(Sioux Falls) Provides information about the concert season, matinee and chamber series, symphony and conductor information, education programs, ticket and touring information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/South_Dakota", "url": "http://www.sdsymphony.org/"} +{"d:Title": "Johnson City Symphony", "d:Description": "Includes news, concert calendar and ticket sales information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Tennessee", "url": "http://www.jcsymphony.com/"} +{"d:Title": "Symphony of the Mountains", "d:Description": "Kingsport: Season schedule, sponsors, program description, history, contact information, photographs, and a ticket form.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Tennessee", "url": "http://www.symphonyofthemountains.org/"} +{"d:Title": "Chattanooga Symphony and Opera Association", "d:Description": "Includes concert calendar with brief notes, ticket sales, information on activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Tennessee", "url": "http://www.chattanoogasymphony.org/"} +{"d:Title": "Knoxville Symphony Orchestra", "d:Description": "Includes concert schedule, \"meet the orchestra,\" FAQ for new audience members, descriptions of education programs, and other information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Tennessee", "url": "http://www.knoxvillesymphony.com/"} +{"d:Title": "Nashville Symphony", "d:Description": "Includes news, history, profiles, and information on venues and recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Tennessee", "url": "http://www.nashvillesymphony.org/"} +{"d:Title": "Jackson Symphony Orchestra", "d:Description": "Includes concert calendar, outreach activities, and ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Tennessee", "url": "http://thejacksonsymphony.org/"} +{"d:Title": "Memphis Symphony Orchestra", "d:Description": "Official site. Information on concerts, guest artists, schedule, conductors, and musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Tennessee/Memphis_Symphony_Orchestra", "url": "http://www.memphissymphony.org/"} +{"d:Title": "Austin Symphony Orchestra", "d:Description": "Austin's oldest performing arts organization.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.austinsymphony.org/"} +{"d:Title": "El Paso Symphony Orchestra", "d:Description": "Includes schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.epso.org/"} +{"d:Title": "Fort Worth Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.fwsymphony.org/"} +{"d:Title": "San Antonio Symphony-Orchestra", "d:Description": "Classical Music, Pops, Education", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.sasymphony.org/"} +{"d:Title": "Amarillo Symphony", "d:Description": "Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.amarillosymphony.org/"} +{"d:Title": "East Texas Symphony Orchestra", "d:Description": "(Tyler, Texas) Includes artist, schedule, and ticket information, league and support details, and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.etso.org/"} +{"d:Title": "Galveston Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.galvestonsymphony.org/"} +{"d:Title": "Houston Symphony", "d:Description": "News and ticket and performance information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.houstonsymphony.org/"} +{"d:Title": "Plano Symphony Orchestra", "d:Description": "Includes concert calendar, ticket purchase information, volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "http://www.planosymphony.org/"} +{"d:Title": "Dallas Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Texas", "url": "https://www.mydso.com/"} +{"d:Title": "Utah Symphony", "d:Description": "(Salt Lake City) Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Utah", "url": "http://www.utahsymphony.org/"} +{"d:Title": "Salt Lake Symphony", "d:Description": "Includes season schedule, artist biographies, and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Utah", "url": "http://www.saltlakesymphony.org/"} +{"d:Title": "Vermont Symphony Orchestra", "d:Description": "Presents all the best that classical music has to offer with live performers and concertos.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Vermont", "url": "http://www.vso.org/"} +{"d:Title": "Virginia Symphony", "d:Description": "(Hampton Roads) Information, calendars, reviews, activities, and ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Virginia", "url": "http://www.virginiasymphony.org/"} +{"d:Title": "Richmond Symphony", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Virginia", "url": "http://www.richmondsymphony.com/"} +{"d:Title": "Roanoke Symphony Society", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Virginia", "url": "http://rso.com/"} +{"d:Title": "Seattle Baroque Orchestra", "d:Description": "Offers baroque music concerts in Seattle and on the Eastside. [does not work with standard browsers]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington", "url": "http://www.earlymusicguild.org/"} +{"d:Title": "Seattle Symphony", "d:Description": "Includes schedule, ticket purchases, online donations, education programs, and information on Benaroya Hall.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington", "url": "http://www.seattlesymphony.org/"} +{"d:Title": "Federal Way Symphony", "d:Description": "Includes history and schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington", "url": "http://www.federalwaysymphony.org/"} +{"d:Title": "Spokane Symphony", "d:Description": "Includes concert calendar and ticket sales, history, information on Fox Theater.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington", "url": "http://spokanesymphony.org/"} +{"d:Title": "Bremerton Symphony", "d:Description": "Includes schedule, and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington", "url": "http://bremertonsymphony.wordpress.com/"} +{"d:Title": "Seattle Philharmonic Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington", "url": "http://seattlephil.org/"} +{"d:Title": "National Symphony Orchestra", "d:Description": "Based at the John F. Kennedy Center for the Performing Arts. Online ticketing.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.kennedy-center.org/nso/"} +{"d:Title": "Alexandria Symphony Orchestra", "d:Description": "Fully professional ensemble based at Alexandria's Rachel M. Schlesinger Concert Hall and Arts Center. Online ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.alexsym.org/"} +{"d:Title": "Fairfax Symphony Orchestra", "d:Description": "Offers an annual concert series at the George Mason University Center for the Arts, as well as an extensive series of outreach programs in area schools. Online ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.fairfaxsymphony.org/"} +{"d:Title": "National Philharmonic", "d:Description": "Offers an orchestral concert series and hosts guest artists in its chamber and piano series, and performs with the National Philharmonic Chorale. Formerly the National Chamber Orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.nationalphilharmonic.org/"} +{"d:Title": "Arlington Philharmonic", "d:Description": "Plans to offer a full range of symphonic, chamber and educational programming. Profile, news, concerts, education and outreach, support and staff.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.arlingtonphilharmonic.org/"} +{"d:Title": "Toscanini New Symphony Orchestra", "d:Description": "Founded to help up-and-coming musicians continue their professional growth, serving as a bridge between conservatory and major symphony orchestras. Includes news, profile and application information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.toscaninisymphony.com/"} +{"d:Title": "American Pops Orchestra", "d:Description": "Orchestral pops programming and the Great American Songbook. Includes profile, schedule, musicians, media, reviews, links and online ticketing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Washington,_DC", "url": "http://theamericanpops.org/"} +{"d:Title": "West Virginia Symphony Orchestra", "d:Description": "(Charleston) Includes schedule and news.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/West_Virginia", "url": "http://www.wvsymphony.org/"} +{"d:Title": "American Heritage Orchestra", "d:Description": "(Wheeling) A music education resource, presenting free school concerts and professional music clinician services for high school and college bands.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/West_Virginia", "url": "http://www.americanheritageorchestra.org/"} +{"d:Title": "Kenosha Symphony Orchestra", "d:Description": "Performs at the Reuther Auditorium: includes concert calendar, ticket price and volunteer information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Wisconsin", "url": "http://www.kenoshasymphony.org/"} +{"d:Title": "Madison Symphony Orchestra", "d:Description": "Includes concert and special event information, and ticket subscription details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Wisconsin", "url": "http://www.madisonsymphony.org/"} +{"d:Title": "Milwaukee's Festival City Symphony", "d:Description": "Under the baton of Monte Perkins, presents Symphony Sundays concerts at the historic Pabst Theater in Milwaukee and free children\u2019s Pajama Jamborees at the Marcus Center for the Performing Arts. FCS is a nonprofit performing arts organization.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Wisconsin", "url": "http://www.festivalcitysymphony.org/"} +{"d:Title": "Kettle Moraine Symphony Orchestra", "d:Description": "Performs in Washington and Ozaukee Counties: includes concert calendar, history, and ticket purchase information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Wisconsin", "url": "http://www.kmsymphony.org/"} +{"d:Title": "Sheyboygan Symphony Orchestra", "d:Description": "Performing Symphonic and Choral masterpieces at the Stefanie H. Weill Center for the Performing Arts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Wisconsin", "url": "http://www.sheboygansymphony.org/"} +{"d:Title": "Milwaukee Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Wisconsin", "url": "http://www.mso.org/"} +{"d:Title": "Cheyenne Symphony Orchestra", "d:Description": "Includes calendar and ticket prices, outreach and support activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/North_America/United_States/Wyoming", "url": "http://cheyennesymphony.org/"} +{"d:Title": "Melbourne Symphony Orchestra", "d:Description": "A large and interactive site with a substantial education content, for one of Australias premiere orchestras.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/Australia", "url": "http://www.mso.com.au/"} +{"d:Title": "Canberra Symphony Orchestra", "d:Description": "Includes schedule and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/Australia", "url": "http://www.cso.org.au/"} +{"d:Title": "West Australian Symphony", "d:Description": "Concerts, players, friends of the Orchestra, music education information", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/Australia", "url": "http://www.waso.com.au/"} +{"d:Title": "Tasmanian Symphony Orchestra", "d:Description": "Contains details of the orchestra's concerts, performances, history, members, audience development and educational and activities. And a cook book.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/Australia", "url": "http://www.tso.com.au/"} +{"d:Title": "Adelaide Symphony Orchestra", "d:Description": "Orchestra information including concerts, members, artists, venues and the ASO eClub", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/Australia", "url": "http://www.aso.com.au/"} +{"d:Title": "Australian Doctor's Orchestra", "d:Description": "Non-profit organisation playing for charity: Includes concert schedule, history and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/Australia", "url": "http://www.ado.net.au/"} +{"d:Title": "New Zealand Symphony Orchestra", "d:Description": "Performs across New Zealand: includes FAQ, calendar, ticket sales, information about musicians, sponsors.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/New_Zealand", "url": "http://www.nzso.co.nz/"} +{"d:Title": "Auckland Philharmonia", "d:Description": "Includes information on the orchestra, visiting artists and concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Oceania/New_Zealand", "url": "http://www.apo.co.nz/"} +{"d:Title": "BBC Symphony Orchestra", "d:Description": "London: Includes history, concert schedule, and profile of music they have premiered.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Radio_Orchestras", "url": "http://www.bbc.co.uk/orchestras/symphonyorchestra/"} +{"d:Title": "S\u00e3o Paulo State Symphony Orchestra", "d:Description": "History, news, musicians, season schedule, and press reviews.In English and Portuguese. [Flash]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/South_America/Brazil", "url": "http://www.osesp.art.br/"} +{"d:Title": "Niagara Symphony", "d:Description": "Orchestra in Residence at Brock University.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/University_Orchestras/North_America/Canada", "url": "http://www.niagarasymphony.com/"} +{"d:Title": "Life Sciences Orchestra", "d:Description": "Consists of the musicians from the University of Michigan Life Sciences Community. Includes band and conductor information, photos, and upcoming concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/University_Orchestras/North_America/United_States", "url": "http://www.umich.edu/~lsorch/"} +{"d:Title": "Yale Symphony Orchestra", "d:Description": "Includes history, members, audio files, and concert information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/University_Orchestras/North_America/United_States", "url": "http://yso.yalecollege.yale.edu/"} +{"d:Title": "Stanford Symphony Orchestra", "d:Description": "Includes schedule and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/University_Orchestras/North_America/United_States/California", "url": "http://www.stanford.edu/group/sso/"} +{"d:Title": "Pomona College Orchestra", "d:Description": "Includes history and audio samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/University_Orchestras/North_America/United_States/California", "url": "http://orchestra.pomona.edu/"} +{"d:Title": "Youth Orchestra WebRing", "d:Description": "Linking orchestra sites and pages of members, directors and supporters of orchestras around the world.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras", "url": "http://www.webring.org/hub/youthorchestra"} +{"d:Title": "Asian Youth Orchestra", "d:Description": "Hong Kong-base orchestra drawing students from across East Asia: includes concert and tour schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Asia", "url": "http://www.asianyouthorchestra.com/"} +{"d:Title": "Symphonic Orchestra For Young Amateurs (SOFYA)", "d:Description": "Orchestra based around Brussels for young and old amateurs, who perform for weddings, concerts, musical productions and other events. [French/Dutch/English]", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe", "url": "http://www.sofya.be/"} +{"d:Title": "European Union Youth Orchestra", "d:Description": "Information about auditions, artists, and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe", "url": "http://www.euyo.eu/"} +{"d:Title": "Youth Symphony Orchestra", "d:Description": "Hannover, Germany: includes concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/Germany", "url": "http://www.jso-hannover.de/"} +{"d:Title": "National Youth Orchestra of Ireland", "d:Description": "Details of the organisation, its two orchestras, past programmes and recent repertoire, future plans, CD samples and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/Ireland", "url": "http://www.nyoi.ie/"} +{"d:Title": "County Tipperary Ryan Youth Orchestra", "d:Description": "Irish orchestra catering to young musicians from all over County Tipperary and neighbouring counties. Site provides an event schedule, history, list of members, and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/Ireland", "url": "http://homepage.eircom.net/~cmc/ctryo/Pages/home.htm"} +{"d:Title": "The National Association of Youth Orchestras", "d:Description": "Supporting 125,000 young musicians in 1,800 youth orchestras around the UK. Includes information about activities and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom", "url": "http://www.nayo.org.uk/"} +{"d:Title": "The New Streetly Youth Orchestra", "d:Description": "Located in Streetly, West Midlands.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.nsyo.org.uk/"} +{"d:Title": "The City of Sheffield Youth Orchestra", "d:Description": "Includes concert and ticket information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.csyo.org.uk/"} +{"d:Title": "Bromley Youth Music Trust", "d:Description": "Information on the Bromley Youth Concert Band, Bromley Youth Chamber Orchestra and the Bromley Youth Symphony Orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.bymt.co.uk/"} +{"d:Title": "Westmorland Youth Orchestra", "d:Description": "Located in Cumbria. History, repertoire, and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.wyo.org.uk/"} +{"d:Title": "Midland Youth Orchestra", "d:Description": "The MYO is an independent youth symphony orchestra founded in 1956 by Blyth Major, the then General Manager and Secretary of the City of Birmingham Symphony Orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.mlyo.org.uk/"} +{"d:Title": "National Youth Orchestra of Great Britain", "d:Description": "For 13 - 19 year-olds. Features photos, information, concert reviews, and application details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.nyo.org.uk/"} +{"d:Title": "Coventry Youth Orchestra", "d:Description": "Full symphony orchestra with about 75 players. Information about how to join, local schedule, and discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.cyo.org.uk/"} +{"d:Title": "Warrington Youth Orchestra", "d:Description": "Charitable organisation offering the youth of Warrington and the surrounding areas of Cheshire the chance to make music in a symphony orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://homepage.ntlworld.com/a.preston8/wyo.htm"} +{"d:Title": "Gloucestershire Youth Orchestra", "d:Description": "Includes schedule, personnel and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.glosyo.co.uk/"} +{"d:Title": "Friends of Lancashire Students Symphony Orchestras", "d:Description": "Information about both the Lancashire Youth Symphony Orchestra and the Lancashire Schools Symphony Orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://flsso.org.uk/"} +{"d:Title": "Reading Youth Orchestra", "d:Description": "Includes membership information, upcoming events, diary, music, \u00a0and contact details", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.readingyouth.freehighway.net/"} +{"d:Title": "Exeter Children's Orchestra", "d:Description": "Open to young people aged 7 to 19, with up to 150 playing members. Provides coming events and details about how to join.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.exeterchildrensorchestra.co.uk/"} +{"d:Title": "Stockport Youth Orchestra", "d:Description": "Information on how to join and rehearsal times. Reports on previous concerts and upcoming events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.syo.org.uk/"} +{"d:Title": "Hampshire County Youth Orchestra", "d:Description": "Includes performance information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.hcyo.co.uk/"} +{"d:Title": "Cheshire Youth Orchestra", "d:Description": "Provides membership information, events, news, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.cheshireyouthorchestra.co.uk/"} +{"d:Title": "National Schools Symphony Orchestra", "d:Description": "A course in Somerset for young performers between 13 to 18 years old. Includes information about application and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/England", "url": "http://www.nsso2016.org/"} +{"d:Title": "Perth Youth Orchestra", "d:Description": "Includes news and reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/Scotland", "url": "http://www.perth-youth-orchestra.org.uk/"} +{"d:Title": "Edinburgh Youth Orchestra", "d:Description": "The EYO, a full symphony orchestra with 100 players aged between 13 and 21 years, has developed into one of the pre-eminent youth orchestras of the United Kingdom.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Europe/United_Kingdom/Scotland", "url": "http://edinburghyouthorchestra.org/"} +{"d:Title": "National Youth Orchestra of Canada", "d:Description": "Non-profit organization devoted to the discovery and training of young Canadian musicians. Includes information about programs, concerts and activities.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada", "url": "http://www.nyoc.org/"} +{"d:Title": "Calgary Youth Orchestra", "d:Description": "Includes concert calendar, contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Alberta", "url": "http://www.cyo.ab.ca/"} +{"d:Title": "Edmonton Youth Orchestra", "d:Description": "Includes concert calendar, audition information, discography.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Alberta", "url": "http://www.eyso.com/"} +{"d:Title": "Vancouver Youth Symphony Orchestra", "d:Description": "Offers training from introductory string orchestra to senior Symphony Orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/British_Columbia", "url": "http://www.vyso.com/"} +{"d:Title": "Greater Victoria Youth Orchestra", "d:Description": "Includes concert calendar and ticket information, audition information, history and pictures.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/British_Columbia", "url": "http://www.gvyo.org/"} +{"d:Title": "North York Concert Orchestra", "d:Description": "[Toronto] Concert calendar, ticket mail order information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://www.nyco.on.ca/"} +{"d:Title": "Durham Youth Orchestra", "d:Description": "Includes contact, concert, and booking information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://www.dyomusic.com/"} +{"d:Title": "Mississauga Youth Orchestra", "d:Description": "Includes concert calendar, audition information, registration forms.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://www.myomusic.ca/"} +{"d:Title": "Ottawa Youth Orchestra", "d:Description": "News, programs, camps, and registration information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://www.oyoa-aojo.ca/"} +{"d:Title": "Hamilton Philharmonic Youth Orchestra", "d:Description": "Contains audition information, events, history, news, photos, members, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://www.hpyo.com/"} +{"d:Title": "Toronto Youth Wind Orchestra", "d:Description": "The TYWO began as the dream of its conductor, Colin Clarke, while he was still in high school. He envisioned a musical ensemble that would bring together the best musicians from Toronto and beyond to play the most challenging wind band repertoire.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://tywo.ca/"} +{"d:Title": "Kitchener-Waterloo Symphony Youth Orchestra", "d:Description": "Includes ensemble and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://kwsymphony.ca/education/youth-orchestra.php"} +{"d:Title": "Halton Mississauga Youth Orchestra", "d:Description": "Oakville: Lists upcoming events, FAQs and information about symphonic and chamber orchestras.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "http://www.hmyo.ca/"} +{"d:Title": "Mooredale Youth Orchestras", "d:Description": "[Toronto] Concert calendar, ticket mail order information, concert notes.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "https://www.mooredaleconcerts.com/youth-orchestras/"} +{"d:Title": "Toronto Symphony Youth Orchestra", "d:Description": "Describes its educational programs and provides membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/Canada/Ontario", "url": "https://www.tso.ca/toronto-symphony-youth-orchestra"} +{"d:Title": "Huntsville Youth Orchestra", "d:Description": "Includes news, calendar, and sound files.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Alabama", "url": "http://www.huntsvilleyouthorchestra.org/"} +{"d:Title": "Alaska Youth Orchestras", "d:Description": "Composed of high school age students in the community in and around Anchorage. Membership is by annual audition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Alaska", "url": "http://www.alaskayouthorchestras.org/"} +{"d:Title": "Tucson Philharmonia Youth Orchestra", "d:Description": "Includes musicians from local middle schools through community colleges.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Arizona", "url": "http://www.tpyo.org/"} +{"d:Title": "Tucson Junior Strings", "d:Description": "Six orchestras that range in expertise from the recent beginner to the semi-professional, playing in a chamber music style.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Arizona", "url": "http://www.tucsonjuniorstrings.org/"} +{"d:Title": "West Valley Youth Orchestra", "d:Description": "[Arizona] Offering young talented musicians in the western Valley of the Sun (Phoenix AZ metro area) an opportunity for a year of intensive orchestra training and exciting musical performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Arizona", "url": "http://wvyo.org/"} +{"d:Title": "Metropolitan Youth Symphony", "d:Description": "Mesa, AZ. A program in orchestral music for young school musicians through the ninth grade. Includes news, rehearsal details, and upcoming performances.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Arizona", "url": "http://www.azmys.org/"} +{"d:Title": "American Youth Symphony", "d:Description": "[Los Angeles] Provides training and opportunities for instrumentalists between the ages of 18 and 25.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.aysymphony.org/"} +{"d:Title": "California Youth Symphony", "d:Description": "California's first independent youth orchestra, founded in 1952.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://cys.org/"} +{"d:Title": "El Camino Youth Symphony.", "d:Description": "[Palo Alto] Open to school aged musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.ecys.org/"} +{"d:Title": "Golden Gate Philharmonic", "d:Description": "[San Francisco] It is a youth orchestra for young people K-12.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.ggph.org/"} +{"d:Title": "Peter Meremblum Youth Orchestras", "d:Description": "Musicians aged 8-18 from throughout Los Angeles County meet Saturdays in West Hollywood for orchestra, chamber music and theory. Founded in 1936, they are sponsored by the California Junior Symphony Association.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.meremblum.org/"} +{"d:Title": "Southwest High School Orchestra", "d:Description": "String orchestra located in El Centro. Includes information on past and current activities and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.angelfire.com/ca2/sworchestra/"} +{"d:Title": "San Jose Youth Symphony", "d:Description": "Presents educational programs, concerts, musicians and information on how to audition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://sjys.org/"} +{"d:Title": "Peninsula Youth Orchestra", "d:Description": "Includes educational programs, calendar, membership information, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.peninsulayouthorchestra.org/"} +{"d:Title": "Verdugo Young Musicians' Association", "d:Description": "String orchestra for children ages 7-17 in the Glendale, Pasadena area.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://vyma.org/"} +{"d:Title": "Youth Symphony Orchestra - Pasadena Symphony and POPS", "d:Description": "An overview of upcoming performances for the Pasadena Youth Symphony Orchestra, Pasadena Young Musicians Orchestra, and the All City Orchestra.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.pasadenasymphony-pops.org/community-and-education/pyso/"} +{"d:Title": "Oakland Youth Orchestra", "d:Description": "Information about concerts, tours and events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/California", "url": "http://www.oaklandsymphony.org/community-education/oakland-symphony-youth-orchestra/"} +{"d:Title": "Denver Young Artists Orchestra", "d:Description": "Offers professional training for students ages 12 to 23, living on the Front Range.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Colorado", "url": "http://www.dyao.org/"} +{"d:Title": "Stamford Young Artists Philharmonic", "d:Description": "Provides conductors biographies, calendar, code of conduct, membership information, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Connecticut", "url": "http://www.syap.org/"} +{"d:Title": "Greater Bridgeport Youth Orchestra", "d:Description": "Includes schedule, history, and information about the conductors, concerts, membership, and auditions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Connecticut", "url": "http://www.gbyo.org/"} +{"d:Title": "Shoreline Youth Symphony Orchestra", "d:Description": "Non-profit orchestra serving young musicians of the Connecticut shoreline. Includes concert schedule, staff and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Connecticut", "url": "http://sysoct.org/"} +{"d:Title": "Eastern Connecticut Symphony Orchestra", "d:Description": "Comprised of 30 youngsters from 8th grade through high school and based at the Leonard J. Tyl Middle School in Oakdale.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Connecticut", "url": "http://www.ecsyo.com/"} +{"d:Title": "Pinellas Youth Symphony", "d:Description": "Includes concert calendar and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Florida", "url": "http://pysmusic.org/"} +{"d:Title": "Florida Youth Orchestra", "d:Description": "Davie: includes audition information, concert calendar, CD sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Florida", "url": "http://www.floridayouthorchestra.org/"} +{"d:Title": "Florida Symphony Youth Orchestra", "d:Description": "Includes concert calendar and ticket sales, member information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Florida", "url": "http://www.fsyo.org/"} +{"d:Title": "Youth Orchestra of Palm Beach County Inc", "d:Description": "Provides audition information, calendar, staff, musicians, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Florida", "url": "http://www.yopbc.org/"} +{"d:Title": "Young Artist Orchestra in Concert", "d:Description": "Winter Park: concert calendar and ticket sales, audition and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Florida", "url": "http://cfcarts.com/young-artist-orchestra-in-concert/"} +{"d:Title": "Walton High School Orchestra", "d:Description": "Provides concert calendar, resources, director profiles, photos and newsletters. Based in Marietta.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Georgia", "url": "http://www.waltonorchestra.org/"} +{"d:Title": "Metropolitan Youth Symphony Orchestras of Atlanta", "d:Description": "Includes audition information, rehearsal and concert calendar, pictures, director biographies and fees.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Georgia", "url": "http://www.mysoatlanta.com/"} +{"d:Title": "Hawaii Youth Symphony", "d:Description": "Honolulu: Includes audition information, rehearsal and concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Hawaii", "url": "http://www.hiyouthsymphony.org/"} +{"d:Title": "Coeur d'Alene Youth Orchestra", "d:Description": "Includes audition and concert information, pictures.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Idaho", "url": "http://www.cdayo.org/"} +{"d:Title": "Central Illinois Youth Symphony Organization", "d:Description": "Includes concert schedule, membership handbook, news, links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Illinois", "url": "http://www.ciys.org/"} +{"d:Title": "Midwest Young Artists", "d:Description": "Program offers youth and adult classes in orchestra, jazz, chamber music, and choral ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Illinois", "url": "http://www.mya.org/"} +{"d:Title": "Elgin Youth Symphony Orchestra", "d:Description": "Provides information on ensembles, student handbook, audition details, and awards.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Illinois", "url": "http://www.eyso.org/"} +{"d:Title": "Chicago Youth Symphony Orchestra", "d:Description": "For talented high school musicians, this multi-faceted organization offers three orchestras, a chamber music program, international touring, an outreach program, a satellite strings program, and an annual summer residency at Chicago's Grant Park Music Festival", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Illinois", "url": "http://www.cyso.org/"} +{"d:Title": "Metropolitan Youth Symphony Orchestra", "d:Description": "[Illinois] Information about rehearsals, auditions, and concert schedule.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Illinois", "url": "http://www.mysomusic.org/"} +{"d:Title": "Suburban Youth Symphony Orchestra", "d:Description": "Features performances, membership information, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Illinois", "url": "http://suburbanyouthsymphony.org/"} +{"d:Title": "Northeast Area Strings Academy of Wichita", "d:Description": "Provides lessons and opportunities for performance for African American string students.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Kansas", "url": "http://www.nasaw.org/"} +{"d:Title": "Louisville Youth Orchestra", "d:Description": "Includes students from grade school through age 21 in three orchestras, two elementary string programs, and other ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Kentucky", "url": "http://www.lyo.org/"} +{"d:Title": "Central Kentucky Youth Orchestras", "d:Description": "An independently chartered youth orchestra with students from seventeen cities and sixty area schools.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Kentucky", "url": "http://www.ckyo.org/"} +{"d:Title": "Greater New Orleans Youth Orchestra", "d:Description": "Offers orchestral experience for qualifying young musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Louisiana", "url": "http://www.gnoyo.org/"} +{"d:Title": "Maryland Classic Youth Orchestras", "d:Description": "Serving the Washington, D.C. metro area, providing continuing instruction in orchestral literature to young musicians in grades 4-12.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Maryland", "url": "http://www.mcyo.org/"} +{"d:Title": "Harford Youth Orchestra and String Orchestra", "d:Description": "Ensembles of the community college open to students in grades 6-12. Includes photos, history, event schedule and information about auditions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Maryland", "url": "http://www.harfordyouthorchestra.org/"} +{"d:Title": "Baltimore Symphony Youth Orchestra", "d:Description": "At the Community College of Baltimore County, Essex campus: Includes programs, schedule, FAQ, artist profiles, audition information, media, guestbook and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Maryland", "url": "http://www.bsomusic.org/bsyo"} +{"d:Title": "Baltimore Symphony OrchKids", "d:Description": "Includes online activities and a tour of the concert hall; lesson plans and classroom resources.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Maryland", "url": "http://www.bsomusic.org/education-community/young-musicians/orchkids.aspx"} +{"d:Title": "Maryland Youth Symphony Orchestra", "d:Description": "Includes profiles, rehearsal and concert schedules, newsletter and information about auditions. Based in Leonardtown.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Maryland", "url": "http://www.marylandyouthsymphonyorchestra.org/"} +{"d:Title": "Boston Youth Symphony Orchestras", "d:Description": "[Massachusetts] GBYSO was founded in 1958 by Boston University and members of the musical community in Massachusetts, and continues in residence at the School for the Arts at Boston University.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Massachusetts", "url": "http://www.bysoweb.org/"} +{"d:Title": "Kalamazoo Junior Symphony", "d:Description": "[Michigan] Information about this orchestra, founded in 1939.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Michigan", "url": "http://kalamazoojuniorsymphony.com/"} +{"d:Title": "Detroit Metropolitan Youth Symphony", "d:Description": "Youth orchestra in the metro Detroit area. Provides audition information and details of its various performing units.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Michigan", "url": "http://www.detroitmys.org/"} +{"d:Title": "Greater Twin Cities Youth Symphonies", "d:Description": "Minneapolis: Information, schedules for large Youth Symphony organization.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Minnesota", "url": "http://www.gtcys.org/"} +{"d:Title": "New Jersey State Youth Orchestra", "d:Description": "Monmouth County: Information about a non-profit organization that runs three orchestras for students from ages 7 to 18.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_Jersey", "url": "http://www.njsyo.org/"} +{"d:Title": "New Jersey Youth Symphony", "d:Description": "Murray Hill: information about ensembles, history, auditions, concerts, summer camp.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_Jersey", "url": "http://www.njys.org/"} +{"d:Title": "Greater Princeton Youth Orchestra", "d:Description": "Features director biography, season schedule, audition details, photos, and information about a parents association.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_Jersey", "url": "http://gpyo.freeservers.com/"} +{"d:Title": "Albuquerque Youth Symphony Program, Inc.", "d:Description": "Includes calendar, information for members and parents, and fundraising events.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_Mexico", "url": "http://www.aysmusic.org/"} +{"d:Title": "Santa Fe Youth Symphony Association", "d:Description": "Includes ten performance ensembles and three instructional programs. Features information about auditions, concerts and events, programs, news and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_Mexico", "url": "http://www.sfysa.org/"} +{"d:Title": "Greater Buffalo Youth Orchestra", "d:Description": "[New York] A regional youth orchestra composed of middle and high school aged auditioned musicians (GBYO) and a string orchestra (GBYSO) for younger musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.acsu.buffalo.edu/~mahler/gbyo.html"} +{"d:Title": "InterSchool Orchestras of New York", "d:Description": "New York City, for children up to college age.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.isorch.org/"} +{"d:Title": "New York Youth Symphony", "d:Description": "Features calendar, staff, members page, audition information, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.nyyouthsymphony.org/"} +{"d:Title": "Gemini Youth Orchestras", "d:Description": "Presents concerts and rehearsals, photos, staff, auditions, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.gyo.org/"} +{"d:Title": "Empire State Youth Orchestra (ESYO)", "d:Description": "Contains news, calendar, alumni page, auditions, contact details, and resources.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.esyo.org/"} +{"d:Title": "Chautauqua Institution", "d:Description": "Information on lectures, music, dance, and other events at the historic center for educational entertainment.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.ciweb.org/"} +{"d:Title": "Rochester Philharmonic Youth Orchestra (RPYO)", "d:Description": "Symphony orchestra comprised of young musicians in grades eight through twelve from schools throughout the greater Rochester area. Provides calendar, tours and photographs.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.rpyo.org/"} +{"d:Title": "Metropolitan Youth Orchestra of New York", "d:Description": "Contains information on ensembles, the academy and events. Also includes brief biographies for directors, tour schedule, audition details and forum.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://myo.org/"} +{"d:Title": "Binghamton Youth Symphony Orchestra", "d:Description": "Provides performance schedule, calendar, history and auditions. Includes musicians in elementary, junior and senior high school.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.binghamtonyouthsymphony.org/"} +{"d:Title": "Long Island Youth Orchestra", "d:Description": "Provides membership information, concert schedule, summer tour information and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.liyo.org/"} +{"d:Title": "Westchester/Putnam Youth Symphony (WPYS)", "d:Description": "Open to string players, grades K-12 from the northern Westchester/southern Putnam areas. Includes concert schedule and audition information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/New_York", "url": "http://www.windborne.net/wpys"} +{"d:Title": "Charlotte Symphony Youth Orchestras", "d:Description": "Includes history, calendar, information for members and parents.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/North_Carolina", "url": "http://www.csyo.net/"} +{"d:Title": "Philharmonic Association, Inc.", "d:Description": "Includes concert information, newsletters, history, and audition information for the 4 constituent ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/North_Carolina", "url": "http://www.philharmonic-association.org/"} +{"d:Title": "Oklahoma Youth Orchestras", "d:Description": "Programs include the Philharmonic Orchestra, youth orchestra, string programs, and chamber orchestra. Find out how to audition.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Oklahoma", "url": "http://oyomusic.org/"} +{"d:Title": "Corvallis Youth Symphony Association", "d:Description": "Nonprofit organization that oversees the Corvallis Youth Symphony, the Corvallis Junior Symphony, and the Corvallis Elementary Strings. Musicians range in age from nine to nineteen years old.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Oregon", "url": "http://www.cysassoc.org/"} +{"d:Title": "Young Musicians and Artists", "d:Description": "Portland: two-week resident visual and performing arts summer program.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Oregon", "url": "http://ymainc.org/"} +{"d:Title": "Youth Symphony of Southern Oregon", "d:Description": "Includes rehearsal and concert calendar, newsletter and information about auditions, competitions and the conductors. Based in Medford.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Oregon", "url": "http://www.ysso.org/"} +{"d:Title": "Portland Youth Philharmonic", "d:Description": "Features 3 ensembles open to musicians ages 7-22. Includes events schedule, newsletter and information about outreach programs, auditions and history.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Oregon", "url": "http://www.portlandyouthphil.org/"} +{"d:Title": "Metropolitan Youth Symphony", "d:Description": "Portland: non-profit educational organization, with over 400 students in seven ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Oregon", "url": "http://www.playmys.org/"} +{"d:Title": "Delaware County Youth Orchestra", "d:Description": "Includes concert calendar and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.dcyo.org/"} +{"d:Title": "Pocono Youth Orchestra", "d:Description": "Stroudsburg: String Orchestra (elementary school age) and Youth Orchestra (secondary school age). Schedules, concert and membership information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.pocono-youth-orchestra.org/"} +{"d:Title": "Youth Orchestra of Bucks County", "d:Description": "Five ensembles for musicians, grades 5 through 12: information for prospective members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.yobc.org/"} +{"d:Title": "Philadelphia Youth Orchestra", "d:Description": "Includes staff profiles, rehearsal and performance schedule and information about the ensembles and auditions.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.pyos.org/"} +{"d:Title": "Pittsburgh Youth Symphony Orchestra", "d:Description": "Includes information about performances, auditions and membership, publications and a directory of contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.pittsburghyouthsymphony.org/"} +{"d:Title": "South Hills Junior Orchestra", "d:Description": "[Pennsylvania] It is a non-profit orchestra of students age eight through high school.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.shjo.org/"} +{"d:Title": "Young People's Philharmonic of the Lehigh Valley", "d:Description": "Features images galleries, audio clip and information about the ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://ypp-jsp.org/"} +{"d:Title": "Reading Symphony Youth Orchestra", "d:Description": "Includes performance and rehearsal schedule, director profile and information about fundraisers, history and parents association.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.readingsymphonyyouth.org/"} +{"d:Title": "Philadelphia Sinfonia", "d:Description": "[Pennsylvania] It is an organization of locally recruited gifted young musicians which present a concert series befitting the city of Philadelphia and her reputation for being one of America's principal cities for artistic activity.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Pennsylvania", "url": "http://www.philadelphiasinfonia.com/"} +{"d:Title": "West Ashley String Orchestra", "d:Description": "For students of string orchestra in Charleston. Music links, schedule of concerts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/South_Carolina", "url": "http://www.angelfire.com/sc2/westashleystrings/"} +{"d:Title": "Memphis Youth Symphony", "d:Description": "Offers education in classical music to students in the Mid-South. Includes performance and rehearsal schedule, online application forms and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Tennessee", "url": "http://www.mysp-music.org/"} +{"d:Title": "Greater Dallas Youth Orchestras, Inc.", "d:Description": "Comprised of 6 ensembles for musicians aged 5-18. Includes rehearsal and concert schedule, conductor profiles and information about summer music programs, auditions and tickets.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Texas", "url": "http://www.gdyo.org/"} +{"d:Title": "Youth Orchestras of San Antonio", "d:Description": "Includes concert schedule, history and information about competitions, the ensembles, auditions and a string orchestra camp.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Texas", "url": "http://www.yosa.org/"} +{"d:Title": "Vermont Youth Orchestra Association", "d:Description": "Includes calendar, staff, members, auditions, photos, links, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Vermont", "url": "http://www.vyo.org/"} +{"d:Title": "Lakes Region Youth Orchestra", "d:Description": "[Vermont] At Castleton State College. It is a non-profit organization providing orchestral experience for dedicated young musicians. There are now three ensemble opportunities for young musicians of any playing level to become involved with orchestral playing.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Vermont", "url": "http://lryo.org/"} +{"d:Title": "Lynchburg Youth Orchestra", "d:Description": "Includes concert schedule, and list of orchestra members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Virginia", "url": "http://www.lynchburgyouthorchestra.com/"} +{"d:Title": "Bay Youth Orchestras of Virginia", "d:Description": "Includes audition information, concert schedule, performers, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Virginia", "url": "http://bayyouth.org/"} +{"d:Title": "Youth Orchestra of the Americas", "d:Description": "Features calendar, musician information, announcements, and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Virginia", "url": "http://yoa.org/"} +{"d:Title": "Rappahannock Youth Symphony", "d:Description": "Includes rehearsal and concert calendar, membership information, FAQs and news. Based in Spotsylvania.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Virginia", "url": "http://www.rysymphony.org/"} +{"d:Title": "Bellevue Youth Symphony Orchestra", "d:Description": "Includes audition information, rehearsal and concert calendar, pictures.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington", "url": "http://www.byso.org/"} +{"d:Title": "Mt. Baker Youth Symphony", "d:Description": "Bellingham: includes rehearsal schedule, concert calendar and ticket sales.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington", "url": "http://www.mbys.org/"} +{"d:Title": "Seattle Youth Symphony Orchestras", "d:Description": "Includes summer programs, concert calendar, history, member handbook and information about the ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington", "url": "http://www.syso.org/"} +{"d:Title": "Cascade Youth Symphony", "d:Description": "Features history of the orchestra, concert schedule and information about members, auditions, camps and European tours. Based in Lynnwood.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington", "url": "http://www.cyso.us/"} +{"d:Title": "Tacoma Youth Symphony Association", "d:Description": "Includes concert calendar, organization and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington", "url": "http://www.tysamusic.org/"} +{"d:Title": "D.C. Youth Orchestra", "d:Description": "Center for classical instrumental music instruction and performance opportunities for young people ages 4-19 throughout the metropolitan area.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.dcyop.org/"} +{"d:Title": "Maryland Classic Youth Orchestras", "d:Description": "Serving the Washington metropolitan area, providing continuing instruction in orchestral literature to young musicians grades 4-12.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.mcyo.org/"} +{"d:Title": "Youth Orchestras of Prince William", "d:Description": "Enrolls approximately 375 students in three string orchestras, two symphony orchestras, a wind symphony, and a variety of chamber music ensembles.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.yopwva.org/"} +{"d:Title": "McLean Youth Orchestra", "d:Description": "Under the auspices of the McLean Orchestra, this program offers young musicians ages 12-18 the opportunity to play in an accomplished orchestra and with its professional guest artists.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington,_DC", "url": "http://mcleanyouthorchestra.org/"} +{"d:Title": "Landon Symphonette", "d:Description": "Comprised of student musicians from the Landon School and other area schools playing together with seasoned professionals in a mentoring relationship. Includes concert schedule, ticket information and sound samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.landon.net/page.cfm?p=4356"} +{"d:Title": "Washington Metropolitan Youth Orchestra", "d:Description": "Schedule and audition information. Sponsored by the Washington Metropolitan Philharmonic.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.wmpamusic.org/youth-wmyo.html"} +{"d:Title": "American Youth Philharmonic Orchestras", "d:Description": "Comprising four orchestras offering performing and touring opportunities for musicians up to 21 years of age. Based in Northern Virginia.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Washington,_DC", "url": "http://www.aypo.org/"} +{"d:Title": "Milwaukee Youth Symphony Orchestra, Inc.", "d:Description": "Includes information on programs, application, schedule and staff members.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.myso.org/"} +{"d:Title": "La Crosse Area Youth Symphony Orchestras", "d:Description": "Includes the philharmonic, youth symphony, and discovery orchestras. Features audition information, faculty profile, season schedule and information about tuition and policies.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.lyso.org/"} +{"d:Title": "Wisconsin Youth Symphony Orchestras", "d:Description": "Includes three full orchestras, a string orchestra, and several small ensembles. Features members area, concert schedule and information about awards, personnel and scholarships.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/North_America/United_States/Wisconsin", "url": "http://www.wysomusic.org/"} +{"d:Title": "The Dunedin Youth Orchestra", "d:Description": "Community based orchestra from New Zealand open to musicians under the age of 25. Includes information about membership, upcoming concerts, sponsorship, auditions and archives.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Oceania", "url": "http://www.dyo.org.nz/"} +{"d:Title": "The Aotea Youth Symphony", "d:Description": "Community based orchestra located in Mt Albert, Auckland. Features information about events, programs, and application.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Oceania", "url": "http://www.aotea.org.nz/"} +{"d:Title": "SBS Radio and Television Youth Orchestra", "d:Description": "(Sydney, Australia) History, concert season, forthcoming TV and radio broadcasts, and releases.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Oceania/Australia", "url": "http://www.sbsyo.org.au/"} +{"d:Title": "Australian Youth Orchestra", "d:Description": "Includes concert diary, audio clip, members section, newsletter and information about auditions and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Oceania/Australia", "url": "http://www.ayo.com.au/"} +{"d:Title": "Northern Sydney Youth Orchestra", "d:Description": "Based in the North-Western sector of Sydney. Includes chamber strings, wind ensemble, junior strings, and symphony orchestra. Features program, membership information, conductor profiles, news and contacts.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Oceania/Australia", "url": "http://www.nsyo.org.au/"} +{"d:Title": "Queensland Youth Orchestra Council", "d:Description": "Based in Brisbane, Australia, the Queensland Youth Orchestra Council is the State's major orchestral academy for young musicians aged 9 to 23.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Oceania/Australia", "url": "http://www.qyo.org.au/"} +{"d:Title": "Victorian Youth Symphony Orchestra", "d:Description": "The VYSO is a community symphony orchestra for young musicians under the age of 30. Based in Melbourne.", "topic": "Top/Arts/Music/Styles/C/Classical/Orchestras/Youth_Orchestras/Oceania/Australia", "url": "http://www.vyso.org.au/"} +{"d:Title": "Classical Free-Reed, Inc.", "d:Description": "Nonprofit organization promoting the free-reed instruments (such as accordion, concertina, reed organ, harmonica, sheng) in classical music.", "topic": "Top/Arts/Music/Styles/C/Classical/Organizations", "url": "http://www.ksanti.net/free-reed/"} +{"d:Title": "Chamber Music Society of Lincoln Center", "d:Description": "Features concert and touring calendar; discography with RealAudio samples.", "topic": "Top/Arts/Music/Styles/C/Classical/Organizations", "url": "http://www.chambermusicsociety.org/"} +{"d:Title": "Career Bridges", "d:Description": "Non-profit foundation awarding money to young classical singers. Includes history, news, and application form.", "topic": "Top/Arts/Music/Styles/C/Classical/Organizations", "url": "http://www.careerbridges.org/"} +{"d:Title": "Gren Music Concert Group", "d:Description": "Musicians with a common interest in Classical, Russian, Klezmer styles.", "topic": "Top/Arts/Music/Styles/C/Classical/Performers", "url": "http://www.gren-music.com/"} +{"d:Title": "Radio Swiss Classic", "d:Description": "Classical music radio station broadcasting from Switzerland 24 hours a day. Announcements in 4 languages.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.radioswissclassic.ch/"} +{"d:Title": "WXXI 91.5 FM", "d:Description": "(Rochester, NY) RealAudio feed.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wxxi.org/"} +{"d:Title": "KING-FM", "d:Description": "(Seattle, WA) Includes RealAudio feed.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.king.org/"} +{"d:Title": "KMFA - 89.5", "d:Description": "(Austin, TX) classical music; guide to classical Austin.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.kmfa.org/"} +{"d:Title": "WFMT&The Radio Networks", "d:Description": "Chicago's fine arts and classical station. Live broadcasts.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wfmt.com/"} +{"d:Title": "WUOL 90.5 FM", "d:Description": "(Louisville, KY) a service of the University of Louisville and the Public Radio Partnership.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wuol.org/"} +{"d:Title": "XLNC Classical Music", "d:Description": "RealAudio feed.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.xlnc1.org/"} +{"d:Title": "WQED 89.3 FM", "d:Description": "(Pittsburgh, PA) Radio schedule, Pittsburgh concert schedule, RealAudio feed.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://wqed.org/fm/"} +{"d:Title": "KUSC 91.5 FM", "d:Description": "Southern California public radio station featuring classical music 24 hours a day. Live streaming, music playlists, membership and station information.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.kusc.org/"} +{"d:Title": "K-Mozart", "d:Description": "Los Angeles and Orange County free classical music radio station, featuring weekly program schedule, streaming music, contests, events, jobs, and staff information.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.kmozart.com/"} +{"d:Title": "KDFC -- Classical 102.1", "d:Description": "San Francisco Bay Area's own KDFC, classical radio at 102.1 FM.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.kdfc.com/"} +{"d:Title": "KBPS", "d:Description": "Portland, Oregon: non-commercial, classical music and fine arts information.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://allclassical.org/"} +{"d:Title": "KFUO-FM 99.1: Classic 99", "d:Description": "Classical music in St. Louis Missouri, online listening available. A radio ministry of The Lutheran Church-Missouri Synod.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.classic99.com/"} +{"d:Title": "WKSU-FM", "d:Description": "Classical music and in-depth news (NPR and local), with folk music on weekend evenings. RealAudio feed.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wksu.org/"} +{"d:Title": "Iridian Radio", "d:Description": "Online classical music radio station.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.iridianradio.com/"} +{"d:Title": "Classical Live Online Radio", "d:Description": "A listing of 160 radio stations around the world, live-broadcasting classical music online, with descriptions and audio links.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://classicalwebcast.com/"} +{"d:Title": "Naxos Web Radio", "d:Description": "Offers more than 60 channels to subscribers, with a free trial version.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.naxosradio.com/"} +{"d:Title": "Czeck Radio D-dur", "d:Description": "Non-commercial Czech classical music radio station. Streaming in Mp3, WMA, OGG format.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.rozhlas.cz/d-dur"} +{"d:Title": "Classical Music America, LLC", "d:Description": "Provides streaming webcasts from a base in Michigan, with events calendar, host biographies, and program details.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.classicalmusicamerica.com/"} +{"d:Title": "WRR Classical 101.1 FM", "d:Description": "24-hour commercial classical music station owned by the city of Dallas, Texas. Includes live streaming audio, events, history and FAQs.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wrr101.com/"} +{"d:Title": "CHMR 93.5 FM", "d:Description": "Cultural radio station from St. John's NF, Canada.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.chmr.ca/"} +{"d:Title": "KACU 89.7 FM (Abilene, TX)", "d:Description": "(NPR affiliate) schedule, Realaudio feed.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.kacu.org/"} +{"d:Title": "Classical DJ", "d:Description": "Collection of classical music radio stations from all over the world. Classical musical terms and background information of classical composers.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.classical.dj/"} +{"d:Title": "The Greene Space", "d:Description": "The Greene Space is a state-of-the-art, multimedia performance space and broadcast studio at WNYC Radio.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.thegreenespace.org/"} +{"d:Title": "WGBH Classical", "d:Description": "Classical Boston radio station with live HD stream, on demand radio and classical podcasts.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wgbh.org/listen/index.cfm"} +{"d:Title": "WSMR 89.1 FM", "d:Description": "News items, podcasts, schedule. Sarasota, Florida.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wusf.usf.edu/music/channel/classical_music"} +{"d:Title": "Classical Internet Radio", "d:Description": "Classical music radio player featuring terrestrial and Internet-only radio stations.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://classicalmusicradio.myradiotoolbar.com/"} +{"d:Title": "KBYU 89 FM", "d:Description": "Classical 89, audio feed. (a service of Brigham Young University)", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.byub.org/"} +{"d:Title": "Venice Classic Radio", "d:Description": "A classical internet radio that offers a daily repertoire of early, baroque, chamber, symphony and opera music.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.veniceclassicradio.eu/"} +{"d:Title": "Spacesfm", "d:Description": "Niche radio station playing contemporary electronic classical and ambient music. New cinematic music across the genres.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.spacesfm.com/"} +{"d:Title": "WQXR 105.9 FM", "d:Description": "The classical music station of New York City.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.wqxr.org/"} +{"d:Title": "Lyric 96-99 FM", "d:Description": "(Ireland) RTE's Arts and Music service; classical, jazz, traditional, world music and opera; live internet stream.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.rte.ie/lyricfm/"} +{"d:Title": "WCPE, Classical Station", "d:Description": "Listener-supported, commercial-free, great classical music, 24-hours a day. Streaming in 5 formats, and via C-band satellite, cable systems and affiliate radio stations.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://theclassicalstation.org/"} +{"d:Title": "BBC Radio 3 - Classical", "d:Description": "Live and specially recorded classical music. Offers programme details, playlists, soundfiles and information to get more from one's listening.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.bbc.co.uk/radio3/programmes/genres/music/classical"} +{"d:Title": "WCLV 95.5 FM", "d:Description": "Commercial classical music station. Offers streaming audio, program guide, and syndicated shows", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://wclv.ideastream.org/"} +{"d:Title": "Classic FM", "d:Description": "Classical music station. Playlists and a live stream, as well as station information", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://www.classicfm.com/"} +{"d:Title": "KWAX 91.1 FM", "d:Description": "All classical music station from the University of Oregon in Eugene.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://kwax.uoregon.edu/"} +{"d:Title": "WCRB", "d:Description": "Radio station with a longstanding tradition of programming popular classical music to the Boston audience.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://classicalwcrb.org/"} +{"d:Title": "KUSC 93.7 FM", "d:Description": "Classical music station for Santa Barbara and Ventura County, California.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio", "url": "http://kusc.org/culture/santa-barbara/"} +{"d:Title": "Classical Net", "d:Description": "Links to many classical music stations.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio/Directories", "url": "http://www.classical.net/music/links/"} +{"d:Title": "From the Top", "d:Description": "National Public Radio series featuring young musicians.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio/Programs", "url": "http://www.fromthetop.org/"} +{"d:Title": "Composers Datebook", "d:Description": "Two minute program from Minnesota Public Radio and American Composers Forum outlining each day's history. Includes RAM audio, archives, and links.", "topic": "Top/Arts/Music/Styles/C/Classical/Radio/Programs", "url": "http://www.yourclassical.org/programs/composers-datebook/episodes"} +{"d:Title": "Classical Music on the Web (UK)", "d:Description": "A large number of Composer Profiles (specializing in British composers), Up to 200 CD reviews each month, live concert reviews, and interviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.musicweb-international.com/"} +{"d:Title": "Unknown Composers", "d:Description": "Recommended \"underperformed\" works, many by relatively obscure Composers.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://kith.org/jimmosk/TOC.html"} +{"d:Title": "A Selection of Medieval Music", "d:Description": "Starts with early polyphonic music and contemporaneous monophonic songs of the 12th century.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.medieval.org/emfaq/beginlst/medieval.htm"} +{"d:Title": "Walter Simmons", "d:Description": "Specializing in 20th century music traditionalist composers, such as Flagello, reviews and information about the author.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.walter-simmons.com/"} +{"d:Title": "Classical CD Review", "d:Description": "A large site of reviews of CDs written by professionals, with photos of CDs, knowledgeable reviews, plus feature articles and stories related to music and recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://classicalcdreview.com/"} +{"d:Title": "ConcertoNet", "d:Description": "Reviews of concerts worldwide [English/French]", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.concertonet.com/"} +{"d:Title": "ARTSviews", "d:Description": "Local concerts reviewed by local concert goers.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://members.tripod.com/~musiclassical/gearARTSviews.html"} +{"d:Title": "The Classical Music Guide", "d:Description": "The Classical Music Guide, Interactive Online Magazine with Record Reviews, Chats and Message Board", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.classicalmusicguide.com/"} +{"d:Title": "Classics Today", "d:Description": "Daily reviews of classical recordings. Includes searchable archives.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.classicstoday.com/"} +{"d:Title": "Classical Music Review: New Releases", "d:Description": "Reviews of contemporary and traditional classical music. Updated monthly.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.classical-music-review.org/"} +{"d:Title": "Good-Music-Guide.com", "d:Description": "A listening guide to classical music aimed at the beginner, including weekly CD reviews, beginner's guides, a forum and weekly musical quiz.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.good-music-guide.com/"} +{"d:Title": "New Classics", "d:Description": "Orchestral, chamber, vocal, instrumental and modern reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.new-classics.co.uk/"} +{"d:Title": "Mfiles", "d:Description": "Soundtrack and classical CD reviews and concert reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.mfiles.co.uk/reviews.htm"} +{"d:Title": "The Basic Repertoire", "d:Description": "Classical reviews.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.basicrep.com/"} +{"d:Title": "Davidsb\u00fcndler", "d:Description": "Describes the circle of composers and critics that contributed to, or were praised by, Schumann's Neue Zeitschrift f\u00fcr Musik (New Journal for Music).", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://davidsbuendler.freehostia.com/davidsbuendler.htm"} +{"d:Title": "Classical Reviews", "d:Description": "Offers rated reviews, with archives.", "topic": "Top/Arts/Music/Styles/C/Classical/Reviews", "url": "http://www.musicweb-international.com/classrev/classrev.htm"} +{"d:Title": "Guitar School, The", "d:Description": "Many pages of free pieces for classical guitar students.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.eythorsson.com/"} +{"d:Title": "Faber&Faber", "d:Description": "Publishing Resource for Piano Teachers and Students.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.pianoteaching.com/"} +{"d:Title": "Werner Icking Music Archive", "d:Description": "Classical sheet music in MusiXTeX, PDF and PS formats.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://icking-music-archive.org/"} +{"d:Title": "Jeffry Hamilton Steele", "d:Description": "Classical Guitar CDs, audio downloads, sheet music ranging from solo guitar to large-scale modern dance productions.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.jeffrysteele.com/"} +{"d:Title": "Saraband Music", "d:Description": "Early music specialist in Australia. Medieval, Renaissance and Baroque sheet music and facsimiles.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.saraband.com.au/"} +{"d:Title": "Free Sheetmusic from Johan Tufvesson", "d:Description": "Mostly from the 17th and 18th centuries, and concentrating on Scandinavian work. (at Project Runeberg)", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.lysator.liu.se/~tuben/scores/"} +{"d:Title": "Eerland.Net", "d:Description": "Cesar Franck's l'Organiste, in PDF and MIDI format.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.eerland.net/sheetmusic"} +{"d:Title": "Music Scores", "d:Description": "Includes PDF-format scores, some with arrangements for various abilities and instruments.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.music-scores.com/"} +{"d:Title": "Variations: Online Music Scores", "d:Description": "Experimental (but large) archive of online (not printable) sheet music, some synchronized with audio recordings.", "topic": "Top/Arts/Music/Styles/C/Classical/Sheet_Music", "url": "http://www.dlib.indiana.edu/variations/scores"} +{"d:Title": "Pandit Ram Sahai Sangit Vidyalaya", "d:Description": "UK teaching institution for Indian music, source of information and weblinks.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian", "url": "http://www.sahai.org/"} +{"d:Title": "Indian Classical Music by Jan Haag", "d:Description": "Articles on particular instruments and the use of the voice in Indian classical music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian", "url": "http://students.washington.edu/jhaag/MUmusic.html"} +{"d:Title": "Ragascape", "d:Description": "Musical pilgrimage of Steven Landsberg throughout India. Featuring MP3 and real audio files.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian", "url": "http://www.ragascape.com/"} +{"d:Title": "Indian Rhythmic Cycles", "d:Description": "North and South Indian rhythm exercises, including MIDI files.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian", "url": "http://www.ancient-future.com/india.html"} +{"d:Title": "Sound of India", "d:Description": "Detailed reference material and original articles on raagas, taalas, movie songs. Tips, how-to's, and free online classes for Indian Classical music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian", "url": "http://www.soundofindia.com/"} +{"d:Title": "Simla House", "d:Description": "Offers lessons, instruments, and CDs. New York.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian", "url": "http://www.simlahouse.com/"} +{"d:Title": "Gorn, Steve", "d:Description": "An American performer of the bansuri with audio clips, discography, and performance calendar of events.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.stevegorn.com/"} +{"d:Title": "Adhikari, Ratnabali", "d:Description": "Presentation and lessons in Indian music from a Brazil based artist.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://ratna.8m.com/"} +{"d:Title": "Narah", "d:Description": "Brief profile and photograph of the Italian vocalist and dancer.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.veniceword.com/TANTR.html"} +{"d:Title": "Abhijit Banerjee", "d:Description": "Tabla player. Includes profile, tracks, image gallery, publications and links.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.abhijitbanerjee.com/"} +{"d:Title": "Allyn Miner", "d:Description": "A lecturer and a concert performer on the north Indian sitar. Features recordings, courses, publications and various concert links.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.sas.upenn.edu/~aminer/"} +{"d:Title": "Dr. Deepthi Omchery Bhalla", "d:Description": "An exponent of Mohiniyattam, singer, and classical dancer.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.heritageindia.org/deepthi.htm"} +{"d:Title": "Neela Bhagwat", "d:Description": "Hindustani classical vocalist and stage performer.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://neelabhagwat.tripod.com/"} +{"d:Title": "Pandit Jasraj", "d:Description": "A tribute to the hindustani vocalist. Includes genesis, mewati gharana and footprints.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.panditjasraj.com/main.html"} +{"d:Title": "Pandit V.M.Nagaraj", "d:Description": "Hindustani classical musician. Includes profile and history.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://vmnagaraj.tripod.com/"} +{"d:Title": "Seemaa", "d:Description": "A budding Indian classical musicanist. Features profile.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://members.tripod.com/~Seemaa/"} +{"d:Title": "Shankar Lal", "d:Description": "A short biography. Indian tabla player. Features discography and profile.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.zeeburgnieuws.nl/kofi/kofishankarlal-2.html"} +{"d:Title": "The Sugandh Family", "d:Description": "A family dedicated to Hindustani classical music. Includes profile, links and guestbook.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.sugandh.com/"} +{"d:Title": "Vijay Kumar Kichulu", "d:Description": "Short biography of the executive director of Sangeet Research Academy and vocalist of the Agra gharana. Committed to Hindustani classical music, its propagation and preservation.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.icmcdfw.org/bios/vijayKichlu.htm"} +{"d:Title": "Wajahat Khan", "d:Description": "Sarod player. A north Indian classical musician. Includes press comments, profile and recording details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.wajahatkhan.com/"} +{"d:Title": "Anupama Bhagwat", "d:Description": "Personal site of a young sitarist of the Imdadkhani gharana.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.anupama.org/"} +{"d:Title": "Anoushka Shankar", "d:Description": "Sitar player. Information on her recordings, travels, and life.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.anoushkashankar.com/"} +{"d:Title": "Nicolas Magriel", "d:Description": "A sarangi player. Includes information on sarangi, players, research work and teachers.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Bands_and_Artists", "url": "http://www.sarangi.net/"} +{"d:Title": "Carnatic Music Software - Rasika&Gaayaka", "d:Description": "Gives information on Rasika and Gaayaka - Carnatic music software for understanding the Carnatic system and composing and playing music using traditional notation. Also has some downloads (a tuning test program and scores).", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://carnatic2000.tripod.com/"} +{"d:Title": "Kutcheri Buzz", "d:Description": "Covers events in the world of Carnatic music and Bharathanatyam dance.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.kutcheribuzz.com/"} +{"d:Title": "Karnatik", "d:Description": "Information about the style, glossary, ragas, lyrics to many songs, kirtanas, kritis, and several bhajans.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.karnatik.com/"} +{"d:Title": "Mohan's Carnatic Corner", "d:Description": "Collection of links to general articles, composers, artists, compositions, lyrics, audio, organizations, university programs, products, and chat.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.carnaticcorner.com/"} +{"d:Title": "Ilaiyaraaja", "d:Description": "Carnatic Ragam based songs of the composer.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://ilaiyaraaja_ragam.tripod.com/ilaiyaragam/"} +{"d:Title": "Cleveland Thyagaraja Aradhana", "d:Description": "Annual week-long Indian music festival held in Ohio. Concert schedules, application and submission information, competitions, awards, and travel and lodging information.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.aradhana.org/"} +{"d:Title": "Bharathi Kala Manram", "d:Description": "Toronto based cultural organizations started in 1969, has specialised in preserving and promoting the Indian culture and traditions, with particular emphasis on South Indian traditions.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.manram.org/"} +{"d:Title": "Rohan Krishnamurthy's South Indian Classical Music Webpage", "d:Description": "Information about Carnatic Music, including primers, biographies on legendary musicians, and information about the author.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.rohanrhythm.com/"} +{"d:Title": "Hari's Carnatic", "d:Description": "The site has a write up on carnatic music, the different percussion instruments used in carnatic music, biographies and compositions of famouse composers of Carnatic music like Thyagaraja, Shyama Shastri, Dikshithar, Bharathiar, Harikeshanallur, Swathi Thirunal.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.angelfire.com/musicals/kallidaihari/"} +{"d:Title": "Rasamsha", "d:Description": "Speaks of a new hypothesis in Indian music regarding quantification of emotions in ragas.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://samhitha.blogspot.com/"} +{"d:Title": "Carnatic Music", "d:Description": "Describes the history of carnatic music, talks about the maestros in carnatic music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.carnaticindia.com/"} +{"d:Title": "Carnatic Music - Recordings&Discussion", "d:Description": "T.M.McComb's website. Articles explaining the music, composition lists, and some links.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.medieval.org/music/world/carnatic.html"} +{"d:Title": "Carnatic Music Krithi Audio Archive", "d:Description": "Online archive with over 200 carnatic music songs, audio samples, and educational articles.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://www.shivkumar.org/music/"} +{"d:Title": "Arvindh's Carnatic Music Theory Page", "d:Description": "Articles on Carnatic (South Indian Classical) Music Theory based on state of the art research.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "https://ccrma.stanford.edu/~arvindh/cmt/"} +{"d:Title": "Carnatic Webring", "d:Description": "A ring to link sites devoted to South Indian classical music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://hub.webring.org/hub/carnatic"} +{"d:Title": "Carnatica", "d:Description": "Music dictionary, database of lyrics and compositions, profiles of musicians, articles, photographs, news, reviews, message board, children's section, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic", "url": "http://carnatica.in/"} +{"d:Title": "Bharadwaj R Sathavalli", "d:Description": "Morsing player from South India.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic/Bands_and_Artists", "url": "http://indian-morsing.tripod.com/"} +{"d:Title": "Carnatic Musicians", "d:Description": "Names and addresses of leading practitioners of Carnatic Music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic/Bands_and_Artists", "url": "http://artindia.net/carnatic.html"} +{"d:Title": "K. J. Yesudas", "d:Description": "Vocalist's personal site.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic/Bands_and_Artists", "url": "http://www.yesudas.com/"} +{"d:Title": "Wikipedia - M. S. Subbulakshmi", "d:Description": "Account of the singer, her career, together with links relating to her importance to Carnatic and other classical music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic/Bands_and_Artists/Subbulakshmi,_M._S.", "url": "http://en.wikipedia.org/wiki/Subbulakshmi"} +{"d:Title": "Ramon Magsaysay Awardee for Public Service", "d:Description": "Biography; based on her receipt of the award; includes account of her brief movie career, as well as the music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Carnatic/Bands_and_Artists/Subbulakshmi,_M._S.", "url": "http://www.rmaf.org.ph/Awardees/Biography/BiographySubbulakshmiMon.htm"} +{"d:Title": "Raga Records", "d:Description": "Live concerts and archival recordings of north Indian classical music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.raga.com/"} +{"d:Title": "Indian Melody", "d:Description": "Information and links on Hindustani music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.indianmelody.com/hindustani.htm"} +{"d:Title": "Chandrakantha", "d:Description": "Website of musicians Chandrakantha and David Courtney with detailed information about Hindustani music, instruments, artist biographies, list of teachers.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.chandrakantha.com/"} +{"d:Title": "ITC-Sangeet Research Academy", "d:Description": "History, technical introduction, raagas and gharanas descriptions, audio and video clips of Hindustani Music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.itcsra.org/"} +{"d:Title": "Discography of Great Masters", "d:Description": "Listing of Old 78 RPM and other old recordings of Hindustani great masters.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://courses.nus.edu.sg/course/ellpatke/Miscellany/music.htm"} +{"d:Title": "Toronto Gharana", "d:Description": "Assorted material about Hindustani - photos, essays, recommended books and performances, New Raaga-s.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.pathcom.com/~ericp/homepage.html"} +{"d:Title": "Dhrupad", "d:Description": "Features articles, books, and a concert calendar.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.dhrupad.info/"} +{"d:Title": "Gujarat and Indian Music", "d:Description": "Article on the Gujarati influence on classical music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.gujaratonline.com/arts/music.htm"} +{"d:Title": "Hindustani Music", "d:Description": "Brief notes on music, lists of artists.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://artindia.net/hindustani.html"} +{"d:Title": "Raga Culture", "d:Description": "Provides an introduction to the world of Indian art music .", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.ragaculture.com/"} +{"d:Title": "Sunil Mukhi's Indian Classical Music Page", "d:Description": "Explains Hindustani classical music including Ragas.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://theory.tifr.res.in/~mukhi/Music/music.html"} +{"d:Title": "Varanasi.", "d:Description": "Site devoted to the city of Varanasi with sections on all aspects of its life, including an index of musicians and teachers of music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani", "url": "http://www.angelfire.com/va/visitvaranasi/tablakas.html"} +{"d:Title": "Chakrabarti, Biswabrata", "d:Description": "Sitar player and composer who blends the style of Maihar gharana with his family's vocal style.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.biswabratachakrabarti.com/"} +{"d:Title": "Wardhan, Harsh", "d:Description": "Bansuri (Bomboo flute) player and teacher at the Gandharva Mahavidhalay. A disciple of Pt Hariprasad Chaurasia. Profile, music samples and a Bansuri shop.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.wardhan.com/"} +{"d:Title": "Torvi, Vinayak", "d:Description": "Vocalist who belongs to Gwalior Gharana. Biography, Media Reviews.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://members.tripod.com/pavaman/vinayaktorvi/"} +{"d:Title": "Gandharva, Kumar", "d:Description": "Unofficial page devoted to the innovative, independent vocalist (1924-1992).", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://theory.tifr.res.in/~mukhi/Music/gandharva.html"} +{"d:Title": "Chatterjee, Anindo", "d:Description": "Unofficial profile of the tabla virtuoso belonging to the Farrukhabad Gharana.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.angelfire.com/ma/sarod/anindo.html"} +{"d:Title": "Pal, Anuradha", "d:Description": "The only professional female tabla player. A disciple of Ustad Alla Rakha and Zakir Hussain. Biography, music, reviews etc.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.anuradhapal.com/"} +{"d:Title": "Ustad Imrat Khan", "d:Description": "Sitar and Surbahar artist. Artist's profile, a brief on his family and lineage, a discography, reviews, real audio samples and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.imratkhan.com/"} +{"d:Title": "Ramnath, Kala", "d:Description": "Biography, Discography, streaming music of the Violin player and disciple of Pandit Jasraj.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.kalaramnath.com/"} +{"d:Title": "Jasraj, Pandit", "d:Description": "Vocalist and the doyen of Mewati Gharana. Biography, discography, streaming audio etc.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.panditjasraj.com/"} +{"d:Title": "Chatterjee, Purbayan", "d:Description": "Young Sitar player and disciple of Maihar Gharana maestro Ustad Ali Akbar Khan. Biography, Discography.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.purbayan.com/"} +{"d:Title": "Abhyankar, Sanjeev", "d:Description": "Young vocalist and disciple of Pandit Jasraj. Belongs to Mewati Gharana. Biography, Discography and Audio Clips.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.sanjeevabhyankar.com/"} +{"d:Title": "Ustad Amjad Ali Khan", "d:Description": "Sarod maestro. Includes his biography, family tree, a photo archive, Windows Media audio and video clips, awards, books, documentaries and the artist's projects. Includes information on the instrument, a description of Sarod Ghar (Museum). Also includes biodata of sons Aman and Aayan Ali Bangash, concert reviews and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.sarod.com/"} +{"d:Title": "Rao, Shubendra", "d:Description": "Biography, Recordings, Audio clips of the young Sitar maestro, a disciple of Pt Ravi Shankar.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.shubhendrarao.com/"} +{"d:Title": "Pandit Vishwa Mohan Bhatt", "d:Description": "Profile, awards, mohan veena, discography, press releases and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.vishwamohanbhatt.com/"} +{"d:Title": "Pandit, Meeta", "d:Description": "Young vocalist and grand daughter of the legendary Gwalior gharana vocalist Krishnarao Shankar Pandit. Profiles, family tree, music samples and information about Gwalior Gharana.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.meetapandit.com/"} +{"d:Title": "Ajit Singh", "d:Description": "Sitar player from Varanasi, U.P., India. Includes his biography, details of his latest album and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.angelfire.com/va/visitvaranasi/ajitsitar.html"} +{"d:Title": "Anupriya Deotale", "d:Description": "Violin artist. Includes the artist's biodata, press reviews and concert performances.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.anupriyaviolin.com/"} +{"d:Title": "Bhargav Mistry", "d:Description": "Sarod artist. Artist's profile, press reviews, a brief on sarod, audio clips of ragaas and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.bhargavmistry.com/sarod/"} +{"d:Title": "Debashish Bhattacharya", "d:Description": "The biography and recent concerts of the artist who plays Hindustani music on the slide Guitar.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.philmultic.com/artists/debashish.html"} +{"d:Title": "G.S. Sachdev", "d:Description": "Bansuri (bamboo flute) artist. Includes his biography, reviews, a discography of his recordings and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://bansuri.net/gssachdev/"} +{"d:Title": "Gundecha Brothers", "d:Description": "Website of Ramakant, Umakant and Akhilesh Gundecha, dhrupad artists. Includes a background of Dhrupad form of music, profile of the artists, their performances, awards, press reviews, sample audio clips. Also includes information on Dhrupad Institute.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.dhrupad.org/"} +{"d:Title": "Jaywant Naidu", "d:Description": "Performs Hindustani music on Hawaiian guitar. Includes a brief profile of the artist, his concert performances, images and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.jaywant.in/"} +{"d:Title": "Joydeep Ghosh", "d:Description": "Musician of Shahjahanpur sarod gharana and Imdadkhani gharana specialising in Sarod and Surshringar performance.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://sarod.8m.com/"} +{"d:Title": "Kartik Seshadri", "d:Description": "Sitar artist. Artist's biography, photographs, recordings, concerts and reviews, tour information and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://soundingsrecords.com/kartik/"} +{"d:Title": "Kiranpal Singh Deoora", "d:Description": "A classical Santoor player. Biodata, concerts, and recordings.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.kiranpalsingh.com/"} +{"d:Title": "Krishnamurti Sridhar", "d:Description": "Sarod artist. Artist's profile, a brief on the sarod, press reviews, discography and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.sridhar.org/"} +{"d:Title": "Madhup Mudgal", "d:Description": "Hindustani classical vocalist. Includes the artist's profile. Head of Gandharva Mahavidyalaya.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://artindia.net/madhup.html"} +{"d:Title": "Mahesh Kale", "d:Description": "Brief biography, audio clips and pictures of the vocalist.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.maheshkale.com/"} +{"d:Title": "M.S.Gopalakrishnan", "d:Description": "Hindustani and Carnatic Violin artist. Includes the artist's biography, accomplishments, reviews, images and contact information.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://members.tripod.com/MSG_page/"} +{"d:Title": "Mukesh Sharma", "d:Description": "Sarod artist. Includes the artist's biography, awards, performances, press reviews and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.panditmukeshsharma.com/"} +{"d:Title": "Neela Bhagwat", "d:Description": "Gwalior style vocalist.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://members.tripod.com/neelabhagwat/"} +{"d:Title": "Padmini Rao", "d:Description": "Kirana style Hindustani classical vocalist.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.padminirao.com/"} +{"d:Title": "Pandit Jitendra Abhisheki", "d:Description": "Biography of the singer and composer who had received the training under three different gharanas, Gwalior,Agra and Jaipur, but set out his own style. Includes list of awards, audio releases and a photo gallery.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://jitendraabhisheki.com/"} +{"d:Title": "Rajendra Vaishampayan", "d:Description": "Samvadini artiste and disciple of Pandit Manohar Chimote. Browse history, articles, and events.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.samvadiniartiste.com/"} +{"d:Title": "Sanjay Verma", "d:Description": "Hindustani Classical on Hawaiian Guitar. Includes the artist's profile, his performances and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.artindia.net/verma.html"} +{"d:Title": "Shailendra Janardan", "d:Description": "Violinist. Includes a brief on the artist, his performances, reviews and contact details.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.artindia.net/shailendra.html"} +{"d:Title": "Shubhashish Mukherjee", "d:Description": "Vocalist. Artist's profile, discography, photographs, Real Audio and Video clips and contact information", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.swarmandal.com/"} +{"d:Title": "Subhra Guha", "d:Description": "Young vocalist and one of the few torchbearers of Agra Gharana. Profile and music sampples.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://www.subhraguha.net/"} +{"d:Title": "Sunil Kant Gupta", "d:Description": "Flautist. Artist's profile, reviews of his performances and an email link to contact the artist.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://artindia.net/sunil/"} +{"d:Title": "Lipson, Roger", "d:Description": "New York based Sitar player. Profile, event dates, audio samples, information about sitar and links.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://rogerlipson.blogspot.com/"} +{"d:Title": "Pandit Nikhil Banerjee", "d:Description": "John Cosgrave's tribute to the great sitarist. Contains images of the artist, press reviews, memoirs and links to other sites on the artist.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists", "url": "http://staff.spd.dcu.ie/johnbcos/nikhil_banerjee.htm"} +{"d:Title": "Rediff On The Net: Ustad Alla Rakha Chat", "d:Description": "Transcript of a chat session held in 1999.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Alla_Rakha", "url": "http://www.rediff.com/chat/allachat.htm"} +{"d:Title": "NPR : Usted Allarakha Khan", "d:Description": "Interview with Indian music promoter Balwant Dixit.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Alla_Rakha", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1069992"} +{"d:Title": "John Byrne Cooke Photography", "d:Description": "Photographs of Ravi Shankar and Alla Rakha performing at the 1967 Monterey Pop Festival.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Alla_Rakha", "url": "http://www.cookephoto.com/ravi.html"} +{"d:Title": "The Music Magazine: Following Another Beat", "d:Description": "Article by Sugandhi Ravindranathan.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Alla_Rakha", "url": "http://www.themusicmagazine.com/allarakha.html"} +{"d:Title": "The Guardian: Alla Rakha", "d:Description": "Obituary by Reginald Massey.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Alla_Rakha", "url": "http://www.theguardian.com/news/2000/feb/05/guardianobituaries1/print"} +{"d:Title": "Ravi Shankar", "d:Description": "Official site of the sitar virtuoso and the Ravi Shankar Foundation. Biography, recording list and ordering, audio samples, videos, and photographs.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Shankar,_Ravi", "url": "http://www.ravishankar.org/"} +{"d:Title": "Indian Melody : Hindustani Instrumental - Pandit Ravi Shankar", "d:Description": "Profile of the Sitar exponent.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Shankar,_Ravi", "url": "http://www.indianmelody.com/ravishankar.htm"} +{"d:Title": "Rediff on the Net", "d:Description": "Article on Ravi Shankar, on being awarded the Bharat Ratna.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Shankar,_Ravi", "url": "http://www.rediff.com/news/1999/jan/30ratna.htm"} +{"d:Title": "Ravi Shankar accused of lobbying for Bharat Ratna", "d:Description": "A report on the disharmony created between Pandit Ravi Shankar and Pandit Jasraj after the former being conferred the Bharat Ratna, India's high civilian award.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Shankar,_Ravi", "url": "http://www.rediff.com/news/1999/mar/11jas.htm"} +{"d:Title": "The Rediff Interview/ Pandit Ravi Shankar", "d:Description": "Interview with Pritish Nandy discussing the rift between Shankar and Pandit Jasraj after the former was conferred the Bharat Ratna award.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Shankar,_Ravi", "url": "http://www.rediff.com/news/1999/mar/23nandy.htm"} +{"d:Title": "An evening of stirring sitar", "d:Description": "A Times of India report on the performance given at Bangalore by the sitar maestro and his daughter Anoushka.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Artists/Shankar,_Ravi", "url": "http://timesofindia.indiatimes.com/articleshow/425532.cms"} +{"d:Title": "Sarodya Society", "d:Description": "A non-profit organization dedicated to the preservation, propagation and archiving of north Indian classical music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.angelfire.com/ma/sarod/"} +{"d:Title": "North American Dhrupad Association", "d:Description": "NADA is a Los Angeles-based non-profit organization formed to support and disseminate Dhrupad music in the US and India. Goals, contact information, and an introduction to Dhrupad music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.raga.com/text/nada.html"} +{"d:Title": "Ali Akbar College of Music", "d:Description": "Instruction in the classical music of North India. Ustad Ali Akbar Khan teaches vocal and instrumental music and Pandit Swapan Chaudhury teaches tabla. San Rafael, California.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.aacm.org/"} +{"d:Title": "Pandit Ram Sahai Sangit Vidyalaya", "d:Description": "Tabla and vocal music college named after the founder of Benaras tabla gharana.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.sahai.org/"} +{"d:Title": "Bharatiya Vidya Bhavan, UK Centre", "d:Description": "Started in 1972 as a branch of Bharatiya Vidya Bhavan, Bombay, it is the largest organisation of its kind dedicated to the development of Indian art, culture and heritage outside India.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.bhavan.net/"} +{"d:Title": "Asavari", "d:Description": "White Plains (Westchester County), New York based Asavari is a school where Kathak and Hindustani vocal music is taught.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.asavari.org/"} +{"d:Title": "Chhandayan", "d:Description": "New York based organization to promote and preserve the rich heritage of Indian music.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.tabla.org/"} +{"d:Title": "Indian Music Society Of Minnesota", "d:Description": "IMSOM formed in 1980 arranges programs by accomplished Indian classical performing artists in the Minneapolis-St.Paul twic cities area.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.imsom.org/"} +{"d:Title": "Ragamala", "d:Description": "Seattle based organization setup in 1981, seeks to foster the understanding and appreciation of the Performing Arts of South Asia by arranging concerts, recitals, lecture demonstrations etc. of Music, Dance, Mime and so on.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Hindustani/Organizations", "url": "http://www.ragamala.org/"} +{"d:Title": "Indian Classical Music Circle of Dallas/Fort Worth", "d:Description": "Non-profit organization dedicated to the presentation of traditional and contemporary music and dance from the Indian sub-continent. Organization and membership information, events calendar, artist profiles, instruments glossary, music articles, and links.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Organizations", "url": "http://www.icmcdfw.org/"} +{"d:Title": "SRUTI", "d:Description": "The India Music and Dance Society is a non-profit organization, based in the Philadelphia area, presenting Indian classical music and dance performances. Concert and event schedules, newsletters, message board, mailing list, and links.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Organizations", "url": "http://www.sruti.org/"} +{"d:Title": "Indian Classical Music and Dance Society", "d:Description": "Promoting performances at Duke University and throughout the Raleigh-Durham area of North Carolina. Schedules, membership and support.", "topic": "Top/Arts/Music/Styles/C/Classical_Indian/Organizations", "url": "http://www.icmds.org/"} +{"d:Title": "Thanks for the Music", "d:Description": "Country music news for the country music enthusiast. Artist profiles, concert and event photos and reviews, charity and benefit event coverage, new artist and new album news.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.thanksforthemusic.com/"} +{"d:Title": "CountryStarsOnline.com", "d:Description": "Offers an online events calendar, CD release dates and links.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.countrystarsonline.com/"} +{"d:Title": "Country Music Travel", "d:Description": "Travel agency for country music fans, offering themed vacations. Includes available packages and contact information.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.countrymusictravel.com/"} +{"d:Title": "Country Music News", "d:Description": "Dedicated to offering stories and features not offered in other trade papers and publications. Includes headlines and editorials.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.angelfire.com/tn/nna/index.html"} +{"d:Title": "Keepin' It Country", "d:Description": "Links to artists, dancing and e-zines.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.angelfire.com/country/surfingusa/"} +{"d:Title": "About.com: Country Music", "d:Description": "Includes album/concert reviews, contests and a directory.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://countrymusic.about.com/"} +{"d:Title": "My Kind Of Country", "d:Description": "Yesterday's legends to the newest up and coming country singers and songwriters. Reviews, profiles, audio samples, videos, commentaries and news.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.mkoc.com/"} +{"d:Title": "British Country Music", "d:Description": "News and information about UK bands, artists and events. Includes a noticeboard, e-pals section, canned radio and song clips.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.countrymusic.org.uk/"} +{"d:Title": "Country Music Hall of Fame and Museum", "d:Description": "Collection of historical costumes, memorabilia, instruments, photographs, manuscripts and other objects tell the story of country music. Includes hours, admission rates, directions and contact details. Located in Nashville, Tennessee.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://countrymusichalloffame.org/"} +{"d:Title": "Great American Country", "d:Description": "Network airing country music videos. Includes a playlist, news and artist biographies.", "topic": "Top/Arts/Music/Styles/C/Country", "url": "http://www.greatamericancountry.com/"} +{"d:Title": "AlternativeCountry.com", "d:Description": "Features industry news, record reviews, and a handy radio station guide", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.alternativecountry.com/"} +{"d:Title": "Freight Train Boogie", "d:Description": "An Americana / alternative country Web site with CD reviews, releases and artist guides.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.freighttrainboogie.com/"} +{"d:Title": "Twangin'! Country Music", "d:Description": "Features interviews, reviews, and a newsletter focusing on alt.country and Americana.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.steamiron.com/twangin/"} +{"d:Title": "The Gumbo Pages", "d:Description": "A guide to New Orleans and Acadiana, including resources and information on non-commercial radio and roots music.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.gumbopages.com/"} +{"d:Title": "Rootin' Around", "d:Description": "A cornpone compendium of the best roots (country, blues, jazz, and hokum) music releases, books and backroads travel destinations still to be found in these here United States of America", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.rootinaround.com/"} +{"d:Title": "Americana Music Association", "d:Description": "A young industry association designed to develop and share Americana market research and brand, market, and promote Americana music.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.americanamusic.org/"} +{"d:Title": "Americana UK", "d:Description": "A UK e-zine for Americana and alt-country.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.americana-uk.com/"} +{"d:Title": "Joe Sixpack's Guide To Alt.Country", "d:Description": "Alt.country and Americana music reviews, with links to artist profile pages. Part of a larger \"hick music\" website written by San Francisco Bay Area radio DJ, Joe Sixpack.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative", "url": "http://www.slipcue.com/music/country/countrystyles/alt/A_01.html"} +{"d:Title": "Fulks, Robbie", "d:Description": "Chicago-based insurgent country artist.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.robbiefulks.com/"} +{"d:Title": "Hangdogs", "d:Description": "The Doghouse - Home of NYC's drunken alternative country/roots rock band", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://hangdogs.com/"} +{"d:Title": "Hollisters, The", "d:Description": "Honky tonkers from East Texas.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.hollisters.com/"} +{"d:Title": "Drive-By Truckers", "d:Description": "Official site includes reviews, photos, lyrics, sound files and tour dates", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.drivebytruckers.com/"} +{"d:Title": "Donna the Buffalo", "d:Description": "Includes mailing list, tour schedules, reviews and lyrics", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.donnathebuffalo.com/"} +{"d:Title": "Rhodes, Kimmie", "d:Description": "Includes a biography, tour dates, news, lyrics, reviews", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://kimmierhodes.com/"} +{"d:Title": "Hillbilly IDOL", "d:Description": "Roots-influenced Americana and Alternative-Country Music", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.hillbillyidol.com/"} +{"d:Title": "Apollo, James", "d:Description": "Troubador of roots-rock and roll saavy James Apollo.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.jamesapollo.com/"} +{"d:Title": "Cowboy Buddha", "d:Description": "News, biography, reviews, and show dates for the 'roots-pop' band from Costa Mesa, California.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.cowboybuddha.com/"} +{"d:Title": "Butterill, Kenny", "d:Description": "Soothing melodic blend of authentic alt-country, Americana and folk", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.nobullsongs.com/"} +{"d:Title": "Twang", "d:Description": "An electric string band from the Boston/Worcester area", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://honkingduck.com/twang/home/splash.shtml"} +{"d:Title": "Angry Johnny and The Killbillies", "d:Description": "Grab your gun and some beers and take a trip to Killville, Mass.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://getangry.com/"} +{"d:Title": "Delevante, Bob", "d:Description": "Biography, news, reviews and merchandise from this Americana singer-songwriter", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.bobdelevante.com/"} +{"d:Title": "The Railbenders", "d:Description": "Alternative country rockers from Denver, CO", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.railbenders.com/"} +{"d:Title": "Red Meat Country", "d:Description": "Americana woven from Western Swing Revival, folkie country songs, and lonesome, California Honky-Tonk angel anthems", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.redmeat.net/"} +{"d:Title": "The Coal Men", "d:Description": "Nashville band fronted by David Coleman", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://the-coal-men.tripod.com/"} +{"d:Title": "Flyer, J.C.", "d:Description": "Includes several photos of an original high flying country rocker", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://members.tripod.com/gt76man/jcfhome.html"} +{"d:Title": "Wynn, Steve", "d:Description": "Veteran of the paisley underground scene and former member of Dream Syndicate.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://stevewynn.net/"} +{"d:Title": "Texas God", "d:Description": "Alt-country punk band from Austin, Tex.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://texasgod.tripod.com/music.html"} +{"d:Title": "Hot Club of Cowtown", "d:Description": "Modern western swing band. Features tour dates, articles, and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.hotclubofcowtown.com/"} +{"d:Title": "Johnson, Ralph", "d:Description": "Writing, performing and recording his own alternative country music, in the tradition running from the Carter Family to Neil Young", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://rjgmg.tripod.com/"} +{"d:Title": "McGees", "d:Description": "An alt-country, kitchen-sink blend, featuring a new country version of Ace Frehley's \"Rock Soldiers.\"", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.mcgeesmusic.com/"} +{"d:Title": "Walkabouts", "d:Description": "An unofficial site dedicated to the Walkabouts and its offshoots, such as Chris and Carla.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.thewalkabouts.com/"} +{"d:Title": "Gibson, Scott", "d:Description": "Americana artist and singer/songwriter blends country/folk and alternative country from Austin, Texas, featuring dobro, accordion, lap steel, and acoustic guitar.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.scottgibsonmusic.com/"} +{"d:Title": "Style, Lyle E.", "d:Description": "Alternative country singer, songwriter and Nashville recording artist from Winnipeg.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.electricrecordings.com/"} +{"d:Title": "Old Crow Medicine Show, The", "d:Description": "Official site of high energy Nashville, TN mistrels", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.crowmedicine.com/"} +{"d:Title": "Slick 57", "d:Description": "Young, hip hillbillies from Dallas, TX.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.slick57.com/"} +{"d:Title": "Wiley, Nathan", "d:Description": "A Prince Edward Island resident who combines a diverse set of electric roots styles into one solid and groove-heavy offering.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.dugost.com/nathanwiley/"} +{"d:Title": "Lima, Joe", "d:Description": "Hank Williams and Frank Sinatra fight for the soul of this Louisiana-born, Los Angeles-based Songster. Features lyrics, a mailing list, and links to buy a CD.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.joelima.com/"} +{"d:Title": "Kerr, Jenny", "d:Description": "Official site of West Coast Americana band, a combo of rock, roots, twang and swamp. News, music, bio, and clips.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.jennykerr.com/"} +{"d:Title": "Berwick, Pete", "d:Description": "Cowpunk innovator and respected songwriter from Nashville, Tennessee. Pictures, music, discography, albums, and biography.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.peteberwick.com/"} +{"d:Title": "Wheat", "d:Description": "Sugar-free, melodic indie alt-country rock from Boston.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.wheatmusic.com/"} +{"d:Title": "Koral, Bari", "d:Description": "Official site of downtown New York singer/songwriter. News, schedule, downloads, and quirky diary-in-progress \"Confessions of an Indie Girl.\"", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.barikoral.com/"} +{"d:Title": "Mills, Chris", "d:Description": "Chicago-based singer/songwriter's official site includes MP3 files, tour dates, discography, biography, lyrics, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.chris-mills.com/"} +{"d:Title": "Tucker, Duane Michael", "d:Description": "Official page for the New York musician, singer, and songwriter, with photos, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.rugslob.com/"} +{"d:Title": "England, Amy", "d:Description": "Official page for the New England singer, with reviews and contacts.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://amyengland.net/"} +{"d:Title": "The Taters", "d:Description": "Virginia band playing an eclectic mix of roots rock, pop, country, skiffle and Americana. Bios, photos, reviews, tour schedule, music clips, and sales of CDs and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.thetaters.com/"} +{"d:Title": "Harrington, Rachel", "d:Description": "Artist bio, music, lyrics and upcoming tour dates. Fans of Gillian Welch might take interest in her cover of \"My Morphine.\"", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.rachelharrington.net/"} +{"d:Title": "Mary Janes, The", "d:Description": "Plain spoken Americana from Indiana featuring Janas Hoyt.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.themaryjanes.com/"} +{"d:Title": "Oldham, Will", "d:Description": "The Royal Stable, the Will Oldham fan page, with a complete discography, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://users.bart.nl/~ljmeijer/oldham/index.htm"} +{"d:Title": "Slick Pelt", "d:Description": "Raw, big alt-country cow-punk with a guitar driven psychobilly twist.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists", "url": "http://www.michaellouismusic.com/slickpelt/slickpelt.html"} +{"d:Title": "CMT: BR549", "d:Description": "News, biography, pictures and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/BR5-49", "url": "http://www.cmt.com/artists/br5-49/"} +{"d:Title": "David Allan Coe", "d:Description": "Includes news, photos, stories, and free email accounts", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Coe,_David_Allan", "url": "http://www.davidallancoe.com/"} +{"d:Title": "The Gourds", "d:Description": "The official site. The music comes from a sloppy hilltop near Austin, Tex.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Gourds,_The", "url": "http://www.thegourds.com/"} +{"d:Title": "Yahoo Groups: Cucurbitaceae", "d:Description": "Fan discussion list devoted to the Gourds.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Gourds,_The", "url": "http://groups.yahoo.com/group/cucurbitaceae"} +{"d:Title": "Kate's Emmylou Harris Site", "d:Description": "A very thorough information site compiled by a fan", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Harris,_Emmylou", "url": "http://www.emmylou.net/"} +{"d:Title": "IMDb: Emmylou Harris", "d:Description": "Filmography with trivia and actress, miscellaneous crew, and TV guest credits.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Harris,_Emmylou", "url": "http://www.imdb.com/name/nm0004994/"} +{"d:Title": "Salon Brilliant Careers: Emmylou Harris", "d:Description": "She may have given over her country crown, but she will always remain the diva of loss.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Harris,_Emmylou", "url": "http://www.salon.com/1999/10/21/harris/"} +{"d:Title": "Metacritic: Red Dirt Girl", "d:Description": "Multiple critic and user reviews for Red Dirt Girl by Emmylou Harris.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Harris,_Emmylou", "url": "http://www.metacritic.com/music/red-dirt-girl/emmylou-harris"} +{"d:Title": "Jason and The Scorchers", "d:Description": "Official fan site includes news, member profiles, photos, and an archive of articles, interviews and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Jason_and_the_Scorchers", "url": "http://jasonandthescorchers.com/"} +{"d:Title": "Gumbo Pages: Son Volt / Jay Farrar", "d:Description": "Interviews, articles, tablature, and lyrics.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Son_Volt", "url": "http://www.gumbopages.com/son-volt.html"} +{"d:Title": "Jay Farrar", "d:Description": "Official site covering his solo work, Son Volt, and Uncle Tupelo. News, discography, audio and video clips, photos, and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Son_Volt", "url": "http://www.jayfarrar.net/"} +{"d:Title": "Southern Culture on the Skids", "d:Description": "Their official site", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Southern_Culture_on_the_Skids", "url": "http://www.scots.com/"} +{"d:Title": "The Trouble With Sweeney", "d:Description": "Official site includes profile, reviews, news, and sound files.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Trouble_With_Sweeney,_The", "url": "http://www.thetroublewithsweeney.com/"} +{"d:Title": "Webb Wilder", "d:Description": "Official site features a biography, discography with sound clips, tour dates, message board, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Wilder,_Webb", "url": "http://www.webbwilder.com/"} +{"d:Title": "The Original Webb Wilder WWW Site", "d:Description": "Fan site includes discography, chat, FAQ, album and concert reviews, interviews, photos, and quotes.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Wilder,_Webb", "url": "http://webb_wilder.tripod.com/WW.html"} +{"d:Title": "All Music Guide: Webb Wilder", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Bands_and_Artists/Wilder,_Webb", "url": "http://www.allmusic.com/artist/webb-wilder-p5827"} +{"d:Title": "Twangfest", "d:Description": "A non-profit festival held in St. Louis featuring alternative country, bluegrass and roots rock bands", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Festivals_and_Events", "url": "http://www.twangfest.com/"} +{"d:Title": "RANCH Productions", "d:Description": "Promoting Vancouver's roots-music community with annual festivals planned each summer", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Festivals_and_Events", "url": "http://ranchprod.tripod.com/"} +{"d:Title": "Postcard", "d:Description": "Discuss the music of Uncle Tupelo and its descendants, Son Volt, Wilco and Golden Smog.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Mailing_Lists", "url": "http://www.postcardfromhell.com/"} +{"d:Title": "Yahoo Groups: The No Depression Country Club", "d:Description": "Fans of country music can gather and discuss music, musicians, and country life.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/thenodepressioncountryclub/"} +{"d:Title": "Yahoo Groups: Western Swing Lovers", "d:Description": "Discussion group for western swing enthusiasts, also known as cowboy jazz.", "topic": "Top/Arts/Music/Styles/C/Country/Alternative/Mailing_Lists", "url": "http://launch.groups.yahoo.com/group/westernswinglovers/"} +{"d:Title": "CMA World", "d:Description": "Official site of the Country Music Association.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Associations", "url": "http://www.cmaworld.com/"} +{"d:Title": "Country Music Association of Australia", "d:Description": "News and information about country music in Australia, including news, charts, awards, new releases, events, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Associations", "url": "http://www.country.com.au/"} +{"d:Title": "Country Music Singers Association of Oklahoma", "d:Description": "Country music association that promotes country artists, musicians and songwriters through showcasing and educational seminars.", "topic": "Top/Arts/Music/Styles/C/Country/Associations", "url": "http://www.cmsaok.com/"} +{"d:Title": "New Jersey Country Music Association", "d:Description": "Non-profit organization, \"Keeping Country Alive\" by promoting country music and dance.", "topic": "Top/Arts/Music/Styles/C/Country/Associations", "url": "http://www.njcma.com/"} +{"d:Title": "Arnold, Robin", "d:Description": "Biography, sound files, show dates, CD information, and pictures of the Utah singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A", "url": "http://www.robinarnold.com/"} +{"d:Title": "Allen, Roger", "d:Description": "Learn a little bit about this country singer from Florida and listen to WAVs from his CD.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A", "url": "http://www.angelfire.com/fl2/rogerallen/"} +{"d:Title": "Allen Jr, Rex", "d:Description": "Official page, with discography, photos, appearances, news, credits, and biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A", "url": "http://www.rexallenjr.com/"} +{"d:Title": "Allard, Terri", "d:Description": "Singer/songwriter, based in northern Virginia. Profile, sound files, discography, lyrics, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A", "url": "http://www.terriallard.com/"} +{"d:Title": "Andersen, J.C.", "d:Description": "Country music singer, performer, musician and songwriter. Includes biography, performances and photo gallery.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A", "url": "http://www.jcandersen.com/"} +{"d:Title": "Roy Acuff", "d:Description": "History on Roy Acuff.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Acuff,_Roy", "url": "http://xroads.virginia.edu/~1930s/RADIO/c_w/acuff.html"} +{"d:Title": "Grave of Roy Acuff", "d:Description": "Find A Grave listing includes pictures of him and his tombstone; includes other interments in his cemetery.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Acuff,_Roy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1435"} +{"d:Title": "MTV: Roy Acuff", "d:Description": "Includes album reviews, music news, audio downloads, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Acuff,_Roy", "url": "http://www.cmt.com/artists/roy-acuff/"} +{"d:Title": "IMDb: Roy Acuff", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Acuff,_Roy", "url": "http://www.imdb.com/name/nm0010334/"} +{"d:Title": "Trace Adkins", "d:Description": "Official page. Biography, photos, music clips, message board, tour schedule, fan club, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Adkins,_Trace", "url": "http://www.traceadkins.com/"} +{"d:Title": "Artistdirect.com: Trace Adkins", "d:Description": "Includes photographs, audio clips, biography, album information, tour dates, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Adkins,_Trace", "url": "http://www.artistdirect.com/artist/trace-adkins/563428"} +{"d:Title": "MTV.com: Trace Adkins", "d:Description": "Includes album reviews, news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Adkins,_Trace", "url": "http://www.cmt.com/artists/trace-adkins/"} +{"d:Title": "Alabama Theater", "d:Description": "Country music theater featuring the group Alabama and other major acts. Online ticket reservations available.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Alabama", "url": "http://www.alabama-theatre.com/"} +{"d:Title": "Mountain Music", "d:Description": "Mary's Alabama fan page. Picture galleries, concert photo reports, and brief Alabama wisdom.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Alabama", "url": "http://members.tripod.com/~CarolinaAngel/index.html"} +{"d:Title": "Alabama Band", "d:Description": "Itinerary, discography, fan club, band member biographies, links, and souvenirs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Alabama", "url": "http://www.thealabamaband.com/"} +{"d:Title": "Thoughts of THEM far beyond words", "d:Description": "Fan page. 'Bama poems and fan links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Alabama", "url": "http://www.angelfire.com/country/bamawriting/"} +{"d:Title": "Entertainment Ave: Alabama", "d:Description": "Review of a concert at The Star Plaza Theater in Merrilville, Indiana.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Alabama", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/a/alabama/al090896.htm"} +{"d:Title": "CyberCountry.com", "d:Description": "Brief profile of Allan.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Allan,_Gary", "url": "http://www.cybercountry.com/gallan.html"} +{"d:Title": "ArtistDirect: Gary Allan", "d:Description": "Biography, links, and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Allan,_Gary", "url": "http://www.artistdirect.com/artist/gary-allan/563023"} +{"d:Title": "ArtistDirect: Harley Allen", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Allen,_Harley", "url": "http://www.artistdirect.com/artist/harley-allen/396664"} +{"d:Title": "CMT.com: Harley \"Red\" Allen", "d:Description": "Discography and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Allen,_Harley", "url": "http://www.cmt.com/artists/harley-red-allen/"} +{"d:Title": "Artist Direct: Amazing Rhythm Aces", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Amazing_Rhythm_Aces", "url": "http://www.artistdirect.com/artist/the-amazing-rhythm-aces/397109"} +{"d:Title": "CMT.com: Amazing Rhythm Aces", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Amazing_Rhythm_Aces", "url": "http://www.cmt.com/artists/the-amazing-rhythm-aces/"} +{"d:Title": "Bill Anderson", "d:Description": "Official page, with news, biography, photos, discography, RealAudio files, web board, tour schedule, fan club, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Anderson,_Bill", "url": "http://www.billanderson.com/"} +{"d:Title": "Artist Direct: Bill Anderson", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Anderson,_Bill", "url": "http://www.artistdirect.com/artist/bill-anderson/397400"} +{"d:Title": "CMT.com: Bill Anderson", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Anderson,_Bill", "url": "http://www.cmt.com/artists/bill-anderson/"} +{"d:Title": "John Anderson", "d:Description": "Links, discography with track listings, audio clips and cover art.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Anderson,_John", "url": "http://mn2music.tripod.com/johnanderson/"} +{"d:Title": "Anderson, John", "d:Description": "The country music artist's official site offers videos, audio streams, photos, discography, news and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Anderson,_John", "url": "http://johnanderson.com/"} +{"d:Title": "Lynn Anderson", "d:Description": "Official website with news, biography, discography, reviews, fan club, photographs, recipes, humor, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Anderson,_Lynn", "url": "http://www.lynnandersonshow.com/"} +{"d:Title": "CMT.com: Lynn Anderson", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Anderson,_Lynn", "url": "http://www.cmt.com/artists/lynn-anderson/"} +{"d:Title": "All About Jessica Andrews", "d:Description": "There are pictures, audio and movies.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Andrews,_Jessica", "url": "http://www.angelfire.com/il/andrews1/andrews.html"} +{"d:Title": "It's The Jessica Thing To Do", "d:Description": "Fan site with photos, lyrics, news, biography and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Andrews,_Jessica", "url": "http://www.angelfire.com/country/jessicaandrewsfan/"} +{"d:Title": "About.com: Lisa Angelle", "d:Description": "Review of Angelle album by Carrie Attebury.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Angelle,_Lisa", "url": "http://countrymusic.about.com/library/bllisaangellerev.htm"} +{"d:Title": "CMT.com: Lisa Angelle", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Angelle,_Lisa", "url": "http://www.cmt.com/artists/lisa-angelle/"} +{"d:Title": "Eddy Arnold Welcome To My World", "d:Description": "Fan site with discography, news, articles, and biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Arnold,_Eddy", "url": "http://www.eddyarnold.com/"} +{"d:Title": "CMT.com: Eddy Arnold", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Arnold,_Eddy", "url": "http://www.cmt.com/artists/eddy-arnold/"} +{"d:Title": "Artist Direct: Susan Ashton", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Ashton,_Susan", "url": "http://www.artistdirect.com/artist/susan-ashton/398851"} +{"d:Title": "CMT.com: Susan Ashton", "d:Description": "Story of the career of the Texas singer, with album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Ashton,_Susan", "url": "http://www.cmt.com/artists/susan-ashton/"} +{"d:Title": "Ernie Ashworth", "d:Description": "Biography and photos of the Grand Ole Opry singer, with audio clips, links, and CD store.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Ashworth,_Ernie", "url": "http://www.ernieashworth.com/"} +{"d:Title": "CMT.com: Ernie Ashworth", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Ashworth,_Ernie", "url": "http://www.cmt.com/artists/ernest-ashworth/"} +{"d:Title": "Asleep at the Wheel", "d:Description": "Earned six Grammy Awards, kept a buzz on a genre that might be described as \"fringe,\" while maintaining a musical integrity that's never waivered.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Asleep_at_the_Wheel", "url": "http://www.asleepatthewheel.com/"} +{"d:Title": "Artist Direct: Asleep At The Wheel", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Asleep_at_the_Wheel", "url": "http://www.artistdirect.com/artist/asleep-at-the-wheel/398888"} +{"d:Title": "CMT.com: Asleep at the Wheel", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Asleep_at_the_Wheel", "url": "http://www.cmt.com/artists/asleep-at-the-wheel/"} +{"d:Title": "Jason Roberts", "d:Description": "Fiddle player for Asleep at the Wheel. Profile, news, sound files, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Asleep_at_the_Wheel", "url": "https://www.jasonrobertsband.com/"} +{"d:Title": "Freddie's Chet Atkins Page", "d:Description": "Play lists, album cover photos and audio clips from many of Atkins' LPs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Atkins,_Chet", "url": "http://jukebox.au.nu/instromania/instro_monsters/chet_atkins/"} +{"d:Title": "Rock and Roll Hall of Fame: Chet Atkins", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Atkins,_Chet", "url": "http://rockhall.com/inductees/chet-atkins/"} +{"d:Title": "Artist Direct: Chet Atkins", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Atkins,_Chet", "url": "http://www.artistdirect.com/artist/chet-atkins/399010"} +{"d:Title": "Chet Atkins: Mister Guitar", "d:Description": "Includes photos, quotes, a complete discography, and a photo review of the CAAS convention held in Nashville for Chet fans.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Atkins,_Chet", "url": "http://www.misterguitar.us/"} +{"d:Title": "The Chet Atkins Appreciation Society", "d:Description": "The fan club for Chet Atkins fans.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Atkins,_Chet", "url": "http://www.misterguitar.us/caas.html"} +{"d:Title": "Soundclick.com: Greg Austin", "d:Description": "Interview with the singer/guitarist and mp3 downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Austin,_Greg", "url": "http://www.soundclick.com/bands/default.cfm?bandID=113902"} +{"d:Title": "Sherrie Austin - A Future-Class X Publishing Tribute", "d:Description": "Photographs and Artwork of Sherrie Austin, by Mark Greenawalt.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Austin,_Sherri\u00e9", "url": "http://www.futureclassx.com/photo/sherrie/sherrie.htm"} +{"d:Title": "AskMen.com: Sherrie Austin", "d:Description": "Pictures, biography, commentary, and ratings.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Austin,_Sherri\u00e9", "url": "http://www.askmen.com/celebs/women/singer_200/208_sherrie_austin.html"} +{"d:Title": "ArtistDirect - Sherri\u00e9 Austin", "d:Description": "Feature includes photos, audio clips, biography, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Austin,_Sherri\u00e9", "url": "http://www.artistdirect.com/artist/sherrie-austin/579053"} +{"d:Title": "Steve Azar", "d:Description": "Official page, with audio and video clips, photos, news, biography, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Azar,_Steve", "url": "http://www.steveazar.com/"} +{"d:Title": "CMT.com: Steve Azar", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/A/Azar,_Steve", "url": "http://www.cmt.com/artists/steve-azar/"} +{"d:Title": "Bergeron, Debbie", "d:Description": "Biography of the Canadian singer, with picture gallery, schedule, album information, and song samples.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://mypage.uniserve.ca/~musicdb/dBProductions/"} +{"d:Title": "Brad Brock and the Renegades", "d:Description": "Member profiles, news and booking information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.bradbrock.com/"} +{"d:Title": "Burns, Brian", "d:Description": "Texas singer/songwriter. Includes a tour schedule, lyrics, reviews and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.brianburnsmusic.com/"} +{"d:Title": "Bella, Mike", "d:Description": "Includes a biography, reviews, audio samples, photos and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://mikebella.com/"} +{"d:Title": "Bush, Johnny", "d:Description": "Official site. Features biography, schedule, news, and contact information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.johnnybush.com/"} +{"d:Title": "Bickhardt, Craig", "d:Description": "Official page, with mp3 audio, show dates, biography, lyrics, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.craigbickhardt.com/"} +{"d:Title": "Boyd, Donna", "d:Description": "Official page for the Australian singer, with show dates, photos, biography, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.donnaboyd.com/"} +{"d:Title": "Burnette, Smiley", "d:Description": "Dedicated to Lester Alvin \"Smiley\" Burnett, recording, radio, and television star, and Gene Autry's sidekick.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.smileyburnette.org/"} +{"d:Title": "Buckley, Jimmy", "d:Description": "Show dates, photos, and news of the Irishman and his band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.angelfire.com/de3/shansi/JimmyBuckley.html"} +{"d:Title": "Benton, Barbi: a Tribute", "d:Description": "Fan page. Profile, album information, film and television credits, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B", "url": "http://www.barbibenton.nu/"} +{"d:Title": "David Ball", "d:Description": "Official page with fan club, merchandise, biography, news, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Ball,_David", "url": "http://www.davidball.com/"} +{"d:Title": "Canoe.ca: David Ball", "d:Description": "News articles about the South Carolina singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Ball,_David", "url": "http://jam.canoe.com/Music/Artists/B/Ball_David/"} +{"d:Title": "Eilene Balzano", "d:Description": "MKOC country music review compares Balzano's music to blues, jazz, and pop.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Balzano,_Eileen", "url": "http://www.mkoc.com/Horizon/balzano.htm"} +{"d:Title": "Juliann Banks", "d:Description": "Official page, with album information, photos, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Banks,_Julieann", "url": "http://www.julieannbanks.com/"} +{"d:Title": "The Bellamy Brothers", "d:Description": "Biographies, pictures, tour dates, audio and video clips, fan club, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Bellamy_Brothers,_The", "url": "http://www.bellamybrothers.com/"} +{"d:Title": "Hiponline.com: Bellamy Brothers", "d:Description": "Concert review focuses on the duo's blend of rock and country.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Bellamy_Brothers,_The", "url": "http://www.hiponline.com/257/bellamy-brothers.html"} +{"d:Title": "Matraca Berg", "d:Description": "Official website for country music singer-songwriter Matraca Berg, with a biography, news and tour information, discography, and gallery.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Berg,_Matraca", "url": "http://www.matraca.com/"} +{"d:Title": "Clint Black", "d:Description": "Official site includes biography, awards, discography, lyrics, pictures, and fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Black,_Clint", "url": "http://www.clintblack.com/"} +{"d:Title": "Artist Direct: Clint Black", "d:Description": "Biography, photos, tour dates, discography with ratings and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Black,_Clint", "url": "http://www.artistdirect.com/artist/clint-black/404738"} +{"d:Title": "All Music Guide: Clint Black", "d:Description": "Biography of the Texas singer/songwriter with musical influences, a comprehensive discography, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Black,_Clint", "url": "http://www.allmusic.com/artist/clint-black-mn0000792370"} +{"d:Title": "Suzy Bogguss", "d:Description": "Official site includes news, biography, discography, tour dates, photos, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Bogguss,_Suzy", "url": "http://www.bogguss.com/"} +{"d:Title": "Spectacular Suzy Bogguss", "d:Description": "News, tour dates, career highlights, newsletter, and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Bogguss,_Suzy", "url": "http://www.angelfire.com/country/Suzy/"} +{"d:Title": "Sarah's Paul Brandt Fan Page", "d:Description": "Includes fan photos, tour dates, news, and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brandt,_Paul", "url": "http://stay_calm.tripod.com/"} +{"d:Title": "The Official Paul Brandt Website", "d:Description": "Biography, show dates, store, music, and news for the singer from Alberta, Canada.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brandt,_Paul", "url": "http://www.paulbrandt.com/"} +{"d:Title": "Artist Direct: Chad Brock", "d:Description": "Biography, photos, audio clips, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brock,_Chad", "url": "http://www.artistdirect.com/artist/chad-brock/715147"} +{"d:Title": "Planet Garth", "d:Description": "Includes multimedia files, photos, news archive, trivia, chords and tablatures, lyrics, album information, and message forums.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.planetgarth.com/"} +{"d:Title": "Garth Brooks Info&Quotes", "d:Description": "Features quotes, reviews, poetry, message board, pictures, and general information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://members.tripod.com/~Aspersdream/"} +{"d:Title": "Garth Brooks' World", "d:Description": "Includes lyrics, audio files, pictures, discography, and a chat room.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://garthbrooksworld.tripod.com/"} +{"d:Title": "Garth Brooks Fansite", "d:Description": "Message forum. Music Fan Club membership is needed to access the main fansite.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.musicfanclubs.org/garthoholic/indexf.html"} +{"d:Title": "Garth Brooks Downunder", "d:Description": "Includes a biography, news, photos, and information about the albums.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://home.mira.net/~rachael/garth.html"} +{"d:Title": "Garthland", "d:Description": "Includes facts, discography and a list of awards Garth has received.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.angelfire.com/sc/jaxpages/"} +{"d:Title": "Garth Central", "d:Description": "Yahoo! fan club. Includes chat room, message boards, news, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://groups.yahoo.com/group/garthcentral7/"} +{"d:Title": "Entertainment Ave: Garth Brooks", "d:Description": "Review of a concert at The Bradley Center in Milwaukee, Wisconsin.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/b/garth_brooks/gb041196.htm"} +{"d:Title": "RollingStone.com: Garth Brooks", "d:Description": "Includes a biography, photo gallery, news, trivia, discography, album reviews, and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.rollingstone.com/music/artists/garth-brooks"} +{"d:Title": "Yahoo Music: Garth Brooks", "d:Description": "Biography, photos, audio and video clips, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://new.music.yahoo.com/garth-brooks/"} +{"d:Title": "AskMen.com: Garth Brooks", "d:Description": "Pictures, biography, and commentary.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.askmen.com/celebs/men/entertainment_60/64_garth_brooks.html"} +{"d:Title": "Garth Brooks", "d:Description": "Official site includes biography, discography, news, Q&A feature and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.garthbrooks.com/"} +{"d:Title": "All-Music Guide: Garth Brooks", "d:Description": "Biography and CD reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks,_Garth", "url": "http://www.allmusic.com/artist/p1535"} +{"d:Title": "Entertainment Ave: Brooks&Dunn and Reba McEntire", "d:Description": "Review of a concert with Terri Clark and David Kersh at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks_and_Dunn", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/reba/rm073198.htm"} +{"d:Title": "Slim's Place", "d:Description": "Includes several image galleries and songs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks_and_Dunn", "url": "http://rons_hangout.tripod.com/index.html"} +{"d:Title": "About.com: Brooks&Dunn Biography", "d:Description": "Biography, reviews, awards, and related links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks_and_Dunn", "url": "http://countrymusic.about.com/library/blbanddbio.htm"} +{"d:Title": "All Music Guide: Brooks and Dunn", "d:Description": "Biography of the duo from Louisiana and Texas, with musical influences, a comprehensive discography, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks_and_Dunn", "url": "http://www.allmusic.com/artist/brooks--dunn-p1534"} +{"d:Title": "Brooks and Dunn", "d:Description": "Biographies, tour dates, discography, pictures, and fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks_and_Dunn", "url": "https://brooksanddunn.wordpress.com/"} +{"d:Title": "Borderline", "d:Description": "Ronnie Lee and Joey \"Kix\" Hart performing as Brooks and Dunn. Includes song list and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brooks_and_Dunn/Tribute_Bands", "url": "http://brooksanddunntribute.tripod.com/"} +{"d:Title": "Junior Brown", "d:Description": "Official page with news, pictures, reviews, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brown,_Junior", "url": "http://www.juniorbrown.com/"} +{"d:Title": "MetroActive Music: Junior Brown", "d:Description": "Interview with Brown, May 31, 2001.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brown,_Junior", "url": "http://www.metroactive.com/papers/sonoma/05.31.01/jrbrown-0122.html"} +{"d:Title": "Canoe.ca: Junior Brown", "d:Description": "Reviews of Brown's performances.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Brown,_Junior", "url": "http://jam.canoe.com/Music/Artists/B/Brown_Junior/"} +{"d:Title": "Rambles.net: The Burns Sisters, Out of the Blue", "d:Description": "Review analyzes the sisters' \"Out of the Blue\" album.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/B/Burns_Sisters_Band,_The", "url": "http://www.rambles.net/burnssis_outblue.html"} +{"d:Title": "Caldwell, Russ", "d:Description": "Biography, show dates, news and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.russcaldwell.com/"} +{"d:Title": "Childs, Andy", "d:Description": "Official site with news, reviews, tour dates and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.andychilds.com/"} +{"d:Title": "Cash, Tommy", "d:Description": "Biography and booking information for country legend Johnny Cash's brother.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.tommycash.com/"} +{"d:Title": "Cannon, Brandi", "d:Description": "Biography, pictures, album information, mp3 audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://brandicannon.freeservers.com/index.html"} +{"d:Title": "Castle, Jeremy", "d:Description": "Original country music singer/songwriter. Photographs and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.angelfire.com/ok2/jeremycastle/"} +{"d:Title": "Cline, David", "d:Description": "Official site. Audio and video clips, reviews, biography, schedule of events, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.davidcline.com/"} +{"d:Title": "Cactus Country Band", "d:Description": "Band history, photo album, playlist, schedule, profiles of band members, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.cactuscountryband.com/"} +{"d:Title": "Crooks and Straights", "d:Description": "Musician profiles for the Croatian band, pictures, tour dates, mp3 audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://scena.hgu.hr/crooks&straights/eindex.htm"} +{"d:Title": "Conlee, John", "d:Description": "Official site. Includes tour dates, discography, merchandise, and guestbook.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://johnconlee.com/"} +{"d:Title": "Casey, Patti", "d:Description": "Official page for the Vermont singer/songwriter. Includes photos, news, album information, and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.patticasey.com/"} +{"d:Title": "City Moon", "d:Description": "Pictures and articles about this Oklahoma country band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.citymoon.net/"} +{"d:Title": "CrazyHeart", "d:Description": "News, biographies and a tour schedule of this Pennsylvania country band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.crazyheart.com/"} +{"d:Title": "Carton, Kevin", "d:Description": "Biography, show dates, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.angelfire.com/music4/countrykevin/"} +{"d:Title": "Casner, Lost John", "d:Description": "Includes show schedule, sound clips, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.lostjohn.com/"} +{"d:Title": "Crakajak", "d:Description": "Profile of the Australian band, photos, articles, album information, and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.crakajak.com/"} +{"d:Title": "Carford, Corinda", "d:Description": "Biography, album information, reviews and radio play, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www.corindacarford.com/"} +{"d:Title": "Cashen, Ralph", "d:Description": "Concert pictures of the Nova Scotia singer and songwriter, RealAudio and mp3 music samples, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://www3.sympatico.ca/ralphcashen/home.html"} +{"d:Title": "Cage, Bobby", "d:Description": "Biography, album information, news, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C", "url": "http://bobbycage.weebly.com/"} +{"d:Title": "LindaSue's Chris Cagle fansite", "d:Description": "News, photos, lyrics, fan reviews, message board and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cagle,_Chris", "url": "http://www.angelfire.com/country/wvtyfan/ChrisCagleIndex.html"} +{"d:Title": "Country Music Guide: Chris Cagle", "d:Description": "Interview with Cagle about his favorite music and his career, November 20, 2000.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cagle,_Chris", "url": "http://countrymusic.about.com/library/blchriscagleinterview.htm"} +{"d:Title": "Artist Direct: Jeff Carson", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carson,_Jeff", "url": "http://www.artistdirect.com/artist/jeff-carson/515821"} +{"d:Title": "CMT.com: Anita Carter", "d:Description": "The story of Anita as a member of the Carter family, with links to related music and a discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Anita", "url": "http://www.cmt.com/artists/anita-carter/"} +{"d:Title": "Entertainment Ave: Pam Tillis with Lorrie Morgan&Carlene Carter", "d:Description": "Review of a concert at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/t/pam_tillis/pt081896.htm"} +{"d:Title": "Carlene Carter - Country Vixen Extraordinare", "d:Description": "Tribute to the singer. Image gallery, description of pinball game, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://www.angelfire.com/country/carlenecarterhunt/"} +{"d:Title": "Carlene Carter goes her own way", "d:Description": "The 'Little Acts Of Treason' album is the focus of this Country Standard Time article.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://www.countrystandardtime.com/d/article.asp?fn=carlenecarter.asp"} +{"d:Title": "Yahoo! Groups - Carlene Carter", "d:Description": "Online discussion group for fans.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://groups.yahoo.com/group/carlenecarter/"} +{"d:Title": "Wikipedia: Carlene Carter", "d:Description": "Online encyclopedia's article about the singer/songwriter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://en.wikipedia.org/wiki/Carlene_Carter"} +{"d:Title": "NPR - Carlene Carter", "d:Description": "Singer's October 13, 2008 appearance on National Public Radio's 'Mountain Stage.'", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://www.npr.org/templates/story/story.php?storyId=95707642"} +{"d:Title": "CMT.com - Carlene Carter", "d:Description": "Country Music Television's web site. Includes a biography, discography, news, videos, and message boards.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://www.cmt.com/artists/carlene-carter/"} +{"d:Title": "All Music Guide - Carlene Carter", "d:Description": "Biography, discography, ratings, and reviews with links to related artists.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Carlene", "url": "http://www.allmusic.com/artist/carlene-carter-mn0000142050"} +{"d:Title": "AskMen.com: Deana Carter", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Deana", "url": "http://www.askmen.com/celebs/women/singer/37_deana_carter.html"} +{"d:Title": "Canoe.ca: Deana Carter", "d:Description": "Collection of articles on the singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter,_Deana", "url": "http://jam.canoe.com/Music/Artists/C/Carter_Deana/"} +{"d:Title": "The Carter Family", "d:Description": "Short biography of the Virginia family that shaped country music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter_Family", "url": "http://www.southernmusic.net/carterfamily.htm"} +{"d:Title": "The Carter Family: Will the Circle Be Unbroken", "d:Description": "History, discography, pictures, recent honors.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter_Family", "url": "http://www.stevenmenke.com/Carter%20Family%20.htm"} +{"d:Title": "Carter Family Memorial Music Center", "d:Description": "Official site of the center, The Carter Fold, and The Carter Family. Includes news and schedule.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter_Family", "url": "http://www.carterfamilyfold.org/"} +{"d:Title": "Will You Miss Me When I'm Gone? -- The Carter Family's Mountain Music", "d:Description": "New York Times review (by David Gates) of the book about the family, by Mark Zwonitzer and Charles Hirschberg.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Carter_Family", "url": "http://nytimes.com/2002/09/01/books/the-circle-unbroken.html"} +{"d:Title": "The Official Johnny Cash Page", "d:Description": "Profile, photos, news, reviews, audio, video, chat, merchandise, and career highlights.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.johnnycash.com/"} +{"d:Title": "Steve's Johnny Cash Home Page", "d:Description": "News, personal insights, photos, merchandise information, discography, hymns, liner notes, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.stevenmenke.com/homepage.htm"} +{"d:Title": "Country Legend Cash Dies", "d:Description": "\"Singer Johnny Cash, one of country music's most iconic stars, dies in the US aged 71.\" Obituary from BBC News.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://news.bbc.co.uk/2/hi/entertainment/3103164.stm"} +{"d:Title": "Fade To Black: Johnny Cash 1932-2003", "d:Description": "An in-depth article on the Man in Black from Unrated Magazine.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=127"} +{"d:Title": "Johnny Cash: An American Music Legend", "d:Description": "One man's take on Johnny Cash's career.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://sologuitarman.0catch.com/johnnycash1.html"} +{"d:Title": "The Man, the Legend", "d:Description": "Fan site covers the career of the Man in Black.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://thebaron84.tripod.com/"} +{"d:Title": "Johnny Cash", "d:Description": "Record label page. Biography with timeline, discography, news on new reissues, photos, and a video clip.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.johnnycashonline.com/"} +{"d:Title": "Wikipedia: Johnny Cash", "d:Description": "Article including a biography, consideration of his legacy, and lists of awards and notable works.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://en.wikipedia.org/wiki/Johnny_Cash"} +{"d:Title": "IMDb: Johnny Cash", "d:Description": "Johnny Cash the actor.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.imdb.com/name/nm0143602/?fr=c2l0ZT1kZnxteD0yMHxzZz0xfGxtPTIwMHxwbj0wfHE9am9obm55IGNhc2h8aHRtbD0xfG5tPW9u;fc=1;ft=20;fm=1"} +{"d:Title": "Topix: Johnny Cash", "d:Description": "News about Johnny Cash, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.topix.com/rss/who/johnny-cash.xml"} +{"d:Title": "RollingStone.com: Johnny Cash", "d:Description": "Profile, discography, album reviews, message boards, and trivia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.rollingstone.com/music/artists/johnny-cash"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://rockhall.com/inductees/johnny-cash/"} +{"d:Title": "All Music Guide: Johnny Cash", "d:Description": "Bio, discography, influences and followers of The Man In Black.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.allmusic.com/artist/p1548"} +{"d:Title": "MTV: Johnny Cash", "d:Description": "Review of his career.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny", "url": "http://www.cmt.com/artists/johnny-cash/"} +{"d:Title": "Coleman, Keith", "d:Description": "Johnny Cash impersonator for hire in the Tampa Bay area. Includes calendar and biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny/Tribute_Acts", "url": "http://www.johnnycash1.com/"} +{"d:Title": "Ring of Fire, The", "d:Description": "Devon, England based Johnny Cash tribute band. Includes photos and song list.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Johnny/Tribute_Acts", "url": "http://www.theringoffire.co.uk/"} +{"d:Title": "June Carter Cash TV Guide Article", "d:Description": "Cash recording in the tradition of the Carter Family. Links to family history.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_June_Carter", "url": "http://www.stevenmenke.com/CarterFamilyJuneTVGuideArticleNo9%20.htm"} +{"d:Title": "Shakedown Music: June Carter Cash", "d:Description": "Review of \"Press On\" with pictures and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_June_Carter", "url": "http://www.cdshakedown.com/051499.htm"} +{"d:Title": "Metroactive Arts: June Carter Cash", "d:Description": "Article reports the release of \"Press On\" with an interview and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_June_Carter", "url": "http://www.metroactive.com/papers/metro/05.13.99/art-cash-9919.html"} +{"d:Title": "USATODAY.com: June Carter Cash Lauded at Funeral", "d:Description": "Information about the life of the singer and her relationship with her husband.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_June_Carter", "url": "http://www.usatoday.com/life/2003-05-18-carter-funeral_x.htm"} +{"d:Title": "Wildwood Flowers", "d:Description": "Musical celebrates the life and music of June Carter Cash.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_June_Carter", "url": "http://www.wildwoodflowers.net/"} +{"d:Title": "The Genuine Official Rosanne Cash Web Site", "d:Description": "Official site for updated news and tour information, press articles, album reviews, music and video, and photos.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Rosanne", "url": "http://www.rosannecash.com/"} +{"d:Title": "Popentertainment.com: Rosanne Cash - Rules of Returning", "d:Description": "The acclaimed singer-songwriter discusses the voyage that led to the album 'Rules of Travel' with Jay S. Jacobs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Rosanne", "url": "http://www.popentertainment.com/rosannecash.htm"} +{"d:Title": "Salon.com: Rosanne Cash - Not every question has an answer", "d:Description": "She talks about her ailing dad, the Dixie Chicks and the war, losing her voice and the new album that helped her find it again.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Rosanne", "url": "http://www.salon.com/2003/04/09/cash_6/"} +{"d:Title": "CMT.com: Roseanne Cash", "d:Description": "Photos and downloads for the artist.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Rosanne", "url": "http://www.cmt.com/artists/rosanne-cash/"} +{"d:Title": "CMT.com: Rosanne Cash Rediscovers Her Voice on 'Rules'", "d:Description": "Interview about her album 'Rules of Travel.' (March 26, 2003)", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Rosanne", "url": "http://www.cmt.com/news/1470724/rosanne-cash-rediscovers-her-voice-on-rules/"} +{"d:Title": "All Music Guide: Rosanne Cash", "d:Description": "A detailed look at her career.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cash,_Rosanne", "url": "http://www.allmusic.com/artist/rosanne-cash-mn0000285272"} +{"d:Title": "Kasey Chambers Fan Group", "d:Description": "An e-mail discussion group for the fans of Kasey Chambers. Come and talk about her gigs, her music and share your thoughts with other fans of Kasey Chambers.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chambers,_Kasey", "url": "http://groups.yahoo.com/group/kaseychambers"} +{"d:Title": "AskMen.com: Kasey Chambers", "d:Description": "Includes pictures, commentary, and biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chambers,_Kasey", "url": "http://www.askmen.com/celebs/women/singer_150/168_kasey_chambers.html"} +{"d:Title": "MTV: Kasey Chambers", "d:Description": "Kasey Chambers music news, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chambers,_Kasey", "url": "http://www.cmt.com/artists/kasey-chambers/"} +{"d:Title": "Hiponline.com: Kasey Chambers", "d:Description": "Information and reviews of releases from Kasey Chambers.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chambers,_Kasey", "url": "http://hiponline.com/586/kasey-chambers.html"} +{"d:Title": "TinMan: the Kenny Chesney Discussion List", "d:Description": "A discussion list for fans of Kenny Chesney hosted by Yahoo! Groups. Their motto is \"All Kenny, all the time!\"", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chesney,_Kenny", "url": "http://groups.yahoo.com/group/TinMan"} +{"d:Title": "Kenny Chesney", "d:Description": "Official website. Profile of the artist, discography, photo gallery, news, and fan club membership details.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chesney,_Kenny", "url": "http://www.kennychesney.com/"} +{"d:Title": "AskMen.com: Kenny Chesney", "d:Description": "Pictures, biography, and ratings, with a critique of the star's music and style.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chesney,_Kenny", "url": "http://www.askmen.com/celebs/men/entertainment_150/181_kenny_chesney.html"} +{"d:Title": "The Kenny Chesney Webring", "d:Description": "This is the original webring that brings together Kenny Chesney fan sites.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chesney,_Kenny", "url": "http://www.webring.org/hub?ring=kennychesney"} +{"d:Title": "CMT.com: Kenny Chesney", "d:Description": "Includes news, photos, and tour dates for the country superstar.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chesney,_Kenny", "url": "http://www.cmt.com/artists/kenny-chesney/"} +{"d:Title": "Mark Chesnutt", "d:Description": "Official site. Features fan club, tour schedule, biography, downloads, news, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Chesnutt,_Mark", "url": "http://www.markchesnutt.com/"} +{"d:Title": "All Music Guide: Claudia Church", "d:Description": "Biography, and review of her album.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Church,_Claudia", "url": "http://www.allmusic.com/artist/claudia-church-mn0000786690"} +{"d:Title": "CMT.com: Claudia Church", "d:Description": "Includes news and biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Church,_Claudia", "url": "http://www.cmt.com/artists/claudia-church/"} +{"d:Title": "Canoe.ca: Roy Clark", "d:Description": "Articles and an interview with Clark about his music and the Branson theater.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Clark,_Roy", "url": "http://jam.canoe.com/Music/Artists/C/Clark_Roy/"} +{"d:Title": "Entertainment Ave: Terri Clark", "d:Description": "Review of a concert with Reba McEntire, Brooks and Dunn, and David Kersh at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Clark,_Terri", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/reba/rm073198.htm"} +{"d:Title": "Terri Clark", "d:Description": "Official site from Mercury Nashville with news, games, message boards, wallpapers, and contests.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Clark,_Terri", "url": "http://www.terriclark.com/"} +{"d:Title": "Entertainment Ave: George Strait with Terri Clark", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Clark,_Terri", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/george_strait/gs091396.htm"} +{"d:Title": "Clark Family Experience", "d:Description": "Fan page with biographies and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Clark_Family_Experience", "url": "http://www.clarkboys.s5.com/"} +{"d:Title": "A Tribute to Patsy Cline", "d:Description": "Patsy's life, recording career, recording sessions, pictures of her and from Winchester sites and from the crash site, and Patsy related news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cline,_Patsy", "url": "http://www.patsy.nu/"} +{"d:Title": "Patsy Cline", "d:Description": "Biography, awards, fan club, map to Cline birthplace in Winchester, Virginia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cline,_Patsy", "url": "http://www.patsycline.com/"} +{"d:Title": "Patsified!", "d:Description": "Patsy Cline's life and music celebrated by The Homesick Texan. Rare photos, lyrics, WAVs, RealAudio, information, fan tributes, and games.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cline,_Patsy", "url": "http://patsified.com/"} +{"d:Title": "Pasty Cline: Song Collection", "d:Description": "Full length wav files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cline,_Patsy", "url": "http://jdsb28.tripod.com/PCline.htm"} +{"d:Title": "The Lady, the Legend", "d:Description": "Fan page features biography, discography, photos, links, and a visit to Winchester, VA.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cline,_Patsy", "url": "http://www.patsycline.info/"} +{"d:Title": "Patsy Cline - A Fan's Tribute", "d:Description": "Guy Cesario's site includes photos, links and an essay in tribute to Patsy.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cline,_Patsy", "url": "http://www.patsyclinetribute.com/"} +{"d:Title": "Love Always, Patsy", "d:Description": "Tribute page includes stories, photos, lyrics, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cline,_Patsy", "url": "http://heavens-gates.com/_patsy/"} +{"d:Title": "Cochran, Hank", "d:Description": "Audiogrid.com review of \"Living For a Song\".", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cochran,_Hank", "url": "http://audiogrid.com/hc/"} +{"d:Title": "Countrymusic.about.com: Tammy Cochran", "d:Description": "Review of the album \"Tammy Cochran\" includes a biography and an interview.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cochran,_Tammy", "url": "http://countrymusic.about.com/library/bltammycochranrev.htm"} +{"d:Title": "CMT.com: Tammy Cochran", "d:Description": "Reviews, photos, and downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cochran,_Tammy", "url": "http://www.cmt.com/artists/tammy-cochran/"} +{"d:Title": "Kellie Coffey", "d:Description": "Official page for the singer from Oklahoma, with biography, news, tour dates, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Coffey,_Kellie", "url": "http://www.kelliecoffey.com/"} +{"d:Title": "CMT.com: Kellie Coffey", "d:Description": "Reviews, photos, and downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Coffey,_Kellie", "url": "http://www.cmt.com/artists/kellie-coffey/"} +{"d:Title": "Mark Collie Foundation: Celebrity Race for Diabetes Cure", "d:Description": "Information about this country star's organization and how to get involved.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Collie,_Mark", "url": "http://www.markcollie.org/"} +{"d:Title": "Mike's Jessi Colter Page", "d:Description": "Fan page includes pictures of Jessi and the Jennings family.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Colter,_Jessi", "url": "http://sheetsm.tripod.com/jessi.html"} +{"d:Title": "Artistdirect.com: Jessi Colter", "d:Description": "Biography and discography, with links to other music pages.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Colter,_Jessi", "url": "http://www.artistdirect.com/artist/jessi-colter/416617"} +{"d:Title": "Confederate Railroad", "d:Description": "Official site. Band history, concert pictures, discograpny, merchandise, audio clips, tour schedule, fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Confederate_Railroad", "url": "http://www.confederaterailroad.net/"} +{"d:Title": "CMT.com: Confederate Railroad", "d:Description": "Band information, reviews, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Confederate_Railroad", "url": "http://www.cmt.com/artists/confederate-railroad/"} +{"d:Title": "Earl Thomas Conley", "d:Description": "Official site. Includes biography, tour dates, new releases, and newsletter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Conley,_Earl_Thomas", "url": "http://www.earlthomasconley.com/"} +{"d:Title": "Delafont.com - Cowboy's Nightmare", "d:Description": "Profile of the all-girl country music group. Includes booking information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cowboy's_Nightmare", "url": "http://www.delafont.com/music_acts/Cowboys-Nightmare.htm"} +{"d:Title": "All Music Guide: Cowboy's Nightmare", "d:Description": "Album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cowboy's_Nightmare", "url": "http://www.allmusic.com/artist/p481400"} +{"d:Title": "Cowboy's Nightmare", "d:Description": "Houston, Texas based band. Includes photos and biographies.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cowboy's_Nightmare", "url": "http://www.cowboysnightmare.com/"} +{"d:Title": "Space Age Pop: Floyd Cramer", "d:Description": "Biography and discography of the country pianist.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cramer,_Floyd", "url": "http://spaceagepop.com/cramer.htm"} +{"d:Title": "Rock and Roll Hall of Fame: Floyd Cramer", "d:Description": "Inductee profile.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/C/Cramer,_Floyd", "url": "http://rockhall.com/inductees/floyd-cramer/"} +{"d:Title": "Di Anne", "d:Description": "South Mississippi singer, offering news and information, streaming audio, photos, awards, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.dianne1.com/"} +{"d:Title": "The Dang-Its", "d:Description": "Band member profiles, schedule of shows, concert pictures, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.dang-its.com/"} +{"d:Title": "Dennis, Tanya", "d:Description": "Biography with song list for her album \"Waterdance\" and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.tanyadennis.com/"} +{"d:Title": "Dixie Cadillacs", "d:Description": "Profile of the band on Delafont.com. Includes contact and booking information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.delafont.com/music_acts/dixie-cadillacs.htm"} +{"d:Title": "Devin, Rick", "d:Description": "Lyrics, photographs, audio samples and a biography of this singer/songwriter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.rickdevin.com/"} +{"d:Title": "Davis, Skeeter", "d:Description": "Fansite for this pioneering female vocalist. Personal history, discography, photo gallery, CD ordering information, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.ronstadt-linda.com/skeeterdavis/index.html"} +{"d:Title": "Dereemer, Todd", "d:Description": "Profile, pictures, CDs, and schedule for the Wyoming based singer/songwriter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.todddereemer.com/"} +{"d:Title": "Daughters of the Purple Sage", "d:Description": "Page celebrates the trio known for their old time favorites in three-part harmony. Includes show dates, recordings, and music downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.thedaughters.com/"} +{"d:Title": "Donizeti", "d:Description": "Biography, discography, and links for the Brazilian boy singer famous in the 1980s. O menino Boiadeiro album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://donizeti.50megs.com/"} +{"d:Title": "Dean, Andrew", "d:Description": "Strong vocals and country roots. Singer, songwriter and high energy entertainer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.andrewdean.us/"} +{"d:Title": "Dalton, Lacy J.", "d:Description": "Site offers biography, discography, fan club information, lyrics, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D", "url": "http://www.lacyjdalton.org/"} +{"d:Title": "The Charlie Daniels Band", "d:Description": "Official website includes a complete discography, tour dates, merchandise, photographs, and fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Daniels,_Charlie", "url": "http://www.charliedaniels.com/"} +{"d:Title": "CDB Fans", "d:Description": "A Charlie Daniels Band fan page with lyrics, news, concert dates, an in-depth biography, booking information, audio and stories about the band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Daniels,_Charlie", "url": "http://www.cdbfan.com/"} +{"d:Title": "The Official Linda Davis Web Site", "d:Description": "Biography, message forums, photographs, tour schedule, profiles of band members, audio samples, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Davis,_Linda", "url": "http://www.lindadavis.com/"} +{"d:Title": "Artist Direct: Linda Davis", "d:Description": "Photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Davis,_Linda", "url": "http://www.artistdirect.com/artist/linda-davis/421015"} +{"d:Title": "Artistdirect.com: Jennifer Day", "d:Description": "Music downloads, discography, and commentary.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Day,_Jennifer", "url": "http://www.artistdirect.com/music/artist/card/0,,920764,00.html"} +{"d:Title": "CMT: Jennifer Day", "d:Description": "News, biography, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Day,_Jennifer", "url": "http://www.cmt.com/artists/jennifer-day/"} +{"d:Title": "The Delmore Brothers", "d:Description": "Biography, song list, links, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Delmore_Brothers,_The", "url": "http://www.delmorebrothers.net/"} +{"d:Title": "Diamond Rio", "d:Description": "Official page features news, store, photos, and music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Diamond_Rio", "url": "http://www.diamondrio.com/"} +{"d:Title": "Entertainment Ave: Joe Diffie", "d:Description": "Review of a concert with Jo Dee Messina and Toby Keith at The Rosemont Theatre in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Diffie,_Joe", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/joe_diffie/jd050496.htm"} +{"d:Title": "Diffie, Joe", "d:Description": "Official Home Page of Joe Diffie.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Diffie,_Joe", "url": "http://www.joediffie.com/"} +{"d:Title": "Dixie Chicks", "d:Description": "Official site. Includes a fan page, music section, and news.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks", "url": "http://www.dixiechicks.com/"} +{"d:Title": "NPR: Dixie Chicks", "d:Description": "Collection of streaming audio files, including a look at the effect of the band's outspokenness on 2006 tour ticket sales.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks", "url": "http://www.npr.org/templates/story/story.php?storyId=5742229"} +{"d:Title": "Artist Direct: Dixie Chicks", "d:Description": "Includes photographs, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks", "url": "http://www.artistdirect.com/nad/store/movies/principal/0,,2277215,00.html"} +{"d:Title": "AskMen.com : Dixie Chicks", "d:Description": "Collection of pictures, biography, links and information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks", "url": "http://www.askmen.com/celebs/women/singer/24_dixie_chicks.html"} +{"d:Title": "MTV: Dixie Chicks", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks", "url": "http://www.cmt.com/artists/dixie-chicks/"} +{"d:Title": "Dixie Chicks Henhouse", "d:Description": "Offers radio show interview transcripts, list of past and upcoming TV appearances, the groups pledge, up-to-date news plus trivia, photographs, caricatures, lyrics and biographies.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks/Fan_Pages", "url": "http://chickoholic.tripod.com/DixieChicks/"} +{"d:Title": "Not Just Whistlin' Dixie", "d:Description": "Information, news, audio clips, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks/Fan_Pages", "url": "http://whistlingdixie.tripod.com/"} +{"d:Title": "ChicksFeet.com", "d:Description": "Tribute to the group and its members. Offers past and present discography, lyrics collection, message board, several photo galleries and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks/Fan_Pages", "url": "http://www.chicksfeet.com/"} +{"d:Title": "The All-Inclusive Dixie Chicks Page", "d:Description": "Tribute site to the group created in 1998 by Robert Brooks. Offers a group timeline, discography, chat archive, photographs of the group, and history of the site.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks/Fan_Pages", "url": "http://rbrooks.tripod.com/"} +{"d:Title": "Natalie's Place", "d:Description": "Photographs, information, articles, and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks/Maines,_Natalie", "url": "http://nataliemaines.freeservers.com/"} +{"d:Title": "Natalie Maines Website", "d:Description": "Fan site with polls and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dixie_Chicks/Maines,_Natalie", "url": "http://www.angelfire.com/country/nataliemaines/"} +{"d:Title": "Jerry Douglas", "d:Description": "Official page for the Dobro specialist, with biography, discography, photos, show dates, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Douglas,_Jerry", "url": "http://www.jerrydouglas.com/"} +{"d:Title": "The Best Dobro Player Around", "d:Description": "Fan site features a musical appreciation, partial discography, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Douglas,_Jerry", "url": "http://www.well.com/user/wellvis/douglas.html"} +{"d:Title": "BBC News", "d:Description": "Report of Slim Dusty's death from cancer on 19th September 2003.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dusty,_Slim", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/3122314.stm"} +{"d:Title": "BBC News", "d:Description": "Report of the State funeral for Slim Dusty held on 26th September 2003.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dusty,_Slim", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/3141982.stm"} +{"d:Title": "Slim Dusty", "d:Description": "Official site with biography, discography, awards, family, newsletter and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dusty,_Slim", "url": "http://www.slimdusty.com.au/"} +{"d:Title": "Wikipedia", "d:Description": "Entries for Slim Dusty including biographical information and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dusty,_Slim", "url": "http://en.wikipedia.org/wiki/Slim_Dusty"} +{"d:Title": "MTV - Slim Dusty", "d:Description": "Artist information, including news, biography, and albums.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/D/Dusty,_Slim", "url": "http://www.cmt.com/artists/slim-dusty/"} +{"d:Title": "Except-2", "d:Description": "Evolution of the Dutch band's music, album information, audio clips, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E", "url": "http://www.xs4all.nl/~except2/indexgb.html"} +{"d:Title": "Edwards, Joe", "d:Description": "Biography of the Grand Ole Opry's staff fiddler, with news, coming events, teaching videos, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E", "url": "http://www.joeedwards.com/"} +{"d:Title": "Everett, Kyle", "d:Description": "Biography, pictures, and an annotated song list for Everett's album \"Water for My Horses.\"", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E", "url": "http://www.kyleeverett.com/"} +{"d:Title": "Edenton, Ray", "d:Description": "Biography of the Nashville guitar, mandolin, banjo, and ukulele player, with pictures and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E", "url": "http://www.nashvillesound.net/rayedenton.htm"} +{"d:Title": "Edwards, Don", "d:Description": "Songster, guitarist and composer of traditional country music from the American West. Includes biography, song snippets, newsletter, schedule, and catalog.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E", "url": "http://www.donedwardsmusic.com/"} +{"d:Title": "Kathleen Edwards", "d:Description": "Official page for the musician from Ottawa, with photos, biography, news, audio clips, tour dates, and fan messages.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Edwards,_Kathleen", "url": "http://www.kathleenedwards.com/"} +{"d:Title": "Rounder Records: Kathleen Edwards", "d:Description": "Record label site features biography, photos, audio and video clips, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Edwards,_Kathleen", "url": "http://www.rounder.com/artists/kathleen-edwards"} +{"d:Title": "Emerson Drive Fans", "d:Description": "Fan page features news, photos, tour dates, and the band's history.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Emerson_Drive", "url": "http://emersondrivefans.tripod.com/"} +{"d:Title": "Emerson Drive", "d:Description": "Fan page features band profile, lyrics, fan features, news, and review.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Emerson_Drive", "url": "http://emersondrive.homestead.com/"} +{"d:Title": "Emerson Drive", "d:Description": "Official page features photos, concert reviews, news, tour dates, and lyrics.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Emerson_Drive", "url": "http://emersondriverocks.tripod.com/"} +{"d:Title": "Ty England", "d:Description": "Official page features a biography, news, pictures, fan club, album information, and jokes.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/England,_Ty", "url": "http://www.tyengland.com/"} +{"d:Title": "Sara Evans", "d:Description": "Official page has Flash introduction, audio and video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Evans,_Sara", "url": "http://www.saraevans.com/"} +{"d:Title": "iMusic Country Showcase: Sara Evans", "d:Description": "Biography, pictures, audio clips, discography with ratings, links, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Evans,_Sara", "url": "http://www.artistdirect.com/artist/sara-evans/580233"} +{"d:Title": "AskMen.com: Sara Evans", "d:Description": "Pictures, biography, and commentary. Page features a review of the singer's talent, looks, style, and fan appeal.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/E/Evans,_Sara", "url": "http://www.askmen.com/celebs/women/singer_100/110_sara_evans.html"} +{"d:Title": "Frizzell, David", "d:Description": "Official page with biography, news, tour dates, reviews, merchandise, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F", "url": "http://www.davidfrizzell.com/"} +{"d:Title": "Fickel, Jason", "d:Description": "Biography, music samples, and coming events for the Mississippi Delta blues singer/songwriter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F", "url": "http://jasonfickel.com/"} +{"d:Title": "Fisk, Donna, and Michael Cristian", "d:Description": "Biography and pictures of the Australian duo. Includes lyrics and sound clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F", "url": "http://www.fiskandcristian.com/"} +{"d:Title": "Fricke, Janie", "d:Description": "Official site for country music award winning singer. Includes discography, artist's facts, biography, audio samples, tour dates and newsletter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F", "url": "http://www.janiefricke.com/"} +{"d:Title": "Ford, Neil", "d:Description": "Biography, photos, news, new music releases, and downloads for the California artist.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F", "url": "http://www.neilford.com/"} +{"d:Title": "ARTISTdirect: Kevin Fowler", "d:Description": "Features downloads, music videos, biography, and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Fowler,_Kevin", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,1081093,00.html"} +{"d:Title": "Kevin Fowler Band", "d:Description": "Country music from Austin, Texas. News, tour information, photos, MP3 files, and store.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Fowler,_Kevin", "url": "http://www.kevinfowler.com/"} +{"d:Title": "CMT.com: Kevin Fowler", "d:Description": "Includes news, biography, message boards, song clips, and videos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Fowler,_Kevin", "url": "http://www.cmt.com/artists/kevin-fowler/"} +{"d:Title": "Rockabilly Hall of Fame: Lefty Frizzell", "d:Description": "Tribute page with pictures and memories of the country legend.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Frizzell,_Lefty", "url": "http://www.rockabillyhall.com/LeftyFrizzell.html"} +{"d:Title": "West Texas Music Hall of Fame: Lefty Frizzell", "d:Description": "Biography with pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Frizzell,_Lefty", "url": "http://www.westexmusichof.com/artists/leftyfrizell.html"} +{"d:Title": "Artist Direct: Lefty Frizzell", "d:Description": "Biography and a discography with ratings.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Frizzell,_Lefty", "url": "http://www.artistdirect.com/artist/lefty-frizzell/433104"} +{"d:Title": "All Music Guide: Lefty Frizzell", "d:Description": "Musical influences and a comprehensive discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Frizzell,_Lefty", "url": "http://www.allmusic.com/artist/p1617"} +{"d:Title": "Nytimes.com: What a Short, Strange Icelandic Trip It's Been", "d:Description": "Report of a road trip with the Iceland band that records in English. Registration required. (November 7, 2001)", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/F/Funerals,_The", "url": "http://www.nytimes.com/2001/11/07/arts/music/07ICEL.html?searchpv=nytToday"} +{"d:Title": "Gilray, Janet and Gold Country", "d:Description": "Album information and news of the California band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G", "url": "http://www.angelfire.com/music2/goldcountry/index.html"} +{"d:Title": "Griff, Ray", "d:Description": "Official page for the Canadian performer, with biography, song list, album information, audio samples, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G", "url": "http://www.raygriff.com/"} +{"d:Title": "Graham, Mike", "d:Description": "New Texas country artist. Features press kit, merchandise, lyrics, photographs, and schedule.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G", "url": "http://www.mikegraham.com/"} +{"d:Title": "Girl Howdy", "d:Description": "Official page of the New England honky tonk band, with show dates, photos, and profiles of members Rose Sinclair, Betsy-Dawn Williams, Paula Bradley, and Natalya Weinstein-Roberts.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G", "url": "http://www.girlhowdymusic.homestead.com/"} +{"d:Title": "Crystal Gayle", "d:Description": "Official site. Includes tour schedule, photogprahss, merchandise, news, and biography.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gayle,_Crystal", "url": "http://www.crystalgayle.com/"} +{"d:Title": "Crystal Gayle's Place", "d:Description": "Fan site with pictures, links, RealAudio, message boards, and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gayle,_Crystal", "url": "http://www.patswayne.com/crystal/"} +{"d:Title": "Classic Crystal Corner", "d:Description": "Fan site with photographs, information on the singer, and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gayle,_Crystal", "url": "http://www.angelfire.com/fl/angelpog/crystal.html"} +{"d:Title": "Vince Gill", "d:Description": "Official web site of Vince Gill. Photos, biography, merchandise, fan club, news and forums.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gill,_Vince", "url": "http://www.vincegill.com/"} +{"d:Title": "Artist Direct: Vince Gill", "d:Description": "Biography, links, message board, and sound files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gill,_Vince", "url": "http://www.artistdirect.com/artist/vince-gill/435570"} +{"d:Title": "MTV: Vince Gill", "d:Description": "Music news, links, music videos, audio samples, biography, discography, forums and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gill,_Vince", "url": "http://www.cmt.com/artists/vince-gill/"} +{"d:Title": "Vince Gill", "d:Description": "Record label site features music, news, timeline, discography, and fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gill,_Vince", "url": "http://www.umgnashville.com/our-artists/vince-gill/"} +{"d:Title": "Mickey Gilley", "d:Description": "Official site. Includes biography, links to the artist's theaters in Branson, products, and photographs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gilley,_Mickey", "url": "http://www.gilleys.com/"} +{"d:Title": "All Music Guide: Mickey Gilley", "d:Description": "Includes biography, discography, music, and list of hits.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gilley,_Mickey", "url": "http://www.allmusic.com/artist/p1627"} +{"d:Title": "Forever Hearts", "d:Description": "Fan Site. News, tour dates, survey, album information, and fan experiences.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gilman,_Billy", "url": "http://www.angelfire.com/la3/billygilman/"} +{"d:Title": "Home For Billy Gilman Fans", "d:Description": "Includes sound clips, video clips, photos, lyrics, news, biography, weekly photo and fan page.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gilman,_Billy", "url": "http://www.billygilmanfans.com/"} +{"d:Title": "Billy Gilman Harmony", "d:Description": "Includes audio and video clips, pictures, quotes, biography, lyrics, and wallpaper.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gilman,_Billy", "url": "http://www.angelfire.com/jazz/gilmanharmony/"} +{"d:Title": "Billy Gilman - Official Web Site", "d:Description": "Includes a fan mailing list, photos, news and tour information.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gilman,_Billy", "url": "http://www.billygilman.com/"} +{"d:Title": "Pat Green", "d:Description": "Biography, pictures, news, tour dates, merchandise, downloadable music, tablatures, and lyrics.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Green,_Pat", "url": "http://www.patgreen.com/"} +{"d:Title": "Country.com: Pat Green", "d:Description": "Profile with links to country music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Green,_Pat", "url": "http://www.cmt.com/artists/pat-green/"} +{"d:Title": "Adam Gregory - Official Web Site", "d:Description": "Welcome from Adam Gregory, CD information, forums, photos, news, tour information and send fan mail.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/G/Gregory,_Adam", "url": "http://www.adamgregory.com/"} +{"d:Title": "Highfill, George", "d:Description": "Includes a biography, photos, discography and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.georgehighfill.com/"} +{"d:Title": "Hodge, Phil", "d:Description": "Biography, songs from his debut CD, link to MP3 downloads, photos, merchandise, and fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://philhodge.indiegroup.com/"} +{"d:Title": "Hylton, Randall", "d:Description": "Musical profile, album information, fan memories.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.randallhyltonshow.com/"} +{"d:Title": "Hodge, Bobby", "d:Description": "Photos and biography for the former Grand Ole Opry singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://bobbyhodge.homestead.com/"} +{"d:Title": "Hill, Jerry", "d:Description": "News, brief profile, pictures, discography, and interview with the country singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://countryjerryhill.tripod.com/"} +{"d:Title": "Honky Tonkers", "d:Description": "Introduction to Maine country music band and members, Craig, Mike, and Jeff. Album selections, concert schedule, biographies, and contact information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://members.tripod.com/~honkytonkers/tonkers.html"} +{"d:Title": "Hess, Kenny", "d:Description": "Biography, discography, mp3 files, concert pictures, reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.kennyhess.com/"} +{"d:Title": "Hooper, Danny", "d:Description": "Pictures and biography of the Canadian singer/motivational speaker with tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.dannyhooper.com/"} +{"d:Title": "Hahn, Dave", "d:Description": "Biography, reviews, schedule, pictures, audio clips, lyrics, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://davehahn.com/"} +{"d:Title": "Hartman, Les and the Texas Thunder Band", "d:Description": "Official page for the singer/songwriter from Texas. Site includes biography, news, tour dates, photos, and band member contacts.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.leshartman.com/"} +{"d:Title": "Honky Tonk Confidential", "d:Description": "Profile of the Washington, D.C., band, photos, show dates, reviews, audio clips, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.honkytonkconfidential.com/"} +{"d:Title": "Hedges, Andy", "d:Description": "Official page for the cowboy poet and singer from Texas. Includes tour dates and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.andyhedges.com/"} +{"d:Title": "Hayden, Wes", "d:Description": "A biography, show dates, and audio samples from this Austin, Texas based singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.weshayden.com/"} +{"d:Title": "Hunley, Con", "d:Description": "Official site of the Smokey Mountain pianist and singer, with show dates, biography, and music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.conhunley.com/"} +{"d:Title": "Howard, Jan", "d:Description": "Official site for the long-time member of the Grand Ole Opry. Features a discography and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.janhoward.com/"} +{"d:Title": "Honky Tonk Experience", "d:Description": "Official page for band from Richmond, VA, with photos, show dates, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H", "url": "http://www.honkytonkexperience.com/"} +{"d:Title": "RollingStone.com: Merle Haggard", "d:Description": "Includes a biography, discography, photos, articles, audio files, links and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Haggard,_Merle", "url": "http://www.rollingstone.com/music/artists/merle-haggard"} +{"d:Title": "CMT: Merle Haggard", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Haggard,_Merle", "url": "http://www.cmt.com/artists/merle-haggard/"} +{"d:Title": "Wildoatsrecords.com: Steve Haggard", "d:Description": "Profile of the Nashville singer/songwriter/musician/producer with audio clips and articles.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Haggard,_Steve", "url": "http://www.wildoatsrecords.com/Haggard_Homepage.htm"} +{"d:Title": "Haggard, Steve", "d:Description": "Information, news, photos and song clips of the artist who plays a blend of country, blues, rockabilly and folk.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Haggard,_Steve", "url": "http://stevehaggard.freeservers.com/"} +{"d:Title": "Tom T Hall: Thinking Man's Country Music", "d:Description": "Detailed song by song analysis, top ten list and brief introduction.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Hall,_Tom_T.", "url": "http://www.morethings.com/music/tomt"} +{"d:Title": "CMT.com: Tom T. Hall", "d:Description": "Biography, news, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Hall,_Tom_T.", "url": "http://www.cmt.com/artists/tom-t-hall/"} +{"d:Title": "Wayne \"The Train\" Hancock", "d:Description": "Official website with biography, news, reviews, and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Hancock,_Wayne", "url": "http://www.waynehancock.com/"} +{"d:Title": "Joni Harms", "d:Description": "Official page of the cowgirl singer, songwriter, and rodeo queen. Features a discography, fan club, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Harms,_Joni", "url": "http://www.joniharms.com/"} +{"d:Title": "Country Standard Time: Joni Harms", "d:Description": "Review and interview with the artist. November, 2001.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Harms,_Joni", "url": "http://www.countrystandardtime.com/d/article.asp?fn=joniharms.asp"} +{"d:Title": "John Hartford", "d:Description": "Official page. Biography, pictures, discography, memorial messages, articles, music and commentary downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Hartford,_John", "url": "http://www.johnhartford.com/"} +{"d:Title": "Nytimes.com: At the End, John Hartford Fiddled His Way Back to His Beginnings", "d:Description": "Upon the release of \"Hamilton Ironworks,\" a retrospective look at Hartford's career.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Hartford,_John", "url": "http://www.nytimes.com/2001/11/11/arts/music/11PIAZ.html?searchpv=nytToday"} +{"d:Title": "SoundStage: Good Old Boys", "d:Description": "Review by Doug Blackburn of the John Hartford and the Hartford String Band album.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Hartford,_John", "url": "http://www.soundstage.com/music/reviews/rev196.htm"} +{"d:Title": "The Old-Time Herald: John Hartford", "d:Description": "Reminiscences from friends.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Hartford,_John", "url": "http://www.oldtimeherald.org/archive/back_issues/volume-8/8-1/full-hartford_remin.html"} +{"d:Title": "Ty Herndon Official Webspace", "d:Description": "Official site contains exclusive photos, tour dates, news, links and a merchandise page.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Herndon,_Ty", "url": "http://www.tyherndon.com/"} +{"d:Title": "Ty Herndon Super Site", "d:Description": "Fan page with news, tour dates, singles charts, photos, a biography and a fan forum.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Herndon,_Ty", "url": "http://www.angelfire.com/country/wvtyfan/COUNTRYSUPERSTARTYHERNDON.html"} +{"d:Title": "Artist Direct: Ty Herndon", "d:Description": "Photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Herndon,_Ty", "url": "http://www.artistdirect.com/artist/ty-herndon/514475"} +{"d:Title": "Steve Holy", "d:Description": "Official page for the Texas singer, with biography, photos, concert dates, fan club information, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Holy,_Steve", "url": "http://www.steveholy.com/"} +{"d:Title": "Rockabilly Hall of Fame : Johnny Horton", "d:Description": "Includes discography and extensive biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Horton,_Johnny", "url": "http://www.rockabillyhall.com/JohnnyHorton.html"} +{"d:Title": "Handbook of Texas Online: Horton, Johnny", "d:Description": "Brief biography of the artist.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Horton,_Johnny", "url": "http://www.tshaonline.org/handbook/online/articles/fhort"} +{"d:Title": "Official Ferlin Husky Web Site", "d:Description": "Features news, biography, events, booking contact, press and newsletter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/H/Husky,_Ferlin", "url": "http://www.ferlinhusky.com/"} +{"d:Title": "The Ultimate Sonya Isaacs Site", "d:Description": "Biography, pictures, news, tour dates, album information with lyrics.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/I/Isaacs,_Sonya", "url": "http://sonyaisaacs.tripod.com/index.htm"} +{"d:Title": "Johnson, Red", "d:Description": "Biography of the Midwestern singer with audio clips, lyrics, messages, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J", "url": "http://www.mkoc.com/RedJohnson/"} +{"d:Title": "Jones, Josh", "d:Description": "Profile and photos of the performer from the Midwest.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J", "url": "http://joshjones.20m.com/"} +{"d:Title": "Jensen, Graeme", "d:Description": "A biography, CD information and show times for this Australian country music artist.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J", "url": "http://www.graemejensen.com/"} +{"d:Title": "Jorgenson, Dave", "d:Description": "Official page for the Texas artist. Includes show schedule, sound clips, photos, and forum.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J", "url": "http://www.davejorgenson.com/"} +{"d:Title": "Jennings, Shooter", "d:Description": "Son of Waylon Jennings. Includes biography, journal, schedule, music, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J", "url": "http://www.shooterjennings.com/"} +{"d:Title": "Jack and Misty", "d:Description": "Husband and wife duo. Includes biography, news, discography, photographs, lyrics, guestbook, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J", "url": "http://birdwalk1.tripod.com/index.htm"} +{"d:Title": "Debbie's Alan Jackson Fan Page", "d:Description": "Picture galleries, video clips, games, and trivia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Alan", "url": "http://www.angelfire.com/music4/ajfan2/index.html"} +{"d:Title": "Alan Jackson Web Site", "d:Description": "Official website for this country music artist, including tour dates, discographies, biography and facts, fan club information, and merchandise for sale.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Alan", "url": "http://www.alanjackson.com/"} +{"d:Title": "Entertainment Ave: Alan Jackson", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Alan", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/j/alan_jackson/aj022396.htm"} +{"d:Title": "Topix: Alan Jackson", "d:Description": "News about Alan Jackson, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Alan", "url": "http://www.topix.com/rss/who/alan-jackson.xml"} +{"d:Title": "Artist Direct: Alan Jackson", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Alan", "url": "http://www.artistdirect.com/artist/alan-jackson/447981"} +{"d:Title": "CMT.com: Alan Jackson", "d:Description": "Biography, articles, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Alan", "url": "http://www.cmt.com/artists/alan-jackson/"} +{"d:Title": "Artistdirect.com: Stonewall Jackson", "d:Description": "Profile of the singer, a descendant of the Civil War general, with rated discography and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Stonewall", "url": "http://www.artistdirect.com/music/artist/card/0,,448178,00.html"} +{"d:Title": "CMT.com: Stonewall Jackson", "d:Description": "Biography, discography, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jackson,_Stonewall", "url": "http://www.cmt.com/artists/stonewall-jackson/"} +{"d:Title": "Artist Direct: Sonny James", "d:Description": "Includes biography, discography, message board, and products.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/James,_Sonny", "url": "http://www.artistdirect.com/artist/sonny-james/448568"} +{"d:Title": "Artist Direct: John Jennings", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_John", "url": "http://www.artistdirect.com/artist/john-jennings/449164"} +{"d:Title": "CMT.com: John Jennings", "d:Description": "Biography, articles, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_John", "url": "http://www.cmt.com/artists/john-jennings/"} +{"d:Title": "The Waylon Files of Howard Olson", "d:Description": "Includes history of the country singer, photographs, personal memories, and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_Waylon", "url": "http://howardolson.tripod.com/"} +{"d:Title": "Wikipedia: Waylon Jennings", "d:Description": "Encyclopedia article with biography, selected works, references, and external links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_Waylon", "url": "http://en.wikipedia.org/wiki/Waylon_Jennings"} +{"d:Title": "Waylon Jennings marched to his own outlaw beat", "d:Description": "Obituary for the artist at USA Today.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_Waylon", "url": "http://www.usatoday.com/life/music/2002/2002-02-13-jennings-obit.htm"} +{"d:Title": "Mike Sheets' Waylon World", "d:Description": "Fan site with photographs, news concerning the artist's legacy and works, and collectible items.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_Waylon", "url": "http://sheetsm.tripod.com/"} +{"d:Title": "Artist Direct: Waylon Jennings", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_Waylon", "url": "http://www.artistdirect.com/artist/waylon-jennings/449176"} +{"d:Title": "CMT.com: Waylon Jennings", "d:Description": "Features biography, message board, videos, and music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jennings,_Waylon", "url": "http://www.cmt.com/artists/waylon-jennings/"} +{"d:Title": "Official Website of Buddy Jewell", "d:Description": "Includes a biography, tour schedule, image gallery, fan club, and booking information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jewell,_Buddy", "url": "http://www.buddyjewell.com/"} +{"d:Title": "Carolyn Dawn Johnson", "d:Description": "Official page with a biography of the Canadian singer/songwriter, news, tour dates and diary, audio clips, wallpaper, and messages.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Johnson,_Carolyn_Dawn", "url": "http://www.cdj.com/"} +{"d:Title": "Michael Johnson: Singer, Recording Artist", "d:Description": "Official Web Site. Biography, articles, discography, photos, performance schedule, lyrics, tablature, merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Johnson,_Michael", "url": "http://www.mjblue.com/"} +{"d:Title": "Artist Direct: Michael Johnson", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Johnson,_Michael", "url": "http://www.artistdirect.com/artist/michael-johnson/449921"} +{"d:Title": "CMT.com: Michael Johnson", "d:Description": "Biography, articles, tour information, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Johnson,_Michael", "url": "http://www.cmt.com/artists/michael-johnson/"} +{"d:Title": "George Jones", "d:Description": "Official page, with a biography of \"The Possum,\" news, RealAudio and video, web board, tour schedule, fan club, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jones,_George", "url": "http://www.georgejones.com/"} +{"d:Title": "Artist Direct: George Jones", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jones,_George", "url": "http://www.artistdirect.com/artist/george-jones/450323"} +{"d:Title": "CMT.com: George Jones", "d:Description": "Biography, articles, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jones,_George", "url": "http://www.cmt.com/artists/george-jones/"} +{"d:Title": "Jam: George Jones", "d:Description": "Series of news articles includes information on the single-vehicle collision that almost claimed Jones' life.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jones,_George", "url": "http://jam.canoe.com/Music/Artists/J/Jones_George/"} +{"d:Title": "Who 2: Grandpa Jones Profile", "d:Description": "Personal statistics and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jones,_Grandpa", "url": "http://www.who2.com/bio/grandpa-jones"} +{"d:Title": "CMT.com: Grandpa Jones", "d:Description": "Biography, articles, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Jones,_Grandpa", "url": "http://www.cmt.com/artists/grandpa-jones-00/"} +{"d:Title": "Artist Direct: Scott Joss", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Joss,_Scott", "url": "http://www.artistdirect.com/artist/scott-joss/450800"} +{"d:Title": "Scott Joss", "d:Description": "The performer's page at CMT.com, which includes a biography, a discography, and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Joss,_Scott", "url": "http://www.cmt.com/artists/scott-joss/"} +{"d:Title": "CMT.com: Cledus T. Judd", "d:Description": "Biography, articles, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Judd,_Cledus_T.", "url": "http://www.cmt.com/artists/cledus-t-judd/"} +{"d:Title": "Wynonna Judd", "d:Description": "Biography, news, pictures, tour dates and reviews, annotated discography with audio clips, videography, fan club, chat transcript, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Judd,_Wynonna", "url": "http://www.wynonna.com/"} +{"d:Title": "CMT.com: Wynonna", "d:Description": "Biography, articles, tour information, discography, photos, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Judd,_Wynonna", "url": "http://www.cmt.com/artists/wynonna/"} +{"d:Title": "The Judds&Country Music Links", "d:Description": "Fan site. Music, history, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Judds,_The", "url": "http://members.tripod.com/~CraftyFireWife/The_Judds.html"} +{"d:Title": "Firewife's Judds Lyrics", "d:Description": "Lyrics to popular Judds songs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Judds,_The", "url": "http://members.tripod.com/~myfamilyonline/Judds_Lyrics.html"} +{"d:Title": "CMT.com: The Judds", "d:Description": "Biography, articles, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/J/Judds,_The", "url": "http://www.cmt.com/artists/the-judds/"} +{"d:Title": "KG and the Ranger", "d:Description": "Classic cowboy songs, harmony yodeling, rope tricks. Audio clips, album information, schedule, biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K", "url": "http://www.kgandtheranger.com/"} +{"d:Title": "Kirk, Mark Winston", "d:Description": "Biography of the singer from Texas, with tour dates and fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K", "url": "http://www.markwinstonkirk.com/"} +{"d:Title": "Kelly, Terry", "d:Description": "Biography of the Canadian singer/songwriter/motivational speaker/athlete with audio and video clips, pictures, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K", "url": "http://terry-kelly.com/"} +{"d:Title": "Toby Keith: Heart2Heart", "d:Description": "Fan page features photographs, articles, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Keith,_Toby", "url": "http://toby.keith.tripod.com/"} +{"d:Title": "Tokeco's Site for True Big Dog Warriors", "d:Description": "Toby Keith fan page with pictures, games, news, poems, and an archive of articles.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Keith,_Toby", "url": "http://tokeco.tripod.com/warriors/"} +{"d:Title": "Entertainment Ave: Toby Keith", "d:Description": "Review of a concert with Joe Diffie and Jo Dee Messina at The Rosemont Theatre in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Keith,_Toby", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/joe_diffie/jd050496.htm"} +{"d:Title": "Topix: Toby Keith", "d:Description": "News about Toby Keith, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Keith,_Toby", "url": "http://www.topix.com/rss/who/toby-keith.xml"} +{"d:Title": "Entertainment Ave: David Kersh", "d:Description": "Review of a concert with Reba McEntire, Brooks and Dunn, and Terri Clark at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Kersh,_David", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/reba/rm073198.htm"} +{"d:Title": "David Kersh: In Living Color", "d:Description": "Biography, lyrics, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Kersh,_David", "url": "http://dktv.8m.com/"} +{"d:Title": "CMT.com : Sammy Kershaw", "d:Description": "News, biography, message boards, and song clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Kershaw,_Sammy", "url": "http://www.cmt.com/artists/sammy-kershaw/"} +{"d:Title": "Hal Ketchum", "d:Description": "Official site includes biography, tour dates, fan club, video clips, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Ketchum,_Hal", "url": "http://www.halketchum.com/"} +{"d:Title": "Jodi's Hal Ketchum Page", "d:Description": "Features concert photos and a fan forum.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/Ketchum,_Hal", "url": "http://www.award-graphics.com/hk/"} +{"d:Title": "Artist Direct: Matt King", "d:Description": "Feature article with photo.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/K/King,_Matt", "url": "http://www.artistdirect.com/artist/matt-king/453487"} +{"d:Title": "Lee, Scooter", "d:Description": "News about Lee's CDs, tour schedule, pictures, choreography for dance steps, and dance instruction.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.scooterlee.com/"} +{"d:Title": "Lamont, Mary", "d:Description": "Canadian born country singer based on Long Island, nominated Best Country Act by the Long Island Music Awards.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.marylamont.com/"} +{"d:Title": "Los Nice Guys", "d:Description": "A South Texas blend of Country, Light Rock and Tejano music. The band plays all original music, written by Larry Magee.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.losniceguys.com/"} +{"d:Title": "Laferty, J. Micheal", "d:Description": "Official site. Album and appearance information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.jmlaferty.com/"} +{"d:Title": "Lambson, Carry Ann", "d:Description": "Biography, pictures, audio clips, links for country fans.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://carryann.8m.com/"} +{"d:Title": "Latigo Lace", "d:Description": "Profile of the Seattle band, with schedule, pictures, song list, and fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.latigolace.com/"} +{"d:Title": "The Largent Brothers", "d:Description": "Official page for the Pennsylvania musicians includes biography, photos, and a link to music downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://largentbrothers.homestead.com/Index.html"} +{"d:Title": "Lee, Vicki", "d:Description": "Tribute to the Georgia singer, with photos and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.vickileeshow.com/"} +{"d:Title": "Legends Band, The", "d:Description": "Biography, schedule, photos and booking information for this Houston based country band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.thelegendsband.com/"} +{"d:Title": "Artist Direct: The Lilly Brothers", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L", "url": "http://www.artistdirect.com/artist/the-lilly-brothers/459008"} +{"d:Title": "Fame Review - Jimmy Lafave", "d:Description": "Article.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LaFave,_Jimmy", "url": "http://www.acousticmusic.com/fame/p00077.htm"} +{"d:Title": "Twangin'- Jimmy LaFave", "d:Description": "Interview.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LaFave,_Jimmy", "url": "http://www.steamiron.com/twangin/int-lafave.html"} +{"d:Title": "Jimmy LaFave: Official Website", "d:Description": "Tour dates, news, reviews, biography, discography, photo gallery, email list, contact, and some audio samples.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LaFave,_Jimmy", "url": "http://www.jimmylafave.com/"} +{"d:Title": "Artist Direct: Jimmy Lafave", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LaFave,_Jimmy", "url": "http://www.artistdirect.com/artist/jimmy-lafave/455849"} +{"d:Title": "Shelley Laine", "d:Description": "Official page of the Dallas singer, with biography, pictures, appearance dates, album information, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Laine,_Shelley", "url": "http://www.shelleylaine.com/"} +{"d:Title": "Investors Join Forces to Promote Local Singer", "d:Description": "Article about Shelley Laine in the Dallas Business Journal, May 31, 2001.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Laine,_Shelley", "url": "http://dallas.bizjournals.com/dallas/stories/2001/05/28/daily28.html"} +{"d:Title": "Brent Lamb", "d:Description": "Official page features biography, discography, news, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lamb,_Brent", "url": "http://www.brentlamb.com/"} +{"d:Title": "Tom Lambert / Real Country", "d:Description": "Official site has biography, pictures, album information, lyrics, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lambert,_Tom", "url": "http://www.tomlambertrealcountry.net/"} +{"d:Title": "Jim Lauderdale", "d:Description": "Biography, reviews, news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lauderdale,_Jim", "url": "http://jimlauderdale.com/"} +{"d:Title": "Artist Direct: Jim Lauderdale", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lauderdale,_Jim", "url": "http://www.artistdirect.com/artist/jim-lauderdale/456887"} +{"d:Title": "CMT.com - Jim Lauderdale", "d:Description": "Biography, articles, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lauderdale,_Jim", "url": "http://www.cmt.com/artists/jim-lauderdale/"} +{"d:Title": "Artist Direct: Tracy Lawrence", "d:Description": "Includes biography, tour schedule, album information, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lawrence,_Tracy", "url": "http://www.artistdirect.com/artist/tracy-lawrence/457136"} +{"d:Title": "CMT.com: Tracy Lawrence", "d:Description": "Biography, articles, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lawrence,_Tracy", "url": "http://www.cmt.com/artists/tracy-lawrence/"} +{"d:Title": "Shannon Lawson Fan Club", "d:Description": "News, touring information, a biography, discography, and fan club information. A fee is required for fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lawson,_Shannon", "url": "http://www.angelfire.com/music4/shannonlawson/"} +{"d:Title": "Chris LeDoux Official Web Site", "d:Description": "Biography, discography, pictures, fan club, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LeDoux,_Chris", "url": "http://www.chrisledoux.com/"} +{"d:Title": "Chris LeDoux Country", "d:Description": "Discography, pictures, stories, articles, messages, fan club membership.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LeDoux,_Chris", "url": "http://www.ledouxcountry.com/"} +{"d:Title": "Casper Star Tribune: Cowboy, singer LeDoux dies in Casper", "d:Description": "Article on the singer's death on March 9, 2005 from bile duct cancer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LeDoux,_Chris", "url": "http://trib.com/news/article_4f7cb8ee-196b-56a0-bb2a-ca2b7355c248.html"} +{"d:Title": "Chris LeDoux Cowboy Country", "d:Description": "Fan page features a biography, news articles, pictures, and links to related sites.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LeDoux,_Chris", "url": "http://www.cowgirls.com/dream/jan/ledoux.php"} +{"d:Title": "Artist Direct: Chris Ledoux", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LeDoux,_Chris", "url": "http://www.artistdirect.com/artist/chris-ledoux/457271"} +{"d:Title": "CMT.com: Chris LeDoux", "d:Description": "Biography, article, discography, photos, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/LeDoux,_Chris", "url": "http://www.cmt.com/artists/chris-ledoux/"} +{"d:Title": "Brenda Lee", "d:Description": "Biography traces Lee's career from early television shows to her hit 1960s records and current country appearances.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lee,_Brenda", "url": "http://www.tsimon.com/lee.htm"} +{"d:Title": "Brenda Lee: A Living Legend", "d:Description": "Fan page for Little Miss Dynamite, featuring biography, discography, lyrics.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lee,_Brenda", "url": "http://www.brendalee.co.uk/"} +{"d:Title": "Rock and Roll Hall of Fame: Brenda Lee", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lee,_Brenda", "url": "http://rockhall.com/inductees/brenda-lee/"} +{"d:Title": "Artist Direct: Brenda Lee", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lee,_Brenda", "url": "http://www.artistdirect.com/artist/brenda-lee/457545"} +{"d:Title": "CMT.com: Brenda Lee", "d:Description": "Biography, discography, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lee,_Brenda", "url": "http://www.cmt.com/artists/brenda-lee/"} +{"d:Title": "All Music Guide: Johnny Lee", "d:Description": "Includes biography, discography, and products.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lee,_Johnny", "url": "http://www.allmusic.com/artist/johnny-lee-mn0000240267"} +{"d:Title": "Artist Direct: Danni Leigh", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Leigh,_Danni", "url": "http://www.artistdirect.com/artist/danni-leigh/674894"} +{"d:Title": "CMT.com: Danni Leigh", "d:Description": "Biography, articles, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Leigh,_Danni", "url": "http://www.cmt.com/artists/danni-leigh/"} +{"d:Title": "CMT.com: Little Texas", "d:Description": "Biography, band member information, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Little_Texas", "url": "http://www.cmt.com/artists/little-texas/"} +{"d:Title": "CMT.com: Hank Locklin", "d:Description": "Biography, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Locklin,_Hank", "url": "http://www.cmt.com/artists/hank-locklin/"} +{"d:Title": "Artist Direct: Lonesome Bob", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lonesome_Bob", "url": "http://www.artistdirect.com/artist/lonesome-bob/587368"} +{"d:Title": "MTV: Lonesome River Band", "d:Description": "Biography, band member information, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lonesome_River_Band", "url": "http://www.mtv.com/artists/lonesome-river-band/"} +{"d:Title": "Artist Direct: The Lonesome Strangers", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lonesome_Strangers", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,459970,00.html"} +{"d:Title": "Lonestar", "d:Description": "Official home page features biographies, tour dates, news, fan club, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lonestar", "url": "http://www.lonestarnow.com/"} +{"d:Title": "Artist Direct: Lonestar", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lonestar", "url": "http://www.artistdirect.com/artist/lonestar/534628"} +{"d:Title": "CMT.com: Lonestar", "d:Description": "Biography, band member information, tour information, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lonestar", "url": "http://www.cmt.com/artists/lonestar/"} +{"d:Title": "Slipcue: Louvin Brothers Discography", "d:Description": "Descriptive discography, biography, references, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Louvin_Brothers", "url": "http://www.slipcue.com/music/country/countryartists/louvinbros.html"} +{"d:Title": "CMT.com: The Louvin Brothers", "d:Description": "Biography of the duo from Alabama, Charlie and Ira Louvin, with a discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Louvin_Brothers", "url": "http://www.cmt.com/artists/the-louvin-brothers/"} +{"d:Title": "Artist Direct: Charlie Louvin", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Louvin_Brothers/Louvin,_Charlie", "url": "http://www.artistdirect.com/artist/charlie-louvin/460421"} +{"d:Title": "CMT.com - Charlie Louvin", "d:Description": "Biography, articles, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Louvin_Brothers/Louvin,_Charlie", "url": "http://www.cmt.com/artists/charlie-louvin/"} +{"d:Title": "Essentially Patty Loveless", "d:Description": "Fan page includes biography, song lyrics, comprehensive discography, trivia, awards, newsletters, audio and video clips, picture galleries, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Loveless,_Patty", "url": "http://www.angelfire.com/tn/pl8/index.html"} +{"d:Title": "Patty Loveless Online", "d:Description": "Fan page features news, photos, band profiles, quotes, wallpaper, albums, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Loveless,_Patty", "url": "http://www.angelfire.com/music4/ploveless/main.html"} +{"d:Title": "Artist Direct: Patty Loveless", "d:Description": "Includes photos, audio clips, biography/interview, album information, tour dates, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Loveless,_Patty", "url": "http://www.artistdirect.com/artist/patty-loveless/460544"} +{"d:Title": "CMT.com: Patty Loveless", "d:Description": "Biography, articles, discography, photos, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Loveless,_Patty", "url": "http://www.cmt.com/artists/patty-loveless/"} +{"d:Title": "Official Claire Lynch Web Site", "d:Description": "News, reviews, band and individual biographies, songwriting credits and catalog, touring and performance schedule, music CDs and merchandise, and photo album.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynch,_Claire", "url": "http://www.clairelynch.com/"} +{"d:Title": "CMT.com: Claire Lynch", "d:Description": "Biography and a discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynch,_Claire", "url": "http://www.cmt.com/artists/claire-lynch/"} +{"d:Title": "Mkoc.com: Glenda Lynn", "d:Description": "Country music review of singer/songwriter Glenda Lynn. Includes song samples.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynn,_Glenda", "url": "http://www.mkoc.com/Horizon/glendalynn.htm"} +{"d:Title": "MTV: Glenda Lynn", "d:Description": "Review of the singer, with sound files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynn,_Glenda", "url": "http://www.cmt.com/artists/glenda-lynn/"} +{"d:Title": "Official Loretta Lynn Website", "d:Description": "Includes news, discography, biography, music, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynn,_Loretta", "url": "http://www.lorettalynn.com/"} +{"d:Title": "CMT.com: Loretta Lynn", "d:Description": "Biography, news articles, awards, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynn,_Loretta", "url": "http://www.cmt.com/artists/loretta-lynn/"} +{"d:Title": "MusicWorld: Loretta Lynn Still Country After All These Years", "d:Description": "Article about Lynn's career and the release of her new album in September 2000.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynn,_Loretta", "url": "http://www.bmi.com/news/entry/233476"} +{"d:Title": "CBS News: Loretta Lynn Tells All", "d:Description": "Biographical feature article, May 2002.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynn,_Loretta", "url": "http://www.cbsnews.com/news/legends-loretta-lynn-tells-all/"} +{"d:Title": "Country Standard Time: Shelby Lynne", "d:Description": "Article about Lynne's musical inspirations.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynne,_Shelby", "url": "http://www.countrystandardtime.com/d/article.asp?fn=shelbylynne.asp"} +{"d:Title": "AskMen.com: Shelby Lynne", "d:Description": "Biography and an appreciation of the singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynne,_Shelby", "url": "http://www.askmen.com/celebs/women/singer_100/123_shelby_lynne.html"} +{"d:Title": "MTV: Shelby Lynne", "d:Description": "News, album information, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynne,_Shelby", "url": "http://www.cmt.com/artists/shelby-lynne/"} +{"d:Title": "Artist Direct: The Lynns", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynns,_The", "url": "http://www.artistdirect.com/artist/lynns/658229"} +{"d:Title": "CMT.com: The Lynns", "d:Description": "Biography, tour information, photos, audio clips, video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/L/Lynns,_The", "url": "http://www.cmt.com/artists/the-lynns/"} +{"d:Title": "McLennan, Boomer", "d:Description": "Tour dates, audio samples, mailing list, radio show, press release, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.boomerm.com/"} +{"d:Title": "Marshall Dyllon Fans", "d:Description": "Band member profiles, pictures, reviews, message board, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.angelfire.com/country/marshalldyllonfans/"} +{"d:Title": "Marshall, Paul", "d:Description": "Biography, appearance dates, audio clips, pictures, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.paulmarshall.net/"} +{"d:Title": "Morris, Joni", "d:Description": "California singer who, with her band \"After Midnight,\" performs in the tradition of Patsy Cline and Connie Francis. Biography, merchandise, and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.jonimorris.com/"} +{"d:Title": "Mogollon", "d:Description": "Official page. Band history, upcoming shows, audio samples, merchandise, pictures, and stories.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.mogollonband.com/"} +{"d:Title": "Murphy, Kase Lee", "d:Description": "Official page. Album information, pictures, mp3 samples.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.angelfire.com/celeb/kase/"} +{"d:Title": "Mr. Bones and North Of Dixie", "d:Description": "Band profile with link to mp3 downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.angelfire.com/home/northofdixie/"} +{"d:Title": "Martin, Grady", "d:Description": "Biography, pictures, and articles about the Nashville guitarist. Audio clips and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.nashvillesound.net/gradymartin.htm"} +{"d:Title": "Marks, Johnny", "d:Description": "Official page, with profile of the English singer, photos, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.johnnymarks.co.uk/"} +{"d:Title": "Mickeys, The", "d:Description": "Official page for the sister duo, Amy and Julie Mickey, with show dates and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.themickeys.net/"} +{"d:Title": "Merv&Maria", "d:Description": "Biography and pictures of the father and daughter British duo, with merchandise and suggested dances.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.mervandmaria.com/"} +{"d:Title": "Mulloy, Coreena", "d:Description": "Official page for the San Diego singer. Biography, photos, news, fan club, and mp3 audio samples.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.angelfire.com/music4/coreenamulloy/"} +{"d:Title": "MacKenzie, Ken and Simone", "d:Description": "Country Music yodeling masters. Listen to their great music, learn about them, read their stories. Many links to well known yodelers.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.mackenziewsd.com/MasterYodelers"} +{"d:Title": "MacKenzie Traditional Country Music", "d:Description": "Old-time country music featuring yodelers, Maine Country Music Hall of Fame and Down East Country Music Association. Early history of New England country music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.mackenziewsd.com/MacKenzieTraditional/"} +{"d:Title": "McBride, Janet", "d:Description": "Biography and samples of Janet McBride, who taught LeAnn Rimes how to yodel. Special tribute by personal friend Patsy Montana.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://heroeswest.com/yodelqueen/"} +{"d:Title": "Marin, Roger", "d:Description": "Music, lyrics, and tour dates for the Canadian singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.rogermarin.com/"} +{"d:Title": "McCulloch, Dani", "d:Description": "A biography, pictures, tour dates and a diary from the female country singer from Collierville, Tennessee.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.danimcculloch.com/"} +{"d:Title": "McClatchy, Scott", "d:Description": "Official page for the New York-based singer/songwriter and his new album \"Redemption,\" with biography, tour dates, photos, audio clips, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M", "url": "http://www.scottmcclatchy.com/"} +{"d:Title": "Barbara Mandrell Web Country", "d:Description": "Fan page. Biography, pictures, magazine and video appearances, midi jukebox, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Mandrell,_Barbara", "url": "http://www.barbaramandrell.net/"} +{"d:Title": "MusicTexas.com - John Arthur Martinez", "d:Description": "\"John Arthur's composition \"Seguro que Hell Yes\", co-written with Alex Harvey and Mike Blakley, has certainly impressed the executives at Arista. The song is the debut single for Flaco Jimenez sung by Raul Malo of the Mavericks.\"", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Martinez,_John_Arthur", "url": "http://www.musictexas.com/1jam/jam.html"} +{"d:Title": "John Arthur Martinez", "d:Description": "Official page for the Texas singer, with store, music, videos, and fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Martinez,_John_Arthur", "url": "http://www.johnarthurmartinez.net/"} +{"d:Title": "Kathy Mattea", "d:Description": "Official site includes news, reviews, biography, concert photos, discography, fan club, and chat.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Mattea,_Kathy", "url": "http://www.mattea.com/"} +{"d:Title": "IMN Artists: Kathy Mattea", "d:Description": "Profile of Mattea's recording career.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Mattea,_Kathy", "url": "http://www.imnworld.com/artists/detail/23/Kathy-Mattea"} +{"d:Title": "JAM! Showbiz: Kathy Mattea", "d:Description": "Interviews and articles follow Mattea's career and personal life.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Mattea,_Kathy", "url": "http://jam.canoe.com/Music/Artists/M/Mattea_Kathy/"} +{"d:Title": "AskMen.com: Martina McBride", "d:Description": "Commentary about McBride's place in country music and personal allure. Includes pictures, biography, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McBride,_Martina", "url": "http://www.askmen.com/celebs/women/singer_100/139_martina_mcbride.html"} +{"d:Title": "Martina McBride", "d:Description": "Includes discography, lyrics, tour dates, FAQ, news, biography, photos, desktop wallpaper, and sound files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McBride,_Martina", "url": "http://martinamcbride.com/"} +{"d:Title": "Wikipedia: Martina McBride", "d:Description": "Includes biographical information, career profile, a detailed discography, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McBride,_Martina", "url": "http://en.wikipedia.org/wiki/Martina_McBride"} +{"d:Title": "Lila McCann: A Rain of Angels", "d:Description": "Fan site with biography, chart listing, discography, pictures, message board, television listing and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCann,_Lila", "url": "http://lilamccann.8m.com/"} +{"d:Title": "Manfong's Lila McCann World", "d:Description": "Fan page with pictures, a biography, news, CD information and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCann,_Lila", "url": "http://members.tripod.com/manfong/mflila.html"} +{"d:Title": "The House Of Lila", "d:Description": "Information and news about Lila McCann. Features pictures, videos, songs, tour information, and fan club details.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCann,_Lila", "url": "http://members.tripod.com/jc168/lila.html"} +{"d:Title": "Neal McCoy", "d:Description": "Official page. Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCoy,_Neal", "url": "http://www.nealmccoy.com/"} +{"d:Title": "Entertainment Ave: Neal McCoy", "d:Description": "Review of a concert at The Star Plaza Theatre in Merrillville, Indiana.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCoy,_Neal", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/neal_mccoy/nm102596.htm"} +{"d:Title": "Artist Direct: Neal McCoy", "d:Description": "Biography, review, audio clips, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCoy,_Neal", "url": "http://www.artistdirect.com/artist/neal-mccoy/465486"} +{"d:Title": "Canoe.ca: Neal McCoy", "d:Description": "Article about the Texas singer, with an interview.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCoy,_Neal", "url": "http://jam.canoe.com/Music/Artists/M/McCoy_Neal/"} +{"d:Title": "Wikipedia: Mindy McCready", "d:Description": "Contains biographical information, a detailed discography, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McCready,_Mindy", "url": "http://en.wikipedia.org/wiki/Mindy_McCready"} +{"d:Title": "Ronnie McDowell's Official Website", "d:Description": "Biography, news, tour schedule, discography with audio samples, McDowell's artwork, fan features.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McDowell,_Ronnie", "url": "http://www.ronniemcdowell.com/"} +{"d:Title": "Reba McEntire", "d:Description": "Reba's Official Web Site. Includes an interactive area called RebaNet with special features and services.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.reba.com/"} +{"d:Title": "Reba Is Lighting Her Candle For The World", "d:Description": "Includes lyrics, biographical and album information, links, midi files, tributes.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://members.tripod.com/RebaGal6/candle.html"} +{"d:Title": "Queen Reba", "d:Description": "Discography, biography with pictures, videography with gallery of screen captures, lyrics, memorabilia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.angelfire.com/tn/QueenReba/"} +{"d:Title": "Simply Reba", "d:Description": "Extensive collection of concert and family pictures, biography of the star.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.angelfire.com/country/reba/simplyreba.htm"} +{"d:Title": "Rock On The Net: Reba McEntire", "d:Description": "Interactive timeline features awards and recordings.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.rockonthenet.com/artists-m/rebamcentire_main.htm"} +{"d:Title": "Glorious Reba", "d:Description": "Photographs, biography, discography, and music files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.angelfire.com/ga/GloriousReba/"} +{"d:Title": "Reba All the Way", "d:Description": "Online fan club invites news and comments from members. Sections for chat and photographs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://groups.yahoo.com/group/rebaalltheway/"} +{"d:Title": "Entertainment Ave: Reba McEntire", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/reba/rm082596.htm"} +{"d:Title": "Entertainment Ave: Reba McEntire and Brooks&Dunn", "d:Description": "Review of a concert with Terri Clark and David Kersh at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/reba/rm073198.htm"} +{"d:Title": "Reba McEntire", "d:Description": "Pictures, a visit to Reba's Ranch House and Rehabilitation Center, story of going to a concert.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.frontiernet.net/~albert1/Reba.html"} +{"d:Title": "Artist Direct: Reba McEntire", "d:Description": "Interview with the singer in 1998.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.artistdirect.com/artist/reba-mcentire/465807"} +{"d:Title": "Reba McEntire WebRing", "d:Description": "List of fan sites for the singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.webring.org/hub?ring=reba"} +{"d:Title": "IMDb: Reba McEntire", "d:Description": "McEntire's filmography as actress, composer, singer, and producer, with a list of notable television appearances.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.imdb.com/name/nm0005207/"} +{"d:Title": "CMT.com: Reba McEntire", "d:Description": "Biography, awards list, news, reviews, discography, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://www.cmt.com/artists/reba-mcentire/"} +{"d:Title": "JAM! Showbiz: Reba McEntire", "d:Description": "News and interviews with the star dating back several years.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McEntire,_Reba", "url": "http://jam.canoe.com/Music/Artists/M/McEntire_Reba"} +{"d:Title": "Entertainment Ave: Tim McGraw with Faith Hill", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGraw,_Tim", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/tim_mcgraw/tm053096.htm"} +{"d:Title": "Tim McGraw Official Web Site", "d:Description": "News, a message board, photos, merchandise, contests and exclusive offers.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGraw,_Tim", "url": "http://www.timmcgraw.com/"} +{"d:Title": "My Page Dedicated To Tim McGraw", "d:Description": "This fansite contains lyrics and notes from each of the artists' albums.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGraw,_Tim", "url": "http://members.tripod.com/~Midnightmoon/index-2.html"} +{"d:Title": "Tim McGraw", "d:Description": "Wav files and many personal photos of Tim.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGraw,_Tim", "url": "http://www.members.tripod.com/kountrykorner/tim.html"} +{"d:Title": "St. Pete Times: Now teammates, McGraws in harmony", "d:Description": "Article by Logan Neill about Tug and Tim McGraw.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGraw,_Tim", "url": "http://www.sptimes.com/Entertainment/32898/Now_teammates__McGraw.html"} +{"d:Title": "Artist Direct: Tim McGraw", "d:Description": "Includes biography, pictures, history and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGraw,_Tim", "url": "http://www.artistdirect.com/artist/tim-mcgraw/466029"} +{"d:Title": "CMT.com - Tim McGraw", "d:Description": "Biography, audio clips, news, awards list, discography, and links to past interviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGraw,_Tim", "url": "http://www.cmt.com/artists/tim-mcgraw/"} +{"d:Title": "Mark McGuinn's Official Web Site", "d:Description": "Delivers news, music, tour schedule, information, reviews and messages from Mark McGuinn. It also includes a fan forum for talking about Mark or Country music in general.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/McGuinn,_Mark", "url": "http://www.markmcguinn.com/"} +{"d:Title": "Nashville Sweetheart: Jo Dee Messina", "d:Description": "Fan page with news and opinions, fan messages, and poems.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Messina,_Jo_Dee", "url": "http://www.angelfire.com/country/jodee/"} +{"d:Title": "Jo Dee Messina", "d:Description": "Official page features pictures, news, audio clips, tour dates, and merchandise. Does not work on all browsers.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Messina,_Jo_Dee", "url": "http://www.jodeemessina.com/"} +{"d:Title": "Entertainment Ave: Jo Dee Messina", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Messina,_Jo_Dee", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/jo_dee_messina/jm101996.htm"} +{"d:Title": "AskMen.com: Jo Dee Messina", "d:Description": "Biography and review of the singer and her albums.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Messina,_Jo_Dee", "url": "http://www.askmen.com/celebs/women/singer_150/158_jo_dee_messina.html"} +{"d:Title": "Countrymusic.about.com: Patrik Michaels", "d:Description": "Review of \"Feels Like Home\" by Jennifer Webb.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Michaels,_Patrik", "url": "http://countrymusic.about.com/library/blpatrikmichaelsrev.htm"} +{"d:Title": "All Music Guide: Patrik Michaels", "d:Description": "Provides biography, discography and related information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Michaels,_Patrik", "url": "http://www.allmusic.com/artist/patrik-michaels-p434226"} +{"d:Title": "Roger Miller: Official Website", "d:Description": "Biography, news, sound files, merchandise, and memorabilia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Miller,_Roger", "url": "http://www.rogermiller.com/"} +{"d:Title": "The Ronnie Milsap Official Site", "d:Description": "Tour dates, biography, discography, fan forum, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Milsap,_Ronnie", "url": "http://www.ronniemilsap.com/"} +{"d:Title": "Milsap Cyber Fans", "d:Description": "Fan stories and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Milsap,_Ronnie", "url": "http://cyberfriendsofronnie.tripod.com/"} +{"d:Title": "John Michael Montgomery", "d:Description": "Official site includes news, fan club information, merchandise, concert dates, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Montgomery,_John_Michael", "url": "http://www.johnmichael.com/"} +{"d:Title": "Montgomery Gets Serious with Latest Single", "d:Description": "Article from the Associated Press.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Montgomery,_John_Michael", "url": "http://jam.canoe.com/Music/Artists/M/Montgomery_John_Michael/2000/09/15/747910.html"} +{"d:Title": "Kevin Montgomery", "d:Description": "Official page features tour dates, lyrics, audio and video clips, reviews, photographs, and fan messages.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Montgomery,_Kevin", "url": "http://www.kevinmontgomery.com/"} +{"d:Title": "Montgomery Gentry: No Frills, Just Thrills!", "d:Description": "Official page. Profiles of the duo, pictures, album information, chart news, tour dates, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Montgomery_Gentry", "url": "http://www.montgomerygentry.com/"} +{"d:Title": "Topix: Montgomery Gentry", "d:Description": "News about Montgomery Gentry, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Montgomery_Gentry", "url": "http://www.topix.com/rss/who/montgomery-gentry.xml"} +{"d:Title": "Bmi.com: Montgomery Gentry", "d:Description": "Article traces the Kentucky duo's quick success in country music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Montgomery_Gentry", "url": "http://www.bmi.com/news/entry/233582"} +{"d:Title": "Rockabilly Hall of Fame: Merrill Moore", "d:Description": "Biography of the country swing piano player, with pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Moore,_Merrill", "url": "http://www.rockabillyhall.com/MerrillMoore.html"} +{"d:Title": "Artist Direct: George Morgan", "d:Description": "Biography of the Grand Ole Opry performer, album information, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Morgan,_George", "url": "http://www.artistdirect.com/artist/george-morgan/470218"} +{"d:Title": "All Music Guide: George Morgan", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Morgan,_George", "url": "http://www.allmusic.com/artist/p1734"} +{"d:Title": "Entertainment Ave: Lorrie Morgan", "d:Description": "Review of a concert with Pam Tillis and Carlene Carter at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Morgan,_Lorrie", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/t/pam_tillis/pt081896.htm"} +{"d:Title": "CMT.com: Lorrie Morgan", "d:Description": "Includes biography, articles, tour dates, discography, images, audio and video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Morgan,_Lorrie", "url": "http://www.cmt.com/artists/lorrie-morgan/"} +{"d:Title": "David Lee Murphy - The Official Party Crowd", "d:Description": "The Official web site for David Lee Murphy. Latest news, interactive section (e-mail and bulletin board), fan club information, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Murphy,_David_Lee", "url": "http://davidlee.com/"} +{"d:Title": "David Lee - Genuine Redneck", "d:Description": "A fan site pertaining to all aspects of David Lee Murphy and his career.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Murphy,_David_Lee", "url": "http://mitchellkaren.tripod.com/davidleemurphy/"} +{"d:Title": "MTV: David Lee Murphy", "d:Description": "Include audio clips, biography, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/M/Murphy,_David_Lee", "url": "http://www.cmt.com/artists/david-lee-murphy/"} +{"d:Title": "Norris, Keith", "d:Description": "Biography, photographs, sound samples, reviews, fan club, message board, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N", "url": "http://www.keithnorris.com/"} +{"d:Title": "Nichols, Joe Paul", "d:Description": "Fourth-generation musician from Jacksboro, Texas. Includes discography, audio clips, photo album, and contacts.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N", "url": "http://www.joepaulnichols.com/"} +{"d:Title": "Nix, Jody", "d:Description": "Traditional country artist from Big Spring. Includes biography, merchandise, booking information, schedule, fan club and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N", "url": "http://www.jodynix.com/"} +{"d:Title": "Nelson, Willie Mailing List", "d:Description": "Devoted to the life and music of the country legend Willie Nelson.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Nelson,_Willie", "url": "http://groups.yahoo.com/group/nelson/"} +{"d:Title": "Nelson, Willie Official World Headquarters", "d:Description": "Includes tour schedule, audio and video clips, ticket ordering, chat, news, bulletin board, and online merchandise sales. Also has a German language version of the site.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Nelson,_Willie", "url": "http://www.willienelson.com/"} +{"d:Title": "RollingStone.com: Willie Nelson", "d:Description": "Includes biography, recordings, photos, and music video.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Nelson,_Willie", "url": "http://www.rollingstone.com/music/artists/willie-nelson"} +{"d:Title": "CMT.com: Willie Nelson", "d:Description": "Includes biography, discography, articles, tour schedule, awards, and two audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Nelson,_Willie", "url": "http://www.cmt.com/artists/willie-nelson/"} +{"d:Title": "Metacritic: Milk Cow Blues", "d:Description": "Multiple critic and user reviews for Milk Cow Blues by Willie Nelson.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Nelson,_Willie", "url": "http://www.metacritic.com/music/milk-cow-blues/willie-nelson"} +{"d:Title": "Am I Right: Misheard Lyrics", "d:Description": "Misunderstood lyrics in songs performed by Juice Newton.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Newton,_Juice", "url": "http://www.amiright.com/misheard/artist/newtonjuice.shtml"} +{"d:Title": "Juice Newton Fan Club", "d:Description": "Fan pictures, news, discography, link to tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Newton,_Juice", "url": "http://www.juicenewtonfanclub.com/"} +{"d:Title": "Artist Direct: Juice Newton", "d:Description": "Biography, photos, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/N/Newton,_Juice", "url": "http://www.artistdirect.com/artist/juice-newton/473182"} +{"d:Title": "Old Crow Medicine Show", "d:Description": "Profile of the Nashville-based band, show dates, pictures, album information, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O", "url": "http://www.crowmedicine.com/"} +{"d:Title": "Oates, Philip Michael", "d:Description": "Official page focuses on new album \"Out of the Chute,\" with ordering information and sound clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O", "url": "http://out_of_the_chute.tripod.com/"} +{"d:Title": "Orr, Sean", "d:Description": "Western swing, traditional country, and Texas fiddler. Performance schedule, MP3s, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O", "url": "http://www.seanorr.com/"} +{"d:Title": "Owens, Aaron and the Sunburst Band", "d:Description": "Arkansas country/rock quartet. RealAudio clips, news, merchandise, biographies, pictures, and press.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O", "url": "http://aaronowensmusic.wix.com/aaron-owens-homepage"} +{"d:Title": "CD Review: Jamie O'Neal", "d:Description": "Review focuses on \"Shiver,\" the debut album for this Australian singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O/O'Neal,_Jamie", "url": "http://countrymusic.about.com/library/weekly/aa121100a.htm"} +{"d:Title": "AskMen.com: Jamie O'Neal", "d:Description": "Pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O/O'Neal,_Jamie", "url": "http://www.askmen.com/celebs/women/singer_150/183_jamie_oneal.html"} +{"d:Title": "The Oak Ridge Boys", "d:Description": "Official site. Features tour schedules, news and features, merchandise, photographs, biographies, discography, and chatroom.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O/Oak_Ridge_Boys", "url": "http://www.oakridgeboys.com/"} +{"d:Title": "Buck Owens", "d:Description": "Official page. Biography, memorabilia, concert dates, picture gallery, audio and video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O/Owens,_Buck", "url": "http://www.buckowens.com/"} +{"d:Title": "Buck Owens Concert Photographs At Sault Ste. Marie", "d:Description": "Buck Owens in concert at the Kewadin Casino in Sault Ste. Marie, Michigan, August 7, 1999.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O/Owens,_Buck", "url": "http://www.lowpockets.com/buckowens/"} +{"d:Title": "CMT.com: Buck Owens", "d:Description": "News and photo galleries.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/O/Owens,_Buck", "url": "http://www.cmt.com/artists/buck-owens/"} +{"d:Title": "Pyne, Michael J.", "d:Description": "Biography, news, mp3 sound files, CD information, fan messages.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P", "url": "http://www.keyboardmusician.com/"} +{"d:Title": "Price, Brad", "d:Description": "Official page. Lyrics, mp3 downloads, messages.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P", "url": "http://www.bradpricemusic.com/"} +{"d:Title": "Pierce, Tom", "d:Description": "Pictures, audio clips, album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P", "url": "http://www.angelfire.com/country/tompierce/"} +{"d:Title": "Pinmonkey", "d:Description": "Fan page featuring the Nashville band, with photos, audio clips, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P", "url": "http://www.angelfire.com/va3/pinmonkey/"} +{"d:Title": "Pettersen, Aimee", "d:Description": "Biography of the Canadian singer with photo gallery, lyrics, and album information for \"Living Proof.\"", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P", "url": "http://aimeepettersen.com/"} +{"d:Title": "Payne, Jimmy", "d:Description": "Official page for the singer from Missouri, of \"Woman, Woman\" fame. Includes music, photos, discography, lyrics, biography, news, albums and his songs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P", "url": "http://www.jimmypayne.org/"} +{"d:Title": "Phillips, Ty", "d:Description": "Song clips, reviews, and photos of the singer from Beaumont, Texas.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P", "url": "http://www.mytexasmusic.com/typhillips/typhillips.html"} +{"d:Title": "Brad Paisley", "d:Description": "Official page. News, tour dates, biography, merchandise, audio clips, lyrics, and fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Paisley,_Brad", "url": "http://www.bradpaisley.com/"} +{"d:Title": "Brad Paisley and Yankee Grey Concert Review", "d:Description": "Review of the concert, with pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Paisley,_Brad", "url": "http://countrymusic.about.com/library/weekly/aa052900a.htm"} +{"d:Title": "Parnell, Lee Roy", "d:Description": "Official site. Includes photographs, tour information, biography, and store.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Parnell,_Lee_Roy", "url": "http://leeroyparnell.com/"} +{"d:Title": "Dollymania", "d:Description": "Fan site with news updates, original photo galleries, complete published list of songs, and chart history.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Parton,_Dolly", "url": "http://www.dollymania.net/"} +{"d:Title": "Dollywood", "d:Description": "Dolly's theme park in Pigeon Forge, Tennessee.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Parton,_Dolly", "url": "http://www.dollywood.com/"} +{"d:Title": "Dolly Parton On-Line", "d:Description": "Features Dolly Parton news, exclusive photos, mailing list, fan forums, complete discography, lyrics, articles, and downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Parton,_Dolly", "url": "http://www.dollyon-line.com/"} +{"d:Title": "Rollingstone.com: Dolly Parton", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Parton,_Dolly", "url": "http://www.rollingstone.com/music/artists/dolly-parton"} +{"d:Title": "Dollywood's Splash Country", "d:Description": "Water park in the Dollywood complex. Descriptions of rides and other attractions, map of the park, driving directions, and other visitor information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Parton,_Dolly", "url": "http://www.dollywood.com/waterpark.aspx"} +{"d:Title": "Metacritic.com: 'Little Sparrow'", "d:Description": "Links to critic and user reviews of Parton's \"Little Sparrow\" album.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Parton,_Dolly", "url": "http://www.metacritic.com/music/little-sparrow/dolly-parton"} +{"d:Title": "Johnny Paycheck", "d:Description": "An appreciation of Paycheck's place in the history of country music, by Kurt Hernon.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/PayCheck,_Johnny", "url": "http://www.furious.com/perfect/johnnypaycheck.html"} +{"d:Title": "Slipcue.com: Webb Pierce", "d:Description": "Biography, discography with album reviews, pictures of Pierce in his colorful costumes.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Pierce,_Webb", "url": "http://www.slipcue.com/music/country/webbsite/webbintro.html"} +{"d:Title": "CMT.com: Webb Pierce", "d:Description": "Biography, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Pierce,_Webb", "url": "http://www.cmt.com/artists/webb-pierce/"} +{"d:Title": "The Official Ray Price Fan Club", "d:Description": "Biography of the singer and his band, the Cherokee Cowboys, with pictures, tour dates, news, and articles.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Price,_Ray", "url": "http://www.angelfire.com/pa/raypricefanclub/"} +{"d:Title": "CMT.com: Ray Price", "d:Description": "Biography, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Price,_Ray", "url": "http://www.cmt.com/artists/ray-price-00/"} +{"d:Title": "Charley Pride", "d:Description": "Official page with biography, discography, pictures, reviews, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Pride,_Charley", "url": "http://www.charleypride.com/"} +{"d:Title": "CMT.com : Charley Pride", "d:Description": "News, biography, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/P/Pride,_Charley", "url": "http://www.cmt.com/artists/charley-pride/"} +{"d:Title": "Richards, Heather", "d:Description": "Official page features a biography, pictures, audio clips, and schedule.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.heatherrichards.com/"} +{"d:Title": "Rich, Jr., Charlie", "d:Description": "Biography of the son of country star Charlie Rich. Includes audio samples, multimedia projects, photographs, fan club section, and tales from the road.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.charlierichjr.com/"} +{"d:Title": "Route Sixty-Six", "d:Description": "Western swing band from Austria. Official site includes history, tour dates, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.r-66.com/"} +{"d:Title": "Rankin, Scott", "d:Description": "Biography of the Texas singer, guest book, and link to audio samples.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.angelfire.com/country/newartist/"} +{"d:Title": "Renegades Band, The", "d:Description": "Louisiana band formed by Glenn Dale Fontenot. Band profiles, song list, mp3 audio link, and show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.renegadesband.com/"} +{"d:Title": "Riders in the Sky", "d:Description": "Official page for the Western music and comedy band featuring Ranger Doug, Woody Paul, and Too Slim. Includes band profiles, tour dates, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.ridersinthesky.com/"} +{"d:Title": "Rivers, Roy", "d:Description": "Official page, with profile, photos, mp3 files, and newsletter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.royrivers.com/"} +{"d:Title": "Romeo and Lockwood", "d:Description": "Country music duo, site features streaming audio samples, photo gallery, biography and booking information for the live band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.romeoandlockwood.com/"} +{"d:Title": "Rhyder, Brandon", "d:Description": "Includes show schedule, photos, and mailing list.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R", "url": "http://www.brandonrhyder.com/"} +{"d:Title": "Sax player Boots Randolph dead at 80", "d:Description": "Associated Press obituary summarizes his life and career, including the way his \"Yakety Sax\" brought new fans through Benny Hill's TV show. As published in the New York Times.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Randolph,_Boots", "url": "http://www.nytimes.com/2007/07/04/arts/music/04randolph.html?em&ex=1183694400&en=2ef3f216c55a3a5c&ei=5087"} +{"d:Title": "CMT.com: \"Yakety Sax\" Man Boots Randolph Dies", "d:Description": "Obituary by Calvin Gilbert explores details of his contribution to the \"Nashville Sound,\" with details on his recordings with Elvis Presley.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Randolph,_Boots", "url": "http://www.cmt.com/news/articles/1564040/20070703/atkins_chet.jhtml"} +{"d:Title": "All Music Guide: Boots Randolph", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Randolph,_Boots", "url": "http://www.allmusic.com/artist/boots-randolph-mn0000106514"} +{"d:Title": "Sondra Rankin Is The New Girl", "d:Description": "Report on recording \"The New Girl\" with audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rankin,_Sondra", "url": "http://members.tripod.com/anabeth-ivil/newgirl.html"} +{"d:Title": "Rascal Flatts", "d:Description": "Biography, message board, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rascal_Flatts", "url": "http://rascal_flatts.tripod.com/index.html"} +{"d:Title": "Rascal Flatts Heaven", "d:Description": "Angel's fan page with large pictures and band member news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rascal_Flatts", "url": "http://www.rascalflatts.freeservers.com/"} +{"d:Title": "The Rascal Road Tripper and Her Flatts Friends", "d:Description": "Fan page with pictures, lyrics, band profiles, tour dates, desktop backgrounds, messages, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rascal_Flatts", "url": "http://rascalroadtripper.tripod.com/"} +{"d:Title": "Born To Love Rascal Flatts", "d:Description": "Fan page with pictures and stories.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rascal_Flatts", "url": "http://countrymusicchickwi.tripod.com/borntoloverascalflatts"} +{"d:Title": "Rascal Flatts", "d:Description": "Official fan club page. Features news, photos, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rascal_Flatts", "url": "http://www.rascalflatts.com/"} +{"d:Title": "The Sound Foundation", "d:Description": "Site about Collin Raye's band members focusing mostly on Gene LeSage.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Raye,_Collin", "url": "http://www.angelfire.com/ca2/TheSoundFoundation/"} +{"d:Title": "The Jim Reeves Way", "d:Description": "Fan page. Biography, photos, messages, RealAudio samples, and fan features.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Reeves,_Jim", "url": "http://www.jim-reeves.com/"} +{"d:Title": "Jim Reeves Family Tribute", "d:Description": "Stories, pictures, and memorabilia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Reeves,_Jim", "url": "http://www.johnrexreeves.com/jim.htm"} +{"d:Title": "Jim Reeves Memorial", "d:Description": "Picture and information about the grave site near Carthage, Texas.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Reeves,_Jim", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1698&pt=Jim+Reeves"} +{"d:Title": "Jim Reeves Fan Club Homepage", "d:Description": "Pictures, news, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Reeves,_Jim", "url": "http://www.jimreevesfanclub.com/"} +{"d:Title": "Ricochet", "d:Description": "Official Home Page for the country music band Ricochet.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Ricochet", "url": "http://www.ricochetonline.com/"} +{"d:Title": "Ricochet", "d:Description": "Information about the band, concert reviews, links, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Ricochet", "url": "http://www.angelfire.com/mo2/ricochet/ricochet.html"} +{"d:Title": "Julie Roberts", "d:Description": "Official page features news, photos, downloads, and the singer's biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Roberts,_Julie", "url": "http://www.julieroberts.com/"} +{"d:Title": "About.com: Julie Roberts", "d:Description": "The story of the Mercury Recording artist, with interview and commentary.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Roberts,_Julie", "url": "http://countrymusic.about.com/cs/julieroberts/a/bljrobertsbio.htm"} +{"d:Title": "CMT.com: Julie Roberts", "d:Description": "Artist information includes news, career, message boards, and song clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Roberts,_Julie", "url": "http://www.cmt.com/artists/julie-roberts/"} +{"d:Title": "Jimmie Rodgers", "d:Description": "Official website, with biography, discography and information about the Jimmie Rodgers Museum and annual festival in Meridian, Mississippi.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rodgers,_Jimmie", "url": "http://www.jimmierodgers.com/"} +{"d:Title": "Jimmie Rodgers Tribute", "d:Description": "Artist list, song list, and discography for the 1997 tribute on Egyptian Records.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rodgers,_Jimmie", "url": "http://www.w3st.com/vroys/jimmie.html"} +{"d:Title": "CMT.com: Jimmy Rodgers", "d:Description": "Biography, discography of recent releases, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rodgers,_Jimmie", "url": "http://www.cmt.com/artists/az/rodgers_jimmie/artist.jhtml"} +{"d:Title": "Rock and Roll Hall of Fame: Jimmie Rodgers", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rodgers,_Jimmie", "url": "http://rockhall.com/inductees/jimmie-rodgers/"} +{"d:Title": "The Official Roy Rogers - Dale Evans Web Site", "d:Description": "Features museum information, photographs, products, message board, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rogers,_Roy_and_Dale_Evans", "url": "http://www.royrogers.com/"} +{"d:Title": "Cowgirls.com: Dale Evans", "d:Description": "The story behind \"Happy Trails,\" which Evans wrote in 1950.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Rogers,_Roy_and_Dale_Evans", "url": "http://www.cowgirls.com/dream/cowgals/daleevans.htm"} +{"d:Title": "Yahoo! Groups: Country Music Messages", "d:Description": "Fan messages, remembering Russell at his death in 2001.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Russell,_Johnny", "url": "http://groups.yahoo.com/group/country_music/message/6028"} +{"d:Title": "CMT.com: Johnny Russell", "d:Description": "Biography and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/R/Russell,_Johnny", "url": "http://www.cmt.com/artists/johnny-russell-00/"} +{"d:Title": "Steel Rail", "d:Description": "Official site with news, recordings, audio samples, reviews, and appearance schedule.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.steelrail.ca/"} +{"d:Title": "Siler, Mike", "d:Description": "Official page with biography, pictures, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.mikesiler.com/"} +{"d:Title": "Somewhere In Texas Band", "d:Description": "Profile of the band from Austin, Texas. Concert photos, schedule, fan messages, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://somewhereintexas.tripod.com/"} +{"d:Title": "Shields, Allison", "d:Description": "Utah singer/songwriter. Biography, merchandise, schedule, song samples, pictures, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://members.tripod.com/~chngr/"} +{"d:Title": "Smith, Lynda", "d:Description": "Show dates, fan club, discography, pictures, and biography of the singer from Virginia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.lyndasmithmusic.com/"} +{"d:Title": "Southern Cross Band", "d:Description": "Texas country music band out of Coleman. Features show dates, band members, photos, reviews, fan club, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.southerncrossrocks.com/"} +{"d:Title": "Sharp, Randy", "d:Description": "Official page for the singer/songwriter, with artist profile, show dates, audio clips, photos, and links to other performers.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.randysharp.ws/"} +{"d:Title": "Seskin, Steve", "d:Description": "Biography of the California singer/songwriter, with audio clips, album information and orders, reviews, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.steveseskin.com/"} +{"d:Title": "Sawmill Creek Band", "d:Description": "Album information for the band from Jackson, Wyoming, with Bruce Hauser.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.sawmillcreekband.com/"} +{"d:Title": "Stewart, Wynn", "d:Description": "Photos, biography, discography, chat room, a jukebox that plays Real Audio music, and country music links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.wynnstewart.com/"} +{"d:Title": "Speer, Lucas", "d:Description": "Biography, photos, and album information for the Texas singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.angelfire.com/music5/lspeer/index.html"} +{"d:Title": "Steiner, Herb", "d:Description": "Noted Texas steel guitarist discusses pedal steel, lap steel, dobro, other related topics; offers links, available albums and courses, photo gallery, biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.herbsteinermusic.com/"} +{"d:Title": "Seely, Jeannie", "d:Description": "Official page for the long-time member of the Grand Ole Opry, with discography, show dates, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.jeannieseely.com/"} +{"d:Title": "Slim, Paris", "d:Description": "Includes photos, audio clips, biography, album information, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.artistdirect.com/artist/paris-slim/582216"} +{"d:Title": "Stone, R. B.", "d:Description": "Biography, discography, audio clips, tour dates, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.rbstone.com/"} +{"d:Title": "Southern Touch Band", "d:Description": "Band interview and music downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.soundclick.com/bands/default.cfm?bandID=322880"} +{"d:Title": "Seely, Jeannie", "d:Description": "Biography, discography, and photos from CMT.com.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.cmt.com/artists/jeannie-seely/"} +{"d:Title": "Shepard, Jean", "d:Description": "Biography and photos from CMT.com.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S", "url": "http://www.cmt.com/artists/jean-shepard/"} +{"d:Title": "Koko's Universe", "d:Description": "Graphically intense fan page. Tour information, photos, video clips and music files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sawyer_Brown", "url": "http://www.kokosuniverse.com/"} +{"d:Title": "Sawyer Brown", "d:Description": "News, photos, discography, and tour information for the country band.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sawyer_Brown", "url": "http://sawyerbrown74.tripod.com/"} +{"d:Title": "Cindy and Leli's Sawyer Brown Page", "d:Description": "Information on the band including pictures and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sawyer_Brown", "url": "http://sallyandlucy.tripod.com/"} +{"d:Title": "ArtistDirect: Sawyer Brown", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sawyer_Brown", "url": "http://www.artistdirect.com/artist/sawyer-brown/408964"} +{"d:Title": "CMT.com: John Schneider", "d:Description": "Biography, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Schneider,_John", "url": "http://www.cmt.com/artists/john-schneider-2/"} +{"d:Title": "Bluegrassbanjo.org: Earl Scruggs", "d:Description": "Greg Earnest talks about Scruggs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Scruggs,_Earl", "url": "http://www.bluegrassbanjo.org/sguitar.html"} +{"d:Title": "Earl Scruggs", "d:Description": "Official site with news, biography, tour dates, merchandise and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Scruggs,_Earl", "url": "http://www.earlscruggs.com/"} +{"d:Title": "ArtistDirect: Earl Scruggs", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Scruggs,_Earl", "url": "http://www.artistdirect.com/artist/earl-scruggs/490872"} +{"d:Title": "ArtistDirect: Randy Scruggs", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Scruggs,_Randy", "url": "http://www.artistdirect.com/artist/randy-scruggs/490878"} +{"d:Title": "CMT.com: Randy Scruggs", "d:Description": "Biography, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Scruggs,_Randy", "url": "http://www.cmt.com/artists/randy-scruggs/"} +{"d:Title": "Brady Seals", "d:Description": "Official site.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Seals,_Brady", "url": "http://www.bradyseals.com/"} +{"d:Title": "ArtistDirect: Brady Seals", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Seals,_Brady", "url": "http://www.artistdirect.com/artist/brady-seals/490930"} +{"d:Title": "CMT.com: Brady Seals", "d:Description": "Biography, discography, audio and video.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Seals,_Brady", "url": "http://www.cmt.com/artists/brady-seals/"} +{"d:Title": "ArtistDirect: Dan Seals", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Seals,_Dan", "url": "http://www.artistdirect.com/artist/dan-seals/490931"} +{"d:Title": "CMT.com: Dan Seals", "d:Description": "Biography, discography, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Seals,_Dan", "url": "http://www.cmt.com/artists/dan-seals/"} +{"d:Title": "Selis, Eve", "d:Description": "Official page for the San Diego singer, with show dates, audio and video clips, reviews, Eve's journal for her fans, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Selis,_Eve", "url": "http://www.eveselis.com/"} +{"d:Title": "Meeting Grace House Concerts: Eve Selis", "d:Description": "Review of Selis and her band in concert, with photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Selis,_Eve", "url": "http://meetinggrace.com/?q=node/23"} +{"d:Title": "ArtistDirect: Jason Sellers", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sellers,_Jason", "url": "http://www.artistdirect.com/artist/jason-sellers/684108"} +{"d:Title": "CMT.com: Jason Sellers", "d:Description": "Biography, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sellers,_Jason", "url": "http://www.cmt.com/artists/jason-sellers/"} +{"d:Title": "Kevin Sharp", "d:Description": "Official page features news, biography, tour schedule, fan club, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sharp,_Kevin", "url": "http://www.kevinsharp.com/"} +{"d:Title": "Sharp World", "d:Description": "Fan site. Facts about Kevin, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sharp,_Kevin", "url": "http://www.angelfire.com/il/nobodyknows/"} +{"d:Title": "Artist Direct: Kevin Sharp", "d:Description": "Includes photos, audio clips, biography, album information, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sharp,_Kevin", "url": "http://www.artistdirect.com/artist/kevin-sharp/563024"} +{"d:Title": "Billy Joe Shaver", "d:Description": "Official site. Features biography, tour information, music, fan page, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shaver,_Billy_Joe", "url": "http://www.billyjoeshaver.com/"} +{"d:Title": "Artist Direct: Billy Joe Shaver", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shaver,_Billy_Joe", "url": "http://www.artistdirect.com/artist/billy-joe-shaver/492001"} +{"d:Title": "CMT.com: Billy Joe Shaver", "d:Description": "Biography, articles, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shaver,_Billy_Joe", "url": "http://www.cmt.com/artists/billy-joe-shaver/"} +{"d:Title": "Victoria Shaw", "d:Description": "Official page features biography, merchandise, fan club, lyrics, photos, and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shaw,_Victoria", "url": "http://www.victoriashaw.com/"} +{"d:Title": "Artist Direct: Victoria Shaw", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shaw,_Victoria", "url": "http://www.artistdirect.com/artist/victoria-shaw/492075"} +{"d:Title": "CMT.com: Victoria Shaw", "d:Description": "Biography, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shaw,_Victoria", "url": "http://www.cmt.com/artists/victoria-shaw/"} +{"d:Title": "Dorothy Shay's Silly Putty Gimmick Worked: Why?", "d:Description": "Biographical essay by Bill Foley in the Florida Times-Union, April 2, 2000.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shay,_Dorothy", "url": "http://www.jacksonville.com/tu-online/stories/040500/nef_2646742.html"} +{"d:Title": "'Uncle Fud' Projected Over Mystery Voice", "d:Description": "Bill Foley recalls an unusual Dorothy Shay performance for the Florida Times-Union, April 23, 1997.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shay,_Dorothy", "url": "http://www.jacksonville.com/tu-online/stories/042397/allFoley.html"} +{"d:Title": "Crazy for SheDaisy", "d:Description": "Provides photos, biography, audio clips, tour dates, lyrics, forum, interviews, and fan mail information..", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/SheDaisy", "url": "http://members.tripod.com/~PLEASURES_25/shedaisy.html"} +{"d:Title": "CMT - SheDaisy", "d:Description": "Includes biography, discography, tour information, videos, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/SheDaisy", "url": "http://www.cmt.com/artists/shedaisy/"} +{"d:Title": "Blake Shelton Country", "d:Description": "News, biographies, articles, audio clips, lyrics, photos, tour dates, merchandise, messages, fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shelton,_Blake", "url": "http://blakeshelton.com/"} +{"d:Title": "CD Review: Blake Shelton", "d:Description": "Shelly Fabian's review of \"Austin.\"", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shelton,_Blake", "url": "http://countrymusic.about.com/library/blblakesheltonrev.htm"} +{"d:Title": "CMT.com: Shenandoah", "d:Description": "Biography, discography, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shenandoah", "url": "http://www.cmt.com/artists/shenandoah-00/"} +{"d:Title": "The Official Marty Raybon Web Page", "d:Description": "Official Marty Raybon Web Page", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Shenandoah/Raybon,_Marty", "url": "http://www.martyraybon.com/"} +{"d:Title": "CMT.com: T.G. Sheppard", "d:Description": "Biography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sheppard,_T.G.", "url": "http://www.cmt.com/artists/tg-sheppard/"} +{"d:Title": "CMT.com: Daryle Singletary", "d:Description": "Biography, tour dates, discography, photos, audio clips, video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Singletary,_Daryle", "url": "http://www.cmt.com/artists/daryle-singletary/"} +{"d:Title": "Twangin'! Ricky Skaggs", "d:Description": "Interview with Ricky Skaggs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Skaggs,_Ricky", "url": "http://www.steamiron.com/twangin/int-skaggs.html"} +{"d:Title": "Artist Direct: Ricky Skaggs", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Skaggs,_Ricky", "url": "http://www.artistdirect.com/artist/ricky-skaggs/493857"} +{"d:Title": "CMT.com: Ricky Skaggs", "d:Description": "Biography, discography, photos, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Skaggs,_Ricky", "url": "http://www.cmt.com/artists/ricky-skaggs/"} +{"d:Title": "Who Are the Tex Pistols", "d:Description": "Biographies of Brian Sklar and the other band members, with pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sklar,_Brian", "url": "http://www.texpistols.com/"} +{"d:Title": "Country Standard Time: Connie Smith", "d:Description": "Review of \"The Essential Connie Smith,\" RCA, 1996.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Smith,_Connie", "url": "http://www.countrystandardtime.com/d/cdreview.asp?xid=605"} +{"d:Title": "CMT.com: Connie Smith", "d:Description": "Biography, tour dates, discography, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Smith,_Connie", "url": "http://www.cmt.com/artists/connie-smith/"} +{"d:Title": "CMT.com: Valerie Smith", "d:Description": "Biography, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Smith,_Valerie", "url": "http://www.cmt.com/artists/valerie-smith/"} +{"d:Title": "Blue Guitar Web", "d:Description": "Sound clips, lyrics, tour schedule, discography, biography, merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Smither,_Chris", "url": "http://smither.com/"} +{"d:Title": "iMusic Country Showcase: Chris Smither", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Smither,_Chris", "url": "http://www.artistdirect.com/artist/chris-smither/494858"} +{"d:Title": "Artist Direct: Smokin Armadillos", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Smokin'_Armadillos", "url": "http://www.artistdirect.com/artist/smokin-armadillos/534659"} +{"d:Title": "CMT.com: Smokin' Armadillos", "d:Description": "Biography, discography, photos, fan club information", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Smokin'_Armadillos", "url": "http://www.cmt.com/artists/smokin-armadillos/"} +{"d:Title": "The Hank Snow Country Music Centre", "d:Description": "The Hank Snow Country Music Centre celebrates the Nova Scotia singer and other country musicians from Canada.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Snow,_Hank", "url": "http://www.hanksnow.com/"} +{"d:Title": "CMT.com: Hank Snow", "d:Description": "Biography, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Snow,_Hank", "url": "http://www.cmt.com/artists/hank-snow/"} +{"d:Title": "Jo-El Sonnier, King Of Cajun", "d:Description": "The King Of Cajun's official page, with tour dates, discography, news, reviews, and photo gallery. Music streamed by RealPlayer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sonnier,_Jo-El", "url": "http://www.jo-elsonnier.com/"} +{"d:Title": "Artist Direct - Sons of the Desert", "d:Description": "Includes photos, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sons_of_the_Desert", "url": "http://www.artistdirect.com/artist/sons-of-the-desert/578619"} +{"d:Title": "CMT.com: Sons of the Desert", "d:Description": "Biography, tour dates, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sons_of_the_Desert", "url": "http://www.cmt.com/artists/sons-of-the-desert-00/"} +{"d:Title": "CMT.com: Sons of the Pioneers", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sons_of_the_Pioneers", "url": "http://www.cmt.com/artists/the-sons-of-the-pioneers/"} +{"d:Title": "Sons of the San Joaquin", "d:Description": "Join the Sons of the San Joaquin Fan Club and gain access to member benefits including songs and photographs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sons_of_the_San_Joaquin,_The", "url": "http://www.thesons.com/"} +{"d:Title": "Artist Direct: South Sixty-Five", "d:Description": "Includes photos, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/South_Sixty_Five", "url": "http://www.artistdirect.com/artist/south-sixty-five/720411"} +{"d:Title": "CMT.com: South Sixty Five", "d:Description": "Biography, discography, photos, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/South_Sixty_Five", "url": "http://www.cmt.com/artists/south-sixty-five/"} +{"d:Title": "CMT.com: Red Sovine", "d:Description": "Profile and sound clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sovine,_Red", "url": "http://www.cmt.com/artists/red-sovine/"} +{"d:Title": "The Statler Brothers", "d:Description": "Official Web site.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Statler_Brothers,_The", "url": "http://thestatlerbrothers.com/"} +{"d:Title": "CMT.com: The Statler Brothers", "d:Description": "Biography, discography, photos, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Statler_Brothers,_The", "url": "http://www.cmt.com/artists/the-statler-brothers/"} +{"d:Title": "Red Steagall", "d:Description": "Award winning western music and poetry from the Master Cowboy Storyteller.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Steagall,_Red", "url": "http://www.redsteagall.com/"} +{"d:Title": "Artist Direct: Red Steagall", "d:Description": "Includes photos, audio clips, biography, album information, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Steagall,_Red", "url": "http://www.artistdirect.com/artist/red-steagall/496965"} +{"d:Title": "CMT.com: Red Steagall", "d:Description": "Biography, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Steagall,_Red", "url": "http://www.cmt.com/artists/red-steagall/"} +{"d:Title": "Duane Steele", "d:Description": "Official site. Biography, photos, music, MP3s, tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Steele,_Duane", "url": "http://duanesteele.com/"} +{"d:Title": "Jam! Showbiz: Duane Steele", "d:Description": "Articles cover the career of the Canadian singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Steele,_Duane", "url": "http://jam.canoe.com/Music/Artists/S/Steele_Duane/"} +{"d:Title": "Artist Direct: Keith Stegall", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stegall,_Keith", "url": "http://www.artistdirect.com/artist/keith-stegall/497110"} +{"d:Title": "CMT.com: Keith Stegall", "d:Description": "Biography, photos, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stegall,_Keith", "url": "http://www.cmt.com/artists/keith-stegall/"} +{"d:Title": "Ray Stevens", "d:Description": "Official page of the Comedy King of Music City (Nashville) USA. Country Music and Comedy with secure online ordering.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stevens,_Ray", "url": "http://www.raystevens.com/"} +{"d:Title": "Artist Direct: Ray Stevens", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stevens,_Ray", "url": "http://www.artistdirect.com/artist/ray-stevens/497490"} +{"d:Title": "CMT.com: Ray Stevens", "d:Description": "Biography, discography, photos, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stevens,_Ray", "url": "http://www.cmt.com/artists/ray-stevens/"} +{"d:Title": "Artist Direct: Larry Stewart", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stewart,_Larry", "url": "http://www.artistdirect.com/artist/larry-stewart/497608"} +{"d:Title": "Cybercountry.com - Shane Stockton", "d:Description": "Article.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stockton,_Shane", "url": "http://www.cybercountry.com/shane.html"} +{"d:Title": "Artist Direct: Kim Stockwood", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stockwood,_Kim", "url": "http://www.artistdirect.com/artist/kim-stockwood/563039"} +{"d:Title": "CMT.com: Cliffie Stone", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stone,_Cliffie", "url": "http://www.cmt.com/artists/cliffie-stone/"} +{"d:Title": "Artist Direct: Doug Stone", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stone,_Doug", "url": "http://www.artistdirect.com/artist/doug-stone/497920"} +{"d:Title": "CMT.com: Doug Stone", "d:Description": "Biography, tour dates, discography, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stone,_Doug", "url": "http://www.cmt.com/artists/doug-stone-2/"} +{"d:Title": "CountryMusicPlanet: Ernest Stoneman's Country Dynasty", "d:Description": "Profiles of Pop Stoneman and daughter Roni, the Heehaw banjo star, with audio clips and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stoneman_Family,_The", "url": "http://www.countrymusicplanet.com/roni.htm"} +{"d:Title": "Mountain Memories", "d:Description": "Review of the Stoneman Family Festival in Willis, Virginia, with contact information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stoneman_Family,_The", "url": "http://www.mtnlaurel.com/Crafts/stoneman.htm"} +{"d:Title": "Amanda Stott", "d:Description": "Official page features photos, news, music, and an interview.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stott,_Amanda", "url": "http://www.amandastott.com/"} +{"d:Title": "Canoe.ca: Amanda Stott", "d:Description": "Archive of articles and reviews pertaining to the singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stott,_Amanda", "url": "http://jam.canoe.com/Music/Artists/S/Stott_Amanda/"} +{"d:Title": "Entertainment Ave: George Strait with Terri Clark", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Strait,_George", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/george_strait/gs091396.htm"} +{"d:Title": "George Strait Online", "d:Description": "Official site.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Strait,_George", "url": "http://www.georgestrait.com/"} +{"d:Title": "George Strait Concert Photos", "d:Description": "Concert photographs from 1985 to present.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Strait,_George", "url": "http://straitconcertphotos.homestead.com/titlepage.html"} +{"d:Title": "My George Strait Site", "d:Description": "Fan page with biography, photos, concert report, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Strait,_George", "url": "http://g.strait.kristen.tripod.com/index5.html"} +{"d:Title": "So You Like George Strait", "d:Description": "George Strait page created by someone who was there in the early years", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Strait,_George", "url": "http://www.soyoulikegeorgestrait.com/"} +{"d:Title": "iMusic Country Showcase - George Strait", "d:Description": "Includes photos, audio clips, biography, album information, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Strait,_George", "url": "http://www.artistdirect.com/artist/george-strait/498133"} +{"d:Title": "CMT.com: George Strait", "d:Description": "Biography, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Strait,_George", "url": "http://www.cmt.com/artists/george-strait/"} +{"d:Title": "Entertainment Ave: The Double Trouble Tour", "d:Description": "Review of a concert with Travis Tritt and Marty Stuart at The Star Plaza Theatre in Merrillville, Indiana.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stuart,_Marty", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/t/travis_tritt/ttms082496.htm"} +{"d:Title": "10 Questions with Marty Stuart", "d:Description": "Autograph Collector Online interview.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stuart,_Marty", "url": "http://www.martystuart.com/ZArt-Autograph-9-97.htm"} +{"d:Title": "Artist Direct: Marty Stuart", "d:Description": "Includes photographs, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stuart,_Marty", "url": "http://www.artistdirect.com/artist/marty-stuart/498473"} +{"d:Title": "CMT.com: Marty Stuart", "d:Description": "Biography, tour dates, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Stuart,_Marty", "url": "http://www.cmt.com/artists/marty-stuart/"} +{"d:Title": "Artist Direct - Doug Supernaw", "d:Description": "Includes photos, audio clips, biography, album information, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Supernaw,_Doug", "url": "http://www.artistdirect.com/artist/doug-supernaw/498943"} +{"d:Title": "CMT.com: Doug Supernaw", "d:Description": "Biography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Supernaw,_Doug", "url": "http://www.cmt.com/artists/doug-supernaw/"} +{"d:Title": "Sweethearts of the Rodeo", "d:Description": "Biography, photographs, message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sweethearts_of_the_Rodeo", "url": "http://sweetrodeo.tripod.com/"} +{"d:Title": "Fame Review: Beautiful Lies", "d:Description": "A review written for the Folk and Acoustic Music Exchange.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sweethearts_of_the_Rodeo", "url": "http://www.acousticmusic.com/fame/p00373.htm"} +{"d:Title": "CMT.com: Sweethearts of the Rodeo", "d:Description": "Biography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Sweethearts_of_the_Rodeo", "url": "http://www.cmt.com/artists/sweethearts-of-the-rodeo-00/"} +{"d:Title": "Taylor Swift", "d:Description": "Official site features biography, journal, news, audio and video, merchandise, Q&A section, pictures, tour dates and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Swift,_Taylor", "url": "http://taylorswift.com/"} +{"d:Title": "Taylor Swift Fansite", "d:Description": "Includes photos, videos, a biography and quotes.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Swift,_Taylor", "url": "http://www.taylorallisonswift.webs.com/"} +{"d:Title": "Taylor Swift Lyrics", "d:Description": "Contains lyrics, discography and videos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/S/Swift,_Taylor", "url": "http://www.taylor-swift-lyrics.net/"} +{"d:Title": "Thompson, Heather Walker", "d:Description": "Biography of the singer with show dates, audio clips, song list, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T", "url": "http://hmt197744.tripod.com/"} +{"d:Title": "Taylor, Marty", "d:Description": "Photos, links, reviews, a biography and guestbook.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T", "url": "http://www.mar-taymusic.0catch.com/"} +{"d:Title": "Taylor, Larry Joe", "d:Description": "Official site. Biography, news, tour schedule, products and albums for sale, and details about his annual Texas Music Festival and Chili Cookoff.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T", "url": "http://www.larryjoetaylor.com/"} +{"d:Title": "Official Chalee Tennison Fan Club", "d:Description": "Includes biography, news, sound files, pictures, and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tennison,_Chalee", "url": "http://chaleetennisonfanclub.faithweb.com/"} +{"d:Title": "CMT.com: Chalee Tennison", "d:Description": "Biography, discography, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tennison,_Chalee", "url": "http://www.cmt.com/artists/chalee-tennison/"} +{"d:Title": "Artist Direct: Texas Tornados", "d:Description": "Includes pictures, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Texas_Tornados", "url": "http://www.artistdirect.com/artist/texas-tornados/500924"} +{"d:Title": "MTV: Texas Tornados", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Texas_Tornados", "url": "http://www.mtv.com/artists/texas-tornados/"} +{"d:Title": "Hank Thompson's Official Home Page", "d:Description": "Official home page. Includes profile, discography, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Thompson,_Hank", "url": "http://www.hankthompson.com/"} +{"d:Title": "Artist Direct: Hank Thompson", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Thompson,_Hank", "url": "http://www.artistdirect.com/artist/hank-thompson/501477"} +{"d:Title": "CMT.com: Hank Thompson", "d:Description": "Biography, discography, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Thompson,_Hank", "url": "http://www.cmt.com/artists/hank-thompson/"} +{"d:Title": "CMT.com: Thompson Brothers Band", "d:Description": "Biography, discography, photos, and videoclips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Thompson_Brothers_Band", "url": "http://www.cmt.com/artists/the-thompson-brothers-band/"} +{"d:Title": "CMT.com: Cyndi Thomson", "d:Description": "Artist biography, photos, albums, and videos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Thomson,_Cyndi", "url": "http://www.cmt.com/artists/cyndi-thomson/"} +{"d:Title": "CMT.com: Thrasher Shiver", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Thrasher_Shiver", "url": "http://www.cmt.com/artists/thrasher-shiver/"} +{"d:Title": "Mel Tillis", "d:Description": "Biography, theater information, photo gallery, sound/video, reservations, fan club, and an online gift shop.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillis,_Mel", "url": "http://meltillis.com/"} +{"d:Title": "CMT.com: Mel Tillis", "d:Description": "Biography, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillis,_Mel", "url": "http://www.cmt.com/artists/az/tillis_mel/albums.jhtml"} +{"d:Title": "Artist Direct: Mel Tillis", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillis,_Mel", "url": "http://www.artistdirect.com/artist/mel-tillis/501902"} +{"d:Title": "Entertainment Ave: Pam Tillis", "d:Description": "Review of a concert with Lorrie Morgan and Carlene Carter at The New World Music Theatre in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillis,_Pam", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/t/pam_tillis/pt081896.htm"} +{"d:Title": "Pam Tillis", "d:Description": "Official page. Biography, photos, fan club, tour dates, audio and video clips, and product links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillis,_Pam", "url": "http://www.pamtillis.net/"} +{"d:Title": "Artist Direct: Pam Tillis", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillis,_Pam", "url": "http://www.artistdirect.com/artist/pam-tillis/501903"} +{"d:Title": "CMT.com: Pam Tillis", "d:Description": "Biography, discography, tour dates, photos, audio and video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillis,_Pam", "url": "http://www.cmt.com/artists/pam-tillis/"} +{"d:Title": "CMT.com: Floyd Tillman", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tillman,_Floyd", "url": "http://www.cmt.com/artists/floyd-tillman/"} +{"d:Title": "Rick Tippe", "d:Description": "Official website.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tippe,_Rick", "url": "http://www.ricktippe.com/"} +{"d:Title": "Artist Direct: Aaron Tippin", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tippin,_Aaron", "url": "http://www.artistdirect.com/artist/aaron-tippin/502039"} +{"d:Title": "CMT.com: Aaron Tippin", "d:Description": "Biography, tour information, discography, photos, audioclips, and videoclips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tippin,_Aaron", "url": "http://www.cmt.com/artists/aaron-tippin/"} +{"d:Title": "Aaron Tippin", "d:Description": "Biography, tour information, fan club, merchandise, photo gallery, and email news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tippin,_Aaron", "url": "http://www.aarontippin.com/"} +{"d:Title": "Aaron Tippin is What This Country Needs", "d:Description": "Photos from his concerts.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tippin,_Aaron", "url": "http://myblueangel.tripod.com/"} +{"d:Title": "The Tractors", "d:Description": "The official web site of The Tractors, Arista/Nashville recording artist, based in Tulsa, Oklahoma., made up of veteran sidemen Steve Ripley, Walt Richmond, Jamie Oldaker, Casey Van Beek, and Ron Getman.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tractors,_The", "url": "http://www.thetractors.com/"} +{"d:Title": "Artist Direct: The Tractors", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tractors,_The", "url": "http://www.artistdirect.com/artist/tractors/502808"} +{"d:Title": "CMT.com: The Tractors", "d:Description": "Biography, discography, and videoclips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tractors,_The", "url": "http://www.cmt.com/artists/tractors/"} +{"d:Title": "Merle Travis remembered", "d:Description": "As originally printed in Now Dig This. Used by permission of Ray Campi.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Travis,_Merle", "url": "http://electricearl.com/campi-17.html"} +{"d:Title": "CMT.com: Merle Travis", "d:Description": "Biography and features.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Travis,_Merle", "url": "http://www.cmt.com/artists/merle-travis/"} +{"d:Title": "Artist Direct: Randy Travis", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Travis,_Randy", "url": "http://www.artistdirect.com/artist/randy-travis/502950"} +{"d:Title": "CMT.com: Randy Travis", "d:Description": "Provides a discography and RealAudio and QuickTime clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Travis,_Randy", "url": "http://www.cmt.com/artists/randy-travis/"} +{"d:Title": "Randy Travis", "d:Description": "Official page features news, biography, albums, audio clips, film credits, tour dates, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Travis,_Randy", "url": "http://www.randytravis.com/"} +{"d:Title": "Official Rick Trevino Page", "d:Description": "Biography, photo gallery, concert news, screensaver, and fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Trevino,_Rick", "url": "http://www.ricktrevino.com/"} +{"d:Title": "Artist Direct: Rick Trevino", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Trevino,_Rick", "url": "http://www.artistdirect.com/artist/rick-trevino/503069"} +{"d:Title": "CMT.com: Rick Trevino", "d:Description": "Biography, tour information, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Trevino,_Rick", "url": "http://www.cmt.com/artists/"} +{"d:Title": "CD Review: Trick Pony", "d:Description": "Review of the band's first album, by Jolene Downs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Trick_Pony", "url": "http://countrymusic.about.com/library/bltrickponyrev.htm"} +{"d:Title": "Entertainment Ave: The Double Trouble Tour", "d:Description": "Review of a concert with Travis Tritt and Marty Stuart at The Star Plaza Theatre in Merrillville, Indiana.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tritt,_Travis", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/t/travis_tritt/ttms082496.htm"} +{"d:Title": "Artist Direct: Travis Tritt", "d:Description": "Includes photos, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tritt,_Travis", "url": "http://www.artistdirect.com/artist/travis-tritt/503237"} +{"d:Title": "CMT.com: Travis Tritt", "d:Description": "Biography, discography, audioclips, and videoclips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tritt,_Travis", "url": "http://www.cmt.com/artists/travis-tritt/"} +{"d:Title": "I Thought I Was Dreaming", "d:Description": "Song written by Greg Trooper and Steve Earle. WAV file", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Trooper,_Greg", "url": "http://www.steveearle.net/sounds/ithoughtiwasdreaming.htm"} +{"d:Title": "Greg Trooper", "d:Description": "Official page, with news, reviews, tour dates, biography, and albums.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Trooper,_Greg", "url": "http://www.gregtrooper.com/"} +{"d:Title": "Country Swing", "d:Description": "Sound clips, discography with links, and games.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tubb,_Ernest", "url": "http://www.countryswing.com/"} +{"d:Title": "Ernest Tubb and the Texas Troubadours", "d:Description": "Listen to cuts from only true live recording of Ernest Tubb. Ernest Tubb and the Texas Troubadours the Complete Live 1965 Show.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tubb,_Ernest", "url": "http://www.camelotmedia.com/ernesttubb.html"} +{"d:Title": "CMT.com: Ernest Tubb", "d:Description": "Biography, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tubb,_Ernest", "url": "http://www.cmt.com/artists/ernest-tubb/"} +{"d:Title": "CMT.com: Justin Tubb", "d:Description": "Biography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tubb,_Justin", "url": "http://www.cmt.com/artists/justin-tubb/"} +{"d:Title": "Artist Direct: Tanya Tucker", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tucker,_Tanya", "url": "http://www.artistdirect.com/artist/tanya-tucker/503592"} +{"d:Title": "CMT.com: Tanya Tucker", "d:Description": "Biography, articles, tour information, discography, photos, audio and video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tucker,_Tanya", "url": "http://www.cmt.com/artists/tanya-tucker/"} +{"d:Title": "Yahoo: Conway Twitty Fan Club", "d:Description": "Fan messages and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Twitty,_Conway", "url": "http://groups.yahoo.com/group/conwaytwiitybirdsfans/"} +{"d:Title": "Artist Direct: Conway Twitty", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Twitty,_Conway", "url": "http://www.artistdirect.com/artist/conway-twitty/503973"} +{"d:Title": "The Legendary Ian Tyson", "d:Description": "Official page offers news, awards, albums, reviews, and audio samples.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tyson,_Ian", "url": "http://www.iantyson.com/"} +{"d:Title": "Artist Direct: Ian Tyson", "d:Description": "News, biography, discography and related artists.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tyson,_Ian", "url": "http://www.artistdirect.com/artist/ian-tyson/504100"} +{"d:Title": "CMT.com: Ian Tyson", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/T/Tyson,_Ian", "url": "http://www.cmt.com/artists/ian-tyson/"} +{"d:Title": "Big Bruno's Keith Urban Web Page", "d:Description": "Fan site with photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.bigbruno.com/celebrities/keithurban.html"} +{"d:Title": "Keith Urban", "d:Description": "Biography, links, fan club, reviews and personal site tributes.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.angelfire.com/ca2/aquamarine/keithurban.html"} +{"d:Title": "Keith Urban: Australia's Gift", "d:Description": "Fan page. Biography, pictures, audio samples, links to videos, links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.members.tripod.com/msspaceyspice/index.htm"} +{"d:Title": "Urban Legend: A Keith Urban Fan Site", "d:Description": "Includes candid concert photos, a biography, and tour news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.writeinstyle.com/keithurban/"} +{"d:Title": "Keith Urban - Official Web Site", "d:Description": "A collection of photos, audio and video clips, contact and fan club information.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.keithurban.net/"} +{"d:Title": "About.Com - Keith Urban", "d:Description": "Review of Keith Urban's self titled CD.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://countrymusic.about.com/library/weekly/aa011700a.htm"} +{"d:Title": "Aussome Keith Urban", "d:Description": "Photos, forums, news and reviews, tour schedule, audio clips, links, fan pictures and trivia.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://aussomekeithurban.homestead.com/"} +{"d:Title": "Keith Urban Fans", "d:Description": "News, concert photos, discography with audio clips, message board, and fan features.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.keithurbanfans.com/"} +{"d:Title": "UnRated Magazine: Keith Urban", "d:Description": "Review from Macon, GA on November 26, 2004", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=183"} +{"d:Title": "CMT.com: Keith Urban", "d:Description": "Includes biography, discography, news updates and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.cmt.com/artists/keith-urban/"} +{"d:Title": "UMG Nashville: Keith Urban", "d:Description": "Record label site with news, audio and video clips, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/U/Urban,_Keith", "url": "http://www.umgnashville.com/our-artists/keith-urban/"} +{"d:Title": "Volkaert, Redd", "d:Description": "One of Merle Haggard's guitarists. Biography, discography, photos and a 'Lick of the month' section.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/V", "url": "http://www.reddvolkaert.net/"} +{"d:Title": "Completely Unofficial Phil Vassar Website", "d:Description": "Information on the singer/songwriter including audio clips from his albums and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/V/Vassar,_Phil", "url": "http://philvassar.tripod.com/"} +{"d:Title": "Phil Vassar", "d:Description": "Official site includes music, video, downloads, message board, and schedule. Requires Flash.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/V/Vassar,_Phil", "url": "http://www.philvassar.com/"} +{"d:Title": "Indiana Phil Phans", "d:Description": "Fan page that includes photos with fans, concert photos, and artist information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/V/Vassar,_Phil", "url": "http://www.angelfire.com/indie/philphans/"} +{"d:Title": "Williams, Clint", "d:Description": "Featuring information on the artist, releases, and tour dates. Also includes photos, merchandise, and a fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.clintwilliams.com/"} +{"d:Title": "West, Ashley", "d:Description": "Teenage female country/rock artist, with biography, news, audio clips, photos, tour dates, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.ashleywest.com/"} +{"d:Title": "Wolf River Band", "d:Description": "Biographies and pictures of the Tennessee band with audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.angelfire.com/tn3/karentn0/"} +{"d:Title": "Wayne, Dallas", "d:Description": "Official page includes discography, merchandise, a biography, reviews, and tour schedule.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.dallaswayne.com/"} +{"d:Title": "Wagner, Pop", "d:Description": "Profile of the singer/lasso twirler. Album information, audio and video clips, and performance schedule.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.popwagner.com/"} +{"d:Title": "Wertz, Una Belle and Bob Krouse", "d:Description": "Official page. Profile of the duo with photos, audio clips, and album information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.mkoc.com/Horizon/wertz-krouse.htm"} +{"d:Title": "Wallace, Wally and Paladin", "d:Description": "Information about the Central Texas singer and Paladin Band, with show dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.angelfire.com/music4/paladin/"} +{"d:Title": "Watson, Aaron", "d:Description": "Includes biography, schedule, merchandise, discography, and photographs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.aaronwatson.com/"} +{"d:Title": "Watmough, Larry", "d:Description": "Canadian composer and entertainer's official page with photos and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.larrywatmough.ca/"} +{"d:Title": "Winn, Babs", "d:Description": "Miss Babs&the Kickin' Boogie Band play country at various places in New York City.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://www.babswinn.com/"} +{"d:Title": "Weines, Kenneth", "d:Description": "News, reviews, tour dates, music samples, photos, booking information and a biography about this Norwegian country music singer.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "https://www.facebook.com/pages/Kenneth-Weines-Official-Site/512509695458571"} +{"d:Title": "Wells, Logan", "d:Description": "Country / pop / folk singer and songwriter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "http://web.sssnet.com/loganwells/"} +{"d:Title": "Wilson, Gretchen", "d:Description": "Official page for the \"Redneck Woman\" from Pocahontas, Illinois, with biography, photos, and tour dates.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W", "url": "https://www.facebook.com/gretchenwilson"} +{"d:Title": "CMT.com: Porter Wagoner", "d:Description": "Biography, articles, discography, and images.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wagoner,_Porter", "url": "http://www.cmt.com/artists/porter-wagoner/"} +{"d:Title": "Artist Direct: Clay Walker", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Walker,_Clay", "url": "http://www.artistdirect.com/artist/clay-walker/515676"} +{"d:Title": "All Music Guide: Clay Walker", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Walker,_Clay", "url": "http://www.allmusic.com/artist/clay-walker-mn0000118189"} +{"d:Title": "CMT.com - Clay Walker", "d:Description": "Biography, articles, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Walker,_Clay", "url": "http://www.cmt.com/artists/clay-walker/"} +{"d:Title": "CMT.com: Don Walser", "d:Description": "Biography, discography, articles, and sound files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Walser,_Don", "url": "http://www.cmt.com/artists/don-walser/"} +{"d:Title": "Steve Wariner", "d:Description": "Article, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wariner,_Steve", "url": "http://members.tripod.com/COUNTRYMUSIC_SINGERS/WARINER.html"} +{"d:Title": "Steve Wariner", "d:Description": "Official site. Itinerary, news, photos, merchandise, biography, fan club.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wariner,_Steve", "url": "http://www.stevewariner.com/"} +{"d:Title": "Artist Direct: Steve Wariner", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wariner,_Steve", "url": "http://www.artistdirect.com/artist/steve-wariner/507338"} +{"d:Title": "CMT.com: Steve Wariner", "d:Description": "Biography, awards, articles, tour information, discography, photos, audio clips, video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wariner,_Steve", "url": "http://www.cmt.com/artists/steve-wariner/"} +{"d:Title": "iMusic Country Showcase: The Warren Brothers", "d:Description": "Includes photos, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Warren_Brothers", "url": "http://www.artistdirect.com/artist/warren-brothers/718224"} +{"d:Title": "CMT.com: Warren Brothers", "d:Description": "Biography, articles, tour information, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Warren_Brothers", "url": "http://www.cmt.com/artists/the-warren-brothers/"} +{"d:Title": "European Dale Watson Friend Site", "d:Description": "Includes picture gallery and extensive discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Watson,_Dale", "url": "http://members.ams.chello.nl/sjzuidem/home.html"} +{"d:Title": "CMT.com: Dale Watson", "d:Description": "Biography and selected discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Watson,_Dale", "url": "http://www.cmt.com/artists/dale-watson/"} +{"d:Title": "FolkLib Index for Doc Watson", "d:Description": "Categorized links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Watson,_Doc", "url": "http://www.folklib.net/index/w/watson_doc.shtml"} +{"d:Title": "Doc's Guitar: The Guitar of Doc Watson", "d:Description": "Explores the music and guitar style of Doc Watson. Includes biography, discography, learning resources, videography and tablature.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Watson,_Doc", "url": "http://www.docsguitar.com/"} +{"d:Title": "Doc Watson - American Folk Music Legend", "d:Description": "Biographical site with pictures and sound clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Watson,_Doc", "url": "http://www.ibiblio.org/DocWat/DocWat.html"} +{"d:Title": "Doc Watson Exhibit", "d:Description": "Information, sound, and graphics concerning the legendary folk, bluegrass and old-timey guitarist and vocalist; from the University of North Carolina at Chapel Hill.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Watson,_Doc", "url": "http://www.ibiblio.org/DocWat/"} +{"d:Title": "CMT.com: Doc Watson", "d:Description": "Biography, portrait, brief discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Watson,_Doc", "url": "http://www.cmt.com/artists/doc-watson/"} +{"d:Title": "Kevin Welch", "d:Description": "Official page with biography, news, articles, lyrics, and fan features.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Welch,_Kevin", "url": "http://www.kevinwelch.com/"} +{"d:Title": "CMT: Kevin Welch", "d:Description": "Biography, discography, and articles about the Oklahoma singer/songwriter.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Welch,_Kevin", "url": "http://www.cmt.com/artists/kevin-welch/"} +{"d:Title": "Kitty Wells", "d:Description": "The Queen of Country Music and Hall of Fame member. Merchandise, tour schedule, and history.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wells,_Kitty", "url": "http://www.kittywells.com/"} +{"d:Title": "iMusic Country Showcase: Kitty Wells", "d:Description": "Biography, audio clips, and album information with ratings.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wells,_Kitty", "url": "http://www.artistdirect.com/artist/kitty-wells/508588"} +{"d:Title": "CMT.com: Kitty Wells", "d:Description": "Biography, selected discography, images, and articles.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wells,_Kitty", "url": "http://www.cmt.com/artists/kitty-wells/"} +{"d:Title": "Dottie West", "d:Description": "Fan page. Pictures, biography, sound clips, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/West,_Dottie", "url": "http://members.tripod.com/~DottieWest/"} +{"d:Title": "CMT.com: Dottie West", "d:Description": "Biography, discography, and images.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/West,_Dottie", "url": "http://www.cmt.com/artists/dottie-west/"} +{"d:Title": "Cheryl Wheeler", "d:Description": "Includes tour dates, biography, and CD information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wheeler,_Cheryl", "url": "http://cherylwheeler.com/"} +{"d:Title": "CMT.com: Cheryl Wheeler", "d:Description": "Biography, articles, discography, and images.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wheeler,_Cheryl", "url": "http://www.cmt.com/artists/cheryl-wheeler/"} +{"d:Title": "Bryan White and The Right Place", "d:Description": "A fan site for the country music artist featuring biography, pictures, discography, forum, and fan page.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Bryan", "url": "http://www.angelfire.com/il2/bryanwhite/index.html"} +{"d:Title": "Bryan White ~ Uncut", "d:Description": "Photos, biography, lyrics, articles, postcards, mailing list.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Bryan", "url": "http://www.musicfanclubs.org/bryanwhite/index.html"} +{"d:Title": "Entertainment Ave: Bryan White", "d:Description": "Review of a concert at The Star Plaza Theatre in Merrillville, Indiana.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Bryan", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/w/bryan_white/bw102596.htm"} +{"d:Title": "Artist Direct: Bryan White", "d:Description": "Includes photographs, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Bryan", "url": "http://www.artistdirect.com/artist/bryan-white/509146"} +{"d:Title": "CMT.com: Bryan White", "d:Description": "Biography, awards, articles, tour information, discography, photos, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Bryan", "url": "http://www.cmt.com/artists/bryan-white/"} +{"d:Title": "ArtistDirect: Joy Lynn White", "d:Description": "Contains biography, tour dates, discography and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Joy_Lynn", "url": "http://www.artistdirect.com/artist/joy-lynn-white/509217"} +{"d:Title": "CMT.com: Joy Lynn White", "d:Description": "A brief biography and a picture. Plus information on the \"Lucky Few\" album.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Joy_Lynn", "url": "http://www.cmt.com/artists/joy-lynn-white/"} +{"d:Title": "Artist Direct: Lari White", "d:Description": "Includes photographs, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Lari", "url": "http://www.artistdirect.com/artist/lari-white/509228-631237-1"} +{"d:Title": "CMT.com: Lari White", "d:Description": "Discography and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/White,_Lari", "url": "http://www.cmt.com/artists/lari-white/"} +{"d:Title": "Keith Whitley", "d:Description": "Article.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Whitley,_Keith", "url": "http://bostonphoenix.com/alt1/archive/music/reviews/02-01-96/REX/KEITH_WHITLEY.html"} +{"d:Title": "Dora's Keith Whitley Photo Tribute", "d:Description": "Photographic profile of the country star with pictures, biography, timeline, and letters from fans.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Whitley,_Keith", "url": "http://www.angelfire.com/music2/KeithWhitley99/KW1.html"} +{"d:Title": "CMT.com - Keith Whitley", "d:Description": "Biography, awards, discography, audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Whitley,_Keith", "url": "http://www.cmt.com/artists/keith-whitley/"} +{"d:Title": "Artist Direct: Rusty Wier", "d:Description": "Includes discography and products.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wier,_Rusty", "url": "http://www.artistdirect.com/music/artist/card/0,,508397,00.html"} +{"d:Title": "The Unofficial Wilkinsons Web Site", "d:Description": "Contains lyrics, tour information, message forums, live chat and a collection of links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wilkinsons,_The", "url": "http://www.angelfire.com/sd/wilkinsons/index.html"} +{"d:Title": "The Wilkinsons Way", "d:Description": "A fansite for country group, The Wilkinsons. Includes photos, music, lyrics, tour dates, and free e-mail.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wilkinsons,_The", "url": "http://www.wilkinsons.fanspace.com/"} +{"d:Title": "The Wilkinsons Zone", "d:Description": "Photo gallery including live concert photos, fan club, news, biography, reviews, fan wall, lyrics, tour information, awards and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wilkinsons,_The", "url": "http://www.angelfire.com/me2/gena/index.html"} +{"d:Title": "The Wilkinsons Fansite", "d:Description": "Free screen saver, news, group information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wilkinsons,_The", "url": "http://members.tripod.com/AWilkinsonsFan/main.htm"} +{"d:Title": "CMT.com: The Wilkinsons", "d:Description": "Biography, articles, tour information, discography, audio clips, video clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wilkinsons,_The", "url": "http://www.cmt.com/artists/the-wilkinsons/"} +{"d:Title": "Canoe.ca: The Wilkinsons", "d:Description": "Articles about the Canadian band from Ontario, with biographies and musical career notes.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wilkinsons,_The", "url": "http://jam.canoe.com/Music/Artists/W/Wilkinsons/"} +{"d:Title": "Manfong's Wilkinsons Worldwide Site", "d:Description": "Fan site. Biography, message board, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wilkinsons,_The", "url": "http://www.angelfire.com/ut/mpz/mfwilkinsons.html"} +{"d:Title": "Don Williams", "d:Description": "Official site.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Don", "url": "http://www.don-williams.com/"} +{"d:Title": "Don Williams", "d:Description": "Fan page. Detailed discography and song list, biography, articles, and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Don", "url": "http://don-williams-fan.tripod.com/"} +{"d:Title": "CMT.com: Don Williams", "d:Description": "Biography, awards, articles, discography, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Don", "url": "http://www.cmt.com/artists/don-williams/"} +{"d:Title": "Hank Williams, Sr.: A Look Back", "d:Description": "From the Alabama Department of Archives and History. Includes vintage photos, magazine and newspaper clippings and obituaries.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank", "url": "http://www.archives.state.al.us/hank/"} +{"d:Title": "Hank Williams Appreciation Society International", "d:Description": "Articles and sound clips, including a recorded apology for a missed 1952 concert.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank", "url": "http://www3.sympatico.ca/tomlipscombe/hwasi.html"} +{"d:Title": "Hank Williams: Super Star Lives On In Music", "d:Description": "A history and opinion on the life of Hank Williams.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank", "url": "http://www.sologuitarman.0catch.com/hank.html"} +{"d:Title": "Rock&Roll Hall of Fame: Hank Williams", "d:Description": "Provides a short biography and details on Hank's influence on rockabilly, modern rock and country music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank", "url": "http://rockhall.com/inductees/hank-williams/"} +{"d:Title": "CMT.com - Hank Williams", "d:Description": "Biography, awards, articles, discography, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank", "url": "http://www.cmt.com/artists/hank-williams/"} +{"d:Title": "Rockabilly Central: Hank Williams III", "d:Description": "Includes biography, schedule, and photographs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_III", "url": "http://rockabilly.net/hank3/"} +{"d:Title": "Tvcasualty.com: Hank Williams III", "d:Description": "Includes lyrics, mp3s, and photographs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_III", "url": "http://www.tvcasualty.com/hank3/"} +{"d:Title": "Curb.com: Hank Williams 3", "d:Description": "Includes biography, discography, photographs, and tour schedule.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_III", "url": "http://www.curb.com/artists/hw3/"} +{"d:Title": "Hank Williams, Jr.", "d:Description": "Official site. Chat room, discography, concerts, biography, merchandise, message board, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_Jr.", "url": "http://www.hankjr.com/"} +{"d:Title": "Wild Dog's Bocephus Site", "d:Description": "Discography, filmography, tour dates, concert reviews, pictures, and sound files.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_Jr.", "url": "http://rebelblood1.tripod.com/Bocephussite/"} +{"d:Title": "Hank Williams, Jr. and Friends", "d:Description": "Includes biography, complete album list, and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_Jr.", "url": "http://wild_streak.tripod.com/"} +{"d:Title": "Hankville", "d:Description": "Fan page features sound files, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_Jr.", "url": "http://www.hankville.com/"} +{"d:Title": "Artist Direct: Hank Williams, Jr.", "d:Description": "Includes photographs, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_Jr.", "url": "http://www.artistdirect.com/artist/hank-williams-jr/509995"} +{"d:Title": "CMT.com - Hank Williams, Jr", "d:Description": "Biography, awards, discography, audio clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank,_Jr.", "url": "http://www.cmt.com/artists/hank-williams-jr/"} +{"d:Title": "Drifting Cowboys Website", "d:Description": "Dedicated to the longtime backing band of Hank Williams, Sr. and Ray Price.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank/Drifting_Cowboys", "url": "http://www.angelfire.com/ny3/thedriftingcowboys/index.html"} +{"d:Title": "Don Helms", "d:Description": "Brief bio of Hank Williams' influential pedal steel guitarist, from Brad's Page of Steel.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Williams,_Hank/Drifting_Cowboys", "url": "http://www.well.com/user/wellvis/donhelms.html"} +{"d:Title": "Kelly Willis", "d:Description": "Official site of the country singer/songwriter. Includes the latest news, pictures, and a mailing list.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Willis,_Kelly", "url": "http://www.kellywillis.com/"} +{"d:Title": "Southern Music Network: Bob Wills", "d:Description": "Wills created a new art form called western swing, and for over four decades influenced American popular music in general, and country and western music in particular.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Bob", "url": "http://www.southernmusic.net/bobwills.htm"} +{"d:Title": "NPR : Bob Wills, the Fiddler of Western Swing", "d:Description": "Bob Wills would have been 100 years old in 2005. NPR's John Burnett takes a look at the life of the man who with his band, the Texas Playboys, combined jazz and country music to create Western Swing. [11:19 streaming audio broadcast]", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Bob", "url": "http://www.npr.org/templates/story/story.php?storyId=4524467"} +{"d:Title": "Jim Lowe: Western Swing", "d:Description": "A cyberspace tribute to Western Swing music, Bob Wills, Milton Brown and all that wonderful stuff. Recommended books and CDs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Bob", "url": "http://www.yodaslair.com/dumboozle/western/westdex.html"} +{"d:Title": "Rock and Roll Hall of Fame: Bob Wills and His Texas Playboys", "d:Description": "Essay points out how Wills' style influenced rock musicians.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Bob", "url": "http://rockhall.com/inductees/bob-wills-and-his-texas-playboys/"} +{"d:Title": "AMG All Music Guide: Bob Wills", "d:Description": "Biography, labels on which Wills recorded, discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Bob", "url": "http://www.allmusic.com/artist/bob-wills-mn0000074970"} +{"d:Title": "IMDb: Bob Wills", "d:Description": "Film and television credits for Bob Wills as an actor. Includes very short biography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Bob", "url": "http://www.imdb.com/name/nm0932278/"} +{"d:Title": "Mark Wills", "d:Description": "Official site.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Mark", "url": "http://www.markwills.com/"} +{"d:Title": "Artist Direct: Mark Wills", "d:Description": "Includes photographs, audio clips, biography, album information and news.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Mark", "url": "http://www.artistdirect.com/artist/mark-wills/551134"} +{"d:Title": "CMT.com: Mark Wills", "d:Description": "Biography, awards, articles, tour information, discography, audio and video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wills,_Mark", "url": "http://www.cmt.com/artists/mark-wills/"} +{"d:Title": "Leeannwomack.com", "d:Description": "Official site, with biography, tour dates, exclusive pictures, fanclub, and complete album lyrics. Does not work well with some browsers.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Womack,_Lee_Ann", "url": "http://www.leeannwomack.com/"} +{"d:Title": "AskMen.com: Lee Ann Womack", "d:Description": "Photos, biography, commentary, and related links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Womack,_Lee_Ann", "url": "http://www.askmen.com/celebs/women/singer_60/86_lee_ann_womack.html"} +{"d:Title": "MTV: Lee Ann Womack", "d:Description": "Artist profile includes biography, discography, and audio clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Womack,_Lee_Ann", "url": "http://www.cmt.com/artists/lee-ann-womack/"} +{"d:Title": "CMT.com: Bob Woodruff", "d:Description": "Biography, discography, photos, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Woodruff,_Bob", "url": "http://www.cmt.com/artists/bob-woodruff/"} +{"d:Title": "CMT.com: Tom Wopat", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wopat,_Tom", "url": "http://www.cmt.com/artists/tom-wopat/"} +{"d:Title": "Rambles.net: Hard Rain Don't Last", "d:Description": "A review of Worley's Dreamworks album and his traditional music.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Worley,_Darryl", "url": "http://www.rambles.net/worley_hardrain.html"} +{"d:Title": "Darryl Worley", "d:Description": "Official page, with a biography of the singer from Tennessee, news, music, video, tour dates, fan club, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Worley,_Darryl", "url": "http://www.darrylworley.com/"} +{"d:Title": "Leo's Lyrics: Darryl Worley", "d:Description": "Lyrics arranged by album title.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Worley,_Darryl", "url": "http://www.leoslyrics.com/artists/2910/"} +{"d:Title": "Chely Wright", "d:Description": "Official page, with promotional and fan photos, tour dates, news, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wright,_Chely", "url": "http://www.chely.com/"} +{"d:Title": "AskMen.com: Chely Wright", "d:Description": "Photos, biography, commentary, and related links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wright,_Chely", "url": "http://www.askmen.com/celebs/women/singer_100/120_chely_wright.html"} +{"d:Title": "CMT.com - Chely Wright", "d:Description": "Biography, awards, articles, tour information, discography, photos, audio and video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wright,_Chely", "url": "http://www.cmt.com/artists/chely-wright/"} +{"d:Title": "Michelle Wright: The Wright Stuff", "d:Description": "Official site with biography, tour dates, pictures, news, and sound bites.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wright,_Michelle", "url": "http://www.michelle-wright.com/"} +{"d:Title": "CMT.com: Michelle Wright", "d:Description": "Biography, articles, discography, images, and sound clips.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wright,_Michelle", "url": "http://www.cmt.com/artists/michelle-wright/"} +{"d:Title": "Tammy Wynette", "d:Description": "Legacy Recordings site for Tammy Wynette.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wynette,_Tammy", "url": "http://www.tammywynette.com/"} +{"d:Title": "CMT.com: Tammy Wynette", "d:Description": "Biography, awards, articles, discography, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/W/Wynette,_Tammy", "url": "http://www.cmt.com/artists/tammy-wynette/"} +{"d:Title": "Young, Chris", "d:Description": "Biography, fan club information, tour schedule, newsletter, music profile and contact information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y", "url": "http://chrisyoungcountry.com/"} +{"d:Title": "Billy Yates: The Official Site", "d:Description": "News, Reviews, Biography, Tour Dates, FanPage.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yates,_Billy", "url": "http://www.billyyates.com/"} +{"d:Title": "Trisha Yearwood", "d:Description": "Official page with news, artist profile, photos, audio and video clips, web board, tour schedule, and merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yearwood,_Trisha", "url": "http://www.trishayearwood.com/"} +{"d:Title": "CMT.com: Trisha Yearwood", "d:Description": "Biography, awards, articles, discography, photos, audio and video clips, fan club information.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yearwood,_Trisha", "url": "http://www.cmt.com/artists/trisha-yearwood/"} +{"d:Title": "IMDb.com: Dwight Yoakam", "d:Description": "Details of the artist's film and television credits.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://www.imdb.com/name/nm0948267/"} +{"d:Title": "TabCountry.com: Dwight Yoakam Guitar Tabs", "d:Description": "Guitar tablature and chords for numerous songs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://www.tabcountry.com/songs/65"} +{"d:Title": "Artist Direct: Dwight Yoakam", "d:Description": "Contains audio and video clips, a biography, and links to merchandise.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,512465,00.html"} +{"d:Title": "Dwight Yoakam", "d:Description": "Official website. Contains news, tour dates and appearances, sample videos and audio tracks, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://www.dwightyoakam.com/"} +{"d:Title": "AOL Music: Dwight Yoakam", "d:Description": "Features full-length videos, downloadable audio tracks, artist biography, discography, tour calendar, photo gallery, and message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://music.aol.com/artist/main.adp?_pgtyp=pdct&artistid=1885#"} +{"d:Title": "Country Tabs", "d:Description": "Guitar tabs and chord charts for the artist's songs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://www.countrytabs.com/bands.asp?id=104"} +{"d:Title": "Allmusic - Dwight Yoakam", "d:Description": "Includes discography, biography, song lists, chart information, awards information, videos, photographs.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://www.allmusic.com/artist/p1885"} +{"d:Title": "CMT.com: Dwight Yoakam", "d:Description": "Includes photo galleries, full-length videos, news items, and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight", "url": "http://www.cmt.com/artists/dwight-yoakam/"} +{"d:Title": "Yoakam's old home waiting for buyer", "d:Description": "Article in The Cincinnati Enquirer about the performer's childhood home in Kentucky.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://www.enquirer.com/editions/2003/03/22/loc_kyyoakam22.html"} +{"d:Title": "Entertainment Ave: Dwight Yoakam", "d:Description": "Concert review, The Rosemont Theatre in Rosemont, IL.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/dwight_yoakam/dy062796.htm"} +{"d:Title": "USATODAY.com", "d:Description": "Blame the Vain review. (Rating: 3 out of 4)", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://www.usatoday.com/life/music/reviews/2005-06-13-listen-up_x.htm"} +{"d:Title": "Illinois Entertainer - Dwight Yoakam Live", "d:Description": "Concert review, Park West, Chicago, August 30, 2006.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://illinoisentertainer.com/2006/09/06/dwight-yoakam-live/"} +{"d:Title": "Yoakam Gives Crowd Everything - Or Almost", "d:Description": "Concert review, St. Petersburg, Florida, June 10, 2006.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://www.sptimes.com/2006/06/11/Artsandentertainment/Yoakam_gives_crowd_ev.shtml"} +{"d:Title": "At the 9:30 Club, Dwight Yoakam Keeps On Rolling (and Rocking, Too)", "d:Description": "Concert review, 9:30 Club, Washington DC, March 15, 2006.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2006/03/16/AR2006031601847.html"} +{"d:Title": "New York Times: Pop Review; Dwight Yoakam Looks Back, Then Peeks at the Future", "d:Description": "Concert review, Hammerstein Ballroom, New York, August 21, 1999.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://www.nytimes.com/1999/08/25/arts/pop-review-dwight-yoakam-looks-back-then-peeks-at-the-future.html"} +{"d:Title": "Dwight Yoakam reinvents himself again - COUNTRY MUSIC - Today", "d:Description": "Blame the Vain review.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://www.today.com/id/8208068"} +{"d:Title": "Dwight Yoakam reaches back into the past | The San Diego Union-Tribune", "d:Description": "Blame the Vain review.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Articles_and_Interviews", "url": "http://legacy.sandiegouniontribune.com/uniontrib/20050630/news_1w30yoakam.html"} +{"d:Title": "Pete Anderson", "d:Description": "Official site of the artist. Includes news, photo gallery, discography, tour dates, and a guestbook.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Babylonian_Cowboys", "url": "http://www.peteanderson.com/"} +{"d:Title": "Jeff Donavan", "d:Description": "Official site for the artist's current project, the Donavan-Muradian Quintet.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Babylonian_Cowboys", "url": "http://www.dmqjazz.com/"} +{"d:Title": "Keith Gattis", "d:Description": "The performer's page at CMT.com, which includes a biography, a discography, and a message board.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Babylonian_Cowboys", "url": "http://www.cmt.com/artists/keith-gattis/"} +{"d:Title": "Dwight Lightning", "d:Description": "A fan site with lots of concert photos, a tour calendar, current news, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Yoakam,_Dwight/Fan_Pages", "url": "http://www.writeinstyle.com/dwightyoakam/"} +{"d:Title": "CMT.com: Faron Young", "d:Description": "Biography, awards, discography, photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Y/Young,_Faron", "url": "http://www.cmt.com/artists/faron-young/"} +{"d:Title": "My Kind of Country: Jesse Zane", "d:Description": "Audio files and concert photos.", "topic": "Top/Arts/Music/Styles/C/Country/Bands_and_Artists/Z/Zane,_Jesse", "url": "http://www.mkoc.com/Horizon/jesse.htm"} +{"d:Title": "WCMS-FM Norfolk", "d:Description": "Country Music Radio - WCMS HomePage: Norfolk, Virginia Country music national radio charts updated daily", "topic": "Top/Arts/Music/Styles/C/Country/Charts", "url": "http://www.wcms.com/"} +{"d:Title": "WSIX-FM Nashville", "d:Description": "Webcast.", "topic": "Top/Arts/Music/Styles/C/Country/Charts", "url": "http://www.wsix.com/"} +{"d:Title": "World Music Charts", "d:Description": "Search 900 pages online for country charts in over 76 countries worldwide updated weekly", "topic": "Top/Arts/Music/Styles/C/Country/Charts", "url": "http://www.lanet.lv/misc/charts/#us"} +{"d:Title": "Southern Gospel Music Online", "d:Description": "Online charts for Southern Country Gospel", "topic": "Top/Arts/Music/Styles/C/Country/Charts/Country_Gospel", "url": "http://www.sogospel.com/"} +{"d:Title": "SolidGospel.com", "d:Description": "A Southern Country Gospel chart resource.", "topic": "Top/Arts/Music/Styles/C/Country/Charts/Country_Gospel", "url": "http://www.solidgospel.com/"} +{"d:Title": "Country Standard Time", "d:Description": "Covers mainstream and alternative country with articles and weblog.", "topic": "Top/Arts/Music/Styles/C/Country/News_and_Media", "url": "http://www.countrystandardtime.com/"} +{"d:Title": "CMT.com: News", "d:Description": "Country Music News features archives of country music news, breaking stories, interviews, concert reviews, obituaries, and weekly columns.", "topic": "Top/Arts/Music/Styles/C/Country/News_and_Media", "url": "http://www.cmt.com/news/"} +{"d:Title": "Southern Country", "d:Description": "Online version of British print magazine covering country music in the United Kingdom.", "topic": "Top/Arts/Music/Styles/C/Country/News_and_Media/Magazines_and_E-zines", "url": "http://www.angelfire.com/sd/scountry/"} +{"d:Title": "Country Weekly Online", "d:Description": "Country music and entertainment magazine. Current news and profiles of country music's biggest names.", "topic": "Top/Arts/Music/Styles/C/Country/News_and_Media/Magazines_and_E-zines", "url": "http://www.countryweekly.com/"} +{"d:Title": "Topix: Country", "d:Description": "News about country music, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/C/Country/News_and_Media/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/country.xml"} +{"d:Title": "Strictly Country", "d:Description": "Provides country music fans news, information, merchandise, links, message boards and a chat room. Official site of the annual Spirit Awards and Fight For The Future Charities.", "topic": "Top/Arts/Music/Styles/C/Country/News_and_Media/Magazines_and_E-zines", "url": "http://www.strictly-country.com/"} +{"d:Title": "Countrylandia", "d:Description": "Country music, Spanish honky tonks list, and line dance choreographies. Links to web pages of country artists.", "topic": "Top/Arts/Music/Styles/C/Country/Personal_Pages", "url": "http://www.countrylandia.com/"} +{"d:Title": "Country Update", "d:Description": "Weekly traditional country music news update with articles, opinions, polls and anything dealing with traditional country music. Submissions from \"Nashville insiders\" and readers.", "topic": "Top/Arts/Music/Styles/C/Country/Personal_Pages", "url": "http://www.angelfire.com/country/countryupdate/index.html"} +{"d:Title": "Country Lovah's Celebrity Photo Galleries", "d:Description": "Sue and Shane's fan page includes Country Music Awards, song charts, and photos.", "topic": "Top/Arts/Music/Styles/C/Country/Personal_Pages", "url": "http://www.angelfire.com/music4/sons/"} +{"d:Title": "WWQM 106 FM -- Madison, WI", "d:Description": "Country music station. Personality profiles, schedule, and streaming audio.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.q106.com/"} +{"d:Title": "WZZK 104.7 FM -- Birmingham, AL", "d:Description": "Birmingham's home for new and classic country/western hits. Offers concert and radio contest information.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.wzzk.com/"} +{"d:Title": "Country Music Radio - WCMS Norfolk VA", "d:Description": "WCMS Radio on the Internet with original pictures, album release dates, and country music news.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.wcms.com/"} +{"d:Title": "KX-96.FM", "d:Description": "CJKX 95.9 fm - Ajax/Durham ON", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.kx96.fm/"} +{"d:Title": "Country 105-FM", "d:Description": "Plays New Country to local and world-wide country music fans via the Internet. Requires Windows Media Player - Calgary, Alberta.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.country105.com/"} +{"d:Title": "KKountry 95", "d:Description": "Plays country hits of today and yesterday.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.kkountry.com/"} +{"d:Title": "Country Radio Stations on the Web", "d:Description": "A list of country music radio sites.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://diro.freeservers.com/coutrylive.htm"} +{"d:Title": "WHKO 99.1FM - Dayton, OH", "d:Description": "New and classic country music for the Miami Valley/Dayton area. Listen to us live with RealAudio.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.k99online.com/"} +{"d:Title": "Country Mailbag", "d:Description": "Each weekday a different star responds by name to listener questions on the radio show. Submit questions, listen to previous responses.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.countrymailbag.com/"} +{"d:Title": "WTCM Traverse City, Mighigan", "d:Description": "Broadcasting \"today's country music\" on WTCM-FM.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.wtcmradio.com/"} +{"d:Title": "WWKA 92.3 FM -- Orlando", "d:Description": "The best and brightest of country music are found here in the Central Florida/Orlando area on K92FM.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.k923orlando.com/"} +{"d:Title": "KASE 101 FM -- Austin, TX", "d:Description": "Delivers 100,000 watts of new hit country to area radios, and on RealAudio.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://kase101.iheart.com/"} +{"d:Title": "98.7 WMZQ FM - Washington, D.C.", "d:Description": "Country WMZQ, plays today's country hits to the DC area. Gives country links, station contact, events and community involvement, among other information.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://wmzq.iheart.com/"} +{"d:Title": "92.5 WESC", "d:Description": "Serves Greenville, Spartanburg, Anderson, and upstate South Carolina with country music, local concert lists, contests, NASCAR and local race schedules, and streaming audio.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://wescfm.iheart.com/"} +{"d:Title": "107-MUS", "d:Description": "Country Favorites for West Michigan. Includes information about country music, NASCAR, and upcoming events.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://107mus.iheart.com/"} +{"d:Title": "KKDY 102.5", "d:Description": "Hot Country KKDY, playing today's hottest country hits. Broadcasting out of West Plains, Missouri.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.ozarkradionews.com/"} +{"d:Title": "KEYB Radio", "d:Description": "Altus, Oklahoma station with Internet broadcast and information about country stars, fan clubs, and links.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://www.keyb108.net/"} +{"d:Title": "WBBS 104.7 -- Syracuse, NY", "d:Description": "B104.7 is Central New York's number one radio station, playing today's best country and all your familiar favorites.", "topic": "Top/Arts/Music/Styles/C/Country/Radio", "url": "http://b1047.iheart.com/"} +{"d:Title": "Audiogrid", "d:Description": "Country CD and performance reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Reviews", "url": "http://www.audiogrid.com/music/index.html"} +{"d:Title": "Jim's Page", "d:Description": "Real Audio clips, original songs, midi files created with Band in a Box, and related musical links.", "topic": "Top/Arts/Music/Styles/C/Country/Songwriters", "url": "http://gemhughs.8m.com/"} +{"d:Title": "Lucky, Gary", "d:Description": "Original songs in RA format created with RealSlideShow. Includes studio information, pictures, online stores, Pokemon and links", "topic": "Top/Arts/Music/Styles/C/Country/Songwriters", "url": "http://garylucky.tripod.com/index.html"} +{"d:Title": "Robertson, Don", "d:Description": "Features pop and country titles and includes a biography, credits and details of awards.", "topic": "Top/Arts/Music/Styles/C/Country/Songwriters", "url": "http://www.donrobertson.com/"} +{"d:Title": "Jim Gramon", "d:Description": "Official site of the storyteller includes pictures and links.", "topic": "Top/Arts/Music/Styles/C/Country/Songwriters", "url": "http://www.jimgramon.com/"} +{"d:Title": "Robertson, Dan", "d:Description": "Austin-based writer of love songs and novelty tunes. Includes lyric sheets and MP3 downloads.", "topic": "Top/Arts/Music/Styles/C/Country/Songwriters", "url": "http://danrobertson0.tripod.com/"} +{"d:Title": "Laylon, Colleen", "d:Description": "Includes a photo gallery, biography, lyrics and reviews.", "topic": "Top/Arts/Music/Styles/C/Country/Songwriters", "url": "http://www.countrysongwriter.indiegroup.com/"} +{"d:Title": "Patchy's Two Steppin Tunes Jukebox Saloon", "d:Description": "Full length, streaming RealAudio files.", "topic": "Top/Arts/Music/Styles/C/Country/Sound_Files", "url": "http://dapatchy.com/country/"} +{"d:Title": "A DJ Index", "d:Description": "DJ and clubbing information, specials on Marcia Carr, Roy The Roach, Diesel, Gilles Peterson and Phil Asher.", "topic": "Top/Arts/Music/Styles/D/Dance", "url": "http://www.djindex.com/"} +{"d:Title": "Shakti Science", "d:Description": "News on underground abstracts, breakbeat label, mailing list, chat room, msg boards, photos and links from New York City.", "topic": "Top/Arts/Music/Styles/D/Dance", "url": "http://www.shaktiscience.com/"} +{"d:Title": "GlobalDance.com", "d:Description": "New dance music site featuring artists, dance music reviews, news, charts, DJ resources, chat rooms, and club culture.", "topic": "Top/Arts/Music/Styles/D/Dance", "url": "http://www.globaldance.com/"} +{"d:Title": "Dee Jay Law", "d:Description": "Entertaining site for dance, club, pop, and hip-hop music enthusiasts. Loaded with music downloads, photos, profiles and on-line broadcasts.", "topic": "Top/Arts/Music/Styles/D/Dance", "url": "http://www.deejaylaw.com/"} +{"d:Title": "About.com: Dance Music", "d:Description": "Weekly guide to dance music with artist and DJ interviews, contests, MP3s, CD and vinyl reviews, top ten charts with RealAudio, new release picks and message board.", "topic": "Top/Arts/Music/Styles/D/Dance", "url": "http://dancemusic.about.com/"} +{"d:Title": "Djhistory.com", "d:Description": "Last Night a DJ Saved my Life, a book telling the story of dance music from the perspective of the DJs. Includes first chapter, interviews of the authors, and reviews.", "topic": "Top/Arts/Music/Styles/D/Dance", "url": "http://www.djhistory.com/"} +{"d:Title": "I Venti d' Azzurro", "d:Description": "Fan club for all types of Italian dance music includes release news, record label database, charts, chats, and links.", "topic": "Top/Arts/Music/Styles/D/Dance", "url": "http://www.iventi.net/"} +{"d:Title": "Dance and Listen Ltd", "d:Description": "Strict tempo ballroom dance music producer in the UK, specializing in standard (modern) and Latin music.", "topic": "Top/Arts/Music/Styles/D/Dance/Ballroom", "url": "http://www.danceandlisten.co.uk/"} +{"d:Title": "Christian Dance Music", "d:Description": "Listings of CDs, Vinyl, and News essential to the Christian DJ.", "topic": "Top/Arts/Music/Styles/D/Dance/Clubbing", "url": "http://www.tastyfresh.com/"} +{"d:Title": "Biggie", "d:Description": "The home of New Zealand's dance music culture. News, Reviews, Photos, Forum and gig guide covering all NZ. House, Drum'n'Bass, Trance, Techno genres in depth.", "topic": "Top/Arts/Music/Styles/D/Dance/Clubbing", "url": "http://www.biggie.co.nz/"} +{"d:Title": "Impulz", "d:Description": "The warm indoor dance party on a cold winter night and a cool outdoor dance event on a hot summer night. A dance festival with national and international DJs with different kind of dance music styles.", "topic": "Top/Arts/Music/Styles/D/Dance/Clubbing", "url": "http://www.impulz.nl/"} +{"d:Title": "SHEJAY : Bringing together women in electronic music", "d:Description": "An online publication and showcase platform supporting the promotion of rising female talent in electronic music. Shejay is a community of talented and passionate DJs, producers, vocalists, artists, and entrepreneurs.", "topic": "Top/Arts/Music/Styles/D/Dance/Clubbing", "url": "http://www.shejay.net/"} +{"d:Title": "Auralsets | DJNY", "d:Description": "New York Underground Nightlife Magazine hosted by DJNY.", "topic": "Top/Arts/Music/Styles/D/Dance/Clubbing", "url": "http://www.auralsets.com/"} +{"d:Title": "d3 productions", "d:Description": "Bringing good vibes to the Los Angeles party scene online. Get event info, buy tickets, or chat it up with the rest of the d3 community in the forum.", "topic": "Top/Arts/Music/Styles/D/Dance/Clubbing", "url": "http://www.deepdownanddirty.com/"} +{"d:Title": "Shades Of Seventies", "d:Description": "Disco classics, high-nrg, after hours music, and early morning selections are covered in MP3 on this salute to this era's music. Special tributes to artists, producers and musicians are also featured.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.shadesofseventies.com/"} +{"d:Title": "DiscoMusic.com", "d:Description": "RealAudio disco, house, and dance music from the '70s and '80s, information on classic vinyl records, discussion board, and Top 500 Disco Songs list.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.discomusic.com/"} +{"d:Title": "Deepdisco.com", "d:Description": "Showcases disco, funk, house and other forms of dance music.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.deepdisco.com/"} +{"d:Title": "Corne's Disco Page", "d:Description": "Discographies of disco, funk, and soul from 1973 to 1986.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://home.concepts.nl/~cderijk/"} +{"d:Title": "Danceclassics.net", "d:Description": "Dedicated to '80s disco and funk music containing RealAudio remixes, old-school dance classics, links, and an MP3 of the week.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.danceclassics.net/"} +{"d:Title": "DiscoDisco.com", "d:Description": "Record labels, remixers, clubs, producers and audio clips for popular and rare disco music of the '70s and '80s.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.disco-disco.com/index.html"} +{"d:Title": "Vintage Disco", "d:Description": "MP3s of disco classics, contemporary dance music, and a message board.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.angelfire.com/nj2/danadisco/"} +{"d:Title": "World's Largest Disco", "d:Description": "This event started in 1979 with a crowd of over 13,000 making it into The Guinness Book of World Records as the largest disco event of all time. It is still held on an annual basis in Buffalo, New York.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.worldslargestdisco.com/"} +{"d:Title": "Leon's Disco Classics", "d:Description": "MP3 collection.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.discomusic.nl/"} +{"d:Title": "Dance Net Radio", "d:Description": "Weekly disco mixes with over 16 hours of continuous dance music.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.dancenetradio.com/"} +{"d:Title": "Ellen Michaels", "d:Description": "Disco record company, Salsoul Records' cover girl. Autographed photos, CDs and memorabilia.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.ellenmichaels.com/"} +{"d:Title": "Seventies Dance Music", "d:Description": "Disco Hit Parade showcasing 131 top songs with album cover images, audio clips, lyrics, and midi files.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.70disco.com/"} +{"d:Title": "Soul-Patrol.net Radio", "d:Description": "History of black music from the ancient to the future. Features 'streaming mono audio' jazz, blues, rock n' roll funk, disco and soul. Commentary, interviews, audio essays and analysis.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.soul-patrol.net/"} +{"d:Title": "Disco Demolition Night", "d:Description": "Photos, news articles, and general description of the night of July 12, 1979 when Chicago rock DJ, Steve Dahl and baseball promoter, Mike Veeck organized a destruction of disco records at Comiskey Park.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.outernetweb.com/focal/disco/index.html"} +{"d:Title": "Disco Daze", "d:Description": "Disco history recounts, clubs, retro music, bands, and fashion.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.594.com/files/594z/ddaz/ddaz.html"} +{"d:Title": "We Got Disco", "d:Description": "Includes news and a message board.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.wegotdisco.com/"} +{"d:Title": "Topix: Disco", "d:Description": "News about disco, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.topix.com/rss/music/disco.xml"} +{"d:Title": "Disco Imperium", "d:Description": "Disco music, dance, internet radio, and mp3s.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://discoimperium.50webs.com/index2.htm"} +{"d:Title": "Disco Savvy", "d:Description": "Biographies and discographies of singers and instrumentalists from the first wave ('70s and early '80s) and second wave (late '90s to today) of disco music.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://www.discosavvy.com/"} +{"d:Title": "USA-Dance", "d:Description": "Spotlights both current and retro music scenes, with charts, hit picks, and an all decades dance, disco and house streaming station.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco", "url": "http://usa-dance.net/"} +{"d:Title": "A Taste of Honey", "d:Description": "Fan site includes discography, sound files, photos, and lyrics.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists", "url": "http://www.angelfire.com/biz3/bss/tasteofhoney.html"} +{"d:Title": "After Hours Disco Band", "d:Description": "Disco, funk and oldies band from southern California.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists", "url": "http://www.angelfire.com/band/afterhours/"} +{"d:Title": "Super 70's Disco Dynamo", "d:Description": "Boogie and funk band.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists", "url": "http://www.boogiemachine.com/"} +{"d:Title": "Van McCoy", "d:Description": "Dedicated to the legendary producer, director, and composer who produced the famous disco classic song, \"The Hustle\".", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists", "url": "http://www.vanmccoymusic.com/index.html"} +{"d:Title": "Rockman", "d:Description": "New classic with an old classic dance track: \"Dance to the Drummers Beat (Do you remember those days?)\". Paying homage to the rappers and dances of yesterday.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists", "url": "http://www.adhdrecords.com/rockman"} +{"d:Title": "Infernos", "d:Description": "Repertoire includes all genres of music including Big Band, Oldies, '50s, '60s, '70s, Motown and today's top chart-busters.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists", "url": "http://www.theinfernosband.com/intro.html"} +{"d:Title": "Fantastic Boney M", "d:Description": "News, band timeline, description of the band's live performances, album cover variations, pictures, and message board.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Boney_M", "url": "http://www.musiclange.dk/"} +{"d:Title": "Boonoonoonoos: The Magic of Boney M", "d:Description": "News, extensive discography and song listings, biography, chart history, lineups, pictures, FAQ, and information on the musical \"Daddy Cool.\"", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Boney_M", "url": "http://www.themagicofboneym.co.uk/"} +{"d:Title": "Marcia Barrett", "d:Description": "News, discography with audio samples, pictures and contact information for one of the band's original members.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Boney_M", "url": "http://www.marciabarrettofboneym.com/"} +{"d:Title": "Wikipedia: Boney M.", "d:Description": "Article covers the band's history, catalogue, \"borrowed\" songs and chart positions.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Boney_M", "url": "http://en.wikipedia.org/wiki/Boney_M."} +{"d:Title": "Maizie Williams", "d:Description": "Original band member's official site includes news, history, discography, audio, pictures and booking contacts.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Boney_M", "url": "http://www.maiziewilliams.com/"} +{"d:Title": "Gloria Gaynor", "d:Description": "Official site. Contains biography, discography, interview transcripts, articles, audio clips, merchandise, picture gallery, tour schedule, bulletin board, links, and personal messages.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Gaynor,_Gloria", "url": "http://www.gloriagaynor.com/"} +{"d:Title": "All Music Guide: Gloria Gaynor", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Gaynor,_Gloria", "url": "http://www.allmusic.com/artist/gloria-gaynor-mn0000664849"} +{"d:Title": "All Music Guide: Vicki Sue Robinson", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Robinson,_Vicki_Sue", "url": "http://www.allmusic.com/artist/vicki-sue-robinson-mn0000202344"} +{"d:Title": "Tribute to Giorgio Moroder and Donna Summer", "d:Description": "Detailed sections on both artists.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Summer,_Donna", "url": "http://demetz.net/Moroder-Summer/index.html"} +{"d:Title": "Donna Summer Tribute", "d:Description": "News, images, and a complete discography.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Summer,_Donna", "url": "http://www.donna-tribute.com/"} +{"d:Title": "Randy Jones World", "d:Description": "Official site of the original cowboy from the Village People. Includes the latest news, biography, pictures, and midi files.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Village_People", "url": "http://www.randyjonesworld.com/"} +{"d:Title": "Can't Stop the Music", "d:Description": "Information about The Village People's film at IMDb.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Bands_and_Artists/Village_People", "url": "http://www.imdb.com/title/tt0080492/"} +{"d:Title": "David Mancuso and the Loft", "d:Description": "The NYC legendary DJ presents New York City's first disco and afters hours late night party scene.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Clubs", "url": "http://theloftnyc.com/"} +{"d:Title": "Studio 54 Time Line", "d:Description": "Historical look at the building which housed the world famous disco.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Clubs", "url": "http://www.drakkar91.com/54/"} +{"d:Title": "Tom Moulton Interview", "d:Description": "Disco-Disco.com interviews this important disco pioneer, innovator, and inventor of the disco mix.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/DJs", "url": "http://www.disco-disco.com/tributes/tom.html"} +{"d:Title": "DJ Frankie Crocker Tribute", "d:Description": "Three hour Real Player disco show dedicated to one of era's favorite sons. Special guest is Brian Chin (formerly of Billboard Magazine).\u00a0Click on October 27, 2000 \"Hear the Show!\"", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/DJs", "url": "http://www.wfmu.org/Playlists/Monica/2000playlist.html"} +{"d:Title": "Bluegrass Film Company", "d:Description": "United Kingdom based production company with disco dance themes and strong music sound tracks.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Producers_and_Remixers", "url": "http://gallery.passion4art.com/members/bluelight/index.html"} +{"d:Title": "Tribute to Giorgio Moroder and Donna Summer", "d:Description": "Discography, biography, lyrics, covers, rare images, and news.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Producers_and_Remixers", "url": "http://demetz.net/Moroder-Summer/index.html"} +{"d:Title": "Tom Moulton", "d:Description": "Tribute to the inventor of the 12\" disco single and the Disco Mix. Includes biography and interview.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Producers_and_Remixers", "url": "http://www.disco-disco.com/tributes/tom.html"} +{"d:Title": "Giorgio Moroder", "d:Description": "Considered one of the fathers of computer disco music.", "topic": "Top/Arts/Music/Styles/D/Dance/Disco/Producers_and_Remixers", "url": "http://www.discog.info/moroder.html"} +{"d:Title": "Global Darkness", "d:Description": "Netherlands based DJ collective - features pictures, audio and a collection of drum and bass related articles", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.globaldarkness.com/"} +{"d:Title": "Oldskool Hardcore and Rave", "d:Description": "Oldskool hardcore in effect. Charts, images, albums, events, toytown techno, 12\"s, polls, links.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.angelfire.com/sd/TechnoWonderland/HCIndex.html"} +{"d:Title": "drumandbass.ch", "d:Description": "Based in Switzerland and focusing on the events and DJs of the area.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.drumandbass.ch/"} +{"d:Title": "The Drum&Bass Arena", "d:Description": "News, chat, new releases and reviews.", "priority": "1", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.breakbeat.co.uk/"} +{"d:Title": "Jungle Scene", "d:Description": "Community with audio and lots of forums.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://junglescene.com/"} +{"d:Title": "DnBForum.com", "d:Description": "One of the biggest drum and bass forums on the internet.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://dnbforum.com/"} +{"d:Title": "Back To The Old Skool", "d:Description": "'88 to '98 old skool style breakbeat, jungle, drum and bass, hardcore and rave.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.backtotheoldskool.co.uk/"} +{"d:Title": "Portsmouth Uni. DnB Society", "d:Description": "News, reviews, information and related sites.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.portsmouthdnb.co.uk/"} +{"d:Title": "Forward Bound", "d:Description": "Dedicated to atmospheric drum and bass. Particularly the Good Looking stable, Atlantiq, Seba and Blu Mar Ten.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.forwardbound.com/"} +{"d:Title": "Dogs On Acid", "d:Description": "Online drum and bass forum also featuring: dubplates, news, release information.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.dogsonacid.com/"} +{"d:Title": "BBC 1Xtra - D&B Section", "d:Description": "Drum and bass information and audio from the UK's national urban music station.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.bbc.co.uk/1xtra/drumbass/"} +{"d:Title": "Jungle Techno", "d:Description": "Reviews and pictures from raves in the UK. Hardcore, oldskool and drum and bass scene.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.jungletechno.co.uk/"} +{"d:Title": "Rolldabeats", "d:Description": "Online discography database with information on labels, artists and releases.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.rolldabeats.com/"} +{"d:Title": "BBC Radio 1 - Fabio&Grooverider", "d:Description": "The online home of the national drum and bass show.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass", "url": "http://www.bbc.co.uk/programmes/b00948qq"} +{"d:Title": "Dysmusax", "d:Description": "Drum and bass, jungle and downtempo electronic music.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.dysmusax.cz/"} +{"d:Title": "Freebase Corporation", "d:Description": "Electro-acoustical live performance, with keyboards, drums, bass, trumpet and turntables.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.freebasecorp.com/"} +{"d:Title": "Ancronix", "d:Description": "Dutch producer of drum and bass offers news, release information, downloads and contact details.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.ancronix.com/"} +{"d:Title": "Simon V", "d:Description": "MP3 tracks, samples and tutorials.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.simonv.com/"} +{"d:Title": "Trotch", "d:Description": "Montreal based producer.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.trotch.com/"} +{"d:Title": "Drum Enforcement Agency", "d:Description": "Inside information on drum and bass artists Les Hommes Avec Petit Bass and Mic.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.dea-web.com/"} +{"d:Title": "Tornado", "d:Description": "Remixers site - creates scratch beats, drum and bass, techno, and general eclecticism.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.angelfire.com/music/djtornado/"} +{"d:Title": "Only Bass And Drum", "d:Description": "Alternative drum and bass band from Zagreb, Croatia.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.onlybassanddrum.com/"} +{"d:Title": "Executive Override Inc.", "d:Description": "Atmospheric drum and bass from Ottawa, Canada.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.override.org/"} +{"d:Title": "Bachelors of Science", "d:Description": "Home of DJ Rene and Adrian C - aka Bachelors of Science.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.bachelorsofscience.com/"} +{"d:Title": "Heimkind", "d:Description": "Website of German producer.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.heimkind.de/"} +{"d:Title": "Jake-EZ", "d:Description": "Original tracks and remixes from this producer.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://jake-ez0.tripod.com/DRUMnBASS/"} +{"d:Title": "Miko Underground", "d:Description": "Listen to new and old tracks, the latest news and download the samples used.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://mustylist.50megs.com/"} +{"d:Title": "Mindcoder", "d:Description": "MP3s available from this artist.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://tbns.net/mindcoder/index.html"} +{"d:Title": "Mir", "d:Description": "A sound moulded around computer generated sounds.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.mirwebsite.com/"} +{"d:Title": "Dr. Speaker Blower", "d:Description": "Videos, audio samples and photos from Porto, Portugal.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.ourafilmes.com/"} +{"d:Title": "Mu-Sly", "d:Description": "Experimental bleeps and electronic musical shenanigans, based in Nottingham UK.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.mu-sly.co.uk/"} +{"d:Title": "Count Rocula", "d:Description": "Drum and bass, breakbeat producer. Site features music and loops.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://countrocula.com/"} +{"d:Title": "Bassline", "d:Description": "Trueplayaz drum and bass group. Featuring DJs Hype, Zinc, and Pascal.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.bassline.intelynx.net/"} +{"d:Title": "Utreg Massive Kru", "d:Description": "Utreg Massive DNB Crew based in the Netherlands.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.utregmassive.nl/"} +{"d:Title": "BeatTRibe", "d:Description": "Electronic music with soul, breakbeats and big beats.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Bands_and_Artists", "url": "http://www.soundclick.com/bands/pageartist.cfm?bandID=52188"} +{"d:Title": "Paul Deal", "d:Description": "Dutch DJ. Styles are drum and base, jungle, techhouse, progressive, techno and lounge. Agenda, pictures and downloadable mixes.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Breaks", "url": "http://www.pauldeal.com/"} +{"d:Title": "Drum-Bass.net", "d:Description": "DJ mixes, record reviews, and DJ charts.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Magazines_and_E-zines", "url": "http://www.drum-bass.net/"} +{"d:Title": "Innovation", "d:Description": "Promoters of large London raves: garage, drum and bass and old-skool.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Party_Organizers", "url": "http://www.innovation.gb.com/"} +{"d:Title": "BassDrive", "d:Description": "24/7 drum and bass radio via the internet. Streaming MP3.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://www.bassdrive.com/"} +{"d:Title": "Break Pirates", "d:Description": "Playing all forms of breakbeat oriented music via net streaming. Streaming MP3.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://breakpirates.com/"} +{"d:Title": "Jungletrain.net", "d:Description": "Drum and bass, jungle radio with shows from around the world, focusing on the \"subverted\" sound.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://jungletrain.net/"} +{"d:Title": "Drum and Bass Radio", "d:Description": "Drum and Bass radio station featuring live djs and the latest in drum n bass music.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://www.dnbradio.com/"} +{"d:Title": "Destroyer.net", "d:Description": "24/7 DnB/Break/Jungle Radio", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://www.destroyer.net/radio/"} +{"d:Title": "DNB Heaven", "d:Description": "24/7 jungle / drum and bass / radio station", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://dnbheaven.com/"} +{"d:Title": "Drum And Bass Worldwide", "d:Description": "Internet radio station broadcasting from London, catering for all the DNB heads 24/7, 365 days a year.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://www.drumandbassworldwide.co.uk/"} +{"d:Title": "DNB NOIZE", "d:Description": "Live internet radio station playing Drum and Bass, Jungle, Dub Step, House, Garage, Trance and many more.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://www.dnbnoize.com/"} +{"d:Title": "Different Drumz", "d:Description": "Online liquid drum and bass radio, events, forums, chat room, and downloads.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://www.differentdrumz.co.uk/"} +{"d:Title": "Futuredrumz Radio", "d:Description": "Internet radio station streaming live drum and bass, jungle, old skool and hardcore around the globe.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://fdz.org.uk/"} +{"d:Title": "KRISISDnB", "d:Description": "Internet drum and bass radio station, established in March 2007. Offers new talent, as well as established artists from around the globe.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://www.krisisdnb.com/"} +{"d:Title": "UK Bass Radio", "d:Description": "Online radio station broadcasting many forms of underground drum and bass. Includes a chat room, message board and archived shows.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://ukbassradio.com/"} +{"d:Title": "DirtyBass.FM", "d:Description": "DBFM radio station broadcasts 24hrs and is a mix of live DJs and archived mixes. Free mixes available as well as a podcast. Specialising in drum and bass, breaks, darkstep, techno, and dubstep.", "topic": "Top/Arts/Music/Styles/D/Dance/Drum_and_Bass/Radio", "url": "http://dirtybass.fm/"} +{"d:Title": "Dance Artist Info", "d:Description": "Information about several artists in the dance genre, for example Bl\u00fcmchen, 2 Brothers on the 4th Floor.", "topic": "Top/Arts/Music/Styles/D/Dance/Euro_Dance", "url": "http://www.danceartistinfo.com/"} +{"d:Title": "EuroDanceHits.com", "d:Description": "Includes artist profiles, releases and reviews, dictionary, chat room, forum, and Euro-NRG Awards.", "topic": "Top/Arts/Music/Styles/D/Dance/Euro_Dance", "url": "http://eurodancehits.com/"} +{"d:Title": "DanceClubOnline", "d:Description": "The Nightclubs and bars directory for the cyber world, shopping, music, news, and travel.", "topic": "Top/Arts/Music/Styles/D/Dance/Euro_Dance", "url": "http://danceclubonline.com/"} +{"d:Title": "The Eurodance Encyclopaedia", "d:Description": "This site gathers all the Eurodance artists with their biographies, discographies and lyrics.", "topic": "Top/Arts/Music/Styles/D/Dance/Euro_Dance", "url": "http://www.eurokdj.com/"} +{"d:Title": "Club Freestyle", "d:Description": "Hang out with JackG and your favorite freestyle music artists on the message board.", "topic": "Top/Arts/Music/Styles/D/Dance/Freestyle", "url": "http://clubfreestyle.com/"} +{"d:Title": "Toni's Freestyle Heaven", "d:Description": "Includes links, artist pictures, a George Lamond page, and real audio.", "topic": "Top/Arts/Music/Styles/D/Dance/Freestyle", "url": "http://abigail101399.tripod.com/"} +{"d:Title": "Armando's Freestyle Page", "d:Description": "Freestyle music, real audio, artists pictures, and links.", "topic": "Top/Arts/Music/Styles/D/Dance/Freestyle", "url": "http://crazyarm.tripod.com/"} +{"d:Title": "Freestylemania", "d:Description": "Dedicated to the music of freestyle, and has real audio, photos, news, and links.", "topic": "Top/Arts/Music/Styles/D/Dance/Freestyle", "url": "http://www.freestylemania.com/"} +{"d:Title": "DJ Godfather", "d:Description": "Home site for the artist and DJ. Includes biography, discography, guest book, current gig dates, and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.djgodfather.com/"} +{"d:Title": "DJ Assault", "d:Description": "Producer, DJ, and vocalist from Detroit. Site features a biography, calendar, audio clips, photo gallery, chatroom and online store.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.djassault.com/"} +{"d:Title": "Nu Flava", "d:Description": "Detroit based label dedicated to the publication and promotion of a diverse array of dance music styles.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.nuflava.com/"} +{"d:Title": "Southern Outpost", "d:Description": "Australian record company. Artist profiles, release details, news and links.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.southernoutpost.com/"} +{"d:Title": "Nessbeth", "d:Description": "German producer and DJ. MP3 track previews, downloadable mixes, and videos.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.nessbeth.com/"} +{"d:Title": "Domingoyu.com", "d:Description": "Features and reviews on hip hop, techno, ghetto tech, soul, and dancehall. Ideas and resources for using popular culture to empower young people.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.domingoyu.com/"} +{"d:Title": "Mister.Ries", "d:Description": "Dutch ghetto DJ and producer. Mp3s, videos, biography, and top 10.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.thefantastix.com/"} +{"d:Title": "4 Player Records", "d:Description": "A producer and DJ collective from Europe that produces and releases ghettotech, bass, and electro records, CDs, and live events.", "topic": "Top/Arts/Music/Styles/D/Dance/Ghettotech", "url": "http://www.4-player-records.com/"} +{"d:Title": "Anthems", "d:Description": "British resource for dance music and clubbing news, DJ mixes, reviews, and message board.", "topic": "Top/Arts/Music/Styles/D/Dance/House", "url": "http://www.anthems.com/"} +{"d:Title": "HouseMusic.com", "d:Description": "Message board, charts, mailing list, reviews, and links to streaming audio and labels.", "topic": "Top/Arts/Music/Styles/D/Dance/House", "url": "http://www.housemusic.com/"} +{"d:Title": "Milk 'n'2 Sugars", "d:Description": "UK based label and DJ management agency. Recordings, MP3s, DJ biographies, and club information. [Flash required]", "topic": "Top/Arts/Music/Styles/D/Dance/House", "url": "http://www.mn2s.com/"} +{"d:Title": "D.J. Rhythms", "d:Description": "A guide to dance music, including BPM listings for many tracks.", "topic": "Top/Arts/Music/Styles/D/Dance/House", "url": "http://www.djrhythms.com/"} +{"d:Title": "Spirit of House", "d:Description": "House and garage reviews and Real Audio mixes.", "topic": "Top/Arts/Music/Styles/D/Dance/House", "url": "http://www.spiritofhouse.com/"} +{"d:Title": "New City Movement", "d:Description": "Internet deep house. Broadcasting music of Salt Lake's top jocks.", "topic": "Top/Arts/Music/Styles/D/Dance/House", "url": "http://newcitymovement.typepad.com/"} +{"d:Title": "Mantini, Enrico", "d:Description": "The official site of this Italian house producer. Includes music downloads, MP3s, discography, and biography.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.enricomantini.com/"} +{"d:Title": "John Acquaviva", "d:Description": "World renowned house and techno DJ. Owner of Definitive Records, co-owner of Plus 8 records along with Richie Hawtin.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.john-acquaviva.com/"} +{"d:Title": "Bad Boy Bill", "d:Description": "This DMC style house DJ is known worldwide for his high energy, scratch heavy sets and productions on his own label, Mix Connection Multimedia.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.badboybill.com/"} +{"d:Title": "Ospina, Davidson", "d:Description": "Producer, remixer, and keyboardist featuring a biography, discography and samples of his remixes and original productions.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.ospinamusic.com/"} +{"d:Title": "Golden, Ean", "d:Description": "Official site containing pictures, event links, downloadable mixes and tracks as well as gig information.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.eangolden.com/"} +{"d:Title": "C&M Productions", "d:Description": "A house production and DJ team from Switzerland featuring Christian Kistler and Marc Zehnder.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.truehouse.ch/"} +{"d:Title": "DJ Kos", "d:Description": "Hard-house disc jockey features DMC style scratches in his sets.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://djkos.com/"} +{"d:Title": "Natural Funk", "d:Description": "Two deep house djs and producers based out of Germany. Discography, news, and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.natural-funk.de/"} +{"d:Title": "Martin Solveig", "d:Description": "Official site of this Parisian house music dj, producer and head manager of Mixture Stereophonic records label. Site includes biography, discography, and audio samples.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.martinsolveig.com/"} +{"d:Title": "Vincent Kwok", "d:Description": "Official site for this Producer and DJ from San Francisco. Includes music clips, biography, and discography.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.vksf.net/"} +{"d:Title": "James Zabiela", "d:Description": "Official site of world renowned UK based dj/producer that features news, monthly chart, discography, biography, appearances, and gallery.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.jameszabiela.co.uk/"} +{"d:Title": "Bermudez, Joe", "d:Description": "Boston based DJ. Includes biography, discography, reviews, remixes and streaming shows, and photo galleries.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.joebermudez.com/"} +{"d:Title": "Carl Cox", "d:Description": "Official site with news, biography, discography, Global Radio featuring full shows, upcoming and past performances, and merchandise. [Requires Flash]", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.carlcox.com/"} +{"d:Title": "Mark Grant", "d:Description": "Official website of the Chicago deep house dj.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.djmarkgrant.com/"} +{"d:Title": "Da Funk", "d:Description": "Official Website of Switzerland Deep House Producer Da Funk.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.dafunk.ch/"} +{"d:Title": "Audio Soul Project", "d:Description": "Audio Soul Project (aka Mazi) official website with bio, schedule, downloads and contact info.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.audiosoulproject.com/"} +{"d:Title": "Tim Andresen", "d:Description": "Official website of Danish Dj and producer Tim Andresen.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.timandresen.com/"} +{"d:Title": "Tszpun", "d:Description": "The official site for Hong Kong DJ/Producer Tszpun, a.k.a. TP. Includes news, discography, music downloads.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://tszpun.com/"} +{"d:Title": "Lanzac.com", "d:Description": "The Lanzac Productions web site", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.lanzac.com/"} +{"d:Title": "RnD", "d:Description": "Official site for DJ/Producer duo of Rob Palazuelos and Dave Zephyr. Includes biography, and DJ schedule.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.rnd.cc/"} +{"d:Title": "DJ Deepstate", "d:Description": "Website of deep house dj and producer dj deepstate", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.freewebs.com/deepstate/"} +{"d:Title": "DJ Raygun", "d:Description": "Official website of San Francisco based Dj. Includes streaming mixes, art, and online record sales.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.djraygun.com/"} +{"d:Title": "House Keeping", "d:Description": "HouseKeeping are a collective of DJs and Producers who bring funky, soulful, upfront underground house music to London and the United Kingdom.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.house-keeping.com/"} +{"d:Title": "Holden, James", "d:Description": "Electronic musician's music, live dates, discography, and contacts.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.jamesholden.org/"} +{"d:Title": "Gray, Chris", "d:Description": "Deep house DJ from Chicago. Includes biography, discography, and MP3s. [Requires Flash]", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.chrisgraydeep.com/"} +{"d:Title": "Kasper Kwant", "d:Description": "Dutch DJ and producer who plays both electro and lounge music, finding common ground between the two styles.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.kasperkwant.nl/"} +{"d:Title": "Bubblefunk", "d:Description": "UK based DJs and dance music producers of funk, house and breakbeat. Audio mix streams, biographies, and discographies.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.bubblefunk.com/"} +{"d:Title": "DJ Pepo", "d:Description": "This is the official website of one of the most progressive Bulgarian house DJs.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.djpepo.net/"} +{"d:Title": "Deep Zone Project Official Website", "d:Description": "This is the official website of the bulgarian DJ trio Deep Zone Project.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.deepzoneproject.com/"} +{"d:Title": "DJ Deso", "d:Description": "Official website of the Bulgarian DJ.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.djdeso.com/"} +{"d:Title": "Tracy Young", "d:Description": "Miami based house and circuit DJ. Features biography, calendar, music and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://tracyyoung.com/"} +{"d:Title": "Jason Quake", "d:Description": "Official site with news, biography, music, podcast episodes, and upcoming performances.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.jasonquake.com/"} +{"d:Title": "Kaskade", "d:Description": "Official website for Kaskade. You will find: shows, music, video's, download, a shop and a subscription module.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.kaskademusic.com/"} +{"d:Title": "Steve Angello", "d:Description": "Official of producer and Dj Steve Angello, on this website you will find: Music, Artwork, videos and a webshop.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.steveangello.com/"} +{"d:Title": "Fedde Le Grand", "d:Description": "Official website of DJ Fedde Le Grand. You'll find news, tour dates, music, socials, videos and Darklight Sessions.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://feddelegrand.com/"} +{"d:Title": "DC House Grooves", "d:Description": "The home page of DJ Andy Grant offering quality house grooves from the nation's capital.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://dchousegrooves.com/"} +{"d:Title": "David Campoy", "d:Description": "The new official site of David Campoy music producer&Dj from Barcelona", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://www.dcampoy.com/"} +{"d:Title": "David Alvarado", "d:Description": "International DJ, producer, artist.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://davidalvarado.com/"} +{"d:Title": "Morabito", "d:Description": "Playdates/lists, contact, bio, photos thoughts.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists", "url": "http://djmorabito.com/"} +{"d:Title": "Verve Music Group: Masters At Work", "d:Description": "Official record label site for the duo, including biography, soundclips, and discography.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Bands_and_Artists/Masters_At_Work", "url": "http://www.vervemusicgroup.com/mastersatwork"} +{"d:Title": "Nervous records", "d:Description": "Artist profiles, merchandise and news from the famous New York house/garage label.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Garage", "url": "http://www.nervousnyc.com/"} +{"d:Title": "Wiggle Kru", "d:Description": "Collective dedicated to bringing the Underground Garage sound to the Pacific Northwest.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Garage", "url": "http://www.wiggle.ca/"} +{"d:Title": "Blink Naught", "d:Description": "Uk garage parties, computer games and streaming audio.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Garage/United_Kingdom", "url": "http://www.blinknaught.com/"} +{"d:Title": "MC Baby Phat", "d:Description": "Providing pictures, sound files, performer profile and her own lyrics.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Garage/United_Kingdom", "url": "http://mcbabyphat.s5.com/"} +{"d:Title": "Premium", "d:Description": "Hosting events in Sydney, Australia. Provides general information, news, reviews, DJ mixes and forums.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Garage/United_Kingdom", "url": "http://www.dj.com.au/premium"} +{"d:Title": "Garage Pressure", "d:Description": "Group from Sydney, Australia providing audio files, general and crew news, booking information and schedule.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Garage/United_Kingdom", "url": "http://www.garagepressure.com/"} +{"d:Title": "BetaLounge", "d:Description": "RealAudio DJ mixes weekly from San Francisco. Specializing in drum and bass, hip-hop, house, and related music. Includes a large archive of past shows with hundreds of hours of mixes.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.betalounge.com/"} +{"d:Title": "MoreFreaky.com", "d:Description": "Enough house music sets to listen to for a few weeks straight.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.morefreaky.com/"} +{"d:Title": "Deep House Music Page", "d:Description": "Features Real Audio house mixtapes, history, articles, and interviews.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://deephousepage.com/"} +{"d:Title": "DezMix Muzik", "d:Description": "The source for NYC underground House Music in RealAudio. Listen to a 52 hour mix online.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.dezmix.com/"} +{"d:Title": "Garage House Music", "d:Description": "Features Toronto's DJs in real audio playing deep garage house music.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.garagehousemusic.com/"} +{"d:Title": "GlobalHouseConnection", "d:Description": "Live DJ show every Sunday night featuring 2 hours of deephouse, jazz, soul, funk, afro and related styles. Requires Windows media Player.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.globalhouseconnection.com/"} +{"d:Title": "H.S.H. - House Sound of Hamburg", "d:Description": "House-Mix-Radioshow since January 1992. Playlists, Top 20, and Record-News.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.hsh-radio.net/"} +{"d:Title": "StreamHouse Radio", "d:Description": "Plays house music, presented by Lionel Barasc.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://lbarasc.free.fr/streamhouse/"} +{"d:Title": "Galaxy 102", "d:Description": "Home of The Dreem Team, Andy Ward, Boy George, and others. Listen live with your windows media player.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.galaxymanchester.co.uk/"} +{"d:Title": "Kiss 100", "d:Description": "London station offers live music feeds, special offers and email newsletters.", "topic": "Top/Arts/Music/Styles/D/Dance/House/Online_Listening", "url": "http://www.kissfmuk.com/"} +{"d:Title": "DanceNetRadio", "d:Description": "Mixes of dance, disco rarities, 80's and 90's club tracks, rare remixes and 12inch versions of the best dance music. Three loops, updated regularly. Windows Media or Real Audio, two bit rates.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.dancenetradio.com/"} +{"d:Title": "GrooveRince Radio", "d:Description": "Various dance music in streaming RealAudio format, 24/7. Schedule and discussion forum. [Requires Flash]", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.grooverince.com/"} +{"d:Title": "Groove Radio", "d:Description": "24/7 live online community for global electronic dance music featuring world-renowned artists, guest DJs and an online stream in Streaming MP3 or Windows Media Player format. Features news, events, and chat.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.grooveradio.com/"} +{"d:Title": "Digitally Imported", "d:Description": "Sixteen radio channels, 12 dance, 4 other; Trance, Euro Dance, Techno, Chillout, Modern Jazz. Occasional premium content. All channels available in MP3 streams and MS Windows media format in multiple bitrates. Regular News, large and lively community forum.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.di.fm/"} +{"d:Title": "BBC Online - Dance", "d:Description": "The home of dance on Radio 1 with club listings and reviews, dj profiles, charts and dance news. Includes streams of past shows.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.bbc.co.uk/radio1/dance"} +{"d:Title": "Pulse Radio", "d:Description": "Underground DJs from around the world stream live 24x7. Techno, trance, drum n bass. Reviews, upcoming events and previews. Forum, live chatroom, IRC, gallery, newsletter.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://pulseradio.net/"} +{"d:Title": "NonStopPlay.com", "d:Description": "UK based dance Internet radio station playing dance and rhythm and blues 24/7. Streaming in Windows Media, MP3, Flatcast and Peercast. Forum, Web cam, and chat room.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.nonstopplay.com/"} +{"d:Title": "Passion Radio", "d:Description": "24 hour a day broadcast of dance music from the past 3 decades. RealPlayer/Windows Media. Pop-ups. Competitions. Requires Internet Explorer.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.passionradio.co.uk/"} +{"d:Title": "Nautic Electronic Dance Broadcast", "d:Description": "24 hours a day: techno, drum and bass, ambient, breaks, goa-trance. Chat-room. News. Interviews. MP3 Streams. Dutch Language site.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.nauticradio.net/"} +{"d:Title": "Energy FM", "d:Description": "Live broadcast from UK. Playing House, Trance and Dance. Archive of DJ mixes. Minimal message board. Available in Windows Media player, MP3, MP3 Pro, and Real player formats. Broadband/Dial up.", "topic": "Top/Arts/Music/Styles/D/Dance/Radio", "url": "http://www.energyfm.co.uk/"} +{"d:Title": "303Net", "d:Description": "A network of sites and artists producing electronic music - techno/ambient/dance, featuring the DoubleMirrors soundtrack.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno", "url": "http://www.303net.com/"} +{"d:Title": "Technotica", "d:Description": "Techno Culture, Music, Art, Design and Living.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno", "url": "http://www.technotica.com/"} +{"d:Title": "AnalogX", "d:Description": "Original MP3 music, how-to articles and music.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.analogx.com/"} +{"d:Title": "Skylab2000", "d:Description": "The official site with biography, release dates and news.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://skylab2000.com/"} +{"d:Title": "Hostage", "d:Description": "Official site of this Swiss live techno act. MP3s, realaudio, free tickets, links and information.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.hostage.ch/"} +{"d:Title": "Da Goose", "d:Description": "Dutch dj/producer with funky techno releases on A1 records, Upstruct and others. Realaudio samples.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.dagoose.nl/"} +{"d:Title": "Earthspike", "d:Description": "Writers of Jungle/Techno/House tunes.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.earthspike.com/"} +{"d:Title": "Clan Analogue", "d:Description": "Australian collective of live electronic musicians and DJs.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://clananalogue.org/"} +{"d:Title": "Peaky Pounder", "d:Description": "Finnish funky techno producer with releases on A1, Upstruct and Subspace.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://members.tripod.com/peakypounder/"} +{"d:Title": "John Acquaviva", "d:Description": "The sounds of Aquaviva. Includes his schedule.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.john-acquaviva.com/"} +{"d:Title": "Surgeon", "d:Description": "Prolific minimal techno artist with releases through Tresor and Downwards, also many remixes.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.dj-surgeon.com/"} +{"d:Title": "System 7 Website", "d:Description": "Ambient Techno pioneers Steve Hillage and Miquette Giraudy and their new label A-Wave.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.a-wave.com/system7/"} +{"d:Title": "Laura comfort website", "d:Description": "Laura comfort has performed on both sides of the Atlantic, originally from New York now residing in London.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.lauracomfort.com/"} +{"d:Title": "Ruggeri, Anthony", "d:Description": "Homepage of the techno artist.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Bands_and_Artists", "url": "http://www.anthonyruggeri.com/"} +{"d:Title": "Dr Apatho's", "d:Description": "Links to various house and techno related sites. Also information about vinyl and DJs.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Directories", "url": "http://www.xs4all.nl/~kafka/house/"} +{"d:Title": "Roskilde", "d:Description": "Famous open-air festival held in Roskilde, Denmark", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Festivals", "url": "http://www.roskilde-festival.dk/"} +{"d:Title": "UDC", "d:Description": "The yearly festival at Spaarnwoude, Amsterdam, Netherlands.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Festivals", "url": "http://www.udc.nl/"} +{"d:Title": "Nature One", "d:Description": "Festival held in Hunsr\u00fcck, Germany.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Festivals", "url": "http://www.nature-one.de/"} +{"d:Title": "Metropolis", "d:Description": "Yearly held open-air festival in Rotterdam, Netherlands", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Festivals", "url": "http://metropolisfestival.nl/"} +{"d:Title": "Streetparade", "d:Description": "Home of the streetparade in Zurich, Switzerland", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Festivals", "url": "http://www.streetparade.com/"} +{"d:Title": "TerrorForm Alliance", "d:Description": "Gabber Hardcore Terror Militia", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Gabber", "url": "http://www.online.ee/~vary/"} +{"d:Title": "Neophyte", "d:Description": "Home of the Dutch producer. News, Real Audio, Fun Stuff and Pictures.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Gabber", "url": "http://www.neophyte.nl/"} +{"d:Title": "Bembelterror Frankfurt", "d:Description": "Hardcore, Speedcore and Gabber from Frankfurt (Germany). On this site are online mixes, flyer archives, t-shirts and mixtapes.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Gabber", "url": "http://www.bembelterror.de/"} +{"d:Title": "Industrial Strength Europe", "d:Description": "Resurrection of the legendary Industrial Strength label, now headed by DJ Jappo. News and releases. The site uses a lot of Flash and is a bit slow because of that.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Gabber", "url": "http://www.industrialstrengthrecords.com/"} +{"d:Title": "Made Of Dreams", "d:Description": "News, party agenda, reports, clubs, hardcore and techno lyrics, charts, adverts and remakes index.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Gabber", "url": "http://home.scarlet.be/nomax/"} +{"d:Title": "Rige Entertainment", "d:Description": "Dutch record company and organizators of Megarave parties. The most famous artists on their label are Rotterdam Terror Corps, dr. Z-vago, dr. Macabre, Hardcorps and Chosen Few.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Gabber", "url": "http://www.rige.net/"} +{"d:Title": "Gabba Front Berlin", "d:Description": "Terrorizer from Berlin brings you all about Gabber, Hardcore, Speedcore, and Noisecore.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Gabber", "url": "http://www.gabbafront.com/"} +{"d:Title": "Interzone - Goa Parties in Switzerland", "d:Description": "Reference site in Switzerland for Goa Parties. Also features links to clubs, shops, DJs, music samples and drug information. Mailing list available.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Online_Agendas", "url": "http://www.interzone.ch/"} +{"d:Title": "Noctis - Belgian Night Wire", "d:Description": "Since 1995, all about Belgian night life: complete party agenda, full clubs list, deejays list and mixes, links to Real files and live radios. The main Belgian night infobase.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Online_Agendas", "url": "http://www.noctis.com/"} +{"d:Title": "SoCal-Raves Calendar", "d:Description": "Often updated Web-Version of the socal-raves-calendar, a listing of weekly, monthly and one-off events in the Southern California Area.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Online_Agendas", "url": "http://www.socal-raves.org/calendar/"} +{"d:Title": "Etardia", "d:Description": "A site for ravers by ravers dedicated to reviving the underground rave scene.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Online_Agendas", "url": "http://etardia.tripod.com/plur.html"} +{"d:Title": "French Rave Parties Agenda", "d:Description": "An agenda for most of the rave parties occurring in France.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Online_Agendas", "url": "http://tranceculture.free.fr/Pages/Infoteufsomm.html"} +{"d:Title": "Monumental Productions", "d:Description": "Promoter of regular parties in the Westergasfabriek, Amsterdam, Holland areas. Has information on upcoming events, DJ information, messageboards, mailing list, and online store. Information is presented in Dutch and English.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/Europe", "url": "http://www.awakenings.nl/"} +{"d:Title": "Extrema", "d:Description": "Party organisers from the south of Holland, also active in Ibiza.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/Europe", "url": "http://www.extrema.nl/"} +{"d:Title": "OmegaRitam - Split/Croatia", "d:Description": "Party organizer in Croatia.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/Europe", "url": "http://www.omegaritam.com/"} +{"d:Title": "Lifeline Promotions", "d:Description": "Bremen Germany based promotion team provides booking information, biographies, and mixes from several DJs, upcoming area event information, audio and video downloads, and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/Europe", "url": "http://www.lifeline-promotions.de/"} +{"d:Title": "Dub KULT", "d:Description": "England based promoter has information on upcoming events, DJ information and biographies, free mixes, and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/Europe", "url": "http://dubkult.com/"} +{"d:Title": "Moontribe", "d:Description": "Site contains information on upcoming events in the Western United States, DJ biographies, sound clips, and contact information, a photo gallery, poetry, and art gallery.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://www.moontribe.org/"} +{"d:Title": "H20 Productions", "d:Description": "Los Angeles based promoter has information on past and upcoming events, photos, live video feeds, live audio, DJ biographies and booking information, and contact information. [Flash 5 required].", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://www.h20productions.com/"} +{"d:Title": "Columns of Knowledge", "d:Description": "A promotion company from Connecticut. Information on hosted club nights and parties in the New England area, an archive of pictures, booking for Knowledge, Madame Buddafly, Todd Buckley, Brain Probe, Lotus, and Rheymund.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://www.columnsofknowledge.com/"} +{"d:Title": "Planet 9", "d:Description": "California based promoter has information on upcoming and past events, charities that proceeds are donated to, DJ biographies, information on available equipment, and mailing lists.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://www.planet9.org/"} +{"d:Title": "Volume Productions", "d:Description": "Providence, RI based promoter of many weekly club nights and parties. Also booking for DJ Venom, Dek-One, Dirty Ol' Frank and many other DJs. Site has information on upcoming events, photo gallery, and links to other area promoters.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://www.volumeproductions.com/"} +{"d:Title": "Twelve Monkeys Productions", "d:Description": "San Francisco promoter has information on upcoming events, weeklies, scene links, message boards, contact information, mailing list signups, DJ biographies, and streaming audio [Flash required].", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://twelvemonkeys.com/"} +{"d:Title": "Luscious Bliss Productions", "d:Description": "New York production and promotion company has contact information, upcoming event calendar, links, photo and art gallery, audio tracks, online radio station, and crew member biographies.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://www.angelfire.com/nj/lusciousbliss/enter.html"} +{"d:Title": "Cpu101", "d:Description": "LA promoters of events and multimedia exhibitions since 1990. Has information on past and upcoming events and projects.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Party_Organizers/North_America", "url": "http://www.cpu101.com/"} +{"d:Title": "Why Does My Heart Feel So Bad?", "d:Description": "Information on Moby, his music, pictures, other links, his drawings.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://www.angelfire.com/ri2/moby/"} +{"d:Title": "DJ Godvibes", "d:Description": "Christian happy hardcore DJ, from AZ.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://members.tripod.com/dj-godvibe/index.htm"} +{"d:Title": "Aciddome", "d:Description": "Brings you Acid, House, Techno and other 303 related stuff.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://www.aciddome.com/"} +{"d:Title": "piku00+", "d:Description": "Contains information on the Chemical Brothers, Bjork and Moby.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://piku00.tripod.com/"} +{"d:Title": "Nebula", "d:Description": "Italian techno.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://www.nebula-music.com/"} +{"d:Title": "Electronic Musiq", "d:Description": "Includes interviews with Jeff Mills, Adam Beyer, and Sven Vath.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://www.angelfire.com/electronic/musiq/"} +{"d:Title": "My scream got lost in a paper cup", "d:Description": "Information and pictures from Baltimore/Washington parties and raves, short stories, artwork and music.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://www.angelfire.com/la/kryztuh/soopaflypage/mainpage.html"} +{"d:Title": "Edge Of Dawn - Official Homepage", "d:Description": "Ever-evolving hard-edged electronic music, combining complex beats, heavy but intricate synths, atmospheric strings, layered noisescapes, enigmatic vocals and catchy melodies.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://www.edgeofdawn.de/"} +{"d:Title": "Elektrom", "d:Description": "From Dark Tek to Electro. The official site of Elektrom.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://elektrom.free.fr/"} +{"d:Title": "Funkin Family Music", "d:Description": "South Florida's Underground Techno Site.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Personal_Pages", "url": "http://www.funkinfamily.com/"} +{"d:Title": "Hyperreal DJ Set Archive", "d:Description": "A list of live DJ mixes from all around the world.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Radio", "url": "http://djsets.hyperreal.org/"} +{"d:Title": "Klubradio Berlin", "d:Description": "Live netcasts from the Tresor, WMF, Maria and Ostgut, electronic music clubs in Berlin with international DJs and livesets.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Radio", "url": "http://www.klubradio.de/"} +{"d:Title": "Placebo Effects: Decoration, Projections, Effects", "d:Description": "Blacklight Decos for Technohouse and Psy-Tranceparties, and Clubs.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Regional/Europe", "url": "http://www.placeboeffects.de/"} +{"d:Title": "Kia-aura Zone", "d:Description": "Information about DJs, feedback, news, and events in and around Aberdeen.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Regional/Europe", "url": "http://kia-aura.tripod.com/"} +{"d:Title": "Thafok's Technoism", "d:Description": "The art of Techno Culture. Trade mix tapes. Visit Thafok's Techno-art gallery or one of the visitors Techno-art galleries.", "topic": "Top/Arts/Music/Styles/D/Dance/Techno/Sound_Files", "url": "http://www.xs4all.nl/~fokko/"} +{"d:Title": "Goatrance Paradise", "d:Description": "Artists MP3 files, pictures, flyers, parties, links and multimedia.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance", "url": "http://goaraver.tripod.com/main.html"} +{"d:Title": "Holy Men", "d:Description": "The official site. All of the CDs available online, including out-of-print titles.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance", "url": "http://www.holymen.co.il/"} +{"d:Title": "United Sound of Air-Trance", "d:Description": "Site about techno and trance group from Switzerland.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance", "url": "http://www.angelfire.com/electronic/usa/"} +{"d:Title": "i:Vibes", "d:Description": "News, interviews, reports, articles forum and chatroom.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance", "url": "http://www.ivibes.nu/"} +{"d:Title": "Realisation", "d:Description": "electronic band includes mp3s.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.realisation.org/"} +{"d:Title": "DJ-Alex", "d:Description": "Music, mp3, information about artist, bookings for trance parties, mixing trance records and live mixes.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.dj-alex.nl/"} +{"d:Title": "Aponaut", "d:Description": "Hard acid trance act based in Toronto, Canada. Includes news, tour dates, equipment information, and MP3 downloads.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.aponaut.com/"} +{"d:Title": "Sugapablo", "d:Description": "Homepage of Sugapablo, electronic music artist from Pittsburgh, PA - USA.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.sugapablo.com/"} +{"d:Title": "Imphenzia", "d:Description": "An independent hard-hitting trance and goa artist from Liverpool, UK. Includes history, audio, discography, equipment, reviews, and site projects.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.imphenzia.com/"} +{"d:Title": "Rank 1", "d:Description": "Official home of Rank 1. Discography, gigs, and studio webcam.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.rank-1.com/"} +{"d:Title": "Trancein", "d:Description": "Trance and ambient music. Free downloads, news and studio information. Site offered in English and Russian.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.trancein.com/"} +{"d:Title": "Alex \"Happs\" Lashford", "d:Description": "Home of electronic producer Alex \"Happs\" Lashford from the UK. Free MP3 downloads and biography.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.pkl.net/~happs"} +{"d:Title": "Andreyg.com", "d:Description": "Trance and Progressive House from Chicago. MP3 downloads, biography, mixes and profiles.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.andreyg.com/"} +{"d:Title": "Trance Fury", "d:Description": "Information on their merchandise, MP3s, stickers and reviews.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.trancefury.com/"} +{"d:Title": "Spacenoah", "d:Description": "Electronic/eurotrance project. Includes biography, discography, MP3 downloads, news and booking information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.spacenoah.com/"} +{"d:Title": "DJ Sonix", "d:Description": "Canadian DJ and producer. Biography, tour dates, photos, free downloads, forum and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.djsonix.com/"} +{"d:Title": "Arashi", "d:Description": "Boston based band that combines trance, Jazz and Hip Hop Beats. Includes biography, music, photos, gigs and reviews.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.arashimusic.com/"} +{"d:Title": "Oliver Prime", "d:Description": "Psytrance artist from Austria. News, events, discography, biography, a gallery and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.oliverprime.com/"} +{"d:Title": "Groove-State", "d:Description": "Vocal trance artist from Germany. Music, clips, online store and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.groove-state.com/"} +{"d:Title": "Robert G", "d:Description": "German trance/dance producer. News, biography, downloads, guestbook and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.robertg-music.com/"} +{"d:Title": "Tribejagd", "d:Description": "Percussive trance collaboration consisting of three German musicians. Site offered in English, German, French and Spanish.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.tribejagd.com/"} +{"d:Title": "DJ Cobra", "d:Description": "Slovakian DJ and trance/dance producer. News, biography, MP3s, gallery and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.agyagos.com/djcobra/"} +{"d:Title": "Skomm", "d:Description": "Skomm's official website with audio clips and lots of strange artwork.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.skomm.net/"} +{"d:Title": "Steve the Scientist", "d:Description": "Official site for Steve the Scientist. Biography, music, downloads, photos and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.stevethescientist.com/"} +{"d:Title": "DJ Lithium", "d:Description": "Canadian DJ and producer. Site contains shop, mp3-stream and information about his releases.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.blacktigerrecordings.com/lithium/"} +{"d:Title": "Blank&Jones", "d:Description": "Official site of German trance duo. Site offered in German and English.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "http://www.blankandjones.com/"} +{"d:Title": "Keltik", "d:Description": "A psychedelic trance artist from The Netherlands. Includes news, discography and profile.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Bands_and_Artists", "url": "https://keltik.home.xs4all.nl/"} +{"d:Title": "IsraTrance", "d:Description": "Israeli trance resource site. Artists database, music reviews, interviews, music samples, CD and vinyl database, art, parties, DJs top ten, news and discussions.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.isratrance.com/"} +{"d:Title": "Trance.net", "d:Description": "Psychedelic trance portal from Norway featuring reviews, party information and links.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.trance.net/"} +{"d:Title": "Psynews", "d:Description": "Forums and information about parties, music and up-to-date list of Psy trance artists with some biographies.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.psynews.org/"} +{"d:Title": "Chai Shop", "d:Description": "Portal featuring parties, forums, chat, reviews, photographs, videos, and music.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.chaishop.com/"} +{"d:Title": "Goa-Trance", "d:Description": "Site focused on goa and psychedelic trance music and culture, and in particular the artist \"Elastic Plastic\". Contains psy-art, legal goa mp3s and audio streams.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.goa-trance.de/"} +{"d:Title": "Fusion Anomaly", "d:Description": "Psychedelic definitions about trance, ancient cultures and future visions. Features links to psytrance artists.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.fusionanomaly.net/"} +{"d:Title": "Goatrance.de", "d:Description": "Contains a goa, techno and ambient database, record shop information and links.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.goatrance.de/"} +{"d:Title": "Psytrance Music", "d:Description": "Psychedelic trance news, parties and reviews.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://psytrancemusic.blogspot.com/"} +{"d:Title": "Talk Progressive", "d:Description": "Progressive psytrance weblog with news, reviews and party information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://talkprogressive.blogspot.com/"} +{"d:Title": "Psychedelic Mind Expander", "d:Description": "Goa and psychedelic trance information site also offering disc jockey services in Sweden. Includes artist, releases, samples, record labels, and covers.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.psydb.net/"} +{"d:Title": "PsyTech Team", "d:Description": "Team of trance producers , DJs and deco artists.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.psytechteam.org/"} +{"d:Title": "Goacidia", "d:Description": "Goa portal with party photographs, music database, and project linker.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://psykick.de/goacidia/"} +{"d:Title": "TheBeatMonger", "d:Description": "Psychedelic trance news, reviews and video from a DJ. Links to resources and podcasts.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://thebeatmonger.blogspot.com/"} +{"d:Title": "Touch Samadhi", "d:Description": "Information about live psytrance acts, DJs, events links and photography.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance", "url": "http://www.touchsamadhi.com/"} +{"d:Title": "S.U.N. Project", "d:Description": "The rocky psychedelic trance live act from Germany. Discography section and track previews.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.sun-project.de/"} +{"d:Title": "Beyond Third Spring", "d:Description": "Includes news, discography with audio samples, and picture galleries.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.winterbergproduction.com/"} +{"d:Title": "Astral Projection", "d:Description": "Official homepage of the Israeli trance band of Avi Nissim and Lior Perlmutter with biography, news, contact, video and mp3 downloads.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.astral-projection.com/"} +{"d:Title": "Analog Pussy", "d:Description": "Official site of the psychedelic trance band. News, videos, pictures from live shows and downloadable mp3s.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.analog-pussy.com/"} +{"d:Title": "Loopus In Fabula", "d:Description": "Psychedelic trance group from Italy. Audio samples of original tracks and DJ mixes.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.loopusinfabula.com/"} +{"d:Title": "Phacelift", "d:Description": "Progressive psytrance act from Greece/UK. Features news, unreleased tracks downloads, discography and biography.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.phacelift.co.uk/"} +{"d:Title": "Mino", "d:Description": "Danish producer and live artist. Includes discography, audio clips and video, and photographs.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.transonic.dk/"} +{"d:Title": "Vibrasphere", "d:Description": "Website of the psychedelic trance duo from Uppsala, Sweden.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.vibrasphere.com/"} +{"d:Title": "Squaremeat", "d:Description": "Experimental psychedelic trance artist from Finland.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.squaremeat.net/index.html"} +{"d:Title": "Planet Ben", "d:Description": "Official site of German DJ and producer Planet B.E.N. also known as Organic Noise.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.planet-ben.net/"} +{"d:Title": "Pulse Plant", "d:Description": "Electronic musician from Toronto, Canada. Site features audio, images, biography and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.pulseplant.com/"} +{"d:Title": "Tegma", "d:Description": "Homepage of the psychedelic trance duo from Sweden. News, biography, discography and downloads.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.tegmatekk.com/"} +{"d:Title": "MPA", "d:Description": "Website of Nano and Manique, trance producers from Brazil.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.mpatrance.com/"} +{"d:Title": "Man With No Name", "d:Description": "Official site of British psytrance artist Martin Freeland.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.manwithnoname.co.uk/"} +{"d:Title": "Mittelstands Kinder Ohne Strom", "d:Description": "Official site of the Germany based Christian Bruckhaus and Andi Muller. Contains news, discography and contact information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.mittelstandskinder.de/"} +{"d:Title": "Digital Talk", "d:Description": "Official site of the French duo. Playlist, parties, bookings and links.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://digitaltalk.free.fr/"} +{"d:Title": "Divinorum", "d:Description": "Latest news about ethnic trance and Goa trance project Divinorum. Sound clips from releases, lyrics, online CD ordering and fan newsletter.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.divinorum.com/"} +{"d:Title": "To\u00ef Do\u00ef", "d:Description": "French psytrance act's official home page with information, mp3 downloads, and photographs.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://toidoi.free.fr/"} +{"d:Title": "Green Guerilla Groovers", "d:Description": "Psytrance project from DJ Bungee Terramoog. Goa trance links, audio and videos.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://greenguerillagroovers.net/"} +{"d:Title": "Hexadecimal", "d:Description": "Live electronica act from Brisbane, Australia.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.hex4.com/"} +{"d:Title": "Saiko Pod", "d:Description": "Psychedelic trance from Denmark. Group history and biography of founding member DJ Ian Ion.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.saiko-pod.com/"} +{"d:Title": "Shpongle", "d:Description": "Page dedicated to the Shpongle project from the artist's label.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.twistedmusic.com/artists/shpongle"} +{"d:Title": "Qubenzis", "d:Description": "Electronic music artist and producer Ricky Maard. DJ mixes, loops, sound samples and mp3 downloads. Contact and booking form.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.qubenzis.com/"} +{"d:Title": "Subconsciousmind", "d:Description": "Psychedelic trance artist from Switzerland. Contains blog, graphics, audio tutorials and information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.subconsciousmind.ch/"} +{"d:Title": "Midi Miliz", "d:Description": "Techno trance project by Hamburg based Arne Schaffhausen and Wayan Raabe also known as Spirallianz and part of Delta.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.midimiliz.de/"} +{"d:Title": "Infected Mushroom", "d:Description": "Official site of the Israeli trance band Infected Mushroom.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.infected-mushroom.com/"} +{"d:Title": "Electric Universe", "d:Description": "Official site of the psychedelic trance producers from Germany.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Bands_and_Artists", "url": "http://www.electricuniverse.eu/"} +{"d:Title": "Goa Gil", "d:Description": "Official site of one of the pioneers of techno-tribal dance music. Wallpaper and graphics, discography, photos, detailed information and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://www.goagil.com/"} +{"d:Title": "Skywarp", "d:Description": "A Goa and hard trance DJ located in Edmonton, Canada. Includes online mixes, booking information and event listings.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://djskywarp.com/"} +{"d:Title": "DJ Yahel", "d:Description": "Israeli progressive psytrance artist.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://www.djyahel.com/"} +{"d:Title": "Stella Nutella", "d:Description": "Official site of the Swedish trance DJ Stella Nutella.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://www.stellanutella.com/"} +{"d:Title": "D-Nox", "d:Description": "Progressive trance DJ and Plastic Park records label manager from Germany.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://www.d-nox.com/"} +{"d:Title": "Back to Mars", "d:Description": "Website of DJ Back to Mars from Brasil, living and playing in Europe.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://www.backtomars.net/"} +{"d:Title": "M\u00fcstik", "d:Description": "Progressive trance DJ and producer under the name Posidon.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://www.muestik.com/"} +{"d:Title": "DJ Mubarek", "d:Description": "Psychedelic trance DJ and artist from Germany. Site provides news, downloads and booking information.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/DJs", "url": "http://dj-mubarek.de/"} +{"d:Title": "ZOOM", "d:Description": "Global psytrance gathering festival after the Streetparade in Zurich, Switzerland. Pre sale, line up and online shop.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.zoom-party.ch/"} +{"d:Title": "Earthdance", "d:Description": "International charity trance dance event. Promotes peace through dance and participation.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.earthdance.org/"} +{"d:Title": "Interzone", "d:Description": "Goa parties and psychedelic trance in Switzerland.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://goa.ch/"} +{"d:Title": "Psyberpunks", "d:Description": "Psychedelic trance organizer from the Swiss Alps, psychedelic decoration and scenery creators, live act and music production.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.psyberpunk.ch/"} +{"d:Title": "Goabase", "d:Description": "German site with psychedelic trance party information and flyers from around the world.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.goatrance.de/goabase/"} +{"d:Title": "Rainbow Serpent", "d:Description": "International open air trance festival in Australia. Includes a market, camping, a kids zone and healing workshops.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.rainbowserpent.net/"} +{"d:Title": "Fullmoon Festival", "d:Description": "Outdoor summer trance festival in Germany. Features information, line up, newsletter and contact details.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.fullmoon-festival.com/"} +{"d:Title": "Psytech", "d:Description": "Psychedelic trance party organizers based in the Netherlands. Contains flyers, links, guestbook, photos and movies.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.psytechnology.nl/"} +{"d:Title": "Alien Safari", "d:Description": "Dance event organisers from South Africa. Features news, gallery, DJs and party bookings.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://aliensafari.net/"} +{"d:Title": "Boom Festival", "d:Description": "Outdoor psytrance summer festival in Portugal.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.boomfestival.org/"} +{"d:Title": "Eclipse Festival", "d:Description": "Summer trance festival in Canada organised by Tech Safari Productions.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.eclipsefestival.com/"} +{"d:Title": "Groovy Troopers", "d:Description": "Psychedelic trance festival and party organisers from South Africa.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://www.groovytroopers.co.za/"} +{"d:Title": "Ozdoof", "d:Description": "Australian psytrance and progressive trance music site showcasing photographs, links, events, forums, and voting polls.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Parties", "url": "http://ozdoof.info/main/"} +{"d:Title": "Psychedelix.com", "d:Description": "Includes wallpapers, screensavers, icons, downloads, and fonts.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.psychedelix.com/"} +{"d:Title": "SunEcho", "d:Description": "Gallery of paintings and pov-ray images.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.sunecho.com/"} +{"d:Title": "Space Chakra", "d:Description": "Gallery of psychedelic art and trippy animations.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://psydesign.narod.ru/"} +{"d:Title": "Psychedelische Collagen", "d:Description": "A site dedicated to psychedelic collages.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.alie.de/"} +{"d:Title": "PsychedelicArt.com", "d:Description": "Gallery of psychedelic paintings by deco artist Robbie. Features fluorescent backdrops and blacklight posters.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.psychedelic-art.com/"} +{"d:Title": "Droid Dreams", "d:Description": "Features psychedelic artwork, multi-media projects, fractal galleries, artist profile and photography.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.angelfire.com/droid/dreams1/"} +{"d:Title": "Trippy Apps", "d:Description": "A collection of psychedelic applications, programs, screen savers, and games.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.trippyapps.com/"} +{"d:Title": "Astral Visuals", "d:Description": "3d-visuals, screensavers and virtual reality. 3D-rides, tunnels, fractals and virtual worlds.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.astralvisuals.com/"} +{"d:Title": "Realm Of Thoughts", "d:Description": "Psychedelic image collection with 3D-art, fractals, photos, flyers and wallpapers", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.psykick.de/rot/"} +{"d:Title": "Psychedelic Adventure", "d:Description": "Psychedelic art and weblog.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Psychedelic_Art", "url": "http://www.psychedelicadventure.net/"} +{"d:Title": "Triplag", "d:Description": "Streaming DJ mixes and album showcases. Features a forum, news and a link section.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Radio", "url": "http://www.triplag.com/webradio/"} +{"d:Title": "Psychedelik", "d:Description": "Psytrance and ambient trance internet radio.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Radio", "url": "http://www.psychedelik.com/"} +{"d:Title": "Psyradio.fm", "d:Description": "Psychedelic and progressive trance radio station.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Radio", "url": "http://www.psyradio.fm/"} +{"d:Title": "PsyMusic", "d:Description": "Psytrance and progressive trance radio with member forum.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Radio", "url": "http://www.psymusic.co.uk/"} +{"d:Title": "Chromanova", "d:Description": "Goa trance internet music streaming.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Radio", "url": "http://www.chromanova.de/home.htm"} +{"d:Title": "HBR1", "d:Description": "Psychedelic trance internet radio streaming.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Radio", "url": "http://www.hbr1.com/"} +{"d:Title": "Free Trance", "d:Description": "Psychedelic trance radio and mp3 from Greece.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Psychedelic_Trance/Radio", "url": "http://freetrance.net/"} +{"d:Title": "Digitally Imported", "d:Description": "Internet only radio station broadcasting nearly every genre of Electronic Dance Music.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio", "url": "http://www.di.fm/"} +{"d:Title": "Sunshine Live Radio", "d:Description": "Radio station located in southern Germany broadcasting in German and English with live stream.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio", "url": "http://www.sunshine-live.de/"} +{"d:Title": "Powershout Trance Radio", "d:Description": "128kbs streaming trance and techno radio 24/7. Playlist, forum.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio", "url": "http://www.powershout.com/"} +{"d:Title": "Viking Trance", "d:Description": "Online radio with Trance and Progressive music stream and chat room.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio", "url": "http://www.vikingtrance.com/"} +{"d:Title": "AfterHoursDJs.org", "d:Description": "Internet radio featuring DJs from all over the globe, playing Trance, House, Progressive, DnB and other electronic music.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio", "url": "http://www.afterhoursdjs.org/"} +{"d:Title": "Afterhours FM", "d:Description": "Entirely online radio station dedicated to Trance and Progressive. Streams from many well-known Trance DJs.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio", "url": "http://www.ah.fm/"} +{"d:Title": "Eternal Trance by DJ Hercio", "d:Description": "Weekly updated radio show featuring euro dance, trance and hard trance beat mixed by DJ Hercio.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio/Pre-recorded", "url": "http://eternaltrance.tempo.fm/"} +{"d:Title": "Tempo FM's PartyZone", "d:Description": "Listen online to a radio show with trance, house, dance and club music beat mixed by the Belgian DJ Jimmy Degreef. Both small and broadband versions.", "topic": "Top/Arts/Music/Styles/D/Dance/Trance/Radio/Pre-recorded", "url": "http://partyzone.tempo.fm/"} +{"d:Title": "Vatican Exhibit: Music Hall", "d:Description": "Virtual exhibition from the Vatican: From Gregorian Chant to Opera's Origins", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.ibiblio.org/expo/vatican.exhibit/exhibit/e-music/Music.html"} +{"d:Title": "Early Music FAQ", "d:Description": "Information on Medieval, Renaissance, and Baroque music; Repertory Overview, information on CD-ROM recordings, topics, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.medieval.org/emfaq/"} +{"d:Title": "The ap Huw Manuscript", "d:Description": "Facsimile and other information about this Welsh collection of harp tablature dating from the late 16th and early 17th century.", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.pbm.com/~lindahl/ap_huw/"} +{"d:Title": "Graphical Index of Early Music Concerts", "d:Description": "Graphical Concert Index - a clickable world map with links for early music performing groups and festivals", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.medieval.org/emfaq/concerts/map.html"} +{"d:Title": "Here Of A Sunday Morning", "d:Description": "Extensive historical and biographical resources and playlists for Chris Whent's WBAI radio program.", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.hoasm.org/"} +{"d:Title": "Early Music Network", "d:Description": "international Web based organization providing information about early music and historical performance all over the world and offering helpful services for musicians and organizations", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.earlymusic.net/"} +{"d:Title": "Renaissance and Baroque Music", "d:Description": "Course resources by Gordon J. Callon at McGill University.", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://plato.acadiau.ca/courses/musi/callon/2233/composer.htm"} +{"d:Title": "Early MusiChicago", "d:Description": "This is a web portal to the Early Music scene in the greater Chicago Metropolitan Area and adjoining region. The site covers \"all things early music.\"", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://earlymusichicago.org/"} +{"d:Title": "Search Beat: Baroque Music", "d:Description": "Brief articles and links to composers and other sites.", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.search-beat.com/baroque.htm"} +{"d:Title": "Search Beat: Early Music", "d:Description": "Brief articles and links on Renaissance and Medieval music.", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.search-beat.com/earlymusic.htm"} +{"d:Title": "National Centre for Early Music", "d:Description": "Events listings, concerts, and festivals for Baroque, Choral, Renaissance, and early music generally.", "topic": "Top/Arts/Music/Styles/E/Early_Music", "url": "http://www.ncem.co.uk/"} +{"d:Title": "Arto Wikla's Early Music Pages", "d:Description": "Links and scholarly information on early instruments organized by a lutenist from Finland. In English and Finnish.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Directories", "url": "http://www.cs.helsinki.fi/~wikla/music.html"} +{"d:Title": "Gregorian Schola: Chant Links", "d:Description": "Links for Gregorian chant resources, choirs, and recordings collected by musical director of a performing group established in 1993 at St. Joseph's Parish in Fayetteville, Arkansas.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Directories", "url": "http://comp.uark.edu/~rlee/otherchant.html"} +{"d:Title": "Netserf: Music", "d:Description": "Small but well-chosen collection of links from the Catholic University of America.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Directories", "url": "http://www.netserf.org/Music/"} +{"d:Title": "NewOlde.com: Early Music Web Rings", "d:Description": "Directory of web rings dealing with baroque and early music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Directories", "url": "http://www.newolde.com/web_rings.htm"} +{"d:Title": "Webring: Baroque Music", "d:Description": "List of sites in the ring, with brief descriptions.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Directories", "url": "http://www.webring.org/hub?ring=baroque"} +{"d:Title": "Early Music Recommendations", "d:Description": "T. M. McComb's recommended records (Medieval, Renaissance, Consort, Keyboard, J. S. Bach)", "topic": "Top/Arts/Music/Styles/E/Early_Music/Discographies", "url": "http://www.medieval.org/music/early/lists.html"} +{"d:Title": "Repertory Overview Lists", "d:Description": "related to currently available early-music recordings", "topic": "Top/Arts/Music/Styles/E/Early_Music/Discographies", "url": "http://www.medieval.org/emfaq/beginlst/"} +{"d:Title": "Russell Oberlin - A discography", "d:Description": "from Early Music FAQ; includes original vinyl and CD reissues", "topic": "Top/Arts/Music/Styles/E/Early_Music/Discographies", "url": "http://www.medieval.org/emfaq/performers/oberlin.html"} +{"d:Title": "Early Music FAQ CD Directory", "d:Description": "good information on recent early music releases", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Early_Music/Discographies", "url": "http://www.medieval.org/emfaq/cds/"} +{"d:Title": "Fischer Musical Parnassus, Volume 2.", "d:Description": "NAXOS 8.554446 Classical CD Reviews- October 2000 Music on the Web(UK)", "topic": "Top/Arts/Music/Styles/E/Early_Music/Discographies/CD_Reviews", "url": "http://www.musicweb-international.com/classrev/2000/oct00/fischer.htm"} +{"d:Title": "Musicke of Sundrie Kindes", "d:Description": "Catalogue from an exhibition of very old music books.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Festivals", "url": "http://special.lib.gla.ac.uk/exhibns/music/musickex.html"} +{"d:Title": "Music and Literature in the 19th and 20th Centuries", "d:Description": "Held in London on 5 May 2001.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Festivals", "url": "http://www.open.ac.uk/arts/music/music-lit-info.htm"} +{"d:Title": "York Early Music Festival", "d:Description": "Annual festival of early music in the city of York, UK. Information about events and booking, sponsors and contacts.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Festivals", "url": "http://www.ncem.co.uk/yemf.shtml"} +{"d:Title": "NewOlde.com - Directory of Early Music Festivals", "d:Description": "Worldwide directory of early music festivals and opera houses with historically-informed productions.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Festivals", "url": "http://www.newolde.com/festivals.htm"} +{"d:Title": "Jo\u00eblle Morton's Historical Bass", "d:Description": "The history of bass string instruments such as the viola da gamba, violone, and double bass, along with their performance practices and iconography.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.greatbassviol.com/"} +{"d:Title": "Tapia's Gold - Instruments of the Renaissance", "d:Description": "Descriptions of instruments used for dance music during the Renaissance, illustrated with period drawings.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.tapiasgold.com/instruments.html"} +{"d:Title": "Culturekiosque Klassiknet: Baroque Instruments", "d:Description": "A dictionary to provide the music lover with a reasonable amount of information about period instruments.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.culturekiosque.com/klassik/features/fa1baroq.htm"} +{"d:Title": "Rebec Page", "d:Description": "Origin and history of the rebec, construction, playing, tuning, bibliography, and many illustrations.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://crab.rutgers.edu/~pbutler/rebec.html"} +{"d:Title": "Contrabass Compendium", "d:Description": "A list of bass and contrabass instruments, past and present.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.contrabass.com/pages/compendium.html"} +{"d:Title": "Lars' Baroque Flute Corner", "d:Description": "Information on the Baroque flute, including instrument care and fingering charts.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.gruk.net/lars/BaroqueFluteCorner.html"} +{"d:Title": "Mary Rasmussen's Horn and Lute Iconography", "d:Description": "Iconography of the horn, lute, pipe and tabor, and tambourine in Western-European Art.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.unh.edu/music/igref.htm"} +{"d:Title": "Links to History of Musical Instruments", "d:Description": "Includes links to museums and collections, historical guides, historical sources and facsimiles, societies, individual instruments, and world instruments.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://plato.acadiau.ca/courses/musi/Callon/2273/Instr.htm"} +{"d:Title": "The Saxon Lyre", "d:Description": "History, construction, and playing techniques.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.cs.vassar.edu/~priestdo/lyre.html"} +{"d:Title": "Diabolus in Musica Guide to Early Instruments", "d:Description": "Information on as many early instruments as possible.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.diabolus.org/guide/guide-m.htm"} +{"d:Title": "Lute-Harpsichord: A Forgotten Instrument", "d:Description": "Bach sought, and had custom-built, a harpsichord which sounded like a lute. Detailed description of history and construction.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.baroquemusic.org/barluthp.html"} +{"d:Title": "Curtal, Dulcian, Baj\u00f3n: A History of the Precursor to the Bassoon", "d:Description": "A book by Maggie Kilbey charting the history and development of the instrument and includes a catalogue of extant instruments around the world.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.curtalbook.com/"} +{"d:Title": "Early Music Vincent Ho", "d:Description": "Early music and instruments, sound files of harpsichords and clavichords, and a table with pictures of the author's early music instruments collection, from medieval psalteries to Renaissance recorders.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.rawbw.com/~hbv/earlymus/"} +{"d:Title": "Kenneth Sparr", "d:Description": "Information about lute, guitar and early keyboards.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.tabulatura.com/"} +{"d:Title": "Medieval and Renaissance Instruments", "d:Description": "Musica Antiqua's illustrated guide: pictures, descriptions, and history.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.music.iastate.edu/antiqua/instrumt.html"} +{"d:Title": "Neanderthal Flute", "d:Description": "Musicological analysis by Bob Fink of the oldest musical instrument, including its significance to the origin of music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.greenwych.ca/fl-compl.htm"} +{"d:Title": "Stages in Evolution of Scales, Melody and Harmony", "d:Description": "Paper about the stages in the origins of music and its development.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.greenwych.ca/stages.htm"} +{"d:Title": "Bate Collection of Musical Instruments", "d:Description": "An extensive and systematic collection of European orchestral woodwind instruments donated to the University of Oxford by Philip Bate.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.bate.ox.ac.uk/"} +{"d:Title": "Windcaps", "d:Description": "Early music in various manifestations by Mark W Venn, including handmade Renaissance woodwind instruments, Cotswold Early Music Festival (formerly the Cirencester Early Music Festival), and the \"Mozart\" music software.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.windcaps.co.uk/"} +{"d:Title": "Dulcians", "d:Description": "A description of the Dulcian or Curtal, which is the Renaissance predecessor of the bassoon.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.dulcians.org/"} +{"d:Title": "Renaissance Cittern Page", "d:Description": "Information on its history, articles, art, music, recordings, players, composers, and builders.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://www.cittern.theaterofmusic.com/"} +{"d:Title": "National Music Museum", "d:Description": "Founded as an academic support unit of The University of South Dakota, this collection includes more than 10,000 American, European, and non-Western instruments from virtually all cultures and historical periods.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://orgs.usd.edu/nmm/"} +{"d:Title": "Kim Christensen's Music Museum", "d:Description": "Private collection of music instruments with pictures, sound samples, history, and functional description. [English, Danish, German]", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments", "url": "http://musikmuseum.dk/"} +{"d:Title": "Jeremy West Cornett", "d:Description": "Information on the 17th century cornetto, performance with His Majestys Sagbutts and Cornetts, manufacture of cornetti and other instruments by Christopher Monk Instruments, and instruction at the Royal College of Music in London.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Brass", "url": "http://www.jeremywest.co.uk/index.html"} +{"d:Title": "Serpent Website", "d:Description": "Provides basic information for the interested lay person on all aspects of the instrument, from its early history to the present.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Brass", "url": "http://www.serpentwebsite.com/"} +{"d:Title": "Crumhorn", "d:Description": "Description with details of playing techniques and scale ranges for instruments of different sizes.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Crumhorn", "url": "http://www.sackpfeyffer-zu-linden.de/crumhorn.html"} +{"d:Title": "Diabolus Guide to the Crumhorn and Rackett", "d:Description": "From the Diabolus in Musica Guide to Early Instruments.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Crumhorn", "url": "http://www.diabolus.org/guide/crumhorn.htm"} +{"d:Title": "The Crumhorn", "d:Description": "The history of the crumhorn with audio samples.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Crumhorn", "url": "http://www.music.iastate.edu/antiqua/crumhorn.htm"} +{"d:Title": "Microwave Theory of a Crumhorn", "d:Description": "A paper by Charlie Jackson from the Spring 1999 IEEE Microwave Theory and Techniques Society Newsletter.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Crumhorn", "url": "http://home.earthlink.net/~cornetto45/art/crum2.htm"} +{"d:Title": "Ieorg Wier", "d:Description": "An article from an Oxford University Press Early Music journal about an early sixteenth-century crumhorn maker.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Crumhorn", "url": "http://em.oxfordjournals.org/content/7/4/511.citation"} +{"d:Title": "The Baroque Guitar :: Printed Music from 1606-1737", "d:Description": "Dr Gary Boyes' research into playing styles and repertoire for the Baroque guitar, with an explanation of tablature used in the period.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://www.library.appstate.edu/music/guitar/home.html"} +{"d:Title": "Baroque Guitar for the Modern Performer", "d:Description": "An article exploring the differences between the baroque and modern classical instrument, their respective repertoires, and adapting the older music for the modern instrument.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://guitar.canzona.com/baroque.shtml"} +{"d:Title": "Instructions for the Baroque Guitar", "d:Description": "Three translations of contemporary guidance for Baroque guitar players, covering tuning, tablature, and stringing.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://www.lutesoc.co.uk/baroqueguitar.htm"} +{"d:Title": "Guide to Early Instruments :: The Guitar and Vihuela", "d:Description": "Describes and traces the development of early members of the guitar family.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://www.diabolus.org/guide/guitar.htm"} +{"d:Title": "A manuscript found in Oporto", "d:Description": "Preserved in Galvao-Krist\u00f3fcs\u00e1k Foundation of Lagos, in Algarve, Portugal, it is a unique, anonymous collection of works for five course Baroque guitar.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://polyhymnion.org/adc/index-eng.html"} +{"d:Title": "Sixteenth-Century Printed Tablatures", "d:Description": "An annotated bibliography of tablature written for the lute, vihuela, early guitar, cittern, bandora, mandora, and orpharion; arranged chronologically and by composer.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://www.library.appstate.edu/music/lute/home.html"} +{"d:Title": "The Guitar in England", "d:Description": "Excerpts from \"The Early Guitar: A History and Handbook\" (James Tyler, OUP, 1980), describing the development of the vihuela, Renaissance and Baroque, and English guitars, with comments on tunings and playing techniques.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://www.standingstones.com/engguit.html"} +{"d:Title": "Monica Hall Baroque Guitar Research", "d:Description": "Pieces by Corbetta from Castillion's Liege Manuscript and five course guitar stringing.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://www.monicahall.co.uk/"} +{"d:Title": "The Lute Ring", "d:Description": "A webring for sites related to lutes and early guitars.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars", "url": "http://www.webring.org/hub?ring=luteandearlyguit"} +{"d:Title": "Brown, Elizabeth", "d:Description": "Head of the Guitar and Lute program at Pacific Lutheran University, and a solo and ensemble performer on baroque guitar and lute; brief profile, and performance listing.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars/Guitarists", "url": "http://www.plu.edu/~brownec/"} +{"d:Title": "Rebours, G\u00e9rard", "d:Description": "Composer, researcher and performer on Renaissance and Baroque guitars; includes a profile, listing of performances, and details of his repertoire. Also a collection of some of his writings. [English and French]", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars/Guitarists", "url": "http://g.rebours.free.fr/"} +{"d:Title": "Ferries, Gordon", "d:Description": "Baroque guitar performer; also lute and vihuela.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars/Guitarists", "url": "http://www.gordonferries.com/"} +{"d:Title": "Eisenhardt, Lex", "d:Description": "Performer on early guitars, like the Vihuela, the Baroque- and Romantic guitars.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Early_Guitars/Guitarists", "url": "http://home.kpn.nl/eisen073/"} +{"d:Title": "Bal\u00e1zs Nagy", "d:Description": "Hurdy-gurdy maker from Budapest, who provides copies of museum pieces, modified versions for modern performance, repair work, tuning and construction of cases.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Hurdy_Gurdy", "url": "http://www.musicart.hu/balazs/index_en.htm"} +{"d:Title": "Over the Water Hurdy-Gurdy Association", "d:Description": "Based in Seattle, they sponsor an annual hurdy-gurdy festival and other events.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Hurdy_Gurdy", "url": "http://www.overthewater.org/"} +{"d:Title": "Olympic Musical Instruments", "d:Description": "Alden and Cali Hackmann's hurdy-gurdy site with information about the instrument, which is also called the vielle \u00e0 roue.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Hurdy_Gurdy", "url": "http://www.hurdygurdy.com/"} +{"d:Title": "Matthias Loibner", "d:Description": "Performer; including sounds, pictures, and schedule.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Hurdy_Gurdy", "url": "http://matthias.loibner.net/"} +{"d:Title": "Lutes", "d:Description": "Information about old and new lutes, makers, music, techniques and organizations.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.cs.dartmouth.edu/~wbc/lute/lute.html"} +{"d:Title": "Lute in the Classical Era", "d:Description": "Baroque lute information by Roman Turovsky", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.polyhymnion.org/swv/"} +{"d:Title": "English Lute Society", "d:Description": "Located in London, they promote the lute and its music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.lutesoc.co.uk/"} +{"d:Title": "Lute Information", "d:Description": "Assembled by Ed Dubrow.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www9.plala.or.jp/edurbrow/luteinfo.html"} +{"d:Title": "A History of the Lute", "d:Description": "From Antiquity to the Renaissance by Douglas A. Smith.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.mclasen.com/LuteHistory/"} +{"d:Title": "Renaissance Lute Beginner Page", "d:Description": "Various tips and pieces of information John Redwood accumulated as a beginning lutenist.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://john.redmood.com/lutebeginner.html"} +{"d:Title": "The Lute Page", "d:Description": "Information about lutes, old and new, by Wayne Cripps.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.cs.dartmouth.edu/~wbc/lute/"} +{"d:Title": "The Lute Society of America", "d:Description": "Devoted to the historical European lute and its music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://lutesocietyofamerica.org/"} +{"d:Title": "Guitar loot", "d:Description": "Arrangements for Classical Guitar of Renaissance and Baroque Music, mostly music written for the lute by Eric Crouch.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.guitarloot.co.uk/"} +{"d:Title": "Luteonline", "d:Description": "The Renaissance lute made easier by Stefan Lundgren.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.luteonline.se/"} +{"d:Title": "English Lute Manuscripts and Scribes 1530-1630", "d:Description": "A thesis by Julia Craig-McFeely.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.cs.dartmouth.edu/~wbc/julia/"} +{"d:Title": "The Lute Ring", "d:Description": "A webring for sites related to lutes and early guitars.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute", "url": "http://www.webring.org/hub?ring=luteandearlyguit"} +{"d:Title": "Bellocq, Eric", "d:Description": "A lute player, lutenist in the Ensemble Cl\u00e9ment Janequin, composer and instructor of lute. Discography, sample clips, schedule and photo gallery.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.bellocq.info/"} +{"d:Title": "Lute Duo.com - Anton Birula and Anna Kowalska", "d:Description": "Lute duet; includes information about players, music samples, and concert schedule.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.luteduo.com/"} +{"d:Title": "Maginley, Andrew", "d:Description": "Lutenist and theorbist; includes a biography, discography, calendar of performances, and reviews.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.andrewmaginley.com/"} +{"d:Title": "Smith, Hopkinson", "d:Description": "A founding member of the ensemble Hesperion XX.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.hopkinsonsmith.com/"} +{"d:Title": "Marincola, Frederico", "d:Description": "A lute and early guitar from Monaco.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.marincola.com/"} +{"d:Title": "Schneiderman, John", "d:Description": "A virtuoso of plucked instruments, specializing in the performance practice and repertoire of eighteenth-century lutes and nineteenth-century guitars.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.johnschneiderman.com/"} +{"d:Title": "Mignarda", "d:Description": "An ensemble of professional musicians who explore the repertoire of music for lute and voice.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.mignarda.com/"} +{"d:Title": "Wadsworth, Matthew", "d:Description": "A soloist, chamber musician, continuo player and teacher from Austria.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.matthewwadsworth.com/"} +{"d:Title": "Willard, Jerry", "d:Description": "Guitarist and lutenist.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.jerrywillard.com/"} +{"d:Title": "Chiavinato, Luca", "d:Description": "Lute, theorbo and Baroque guitar performer from Italy.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.chiavinato.it/"} +{"d:Title": "Galetti, Donatella", "d:Description": "Italian lutenist.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://web.tiscali.it/awebd/"} +{"d:Title": "K\u00f3nya, Istv\u00e1n", "d:Description": "Hungarian who performs with Renaissance and Baroque lutes, archlute, and chitarrone.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.lant.hu/"} +{"d:Title": "Valeria Mignaco and Alfonso Mar\u00edn", "d:Description": "Soprano-lute duo based in the Netherlands.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.lutevoice.com/"} +{"d:Title": "Schlegel, Andreas - The Lute Corner", "d:Description": "Swiss lutenist.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.lutecorner.ch/"} +{"d:Title": "Minguillon, Manuel", "d:Description": "A vihuela, lute, baroque guitar, archlute and theorbo player living in Rochester New York. Information about schedule, concert proposals, and contact information.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://manuelminguillon.com/"} +{"d:Title": "Croton, Peter", "d:Description": "Performer, teacher and composer on lute and early guitars. Duo with Theresia Bothe, soprano. Specializes in figured bass on the classical guitar. Teacher at the Schola Cantorum Basiliensis.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.peter-croton.com/"} +{"d:Title": "Durbrow, Ed", "d:Description": "Offering a Renaissance Lute CD, recording studio in Japan and music jokes.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www9.plala.or.jp/edurbrow/"} +{"d:Title": "Heringman, Jacob", "d:Description": "A lutenist who appears throughout Europe and the Americas as a soloist, accompanist and chamber player.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.heringman.com/"} +{"d:Title": "Lindberg, Jakob", "d:Description": "Swedish lutenist; includes recordings, instruments and programs.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.musicamano.com/"} +{"d:Title": "Narvey, Benjamin", "d:Description": "French early music player and researcher.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.luthiste.com/"} +{"d:Title": "Ferrara, Dante", "d:Description": "An English minstrel performing Elizabethan, Tudor and Renaissance music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.danteferrara.co.uk/"} +{"d:Title": "Sayce, Lynda", "d:Description": "British lutenist and theorbo player, with information about lecture recitals and concert programs.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.theorbo.com/"} +{"d:Title": "Sommerrock, Ulrich", "d:Description": "German lutenist who performs on the Renaissance and Baroque lutes, vihuela, theorbo, archlute, and Baroque and 19th century guitar.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.ulrich-sommerrock.de/"} +{"d:Title": "Wolf, Axel", "d:Description": "German lutenist.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.laute.net/"} +{"d:Title": "Belgian Lute Academy", "d:Description": "An association formed to promote contacts between people interested in lute activities taking place in Belgium.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.lute-academy.be/"} +{"d:Title": "Held, Joachim", "d:Description": "German lutenist; includes biography, concert schedule, discography, reviews, photos and contact.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://www.joachim-held.com/"} +{"d:Title": "van Ooijen, David", "d:Description": "A lute, guitar and shamisen player with papers about the lute.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Lute/Lutenists", "url": "http://home.kpn.nl/ooije006/david/home.html"} +{"d:Title": "Today's Tabors Information from Harms Historical Percussion", "d:Description": "History of the tabor drum and descriptions of variant of the tabor from different cultures.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Pipe_and_Tabor", "url": "http://www.harmsperc.com/ttabors.htm"} +{"d:Title": "The Taborers Society", "d:Description": "Organizers of the International Pipe and Tabor Festival.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Pipe_and_Tabor", "url": "http://www.pipeandtabor.org/"} +{"d:Title": "The pipe and tabor", "d:Description": "The history of the pipe and tabor with audio samples.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Pipe_and_Tabor", "url": "http://www.music.iastate.edu/antiqua/pipetabr.htm"} +{"d:Title": "The Pipe and Tabor", "d:Description": "An address to a Society of Morris Dancers, Oxford, February 12, 1914, by Sir Francis Darwin (Son of Sir Charles Darwin).", "topic": "Top/Arts/Music/Styles/E/Early_Music/Instruments/Pipe_and_Tabor", "url": "http://chrisbrady.itgo.com/pipntab/pipntab.htm"} +{"d:Title": "PIPORG-L: Pipe Organs and Related Topics", "d:Description": "listserv based, to subscribe send \"subscribe piporg-l firstname lastname\" to listserv@albany.edu", "topic": "Top/Arts/Music/Styles/E/Early_Music/Mailing_Lists", "url": "http://www.albany.edu/piporg-l/"} +{"d:Title": "BACH-LIST: JS Bach and other Early and Baroque Music", "d:Description": "listserv based, to subscribe send \"subscribe bach-list firstname lastname\" to listserv@listserv.uh.edu", "topic": "Top/Arts/Music/Styles/E/Early_Music/Mailing_Lists", "url": "http://www.lsoft.com/scripts/wl.exe?SL1=BACH-LIST&H=LISTSERV.UH.EDU"} +{"d:Title": "rendance: Renaissance Dance", "d:Description": "The web's central resource for information on European dance from the fifteenth to early seventeenth centuries. listserv based, to subscribe send \"subscribe rendance firstname lastname\" to listserv@morgan.ucs.mun.ca", "topic": "Top/Arts/Music/Styles/E/Early_Music/Mailing_Lists", "url": "http://www.rendance.org/"} +{"d:Title": "HPSCHD-L: Harpsichords and Related Topics", "d:Description": "Information on joining the listserv, and archives.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Mailing_Lists", "url": "http://www.albany.edu/hpschd-l/"} +{"d:Title": "Early Music at MidiWorld", "d:Description": "Over 200 medieval, Renaissance, and early Baroque files.", "topic": "Top/Arts/Music/Styles/E/Early_Music/MIDI_Sites", "url": "http://midiworld.com/earlymus.htm"} +{"d:Title": "Classical MIDI Connection: the Baroque Period", "d:Description": "Classical MIDI Connection's files for hundreds of Baroque pieces, listed by composer; major composers such as Bach and Handel have their own special sections", "topic": "Top/Arts/Music/Styles/E/Early_Music/MIDI_Sites", "url": "http://www.midiworld.com/classic.htm/baroque.html"} +{"d:Title": "The Internet Renaissance Band", "d:Description": "MIDI files of music from the Medieval and Renaissance periods, and ancient carols.", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Early_Music/MIDI_Sites", "url": "http://www.curtisclark.org/emusic/"} +{"d:Title": "Early Music Vancouver", "d:Description": "Organisation established in North America to foster and promote music from various periods in a historical context, using appropriate instruments and performance practices.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.earlymusic.bc.ca/"} +{"d:Title": "Society of Recorder Players", "d:Description": "promoting recorder playing in the UK and Ireland", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.srp.org.uk/"} +{"d:Title": "The Lute Society of America Page", "d:Description": "The Lute Society of America - join up with your fellow lute players.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.cs.dartmouth.edu/~wbc/lsa/lsa.html"} +{"d:Title": "TVEMF - Thames Valley Early Music Forum", "d:Description": "an organization promoting early music in the London/Oxford area", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.medieval.org/emfaq/concerts/tvemf.html"} +{"d:Title": "San Francisco Early Music Society", "d:Description": "Facts, membership information, and calendar of events for organization that promotes appreciation of medieval, Renaissance, and baroque music. Events held in Berkeley, San Rafael, and Marin.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.sfems.org/"} +{"d:Title": "Renaissance and Baroque Society of Pittsburgh", "d:Description": "Information about the society together with links to medieval, Renaissance, and Baroque music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.rbsp.org/"} +{"d:Title": "Dallas Bach Society", "d:Description": "Current concert schedule and contact information", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://dallasbach.org/"} +{"d:Title": "San Diego Early Music Society", "d:Description": "SDEMS is a non-profit organization founded to showcase the music of Europe's medieval, Renaissance and Baroque periods.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.sdems.org/"} +{"d:Title": "The American Bach Society", "d:Description": "Founded in 1972 to support the study, performance, and appreciation of the music of Johann Sebastian Bach in the United States and Canada.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.americanbachsociety.org/"} +{"d:Title": "North Star Viols", "d:Description": "The Upper Midwest chapter of the Viola da Gamba Society of America", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://a415software.com/NorthStarViols/"} +{"d:Title": "Twin Cities Recorder Guild", "d:Description": "The Twin Cities Recorder Guild (TCRG), based in Minneapolis-St. Paul, Minnesota, is a chapter of the American Recorder Society.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://a415software.com/tcrg/"} +{"d:Title": "Houston Early Music", "d:Description": "Houston Early Music presents historically-informed performances of early music in concerts featuring internationally renowned vocal, instrumental, and chamber musicians.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://houstonearlymusic.org/"} +{"d:Title": "Early Music Guild of Seattle", "d:Description": "Schedules of concerts and educational programs.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.earlymusicguild.org/"} +{"d:Title": "Early Music Society of the Islands", "d:Description": "Non-profit agency offers concerts featuring internationally renowned soloists and ensembles. Also presents early music concerts by accomplished local musicians.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Organizations", "url": "http://www.earlymusicsocietyoftheislands.ca/"} +{"d:Title": "Krauka", "d:Description": "Viking music, partly played on authentic reconstructions of old instruments, has its roots in the ancient Norse songs and sagas.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.krauka.dk/"} +{"d:Title": "Musica Mundi", "d:Description": "Baroque chamber music group based in the Southwest of England, specialising in 16th to 18th century music, and also contemporary music", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.musica-mundi.com/"} +{"d:Title": "Oni Wytars", "d:Description": "International early music ensemble, 6 centuries of Mediterranean music - more than 15 CD-ROMs on Naxos, Vitaminic, Verlag der Spielleute. [there are pages in English but no direct link]", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.oniwytars.de/"} +{"d:Title": "Les Id\u00e9es Heureuses", "d:Description": "Baroque music society, directed by harpsichordist Genevi\u00e8ve Soly. Information about recordings and upcoming concerts, including their regular performances in Montr\u00e9al.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.ideesheureuses.ca/"} +{"d:Title": "Joelle Morton", "d:Description": "Joelle Morton's homepage, detailing the use of historical bowed bass instruments, including the violone, great bass viol, viola da gamba and period double basses of all kinds.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.greatbassviol.com/"} +{"d:Title": "Texas Early Music Project", "d:Description": "Project in which University of Texas at Austin students work and perform with professionals, allowing students to explore repertoire normally out of their reach. Includes concert schedule, photos, volunteer opportunities, and a mailing list.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.early-music.org/"} +{"d:Title": "Fiori Musicali", "d:Description": "Group performs 17th and 18th century music on authentic instruments; schedule, newsletter, CDs.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.fiori-musicali.com/"} +{"d:Title": "Sarband", "d:Description": "Endeavours to show connections between European, Islamic and Jewish music-culture: Includes group information, discography, calendar.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.sarband.de/english_introduction.html"} +{"d:Title": "Burney Ensemble", "d:Description": "Performances of Baroque and Rococo music, including anecdotes and commentary by contemporaneous writers; based in Vancouver. Events schedule, contact information.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.vcn.bc.ca/burney"} +{"d:Title": "Musica Pacifica", "d:Description": "Group performs baroque chamber music. Biographies, schedule, CDs.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.musicapacifica.org/"} +{"d:Title": "Rondellus", "d:Description": "Medieval and Renaissance music group from Tallinn, Estonia; schedule and contact information; CDs and audio files.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.rondellus.ee/"} +{"d:Title": "Albuquerque Baroque Players", "d:Description": "Performances of 17th and 18th century Renaissance music; Viola da Gamba, Harpsichord, Baroque Oboe, Recorder, Baroque Violin. Schedule and contact information.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.unm.edu/~sbpatric/index.html"} +{"d:Title": "Diabolus in Musica", "d:Description": "Two musicians specializing in popular music of Elizabethan England, played on authentic and reconstructed instruments and in period costume.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.diabolus.org/"} +{"d:Title": "Tapia's Gold", "d:Description": "Music and musical instruments of the European Renaissance and Middle Ages, with forays into the music of early California and other periods.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.tapiasgold.com/"} +{"d:Title": "Marco Ambrosini", "d:Description": "Nyckelharpa and fiddle soloist, composer and member of the Oni Wytars ensemble and the Katharco Consort.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.ambrosini.de/"} +{"d:Title": "Chatham Baroque", "d:Description": "Quartet of two violins, viola da gamba, and lute/theorbo/guitar (plus guests). Information about concerts, educational programs and recordings, including MP3 audio clips.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.chathambaroque.org/"} +{"d:Title": "Chanterye", "d:Description": "a group devoted to presenting aspects of medieval life to modern audiences. In its simplest form, three performers offer a range of music from the 13th to 15th centuries. But they are often supplemented by other singers and instrumentalists", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.chanterye.co.uk/"} +{"d:Title": "The Antiquarian Funks: Early Baroque Music", "d:Description": "Based in Santa Cruz, California, they specialize in performances of rarely heard music from the Renaissance and Early Baroque.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://members.cruzio.com/~mathews/index.html"} +{"d:Title": "Gothard - rakija'n'roll", "d:Description": "Historical gothic music ensemble. Includes news, upcoming concert dates, promotional photos, and reviews, plus downloadable sample music, contact details, and information on CD releases.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.gothart.cz/"} +{"d:Title": "Wieboldt, Nils", "d:Description": "Baroque cellist. Information about the baroque cello, historical way of using gut strings, tips and tricks. Early music information, big link page to resources for research to early music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.wieboldt.de/"} +{"d:Title": "De Camer van Musiecke", "d:Description": "Trio (recorder, lute, viola da gamba) playing European Renaissance music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://users.skynet.be/camer.van.musiecke"} +{"d:Title": "Istanpitta Early Music Ensemble", "d:Description": "Texas based Early Music Ensemble performing 13-14th c. Instrumental and vocal works on historical instruments.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.istanpitta.com/"} +{"d:Title": "Salvaggio, Salvatore :: Lute and Guitar", "d:Description": "Activities of this concert artist.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.salvaggio.50megs.com/"} +{"d:Title": "Luciano Contini - Contini consort", "d:Description": "Homepage of the outstanding lute player Luciano Contini and his ensemble. Biography, reviews and audio files.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.continiconsort.com/"} +{"d:Title": "La Morra - Ensemble for Late Medieval Music", "d:Description": "Rediscover variety of late Medieval music with ensemble La Morra. Concert programs, recordings, and philosophy.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.lamorra.info/"} +{"d:Title": "Passacaglia", "d:Description": "Baroque ensemble playing recorders, harpsichord and viola da gamba. Information about concerts, audio clips and photos.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.passacaglia.com/"} +{"d:Title": "Musica Angelica", "d:Description": "They provide Southern California with a performing ensemble dedicated to music written in the medieval, Renaissance, Baroque and Classical periods.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.musicaangelica.org/"} +{"d:Title": "David Bellugi's Home Page", "d:Description": "David Bellugi is a performer, recording artist and teacher of the recorder. Links for the recorder and sound files.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.davidbellugi.com/"} +{"d:Title": "Fretwork", "d:Description": "The Fretwork site has information on the Consort of Viols, including tours, recordings, reviews, the diary and Fretwork Editions", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.fretwork.co.uk/"} +{"d:Title": "Musica Antigua de Toledo", "d:Description": "An early music performance group in Toledo, Ohio.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.musicaantiguatoledo.org/"} +{"d:Title": "Ensemble Peregrina", "d:Description": "Basel-based female ensemble researching and performing the repertories of twelfth to fourteenth century Europe, specializing in vocal polyphony for equal voices.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.peregrina.ch/"} +{"d:Title": "New Trinity Baroque", "d:Description": "Atlanta's leading early music ensemble on period instruments. Concert schedule, and biographies of artists. Artistic Director: Predrag Gosta", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.newtrinitybaroque.org/"} +{"d:Title": "Renaissance Music Performance by Piffaro", "d:Description": "Piffaro, Renaissance Band, performs late Medieval and Renaissance music in Philadelphia, the US, Europe, Canada and S. America. Piffaro has recorded several music CDs.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://piffaro.org/"} +{"d:Title": "Retrospect Ensemble", "d:Description": "Period instrument orchestra, based in Europe with performances worldwide. Information about orchestra background, artistic director Robert King, CD recordings, and concert schedule.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.retrospectensemble.com/"} +{"d:Title": "Long Island Baroque Ensemble", "d:Description": "Early music performed on period instruments: Includes contact information and concert calendar.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers", "url": "http://www.libaroque.org/"} +{"d:Title": "Vienna Vocal Consort", "d:Description": "Introducing the young Austrian Ensemble which has been performing for several years, concentrating on music of the Renaissance.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.vocalconsort.at/"} +{"d:Title": "Capella Artemisia", "d:Description": "An all-women vocal ensemble from Bologna, Italy, consisting of a core of 8-10 singers with continuo. Includes concert programs and a discography with audio samples.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.cappella-artemisia.com/"} +{"d:Title": "Gloriana Vocal Ensemble", "d:Description": "Gloriana is a Melbourne-based vocal ensemble of eighteen voices specialising in the performance of Renaissance and twentieth century choral music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.gloriana.com.au/"} +{"d:Title": "Anonymous 4", "d:Description": "All-female vocal ensemble. News, biographies, discography with sample tracks, and information about performing editions of their music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.anonymous4.com/"} +{"d:Title": "Ensemble Cantabile", "d:Description": "Traditional secular Madrigal performances. Repertoire; booking information; based in Qu\u00e9bec, Canada.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.latourdulac.com/cantabile"} +{"d:Title": "MadriGALS", "d:Description": "Trio performs madrigals, art songs, Celtic folk tunes, and Period pub songs. Schedule, biographies, CDs and audio files.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://webhome.idirect.com/~madrigal"} +{"d:Title": "The Mads", "d:Description": "Group is part of the Amherst College Choral Society and performs traditional madrigals and bawdy songs. Biographies, events schedule, contact information.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.amherst.edu/~madrigal"} +{"d:Title": "Dulces Voces", "d:Description": "Based in Lincoln, Nebraska; specializes in music composed before 1750.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.dulcesvoces.com/"} +{"d:Title": "Renaissance Voices", "d:Description": "A capella singers of Portland Maine under the direction of Harold Stover.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.renaissancevoices.org/"} +{"d:Title": "Sequentia", "d:Description": "Sequentia aims to reconstruct the living musical traditions of medieval Europe. Check their tour schedule, read their biography, view their discography.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.sequentia.org/"} +{"d:Title": "Polyhymnia", "d:Description": "John Bradley directs this small, New York based ensemble committed to historically informed performances of music composed between 1450-1650. Concert information includes ticket prices and venue directions.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.polyhymnia-nyc.org/"} +{"d:Title": "The Orlando Consort", "d:Description": "Performing period repertoire from 1050 to 1500.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.orlandoconsort.com/"} +{"d:Title": "The Cardinall's Musick", "d:Description": "One of the UK's foremost early music ensembles. Gramophone Award Winners. The Byrd Edition: a complete recorded survey of the works of William Byrd", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.cardinallsmusick.com/"} +{"d:Title": "Cappella Nova", "d:Description": "Professional vocal ensemble from Scotland, specialising in early and contemporary music.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.cappella-nova.com/"} +{"d:Title": "King's Singers", "d:Description": "A fan site for these 'six healthy Englishmen.'", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.kingssing.com/"} +{"d:Title": "I Fagiolini", "d:Description": "Vocal ensemble performing Italian and English music of the sixteenth and seventeenth century. Biography, discography and event calendar.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.ifagiolini.com/"} +{"d:Title": "Coronach", "d:Description": "Specialising in the courtly and popular music of Renaissance Scotland, played on early wind, stringed and keyboard instruments, and voices. Profile, details of recordings, and concert listing.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.coronach.co.uk/"} +{"d:Title": "Harvard University Choir", "d:Description": "Choir sings great choral literature in the Sunday morning services at The Memorial Church, as well as presents the annual Carol Services and concerts. A select group of eighteen sings for the daily Morning Prayer services in Appleton Chapel; the volunteer members of the Festival Choir join for special occasions.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.uchoir.harvard.edu/"} +{"d:Title": "The Sixteen", "d:Description": "Early music choral group with a supporting orchestra, concentrating on the heritage of early English polyphony, the masterpieces of the Renaissance as well as a diversity of twentieth century choral work.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Vocal_and_Choral", "url": "http://www.thesixteen.com/"} +{"d:Title": "The Oxford Waits", "d:Description": "Take their name from a real-life band of city musicians who flourished during the 17th century.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Waits", "url": "http://www.bejo.co.uk/bejo/html/artWaits.htm"} +{"d:Title": "Lincoln Waites", "d:Description": "The history of Lincoln's civic band from medieval times to the 19th century.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Waits", "url": "http://www.lincolnwaites.org.uk/"} +{"d:Title": "The Waits Website", "d:Description": "An academic site designed to accumulate and disseminate information on the history of Waits and their European equivalents.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Waits", "url": "http://www.townwaits.org.uk/"} +{"d:Title": "Kings Lynn Waites", "d:Description": "Medieval, Tudor and Renaissance style Town Band of Waits or Waites.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Waits", "url": "http://www.wyldesnoyse.co.uk/kingslynn/"} +{"d:Title": "Colchester Waits", "d:Description": "Revival of the City's civic musicians of medieval and Renaissance times, playing shawms, sackbuts and other loud wind instruments.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Waits", "url": "http://www.wyldesnoyse.co.uk/colchester/"} +{"d:Title": "The York Waits", "d:Description": "A 16th century, living history band playing Period European street music, popular dance, and ballad tunes, using a noyse of shawms, ancestors of the oboe-bassoon family, and characteristic instruments of waits before 1600.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Waits", "url": "http://www.theyorkwaits.org.uk/"} +{"d:Title": "Stadspijpers van 's-Hertogenbosch", "d:Description": "The City Pipers of 's-Hertogenbosch, The Netherlands. A liveried band of Shawms and Sackbuts.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Performers/Waits/European", "url": "http://www.stadspijpers.nl/"} +{"d:Title": "Jack Campin", "d:Description": "freelance researcher and musicologist - pages mainly about Scottish traditional music", "topic": "Top/Arts/Music/Styles/E/Early_Music/Personal_Pages", "url": "http://www.campin.me.uk/"} +{"d:Title": "Wayne Cripps", "d:Description": "Home of the Lute Page, the Lute Society of America, and links.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Personal_Pages", "url": "http://www.cs.dartmouth.edu/~wbc/"} +{"d:Title": "Giorgio Ferraris - Lute Performer", "d:Description": "As a guitarist he completed higher courses of specialization held by Oscar Ghiglia and Abel Carlevaro. In the following years he devoted himself to the study of the lute; he studied under the guidance of Orlando Cristoforetti and got his diploma at the Conservatory of Verona. As a lute player he completed higher courses of specialization run by the English Lute Society.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Personal_Pages", "url": "http://baschenis.interfree.it/giorgio_ferraris.htm#ENGLISH"} +{"d:Title": "Early Music", "d:Description": "Table of contents, abstracts, ordering information for the journal from Oxford University Press. ISSN 0306-1078", "topic": "Top/Arts/Music/Styles/E/Early_Music/Publications", "url": "http://em.oxfordjournals.org/"} +{"d:Title": "Journal of Seventeenth-Century Music", "d:Description": "Refereed forum for scholarly studies of the musical cultures of the seventeenth century. Fulltext articles.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Publications", "url": "http://sscm-jscm.press.uiuc.edu/jscm/"} +{"d:Title": "Harmonia - Early Music on Public Radio", "d:Description": "WFIU - Public Radio from Indiana University", "topic": "Top/Arts/Music/Styles/E/Early_Music/Radio", "url": "http://www.indiana.edu/~harmonia/"} +{"d:Title": "English Lute Manuscripts and Scribes 1530-1630", "d:Description": "An examination of the context and composition of the English lute sources. This is a thesis by Julia Craig-McFeely.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Research", "url": "http://www.cs.dartmouth.edu/~wbc/julia/toc.htm"} +{"d:Title": "saggi musicali italiani", "d:Description": "A database for texts on music theory and Aesthetics", "topic": "Top/Arts/Music/Styles/E/Early_Music/Research", "url": "http://www.music.indiana.edu/smi/"} +{"d:Title": "Renaissance&Baroque Music Chronology, Details", "d:Description": "detailed timeline and composer reference", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Early_Music/Research", "url": "http://plato.acadiau.ca/courses/musi/callon/2233/details.htm"} +{"d:Title": "Thesaurus Musicarum Latinarum", "d:Description": "An evolving database of all Latin music theory treatises of the Middle Ages and Renaissance.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Research", "url": "http://www.music.indiana.edu/tml/"} +{"d:Title": "Singer's Guide to Bibliographic Resources for Medieval and Renaissance Music", "d:Description": "Detailed, thoroughly annotated text.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Research", "url": "http://www.pbm.com/~lindahl/articles/singbib.html"} +{"d:Title": "Origin of Music", "d:Description": "Tells the origin of music using the music of Richard Strauss, and has links to various related ancient origins pages, including Neanderthal Flute and ancient harmony in the oldest known song.", "topic": "Top/Arts/Music/Styles/E/Early_Music/Research", "url": "http://www.greenwych.ca/"} +{"d:Title": "Global Suitcase Musical Recordings", "d:Description": "Free MP3 songs including smooth jazz, ambient, acoustic instrumentals.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening", "url": "http://www.globalsuitcase.com/"} +{"d:Title": "Muzak", "d:Description": "Founders of piped music and the science of how music affects the behavior of customers.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening", "url": "http://www.muzak.com/"} +{"d:Title": "Light Music Hall of Fame", "d:Description": "A textual tribute to light orchestral music from the 1940s through the early 1960s. Features biographical information on the top composers, arrangers, and orchestrators; lists of their songs and links to other sources.", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Easy_Listening", "url": "http://www.classicthemes.com/LMHOF.shtml"} +{"d:Title": "Space Age Pop Music", "d:Description": "An online encyclopedia of exotica, lounge, space age pop, and easy listening music.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening", "url": "http://www.spaceagepop.com/"} +{"d:Title": "Topix: Easy Listening", "d:Description": "News about easy listening, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening", "url": "http://www.topix.com/rss/music/easy-listening.xml"} +{"d:Title": "Robert Farnon Society", "d:Description": "For fans of light music, including a guide to composers such as Leroy Anderson, Percy Faith and Andre Kostelanetz.", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Easy_Listening", "url": "http://www.robertfarnonsociety.org.uk/"} +{"d:Title": "Legends of Light Music", "d:Description": "Brief biographies of about 50 of the most well-known composers, conductors, and performers of easy listening music, from the Robert Farnon web site.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening", "url": "http://www.robertfarnonsociety.org.uk/index.php/legends"} +{"d:Title": "Daniel Ho - Slack Key Guitar", "d:Description": "Features numerous albums, mp3 samples, ordering information, and related links.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.danielho.com/"} +{"d:Title": "Nova Era - Classical Music With A Groove", "d:Description": "Recordings of ensemble which revamps classical music with modern rhythms and sounds.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://novaeramusic.com/"} +{"d:Title": "Ambient Environmental Music", "d:Description": "Ken Davis of Australia records pan flute and piano instrumentals for relaxation.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.kendavismusic.com/"} +{"d:Title": "Chris Spheeris", "d:Description": "\"Exotic, energetic, melodic\" music combining guitar and sax with instruments from around the world.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.chrisspheeris.com/"} +{"d:Title": "Billy Andrusco", "d:Description": "Recordings with styles ranging from light classical to pop with fully orchestrated arrangements.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.grandpianist.com/"} +{"d:Title": "Combs Music", "d:Description": "Several recordings of music written by Dave Combs and performed by Gary Prim. Sheet music and a 3-D music video.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.combsmusic.com/"} +{"d:Title": "Andrew Rosz - Easy Listening Guitar Music", "d:Description": "Includes personal profile, streaming RealAudio samples, and CD collection.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.andrewrosz.com/"} +{"d:Title": "Guitarpro", "d:Description": "Recordings of acoustic/electric guitar styles and sounds including pop, rock, classical, light jazz, blues, mor, neuvo-flamenco, and country.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.guitarpro.com/"} +{"d:Title": "Jesse Garza - Acoustic Dream", "d:Description": "San Antonio, TX local musician. A blend of soft easy listening jazz and Spanish/Latin music.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.acousticdream.com/"} +{"d:Title": "Shelley van Loen and the Palmcourt Strings", "d:Description": "[UK] Two violins, cello and bass with piano and drums playing salon music from the 1920s and 1930s in period attire. Includes a band history, photos, sound clips and booking information.", "topic": "Top/Arts/Music/Styles/E/Easy_Listening/Bands_and_Artists", "url": "http://www.palmcourtstrings.co.uk/"} +{"d:Title": "Andrew's Online", "d:Description": "Community of DJs, musicians and producers on the Latvian independent electronic music scene. Includes artist profiles, photos, news, event updates, and sound files (RealMedia and MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronic", "url": "http://www.andrews.lv/"} +{"d:Title": "Analogik", "d:Description": "Online community interested in electronic music and digital arts. Includes news, forums, articles, artwork, and a calendar of events.", "topic": "Top/Arts/Music/Styles/E/Electronic", "url": "http://analogik.com/"} +{"d:Title": "Electronic Music Foundation", "d:Description": "Non-profit organization dedicated to promoting electronic music. Includes artist and developer profiles, specialized music software, events, a web directory, and a newsletter.", "topic": "Top/Arts/Music/Styles/E/Electronic", "url": "http://www.emf.org/"} +{"d:Title": "Armada Electro", "d:Description": "Electronic music directory. Contains information about recording, synthesizers, samplers, music software, MP3, and online distribution.", "topic": "Top/Arts/Music/Styles/E/Electronic", "url": "http://armadaelectro.free.fr/"} +{"d:Title": "Electronic Music Historical Overview", "d:Description": "Timeline covering developments in electronic music and instruments since 1902.", "topic": "Top/Arts/Music/Styles/E/Electronic", "url": "http://www.indiana.edu/~emusic/elechist.htm"} +{"d:Title": "Metempsychosis", "d:Description": "A global collective of electronic artists, providing sound files (MP3 format), news, and links of interest.", "topic": "Top/Arts/Music/Styles/E/Electronic", "url": "http://www.metempsychosis.com/"} +{"d:Title": "Chicago", "d:Description": "Resource on electronic music, featuring labels, artist profiles, reviews, and album covers.", "topic": "Top/Arts/Music/Styles/E/Electronic", "url": "http://chicago3w.free.fr/"} +{"d:Title": "Geyser", "d:Description": "German electronic musician influenced by jazz, funk, reggae and dub. Includes sound files (MP3 format), a biography, photos and reviews.", "topic": "Top/Arts/Music/Styles/E/Electronic/Bands_and_Artists", "url": "http://www.geyser.de/"} +{"d:Title": "Composers Homepage", "d:Description": "Site for composer of modern electronic music. Contains mp3 demos and contact details.", "topic": "Top/Arts/Music/Styles/E/Electronic/Bands_and_Artists", "url": "http://www.andyhopkins.co.uk/"} +{"d:Title": "Future Music", "d:Description": "E-zine About the People, the News and the Gear They Need to Create Music.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.futuremusic.co.uk/"} +{"d:Title": "RA: Resident Advisor", "d:Description": "One of the world's largest independent electronic online music magazines. News, interviews, and reviews.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.residentadvisor.net/"} +{"d:Title": "Barcode", "d:Description": "E-zine featuring electronic music and multimedia releases with audio samples, reviews, news and interviews.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.barcodezine.com/"} +{"d:Title": "Topix: Electronic", "d:Description": "News about electronic, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/electronic.xml"} +{"d:Title": "Xlr8r", "d:Description": "Online version of bi-monthly magazine. Contains archives, reviews and tour dates.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.xlr8r.com"} +{"d:Title": "InTheMix", "d:Description": "An online electronic music magazine focusing on the Australian scene including news, tour dates, reviews.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.inthemix.com.au/"} +{"d:Title": "Datatransmission", "d:Description": "Online&mobile dance music magazine, including news, features, reviews, competitions and podcasts.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.datatransmission.co.uk/"} +{"d:Title": "The Untz", "d:Description": "Electronic dance music (EDM) website featuring daily news updates, exclusive unreleased music, tour dates, tickets, contests, interviews, artist profiles, previews, reviews, and in depth features", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.theuntz.com/"} +{"d:Title": "BlackPlastic.co.uk", "d:Description": "A blog providing reviews and comment on the latest electronic music releases.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://blackplastic.co.uk/"} +{"d:Title": "FutureMusic", "d:Description": "FutureMusic magazine covers all aspects of electronic music production in the United States. The website features online reviews, breaking news, and the latest information on music technology, music production and musical instruments.", "topic": "Top/Arts/Music/Styles/E/Electronic/Magazines_and_E-zines", "url": "http://www.futuremusic.com/"} +{"d:Title": "Prevoyance", "d:Description": "Dance and mood/film MP3 sound files and streaming audio.", "topic": "Top/Arts/Music/Styles/E/Electronic/Sound_Files", "url": "http://www.angelfire.com/biz7/prevoyance/"} +{"d:Title": "Krass", "d:Description": "Offers information on electronica, including party dates in the UK and Germany. Also includes archives and photo galleries.", "topic": "Top/Arts/Music/Styles/E/Electronica", "url": "http://www.krass.com/"} +{"d:Title": "The History of Synthpop", "d:Description": "Features information on synthesizers and synthpop, including links of interest.", "topic": "Top/Arts/Music/Styles/E/Electronica", "url": "http://www.synt.nu/history/"} +{"d:Title": "The AcidJazz Server", "d:Description": "Contains information about the origin of the genre, group listings, clubs guides, discographies, and various pointers to related information.", "topic": "Top/Arts/Music/Styles/E/Electronica/Acid_Jazz", "url": "http://boralv.se/acidjazz/"} +{"d:Title": "DJ Krush", "d:Description": "Official site of the Japanese turntablist. Includes a biography, discography, pictures and interviews.", "topic": "Top/Arts/Music/Styles/E/Electronica/Acid_Jazz/Bands_and_Artists", "url": "http://www.sus81.jp/djkrush/"} +{"d:Title": "Incognito", "d:Description": "Official site of the British acid jazz band. Contains lyrics, samples, discography, pictures and tablatures.", "topic": "Top/Arts/Music/Styles/E/Electronica/Acid_Jazz/Bands_and_Artists", "url": "http://www.incognito.london/"} +{"d:Title": "Guy Smiley Blues Exchange", "d:Description": "Homepage of the band includes reviews and links to streaming mp3s.", "topic": "Top/Arts/Music/Styles/E/Electronica/Acid_Jazz/Bands_and_Artists/Guy_Smiley_Blues_Exchange", "url": "http://www.gsbe.com/"} +{"d:Title": "Alice and the Serial Numbers", "d:Description": "Official site. Contains news, discography, streaming audio(requires Flash)and images.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/A", "url": "http://www.alicemusik.com/"} +{"d:Title": "Another Electronic Musician", "d:Description": "Contains news, music downloads (MP3 format), artwork and links.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/A", "url": "http://www.anotherelectronicmusician.com/"} +{"d:Title": "Echosystem", "d:Description": "Digital band created by Raphel Elig and Judith Darmont. Includes sound files(MP3 format), videos( requires Quicktime/Flash) and artwork.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/E", "url": "http://www.echosystem.com/"} +{"d:Title": "Efofex", "d:Description": "Breakbeat and downtempo band. Contains reviews, sound files (MP3 format), news, and CD information.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/E", "url": "http://www.efofex.net/"} +{"d:Title": "Electronika Dance", "d:Description": "A dance and electronica band. Contains a biography, tour dates, discography, music downloads (WindowsMedia format) and news.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/E", "url": "http://www.elektronikadance.com/"} +{"d:Title": "Etikemic", "d:Description": "Organic electronica band. Contains a biography and music files (RealMedia format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/E", "url": "http://www.funk.mobi/"} +{"d:Title": "Fox, Robin", "d:Description": "Biography of the trance diva.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/F", "url": "http://www.freestylemusic.com/Interviews/robinfox.htm"} +{"d:Title": "Gramatik", "d:Description": "Producer and DJ based in Brooklyn, New York. Offers music for free while accepting donations. Song samples, downloads, and tour dates.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/G", "url": "http://www.gramatik.net/"} +{"d:Title": "In The Now", "d:Description": "Fuzzy electronica by Brighton based Mat. Contains a biography, reviews, sound files (MP3 and RealMedia).", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/I", "url": "http://home.freeuk.net/inthenow/"} +{"d:Title": "L x l", "d:Description": "Official website of the electronica/industrial band, with sound files(MP3 format), artwork, a profile and a discography.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/L", "url": "http://www.audiophonic.com/lxl/"} +{"d:Title": "Love, Glenn", "d:Description": "Canadian ambient-electronica artist. Includes a profile, news, and sound files [MP3 format].", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/L", "url": "http://www.glennlove.com/"} +{"d:Title": "Leong, Dana", "d:Description": "Electro-jazz cellist/composer fuses hip-hop, jazz and electronics and leads the band Milk and Jade.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/L", "url": "http://www.danaleong.com/"} +{"d:Title": "Masochist Halo", "d:Description": "Official site of the electronic-rock band, with sound files (MP3 format), videos, streaming audio, images, biography, discography, news and reviews.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/M", "url": "http://www.masochisthalo.com/"} +{"d:Title": "Miro", "d:Description": "Danish trance duo. Includes biography, discography and remixes.[Requires Flash]", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/M", "url": "http://www.miromusic.com/"} +{"d:Title": "Musicman", "d:Description": "Electronica and trance by Southampton based Neil Duddridge. Contains a biography, discography and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/M", "url": "http://www.musicman.co.uk/"} +{"d:Title": "McGhie, Gordon", "d:Description": "Features a biography, sound files (MP3 format) and lyrics from the electronica artist.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/M", "url": "http://www.triggermusic.com/"} +{"d:Title": "Manning, Anthony", "d:Description": "Composer of experimental electronica. Includes discography, audio clips and new works.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/M", "url": "http://www.germstore.com/index.php"} +{"d:Title": "Not Breathing", "d:Description": "Techno and ambient sounds by Dave Wright and friends. Includes news, tour dates, profiles and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/N", "url": "http://www.notbreathing.com/"} +{"d:Title": "Pullstartwitchhunt", "d:Description": "3 man electronic band, consisting of Ginch, Aethan Stagger and Charney. Contains a biography, news and tour dates.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/P", "url": "http://www.angelfire.com/electronic/Pullstartwitchhunt/"} +{"d:Title": "Perkowitz, Mike", "d:Description": "Techno and ambient artist. Includes a resume, sound files (MP3 format), photos, information on music, collaborations and equipment.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/P", "url": "http://www.perkowitz.net/"} +{"d:Title": "Pretty Lights", "d:Description": "American artist offering his music with option to donate. Tour details, news items, multimedia, and merchandise.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/P", "url": "http://prettylightsmusic.com/"} +{"d:Title": "Prine, Jason", "d:Description": "Toronto based drum 'n' bass and abstract hip hop musician. Includes news, reviews, biography and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/P", "url": "https://soundcloud.com/jason-prine"} +{"d:Title": "Press", "d:Description": "Chicago based electronica artist, Robin Smith. Includes a biography and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/P", "url": "http://www.auralvision.com/"} +{"d:Title": "Robonom", "d:Description": "Experimental electronica band from France. Includes biography, sound files (MP3 and RealMedia) and images. In French and English.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/R", "url": "http://robonom.chez-alice.fr/"} +{"d:Title": "Snyder, Jeff", "d:Description": "Composer/performer of experimental electronic music, musical instrument design, and installation artist.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/S", "url": "http://www.scattershot.org/"} +{"d:Title": "Syncom Data", "d:Description": "Music project includes sound files [MP3 format], SD radio and CD.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/S", "url": "http://www.syncomdata.net/"} +{"d:Title": "Torture Shoe", "d:Description": "Electronica by Flossy Lomar. Includes essays, information on releases, sound files (MP3 format) and a biography. [Requires Flash]", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/T", "url": "http://www.tortureshoe.com/"} +{"d:Title": "Thine Eyes", "d:Description": "Official site of the American electronica trio. Includes news, biography, discography, reviews, and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/T", "url": "http://www.sonic-boom.com/thineeyes/"} +{"d:Title": "Ulcer", "d:Description": "Official site of the techno-industrial band, consisting of Mike Barber, Dennis Moorhead and Bobby Jones. Includes biography, discography, photos and news.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/U", "url": "http://www.ulcermusic.com/"} +{"d:Title": "Ugress", "d:Description": "Electronic musician from Norway. Contains news, music files (MP3 format) and images.", "topic": "Top/Arts/Music/Styles/E/Electronica/Bands_and_Artists/U", "url": "http://www.ugress.com/"} +{"d:Title": "Universal Constructors", "d:Description": "Official site of the band consisting of Tom Walker, Matt Hodgson and Matt Critchlow. Includes a biography and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Big_Beat/Bands_and_Artists", "url": "http://www.universalconstructors.com/"} +{"d:Title": "Electro Empire", "d:Description": "Provides information on the past, present and future of the genre. Includes artist profiles, discographies, audio samples, lyrics, articles, photos, list of online record stores, and links.", "topic": "Top/Arts/Music/Styles/E/Electronica/Electro_Funk", "url": "http://www.electroempire.com/"} +{"d:Title": "Global Darkness: History of Electro Funk", "d:Description": "An article by Tim Haslett on the genre. Includes discographies and sound files (RealAudio format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Electro_Funk", "url": "http://www.globaldarkness.com/articles/history%20of%20electro%20funk.htm"} +{"d:Title": "Crucial Electro Links", "d:Description": "A collection of links devoted to electro artists, labels, and history.", "topic": "Top/Arts/Music/Styles/E/Electronica/Electro_Funk", "url": "http://www.angelfire.com/oh/YEAH/electro.html"} +{"d:Title": "The Vocode Project", "d:Description": "The Vocode Project features resident DJs Mad Wax and Lex laying down monthly Electro Showcase Showdown programs, exclusive one off label, artist and producer features, and original electro material.", "topic": "Top/Arts/Music/Styles/E/Electronica/Electro_Funk", "url": "http://www.vocode.com/"} +{"d:Title": "Kramig records", "d:Description": "Kramig records is one of the most innovative labels around. Home to the killer DJs 'Rackspace'.", "topic": "Top/Arts/Music/Styles/E/Electronica/Electro_Funk", "url": "http://www.kramig.com/"} +{"d:Title": "Kosmozo - Official Site", "d:Description": "Flash site of Belgium based electro performance project with DJing, live voice and special effects. Innovative futuristic style. Audio and visual available for download.", "topic": "Top/Arts/Music/Styles/E/Electronica/Electro_Funk", "url": "http://users.skynet.be/kosmozo/"} +{"d:Title": "Gold Chains Worldwide", "d:Description": "Official site for the electro rap artist. Featuring audio, video (.WMV format), tour dates, and news.", "topic": "Top/Arts/Music/Styles/E/Electronica/Electro_Funk/Bands_and_Artists", "url": "http://www.gold-chains-worldwide.com/"} +{"d:Title": "Lacedmilk Technologies", "d:Description": "Electronic Music Collective + Netlabel representing a variety of hybrids ranging from IDM to downtempo.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.lacedmilk.com/"} +{"d:Title": "Wolf's Kompaktkiste", "d:Description": "A selection of electronic music CDs and vinyl with covers, track titles and playing times. Also features briefs on artists, labels and compilations.", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.kompaktkiste.de/"} +{"d:Title": "The IDM Warp Zone", "d:Description": "Contains IDM related information, including biographies of artists, record labels, sound files (MP3 and RealAudio format) and a directory of links.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://users.skynet.be/idmwarpzone/index.html"} +{"d:Title": "Miasmah", "d:Description": "Offers free electronica, house, acid jazz and ambient music for download in MP3 format. Also contains links to record labels and artists, archives, and graphics by the author.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.miasmah.com/"} +{"d:Title": "Fat Cat Records", "d:Description": "Hip British I.D.M. label that rose from the ashes of the famous Fat Cat record store in London", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.fat-cat.co.uk/"} +{"d:Title": "Bip Hop", "d:Description": "A multimedia-intensive site documenting electro challenging to the ears and the mind.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.bip-hop.com/"} +{"d:Title": "Nophi Recordings", "d:Description": "An independent record label based in Central Florida, dedicated to the release and distribution of uncommon and experimental electronic music.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.nophi.net/"} +{"d:Title": "Merck", "d:Description": "Exciting IDM music from this South Florida Record Label.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.m3rck.net/"} +{"d:Title": "The Dark Poets Official site", "d:Description": "I.D.M. duo, 'The Dark Poets', official home page. News, MP3s, and information can be found on this site.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://www.darkpoets.com/"} +{"d:Title": "What is I.D.M", "d:Description": "Wikipedia entry on Intelligent Dance Music.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://en.wikipedia.org/wiki/Intelligent_dance_music"} +{"d:Title": "Team:abunai", "d:Description": "Experimental arts collective featuring electronic music, visual art, non-fiction, poetry, math, and experimental video.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://teamabunai.org/"} +{"d:Title": "BrokenBeat Network", "d:Description": "A collection of electronic music artists. An IDM record label / music portal, based in Southern California.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "https://www.facebook.com/brokenbeatsandiego"} +{"d:Title": "I.D.M livejournal community", "d:Description": "Daily updated journal devoted to news from I.D.M. world.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M.", "url": "http://idm.livejournal.com/"} +{"d:Title": "erikm", "d:Description": "Biography, show dates, pictures, free MP3 and audio samples from this experimental artist.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Bands_and_Artists", "url": "http://www.erikm.com/"} +{"d:Title": "Dreamdaze", "d:Description": "Site of the electronica project of DJ Dazed. Content includes links to music, weblog, bulletin board, listings of live shows,and the home page of the IDM Web Ring.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Bands_and_Artists", "url": "http://www.dreamdaze.org/"} +{"d:Title": "oveloe", "d:Description": "Analog soundscapes for electronic minds...", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Bands_and_Artists", "url": "http://www.oveloe.com/"} +{"d:Title": "EdwardX", "d:Description": "Producing Tracks through the use of random Midi Generating C64 Drum Sounds and Industrialized 70's Breakbeats into complex Pattens and rhythm mixed and blended with Abstract Noise generated through the use of custom Circuit bent Instruments&Midi Sequencers...", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Bands_and_Artists", "url": "http://www.internetdj.com/artists.php?op=show&id=13986&genre=19"} +{"d:Title": "Multiplex (Toytronic/Senton/lomrecordings)", "d:Description": "Electronic music home to brothers Roland and Christian Dormon.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Bands_and_Artists", "url": "http://www.multiplexmusic.co.uk/"} +{"d:Title": "Bin\u00e4rpilot", "d:Description": "Official site of Norwegian electro/IDM-artist. Contains releases, news and information.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Bands_and_Artists", "url": "http://binaerpilot.no/"} +{"d:Title": "Brainwashed.com: The Brain", "d:Description": "Weekly webzine with reviews, MP3s, and a list of new releases.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Magazines_and_E-zines", "url": "http://www.brainwashed.com/"} +{"d:Title": "The Wire", "d:Description": "Online version of the UK magazine, covering alternative and electronic music. Contains articles, archives, news and links.", "topic": "Top/Arts/Music/Styles/E/Electronica/I.D.M./Magazines_and_E-zines", "url": "http://www.thewire.co.uk/"} +{"d:Title": "Intuitive Music", "d:Description": "Features news on album releases, gigs and club culture. Also features photo galleries and music downloads (MP3 format), and biographies of Future Sound of London, 808 State, Autechre, Chemical Brothers, Brian Eno, and Orbital.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.intuitivemusic.com/"} +{"d:Title": "Disquiet", "d:Description": "Contains articles, interviews, reviews, audio games, and links of interest for ambient and electronica music fans.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://disquiet.com/"} +{"d:Title": "Urban 75", "d:Description": "UK underground e-zine with reports and stories on eco-protest, rave, drugs and soccer.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.urban75.com/"} +{"d:Title": "XLR8R", "d:Description": "Online version of bi-monthly magazine. Contains archives, reviews and tour dates.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.xlr8r.com"} +{"d:Title": "Lunar Magazine", "d:Description": "Feature articles, interviews, reviews, and music downloads (RealAudio).", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.lunarmagazine.com/"} +{"d:Title": "Nu Skool Breaks", "d:Description": "Offers news, DJ charts, reviews, artist profiles and a calendar of events.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.nuskoolbreaks.co.uk/"} +{"d:Title": "Mixmag", "d:Description": "Online version of the dance music and club culture magazine. Contains news, reviews, club guides, reviews, archives and new releases.", "priority": "1", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.mixmag.net/"} +{"d:Title": "Overdrive", "d:Description": "Contains photos, reviews and downloads (RealMedia) from the Australian electronica scene.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.overdrive.com.au/"} +{"d:Title": "Gridface", "d:Description": "Underground music webzine with reviews, interviews and MP3s.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.gridface.com/"} +{"d:Title": "KindaMuzik Beats", "d:Description": "Offers album and artist reviews, interviews and specials.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.kindamuzik.net/beats"} +{"d:Title": "Nocturnal", "d:Description": "Toronto-based rave culture magazine with a calendar of events, reviews, photos, charts and links.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://www.nocturnalmagazine.net/"} +{"d:Title": "Soundproof", "d:Description": "Features interviews with top electronica artists and bands, news, and reviews.", "topic": "Top/Arts/Music/Styles/E/Electronica/Magazines_and_E-zines", "url": "http://lowculture.com/soundproof"} +{"d:Title": "Subsource", "d:Description": "Offers links to electronica artistes and DJs, sound files (MP3 format) and audio effects plug-ins for Windows.", "topic": "Top/Arts/Music/Styles/E/Electronica/Personal_Pages", "url": "http://www.subsource.de/"} +{"d:Title": "Great Fox Music", "d:Description": "A techno, trip-hop, industrial and house music artist. Contains a biography, discography, artwork, writings and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Personal_Pages", "url": "http://www.greatfoxmusic.com/"} +{"d:Title": "Kovacs, Attila", "d:Description": "Electronica and ambient tunes (M3U format) by Hungarian composer, Attila Kovacs.", "topic": "Top/Arts/Music/Styles/E/Electronica/Personal_Pages", "url": "http://www.atko.dk/synthia.html"} +{"d:Title": "Bokema", "d:Description": "Includes a profile, artwork, photos and discography of electronica composer, Paul Carriere.", "topic": "Top/Arts/Music/Styles/E/Electronica/Personal_Pages", "url": "http://members.home.nl/bokema"} +{"d:Title": "Dave Krooshof", "d:Description": "Articles by the author on music and various musical instruments such as an electronic tuba, shortcircuit music and harmonicas. In Dutch and English.", "topic": "Top/Arts/Music/Styles/E/Electronica/Personal_Pages", "url": "http://www.xs4all.nl/~krooshof/"} +{"d:Title": "George's Cyberland", "d:Description": "Includes information on the various sub-genres of electronica, artist profiles, and briefs on music composition software.", "topic": "Top/Arts/Music/Styles/E/Electronica/Personal_Pages", "url": "http://cyberproject.narod.ru/"} +{"d:Title": "Lounge of Sound", "d:Description": "Project of Danish producer and programmer S\u00f8ren Klit. Includes MP3 and AAC samples, studio equipment, profile and newsletter.", "topic": "Top/Arts/Music/Styles/E/Electronica/Personal_Pages", "url": "http://www.loungeofsound.com/"} +{"d:Title": "Sicktracks", "d:Description": "Offers house, trance and electronica tracks for download (RealMedia format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Sound_Files", "url": "http://sicktracks.com/"} +{"d:Title": "New Metaphysics", "d:Description": "Mixed-voice collage over/under electronic ambient/techno music - a spiritual journey. The site is a portal for the archival delivery of the Gary Geiserman's mp3 streaming radio show.", "topic": "Top/Arts/Music/Styles/E/Electronica/Sound_Files", "url": "http://www.newmetaphysics.com/"} +{"d:Title": "triphop.hu", "d:Description": "Colourful web site promoting recent trip-hop artists for newbies. Plenty of variety but has brief news, links and music for anyone just starting to get into the style.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop", "url": "http://web.axelero.hu/raven99/triphophu/"} +{"d:Title": "Shine", "d:Description": "French based trip-hop and electro pop trio. Contains news, photos and MP3 sound files.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.shine-music.net/"} +{"d:Title": "Cinematic Orchestra", "d:Description": "Group signed to the Ninja Tune label. Features news, a biography and discography.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.cinematicorchestra.com/"} +{"d:Title": "DJ Vadim", "d:Description": "Russian DJ signed to the Ninja Tune label. Contains a biography, links and downloads. [Requires Flash]", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.djvadim.com/"} +{"d:Title": "Shortwave", "d:Description": "Includes information on new releases, audio (MP3 format) and video (RealMedia) downloads and photos.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.shortwave.net/"} +{"d:Title": "Satellite Dub", "d:Description": "A biography, sound files (M3U format) and reviews of trip hop and electronica artist, Craig Brown.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.satellitedub.com/"} +{"d:Title": "Soma Sonic", "d:Description": "Canadian duo, comprising of Francois and Dominic Paterson. Includes a profile, news and sound files (RealAudio format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.somasonic.com/"} +{"d:Title": "Iuno", "d:Description": "Multimedia band consisting of Steven de Munnik and Stella Bergsma. Contains a biography, news, photos, tour dates and sound files (MP3 format).", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.iuno.nl/"} +{"d:Title": "Hungry Lucy", "d:Description": "News, photos, music and online store.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.hungrylucy.com/"} +{"d:Title": "Watch the Men Fall", "d:Description": "Group strongly influenced by the Bristol scene from the mid-90s. News, biography and audio files.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://www.angelfire.com/musicals/wtmf/"} +{"d:Title": "Zane Tate", "d:Description": "Trip hop, downtempo, and chillout music featuring biographical information, music releases, and a blog by the artist.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "http://zanetate.com/"} +{"d:Title": "Machine Love", "d:Description": "Ambient groove with high quality streams available.", "topic": "Top/Arts/Music/Styles/E/Electronica/Trip_Hop/Bands_and_Artists", "url": "https://www.reverbnation.com/machineloveband"} +{"d:Title": "L'entrepot", "d:Description": "A resource center for unconventional tunes, especially electronic, experimental, self-willed pop and rock, lo-fi, and post rock. Reviews, interviews and links.", "topic": "Top/Arts/Music/Styles/E/Experimental", "url": "http://www.l-entrepot.blogspot.com/"} +{"d:Title": "Zu Casa", "d:Description": "A laboratory for online exhibition and performance of improvised and experimental music, artist film and video, and net art.", "topic": "Top/Arts/Music/Styles/E/Experimental", "url": "http://www.zucasa.com/"} +{"d:Title": "OddMusic.com", "d:Description": "Focused on unusual, experimental and ethnic music, such as music created in unusual ways or with unusual instruments. With sound clips, pictures of instruments, and links to related sites.", "topic": "Top/Arts/Music/Styles/E/Experimental", "url": "http://www.oddmusic.com/"} +{"d:Title": "NoMusic", "d:Description": "A non-profit mobile audio platform.", "topic": "Top/Arts/Music/Styles/E/Experimental", "url": "http://www.nomusic.org/"} +{"d:Title": "The History of Experimental Music in Northern California", "d:Description": "An extensive archive of information about experimental music from the 50s through the present, including artists, composers, groups, labels and articles.", "topic": "Top/Arts/Music/Styles/E/Experimental", "url": "http://o-art.org/history/"} +{"d:Title": "Livingroom.org", "d:Description": "Forum for experimental music, new artistic ideas, performance art, multimedia performance, live art, improvisation and avant garde creative endeavors.", "topic": "Top/Arts/Music/Styles/E/Experimental", "url": "http://www.livingroom.org/"} +{"d:Title": "European Free Improvisation", "d:Description": "Large resource with profiles and links to articles and sites on non-idiomatic improvisational music, artists, and related independent labels.", "topic": "Top/Arts/Music/Styles/E/Experimental", "url": "http://www.efi.group.shef.ac.uk/"} +{"d:Title": "Entropy Music", "d:Description": "Home of free MP3 and Real Audio files of electronic and ambient music, including Enigma, Deep Forest, Delerium, Vangelis, and Waterbone.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient", "url": "http://www.entropymusic.com/"} +{"d:Title": "Sleepbot Constructs", "d:Description": "Most famous for the \"Ambience for the Masses\" ambient music informational archive - the largest of its kind on the web.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient", "url": "http://www.sleepbot.com/"} +{"d:Title": "The Gathering Concert Series", "d:Description": "Listing of live concerts featuring ambient, electronic and spacemusic artists. With show dates, news, compilation information, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient", "url": "http://www.thegatherings.org/"} +{"d:Title": "Disquiet Ambient/Electronica", "d:Description": "Contains articles, interviews, bibliography, and web resources of interest to ambient and electronica music fans.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient", "url": "http://disquiet.com/"} +{"d:Title": "Epsilon", "d:Description": "An information archive, featuring official pages for Axiom, The Orb, the Fax label, Touch and Ash International, EST Magazine, Solarworld, plus EnoWeb, the definitive Brian Eno site, plus articles and documents relating to ambient music, and a list of ambient links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient", "url": "http://music.hyperreal.org/epsilon/"} +{"d:Title": "The Ambient Ping", "d:Description": "Toronto's weekly live ambient music performance art event. With sound files and links to featured artists, flyer art, and schedule.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient", "url": "http://www.theambientping.com/"} +{"d:Title": "The Ambient Music Mailing List Archives", "d:Description": "Subscription information and text-based/GNU-zipped message archives from October 1994 through the present.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient", "url": "http://music.hyperreal.org/lists/ambient/"} +{"d:Title": "Aarktica", "d:Description": "The atmospherice guitar ambient project of Jon DeRosa from Dead Leaves Rising and Flare. With discography, background, MP3s, interview, and links to related sites.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/A", "url": "http://www.silbermedia.com/aarktica/"} +{"d:Title": "Ayers, Sara", "d:Description": "Artist creating music with layers of lush, swirling and hypnotic vocals, creating organic, ethereal, ambient voicescapes.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/A", "url": "http://saraayers.com/"} +{"d:Title": "Adham Shaikh", "d:Description": "Tim Floyd's ambient music. Based in the Northwest US. Very short audio clips and discography information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/A", "url": "http://www.sleepbot.com/ambience/page/shaikh.html"} +{"d:Title": "MTV: Air", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links and bulletin boards.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/A/Air", "url": "http://www.mtv.com/artists/air/"} +{"d:Title": "Metacritic Reviews", "d:Description": "Multiple critic and user reviews for the album 10,000 Hz Legend.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/A/Air", "url": "http://www.metacritic.com/music/10000-hz-legend/air"} +{"d:Title": "Brennan, Thom", "d:Description": "Composer of ambient soundscapes and electronic music inspired by art, nature and landscapes. With links to Emusic, mp3.com and Ampcast artist pages, plus newsletter sign-up.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/B", "url": "http://www.thombrennan.com/"} +{"d:Title": "Banco de Gaia", "d:Description": "Official band site, with video clips, a discography and news.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/B/Banco_de_Gaia", "url": "http://www.banco.co.uk/"} +{"d:Title": "Review: Banco de Gaia, Last Train to Lhasa - David Landgren", "d:Description": "Track by track review of Banco's second CD album.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/B/Banco_de_Gaia", "url": "http://www.westnet.com/consumable/1995/09.05/revlastt.html"} +{"d:Title": "Artist Direct: Banco de Gaia", "d:Description": "Includes photos, audio clips, artist profile, album details, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/B/Banco_de_Gaia", "url": "http://www.artistdirect.com/artist/banco-de-gaia/534151"} +{"d:Title": "Diatonis", "d:Description": "Non-generic ambient/world/industrial music project by Stuart White. With news, discography, purchase information, and links to hear sound samples.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/D", "url": "http://www.diatonis.com/"} +{"d:Title": "Dunaway, Judy", "d:Description": "Connecticut-based artist whose work based on performing balloons is released on CRI. With discography, performance information, and a biography.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/D", "url": "http://www.jeweltone16.org/judydunaway/"} +{"d:Title": "Emerald Castle Music", "d:Description": "Ambient electronic music by William Linton. Biography, releases, reviews, and off-site MP3 downloads.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E", "url": "http://www.emeraldcastlemusic.com/"} +{"d:Title": "The Gathering: Roger Eno, Lol Hammond and Roedelius", "d:Description": "Information and photos from the 1999 live Gathering concert.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E/Eno,_Roger", "url": "http://www.thegatherings.org/19gather.html"} +{"d:Title": "Starsend: Roger Eno", "d:Description": "Artist profile from this ambient radio station.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E/Eno,_Roger", "url": "http://www.starsend.org/rogereno.html"} +{"d:Title": "All Music Guide: Roger Eno", "d:Description": "With a biography, discography, selected album art and links to related artists.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E/Eno,_Roger", "url": "http://www.allmusic.com/artist/roger-eno-mn0000295443"} +{"d:Title": "The Boston Phoenix", "d:Description": "Article on Harold Budd and Roger Eno including short reviews of Budd's 'Luxa' and Eno's 'Swimming'.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E/Eno,_Roger/Reviews", "url": "http://www.bostonphoenix.com/alt1/archive/music/reviews/10-31-96/rex/budd_eno.html"} +{"d:Title": "Ink Nineteen: Roger Eno - The Flatlands", "d:Description": "Review by Bryan Telford. \"There are some new strings attached to Roger's piano.\"", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E/Eno,_Roger/Reviews", "url": "http://www.ink19.com/issues_F/99_07/wet_ink/music_de/110_roger_eno.shtml"} +{"d:Title": "Dank Cellar of Retchidness: Roger Eno and Lol Hammond - Damage", "d:Description": "Fan page review. \"As with all minimalist music, it takes multiple listens to reveal the composers intent and to hear the slight mutations in melody and form that create the underlying tension and resolution.\"", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E/Eno,_Roger/Reviews/Damage", "url": "http://gristlepop.tripod.com/reviews/music/damage.htm"} +{"d:Title": "Ink Nineteen: Roger Eno and Lol Hammond - Damage", "d:Description": "Review by Brian Tilford. \"It's not new age -- it's too unique. It's kind of spacey -- but the groove isn't too thick.\"", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/E/Eno,_Roger/Reviews/Damage", "url": "http://www.ink19.com/issues_F/99_07/wet_ink/music_de/111_roger_eno_and_lol.shtml"} +{"d:Title": "Fogelberg, Bj\u00f6rn", "d:Description": "Space music from this Swedish artist. There is a little information on him and his music, and a lot of promotion of non-music related interested and professions.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/F", "url": "http://www.fogelberg.com/"} +{"d:Title": "Franklin, Martin", "d:Description": "Official site for ambient percussionist and electronic composer of the project TUU. With news, background, discography, links and MP3 downloads.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/F", "url": "http://www.codetrip.net/"} +{"d:Title": "Grassow, Mathias", "d:Description": "Ambient artist from Germany, who performs live and in his studio, making sounds with voice, singing bowls, keyboards, synthezisers and found objects.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/G", "url": "http://www.mathias-grassow.de/"} +{"d:Title": "Grey Matter Odyssey", "d:Description": "Creating music that is a blend of atmospheric, ambient, world, and film soundtrack music. With background, release information, audio files, news, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/G", "url": "http://www.angelfire.com/film/greymatterodyssey/"} +{"d:Title": "Galactic Anthems", "d:Description": "Ambient and electronica artist. Includes profile, audio samples, and reviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/G", "url": "http://www.galacticanthems.com/"} +{"d:Title": "Greinke, Jeff", "d:Description": "Lots of information about one of the most prolific figures in the genre. Official site includes a full discography, artist bio, news updates, and audio clips.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/G", "url": "http://www.jeffgreinke.com/"} +{"d:Title": "i8u", "d:Description": "Presenting I8U a devouring, controlling and in the best sense -- anonymous work. Ambient / Experimental Music.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/I", "url": "http://www.i8u.com/"} +{"d:Title": "Irvine, Douglas / William Sound", "d:Description": "Official site of the composer/sound artist and his production studio. With background, discography and audio services information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/I", "url": "http://www.williamsound.com/"} +{"d:Title": "Lenehan, Lance", "d:Description": "Electronic and ambient music from Australian composer and musician Lance Lenehan. Real Audio preview of the complete 'Soundscape' CD available at the web site.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L", "url": "http://www.soundscapemusic.com/"} +{"d:Title": "lackluster", "d:Description": "An electronic/ambient project from Finland. With discography, MP3s, flyers, and recommended links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L", "url": "http://www.lackluster.org/"} +{"d:Title": "Cloud-Zero", "d:Description": "Official Legendary Pink Dots-related mailing list and information site.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Legendary_Pink_Dots", "url": "http://www.brainwashed.com/lpd/"} +{"d:Title": "Allmusic: Legendary Pink Dots", "d:Description": "Biography, discography, select album cover art, and related artist links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Legendary_Pink_Dots", "url": "http://www.allmusic.com/artist/p4743"} +{"d:Title": "Locust Discography", "d:Description": "Complete discopgrahy, including other works by the Locust artists; however, no information on how to acquire the albums mentioned", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Locust", "url": "http://music.hyperreal.org/library/discogs/locust/"} +{"d:Title": "All Music Guide - Love Spirals Downwards", "d:Description": "With a biography, discography, album artwork, band photo, links to related artists, and links to online purchasing options,", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Love_Spirals_Downwards", "url": "http://www.allmusic.com/artist/love-spirals-downwards-p40123"} +{"d:Title": "Lovespirals", "d:Description": "Official site for the electro-acoustic duo of multi-instrumentalist, Ryan Lum, and singer/songwriter, Anji Bee, including band bio, discography, news, photos, audio, reviews, interviews, and event listings.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Love_Spirals_Downwards/Lovespirals", "url": "http://www.lovespirals.com/"} +{"d:Title": "Lovespirals on SoundClick", "d:Description": "Jazzy electro-acoustic pop with sensual female vocals and organic instrumentation. Large collection of legal streaming and downloadable mp3 files, including unreleased tracks, live tracks, and audio interviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Love_Spirals_Downwards/Lovespirals", "url": "http://www.soundclick.com/lovespirals"} +{"d:Title": "Yahoo! Groups: Lovespirals", "d:Description": "Online fanclub for the jazzy electro-acoustic band.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Love_Spirals_Downwards/Lovespirals", "url": "http://launch.groups.yahoo.com/group/Lovespirals/"} +{"d:Title": "\"Windblown Kiss\" on Music Tap", "d:Description": "Matt Rowe reviews Lovespirals' debut album on Projekt Records.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/L/Love_Spirals_Downwards/Lovespirals", "url": "http://www.musictap.net/Reviews/LovespiralsWindblownKiss.html"} +{"d:Title": "Mimetic Jewelbox", "d:Description": "Online visual and aural experiment. Latest Flash plugin required for audio.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/M", "url": "http://www.bleb.net/mimetic/index.html"} +{"d:Title": "Music Restricted Project", "d:Description": "Artist making music deeply rooted in the melodical rock and artrock of the late 70s and 80s, synthesized with modern dance-floor, hip-hop, pop and electronic music.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/M", "url": "http://www.liontrace.de/"} +{"d:Title": "Mystical Sun", "d:Description": "An electronic musician creating ambient music with trance, spiritual and ethnic influences. Includes MP3s, writings, pictures, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/M", "url": "http://www.mysticalsun.com/"} +{"d:Title": "Andrews, Mike", "d:Description": "Artist based in the Netherlands creating synthsizer music in the style of Jean-Michel Jarre, Vangelis and Mike Oldfield. With background, gear information, and link to artist mp3.com pages for song downloads and listening.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/M", "url": "http://www.mike-andrews.com/"} +{"d:Title": "Neill, Ben", "d:Description": "Composer, inventor and performer of the mutantrumpet, a hybrid acoustic/electronic instrument.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/N", "url": "http://www.benneill.com/"} +{"d:Title": "A Produce", "d:Description": "Official site, featuring general information, sounds, and images related to the exotic and atmospheric music of this project.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/P", "url": "http://www.hypnos.com/aproduce/"} +{"d:Title": "Pearce, Jeff", "d:Description": "Dedicated to the \"master of ambient guitar\". Includes audio clips.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/P", "url": "http://www.hypnos.com/pearce/"} +{"d:Title": "Roach, Steve", "d:Description": "Official website for California-based composer of music found on \"Music from the Hearts of Space.\"", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/R", "url": "http://www.steveroach.com/"} +{"d:Title": "Synthetic Block", "d:Description": "Official site for the \"progressive ambient\" producer. With news, discography, sound files, writings, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/S", "url": "http://synthblock.tripod.com/"} +{"d:Title": "Stearns, Michael", "d:Description": "Biography and details of the American ambient artist's work and collaborations.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/S", "url": "http://www.michaelstearns.com/"} +{"d:Title": "Seefeel Side Projects", "d:Description": "Limited information about musical projects by Mark Clifford, Daren Seymour, Sarah Peacock and Justin Fletcher.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/S/Seefeel", "url": "http://members.iglou.com/artbear/seefeel.html"} +{"d:Title": "Hyperreal.org: Seefeel", "d:Description": "Out-of-date site with some interesting reviews and interviews of early Seefeel.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/S/Seefeel", "url": "http://music.hyperreal.org/artists/seefeel/seefeel.html"} +{"d:Title": "Telomere", "d:Description": "Sound files and reviews for the space music artist.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/T", "url": "http://www.evenfall.com/"} +{"d:Title": "Tetsu Inoue", "d:Description": "Official site for this ambient artist who has recorded projects under the names Datacide, Automaton, and Masters of Psychedelic Ambiance in addition to his own name. Includes a discography, album reviews, news, archived interviews and articles, plus related music links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/T", "url": "http://music.hyperreal.org/artists/tetsu/"} +{"d:Title": "Moon Palace", "d:Description": "A fan site from Germany, featuring news, discography, videography, interviews, radio, and biography.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/T/Tricky", "url": "http://www.moon-palace.de/"} +{"d:Title": "MTV: Tricky", "d:Description": "With artist news, biography, discography, interviews, and reviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/T/Tricky", "url": "http://www.mtv.com/artists/tricky-1/"} +{"d:Title": "All Music Guide: Tricky", "d:Description": "Artist biography, discography, album artwork, and related artist links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/T/Tricky", "url": "http://www.allmusic.com/artist/tricky-mn0000025462"} +{"d:Title": "Metacritic: Blowback", "d:Description": "Multiple reviews for Tricky's \"Blowback\" by an array of music critics and publications.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/T/Tricky", "url": "http://www.metacritic.com/music/blowback/tricky"} +{"d:Title": "Venom Kids, The", "d:Description": "A project making soft and friendly electronic music, manipulating context to get warm, personal sounds out of machines. With MP3s, general information, pictures, news, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/V", "url": "http://www.venomkids.com/"} +{"d:Title": "Warrior Caste", "d:Description": "An international collaborative ambient project integrating world music performances with electronic treatments and electroacoustics. Includes few audio samples and complete discography.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Bands_and_Artists/W", "url": "http://musiq.com/warcaste/"} +{"d:Title": "Star's End Ambient Radio", "d:Description": "One of the longest running ambient/space music radio shows, started in 1976. Hosted by Chuck van Zyl and broadcast live weekly beginning at 1:00am on Saturday night until 6:00am Sunday morning (USA Eastern Time Zone) from WXPN, at the University of Pennsylvania,", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Radio", "url": "http://www.starsend.org/"} +{"d:Title": "Natural VLF Radio", "d:Description": "Recordings of the \"music of the magnetosphere and space weather\".", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Radio", "url": "http://www.auroralchorus.com/"} +{"d:Title": "Ultima Thule", "d:Description": "A broadcast playing ambient and atmospheric music each Sunday from 10.30pm on 2MBS-FM 102.5 in Sydney, Australia.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Radio", "url": "http://www.ultimathule.info/"} +{"d:Title": "Ambient, Electronic and Experimental Music Reviews", "d:Description": "The AmbiEntrance provides independent ambient music reviews, designed to be useful to newcomers to this eclectic musical genre.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Reviews", "url": "http://www.spiderbytes.com/ambientrance/"} +{"d:Title": "2350.org", "d:Description": "Reviews and other information about releases on Germany's FAX record label.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Reviews", "url": "http://www.2350.org/"} +{"d:Title": "Ambient Music Guide", "d:Description": "An independent critical guide to essential ambient, downtempo and related albums from the 1960's to the present day.", "topic": "Top/Arts/Music/Styles/E/Experimental/Ambient/Reviews", "url": "http://www.ambientmusicguide.com/"} +{"d:Title": "ap project site", "d:Description": "site for ap projects investigating the liberation of data encoding and generation from any given model or architecture (any fixed operating system in its widest sense). eg. ap02 project has been performed throughout europe and usa", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/A", "url": "http://www.1010.co.uk/"} +{"d:Title": "atelierTheremin", "d:Description": "Experimental music project from Berlin based on avant-garde analog electronics, overtone singing and psychedelic steel guitars. Information on concert performances, biography, releases and reviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/A", "url": "http://www.ateliertheremin.org/"} +{"d:Title": "Bastien, Pierre", "d:Description": "French composer and multi-instrumentalist, using robots built with Meccano. Complete discography (50 records), Press, Concerts, Installations, MP3, etc.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/B", "url": "http://www.pierrebastien.com/"} +{"d:Title": "Blech, The", "d:Description": "International band that produced eclectic improvised music from 1985-1996. With releases, profiles, photos, and reviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/B", "url": "http://www.the-blech.com/"} +{"d:Title": "Bran Flakes, The", "d:Description": "Experimental music project employing a variety of found samples and sounds.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/B", "url": "http://www.thebranflakes.com/"} +{"d:Title": "Brutum Fulmen", "d:Description": "An electro-acoustic musique concrete experimental noise project. Including full illustrated discography, MP3 and RealAudio sound files, band news, and ordering information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/B", "url": "http://noisician.com/brutumfulmen/"} +{"d:Title": "Butcher, John", "d:Description": "A look at the saxophonist's solo projects, collaborations, CDs, interviews, improvisations and compositions. Plus reviews, MP3s, news and current concert schedule.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/B", "url": "http://www.johnbutcher.org.uk/"} +{"d:Title": "Betwixter", "d:Description": "Original music ranging from experimental to punk, or from industrial dance to fusion or space. Includes mp3 music downloads.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/B", "url": "http://www.angelfire.com/music6/betwixter/"} +{"d:Title": "Clinchpoop", "d:Description": "Experimental, short-lived, genre-bending sounds from Central Indiana.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/C", "url": "http://www.drqshadow.com/music/clinchpoop/"} +{"d:Title": "Criollo, Agustin", "d:Description": "Home of Agustin Criollo; Experimental Rock independent composer/performer from Puerto Rico.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/C", "url": "http://agustincriollo.20m.com/"} +{"d:Title": "Crimson Twins", "d:Description": "With musical tools ranging from Buchla and Kyma synthesizers to scissors and glue, this band has been exploring curious aural amusements and esoteric electronic instruments since the early 80s.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/Crimson_Twins", "url": "http://psio.net/ct/"} +{"d:Title": "Disasters in Phlegm", "d:Description": "Site dedicated to the English band whose music combined many different styles and genres and was executed by only two musicians.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/D", "url": "http://www.angelfire.com/in3/disasters/"} +{"d:Title": "donny who loved bowling", "d:Description": "Chicago/Austin-based experimental duo. Varied styles: some noise, some found sounds, some ambient, some groove pieces...acoustic and electronic instruments, computer manipulation and general quirkiness.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/D", "url": "http://toxicbag.com/evil/dwlb.htm"} +{"d:Title": "Erik the Red's Experimental Electronic Music", "d:Description": "Experimental Electronic fusion of electronic, baroque, medieval, and rock n' roll. Sound clips.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/E", "url": "http://erik2300.tripod.com/electronic.music.html"} +{"d:Title": "eRikm", "d:Description": "music . experimental . electronica . contrete . improv . noise . ambient . turntable . live . installation . sound . performance", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/E", "url": "http://www.erikm.com/"} +{"d:Title": "Eskalation", "d:Description": "German project creating \"different\" music with bassoon, wind synthesizer and sampled percussion. With release information, brief profile, and sound clips.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/E", "url": "http://www.eskalation-musik.de/"} +{"d:Title": "Evolution Control Committee", "d:Description": "Media collage music and radio with a wicked sense of humor. Includes downloadable MP3s, archived broadcasts, and release information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/E", "url": "http://www.evolution-control.com/"} +{"d:Title": "Experiencing Difficulty", "d:Description": "A Vancouver based experimental group on Sinusoidal Records. With background, audio, discography, reviews, contact and booking information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/E", "url": "http://www.sinusoidal.com/exp/"} +{"d:Title": "Explosions in the Sky", "d:Description": "Texas instrumental group. Includes news, shows, recordings and pictures.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/E", "url": "http://www.explosionsinthesky.com/"} +{"d:Title": "Faust", "d:Description": "Extended site on this legendary German avantgarde band from the early seventies.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/F", "url": "http://www.faust-pages.com/"} +{"d:Title": "ferpont-clickhouse music", "d:Description": "Download free music. An experiment about online projects - no more CDs.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/F", "url": "http://angelfire.com/art2/ferpont"} +{"d:Title": "FiRES WERE SHOT", "d:Description": "Experimental acoustic guitar ambient", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/F", "url": "http://fireswereshot.com/"} +{"d:Title": "Flying Luttenbachers, The", "d:Description": "Contains definitive information such as discography, interviews and news about this premier punk jazz/free death/no wave project.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/F", "url": "http://nowave.pair.com/luttenbachers/index.html"} +{"d:Title": "Frith, Fred", "d:Description": "Official site of this guitar-pioneer and 'godfather' of the international improv scene", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/F", "url": "http://fredfrith.com/"} +{"d:Title": "Formika", "d:Description": "lo-fi experimental electronica, accessible superfast bpm beats that crosses a range of styles: electronic fusion music", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/F", "url": "http://homepage.eircom.net/~formika"} +{"d:Title": "F-Space", "d:Description": "An experimental punk/noise band from San Francisco, California on Mobilization Records. With audio downloads and pictures.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/F", "url": "http://www.mobilization.com/artists/fspace.html"} +{"d:Title": "Gibbons, Scott | Lilith", "d:Description": "Compositions demonstrating an acute balance between delicacy and physicality, often focusing around frequencies at the outermost limits of human hearing, and embracing quietness as a central element.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/G", "url": "http://www.red-noise.com/"} +{"d:Title": "Grundik and Slava", "d:Description": "Project created by two Israeli musicians and journalists, Igor Grundik Kasyansky and Slava Smelovsky. With news, background, release information, MP3s, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/G", "url": "http://www.grusla.com/"} +{"d:Title": "Haitchc", "d:Description": "Official pages for the Vancouver based producer and DJ. With profile, audio clips, releases, press, and information on Plutonian Nights radio show he hosts.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/H", "url": "http://www.sinusoidal.com/hcs/"} +{"d:Title": "hons", "d:Description": "find information about hons and his electronic, electroacoustic and experimental music here. download samples and max/msp patches. the honspage is also home of \"ferner liefen records\", a small record label.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/H", "url": "http://www.hons.at/"} +{"d:Title": "Harry Boodah", "d:Description": "Harry Boodah explores organic and electronic music through soundscapes.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/H", "url": "http://www.harryboodah.com/"} +{"d:Title": "Infinite Number of Sounds", "d:Description": "Project creating music in styles as broad and eclectic as the name implies, ranging from rock to electronic to jazz and all things in-between. With news, background, and link to artist mp3.com site for sound files.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/I", "url": "http://www.infinitenumber.com/"} +{"d:Title": "Ingeos - Cedric Peyronnet", "d:Description": "Cedric Peyronnet is a French sound sculptor, also working under the name of toy.bizarre since 1985. His work is dedicated to field recordings (the 'sound recording of specific places') and, in a way, the sound cartography.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/I", "url": "http://www.ingeos.org/"} +{"d:Title": "io", "d:Description": "A joint performative, musical activity practiced by human and non-human (technological) actors. With background, sound files, artwork, and performance proposal for promoters, venues, and organizations.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/I", "url": "http://www.busterandfriends.com/io/"} +{"d:Title": "Kaderci", "d:Description": "A cutting-edge experimental/industrial artist who works with Turkish, Afghani, and Druse music recordings and textures to create new soundscapes.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/K", "url": "http://www.musiq.com/kaderci/"} +{"d:Title": "Kez el Fez", "d:Description": "Avant garde music projects. With snippets of information, pictures, links, and writings.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/K", "url": "http://members.tripod.com/~kezelfez/Webpage_Index.html"} +{"d:Title": "Kompassion Deficit Disorder", "d:Description": "Experimental electronic and ambient project. With MP3s and links for more information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/K", "url": "http://www.angelfire.com/punk2/kompassiondeficit/"} +{"d:Title": "K-Rad", "d:Description": "A Chicago-based experimental, electronic, ambient and industrial project. With discography, MP3s, background, show dates, pictures, and discography.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/K", "url": "http://padk-rad.com/"} +{"d:Title": "Kates, Jesse", "d:Description": "Experimental guitarist known for collaborations with Whitford and BandoCalrissian. Official home page.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/K", "url": "http://jessekates.com/"} +{"d:Title": "Lams, Victor", "d:Description": "A keyboard player, composer, and songwriter who writes off-beat pop songs melding odd, often humorous lyrics with strong electronica and jazz sensibilities.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/L", "url": "http://www.victorlams.com/"} +{"d:Title": "Lawly, Susan", "d:Description": "Featuring news, releases, soundfiles and information on Whitehouse.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/L", "url": "http://www.susanlawly.freeuk.com/"} +{"d:Title": "Lstg", "d:Description": "Music art from Leuke Shtogg.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/L", "url": "http://www.leukeshtogg.com/"} +{"d:Title": "Malcolm, Greg", "d:Description": "Experimental music artist site, with pictures, liner notes, MP3 song samples, media reviews and current news.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/M", "url": "http://homepages.ihug.co.nz/~malcolmg/"} +{"d:Title": "Materia Confusa", "d:Description": "Musique Concrete for the modern age.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/M", "url": "http://www.materiaconfusa.net/"} +{"d:Title": "Migone, Christof", "d:Description": "Artist active in sound, image and text. Works with attempts, spills, slips, impossibilities, oscillations, minuscules, contractures, and holds.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/M", "url": "http://www.christofmigone.com/"} +{"d:Title": "Mihandra Project", "d:Description": "Thermionic metal. Videos, photographs and free mp3 of all Mihandra releases.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/M", "url": "http://www.mihandra.com/"} +{"d:Title": "Monahan, Gordon", "d:Description": "Gordon Monahan creates music, sound sculpture, sound installation, and computer-controlled sound environments that span various genres from avant garde concert music to multi-media installation and sound art.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/M", "url": "http://www.gordonmonahan.com/"} +{"d:Title": "My Glass Beside Yours", "d:Description": "Viola, autoharp, xylochime, and lo-fi music project on Silber Records. With discography, pictures, and link to project mp3.com site for song downloads and streaming.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/M", "url": "http://www.silbermedia.com/myglassbesideyours/"} +{"d:Title": "Nabokov Project, The", "d:Description": "Website for the musical experiment known as the Nabokov Project whose style consists of lo-fi, post-rock, and folk-alternative, based out of Pittsburgh and currently lead by singer/songwriter M. W. Gargo.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/N", "url": "http://www.thenabokovproject.com/main.htm"} +{"d:Title": "Olethros", "d:Description": "Project trying to break the usual genre barriers through the introduction of strange tunings, vocal style and unorthodox arrangements and rhythms. Includes MP3s, reviews and comments, and related links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/O", "url": "http://olethros.50megs.com/music/"} +{"d:Title": "Out After", "d:Description": "An experimental/electronic/idm/glitch project. With MP3s for download and listening, plus brief history and related links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/O", "url": "http://outafter.tripod.com/"} +{"d:Title": "Phillips, John", "d:Description": "An overview of compositions and multimedia installations by John Phillips and Carolyn Healy. Interactive electronic soundscapes created in unusual locations.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/P", "url": "http://terragizmo.net/"} +{"d:Title": "Public Works Productions", "d:Description": "An experimental media-art band that make CDs and 'expanded cinema' performances using machines as their sole instruments. With group news, online ordering, and information about anti-copyright practices in cultural work.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/P", "url": "http://pwp.detritus.net/"} +{"d:Title": "Puzzle of Light", "d:Description": "An experimental music ensemble creating sound sculpture musical instruments. With member biographies and project information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/P", "url": "http://puzzleoflight.com/"} +{"d:Title": "Parry, Johnny", "d:Description": "Information on the singer/songwriter. Includes live shows, mp3s, albums, artwork and films, and lyrics.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/P", "url": "http://www.mountainstorm.co.uk/johnnyparry"} +{"d:Title": "Pink Noise", "d:Description": "A dark ambient/industrial/goth/noise project from Russia. With pictures, sound files, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/P", "url": "http://vniz.net/~abtop/pn.html"} +{"d:Title": "qebo", "d:Description": "official site of the electronic duo from Athens: news, mp3s, sounbanks, photos, wallpapers, contact etc.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/Q", "url": "http://www.qebo.net/"} +{"d:Title": "Quiet American Project, The", "d:Description": "Composed and manipulated high quality field recordings from Vietnam. Trips for the headphone tourist, available in the MP3 format.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/Q", "url": "http://www.quietamerican.org/"} +{"d:Title": "Rainjacket / Unjin Yeo", "d:Description": "Official site for the experimental/ambient producer based in Vancouver, Canada. With audio downloads, background, discography, and booking/contact information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/R", "url": "http://www.sinusoidal.com/rainj/"} +{"d:Title": "Red Sparowes", "d:Description": "A mostly instrumental band featuring members if Isis, Neurosis, Halifax Pier, and The Cignal, thas been described as epic, dreamy, and powerful. They have been compared to My Bloody Valentine, Mogwai, The Cure, and Godspeed You Black Emporer.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/R", "url": "http://www.redsparowes.com/"} +{"d:Title": "Remora", "d:Description": "Music project by Brian John Mitchell of QRD zine and Silber Records. With discography, background, pictures, and related links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/R", "url": "http://www.silbermedia.com/remora/"} +{"d:Title": "Reverend Poky Bunge", "d:Description": "A band from Minnesota holding fast to the belief that nothing is sacred. Creating music across genres with influences such as Frank Zappa, The Residents, Butthole Surfers, and Kiss.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/R", "url": "http://www.angelfire.com/band2/bunge/"} +{"d:Title": "The Red Paintings", "d:Description": "Experimental electronic music from Australia. Bipgraphy, tour information, discography, mps's and reviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/R", "url": "http://www.theredpaintings.com/"} +{"d:Title": "Shapiro, Andrew", "d:Description": "American (NY) composer; Includes articles, pictures, sound files, news and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.andrewshapiro.com/"} +{"d:Title": "Sciss", "d:Description": "Experimental Ambient/Noise/Electronic composer. Berlin based", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.sciss.de/"} +{"d:Title": "Sharp, Elliott", "d:Description": "Information on his music including descriptions of CDs, images, downloadable scores and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.panix.com/~esharp/"} +{"d:Title": "Silence Syndrome", "d:Description": "Experimental/ambient music group, attempting to use music as a tongue for magick to speak.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.silencesyndrome.com/"} +{"d:Title": "Skorpio, Niko", "d:Description": "Experimental electronic music with a dark edge, varying from sick-hop and lo-fi techno to illbient, and dark-ambient. With news, information, interviews, and audio samples.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://nikoskorpio.net/"} +{"d:Title": "slate pipe banjo draggers", "d:Description": "lo-fi music and art", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.slatepipe.co.uk/"} +{"d:Title": "Small Life Form", "d:Description": "Computer-driven organic ambient music made from original samples of bass, guitar, and microphone manipulation. With discography and link to mp3.com artist pages for song downloads and audio stream.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.silbermedia.com/smalllifeform/"} +{"d:Title": "Sound Chronicles", "d:Description": "A continuing series of audio montages ('concrete music'), using sonic 'snapshots' from a private collection of lo-fi field-recordings. With Flash mp3-streamer, news, links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.harsmedia.com/Chronson"} +{"d:Title": "Souto, Diego", "d:Description": "Industry, experimentalism and obscure dance patterns give shape to this avant garde chapman stick player's music.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.diegosouto.com.ar/"} +{"d:Title": "String Theory Productions", "d:Description": "an ensemble of musicians, dancers, visual artists, and aerial performers who combine their various talents to create a unique and beautiful performance.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/S", "url": "http://www.stringtheoryproductions.com/"} +{"d:Title": "10 Eastern", "d:Description": "The work of many Grand Rapids, Michigan-based bands and musicians. C3, Phantom Wedding Band, Vannesss, Devil's Tower, Vietbong, Cat Time, Carebears, and LM.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/T", "url": "http://www.10eastern.com/"} +{"d:Title": "Talman, Jeff", "d:Description": "Artist creating site-specific sound works in large architectural spaces. With news, pictures of multi-channel sound field installations, press, links, and texts on soundspace theory.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/T", "url": "http://www.jefftalman.com/"} +{"d:Title": "Tectonicplate", "d:Description": "Official site of this visual and music project that fuses audio with an interactive environment as a self contained entity.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/T", "url": "http://www.tectonicplate.com/"} +{"d:Title": "Thumbtack Smoothie", "d:Description": "Electronic music producer /composer from San Francisco creating textured digi-dementia experimental electronic / IDM soundscapes surreal robotic lullaby textures atonal washes of delirium fractured melodies of all shapes and sizes.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/T", "url": "http://quaketrap.com/smoothie.html"} +{"d:Title": "Tiny Hairs", "d:Description": "A six piece post-rock collective from Chicago, whose spontaneous instrumental compositions are informed by noise, electronic music, free jazz, rock and 20th century classical music.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/T", "url": "http://www.tinyhairs.com/"} +{"d:Title": "Transients, The", "d:Description": "Band based out of Battle Creek, Michigan, playing their own brand of noise and rock guitar over a brain imprinting bass. With background, show dates, pictures and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/T", "url": "http://thetransients.tripod.com/"} +{"d:Title": "Tundra Survey, The", "d:Description": "Information, streaming music, upcoming shows, and photos of this Chicago five-piece avant-classical band.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/T", "url": "http://www.tenseforms.com/tundra/"} +{"d:Title": "UnKindness Of Ravens Official Site", "d:Description": "Aural equivalent of scribble? UKOR's sound emanates from unique and traditional instrumentation. Our musical scribble excites astonishment and improvisitional groove via our method of exposition.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/U", "url": "http://www.unkindness-of-ravens.org/"} +{"d:Title": "Unsound", "d:Description": "Cap'n Mikee's ensemble for improvised electroacoustic music and low-budget performance art.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/U", "url": "http://www.eyeballsun.org/"} +{"d:Title": "Vlor", "d:Description": "An improv guitar/acoustic shoegazer project with Brian John Mitchell of QRD zine and Russell Halasz. Includes discography and link to mp3.com pages for song downloads and audio stream.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/V", "url": "http://www.silbermedia.com/vlor/"} +{"d:Title": "v-T-z", "d:Description": "A producer, composer and recording artist combining electronic music and flamenco or other elements. With background, MP3 clips, and release purchasing information.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/V", "url": "http://v-t-z.tripod.com/"} +{"d:Title": "Value Village People", "d:Description": "Bleek's audio-art, plunderphonics, radio-art, noise project.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/V", "url": "http://www.umbrellanoize.com/[UMB010].html"} +{"d:Title": "White Out", "d:Description": "A free improvisational unit creating free sound for the daring few.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/W", "url": "http://whiteoutinc.com/"} +{"d:Title": "Wizard Master", "d:Description": "Collection of experimental, homemade music software and strange and horrific interactive activities.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/W", "url": "http://www.wizardmaster.com/"} +{"d:Title": "yesaroun' Duo", "d:Description": "An exploratory ensemble performing an eclectic mix of late 20th and 21st century art music written for saxophone and percussion, as well as their own creative arrangements.", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/Y", "url": "http://www.yesaroun.com/"} +{"d:Title": "Z, Pamela", "d:Description": "Performance artist and composer from San Francisco", "topic": "Top/Arts/Music/Styles/E/Experimental/Bands_and_Artists/Z", "url": "http://www.pamelaz.com/"} +{"d:Title": "The Music of Jos\u00e9 Oscar Marques", "d:Description": "Fractal-based compositions in general MIDI file format. (Not necessarily fractal from a mathematical perspective.)", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://midiworld.com/c/jmarques.htm"} +{"d:Title": "Brothers Technology: Fractal Music", "d:Description": "Research, publications, and compositions by Harlan Brothers. A mathematically rigorous treatment of the subject of fractal music including background information and sound files.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.brotherstechnology.com/math/fractal-music.html"} +{"d:Title": "Zipf's Law in Music", "d:Description": "Bill Manaris uses stochastic techniques to computationally identify and emphasize aesthetic aspects of music.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.cs.cofc.edu/~manaris/ZipfMIDI/"} +{"d:Title": "Voss&Clarke", "d:Description": "Michael Frame's entry at the Yale Fractal Geometry site on the work of Richard Voss and John Clarke on 1/f scaling.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://classes.yale.edu/Fractals/Panorama/Music/VossClarke/VossClarke.html"} +{"d:Title": "The Music of Phil Jackson", "d:Description": "A sample of fractal-based and generative compositions by Phil Jackson. (Not necessarily fractal from a mathematical perspective.)", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.midiworld.com/c/pj.htm"} +{"d:Title": "Audio Fractals", "d:Description": "Samples of audio structures programmed by Terran Olson using recursive algorithms.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://halfcadence.net/audio-fractals/"} +{"d:Title": "Modelling Complexity in Musical Rhythm", "d:Description": "Application of L-systems to the analysis of musical rhythm. Research by Cheng-Yuan Liou, Tai-Hei Wu, and Chia-Ying Lee.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://arxiv.org/abs/cs/0703124"} +{"d:Title": "Applications of Fractal Geometry to the Player Piano Music of Conlon Nancarrow", "d:Description": "By Julie Scrivener, from the proceedings of the Bridges 2000 Math/Art conference.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://homepages.wmich.edu/~jscriven/bridges.2000.pdf"} +{"d:Title": "Dmitry's Research", "d:Description": "A recursive approach to composition by Dmitry Kormann, illustrated using the golden ratio.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://bowerbird-studios.com/aicaramba/page2#gold.html"} +{"d:Title": "Music from Fractal Noise", "d:Description": "An introduction to 1/f scaling in music by Michael Bulmer. Includes demonstrations and exercises.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.maths.uq.edu.au/~mrb/research/papers/fractalmusic.pdf"} +{"d:Title": "Musical rhythm spectra from Bach to Joplin obey a 1/f power law", "d:Description": "Across 16 subgenres and 40 composers, researchers Daniel Levitin, Parag Chordia, and Vinod Menonc found that an overwhelming majority of rhythms sampled obeyed a 1/f^\u03b2 power law with \u03b2 ranging from ~0.5 to 1.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.ncbi.nlm.nih.gov/pmc/articles/PMC3309746/"} +{"d:Title": "Multifractal Analyses of Music Sequences", "d:Description": "Article by Zhi-Yuan Su and Tzuyin Wu in \"Physica D: Nonlinear Phenomena\" on a multifractal technique for analysis of melodic lines. Abstract available, but subscription required for full text.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.sciencedirect.com/science/article/pii/S0167278906003010"} +{"d:Title": "A Fractal in Bach's Cello Suite", "d:Description": "An article by Ivars Peterson at the Mathematical Association of America on research by Harlan Brothers appearing in the journal Fractals.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://mathtourist.blogspot.com/2008/09/fractal-in-bachs-cello-suite.html"} +{"d:Title": "Fractal Dimension and Classification of Music", "d:Description": "Research by Maxence Bigerelle and Alain Iost appearing in: Chaos, Solitons and Fractals 11 (2000) 2179-2192. \"The fractal aspect of different kinds of music was analyzed in keeping with the time domain.\" (From Research Gate - membership not required for access.)", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "https://www.researchgate.net/publication/223535440_Fractal_dimension_and_classification_of_music"} +{"d:Title": "PubMed Central (PMC): Fractal geometry of music", "d:Description": "Kenneth and Andrew Hsu found evidence of melodic interval scaling in the works of Bach, Mozart, and a collection of Swiss folk songs.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.ncbi.nlm.nih.gov/pmc/articles/PMC53384/"} +{"d:Title": "Music Walk, Fractal Geometry in Music", "d:Description": "Article by Zhi-Yuan Su and Tzuyin Wu in \"Physica D: Nonlinear Phenomena.\" Hurst exponent and Fourier spectral analyses are performed on single variable random musical walk sequences. Abstract available, but subscription or fee required for full text.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.sciencedirect.com/science/article/pii/S0378437107002014"} +{"d:Title": "Fractal Tempo Fluctuation", "d:Description": "Summer Rankin, Edward Large, and Philip Fink investigated temporal fluctuations in piano performance and the prediction of these fluctuations by listeners. Their findings indicated that not only did the sample performances show fractal structure with respect to tempo fluctuation, but also that listeners appeared capable of predicting the variations, consistent with 1/f correlation.", "topic": "Top/Arts/Music/Styles/E/Experimental/Fractal_Music", "url": "http://www.ncbi.nlm.nih.gov/pmc/articles/PMC4151502/"} +{"d:Title": "Futurism: Manifestos and Other Resources", "d:Description": "The definitive site on the Futurist art movement of the early 20th Century, featuring most of the original manifestos of the members, and an extensive list of related links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Futurism", "url": "http://www.unknown.nu/futurism/"} +{"d:Title": "Brainwashed", "d:Description": "Weekly publication with a post-industrial slant. Features reviews, polls and videos.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.brainwashed.com/"} +{"d:Title": "Seven E-zine", "d:Description": "Dedicated to professional coverage of the latest in noise, experimental, ambient, electro, and synthpop music. With reviews, features, and editorials.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.nezzwerk.com/seven/"} +{"d:Title": "ESTWeb", "d:Description": "Home page for EST magazine, devoted to avant-garde, experimental and post-industrial music.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.hyperreal.org/intersection/zines/est/"} +{"d:Title": "Perfect Sound Forever", "d:Description": "Online music magazine that always features some experimental music, as well as humorous and sardonic features on pop music and general music articles.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.furious.com/perfect/"} +{"d:Title": "Paris Transatlantic", "d:Description": "Monthly E-zine covers new music worldwide with features, interviews and reviews. Reviews experimental music avant-garde, electronic music, post-classical, free and free jazz, improv, opera, performance art, and some sculpture, dance, and film.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.paristransatlantic.com/"} +{"d:Title": "Dusted Magazine", "d:Description": "Daily online magazine highlighting independent and fringe artists. Features a weekly radio chart and interviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.dustedmagazine.com/"} +{"d:Title": "Stylus Magazine", "d:Description": "Daily online magazine featuring writing about music and movies.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.stylusmagazine.com/"} +{"d:Title": "Halana Magazine", "d:Description": "Online home for the infrequently printed fanzine. Includes interviews from out of print editions.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.halana.com/"} +{"d:Title": "Erasing Clouds", "d:Description": "Online magazine covering music, film and etc. Published every two to three months.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.erasingclouds.com/"} +{"d:Title": "QRD zine", "d:Description": "Underground music zine now online with archive section.", "topic": "Top/Arts/Music/Styles/E/Experimental/Magazines_and_E-zines", "url": "http://www.silbermedia.com/qrd"} +{"d:Title": "The World of Kiew", "d:Description": "This site is dedicated to the electronic Power Noise German group Kiew.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.kiew.org/"} +{"d:Title": "Dimuzio, Thomas / Gench Music", "d:Description": "Official site for the sonic explorations and collaborative projects of the San Francisco, California-based multi-instrumentalist, sound designer, experimental electronic musician, collaborator and recording studio owner.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.gench.com/"} +{"d:Title": "Yau, Randy H.Y.", "d:Description": "The official site of the conceptual sound artist who has been active in the sonic arts since 1993. He has produced multiple solo and compilation audio works.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.23five.org/rhy/"} +{"d:Title": "Photon Ghoul", "d:Description": "An experimental/noise audio project. With downloadable songs.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.photonghoul.com/"} +{"d:Title": "Pachinko Studio", "d:Description": "Minimalist noise, sub-sonic tones, and extreme frequencies. Sound files, press releases, and a message board.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.pachinkostudio.com/"} +{"d:Title": "D-503", "d:Description": "Experimental/atmospheric noise artist, using computer-generated and appropriated sounds. With reviews and MP3s.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.angelfire.com/music/d503/"} +{"d:Title": "2nd Gen", "d:Description": "Official site for this recording artist, with biography, discography, MP3s, videos and pictures.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.2ndgen.net/"} +{"d:Title": "Noisembryo: Noisicians", "d:Description": "A list of noise artists from Japan and elsewhere in the world, with collected reviews and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.asahi-net.or.jp/~er6g-itu/noismker/noismker.htm"} +{"d:Title": "Died Like Flies", "d:Description": "Official website for the Serial Noize Death-Industrial band from Italy.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://digilander.libero.it/diedlikeflies/"} +{"d:Title": "Hutchins, Celeste", "d:Description": "Noise artist's pages on mp3.com. With general information, songs for download and listening, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.berkeleynoise.com/celesteh"} +{"d:Title": "Cementimental", "d:Description": "Experimental noise artist with audio downloads, news, information on circuit bent gear, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://www.cementimental.com/"} +{"d:Title": "Kakawaka", "d:Description": "Includes audio and video clips and information about solo releases.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://chproductions.de/kakawaka/index.htm"} +{"d:Title": "Can A' Worms TV", "d:Description": "Streaming industrial experimental noise videos.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://canaworms.byethost13.com/CanAWormsTV.htm"} +{"d:Title": "P.A.L.", "d:Description": "Official project site, with release information and links to recommended sites.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists", "url": "http://ant-zen.darkambient.net/pal/index.html"} +{"d:Title": "Esophagus: aube", "d:Description": "Interview by Dan Kletter and photography by Yuri Shibata, originally published in Ongaku Otaku.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists/Aube", "url": "http://www.esophagus.com/htdb/aube/"} +{"d:Title": "All Music Guide: Aube", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists/Aube", "url": "http://www.allmusic.com/artist/aube-p204073"} +{"d:Title": "Perfect Sound Forever: Borbetomagus", "d:Description": "Interview with Jim Sauter by Billy Bob Hargus.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists/Borbetomagus", "url": "http://www.furious.com/perfect/borbetomagus.html"} +{"d:Title": "TrouserPress.com: Borbetomagus", "d:Description": "Review of their career and recordings.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists/Borbetomagus", "url": "http://www.trouserpress.com/entry.php?a=borbetomagus"} +{"d:Title": "All Music Guide: Borbetomagus", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists/Borbetomagus", "url": "http://www.allmusic.com/artist/borbetomagus-p25447"} +{"d:Title": "Merzbow - Official Site", "d:Description": "Features news about performances and releases.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Bands_and_Artists/Merzbow", "url": "http://merzbow.net/"} +{"d:Title": "Browbeat", "d:Description": "A zine dedicated to noise and dissonance. With interviews, reviews, puzzles, and links to recommended radio stations.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Magazines_and_E-zines", "url": "http://www.browbeat.com/"} +{"d:Title": "Achtung Baby", "d:Description": "Online zine and radio show, featuring interviews, studio and live reviews, articles, playlists, and outside links. Site is in English and Russian.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Magazines_and_E-zines", "url": "http://drugie.here.ru/achtung/def1.htm"} +{"d:Title": "23five Incorporated", "d:Description": "A non-profit organization founded in 1993 to develop and increase awareness of sound works in the public arena, and to support and educate artists working with and discussing the medium of sound.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Organizations", "url": "http://www.23five.org/"} +{"d:Title": "Experimental Music Collective", "d:Description": "Collective of experimental musicians providing MP3 downloads and related links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Organizations", "url": "http://s900.net/"} +{"d:Title": "The LAB", "d:Description": "Noise venue, installation space and retail \"antimall\".", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Regional", "url": "http://www.thelab.com/"} +{"d:Title": "theLAB", "d:Description": "Noise venue and installation space with a live radio stream. Featuring artists such as Dead Voices on Air, Lab Report, and Survival Research Labs.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Regional", "url": "http://www.the-lab.com/"} +{"d:Title": "The San Francisco Electronic Music Festival", "d:Description": "An annual event that fosters a greater sense of community among Bay Area electronic and electro-acoustic sound artists.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Regional", "url": "http://sfemf.org/"} +{"d:Title": "7hz", "d:Description": "A performance venue organized and curated by Scott Arford. Every May through November, they promote noise, crazy music, video and film screenings. One of San Francisco's only consistent noise venues.", "topic": "Top/Arts/Music/Styles/E/Experimental/Noise/Regional", "url": "http://www.7hz.org/"} +{"d:Title": "Lampo", "d:Description": "A Chicago-based nonprofit organization that presents experimental music and intermedia events. With current schedule, past events archive and mailing list.", "topic": "Top/Arts/Music/Styles/E/Experimental/Organizations", "url": "http://www.lampo.org/"} +{"d:Title": "Electronic Music Foundation", "d:Description": "Information and materials relating to the history and current practice of electronic music. This organization also hosts an experimental music calendar.", "topic": "Top/Arts/Music/Styles/E/Experimental/Organizations", "url": "http://www.emf.org/"} +{"d:Title": "Calendar for New Music", "d:Description": "New York City listing of avant-garde, experimental jazz, and new music events.", "topic": "Top/Arts/Music/Styles/E/Experimental/Organizations", "url": "http://www.soundart.org/"} +{"d:Title": "SoniCabal", "d:Description": "A freeform collective of Seattle-area rule bending musicians and sound manipulators. With performance calendar, pictures, member profiles, and links.", "topic": "Top/Arts/Music/Styles/E/Experimental/Organizations", "url": "http://www.sonicabal.com/"} +{"d:Title": "SoundList", "d:Description": "Website and email list for announcements of experimental music, free improvisation and sound art events in the Toronto area.", "topic": "Top/Arts/Music/Styles/E/Experimental/Organizations", "url": "https://listserv.yorku.ca/archives/soundlist.html"} +{"d:Title": "Mechanical Music Digest", "d:Description": "Mailing list that discusses mechanical musical instruments. Of interest to experimental music fans are the threads on Conlon Nancarrow and others.", "topic": "Top/Arts/Music/Styles/E/Experimental/Organizations", "url": "http://www.mmdigest.com/"} +{"d:Title": "Traditional Music Pages", "d:Description": "Resources for people interested in traditional music; includes instrument tutorials, tune collections, session listings, profiles of Scottish performers, and a bibliography.", "topic": "Top/Arts/Music/Styles/F/Folk", "url": "http://www.nigelgatherer.com/"} +{"d:Title": "Tree Light Music Page", "d:Description": "Celebrates traditional music; includes articles on playing the button accordion, learning music in sessions, and some resources on Irish and Greek music.", "topic": "Top/Arts/Music/Styles/F/Folk", "url": "http://www.treelight.com/music/"} +{"d:Title": "Folk Blues and Beyond", "d:Description": "The performers and their music in London of the 60's. Includes biographies and discographies of Bert Jansch, John Renbourn, Davey Graham, Nick Drake, John Martyn, Roy Harper and Jackson C Frank.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists", "url": "http://www.folkblues.co.uk/"} +{"d:Title": "BBC Radio 2: A to Z Artist Database", "d:Description": "A database of folk and acoustic artists, with profiles, audio clips and weblinks.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists", "url": "http://www.bbc.co.uk/radio2/r2music/folk/acoustic_links/artists.shtml"} +{"d:Title": "Andean Nation", "d:Description": "Folk group that performs Andean music. Site contains history to the music, group biography, and album details.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/A", "url": "http://andeannation.com/"} +{"d:Title": "Ashley, Steve", "d:Description": "Singer-songwriter, formerly of Albion Country Band. Includes biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/A", "url": "http://www.steveashley.co.uk/"} +{"d:Title": "Andrews, Harvey", "d:Description": "English Singer-Songwriter. Includes mp3 audio samples, live schedule, reviews, and a diary.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/A", "url": "http://www.harveyandrews.com/"} +{"d:Title": "Adams, John", "d:Description": "Maryland singer/songwriter. John writes and performs original folk music.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/A", "url": "http://johnadamssings.com/"} +{"d:Title": "Arrin", "d:Description": "Flute and guitar duo, based in France. Includes audio samples, live schedule, and biographies.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/A", "url": "http://heaney.chez-alice.fr/"} +{"d:Title": "Aiken's Drum", "d:Description": "Folk band from West Yorkshire, UK. Includes member biographies, photographs, and updates on live performances.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/A", "url": "http://www.jtallet.co.uk/aikensdrum/"} +{"d:Title": "Albion Band, The", "d:Description": "Includes a history of the band, reviews of the albums, and biographies of the band members.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/A/Albion_Band,_The", "url": "http://www.toadinthehole.org.uk/www/albion%20band/"} +{"d:Title": "Brodsky, Chuck", "d:Description": "Singer-songwriter from Philadelphia. Includes lyrics, information on recordings, audio samples, photographs and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.chuckbrodsky.com/"} +{"d:Title": "Brookshire, Joyce", "d:Description": "Singer-songwriter from Atlanta. Includes a biography and album information.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.mindspring.com/~emworld/joyce/"} +{"d:Title": "Bruce, Ian", "d:Description": "Scottish singer songwriter. Includes gig-guide, discography, lyrics, and samples of music.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.ianbruce.co.uk/"} +{"d:Title": "Behrend, Carl", "d:Description": "Folk singer. Includes mp3 audio samples, biography and performance schedule.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.greatlakeslegends.com/"} +{"d:Title": "Bailey, Mack", "d:Description": "Maryland singer-songwriter. Includes information on gigs, recordings, discography, and photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.mackbailey.com/"} +{"d:Title": "Burr, Doug", "d:Description": "Includes biographical information and tour dates for this singer/songwriter.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.dougburr.com/"} +{"d:Title": "Bossin, Bob", "d:Description": "Member of Canadian group, Stringband. Includes tips for folk musicians, information on his songs, and a biography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www3.telus.net/oldfolk/"} +{"d:Title": "Boden, Jon", "d:Description": "English folksinger, performing as a soloist and in groups. Includes gig-listing, discography, news, press reviews, sound clips and merchandise.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.jonboden.com/"} +{"d:Title": "Bennett, Martyn (1971-2005)", "d:Description": "Canadian born Scottish musician. Includes biography, discography, links, and obituaries.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.martynbennett.com/"} +{"d:Title": "Barber, Damien", "d:Description": "Traditional English singer and musician. Includes biography, MP3 downloads, gig dates and album reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.thedemonbarbers.co.uk/"} +{"d:Title": "Beach, Bob", "d:Description": "Harmonica player, singer and flutist. Biography, calendar, and information on his recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B", "url": "http://www.reverbnation.com/bobbeach"} +{"d:Title": "Battlefield Band", "d:Description": "Official web site of a band playing traditional Scottish folk music. Includes tour diary, history, reviews, and details of their recordings with MP3 samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B/Battlefield_Band,_The", "url": "http://www.battlefieldband.co.uk/"} +{"d:Title": "Bellamy, Peter", "d:Description": "Former member of Young Tradition (died 1991). Includes a brief biography and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B/Bellamy,_Peter", "url": "http://www.goldilox.co.uk/engfolk/peter_bellamy.htm"} +{"d:Title": "Black, Mary", "d:Description": "Official site for the Irish singer. Includes news, performance schedule, discography, articles, image gallery, and contests.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B/Black,_Mary", "url": "http://www.mary-black.net/"} +{"d:Title": "Black, Mary", "d:Description": "Unofficial fan's site. Includes biography, discography, interviews, sound and video samples, and news of her appearances.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B/Black,_Mary", "url": "http://house-of-music.com/maryblack/index.shtml"} +{"d:Title": "Boys of the Lough", "d:Description": "Profile and discography for the long-running \"pan celtic\" music group, from Ceolas.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B/Boys_of_the_Lough", "url": "http://www.ceolas.org/artists/Boys_of_the_Lough.html"} +{"d:Title": "Boys of the Lough", "d:Description": "British performers of Scottish and Irish traditional music. Includes tour dates, details of their recordings, biographies and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/B/Boys_of_the_Lough", "url": "http://boysofthelough.info/"} +{"d:Title": "Cordelia's Dad", "d:Description": "Band interpreting North American traditions. Includes profile, discography, tour dates, news, reviews and lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://www.cordeliasdad.com/"} +{"d:Title": "Cash, Sandy", "d:Description": "Female Singer. Includes biography, MP3 samples, performance schedule, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://www.sandycash.com/"} +{"d:Title": "Cadbury, Dik", "d:Description": "Multi-instrumentalist, and singer. Features audio samples and a discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://www.dikcadbury.com/"} +{"d:Title": "Cooksey, Pat", "d:Description": "Irish born singer-songwriter who wrote the song, \"The Sick Note\". Includes biography, lyrics, news, and recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://www.patcooksey.com/"} +{"d:Title": "Cohen, Phil", "d:Description": "US Songwriter. Includes mp3 samples, album information, and a biography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://www.hardmilesmusic.com/phil.html"} +{"d:Title": "Carroll, Liz", "d:Description": "Irish fiddle player. Include a biography, discography, audio samples, reviews, and events schedule.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://www.lizcarroll.com/"} +{"d:Title": "Chapman, Michael", "d:Description": "UK singer-songwriter. Includes biography, discography, audio samples, guitar tablature, news, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://www.michaelchapman.co.uk/"} +{"d:Title": "Cape Cod Fiddlers", "d:Description": "Energetic performances of tunes from the Irish, Scottish, Shetland Isles, Scandinavian, Cape Breton, French Canadian, and American fiddle traditions for concerts, festivals, dances, weddings, and parties. Photo, recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C", "url": "http://capecodfiddlers.com/"} +{"d:Title": "Ceolas: Cherish the Ladies", "d:Description": "Profile of the all-woman traditional Irish music group in the US, with a discography. From the Ceolas Celtic music archive.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Cherish_the_Ladies", "url": "http://www.ceolas.org/artists/Cherish.html"} +{"d:Title": "Cherish the Ladies", "d:Description": "An interview in Roots World, exploring the relationships which hold the band together.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Cherish_the_Ladies", "url": "http://www.rootsworld.com/interview/cherish.html"} +{"d:Title": "Cherish the Ladies", "d:Description": "Official site of the band. Includes a profile, tour dates, reviews, booking information, and details of their recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Cherish_the_Ladies", "url": "http://www.cherishtheladies.com/"} +{"d:Title": "Rambles: Christine Collister", "d:Description": "A review of the album, 'An Equal Love', published by Rambles.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Collister,_Christine", "url": "http://www.rambles.net/collister_equal01.html"} +{"d:Title": "Cambridge Folk Festival: Christine Collister", "d:Description": "An interview with Neil King, accompanied by photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Collister,_Christine", "url": "http://www.fatea-records.co.uk/CAMBRID/cam99/collister.htm"} +{"d:Title": "Collister, Christine", "d:Description": "Includes a discography, biography, tour news, and interviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Collister,_Christine", "url": "http://www.thebeesknees.com/?cat=3"} +{"d:Title": "All Music Guide: Christine Collister", "d:Description": "Includes an artist biography and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Collister,_Christine", "url": "http://www.allmusic.com/artist/christine-collister-mn0000781196"} +{"d:Title": "Corries.com", "d:Description": "A collection of news clippings of The Corries, with video clips. Also merchandise.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Corries,_The", "url": "http://www.corries.com/"} +{"d:Title": "In Search Of Elizabeth Cotten", "d:Description": "Includes a biography, lyrics, influences, and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Cotten,_Elizabeth", "url": "http://www.geocities.co.jp/Hollywood/1061/cotten.html"} +{"d:Title": "Remembering Elizabeth Cotten", "d:Description": "A tribute to the late guitarist by L. L. Demerle.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Cotten,_Elizabeth", "url": "http://www.eclectica.org/v1n1/nonfiction/demerlee.html"} +{"d:Title": "Elizabeth Cotten (1895 - 1987)", "d:Description": "Includes a biography and musical arrangement for the song, 'Freight Train'.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/C/Cotten,_Elizabeth", "url": "http://www.sologuitarist.net/elizabethcotten.html"} +{"d:Title": "Dawson, Julian", "d:Description": "English-born singer-songwriter. Includes news, biography, discography, audio clips, lyrics, photographs, and links.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D", "url": "http://www.juliandawson.com/"} +{"d:Title": "Drake, Nick", "d:Description": "English singer-songwriter. Includes a discography, lyrics, news, and an A to Z of information about the artist.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D", "url": "http://www.algonet.se/~iguana/DRAKE/DRAKE.html"} +{"d:Title": "Dan\u00fa", "d:Description": "Irish band on the international festival circuit. Includes band profile, performance schedule, album information, and news. [English/French]", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D", "url": "http://danumusic.com/"} +{"d:Title": "Dillon, Cara", "d:Description": "Official site which includes news, biography, sound files, reviews, and pictures.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D/Dillon,_Cara", "url": "http://www.caradillon.co.uk/"} +{"d:Title": "Cara Dillon Fan Page", "d:Description": "Includes news, discography, lyrics, and gig information. (English and Japanese)", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D/Dillon,_Cara", "url": "http://www.diana.dti.ne.jp/~yutakahi/cara/cara_top.html"} +{"d:Title": "Cara Dillon, Sweet Liberty", "d:Description": "Review of the 'Sweet Liberty' album.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D/Dillon,_Cara", "url": "http://www.smh.com.au/articles/2003/10/10/1065676143162.html"} +{"d:Title": "FolkWorld Article: Cara Dillon", "d:Description": "An article about the Irish folk singer's increasing popularity, by Jennifer Byrne.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D/Dillon,_Cara", "url": "http://www.folkworld.de/22/e/cara.html"} +{"d:Title": "All Music Guide: Cara Dillon", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D/Dillon,_Cara", "url": "http://www.allmusic.com/artist/cara-dillon-mn0000946256"} +{"d:Title": "Connie Dover", "d:Description": "Official site of the Celtic singer. Includes a biography, reviews, photographs, and a performance schedule.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D/Dover,_Connie", "url": "http://www.conniedover.com/"} +{"d:Title": "The Dubliners", "d:Description": "Fan site celebrating the band. Includes news, tour information, discography, sound clips, and photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/D/Dubliners,_The", "url": "http://www.thedubliners.org/"} +{"d:Title": "Earthly Delights", "d:Description": "Music and dance band. Information about their instruments, performances, classes, history, and some instructions for dances.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/E", "url": "http://www.earthlydelights.com.au/"} +{"d:Title": "Ellison, Julie", "d:Description": "English singer-songwriter and guitarist. Includes mp3 samples, performance schedule and a biography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/E", "url": "http://www.julieellison.co.uk/"} +{"d:Title": "Elliot, Ramblin' Jack", "d:Description": "Offers a discography of the artist.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/E/Elliot,_Rambling_Jack", "url": "http://www.wirz.de/music/elliofrm.htm"} +{"d:Title": "Elliott, Ramblin' Jack", "d:Description": "Includes a biography, discography, with an appreciation of his contribution to folk music by various artists, research resources, news and gig list.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/E/Elliot,_Rambling_Jack", "url": "http://www.ramblinjack.com/"} +{"d:Title": "FAME Review: Ramblin' Jack Elliott", "d:Description": "A review of the album, South Coast. Written by harperess for the Folk and Acoustic Music Exchange.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/E/Elliot,_Rambling_Jack", "url": "http://www.acousticmusic.com/fame/p00056.htm"} +{"d:Title": "Lyrics Depot: Ramblin' Jack Elliot", "d:Description": "A collection of lyrics by the cowboy and folk musician.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/E/Elliot,_Rambling_Jack", "url": "http://www.lyricsdepot.com/ramblin-jack-elliot/"} +{"d:Title": "Ramblin' Jack Elliot Portfolio", "d:Description": "A small collection of original photographs of the artist.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/E/Elliot,_Rambling_Jack", "url": "http://www.cookephoto.com/ramblinjack.html"} +{"d:Title": "Foucault, Jeffrey", "d:Description": "American singer-songwriter. Includes biography, tour dates, lyrics, and information on recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/F", "url": "http://www.jeffreyfoucault.com/"} +{"d:Title": "Fari\u00f1a, Richard and Mimi", "d:Description": "Reference materials on the lives and careers of Richard and Mimi Fari\u00f1a, the folk revival, and the Cambridge, Massachusetts folk scene of the 1960s.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/F", "url": "http://www.members.tripod.com/farinafiles1/"} +{"d:Title": "Fisher, Archie", "d:Description": "A profile of the singer and guitarist, from his management agency.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/F/Fisher,_Archie", "url": "http://www.bechhofer.demon.co.uk/artists/fisher.html"} +{"d:Title": "Rambles - Archie Fisher.", "d:Description": "A review of the album, The Man with a Rhyme. Written by Tom Knapp.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/F/Fisher,_Archie", "url": "http://www.rambles.net/fisher_rhyme.html"} +{"d:Title": "Living Tradition - Archie Fisher", "d:Description": "A review of the album, The Man With A Rhyme. Written by Alan Brown.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/F/Fisher,_Archie", "url": "http://www.folkmusic.net/htmfiles/webrevs/flcd61.htm"} +{"d:Title": "Fisher Family, The", "d:Description": "Discography of the famous traditional singers; includes Archie Fisher's recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/F/Fisher,_Archie", "url": "http://www.nigelgatherer.com/perf/singers1/fish1.html"} +{"d:Title": "Guillory, Isaac", "d:Description": "Tribute to the late guitarist, including real audio samples from his albums.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.isaacguillory.com/"} +{"d:Title": "Gregson, Clive", "d:Description": "UK singer/songwriter. Includes discography, CDs, reviews, and tour dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.clivegregson.com/"} +{"d:Title": "Gilkyson, Eliza", "d:Description": "US singer/songwriter. Official web site with biography, music, tour photographs and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.elizagilkyson.com/"} +{"d:Title": "Gallup, Annie", "d:Description": "US singer/songwriter. Includes album information with lyrics and audio samples, her live schedule and biographical notes.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.anniegallup.com/"} +{"d:Title": "Gjallarhorn", "d:Description": "Four piece band from Finland. Includes, tour dates, videos of performances, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.gjallarhorn.com/"} +{"d:Title": "Gilbert, Vance", "d:Description": "Includes album information, biography, lyrics and news about this singer/songwriter.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.vancegilbert.com/"} +{"d:Title": "Graham, Davy", "d:Description": "English guitarist. Includes profile with news, discography, and details of fanzine.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.daveygraham.moonfruit.com/"} +{"d:Title": "Gordon, Andrew", "d:Description": "A Scottish folk singer; includes his biography, information about his recordings, music samples, and a schedule for his gigs and tours.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G", "url": "http://www.andrewgordon.co.uk/"} +{"d:Title": "Vin Garbutt", "d:Description": "Includes a small collection of photographs, and a profile of the musician.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Garbutt,_Vin", "url": "http://www.martin-kingsbury.co.uk/articles/vingarbutt.htm"} +{"d:Title": "Vin Garbutt Official site", "d:Description": "Includes a biography, news, reviews, photographs, tour dates and bookings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Garbutt,_Vin", "url": "http://www.vingarbutt.com/"} +{"d:Title": "The Happy Malcontent", "d:Description": "An article about Vin Garbutt from the Living Tradition magazine.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Garbutt,_Vin", "url": "http://www.folkmusic.net/htmfiles/inart498.htm"} +{"d:Title": "Bard of Freedom, Hope and Glory", "d:Description": "An interview with Dick Gaughan for Rootsworld", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://www.rootsworld.com/rw/feature/gaughan.html"} +{"d:Title": "Dick Gaughan: Debunking Scottish Claptrap", "d:Description": "An interview by Tom Knapp, for Rambles magazine.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://www.rambles.net/gaughan.html"} +{"d:Title": "Dick Gaughan Discography", "d:Description": "Full discrophy with notes on albums from 1971 to the present day.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://www.dgdclynx.plus.com/music/gaughan.html"} +{"d:Title": "Slipcue Com Folk Guide: Dick Gaughan", "d:Description": "Includes discography and a profile of the Scottish folk singer and political activist.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://www.slipcue.com/music/international/celtic/artists/gaughan.html"} +{"d:Title": "Outlaws and Dreamers Review", "d:Description": "A review of the album, written for the Folk and Acoustic Music Exchange by Kemp Burpeau.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://www.acousticmusic.com/fame/p01983.htm"} +{"d:Title": "Outlaws&Dreamers", "d:Description": "Includes a description of the album, and a selection of sample audio files.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://www.appleseedmusic.com/dickgaughan/outlawsanddreamers.html"} +{"d:Title": "Dick Gaughan Official Website", "d:Description": "About this Scottish musician, guitarist, singer, and songwriter. Includes information on his recordings, and his lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://www.dickgaughan.co.uk/"} +{"d:Title": "ABC Jazz: Dick Gaughan", "d:Description": "Includes audio files with 6 songs from a live Dick Gaughan performance.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/G/Gaughan,_Dick", "url": "http://abcjazz.net.au/artist/dick-gaughan"} +{"d:Title": "Hart, Dan", "d:Description": "Boston-based songwriter. Includes touring information, pictures, and a biography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.danhart.net/"} +{"d:Title": "Hayward, Paul", "d:Description": "Singer-songwriter from San Francisco. Includes profile, show dates, sound files, and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.paulhayward.com/"} +{"d:Title": "Hoffmann, Andi and B-Goes", "d:Description": "Roots-rock from New Orleans. Features photographs, tour dates, music files, video clips, reviews, and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.bgoes.com/"} +{"d:Title": "Hammond, Lorraine Lee", "d:Description": "US multi-instrumentalist. Includes a biography, photographs, and a press page.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.greatacoustics.org/"} +{"d:Title": "Halpin, Kieran", "d:Description": "Irish singer-songwriter. Includes biography, discography, and tour dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.kieranhalpin.com/"} +{"d:Title": "Hart, Terry", "d:Description": "Finger style guitarist. Includes a biography, audio samples, and performance listings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.terryhart.ca/"} +{"d:Title": "Hurley, Michael", "d:Description": "American folkster's site, Blue Navigator has information on his CDs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.bluenavigator.net/"} +{"d:Title": "Harrison, Christina", "d:Description": "Celtic singer/songwriter. Includes her recordings, songbook, and her schedule.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H", "url": "http://www.christinaharrison.com/"} +{"d:Title": "Havens, Ritchie", "d:Description": "Official site. Includes biography, discography, reviews, and a gig list.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Havens,_Richie", "url": "http://www.richiehavens.com/"} +{"d:Title": "Richie Havens", "d:Description": "Review of a performance in Huntington, NY.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Havens,_Richie", "url": "http://www.fishnose.com/rhavens.htm"} +{"d:Title": "Richie Havens: An Idealist Talks About Money", "d:Description": "The veteran guitarist, interviewed by finance site, Bankrate.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Havens,_Richie", "url": "http://www.bankrate.com/brm/news/investing/20020723a.asp"} +{"d:Title": "CNN - Richie Havens", "d:Description": "Article on the publication of his book, 'They Can't Hide Us Anymore'. Includes photographs, and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Havens,_Richie", "url": "http://us.cnn.com/books/news/9907/19/havens/"} +{"d:Title": "Havens, Richie", "d:Description": "A fan tribute, with interviews, information on guitar tunings, and links.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Havens,_Richie", "url": "http://coolerking.tripod.com/guitar/richie.htm"} +{"d:Title": "Hulett, Alistair", "d:Description": "Feature article from Living Tradition magazine, on this singer-songwriter and activist, written by Mel Howley.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Hulett,_Alistair", "url": "http://www.folkmusic.net/htmfiles/inart516.htm"} +{"d:Title": "Hulett, Alistair", "d:Description": "Includes album information with track listings, and contact details.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Hulett,_Alistair", "url": "http://www.anu.edu.au/polsci/marx/int/hulett.html"} +{"d:Title": "Alistair Hulett Interview", "d:Description": "Interview with the Scottish singer-songwriter, by Andy Carr.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Hulett,_Alistair", "url": "http://www.angelfire.com/folk/roaringjack/interview.html"} +{"d:Title": "Hutchings, Ashley", "d:Description": "Official site for UK folk band leader. Includes news, audio samples, and a discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/H/Hutchings,_Ashley", "url": "http://www.folkicons.co.uk/ashley.htm"} +{"d:Title": "Swing Magazine - Robin Williamson", "d:Description": "Transcript of an interview with the Incredible String Band member, by Ken Hunt.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/I/Incredible_String_Band", "url": "http://www.angelfire.com/biz3/ISB/williamson79.html"} +{"d:Title": "Incredible String Band Mailing List", "d:Description": "Includes pictures, lyrics, audio samples, and links to other ISB resources.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/I/Incredible_String_Band", "url": "http://www.angelfire.com/biz3/ISB/"} +{"d:Title": "Making Time- Incredible String Band", "d:Description": "Includes a biography, discography, photographs, and album reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/I/Incredible_String_Band", "url": "http://www.makingtime.co.uk/isb.html"} +{"d:Title": "He Never Tires of the Road", "d:Description": "An article on the singer and activist Andy Irvine, from Folk World", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/I/Irvine,_Andy", "url": "http://www.folkworld.de/23/e/andy.html"} +{"d:Title": "Ceolas: Andy Irvine", "d:Description": "Profile of the Irish folk singer and musician from the Ceolas Celtic Music Archive. Includes discography and links.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/I/Irvine,_Andy", "url": "http://www.ceolas.org/artists/Andy_Irvine.html"} +{"d:Title": "Cluas - Andy Irvine", "d:Description": "Review of Andy Irvine's gig in Whelan's, Dublin.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/I/Irvine,_Andy", "url": "http://www.cluas.com/music/gigs/andyirvine.htm"} +{"d:Title": "Interview with Andy Irvine", "d:Description": "Excerpt from an unpublished interview by Paul Magnussen for Guitar International, with Andy Irvine.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/I/Irvine,_Andy", "url": "http://www.xs4all.nl/~hspeek/bouzouki/andy_irv.html"} +{"d:Title": "Johnsmith", "d:Description": "Offers recording details, profile, sound clips, and touring information for the singer-songwriter.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J", "url": "http://www.johnsmithmusic.com/"} +{"d:Title": "Jigzag", "d:Description": "Australian folk band. Includes biographies, gig guide, tour notes, photographs, and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J", "url": "http://www.jigzag.com/"} +{"d:Title": "Jostyn, Mindy", "d:Description": "Includes a biography, lyrics, song samples, chat, guestbook and online store.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J", "url": "http://www.mindyjostyn.com/"} +{"d:Title": "Jones, Bill", "d:Description": "Singer and multi-instrumentalist. Includes biography, tour dates, reviews, news, with information on her recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J", "url": "http://www.brickwallmusic.com/"} +{"d:Title": "'They couldn't kill his songs'", "d:Description": "The widow and friends of Victor Jara marked the 25th anniversary of his murder with a series of musical events, including a concert in London.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jara,_Victor", "url": "http://news.bbc.co.uk/1/hi/world/americas/165363.stm"} +{"d:Title": "Nueva Canci\u00f3n :: An Uncompromising Song", "d:Description": "The life, music and death of Victor Jara - article in FolkWorld.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jara,_Victor", "url": "http://www.folkworld.de/27/e/jara.html"} +{"d:Title": "An Unfinished Song", "d:Description": "A review of the biography of the singer, poet, and activist, written by his widow, Joan Jara.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jara,_Victor", "url": "http://www.socialismtoday.org/46/chile.html"} +{"d:Title": "Jara, Victor", "d:Description": "Biography of the folksinger and activist, with references and links. [Wikipedia]", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jara,_Victor", "url": "http://en.wikipedia.org/wiki/Victor_Jara"} +{"d:Title": "Jones, Nic", "d:Description": "British folk singer and guitarist. Includes biography, news, discography, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jones,_Nic", "url": "http://www.nicjones.net/"} +{"d:Title": "Nic Jones Guitar Style", "d:Description": "Feature article in the Living Tradition magazine on the musician's guitar style.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jones,_Nic", "url": "http://www.folkmusic.net/htmfiles/inart_nicjones_guitarstyle.htm"} +{"d:Title": "Slipcue - Nic Jones", "d:Description": "Includes a profile and discography of the English folk singer.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jones,_Nic", "url": "http://www.slipcue.com/music/international/celtic/artists/nicjones.html"} +{"d:Title": "Jones, Nic", "d:Description": "English folk singer of the 1970's. Includes discography, and links to articles and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/J/Jones,_Nic", "url": "http://www.goldilox.co.uk/engfolk/nic_jones.htm"} +{"d:Title": "King, Charlie", "d:Description": "Includes a biography, quotes and schedule for the folk artist, and his partner, Karen Brandow.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/K", "url": "http://www.charlieking.org/"} +{"d:Title": "Kalio Gayo", "d:Description": "Dutch band inspired by Irish and Gypsy music. Includes history, information about their albums, lyrics and a gig-guide.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/K", "url": "http://www.kaliogayo.nl/"} +{"d:Title": "Kingston Trio", "d:Description": "Official site. Includes history, discography, tour dates, news, and merchandise.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/K/Kingston_Trio,_The", "url": "http://www.kingstontrio.com/"} +{"d:Title": "My Corner Of Insanity", "d:Description": "Fan site aimed towards younger people, with guitar tabs, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/K/Kingston_Trio,_The", "url": "http://www.angelfire.com/folk/kingstontriofan/"} +{"d:Title": "La\u00efs - a la Capella", "d:Description": "Seven piece group from Belgium. Includes a profile, discography, lyrics, and reviews. (Multi-lingual)", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L", "url": "http://www.lais.be/"} +{"d:Title": "Lyman, Mel", "d:Description": "Chronological listing of works by and about Mel Lyman, including photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L", "url": "http://www.trussel.com/f_mel.htm"} +{"d:Title": "Long, Larry", "d:Description": "Singer-songwriter, and activist. Includes news, biography, schedule, discography, and stories from the road.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L", "url": "http://www.larrylong.org/"} +{"d:Title": "Low, Jim", "d:Description": "Australian singer-songwriter. Includes profile, journal, sound files, and lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L", "url": "http://jimlow.net/"} +{"d:Title": "Lister, Anne", "d:Description": "Singer-songwriter from London, now based in Wales. Includes information on workshops she offers, a biography, her recordings, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L", "url": "http://www.annelister.com/"} +{"d:Title": "BBC - 2003 Cropredy Festival - Lindisfarne", "d:Description": "A review of their performance at the Cropredy Festival, by Gemma Taylor.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lindisfarne", "url": "http://www.bbc.co.uk/oxford/cropredy/lindisfarne_review.shtml"} +{"d:Title": "Review of 'Here Comes The Neighbourhood'", "d:Description": "A review of the Lindisfarne album, by Tony Hendry. From the Living Tradition.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lindisfarne", "url": "http://www.folkmusic.net/htmfiles/webrevs/prkcd47.htm"} +{"d:Title": "All Music Guide: Lindisfarne", "d:Description": "Includes a biography of the band, and a full discography of their recorded output.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lindisfarne", "url": "http://www.allmusic.com/artist/lindisfarne-mn0000239545"} +{"d:Title": "A. L. Lloyd and the English Folk Song Revival, 1934-44", "d:Description": "A biography and assessment of A L Lloyd and his work, from the Canadian Journal for Traditional Music (1997).", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lloyd,_A._L._Bert", "url": "http://cjtm.icaap.org/content/25/v25art2.html"} +{"d:Title": "A. L. Lloyd and the Search for a New Folk Music, 1945-49", "d:Description": "Article in the Canadian Journal for Traditional Music focusing on his role in the post-war folk music revival in England.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lloyd,_A._L._Bert", "url": "http://cjtm.icaap.org/content/27/27_gregory.html"} +{"d:Title": "Lloyd, A L (Bert)", "d:Description": "A brief description of a collection of Bert Lloyd's papers held by Goldsmith's College, University of London.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lloyd,_A._L._Bert", "url": "http://www.aim25.ac.uk/cgi-bin/search2?coll_id=5503&inst_id=29"} +{"d:Title": "Lloyd, A L", "d:Description": "Includes a profile and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lloyd,_A._L._Bert", "url": "http://www.goldilox.co.uk/engfolk/bert_lloyd.htm"} +{"d:Title": "Jez Lowe and the Bad Pennies", "d:Description": "Includes current tour dates for the band, and album information.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/L/Lowe,_Jez", "url": "http://www.jezlowe.com/"} +{"d:Title": "McCormick, John", "d:Description": "Guitarist and singer. Includes sound clips, biography, discography, contact information, and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://www.mccormickjohn.com/"} +{"d:Title": "Monaghan, Brendan", "d:Description": "Singer-songwriter from Co. Down, Ireland. Includes tour dates, information about recordings, biography and pictures.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://www.brendanmonaghan.com/"} +{"d:Title": "Millns, Paul", "d:Description": "English singer-songwriter. Includes a biography, discography, news, and gig-guide.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://www.folkcorp.co.uk/millns/welcome.htm"} +{"d:Title": "Mellor, David", "d:Description": "Includes an interview with this singer-songwriter, discography, sound files, and merchandise.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://www.davidmellor.com/"} +{"d:Title": "Moray, Jim", "d:Description": "Includes news and performanace reviews, audio samples, photographs and tour information.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://www.jimmoray.co.uk/"} +{"d:Title": "McLeod, Rory", "d:Description": "Includes a biography, album information, reviews, a gallery and Rory's road diaries.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://www.rorymcleod.com/"} +{"d:Title": "Moscatiello, Lisa", "d:Description": "Singer-songwriter touring solo, or with Whirligig. Includes tour dates, recording details, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://lisamoscatiello.com/"} +{"d:Title": "McGuinn, Roger", "d:Description": "Guitarist, songwriter and former member of The Byrds. Includes a biography and appreciation.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M", "url": "http://www.ibiblio.org/jimmy/mcguinn/"} +{"d:Title": "MacLean, Dougie", "d:Description": "Profile of the Scottish traditional, folk singer and songwriter on Ceolas Celtic Music Archive.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/MacLean,_Dougie", "url": "http://www.ceolas.org/artists/Dougie_MacLean.html"} +{"d:Title": "MacLean, Dougie", "d:Description": "Dunkeld's celebration of singer-songwriter, resident in the town. Includes discography, appreciation, and details of guitar tunings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/MacLean,_Dougie", "url": "http://www.visitdunkeld.com/dougie-maclean.htm"} +{"d:Title": "MacLean, Dougie", "d:Description": "Official website of the former Tannahill Weaver. Includes news, album information, history, and media files.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/MacLean,_Dougie", "url": "http://www.dougiemaclean.com/"} +{"d:Title": "MacLean, Dougie", "d:Description": "Interview by Fiona Ritchie for Thistle and Shamrock on National Public Radio. (2003)", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/MacLean,_Dougie", "url": "http://thistleradio.com/index.php?option=com_content&view=article&id=77:dougie-maclean&catid=41:archived-interview-transcripts&Itemid=69"} +{"d:Title": "Marais and Miranda", "d:Description": "Fan site for Josef Marais and Miranda. Includes a biography written by Sam Hinton, liner notes, a letter written by Marais to \"budding balladeers\", and an extensive discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Marais_and_Miranda", "url": "http://www.echonyc.com/~jhhl/MandM/"} +{"d:Title": "McCalmans, The", "d:Description": "An Interview with the band for Living Traditions, by Dave Dewar.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/McCalmans", "url": "http://www.folkmusic.net/htmfiles/inart418.htm"} +{"d:Title": "McCalman, Ian", "d:Description": "Founder member of The McCalmans, offers a profile, archive photos, discography, and details about his recording studio.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/McCalmans", "url": "http://www.ianmccalman.co.uk/"} +{"d:Title": "McGarrigle, Kate and Anna", "d:Description": "Official website. Includes a biography of the Canadian sisters, tour dates, and a discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/McGarrigle,_Kate_and_Anna", "url": "http://www.mcgarrigles.com/"} +{"d:Title": "Kate&Anna McGarrigle", "d:Description": "An article from Folk and Blues: An Encyclopedia, written by Richard Silverstein and based on an interview with Kate McGarrigle.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/McGarrigle,_Kate_and_Anna", "url": "http://richards1052.tripod.com/richardshomepage/id26.html"} +{"d:Title": "Weather The Storm", "d:Description": "Italian fan site about Ralph McTell. Includes lyrics, biography, discography, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/McTell,_Ralph", "url": "http://www.weatherthestorm.it/"} +{"d:Title": "Ralph McTell", "d:Description": "Official site for the folk musician, songwriter and guitarist from the United Kingdom. Includes a biography, news, FAQ, and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/McTell,_Ralph", "url": "http://www.ralphmctell.co.uk/"} +{"d:Title": "Ralph Albert&Sydney", "d:Description": "A fansite. Includes articles, reviews, discography and song list.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/McTell,_Ralph", "url": "http://alberthall.tripod.com/grid1albert1.html"} +{"d:Title": "Christy Moore", "d:Description": "Christy's official site. Includes tour dates, biography, news and contacts.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.christymoore.com/"} +{"d:Title": "Unofficial Christy Moore Webpage", "d:Description": "A discography, part of the Ceolas Celtic music archive.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.ceolas.org/artists/Christy_Moore/"} +{"d:Title": "FolkWorld Article: Mellowed Minstrel", "d:Description": "FolkWorld article by Peter Grant, following Christy Moore in Tasmania.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.folkworld.de/2/moore.html"} +{"d:Title": "Tara Music: Christy Moore", "d:Description": "Includes a biography and photographs, with related links.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.taramusic.com/biogs/cmoorebg.htm"} +{"d:Title": "Living Tradition: Christy Moore", "d:Description": "A feature article on the Irish folk singer from the Living Tradition magazine.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.folkmusic.net/htmfiles/inart347.htm"} +{"d:Title": "CLUAS Reviews: Christy Moore", "d:Description": "Review of Christy Moore at The Shelter, Dublin. Written by Mick Lynch.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.cluas.com/music/gigs/christy2.htm"} +{"d:Title": "FolkWorld Live Review", "d:Description": "Review of Christy Moore in concert with Eleanor Shanley in Cologne, Germany. By Michael Moll.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.folkworld.de/1/moore97e.html"} +{"d:Title": "Slipcue.Com Folk Guide: Christy Moore", "d:Description": "Includes a discography and short biography of the Celtic singer.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Moore,_Christy", "url": "http://www.slipcue.com/music/international/celtic/artists/moore.html"} +{"d:Title": "Jason Mraz: An Unofficial Fan Site", "d:Description": "Includes song lyrics, audio samples, FAQ, and concert dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Mraz,_Jason", "url": "http://endor.org/mraz"} +{"d:Title": "Jason Mraz", "d:Description": "Official website of the singer-songwriter. Includes photographs, show dates, and articles.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Mraz,_Jason", "url": "http://jasonmraz.com/"} +{"d:Title": "MTV - Jason Mraz", "d:Description": "Includes video clips of Mraz playing acoustic, with interviews and photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/M/Mraz,_Jason", "url": "http://www.mtv.com/artists/jason-mraz/"} +{"d:Title": "Niles, John Jacob", "d:Description": "Singer-songwriter. Includes a discography, gallery and quotes.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/N", "url": "http://www.john-jacob-niles.com/"} +{"d:Title": "Nash, Jory", "d:Description": "Toronto-based singer-songwriter. Includes biography, pictures, sound samples, album information, and performance schedule.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/N", "url": "http://www.jorynash.com/"} +{"d:Title": "Nikkel, Dale", "d:Description": "Canadian folk singer and songwriter. Includes MP3s, videos, lyrics and photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/N", "url": "http://www.dalenikkel.com/"} +{"d:Title": "National Junk Band", "d:Description": "Australian band. Includes gig-guide, reviews and photographs. [requires Flash]", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/N", "url": "http://nationaljunkband.com/"} +{"d:Title": "Olafson, Lowry", "d:Description": "Canadian singer-songwriter. Includes gig dates, mp3 samples, lyrics, and concert dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O", "url": "http://lowryolafson.com/"} +{"d:Title": "Oberzan, Zachary", "d:Description": "Includes information on his albums, a biography, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O", "url": "http://www.zacharyoberzan.com/"} +{"d:Title": "Old Blind Dogs", "d:Description": "Modern Scottish folk band. Includes biographies, album information, history, and concert dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O", "url": "http://www.oldblinddogs.co.uk/"} +{"d:Title": "Ochs, Phil", "d:Description": "Tribute to the protest and folk singer. Includes discography, lyrics, images, quotations, and other writings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O/Ochs,_Phil", "url": "http://www.cs.pdx.edu/~trent/ochs/"} +{"d:Title": "All things Phil", "d:Description": "A list of links relating to the folk artist Phil Ochs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O/Ochs,_Phil", "url": "http://philochs.pitas.com/"} +{"d:Title": "Remembering Phil Ochs", "d:Description": "Includes a history of the Phil Ochs song nights, and biographies of the performers.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O/Ochs,_Phil", "url": "http://www.sonnyochs.com/remembering.html"} +{"d:Title": "Phil Ochs Remembered", "d:Description": "An article taking a look at the career of this musician, by Phil Mershon.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O/Ochs,_Phil", "url": "http://www.furious.com/perfect/philochs.html"} +{"d:Title": "Wilson and Alroys: Phil Ochs", "d:Description": "Includes a series of reviews of Phil Ochs recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O/Ochs,_Phil", "url": "http://www.warr.org/ochs.html"} +{"d:Title": "Phil Ochs, Selected Quotations", "d:Description": "A small selection of quotations, including liner notes.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O/Ochs,_Phil", "url": "http://www.writing.upenn.edu/~afilreis/50s/ochs-quotes.html"} +{"d:Title": "Ochs, Philip David", "d:Description": "Archive listing of material, including recordings, live performances, film footage, and books. Also includes a biography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/O/Ochs,_Phil", "url": "http://sites.google.com/site/philipdavidochs/"} +{"d:Title": "Peatbog Faeries", "d:Description": "Band based on the Isle of Skye. Includes line-up changes, recordings, audio samples and their tour information.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P", "url": "http://www.peatbog-faeries.com/"} +{"d:Title": "Pacheco, Tom", "d:Description": "New York folk singer and guitarist. Includes biographical timeline, photographs, and calendar.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P", "url": "http://tompacheco.com/"} +{"d:Title": "Peterson, Carl", "d:Description": "Scottish-born folksinger, now resident in N America. Includes his biography, reviews, news of his books and recordings, and a schedule of upcoming appearances.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P", "url": "http://www.carl-peterson.com/"} +{"d:Title": "Parallel String Band, The", "d:Description": "Acoustic band from Rhode Island. Includes upcoming events, biographies, and album information.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P", "url": "http://psb044.tripod.com/"} +{"d:Title": "Palava", "d:Description": "UK folk roots artists. Includes news, performance schedule, and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P", "url": "http://www.palava.co.uk/"} +{"d:Title": "Paxton, Tom", "d:Description": "Official site of the folksinger. Includes a biography, audio samples, and information about performances.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P/Paxton,_Tom", "url": "http://www.tompaxton.com/"} +{"d:Title": "The Music of Tom Paxton", "d:Description": "Unofficial discography maintained by Valeri Kramer, and including lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P/Paxton,_Tom", "url": "http://www.mydfz.com/Paxton/welcome.htm"} +{"d:Title": "Ceolas: Planxty", "d:Description": "Profile and discography of the Irish folk group. From the Ceolas Celtic Music Archive.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P/Planxty", "url": "http://www.ceolas.org/artists/Planxty.html"} +{"d:Title": "Tara Music: Planxty", "d:Description": "An appreciation of the band that tracks the line-up changes over the years. Includes cover notes and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P/Planxty", "url": "http://www.taramusic.com/biogs/planxty.htm"} +{"d:Title": "Slipcue: Planxty Discography", "d:Description": "Includes a brief profile and discography, with links to websites of the group's members and their current careers.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/P/Planxty", "url": "http://www.slipcue.com/music/international/celtic/artists/planxty.html"} +{"d:Title": "Quartette", "d:Description": "Official site for the Canadian country and folk music band. Includes profile, audio samples, and information about their recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/Q", "url": "http://www.quartette.com/"} +{"d:Title": "Rogers, Garnet", "d:Description": "Canadian born singer-songwriter and guitarist. Includes a biography, tour dates, discography, audio samples, reviews, and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R", "url": "http://www.garnetrogers.com/"} +{"d:Title": "Rose, Pam", "d:Description": "Profile of a singer-songwriter. Includes samples of her music, and a discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R", "url": "http://www.pamrose.com/"} +{"d:Title": "Rustika", "d:Description": "Contemporary folk music group IRA ROMA and Diva-Dodola Folk.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R", "url": "http://www.rustika.org/eng.htm"} +{"d:Title": "Reynolds, Jim", "d:Description": "Singer, songwriter and guitarist. Blues, ragtime, ballads and traditional songs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R", "url": "http://jimreynoldsmusic.co.uk/"} +{"d:Title": "Rebel Voices", "d:Description": "Duo Janet Stecher and Susan Lewis. Includes profiles, tour news, recordings, and workshops.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R", "url": "http://www.rebelvoices.com/"} +{"d:Title": "Reynolds, Malvina", "d:Description": "Memorial site for the songwriter and social activist, maintained by her daughter. Includes a biography, discography, and links.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R/Reynolds,_Malvina", "url": "http://www.sisterschoice.com/malvinamain.html"} +{"d:Title": "Reynolds, Malvina - Song Lyrics and Poems", "d:Description": "Includes song lyrics and poetry by the topical and children's songwriter.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R/Reynolds,_Malvina", "url": "http://people.wku.edu/charles.smith/MALVINA/homep.htm"} +{"d:Title": "Slipcue: Leon Rosselson", "d:Description": "Includes an artist profile, with album reviews and a discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R/Rosselson,_Leon", "url": "http://www.slipcue.com/music/international/celtic/artists/rosselson.html"} +{"d:Title": "Rosselson, Leon", "d:Description": "Official site of the English folk-singer. Includes a profile, discography, and gig details.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R/Rosselson,_Leon", "url": "http://www.leonrosselson.co.uk/"} +{"d:Title": "Rusby, Kate", "d:Description": "UK singer-guitarist. Includes biography, reviews, album information, tour dates, and photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R/Rusby,_Kate", "url": "http://www.katerusby.com/"} +{"d:Title": "The Guardian: Kate Rusby", "d:Description": "Review of concert at the Queen Elizabeth Hall, London.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/R/Rusby,_Kate", "url": "http://www.theguardian.com/culture/2001/jun/28/artsfeatures2"} +{"d:Title": "Song of the Lakes", "d:Description": "Celtic and Scandinavian influenced band. Includes band profile, recordings, tours, and MP3 samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S", "url": "http://www.songofthelakes.com/"} +{"d:Title": "Smither, Chris", "d:Description": "Singer-sognwriter. Includes a biography, tour information and pictures.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S", "url": "http://smither.com/"} +{"d:Title": "Simple Gifts", "d:Description": "Trio playing a variety of ethnic folk styles. Includes band profile, performance schedule, and recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S", "url": "http://www.simplegiftsmusic.com/"} +{"d:Title": "Salsa Celtica", "d:Description": "Scottish folk and salsa band. Includes profile, tour news, album information, and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S", "url": "http://www.salsaceltica.com/"} +{"d:Title": "Simpson, Martin", "d:Description": "UK acoustic guitarist. Includes biography, discography, tour schedule, and information on his guitar-playing.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S", "url": "http://www.watershed-arts.com/sim1.html"} +{"d:Title": "Siegel, Peter", "d:Description": "Political singer songwriter and player of traditional tunes inspired by Phil Ochs, The Beatles, Looney Tunes, Pete Seeger; includes profile, gig-list, discography and press kit.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S", "url": "http://www.petersiegel.com/"} +{"d:Title": "Unofficial Yellow Pages: Christher Sch\u00fctz", "d:Description": "Singer-songwriter from Sweden. Includes a biography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Sch\u00fctz,_Christher", "url": "http://unofficialyellowpages.50megs.com/schutz"} +{"d:Title": "Johan Christher Sch\u00fctz", "d:Description": "Swedish artist and songwriter. Includes discography, lyrics, image galleries, and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Sch\u00fctz,_Christher", "url": "http://www.johanchristherschutz.net/"} +{"d:Title": "Slipcue: Ewan MacColl and Peggy Seeger", "d:Description": "Includes profiles and selective discographies of UK folk singers.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Seeger,_Peggy", "url": "http://www.slipcue.com/music/international/celtic/artists/maccoll.html"} +{"d:Title": "Seeger, Peggy", "d:Description": "Includes a biography, quotes, reviews, and details of her mini-residencies on college campuses, organized through Real People's Music.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Seeger,_Peggy", "url": "http://www.realpeoplesmusic.com/performers/peggy/"} +{"d:Title": "Ewan MacColl Controversy", "d:Description": "An article by Peggy Seeger, published in The Living Tradition Magazine, describing The Ballads and Blues Club, London.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Seeger,_Peggy", "url": "http://www.folkmusic.net/htmfiles/edtxt39.htm"} +{"d:Title": "Peggy Seeger, Official Site", "d:Description": "Singer-songwriter and activist. Includes tour dates, discography, and biography, with links to friends and family.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Seeger,_Peggy", "url": "http://www.peggyseeger.com/"} +{"d:Title": "All Music Guide: Peggy Seeger", "d:Description": "Listing at the All music guide, including a biography, and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Seeger,_Peggy", "url": "http://www.allmusic.com/artist/peggy-seeger-mn0000837150"} +{"d:Title": "Mudcat Cafe: Pete Seeger", "d:Description": "Biographical article covering the folk artist's life through 1997.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Seeger,_Pete", "url": "http://www.mudcat.org/pete.cfm"} +{"d:Title": "Spike's Music: Pete Seeger", "d:Description": "Song sheets in PDF format.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Seeger,_Pete", "url": "http://spikesmusic.spike-jamie.com/folk/pete-seeger.html"} +{"d:Title": "Swarbrick, Dave", "d:Description": "Official site of the British folk fiddler. Includes gigs, recordings, audio samples, and a history of his contribution to UK folk music.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Swarbrick,_Dave", "url": "http://www.folkicons.co.uk/swarb.htm"} +{"d:Title": "All Music Guide: Dave Swarbrick", "d:Description": "Includes a biography and discography for the artist.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/S/Swarbrick,_Dave", "url": "http://www.allmusic.com/artist/dave-swarbrick-mn0000682403"} +{"d:Title": "Tomlinson, Michael", "d:Description": "Seattle-based singer-songwriter and guitarist. Includes profile, information on song-writing retreats, lyrics, and CD ordering details.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T", "url": "http://www.michaeltomlinson.com/"} +{"d:Title": "Taylor, Allan", "d:Description": "British singer-songwriter. Includes tour information, discography, reviews, biographies, sound clips, and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T", "url": "http://www.allantaylor.com/"} +{"d:Title": "Tale, TN", "d:Description": "Dutch singer-songwriter, Theo Nessink. Includes a profile, audio samples, and a collection of lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T", "url": "http://www.taletn.com/"} +{"d:Title": "Talan, Deb", "d:Description": "Folk-pop singer. News, reviews, tour dates, message board, music samples, and photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T", "url": "http://debtalan.com/"} +{"d:Title": "Theil, Bob", "d:Description": "Scottish born singer-songwriter and guitarist. Contains biography, discography, photo-gallery, lyrics, audio samples, gigs, contact information.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T", "url": "http://www.bobtheil.be/"} +{"d:Title": "Headline Agency: June Tabor", "d:Description": "A brief profile of the singer and her collaborators.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T/Tabor,_June", "url": "http://indigo.ie/~madelein/bandsjunetabor.html"} +{"d:Title": "Rambles: June Tabor, \"A Quiet Eye\"", "d:Description": "A review of the album, A Quiet Eye, published by Rambles.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T/Tabor,_June", "url": "http://www.rambles.net/tabor_eye.html"} +{"d:Title": "June Tabor: \"Aleyn\"", "d:Description": "A review of the album, Aleyn. Written by George Graham.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T/Tabor,_June", "url": "http://georgegraham.net/tabor.html"} +{"d:Title": "All Music Guide: June Tabor", "d:Description": "Includes a biography and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T/Tabor,_June", "url": "http://www.allmusic.com/artist/june-tabor-mn0000838414"} +{"d:Title": "Tannahill Weavers", "d:Description": "Scottish traditional group. Includes current line-up and discography on the Ceolas Celtic Music Archive.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T/Tannahill_Weavers,_The", "url": "http://www.ceolas.org/artists/Tannies.html"} +{"d:Title": "Tannahill Weavers, The", "d:Description": "The band's official website. Includes biographies, history, discography, tour dates, lyrics and background to the songs.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T/Tannahill_Weavers,_The", "url": "http://www.tannahillweavers.com/"} +{"d:Title": "Tawney, Cyril", "d:Description": "A profile and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/T/Tawney,_Cyril", "url": "http://www.goldilox.co.uk/engfolk/cyril_tawney.htm"} +{"d:Title": "Veda, Dada", "d:Description": "Singer-songwriter and meditation teacher. Includes biography, photos, tour news, lyrics, and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V", "url": "http://www.dadaveda.com/"} +{"d:Title": "Virtual Biscuit", "d:Description": "Celtic influenced folk band. Includes band profile, album details, and live schedule.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V", "url": "http://www.virtualbiscuit.co.uk/"} +{"d:Title": "The Lair of Voltaire", "d:Description": "Singer/songwriter whose music has its roots embedded in European folk music. Includes biography, news, and show schedule.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V", "url": "http://voltaire.net/"} +{"d:Title": "Dave Van Ronk Discography", "d:Description": "An illustrated discography of the musicians works.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V/Van_Ronk,_Dave", "url": "http://www.wirz.de/music/vanrofrm.htm"} +{"d:Title": "Dave Van Ronk: Urbanite", "d:Description": "An article about the musician, by Gary Alexander.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V/Van_Ronk,_Dave", "url": "http://www.hvmusic.com/article/alexander/vanronk/"} +{"d:Title": "The Richmond Hill Historical Society: Dave Van Ronk", "d:Description": "Article about the musician and his connections with the society.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V/Van_Ronk,_Dave", "url": "http://www.richmondhillhistory.org/dvanronk.html"} +{"d:Title": "Live Review: Dave Van Ronk&Geoff Muldaur", "d:Description": "A concert review, following the performance at the Cedar Cultural Center.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V/Van_Ronk,_Dave", "url": "http://www.mnblues.com/review/vanronk-ar11-00.html"} +{"d:Title": "EMusic: Inside Dave Van Ronk", "d:Description": "A collection of mp3 samples to play or download.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V/Van_Ronk,_Dave", "url": "http://www.emusic.com/album/-/-/10591674/"} +{"d:Title": "Dave Van Ronk: Obituary", "d:Description": "News article reporting the death of the musician, by Fred Mazelis.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/V/Van_Ronk,_Dave", "url": "http://www.wsws.org/en/articles/2002/02/vanr-f14.html"} +{"d:Title": "Wherries, The", "d:Description": "Scottish and Irish folk music with 'Clydeside' humor. Includes biographies, album details, gig guide, and contact information.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.wherries.co.uk/"} +{"d:Title": "Woodson, Joyce", "d:Description": "Singer-songwriter. Includes profile, discography, tour dates, lyrics, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.joycewoodson.com/"} +{"d:Title": "Wolf, Kate", "d:Description": "Extensive collection of information about the late California folk singer, maintained by her family.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.katewolf.com/"} +{"d:Title": "Wesley, Lyndon", "d:Description": "Australian singer-songwriter. Includes discography, gig guide, and a biography.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.lyndonwesley.com/"} +{"d:Title": "Wenz, Annie", "d:Description": "Performer who blends contemporary sounds with traditional influences. Includes profile, album details, audio samples, and tour dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.anniewenz.com/"} +{"d:Title": "Wakami Wailers, The", "d:Description": "Profile of a band specializing in interpreting Canada's history through folk music and stories. Includes news, biography, discography, and lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.wakamiwailers.com/"} +{"d:Title": "Wyndham-Read, Martyn", "d:Description": "Profile of an English-born folksinger, whose interest was born during his stay in Australia; now resident in France. Includes a discography, tour dates, and details of his workshops.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.martynwyndhamread.com/"} +{"d:Title": "Wagner, Van", "d:Description": "Singer-songwriter and guitarist with influences from bluegrass to blues. Includes album information, lyrics, schedule, news, and some of his writings.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.vanwagnermusic.com/"} +{"d:Title": "Wrigley Sisters, The", "d:Description": "BBC award winning fiddle, guitar and piano traditional folk duo from the Orkney Islands in Scotland. Features tour dates and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W", "url": "http://www.wrigleysisters.com/"} +{"d:Title": "FAME Review: Morning Light", "d:Description": "A review of the album, Morning Light. Written by Mark Horn for the Folk and Acoustic Music Exchange.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W/Welter,_Paula_Joy", "url": "http://www.acousticmusic.com/fame/p00104.htm"} +{"d:Title": "FolkLib Index - Paula Joy Welter Discography", "d:Description": "Discography and links for Paula Joy Welter, a Singer/Songwriter from California.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W/Welter,_Paula_Joy", "url": "http://www.folklib.net/index/discog/w/welter2.shtml"} +{"d:Title": "DarWeb", "d:Description": "Official Dar Williams site. Includes news, discography, biography, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W/Williams,_Dar", "url": "http://www.darwilliams.com/"} +{"d:Title": "The Honesty Room", "d:Description": "Includes news, discography, pictures, and articles.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/W/Williams,_Dar", "url": "http://www.iol.ie/~knightp/dar/index.html"} +{"d:Title": "Zahorec Band, Andrej", "d:Description": "Slovak traditional dulcimer band, playing mostly folklore music.", "topic": "Top/Arts/Music/Styles/F/Folk/Bands_and_Artists/Z", "url": "http://mojahudba.sk/"} +{"d:Title": "Krauka", "d:Description": "Viking music, partly played on authentic reconstructions of old instruments, has its roots in the ancient Norse songs and sagas.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.krauka.dk/"} +{"d:Title": "Traditional Music from the County of Nice (France)", "d:Description": "An invitation to the instruments, dances and repertoire of traditional music from southern France. Offers background information, MIDI files, lyrics, bibliography, and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://mtcn.free.fr/"} +{"d:Title": "KiwiFolk", "d:Description": "Folk and Acoustic Music in New Zealand. Information on clubs, FAQs, festivals, artists, resources, instruments, links and notice board.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://kiwifolk.org.nz/"} +{"d:Title": "Belloni, Alessandra", "d:Description": "A singer, percussionist, and dancer who interprets the musical traditions of southern Italy. Includes her profile, performance and workshop listings, and details of her recordings with audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.alessandrabelloni.com/"} +{"d:Title": "Bidaia", "d:Description": "Foursome perform traditional Basque music on traditional instruments. Member profiles, tour schedule, photos and details of their recordings. (Multi-lingual)", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.bidaia.com/"} +{"d:Title": "Fideedle", "d:Description": "German-based folk music duo. Includes gig-guide, news, profiles, and information on their repertoire.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.fideedle.de/"} +{"d:Title": "Folkclub Twente", "d:Description": "A folk club in Enschede, The Netherlands. Events listing, directions, photos, and local artist information (In Dutch, German and English).", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://folk.twente.org/"} +{"d:Title": "Hoven Droven", "d:Description": "Play their own variety of Swedish folk music. Contains news, images, videos and mp3s, discography and tour information.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.hovendroven.com/"} +{"d:Title": "La Zag", "d:Description": "Multilingual site about a band based in South Tyrol, Italy. Includes band profile, gig-guide, and information about their music.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.lazag.it/"} +{"d:Title": "Geiling, Toni", "d:Description": "Violinist, composer and songwriter and winner of the German Folk Award; includes information on recordings, audio samples and lyrics. [German and English]", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.tonigeiling.de/"} +{"d:Title": "Dasgupta, Kali", "d:Description": "Profile of the Indian folk song collector and folklorist. Includes an interview, photos and streaming audio of songs.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://kalidasgupta.com/"} +{"d:Title": "Condor, Le", "d:Description": "A music association from Arles (in the south of France) preserving traditional music of the area, on flute and tambourine. Also offer music from other traditions. Audio samples, details of shows offered, and contact details.", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://pagesperso-orange.fr/music.condor/"} +{"d:Title": "Ouwejan, Kees and Makkers", "d:Description": "Dutch folk band, playing music from a range of traditions. Includes member profiles and sound samples. (Dutch and English)", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://home.online.nl/koj/"} +{"d:Title": "Appenzeller Echo", "d:Description": "Traditional music from Appenzell, Switzerland. Official site describing the band and their music. [German, English]", "topic": "Top/Arts/Music/Styles/F/Folk/By_Region", "url": "http://www.appenzellerecho.ch/"} +{"d:Title": "Folkstage", "d:Description": "Limburg, Belgium-based folk club with performances by national and international folk artists.", "topic": "Top/Arts/Music/Styles/F/Folk/Clubs_and_Venues", "url": "http://home.scarlet.be/~mathie17/english/"} +{"d:Title": "Directory of New Zealand Folk and Acoustic Clubs", "d:Description": "Listing of Folk Music Clubs, with contact details and brief information.", "topic": "Top/Arts/Music/Styles/F/Folk/Clubs_and_Venues", "url": "http://kiwifolk.org.nz/clubs.html"} +{"d:Title": "Folk Music - An Index to Recorded Resources", "d:Description": "Searchable index of recordings and some printed material for folk songs, singers and bands, and record labels.", "topic": "Top/Arts/Music/Styles/F/Folk/Directories", "url": "http://www.ibiblio.org/folkindex/"} +{"d:Title": "The EntsWeb Directory - folk music section", "d:Description": "Categorised listings of folk festivals, folk and roots musicians, singers, folk clubs and venues.", "topic": "Top/Arts/Music/Styles/F/Folk/Directories", "url": "http://folkrootslist.co.uk/"} +{"d:Title": "folkmusic.org", "d:Description": "Listings of folk resources including artists, festivals, organizations, music business resources and forums.", "topic": "Top/Arts/Music/Styles/F/Folk/Directories", "url": "http://www.folkmusic.org/"} +{"d:Title": "Folksy Links", "d:Description": "A collection of links to folk bands and musicians, mainly for the European and European-derived traditions; categorised by country, or an alphabetical list.", "topic": "Top/Arts/Music/Styles/F/Folk/Directories", "url": "http://www.folksylinks.it/"} +{"d:Title": "South Sligo Summer School", "d:Description": "Weeklong celebration of Irish traditional music and dance, held in Tubbercurry, Co. Sligo, Ireland. Details of events, classes, accommodation, and for contact.", "topic": "Top/Arts/Music/Styles/F/Folk/Education", "url": "http://www.sssschool.org/"} +{"d:Title": "Folk College with Simple Gifts", "d:Description": "Weekend retreat for playing and listening to folk music. Includes schedule of events with information on instructors, workshops, bands and registration.", "topic": "Top/Arts/Music/Styles/F/Folk/Education", "url": "http://www.simplegiftsmusic.com/folkcollege/"} +{"d:Title": "Boston Harbor Scottish Fiddle School", "d:Description": "Annual week-long school in New England to foster playing the Scots fiddle. Online brochure, program, and application details.", "topic": "Top/Arts/Music/Styles/F/Folk/Education", "url": "http://scottishfiddle.org/bh/"} +{"d:Title": "Kaustinen Folk Music Festival", "d:Description": "Annual folk music festival at Kaustinen, Finland. Program, artists and contact information.", "topic": "Top/Arts/Music/Styles/F/Folk/Festivals", "url": "http://www.kaustinen.net/"} +{"d:Title": "Das Sprungtor zum deutschen Filkfandom", "d:Description": "German, Austrian, and Swiss filk links, organized by Kerstin Dr\u00f6ge. [German/English]", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.filk.de/"} +{"d:Title": "rec.music.filk FAQ", "d:Description": "Kay Shapero's guide to filking, updated monthly", "priority": "1", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.kayshapero.net/filkfaq.htm"} +{"d:Title": "filk.co.uk", "d:Description": "Rafe Culpin's site about filk in the UK.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.filk.co.uk/"} +{"d:Title": "Sci-Fi Hokey Pokey", "d:Description": "The classic Hokey Pokey rewritten for the science fiction community.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.firsttvdrama.com/funstuff/pokey.php3"} +{"d:Title": "Pirates of Fenzance", "d:Description": "A light operetta about filking and WorldCon.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.wsfa.org/fenzance.htm"} +{"d:Title": "Pocket Printed Filk Database", "d:Description": "A database of printed filk music. MobileDB, JFile Pro, and text format.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.filker.org/ppfd/"} +{"d:Title": "Doctor Who and the Torians", "d:Description": "An operetta by S. Bruce.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.renegadechickens.com/wacky/Torians/Torians.pdf"} +{"d:Title": "Filkish Events Calendar", "d:Description": "Calendar of events. Includes monthly and weekly listings, and a submission form.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://freemars.org/calendar/filk/"} +{"d:Title": "Filker's Bardic Ring", "d:Description": "A web ring.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk", "url": "http://www.webring.org/hub?ring=filk"} +{"d:Title": "Pegasus Awards", "d:Description": "Annual filk award. Nominees (since 1986) and winners (since 1984), online voting available.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Awards", "url": "http://www.ovff.org/pegasus"} +{"d:Title": "Lord Landless", "d:Description": "German filk-duo Silva and Thesil\u00e9e. Profile, information about their music, and contact details.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.lordlandless.de/"} +{"d:Title": "Summer and Fall", "d:Description": "Filk duo (Christine Blum und Eva van Daele-Hunt). Biographies, schedule, song lyrics and samples. [German/English]", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.summerandfall.de/"} +{"d:Title": "Honisch, Juliane and Dr\u00f6ge, Katy", "d:Description": "Profiles, discography, schedule, songbooks, and lyrics from the German duo.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.filk.de/jukaty/"} +{"d:Title": "Duras Sisters", "d:Description": "Profiles, lyrics, and links from the Phoenix based filk trio.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.angelfire.com/az2/durasbabes/"} +{"d:Title": "Phoenix", "d:Description": "Profiles, news, and history of the UK based rock/filk band.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.altrion.org/phoenix/"} +{"d:Title": "Kanefsky, Bob", "d:Description": "Songworm includes many parodies, typically of other filkers.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.songworm.com/"} +{"d:Title": "Kimberley, Talis", "d:Description": "Biography, gig dates, discography, songbook, and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.talis.net/"} +{"d:Title": "Smith, Tom", "d:Description": "Biography, discography, lyrics, sound files, and appearance dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://tomsmithonline.com/"} +{"d:Title": "On the Mark", "d:Description": "Profile and recordings information for the Pittsburgh filk/folk group.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://home.jtan.com/~cellio/otm.html"} +{"d:Title": "Gold, Lynn", "d:Description": "Filk performer and organizer. Contains filk links as well as a list of tunes to which the Hebrew hymn \"Adon Olam\" scans.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.rahul.net/figmo/"} +{"d:Title": "Three Weird Sisters", "d:Description": "Three Weird Sisters are an eclectic-acoustic band comprised of Brenda Sutton, Teresa Gibson-Powell, and Gwen Knighton. Lyrics, upcoming gigs, information about their CD-ROM, and a mailing list link.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.threeweirdsisters.com/"} +{"d:Title": "Mar, Kathy", "d:Description": "Lyrics from the Filk Hall of Fame member and Dandelion Conspiracy co-founder.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.xocolatl.com/kathy/"} +{"d:Title": "Davis, Meg", "d:Description": "Composer of 'Captain Jack and the Mermaid'.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://megdavis.tripod.com/"} +{"d:Title": "Hayman, Judith", "d:Description": "Toronto area filker. Includes family pages, songbook and CD, and general filk information.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.hotelhayman.ca/"} +{"d:Title": "Leviston, Conrad", "d:Description": "Lyrics and a guide to writing filk.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://yoyo.cc.monash.edu.au/~mongoose/filk.html"} +{"d:Title": "Digby, Tom", "d:Description": "Stories, graphics, pictures, and lyrics for 'Little Teeny Eyes'.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.well.com/user/bubbles/"} +{"d:Title": "Chua, Terence", "d:Description": "Terence Chua's filks, writings, and news.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.khaosworks.org/"} +{"d:Title": "Polowin, Joel - Muppet Labs", "d:Description": "Filks, humor, recipes, and software.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www3.sympatico.ca/jpolowin/"} +{"d:Title": "Brown, Rich", "d:Description": "\"Some So-Called Music\" Filks.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.freemars.org/filk/"} +{"d:Title": "Savitzky, Steve", "d:Description": "San Francisco Bay Area filker, best known for computer songs.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://thestarport.org/people/steve/"} +{"d:Title": "Malme, Chris (Minstrel)", "d:Description": "Minstrel's Hall of Filk: profile, songs, and a history of filklore. Also includes information on joining the FILK_UK Mail-List.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.filklore.com/"} +{"d:Title": "Proctor, Judith", "d:Description": "Collection of songs on Blake's 7 by Wimborne, Dorset (UK), filker.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.hermit.org/Blakes7/Filk/"} +{"d:Title": "Bottorff , Michelle - Lady Lavender's Music Room", "d:Description": "Science fiction and fantasy filks, by the artist and others, some with sound files. Also has some filk related line art, which can be used for purposes of supporting and furthering filk.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.freemars.org/lavender/"} +{"d:Title": "Newman, Benjamin", "d:Description": "Includes lyrics and MP3s.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.sccs.swarthmore.edu/users/01/bnewman/"} +{"d:Title": "Echo's Children", "d:Description": "Consisting of Arlene 'Callie' Hills and Cat Faber, generally located in the Pacific Northwest. Includes CD and songbook information, lyrics, and sheet music.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.echoschildren.org/"} +{"d:Title": "Sutton, Bill and Brenda", "d:Description": "Bill's link has filks, beer recipes, and links. Brenda's has music, lyrics, discography, and links to their group \"Bed and Breakfast\" and to \"Three Weird Sisters\".", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.bsutton.com/"} +{"d:Title": "Devany, John Robin", "d:Description": "Folk rock and acoustic music, many science-fiction oriented. Free music downloads.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.devany.com/"} +{"d:Title": "Les Horribles Cernettes", "d:Description": "Rock group with a high energy physics theme. Lyrics, audio and video files, pictures, and fan club information.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://musiclub.web.cern.ch/MusiClub/bands/cernettes/"} +{"d:Title": "Wald, Kevin", "d:Description": "Includes filks based on Xena, Babylon 5, and Star Trek.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://math.uchicago.edu/~wald/"} +{"d:Title": "Weingart, Dave", "d:Description": "Profile, lyrics, and sound files from the Long Island, NY filker.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.weingart.net/"} +{"d:Title": "Hopcroft, Michael P.", "d:Description": "Personal announcement group of the west coast filker.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://launch.groups.yahoo.com/group/hopcroftfilk/"} +{"d:Title": "Macdonald, Steve", "d:Description": "Schedule, discography, pictures, and profile.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.stevemacdonald.org/"} +{"d:Title": "McGath, Gary", "d:Description": "Recording and book information, songs, calendar, and links. Also includes \"Filkers' Rules for Encore\".", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.mcgath.com/"} +{"d:Title": "Hodgetts, Blake", "d:Description": "Singer, songwriter, and keyboardist located in Eugene, Oregon. Lyrics, some chords and scores, and ordering information for his CD-ROM and Al Stewart songbooks.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.efn.org/~bch/"} +{"d:Title": "Roper, Bill and Gretchen", "d:Description": "Includes song lyrics by title or album, and future convention appearances.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.filker.com/"} +{"d:Title": "Ginny and the Weasleys", "d:Description": "Songs about Harry Potter. Includes lyrics, MP3s, and information about the UK-based (virtual) band.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.ginnyandtheweasleys.co.uk/"} +{"d:Title": "Warp 11", "d:Description": "Rock band, performing songs inspired by Star Trek. Discography, tour schedule, biographies, lyrics, and some MP3s.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.warp11.com/"} +{"d:Title": "Dr. SETI (H Paul Schuch)", "d:Description": "Filk singer/songwriter/scientist better known as Dr. SETI. Includes lyrics, poetry, and contact details.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://www.qsl.net/n/n6tx/filk/"} +{"d:Title": "Ridpath Ohi, Debbie", "d:Description": "Profile of the Urban Tapestry member.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists", "url": "http://debbieohi.com/me/"} +{"d:Title": "Leslie Fish's Filksongs", "d:Description": "Lyrics and sheet music to some of her songs.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists/Fish,_Leslie", "url": "http://www.prometheus-music.com/eli/leslie.html"} +{"d:Title": "Fish Tales", "d:Description": "Her reports about her cats.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists/Fish,_Leslie", "url": "http://www.kayshapero.net/FishTale.htm"} +{"d:Title": "Fish Songs", "d:Description": "Some songs available for download.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists/Fish,_Leslie", "url": "http://www.kayshapero.net/FishSongs.htm"} +{"d:Title": "Ookla the Mok: Official site", "d:Description": "Includes appearance schedule, albums, sample songs, and contacts.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Bands_and_Artists/Ookla_the_Mok", "url": "http://www.ooklathemok.com/"} +{"d:Title": "FilkNet", "d:Description": "Internet services for the filk community - mailing lists, IRC chat", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Chats_and_Forums", "url": "http://www.filknet.org/"} +{"d:Title": "Yahoo! filk music club", "d:Description": "Founded by Eric Katz, focused on \"satirical parodies, based on pop culture.\"", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/filkmusic/"} +{"d:Title": "FilkCONtinental", "d:Description": "Annual German filk convention, usually in late September or early October. Includes registration and guest information, as well as information on previous conventions. [German/English]", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.filkcontinental.de/"} +{"d:Title": "Conflikt", "d:Description": "Held in the Seattle, Washington area. Includes information on the guests and a membership form.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.conflikt.org/"} +{"d:Title": "ConChord", "d:Description": "Los Angeles area annual convention, usually in August. Includes guests, registration information, and a link to past songbooks.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.conchord.org/"} +{"d:Title": "Contata", "d:Description": "Triennial New Jersey convention.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.contata.org/"} +{"d:Title": "FilKONtario", "d:Description": "Greater Toronto area's annual filk convention, usually in March. Includes information on the guests, hotel, and programming.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.filkontario.ca/"} +{"d:Title": "GaFilk", "d:Description": "Atlanta area filk convention, usually in January. Includes guests, program and venue information.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.gafilk.org/"} +{"d:Title": "Ohio Valley Filk Fest", "d:Description": "Annual convention, held in Ohio in October. Includes information on program and visitor arrangements.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.ovff.org/"} +{"d:Title": "16 Tones", "d:Description": "Annual (16th) British filk convention. Includes membership and hotel information.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.contabile.org.uk/16tones/16tonesindex.htm"} +{"d:Title": "ConCertino", "d:Description": "Triennial convention held in Massachusetts.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.concertino.net/"} +{"d:Title": "HarmUni 2", "d:Description": "British annual convention for 2002.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.chocky.demon.co.uk/harmuni2/"} +{"d:Title": "Consonance", "d:Description": "San Francisco Bay area's annual convention, usually in March. Includes guests, program and venue information, progress reports, and a newsletter subscription form.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.consonance.org/"} +{"d:Title": "Conterpoint", "d:Description": "Triennial convention in Rockville, Maryland.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Conventions", "url": "http://www.conterpoint.org/"} +{"d:Title": "Computer Songs and Poems", "d:Description": "Database of computer-related lyrics and poetry.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Lyrics", "url": "http://www.poppyfields.net/filks/"} +{"d:Title": "Bujold Filk Archive", "d:Description": "A collection of filk related to Lois McMaster Bujold and her works, with links.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Lyrics", "url": "http://www.dendarii.co.uk/Filk/"} +{"d:Title": "Swarthmore Warders of Imaginative Literature", "d:Description": "Filk collection from a student group at a small liberal arts college.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Lyrics", "url": "http://www.swil.org/filks.html"} +{"d:Title": "Red Songbook", "d:Description": "Popular song parodies based upon The Hobbit, The Lord of The Rings, The Silmarillion, and other works of J.R.R. Tolkien.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Lyrics", "url": "http://ringil.cis.ksu.edu/Tolkien/Humor/RedSOW/"} +{"d:Title": "Xenofilkia", "d:Description": "Lee Gold's periodical devoted to Filk.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Magazines_and_E-zines", "url": "http://www.conchord.org/xeno/"} +{"d:Title": "The Virtual Filksing", "d:Description": "No longer accepting uploads.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/MP3", "url": "http://www.prometheus-music.com/eli/virtual.html"} +{"d:Title": "Interfilk", "d:Description": "A fan fund raising funds to transport members of the filk community to conventions.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Organizations", "url": "http://www.interfilk.org/"} +{"d:Title": "Conglomeration", "d:Description": "Washington DC area filk organization.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Organizations", "url": "http://www.filker.org/conglomeration/"} +{"d:Title": "Dorsai Irregulars", "d:Description": "Songs and profile of the organization of science fiction fans.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Organizations", "url": "http://www.di.org/"} +{"d:Title": "M.A.S.S. F.I.L.C.", "d:Description": "Small, non-profit organization devoted to furthering the art of filk in \"the greater Massachusetts area\" (2-1/2 hours from Boston). Monthly meeting announcements, filks by members.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Organizations", "url": "http://www.massfilc.org/"} +{"d:Title": "Music from a Broad", "d:Description": "List of filk artists.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Personal_Pages", "url": "http://www.xocolatl.com/rhanda/music.htm"} +{"d:Title": "Stepney, Susan", "d:Description": "Collection of songs taken from the filk newsgroup.", "topic": "Top/Arts/Music/Styles/F/Folk/Filk/Personal_Pages", "url": "http://www-users.cs.york.ac.uk/~susan/"} +{"d:Title": "Buchanan, Kelly", "d:Description": "Female artist from Boston, MA. Offers a biography, performance dates, reviews and lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.kellybuchanan.com/"} +{"d:Title": "Watchman, The", "d:Description": "Dutch blues/folk/rock band. Includes a biography, discography, audio samples and tour dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.watchman.nl/"} +{"d:Title": "Tempest", "d:Description": "A folk-rock band that melds Celtic, British and Norwegian material. Profile of the band, discography and gig-guide.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.tempestmusic.com/"} +{"d:Title": "Adam Ezra Group", "d:Description": "Official website of a Boston-based acoustic rock band. Includes profiles, tour dates, news, and MP3 samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.adamezra.com/"} +{"d:Title": "Pierre, Summer", "d:Description": "Musician singing folk songs with a punk edge. Biography and information on her music.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://summerpierre.com/"} +{"d:Title": "Lipscombe Swan", "d:Description": "Folk/rock duo from New Zealand. Includes a brief profile and MP3 downloads.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.members.tripod.com/lipscombe.m/"} +{"d:Title": "Efenstor", "d:Description": "Shamanic symphonic folk metal/new age band from Central Siberia. Includes discography, news, shop and downloads.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.efenstor.net/"} +{"d:Title": "Fay, Bill", "d:Description": "A fan site dedicated to the British singer and songwriter. Includes profile, lyrics and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.billfay.co.uk/"} +{"d:Title": "The Fold", "d:Description": "Official site for the a UK-based folk rock band. Includes news, gigs, biography and contact details.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.thefold.co.uk/"} +{"d:Title": "The Near Myths", "d:Description": "Band profile, photos, news, and discography.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://thenearmyths.com/"} +{"d:Title": "Shakhan", "d:Description": "Australasian duo, based in Perth, Western Australia; they offer lyrics, pictures, details of recordings, and audio samples.", "topic": "Top/Arts/Music/Styles/F/Folk/Folk-Rock/Bands_and_Artists", "url": "http://www.reverbnation.com/shakhan"} +{"d:Title": "Sing Out!", "d:Description": "Quarterly journal including lead sheets for traditional and contemporary folk songs, articles, interviews and reviews.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.singout.org/"} +{"d:Title": "OffBeat Magazine", "d:Description": "Abridged online version of the New Orleans and Louisiana music and entertainment monthly.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.offbeat.com/"} +{"d:Title": "Musical Traditions", "d:Description": "E-zine: reviews, articles, discographies, pictures, and feedback.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.mustrad.org.uk/"} +{"d:Title": "Music Matters Review", "d:Description": "Interviews, features, concert and album reviews of singer-songwriter, blues, bluegrass, Celtic, Zydeco and other acoustic music.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.mmreview.com/"} +{"d:Title": "Dirty Linen", "d:Description": "E-zine abridgement of the hard-copy folk music magazine, including their \"Gig Guide,\" an up-to-date listing of performance schedules for many folk artists.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.dirtynelson.com/"} +{"d:Title": "Folk Roots Magazine", "d:Description": "Roots, folk and world music magazine, published in UK. Includes articles, features, reviews, radio, online CD sales, merchandise, and international festival listings.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.frootsmag.com/"} +{"d:Title": "Simply Australia", "d:Description": "E-zine on Australian folklore, history, and folk music and song, both traditional and contemporary. Includes articles, poems, songs, and music files.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://simplyaustralia.net/"} +{"d:Title": "The Folk Rag", "d:Description": "An independently produced free monthly publication which keeps people informed about the folk scene in Brisbane, Queensland, and interstate.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.folkrag.org/"} +{"d:Title": "Topix: Folk", "d:Description": "News about folk, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/F/Folk/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/folk.xml"} +{"d:Title": "Hardanger Fiddle Association of America", "d:Description": "Non-profit organization preserving and promoting traditional Norwegian folk music, dance and the Hardanger fiddle.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://www.hfaa.org/"} +{"d:Title": "Peoples Music Network", "d:Description": "A contingent of politically activist minstrels, for songs of freedom and struggle, based in Boston, Mass. Events listing, photos, history and membership information.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://www.peoplesmusic.org/"} +{"d:Title": "Kartuli Ensemble, The", "d:Description": "Not-for-profit organization devoted to the folk song and dance of the country of Georgia. Includes events listing, samples, and information about groups.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://users.bestweb.net/~notr/kartuli"} +{"d:Title": "Folk Alliance Australia", "d:Description": "Contains information, links, news, resources and contacts to festivals, artists, organisations for Australian folk arts.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://www.folkalliance.org.au/"} +{"d:Title": "Folk Music Archives (FMA)", "d:Description": "In collaboration with the Library of Congress American Folklife Center, working to assemble a digital archive of folk artists and groups. Includes description of activities, interviews, and FAQ.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://folkmusicarchives.org/"} +{"d:Title": "Bush Music Club", "d:Description": "Australia's oldest folk club, collecting, preserving and promoting Australian music and dance. Information about events and workshops.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://bushmusic.org.au/"} +{"d:Title": "Folk Alliance", "d:Description": "Works to develop folk music and dance in North America. Includes a history, membership information, details of their annual International Conference, and links to regional branches.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://www.folkalliance.org/"} +{"d:Title": "Shetland Music Development Project", "d:Description": "Promotes local music, with a history of music-making on the islands, and news of upcoming events and concerts.", "topic": "Top/Arts/Music/Styles/F/Folk/Organizations", "url": "http://www.shetlandarts.org/support/artforms/music/"} +{"d:Title": "The Official FOLKDJ-L Website", "d:Description": "Archives of this large discussion list of folk radio DJs and other interested parties. Contains subscription management tools for the FOLKDJ-L listserv, descriptions of various radio programs and links to related sites.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://www.folkradio.org/"} +{"d:Title": "WUMB-FM Folk Radio", "d:Description": "Boston Folk Music Radio - full time folk music radio station. Schedule information and live radio stream.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://www.wumb.org/"} +{"d:Title": "Folk Directions with Gerry Goodfriend", "d:Description": "Montreal's only broadly-defined folk music radio program. On CKUT--90.3 FM, is also heard around the world in RealAudio.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://www.ckutfolk.com/"} +{"d:Title": "FolkMusic.Org Radio List", "d:Description": "Links to folk radio related websites maintained by the folk music interest group folkmusic.org.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://www.folkmusic.org/media/radio.html"} +{"d:Title": "FolkScene", "d:Description": "Program of traditional and contemporary music with interviews. Website based on radio program on Pacifica Radio Station, KPFK in Los Angeles, at 90.7 FM and in Santa Barbara at 98.7 FM.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://folkscene.com/"} +{"d:Title": "KBCS radio: folk, world, jazz, and blues", "d:Description": "Station is at 91.3 FM, and broadcasts from Bellevue College for Seattle and Puget Sound area. It can be heard on the web, through a link on the web site.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://kbcs.fm/"} +{"d:Title": "Simply Folk", "d:Description": "Sunday nights 5 to 8 central time on 16 WPR stations and in RealAudio at wpr.org, Wisconsin Public Radio. Hosted by Judy Rose.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://www.wpr.org/simplyfolk/"} +{"d:Title": "Folk Alley", "d:Description": "Folk, traditional, Celtic, and world music with 24-hour streaming from 89.7 WKSU, Kent State University. Includes information on featured artists.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://www.folkalley.com/"} +{"d:Title": "Acoustic Eclectic", "d:Description": "A weekly radio program with a focus on contemporary singer/songwriters and acoustic instrumental music, airs Mondays, 7:00 - 9:00 PM on 88.1 and 93.9 WDIY-FM Allentown, PA. Hosted by Otto Bost.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://acousticeclectic.org/"} +{"d:Title": "Midnight Special", "d:Description": "Folk music radio broadcast on WFMT (Chicago, US), hosted by Rich Warren; includes schedule and playlists.", "topic": "Top/Arts/Music/Styles/F/Folk/Radio_Programs", "url": "http://www.midnightspecial.org/"} +{"d:Title": "FolkLib Index", "d:Description": "Links for folk music, bluegrass/old-time music, acoustic blues, fingerstyle guitarists, and Celtic harp players.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://www.folklib.net/"} +{"d:Title": "About.com: Folk Music", "d:Description": "Provides a discussion forum, articles/ reviews and categorized links.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://folkmusic.about.com/"} +{"d:Title": "International Traditional Music Society, Inc.", "d:Description": "Promoting and preserving traditional music. With a large tune database, instrument and country-related links.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://www.worldtrad.org/"} +{"d:Title": "ezFolk", "d:Description": "MP3 hosting for folk and acoustic artists along with educational material for banjo, guitar, ukulele, and harmonica. Over 1,000 MP3s from hundreds of folk artists .", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://www.ezfolk.com/"} +{"d:Title": "Folk Music Images", "d:Description": "A collection of original folk music photos mainly in the North West of England. Also include other resources for folkies in the area - venues, festivals, artist profiles, and links.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://www.folkimages.com/"} +{"d:Title": "Backporch News, The", "d:Description": "A weblog for news and information about folk music around the world.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://www.backporchnews.net/"} +{"d:Title": "California Gold", "d:Description": "A searchable online archive of a field collection (with recordings, photos, and documents from a variety of ethnic communities) made by Sidney Robertson Cowell in the 1930s in Northern California.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://lcweb2.loc.gov/ammem/afccchtml/cowhome.html"} +{"d:Title": "The Folk Den Archive", "d:Description": "Roger McGuinn (ex Byrds) carries on the song-collecting tradition on the internet; every month he adds another folk song in digital format with lyrics. Includes description of the project.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://www.ibiblio.org/jimmy/folkden-wp/"} +{"d:Title": "The Traditional Ballad Index", "d:Description": "A database of reference information on folk ballads - offering a brief description, bibliography, and historical background. Onlne and downloadable versions. [California State University, Fresno]", "topic": "Top/Arts/Music/Styles/F/Folk/Resources", "url": "http://www.fresnostate.edu/folklore/BalladIndexTOC.html"} +{"d:Title": "Henrik Norbeck's ABC Tunes", "d:Description": "Indexed source of ABCs for traditional Irish and Scandinavian tunes, organized by title, song type and key.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections", "url": "http://www.norbeck.nu/abc/"} +{"d:Title": "JC's ABC Tune Finder", "d:Description": "Database of tunes; downloadable in a variety of formats, including abc, PNG, GIF, PDF and MIDI.", "priority": "1", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections", "url": "http://trillian.mit.edu/~jc/cgi/abc/tunefind"} +{"d:Title": "Contemplations from the Marianas Trench", "d:Description": "Folk music of Britain, Ireland and America with lyrics, midi files, historical notes and background for traditional tunes. Includes the Child ballads, sea shanties and Turlough O'Carolan's music.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections", "url": "http://www.contemplator.com/"} +{"d:Title": "TUNEdb", "d:Description": "A database of 16000+ traditional tunes in abc format, searchable by name, artist, or by entering a fragment of the music. (Richard Moon)", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections", "url": "http://tunedb.woodenflute.com/"} +{"d:Title": "Nigel Gatherer's ABC Collection", "d:Description": "A collection of traditional tunes in abc format organized by origin.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections", "url": "http://www.nigelgatherer.com/tunes/abc.html"} +{"d:Title": "TradFrance", "d:Description": "A collection of traditional tunes from France (particularly Normandy and Brittany) in abc format. [French and English]", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections", "url": "http://www.tradfrance.com/"} +{"d:Title": "Jack Campin's Homepage", "d:Description": "A freelance researcher and musicologist maintains a considerable resource of traditional music (in abc format) mostly taken from old and rare sources otherwise difficult to access.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections", "url": "http://www.campin.me.uk/"} +{"d:Title": "Country Dance Society Boston Centre", "d:Description": "A collection of English country dance tunes in mp3 format, live recordings at dance length and tempo.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections/Folk_Dance", "url": "http://www.cds-boston.org/ecdc/"} +{"d:Title": "An ABC Library of Morris Tunes", "d:Description": "A library of morris tunes from the traditions of a number of different English villages (mainly Adderbury and Bledington). [abc format]", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections/Folk_Dance", "url": "http://ucowww.ucsc.edu/~sla/morris/music/abclib.html"} +{"d:Title": "The English Dancing Master by John Playford", "d:Description": "Playford's tunes in abc format - the first collection of popular dance tunes published in the British Isles, in 1651.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections/Folk_Dance", "url": "http://www.ceolas.org/pub/tunes/abc.tunes/Playford.abc"} +{"d:Title": "Spuds Tunes", "d:Description": "A collection of reels, jigs and others, in abc and notation (gif format); also include links to other tune sites.", "topic": "Top/Arts/Music/Styles/F/Folk/Resources/Tune_Collections/Folk_Dance", "url": "http://www.thursdaycontra.com/~spuds/TuneLinks.html"} +{"d:Title": "International Shanty and Seasong Association", "d:Description": "The ISSA aims to preserve shanties and seasongs as a cultural heritage.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties", "url": "http://www.shanty.org/"} +{"d:Title": "Bitter End Shanty Information Website", "d:Description": "A guide to maritime performers, events and resources.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties", "url": "http://www.shanty.co.uk/"} +{"d:Title": "The Songs of the French Sailors", "d:Description": "Article by Michel Colleu on the French tradition. Includes lyrics and photographs.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties", "url": "http://www.mustrad.org.uk/articles/french.htm"} +{"d:Title": "Jolly Rogues", "d:Description": "A band of musicians and singers with a repertoire of 18th and 19th Century material, tavern and drinking songs, romantic ballads, sea shanties, children's ditties, and songs of revolt.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties", "url": "http://www.jollyrogues.com/"} +{"d:Title": "Flash Packet", "d:Description": "Sing shanties and traditional music from and about the sea. They also present nautical living history while dressed in authentic costume.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.lahacal.org/packet.html"} +{"d:Title": "Shifty Sailors, The", "d:Description": "Sea shanty and maritime music group, based on Whidbey Island, Washington, USA. Discography, gig and tour schedules, with photos.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.shiftysailors.net/"} +{"d:Title": "Sweet and Dunne", "d:Description": "Acoustic guitarists and vocalists Christopher Dunne and Candy K. Sweet, a duo based in Hudson, perform traditional sea chanteys, contemporary acoustic sea-themed music, and original songs. Photos, MP3s, lyrics.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://yardarmtoyardarm.com/"} +{"d:Title": "Trim Rig and a Doxy", "d:Description": "Sea shanty duo from Warrington, UK. Photos, audio samples, and gig-guide.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://trimrigdoxy.com/"} +{"d:Title": "Dick Holdstock Traditional Music", "d:Description": "Performer of traditional American and British sea shanties and folk songs. Gig listing and details of recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.dickholdstock.com/"} +{"d:Title": "Hanging Johnny", "d:Description": "Group of singers (now defunct) based in Cornwall and Plymouth, UK, who specialised in shanties and sea songs. Details of recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://hj.englishfolkmusic.com/"} +{"d:Title": "Bounding Main", "d:Description": "A vocal group based on Western Great Lakes region (US), and dedicated to sea shanties and nautical ballads. Includes details of their program, and of members.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.boundingmain.com/"} +{"d:Title": "Webb, Bob", "d:Description": "Maine (US) based performer (deceased 2013) of shanties and maritime history in song; includes his biography and details of his recordings.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.richmondwebb.com/"} +{"d:Title": "Lewis, Tom", "d:Description": "Retired submariner, now resident in Canada, and singing songs of the sea. Profile, details of his recordings and collaborations, reviews, and tour dates.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.tomlewis.net/"} +{"d:Title": "Cale Seiche", "d:Description": "Vocal and instrumental group performing sea shanties in southwestern France.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://cale.seiche.free.fr/"} +{"d:Title": "The Bilge Pumps", "d:Description": "Pirate music group based in the Dallas/Ft, Worth, USA. Performances combine sea songs, shanties, and Celtic music with comedy. Includes gig list, photos, free audio samples, purchase mp3.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://thebilgepumps.com/"} +{"d:Title": "Kings Pond Shanty Men", "d:Description": "Unaccompanied male shanty singing group. Site includes photos, lyrics, playable shanties, gig list. Alton, UK.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.kingspondshantymen.org.uk/"} +{"d:Title": "Wareham Whalers", "d:Description": "Traditional shanty singing group formed in 2004 that performs around Purbeck in Dorset, UK. Gig list, songbook, handbook, photos, CD/music store.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.wareham-whalers.org.uk/"} +{"d:Title": "Stowaway", "d:Description": "Dutch group performing sea shanties and maritime music. Profile, discography, and gig-listing. (In French, German and English)", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://www.stowaway.nl/"} +{"d:Title": "Salty Walt&The Rattlin' Ratlines", "d:Description": "San Francisco Sea Chantey group, performing traditional music, progressive arrangements and punk sensibilities.", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://saltywalt.net/"} +{"d:Title": "Cztery Refy", "d:Description": "Polish maritime music group; history of the band, discography, news, contact details, and audio samples. (Site is in Polish and English.)", "topic": "Top/Arts/Music/Styles/F/Folk/Sea_Shanties/Bands_and_Artists", "url": "http://czteryrefy.pl/"} +{"d:Title": "Celtic Traditional Music Ring", "d:Description": "List of sites in the ring, with brief descriptions.", "topic": "Top/Arts/Music/Styles/F/Folk/Web_Rings", "url": "http://www.webring.org/hub?ring=celttrad"} +{"d:Title": "Bluegrass / Acoustic Music Web Ring", "d:Description": "This ring has hundreds of sites.", "topic": "Top/Arts/Music/Styles/F/Folk/Web_Rings", "url": "http://nav.webring.org/navcgi?ring=thebluefields"} +{"d:Title": "Folk Music Web Ring", "d:Description": "For web sites having folk music content.", "topic": "Top/Arts/Music/Styles/F/Folk/Web_Rings", "url": "http://www.webring.org/hub?ring=folk"} +{"d:Title": "WebRing: Folk_and_Traditional", "d:Description": "Directory of webrings about this genre.", "topic": "Top/Arts/Music/Styles/F/Folk/Web_Rings", "url": "http://dir.webring.org/rw?d=Music/Genres/Folk_and_Traditional"} +{"d:Title": "HipHopDX.com", "d:Description": "Up to date news, reviews, and one of the biggest collection of hip hop Links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.hiphopdx.com/"} +{"d:Title": "EURweb", "d:Description": "Home of the Electronic Urban Report (EUR)", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.eurweb.com/"} +{"d:Title": "Project B.U.", "d:Description": "Search engine dedicated to Hip-Hop culture. Includes artist news, forum, and a store.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.sohh.com/"} +{"d:Title": "RapMusic.com", "d:Description": "News and information on the music and artists in hip-hop, rap and rhythm and blues.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.rapmusic.com/"} +{"d:Title": "URBNET: The Urban Entertainment Network", "d:Description": "Includes interviews, news, reviews, release dates, and charts.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.urbnet.com/"} +{"d:Title": "Hip Hop Havoc", "d:Description": "Features mixtapes and exclusives from top artists in streaming audio, including release dates and the latest news.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.hiphophavoc.com/"} +{"d:Title": "Davey D's Hip-Hop Corner", "d:Description": "News, reviews, interviews, articles, charts and message boards.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.daveyd.com/"} +{"d:Title": "The Cipher", "d:Description": "Pictures, multimedia, free e-mail and fan forum.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.angelfire.com/hiphop/thecipher/"} +{"d:Title": "The Box", "d:Description": "Sound and video files, pictures and discography for old school artists, battles and the artists; EPMD, LL Cool J and The Fat Boys.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://thebox.free.fr/"} +{"d:Title": "HipHopHotSpot.Com", "d:Description": "News, articles, album reviews, artist profiles and online store.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.hiphophotspot.com/"} +{"d:Title": "Rapdirt.com", "d:Description": "News, pictures, gossip, concert information, reviews, multimedia, and links on rap music stars.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://rapdirt.com/"} +{"d:Title": "South-West Connection", "d:Description": "News, links, message board, interviews and reviews from mainly Southern and West Coast artists.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://de_2g.tripod.com/"} +{"d:Title": "Flow Session", "d:Description": "Message board and top 10 raps.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://members.tripod.com/~flowsession/"} +{"d:Title": "Hip Hop Battleground", "d:Description": "Streaming audio, news, freestyle and chat room, artwork, backgrounds, wallpaper, and reviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.angelfire.com/hiphop2/hiphopbattleground/home.html"} +{"d:Title": "RapSearch", "d:Description": "Urban search engine providing information on Hip-Hop, Rhythm and Blues, Soul, Dance, artist information, and top sites programs.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.rapsearch.com/"} +{"d:Title": "DaLinkz", "d:Description": "Directory of over 400 rap and hiphop links, including bands and artists, record labels, stores, magazines, audio and video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://dalinkz.8m.com/"} +{"d:Title": "A's Down South Hip Hop World", "d:Description": "Information about down south artists like Juvenile, Lil Wayne, Master P, Lil Troy, Mystikal. Also new audio, lyrics, release dates, freestyle competitions and unreleased albums.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.angelfire.com/mo/realHiphop/index.html"} +{"d:Title": "Hiphopbattle.com", "d:Description": "Watch hip hop artists compete for studio time. Also has poetry competitions and graffiti contests.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://hiphopbattle.com/"} +{"d:Title": "Real Rap", "d:Description": "Album reviews, sound files, and forum.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.realrap.net/"} +{"d:Title": "The Hiphop Archive at Harvard University", "d:Description": "A virtual resource for Hiphop scholars, teachers, activists, and anyone else who wants to use Hiphop to empower individuals and communities.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.hiphoparchive.org/"} +{"d:Title": "Dig Deep Online", "d:Description": "Features artist pages, chat, message board, reviews, charts, photos, and underground store.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.eagleson.com/hiphop/"} +{"d:Title": "Donmega.com", "d:Description": "Westcoast gangsta rap news and information. Features biographies, discographies, pictures, lyrics, wallpapers and audio.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.donmega.com/"} +{"d:Title": "Rap Industry", "d:Description": "Industry news, interviews, videos and samples.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://www.rapindustry.com/"} +{"d:Title": "AOL Radio: Top Hip Hop", "d:Description": "Online videos, streaming audio and artist information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://aolradio.slacker.com/station/top-hiphop"} +{"d:Title": "Hip Hop Game", "d:Description": "Offers news, audio, reviews, lyrics, videos and a fan forum.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop", "url": "http://hiphopgame.ihiphop.com/"} +{"d:Title": "508 Boys, The", "d:Description": "White rappers who find their influence in the Beastie Boys. Site features news, quotes, photos and poll.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/5", "url": "http://www.angelfire.com/hiphop/508boys/"} +{"d:Title": "Angennetta Boyz, The", "d:Description": "Unofficial site includes pictures, facts, information on albums and release dates, biography and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/A", "url": "http://www.angelfire.com/hiphop/AnngenettaBoyz/"} +{"d:Title": "Aceyalone", "d:Description": "Official site for the rapper from Los Angeles. Includes biography, discography, videos, blog and tour dates.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/A", "url": "http://www.aceyalone.com/"} +{"d:Title": "Africa Bambaataa", "d:Description": "1991 interview with the hip hop artist.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/A/Afrika_Bambaataa", "url": "http://www.daveyd.com/baminterview.html"} +{"d:Title": "Rollingstone.com: Afrika Bambaataa", "d:Description": "Includes a discography, links and message board.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/A/Afrika_Bambaataa", "url": "http://www.rollingstone.com/music/artists/afrika-bambaataa"} +{"d:Title": "Speech Music", "d:Description": "Official website of Speech and Arrested Development. Biography, news, shows, forum, and store.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/A/Arrested_Development", "url": "http://www.speechmusic.com/"} +{"d:Title": "Arrested Development", "d:Description": "Features a biography, photo gallery, discography with Real Audio samples, and access to artist message boards. At RollingStone.com.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/A/Arrested_Development", "url": "http://www.rollingstone.com/music/artists/arrested-development"} +{"d:Title": "Big Daddy Kane", "d:Description": "Extensive lyric archive.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_Daddy_Kane", "url": "http://www.ohhla.com/YFA_kane.html"} +{"d:Title": "Artist Direct: Big Daddy Kane", "d:Description": "Offers a detailed biography, links and message board.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_Daddy_Kane", "url": "http://www.artistdirect.com/artist/big-daddy-kane/404150"} +{"d:Title": "Ohhla.com: Big L", "d:Description": "Archive of song lyrics.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_L", "url": "http://www.ohhla.com/YFA_bigl.html"} +{"d:Title": "Big L", "d:Description": "Review of \"The Big Picture\", with audio clips.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_L", "url": "http://www.rapreviews.com/archive/2000_08F_bigl.html"} +{"d:Title": "Big Pun Forever", "d:Description": "Fan site with information on Big Punisher. Biography, tracks and albums, photo gallery, tribute, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_Punisher", "url": "http://www.bigpunforever.com/"} +{"d:Title": "Rapdirt.com: Big Pun", "d:Description": "The latest news, links, and information on the rapper who died February 7, 2000, of a heart attack and respiratory failure.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_Punisher", "url": "http://rapdirt.com/?s=Big+Pun"} +{"d:Title": "Rapreviews.com", "d:Description": "Review of the Big Tymer's \"I Got That Work\".", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_Tymers,_The", "url": "http://www.rapreviews.com/archive/2000_05_thatwork.html"} +{"d:Title": "Hiponline.com: Big Tymers", "d:Description": "Profile offers a biography and reviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Big_Tymers,_The", "url": "http://www.hiponline.com/285/big-tymers.html"} +{"d:Title": "Artist Direct: Biz Markie", "d:Description": "Includes a detailed biography, message board and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Biz_Markie", "url": "http://www.artistdirect.com/artist/biz-markie/404620"} +{"d:Title": "MTV: Biz Markie", "d:Description": "News, audio, discography, biography and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Biz_Markie", "url": "http://www.mtv.com/artists/biz-markie/"} +{"d:Title": "Blackalicious", "d:Description": "Official site. News, biography, music, merchandise, and forum.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Blackalicious", "url": "http://www.blackalicious.com/"} +{"d:Title": "Rapdirt.com: Blackalicious", "d:Description": "News, links, and photo resources.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Blackalicious", "url": "http://rapdirt.com/?s=Blackalicious"} +{"d:Title": "Black Eyed Peas", "d:Description": "Official site includes videos, message boards, tour dates, and photo gallery. Additional content is available to members.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Black_Eyed_Peas", "url": "http://www.blackeyedpeas.com/"} +{"d:Title": "Topix: The Black Eyed Peas", "d:Description": "News about The Black Eyed Peas, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Black_Eyed_Peas", "url": "http://www.topix.com/rss/who/the-black-eyed-peas.xml"} +{"d:Title": "MTV: Black Eyed Peas", "d:Description": "Album reviews, music news, audio, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Black_Eyed_Peas", "url": "http://www.mtv.com/artists/black-eyed-peas/"} +{"d:Title": "Artistdirect.com: Black Moon", "d:Description": "Photos, biography, links and message board.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Black_Moon", "url": "http://www.artistdirect.com/artist/black-moon/537372"} +{"d:Title": "MTV: Kurtis Blow", "d:Description": "News, audio, links and biography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Blow,_Kurtis", "url": "http://www.mtv.com/artists/kurtis-blow/"} +{"d:Title": "Artist Direct: Bone Thugs-N-Harmony", "d:Description": "Biography and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Bone_Thugs-N-Harmony", "url": "http://www.artistdirect.com/artist/bone-thugs-n-harmony/406142"} +{"d:Title": "Wikipedia: Bone Thugs-n-Harmony", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Bone_Thugs-N-Harmony", "url": "http://en.wikipedia.org/wiki/Bone_Thugs-n-Harmony"} +{"d:Title": "MTV: Bone Thugs-N-Harmony", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Bone_Thugs-N-Harmony", "url": "http://www.mtv.com/artists/bone-thugs-n-harmony/"} +{"d:Title": "MTV: Boogie Down Productions", "d:Description": "Profile includes a biography, audio clips, links and a discography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Boogie_Down_Productions", "url": "http://www.mtv.com/artists/boogie-down-productions/"} +{"d:Title": "CNN.com", "d:Description": "Article entitled \"New singer brings fresh vibes to 'Brand New Heavies' funk\"", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Brand_New_Heavies,_The", "url": "http://www.cnn.com/SHOWBIZ/9708/13/brand.new.heavies/index.html"} +{"d:Title": "Rapdirt.com: Bubba Sparxxx", "d:Description": "The latest news, photos, and gossip on the southern rapper.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/Bubba_Sparxxx", "url": "http://rapdirt.com/?s=Bubba+Spraxxx"} +{"d:Title": "Wikipedia: B-Real", "d:Description": "Includes biography, projects, and discography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/B_Real", "url": "http://en.wikipedia.org/wiki/B-Real"} +{"d:Title": "Twitter : B Real", "d:Description": "News and stuff from him.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/B/B_Real", "url": "https://twitter.com/B_Real420"} +{"d:Title": "Ctraffik", "d:Description": "Audio clips, pictures, news, and information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C", "url": "http://www.ctraffik.com/"} +{"d:Title": "Crismatic", "d:Description": "Rap group from Freiburg, Germany, who rap in English, French, and German. The site features demo MP3 download.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C", "url": "http://www.crismatic.de/"} +{"d:Title": "Common", "d:Description": "Features photo gallery, discography with real audio samples, access to artist message boards, and links. At RollingStone.com.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C", "url": "http://www.rollingstone.com/music/artists/common"} +{"d:Title": "Ohhla.com: Cappadonna", "d:Description": "Song lyrics archive.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C/Cappadonna", "url": "http://www.ohhla.com/YFA_cappa.html"} +{"d:Title": "MTV.com: Chingy", "d:Description": "News, photos, video, and profile.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C/Chingy", "url": "http://www.mtv.com/artists/chingy/"} +{"d:Title": "Rapdirt.com: Chingy", "d:Description": "The latest news, reviews, and photo resources for the St. Lunatic.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C/Chingy", "url": "http://rapdirt.com/?s=Chingy"} +{"d:Title": "LyricsOnDemand: Clipse", "d:Description": "Lyrics by album name.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C/Clipse", "url": "http://www.lyricsondemand.com/c/clipselyrics/index.html"} +{"d:Title": "MTV.com: Clipse", "d:Description": "News, biography, audio and video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C/Clipse", "url": "http://www.mtv.com/artists/clipse/"} +{"d:Title": "Rapdirt.com: Clipse", "d:Description": "News, picture resources, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/C/Clipse", "url": "http://rapdirt.com/?s=Clipse"} +{"d:Title": "Dig 6", "d:Description": "MP3 downloads and RealAudio clips.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D", "url": "http://digsix.com/"} +{"d:Title": "Deon", "d:Description": "Sound files.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D", "url": "http://www.angelfire.com/music/numage/deon.html"} +{"d:Title": "Dubb, Kirk", "d:Description": "Official site for the Seattle rapper includes photos, audio, graphics, and track listings.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D", "url": "http://www.kirkdubb.com/"} +{"d:Title": "Dub-L", "d:Description": "Underground hip-hop, MP3 and Real Audio songs, beats and pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D", "url": "http://www.dub-l.com/"} +{"d:Title": "Double Trouble Boyz, The (Da Tru Ballerz)", "d:Description": "Home of the Hip Hop sensation \"DTB\" and their production Company \"Gorilla Funk Productions\". Hitscape Music's artists are only 10 and 15 years old.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D", "url": "http://www.soundclick.com/bands/default.cfm?bandID=690"} +{"d:Title": "Danja Mowf", "d:Description": "Lyrics archive from Ohhla.com.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/Danja_Mowf", "url": "http://www.ohhla.com/YFA_danjamowf.html"} +{"d:Title": "MTV: Das EFX", "d:Description": "Includes a biography, audio, links and reviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/Das_EFX", "url": "http://www.mtv.com/artists/das-efx/"} +{"d:Title": "Dead Prez", "d:Description": "Transcript from a September, 2000 online chat.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/Dead_Prez", "url": "http://www.hiphop.co.za/flipside/interviews/dead_prez/"} +{"d:Title": "RapReviews.com: The Best of Del (The Elektra Years) - B-Boy Handbook", "d:Description": "Steve \"Flash\" Juon reviews the compilation. [10/10]", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/Del_Tha_Funkee_Homosapien", "url": "http://www.rapreviews.com/archive/2004_11_bestofdel.html"} +{"d:Title": "MTV.com - Del Tha Funky Homosapien", "d:Description": "Offers biographical information, music videos, and related articles.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/Del_Tha_Funkee_Homosapien", "url": "http://www.mtv.com/artists/del-tha-funky-homosapien-2/"} +{"d:Title": "MTV.com: Dilated Peoples", "d:Description": "News, audio/video, and biography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/Dilated_Peoples", "url": "http://www.mtv.com/artists/dilated-peoples/"} +{"d:Title": "Rapdirt.com: Dilated Peoples", "d:Description": "News and links on the rap group.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/Dilated_Peoples", "url": "http://rapdirt.com/?s=Dilated+Peoples"} +{"d:Title": "DMX-The Underworld", "d:Description": "Includes MP3s, real audio from all of the albums, pictures, and music videos for download.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://www.angelfire.com/mb/dmx/"} +{"d:Title": "DMX: A Dawgs Life", "d:Description": "Everything about DMX from his biography, pictures, and information on Ruffryders to his music.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://www.angelfire.com/mo/realHiphop/dmxbio.html"} +{"d:Title": "DMX Homepage", "d:Description": "Biography, discography, filmography, picture gallery, wallpaper, album review, song lyrics and multimedia.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://dmx01.20m.com/"} +{"d:Title": "The DMX Dogg Pound", "d:Description": "Source for the artist and Ruff Ryder information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://www.angelfire.com/fl3/ruffryders/"} +{"d:Title": "Eug'z Xclusive DMX Krib 2000", "d:Description": "Audio including exclusive Ruff Ryders tracks. News and RR/Cashmoney tourdates.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://swizz3k.tripod.com/"} +{"d:Title": "DMX and Ruff Ryders Online", "d:Description": "News, articles, updates, facts, lyrics, pictures, audio, video, MP3, albums, fanclub, biography, and downloads.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://www.angelfire.com/stars/ruffryders/"} +{"d:Title": "MTV: DMX", "d:Description": "Music video clips, album reviews, and exclusive tour details.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://www.mtv.com/artists/dmx/"} +{"d:Title": "Rapdirt.com: DMX", "d:Description": "The latest news, reviews, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://rapdirt.com/?s=DMX"} +{"d:Title": "Artist Direct: DMX", "d:Description": "Biography, merchandise, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://www.artistdirect.com/artist/dmx/423956"} +{"d:Title": "RollingStone.com: DMX", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/D/DMX", "url": "http://www.rollingstone.com/music/artists/dmx"} +{"d:Title": "Foot Soldierz", "d:Description": "Includes member profiles and pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/F", "url": "http://www.angelfire.com/hiphop/footsoldiers/"} +{"d:Title": "Fabolous Online", "d:Description": "Contains news, pictures, biography, forum, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/F/Fabolous", "url": "http://www.angelfire.com/hiphop2/ghettofab1/"} +{"d:Title": "Rapdirt.com: Fabolous", "d:Description": "The latest news, pictures, links, and gossip on the young rapper.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/F/Fabolous", "url": "http://rapdirt.com/?s=Fabolous"} +{"d:Title": "Rapdirt.com: Fat Joe", "d:Description": "The latest news, pictures, TV appearances, and links on the Bronx born rapper.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/F/Fat_Joe", "url": "http://rapdirt.com/?s=Fat+Joe"} +{"d:Title": "Geek M.C.", "d:Description": "Official homepage includes pictures and history.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/G", "url": "http://members.tripod.com/geekmc/"} +{"d:Title": "Gza Joint", "d:Description": "Includes a biography, discography, audio, video and lyrics.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/G/GZA", "url": "http://gzagoint.8m.com/"} +{"d:Title": "Unnoficial GZA Homepage", "d:Description": "Lyrics, biography, links and pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/G/GZA", "url": "http://www.angelfire.com/hiphop/gza13/"} +{"d:Title": "Jadakiss", "d:Description": "Official site with soundclips, videos, and store.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J", "url": "http://www.jadakiss.com/"} +{"d:Title": "Justus League: Little Brother/Cesar Comanche", "d:Description": "Links related to North Carolina hip hop artists.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J", "url": "http://www.netweed.com/nchiphop/justusleague"} +{"d:Title": "Johnny Rocket!", "d:Description": "SoundClick page for New Orleans artist with free downloads and information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J", "url": "http://www.soundclick.com/members/default.cfm?member=johnnyrocket"} +{"d:Title": "Jurassic 5", "d:Description": "Official site with news, history, audio and video clips, tour dates, photos and bulletin board.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J/Jurassic_5", "url": "http://www.jurassic5.com/"} +{"d:Title": "Ohhla.com: Jurassic 5", "d:Description": "Lyrics.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J/Jurassic_5", "url": "http://ohhla.com/YFA_j5.html"} +{"d:Title": "Jurassic 5: Interview", "d:Description": "Interviewed by Bevan Jee.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J/Jurassic_5", "url": "http://www.bombhiphop.com/jurassic.htm"} +{"d:Title": "UnRated Magazine: Jurassic 5", "d:Description": "Live review and photos of the April 16, 2003 show at HOB Chicago", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J/Jurassic_5", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=81"} +{"d:Title": "Rapdirt.com: Jurassic 5", "d:Description": "Searches Rapdirt.com for J5 material.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J/Jurassic_5", "url": "http://rapdirt.com/?s=Jurassic+5"} +{"d:Title": "Hip Online: Jurassic 5", "d:Description": "Biography, interview, links, and reviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/J/Jurassic_5", "url": "http://hiponline.com/1788/jurassic-5.html"} +{"d:Title": "Komain", "d:Description": "Includes audio, pictures and news.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/K", "url": "http://www.angelfire.com/ab/Komain/"} +{"d:Title": "MTV.com: Kurupt", "d:Description": "News, audio/video, and biography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/K/Kurupt", "url": "http://www.mtv.com/artists/kurupt/"} +{"d:Title": "Rapdirt.com: Kurupt", "d:Description": "The latest news and gossip on the Death Row rap artist.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/K/Kurupt", "url": "http://rapdirt.com/?s=Kurupt"} +{"d:Title": "Large Professor", "d:Description": "Matador Records' official site. Includes news, photos, audio streams and biographical information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/L", "url": "http://www.matadorrecords.com/large_professor/"} +{"d:Title": "Lyrics Born", "d:Description": "Lyrics Born's site with message board, news, and shows.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/L", "url": "http://www.lyricsborn.com/"} +{"d:Title": "Living Legends", "d:Description": "Home of Los Angeles-based hip-hop group Living Legends.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/L", "url": "http://www.legendarymusic.net/"} +{"d:Title": "Mos Def Devotion", "d:Description": "Find information, audio, lyrics, discography, filmography and message boards.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/M/Mos_Def", "url": "http://www.angelfire.com/hiphop/hiphopforrespect/JINOSMOSDEFSITE.html"} +{"d:Title": "Topix: Mos Def", "d:Description": "News about Mos Def, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/M/Mos_Def", "url": "http://www.topix.com/rss/who/mos-def.xml"} +{"d:Title": "MTV.com: Mos Def", "d:Description": "Offering videos, latest news, tour dates, interviews, audio samples and pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/M/Mos_Def", "url": "http://www.mtv.com/artists/mos-def/"} +{"d:Title": "MTV.com: Mr. Cheeks", "d:Description": "Biography, news, and audio/video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/M/Mr._Cheeks", "url": "http://www.mtv.com/artists/mr-cheeks/"} +{"d:Title": "Rapdirt.com: Mr. Cheeks", "d:Description": "News, reviews, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/M/Mr._Cheeks", "url": "http://rapdirt.com/?s=Mr.+Cheeks"} +{"d:Title": "N.E.R.D.", "d:Description": "Official website of N*E*R*D. News, biography, photos, tour information, and forum.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/N/N.E.R.D.", "url": "http://www.n-e-r-d.com/"} +{"d:Title": "MTV.com: Neptunes / N.E.R.D.", "d:Description": "News, photos, biography, audio, and video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/N/N.E.R.D.", "url": "http://www.mtv.com/artists/nerd/"} +{"d:Title": "Rapdirt.com: N*E*R*D", "d:Description": "N.E.R.D. news, reviews, and links on the Neptunes fronted group.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/N/N.E.R.D.", "url": "http://rapdirt.com/?s=N.E.R.D"} +{"d:Title": "Nappy Roots", "d:Description": "Official site. News, multimedia, biography, photos, forum, and schedule.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/N/Nappy_Roots", "url": "http://www.nappyroots.com/"} +{"d:Title": "MTV.com: Nappy Roots", "d:Description": "News, audio and video, pictures, and biography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/N/Nappy_Roots", "url": "http://www.mtv.com/artists/nappy-roots/"} +{"d:Title": "Rapdirt.com: Nappy Roots", "d:Description": "News, picture resources, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/N/Nappy_Roots", "url": "http://rapdirt.com/?s=Nappy+Roots"} +{"d:Title": "MTV.com: Obie Trice", "d:Description": "News, audio and video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/O/Obie_Trice", "url": "http://www.mtv.com/artists/obie-trice/"} +{"d:Title": "Rapdirt.com: Obie Trice", "d:Description": "News and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/O/Obie_Trice", "url": "http://rapdirt.com/?s=Obie+Trice"} +{"d:Title": "proGrammar.net", "d:Description": "Seattle artist with many downloads.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/P", "url": "http://www.programmar.net/"} +{"d:Title": "Petey Pablo Links", "d:Description": "Includes links to related sites, reviews and fan pages.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/P/Petey_Pablo", "url": "http://www.netweed.com/nchiphop/petey"} +{"d:Title": "MTV.com: Petey Pablo", "d:Description": "Videos, ringtones, news, photos, merchandise, and biography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/P/Petey_Pablo", "url": "http://www.mtv.com/artists/petey-pablo/"} +{"d:Title": "Rapdirt.com: Petey Pablo", "d:Description": "News, photos, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/P/Petey_Pablo", "url": "http://rapdirt.com/?s=Petey+Pablo"} +{"d:Title": "Royce", "d:Description": "Includes links, audio, lyrics, news, information, poll and biography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/R", "url": "http://www.angelfire.com/hiphop/allofroyce59/"} +{"d:Title": "Rewritten", "d:Description": "Includes profile, lyrics, reviews, and opinions.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/R", "url": "http://rewritten.tripod.com/rewrittenraps/"} +{"d:Title": "Realistic Hair", "d:Description": "England based hip-hop band fusing hip-hop with dance, indie, rock and a little rhythm and blues.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/R", "url": "http://members.tripod.com/~angelsegg/Realistic-Hair.html"} +{"d:Title": "Prefix Magazine: RJD2: No Sound Barrier", "d:Description": "Interview features topics such as video games, deejaying, and the constant comparison of RJD2 to DJ Shadow by critics.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/R/RJD2", "url": "http://www.prefixmag.com/features.php?t=interview&f=rjd2"} +{"d:Title": "Metroactive: \"Out of the Shadows\"", "d:Description": "Mosi Reeves reviews \"Deadringer.\"", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/R/RJD2", "url": "http://www.metroactive.com/papers/metro/09.26.02/rjd2-0239.html"} +{"d:Title": "MTV.com: RJD2", "d:Description": "Includes music videos and biographical information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/R/RJD2", "url": "http://www.mtv.com/artists/rjd2/"} +{"d:Title": "Spanish Fly", "d:Description": "New York latin rhythm and blues vocalist's biographies, event dates, music and pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S", "url": "http://www.angelfire.com/music2/myspanishfly/"} +{"d:Title": "Supastition Links", "d:Description": "Links to websites related to North Carolina's Supastition.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S", "url": "http://www.netweed.com/nchiphop/supastition"} +{"d:Title": "AskMen.com: Erick Sermon", "d:Description": "Interview with pictures, quotes, and \"secret facts\".", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Sermon,_Erick", "url": "http://www.askmen.com/toys/interview_100/145_erick_sermon_interview.html"} +{"d:Title": "MTV.com: Erick Sermon", "d:Description": "News, video, biography, and photos.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Sermon,_Erick", "url": "http://www.mtv.com/artists/erick-sermon/"} +{"d:Title": "Rapdirt.com: Erick Sermon", "d:Description": "News and links on the former EPMD rapper.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Sermon,_Erick", "url": "http://rapdirt.com/?s=Erick+Sermon"} +{"d:Title": "Rapdirt.com: The Streets", "d:Description": "Mike Skinner news and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Skinner,_Mike", "url": "http://rapdirt.com/?s=Mike+Skinner"} +{"d:Title": "MTV.com: Slum Village", "d:Description": "News, biography, audio and video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Slum_Village", "url": "http://www.mtv.com/artists/slum-village/"} +{"d:Title": "Rapdirt.com: Slum Village", "d:Description": "News, reviews, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Slum_Village", "url": "http://rapdirt.com/?s=Slum+Village"} +{"d:Title": "Smilez and Southstar Fans", "d:Description": "Online support group. Provides photos, news, appearances, links, biography and interviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Smilez_&_Southstar", "url": "http://groups.yahoo.com/group/SmilezandSouthstarfans"} +{"d:Title": "Smilez and Southstar", "d:Description": "Online Yahoo! Group. Includes photos, message board and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Smilez_&_Southstar", "url": "http://groups.yahoo.com/group/SmilezandSouthstar"} +{"d:Title": "Smilez and Southstar Lyrics", "d:Description": "Lyrics to songs from the \"Crash The Party\" album.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Smilez_&_Southstar", "url": "http://www.azlyrics.com/s/smilez.html"} +{"d:Title": "MTV.com - Smilez&Southstar", "d:Description": "Includes news, biography, audio, video and album information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/S/Smilez_&_Southstar", "url": "http://www.mtv.com/artists/smilez-and-southstar/"} +{"d:Title": "Trick Daddy", "d:Description": "Official site. Biography, news, pictures, message board, audio, and video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/T/Trick_Daddy", "url": "http://www.thug.com/"} +{"d:Title": "MTV.com: Trick Daddy", "d:Description": "Biography, news, and audio/video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/T/Trick_Daddy", "url": "http://www.mtv.com/artists/trick-daddy/"} +{"d:Title": "MTV.com: Twista", "d:Description": "News, media, merchandise, photos, and ringtones.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/T/Twista", "url": "http://www.mtv.com/artists/twista/"} +{"d:Title": "Rapdirt.com: Twista", "d:Description": "News, photos, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/T/Twista", "url": "http://rapdirt.com/?s=Twista"} +{"d:Title": "US", "d:Description": "Official site includes biography, reviews, sound files, and pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/U", "url": "http://www.jambetta.com/"} +{"d:Title": "Kanye West", "d:Description": "Official site. News, discography, audio, video, photos, forum, and merchandise.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/W/West,_Kanye", "url": "http://www.kanyewest.com/"} +{"d:Title": "MTV.com: Kanye West", "d:Description": "Audio, video, ringtones, news, photos, MTV/MTV2 appearances, albums, and biography.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/W/West,_Kanye", "url": "http://www.mtv.com/artists/kanye-west/"} +{"d:Title": "Rapdirt.com: Kanye West", "d:Description": "News, gossip, photos, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/W/West,_Kanye", "url": "http://rapdirt.com/?s=Kanye+West"} +{"d:Title": "MTV: Wu Tang Clan", "d:Description": "Video clips, album review, and news features.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/W/Wu-Tang_Clan", "url": "http://www.mtv.com/artists/wu-tang-clan/"} +{"d:Title": "Rapdirt.com: Wu-Tang Clan", "d:Description": "The latest news, photos, and gossip on the group and its individual members' solo projects.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Bands_and_Artists/W/Wu-Tang_Clan", "url": "http://rapdirt.com/?s=Wu-Tang+Clan"} +{"d:Title": "DJ Connection", "d:Description": "Forums cater to hip hop, rhythm and blues and reggae DJs.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Chats_and_Forums", "url": "http://www.tjsdjs.com/forums/"} +{"d:Title": "UGHH Forums", "d:Description": "One of the most well-known hiphop forums online, covers all four elements of the culture.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Chats_and_Forums", "url": "http://forums.undergroundhiphop.com/"} +{"d:Title": "Rapmusic.com Board", "d:Description": "An active rap community with a huge selection of forums.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Chats_and_Forums", "url": "http://board.rapmusic.com/"} +{"d:Title": "Rap Worlds", "d:Description": "A hip hop perspective on politics, fashion, rapping and beat producing.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Chats_and_Forums", "url": "http://www.rapworlds.com/"} +{"d:Title": "Rap Vault", "d:Description": "Rap Vault is dedicated to rap music discussion, culture, and downloads. It's a close family of like-minded people who love rap music.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Chats_and_Forums", "url": "http://www.rapvault.net/"} +{"d:Title": "The B.O.S.S. Board", "d:Description": "The official discussion forum for Long Beach rapper Crooked I.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Chats_and_Forums", "url": "http://www.thebossboard.com/"} +{"d:Title": "Rap-Royalty.Com", "d:Description": "The best hip hop&rap battle community on the face of the earth", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Chats_and_Forums", "url": "http://rap-royalty.com/"} +{"d:Title": "Old School Hip Hop", "d:Description": "Features biographies, recordings and videos from old school rap artists who performed prior to 1986.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/History", "url": "http://www.oldschoolhiphop.com/"} +{"d:Title": "The Evolution of Rap Music in the United States", "d:Description": "1993 essay includes guidelines for teaching about rap music (and graffiti) to middle and high school students. Includes a bibliography and brief lesson plans.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/History", "url": "http://www.yale.edu/ynhti/curriculum/units/1993/4/93.04.04.x.html"} +{"d:Title": "Rap Basement", "d:Description": "Rap Basement is a Hip Hop Lifestyle Network. With exclusive news, music videos, audio lyrics, wallpapers, release dates, tour schedules and interviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.rapbasement.com/"} +{"d:Title": "BallerStatus.net", "d:Description": "Daily hip-hop news, musical event coverage, interviews, reviews, audio and video.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.ballerstatus.com/"} +{"d:Title": "The 411 Online", "d:Description": "The latest hip-hop news, reviews and interviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.the411online.com/"} +{"d:Title": "Hip Hop Knights", "d:Description": "A hip hop site that provides a hip hop newsletter, interviews, cd reviews, a forum and merchandise.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.hiphopknights.com/"} +{"d:Title": "Hip Hop Lead", "d:Description": "Daily hip hop news, audio, and exclusive interviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.hiphoplead.com/"} +{"d:Title": "Delivered Fresh", "d:Description": "Delivered Fresh is dedicated to delivering the hottest tracks in Hip Hop from today's hottest major and independent artists.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://alwaysdeliveredfresh.blogspot.com/"} +{"d:Title": "Rap Scene", "d:Description": "Your favorite Rap and RnB Artists, exclusive interviews, live performances, music videos, news, fashion, music downloads", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.rapscene.com/"} +{"d:Title": "illRoots", "d:Description": "A site dedicated to Hip-Hop. Latest news, reviews and interviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://illroots.com/"} +{"d:Title": "J. Pitts Show Hip Hop Podcast", "d:Description": "Weekly hip hop podcast offering dope music as well as commentary on current issues affecting the genre.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.jpittsshow.com/"} +{"d:Title": "HipHopOnDemand.Blogspot.com", "d:Description": "The latest in Hip Hop industry news, rumors, and fresh new music.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.hiphopondemand.blogspot.com/"} +{"d:Title": "InMyDeck.com", "d:Description": "A hip hop news, and media resource blog.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.inmydeck.com/"} +{"d:Title": "Africas Gateway", "d:Description": "Africas Gateway focuses on the global hip hop culture and contains an active forum plus many other features. The site is run by a dedicated group of people who have been involved with the hip hop culture since the early 80's.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.africasgateway.com/"} +{"d:Title": "Rap-Up", "d:Description": "Hip-hop and R&B news, new music, photos, and videos.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.rap-up.com/"} +{"d:Title": "Urban Music Daily", "d:Description": "R&B, hip hop, dance hall music, videos, updates, music, live chat.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.urbanmusicdaily.net/"} +{"d:Title": "Hip Hops Home", "d:Description": "Daily Hip-Hop news and information related to the Hip-Hop culture.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.hiphopshome.com/"} +{"d:Title": "Straight From The A", "d:Description": "Features news, views and commentary on artists and personalities of the Atlanta entertainment scene.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://straightfromthea.com/"} +{"d:Title": "Rap Radar", "d:Description": "Hip hop website that features the news, music, and videos in hip hop culture.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://rapradar.com/"} +{"d:Title": "Hip Hop Crews", "d:Description": "Resource for hip hop clothing, jewelry and song.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.hiphopcrews.blogspot.com/"} +{"d:Title": "We Up On It", "d:Description": "This website features the latest Hip-Hop&R&B news, music, videos and mixtapes.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.weuponit.com/"} +{"d:Title": "Media Real News", "d:Description": "This hip hop website provides exclusive news, music, and videos.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://mediarealnews.blogspot.com/"} +{"d:Title": "Wane Enterprises", "d:Description": "A hip hop website that features updates on the latest breaking Hip-Hop and world news.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.waneenterprises.com/"} +{"d:Title": "Bay Undaground", "d:Description": "A hip hop website that provides information regarding Bay Area, northern California, westcoast rap music, reviews and interviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://bayundaground.com/"} +{"d:Title": "UNCUT Magazine", "d:Description": "Features hip hop and Urban news, videos, music, Eye Candy models and mixtapes updated daily.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media", "url": "http://www.uncutmagazine.net/"} +{"d:Title": "Urb Magazine Online", "d:Description": "Alternative music magazine covering all aspects of hip-hop and DJ culture.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://urb.com/"} +{"d:Title": "The Source Magazine", "d:Description": "Print magazine covering hip-hop music, culture and politics. Includes previews, reviews, interviews, fashion, music videos, webcasts and active message boards.", "priority": "1", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.thesource.com/"} +{"d:Title": "Sixshot Magazine", "d:Description": "Concert and party dates, album reviews, interviews, and live pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.sixshot.com/"} +{"d:Title": "Hip-Hop Elements", "d:Description": "In-depth coverage of the hip-hop music scene. Features reviews, interviews, discussion and artist profiles.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.hiphop-elements.com/"} +{"d:Title": "Stealth Magazine", "d:Description": "Content from print magazine includes interviews, news, audio and a message board.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.stealthmag.com/"} +{"d:Title": "Insomniac Magazine", "d:Description": "E-zine featuring DJs. Site also contains mix tapes, music videos and album reviews.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.insomniacmagazine.com/"} +{"d:Title": "Cazawa Magazine", "d:Description": "The latest urban news, artists and album reviews, and nightlife guides. Also contains business listings for professionals.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.cazawa.biz/"} +{"d:Title": "Topix: Hip-Hop", "d:Description": "News about hip-hop, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/hip-hop.xml"} +{"d:Title": "Topix: Rap", "d:Description": "News about rap, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/rap.xml"} +{"d:Title": "Big Smoke Magazine", "d:Description": "Hip-hop magazine for the UK hip-hop scene which comes in a 12 inch sleeve.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.bigsmokemagazine.blogspot.com/"} +{"d:Title": "Latin Rapper", "d:Description": "Latin hip-hop e-zine showcasing Latino rap artists and labels plus news, interviews, music reviews, and artist resources.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/News_and_Media/Magazines_and_E-zines", "url": "http://www.latinrapper.com/"} +{"d:Title": "DJ Fresh's Rap Connection", "d:Description": "Contains pages of information on hip hop artists.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/ny2/djfreshshomepage/"} +{"d:Title": "N.U.Squaad", "d:Description": "Includes RealAudio files, reviews, and profiles.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/la/NUSquAAd/"} +{"d:Title": "4-Play Productions", "d:Description": "Features Real Audio, singles and videos.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/il/4Play/"} +{"d:Title": "Rap Archive", "d:Description": "A collection of rap pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://members.tripod.com/~webtek50/"} +{"d:Title": "Vortex Recordos", "d:Description": "Hip hop downloads and ordering information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://vortex-recordos.de/"} +{"d:Title": "Krayze's R&B, Hip-Hop and Pop Juke Joint", "d:Description": "News and audio files.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/fl/WikkedWizard/jukejoint.html"} +{"d:Title": "Hip Hop Rap Culture", "d:Description": "Artist profiles and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/music2/rapculture/"} +{"d:Title": "The Hip Hop Spot", "d:Description": "Dedicated to hip hop culture, with new releases, articles on featured artists, and upcoming releases.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://bigd88.tripod.com/index.html"} +{"d:Title": "Distorted Logic", "d:Description": "Hip hop, politics, and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://latinnick.tripod.com/"} +{"d:Title": "Skyllz Page", "d:Description": "Links, pictures, and audio.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/hiphop2/skyllz/"} +{"d:Title": "The Hip Hop Hood", "d:Description": "Artist profiles, countdown, album reviews and previews and news.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/hiphop2/hiphophood/index.html"} +{"d:Title": "Loki Productions", "d:Description": "History, news, gossip, links, and store.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://members.tripod.com/lokiproductions/"} +{"d:Title": "ShowLordz 2elements", "d:Description": "Dedicated to the Winnipeg, Canadian hip-hop style called newskool rap. Plus graffiti pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://showlordz.tripod.com/"} +{"d:Title": "Da Dirty South Portal", "d:Description": "Rap from a Southern perspective. Check out reviews, style, and gadgets.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/music3/dadirtydirtyportal/"} +{"d:Title": "Caliber", "d:Description": "Biography, photos and information regarding Caliber, Ninja Productions artist.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://caliber.4t.com/"} +{"d:Title": "Midwest Massacre", "d:Description": "Collection of underground Chicago rap cds and relevant links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://midwestmassacre.tripod.com/"} +{"d:Title": "The Battle 4 Free-dome", "d:Description": "Poetic depiction of an individual entity.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://freedome1.tripod.com/"} +{"d:Title": "Ponick 51/50", "d:Description": "Ponick member Shifty shares new words and band information.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/mo2/ponick/index.html"} +{"d:Title": "Kristiluv'sPage (FIRE!!!!)", "d:Description": "Kris' statement plus links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/hiphop3/kristiluv1/page.html"} +{"d:Title": "peteslice.tripod.com", "d:Description": "Pete Slice presents battleboard, pictures, shoutouts, lyrics and a chatroom.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://peteslice.tripod.com/"} +{"d:Title": "Know'Ledge Born", "d:Description": "Philosophical writings and cultural commentary regarding hip hop.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.imagiin360.com/"} +{"d:Title": "peacefender", "d:Description": "Hip hop and experimental music and sound design by peacefender Kaled Sabsabi.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.peacefender.com/"} +{"d:Title": "MC HASH KP's Home Page", "d:Description": "Photo and tracks from MC Hash KP.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.angelfire.com/hero/mchashkp/"} +{"d:Title": "Slicksno.com", "d:Description": "Hip hop news, personal playlist and writing.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Personal_Pages", "url": "http://www.slicksno.com/"} +{"d:Title": "Z93 Jamz", "d:Description": "Morning Show with Baby J and Tessa Spencer: \"Monday - Friday\" (6am - 10am). Charleston, SC's Z93 plays hip hop and rhythm and blues music.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://www.z93jamz.com/"} +{"d:Title": "WMOC Urban Net Radio", "d:Description": "Urban and hip hop station. Includes a jam-list, concert dates and links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://www.mocradio.com/"} +{"d:Title": "Power 99", "d:Description": "Every afternoon check out Coka: ( Mon - Fri ) \"10am - 2pm\" or \"Listen Live Online\". Plays hip hop and rhythm and blues music.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://www.power99.com/"} +{"d:Title": "HOT 107.1", "d:Description": "Playing old school, hip hop, and rhythm and blues music. \"Listen Live Online\" Radio Station based in Memphis, TN.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://www.hot1071.com/"} +{"d:Title": "K 97", "d:Description": "WHRK plays hip hop and rhythm and blues music. Station based in Memphis, TN.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://www.k97fm.com/"} +{"d:Title": "Jamz 96.3 FM", "d:Description": "WAJZ plays hip-hop and rhythm and blues music. Radio Station based in Latham, NY.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://www.jamz963.com/"} +{"d:Title": "107.3 Jamz", "d:Description": "Playing hip hop and soul music.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://www.1073jamz.com/"} +{"d:Title": "Tha UnderGround RailRoad", "d:Description": "Underground hip hop online station streamed on Live365.com, plus message board.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://members.tripod.com/~underrail/main.html"} +{"d:Title": "WIBB 97.9", "d:Description": "Hip hop station in Macon, Georgia. News, weather, photos, and store.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://wibb.com/"} +{"d:Title": "Jammin FM 95.5", "d:Description": "Plays hip hop, and rhythm and blues music. \"Live Studio Webcam\" Station based in Portland, Oregon.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://jamn1075.iheart.com/"} +{"d:Title": "WGCI 107.5 FM", "d:Description": "Plays old school, hip hop, and rhythm and blues music. Radio Station based in Chicago, IL.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://wgci.iheart.com/"} +{"d:Title": "97.3 Kiss FM", "d:Description": "Savannah, Georgia's radio station with Kidd Kraddick in the morning playing pop, rock and hip-hop.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Radio_Stations", "url": "http://973kissfm.iheart.com/"} +{"d:Title": "NC Hip Hop Online", "d:Description": "Writing about and links to North Carolina hip hop websites from underground hip hop to Petey Pablo.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://www.netweed.com/nchiphop/"} +{"d:Title": "Memphis Rap", "d:Description": "Find Memphis Rap, Hip Hop and R&B artists, albums, songs, radio, news and events. Buy and sell music downloads of Memphis rappers, singers and producers.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://www.memphisrap.com/"} +{"d:Title": "Down South Hip-Hop", "d:Description": "A hip hop blog focusing on Down South hip hop music from independent and major artists.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://downsouthhiphop.com/"} +{"d:Title": "Blog or Die: Pittsburgh", "d:Description": "A Pittsburgh socialite with a heavy emphasis on hip hop music and local rappers.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://blogordiepgh.com/"} +{"d:Title": "Thizzler", "d:Description": "The latest Bay Area rap&hip-hop music, updated daily with new Bay Area music interviews, songs, mixtapes, albums&music videos from Bay Area&Norcal rappers and artists.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://www.thizzler.com/"} +{"d:Title": "Birthplace Magazine", "d:Description": "Dedicated to New York-area hip-hop, rap music, and culture. Features news, new releases, editorials, interviews. Also features a NY-area hip-hop event calendar.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://www.birthplacemag.com/"} +{"d:Title": "Crunk Atlanta", "d:Description": "An Atlanta based hip-hop and culture blog also covering music sales for independent artists.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://www.crunkatlanta.com/blog"} +{"d:Title": "Break On a Cloud", "d:Description": "A Nashville hip-hop blog.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://breakonacloud.com/music-in-nashville/hip-hop/"} +{"d:Title": "Houston Hip Hop Fix", "d:Description": "Source for hip-hop and entertainment. New music and videos uploaded daily.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://houstonhiphopfix.com/"} +{"d:Title": "206up", "d:Description": "A Seattle hip-hop blog. Dedicated to town movement.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "https://206up.com/"} +{"d:Title": "BREAKS X LAKES: The Minnesota Hip Hop Blog", "d:Description": "Co-founded by Derek Ehlert and Justus Sanchez, this is a resource covering all things hip-hop from Minnesota.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://breaksxlakes.net/"} +{"d:Title": "Michigan Bangerz", "d:Description": "covering the Michigan hip-hop scene, this blog posts loose singles to full mixtapes and official projects.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://www.michiganbangerz.com/"} +{"d:Title": "Houston Hip Hop News", "d:Description": "Based out of Houston, TX, this site focuses more on the news aspect of the local hip hop scene.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://houstonhiphopnews.com/"} +{"d:Title": "The Alpha Culture", "d:Description": "A San Diego, CA based hip-hop blog focusing on new releases for local talent.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://thealphaculture.com/"} +{"d:Title": "Austin Hip-Hop Scene", "d:Description": "A blogspot blog highlighting talents from the local ATX hip-hop scene", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Regional", "url": "http://austinhiphopscene.com/"} +{"d:Title": "Z-Jamz", "d:Description": "Rhythm and blues and hip hop Real Audio files, including a playlist.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Sound_Files", "url": "http://www.zjamz.com/"} +{"d:Title": "400 Degreez", "d:Description": "RealAudio files on full albums, singles, instrumentals. Also includes message board and real names section.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Sound_Files", "url": "http://www.angelfire.com/hiphop/btyte/framez.html"} +{"d:Title": "Hip Hop JukeBox", "d:Description": "Selection of streaming audio files.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Sound_Files", "url": "http://www.superkeyword.net/onlinevids/hiphop.htm"} +{"d:Title": "MPC Sounds", "d:Description": "Soundsets, CD Roms, WAV CDs, accessories, and free sounds.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Sound_Files", "url": "http://www.mpcsounds.com/"} +{"d:Title": "Demo Beat Archive", "d:Description": "Samples for demo projects only, and newsletter.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Sound_Files", "url": "http://demobeats.tripod.com/"} +{"d:Title": "Underground Productions", "d:Description": "Swedish underground hip hop magazine.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground", "url": "http://www.underground-productions.se/"} +{"d:Title": "Insomniac Magazine", "d:Description": "Underground hip hop radio, artist interviews, DJs and dj mixtapes.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground", "url": "http://www.insomniacmagazine.com/"} +{"d:Title": "Breakcheck.com", "d:Description": "Information on the underground hip-hop and b-boyscene in Texas. With articles, event listings, video clips, moves, pictures, and related links.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground", "url": "http://www.breakcheck.com/"} +{"d:Title": "Underground Hip Hop.com", "d:Description": "Audio of albums and radio shows. Includes a chat room and message boards.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground", "url": "http://www.undergroundhiphop.com/"} +{"d:Title": "Low Budget Productions", "d:Description": "Promoting the underground rap/hip-hop scene.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground", "url": "http://www.angelfire.com/ny/blasphamy/"} +{"d:Title": "Underground Sound Magazine", "d:Description": "Reviews, articles, and interviews. Includes special section on unsigned and indie artists, also features an online store and audio selections.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground", "url": "http://www.ugsmag.com/"} +{"d:Title": "Tonedeff", "d:Description": "Official site includes photos, video, interviews and audio.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists", "url": "http://www.tonedeff.com/"} +{"d:Title": "Rif Raff", "d:Description": "Christian hip hop artist. Includes a biography, lyrics and pictures.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists", "url": "http://www.angelfire.com/il2/holydoseent/"} +{"d:Title": "Living Legends Crew", "d:Description": "A family of independent hip hop creators including BFAP, PSC, The Grouch, Murs, Eligh, Scarub, Aesop, Bicasso, and Arata. Discographies, audio, photographs, and merchandise.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists", "url": "http://llcrew.com/index.html"} +{"d:Title": "Spike Magazine: El-P - Fantastic Damage", "d:Description": "Review by Edmund Hardy: \"It's uncomfortably like being locked in a small room with a possible genius who's shouting at you in a language you barely comprehend.\"", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/El-P", "url": "http://www.spikemagazine.com/1102elp.php"} +{"d:Title": "ShakingThrough.net: El-P - Fantastic Damage", "d:Description": "Review by Laurence Station: \"An ode to the redemptive power and spirit of the art form.\"", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/El-P", "url": "http://www.shakingthrough.net/music/reviews/2002/el_p_fantastic_damage_2002.html"} +{"d:Title": "Dusted Magazine: El-P - Fantastic Damage", "d:Description": "Review by Daniel Thomas-Glass: \"For all its sonic ferociousness, this is a more mature El-P than Funcrusher gave us, more able and willing to make his voice heard.\"", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/El-P", "url": "http://www.dustedmagazine.com/reviews/196"} +{"d:Title": "Boston Phoenix: El-P - Fantastic Damage", "d:Description": "Review by Michael Endelman: \"Call it medicinal music: hip-hop that\u2019s good for you but hard to swallow.\"", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/El-P", "url": "http://www.bostonphoenix.com/boston/music/other_stories/documents/02257160.htm"} +{"d:Title": "Prefix Magazine: El-P - High Water", "d:Description": "Review by Nick Stillman: \"My only real criticism is that the High Water listening experience can oddly be a little too pleasant -- nothing close to the crushing atmospherics of most Def Jux albums.\" [3.5/5]", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/El-P", "url": "http://prefixmag.com/reviews/cds/E/El-P/High-Water/531"} +{"d:Title": "New York Metro: \"The Producer: Beat Poet\"", "d:Description": "Article by Ethan Brown details the artist's personality and career history.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/El-P", "url": "http://nymag.com/nymetro/arts/music/features/music2002/n_7735/"} +{"d:Title": "Boston Phoenix: \"New School: Boston's Mr. Lif Takes Off\"", "d:Description": "Article by Alex Pappademas.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Mr._Lif", "url": "http://www.bostonphoenix.com/archive/music/99/05/27/MR_LIF.html"} +{"d:Title": "Ink 19: Mr. Lif", "d:Description": "Interview by Bill Campbell.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Mr._Lif", "url": "http://www.ink19.com/issues/october2002/interviews/mrLif.html"} +{"d:Title": "The Wax Conspiracy: Mr. Lif - Emergency Rations", "d:Description": "Belvedere Jehosophat reviews the EP.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Mr._Lif", "url": "http://www.thewaxconspiracy.com/onehand/zen/103"} +{"d:Title": "The Elements: Mr. Lif", "d:Description": "Interview focuses on the artist's entry into hip-hop and current career plans.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Mr._Lif", "url": "http://www.hiphop-elements.com/article/read/6/5247/1/"} +{"d:Title": "Pitchfork Media: I Phantom", "d:Description": "Sam Chennault gives the album 8.3 out of 10. (Sept. 30, 2002)", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Mr._Lif", "url": "http://www.pitchforkmedia.com/reviews/albums/5474-i-phantom/"} +{"d:Title": "Three Monkeys: \"Makeshift Patriot - Sage Francis in interview\"", "d:Description": "Three Monkeys interviews Francis about what it means to be an independent hip-hop artist.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.threemonkeysonline.com/threemon_article_Makeshift_Patriot_Sage_Francis.htm"} +{"d:Title": "RapReviews.com: \"Sick of Waiting Tables\"", "d:Description": "B. Ridge gives the album 7.5 out of 10.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.rapreviews.com/archive/2001_12_tables.html"} +{"d:Title": "Dusted Reviews: Personal Journals", "d:Description": "Review by David Thomas-Glass.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.dustedmagazine.com/reviews/150"} +{"d:Title": "Boston Phoenix: \"Sage Francis: Embattled Raps\"", "d:Description": "David Perez criticizes the artist for taking himself too seriously the performance for his \"Healthy Distrust\" release party.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.bostonphoenix.com/boston/music/live/documents/04471172.asp"} +{"d:Title": "Delusions of Adequacy: A Healthy Distrust", "d:Description": "Review by Sandy Boer.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.adequacy.net/2005/03/sage-francis-a-healthy-distrust/"} +{"d:Title": "Sage Francis", "d:Description": "Official site provides biographical information, discography, articles, audio clips, and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.strangefamousrecords.com/sage-francis/"} +{"d:Title": "The Cavalier Daily: \"Sage Francis' 'Healthy Distrust' for Pop-Rap\"", "d:Description": "Review by Ravi Dinesh calls \"A Healthy Distrust\" the future of underground rap.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.cavalierdaily.com/2005/02/17/sage-francis-healthy-distrust-for-pop-rap/"} +{"d:Title": "Veg Blog: An Interview with Sage Francis", "d:Description": "Ryan MacMichael asks Francis about the reasons for his meat-free lifestyle.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.vegblog.org/archive/2003/12/12/an-interview-with-sage-francis/"} +{"d:Title": "Scene Missing Magazine: Interview with Sage Francis", "d:Description": "Questions focus on the artist's offstage life.", "topic": "Top/Arts/Music/Styles/H/Hip_Hop/Underground/Bands_and_Artists/Sage_Francis", "url": "http://www.scenemissingmagazine.com/interview/interview-with-sage-francis-%E2%80%A2-poet/"} +{"d:Title": "Absolutely for Spooky Tunes", "d:Description": "Collection of MIDI files comprising renowned spooky tunes.", "topic": "Top/Arts/Music/Styles/H/Holiday/Halloween", "url": "http://www.twilightbridge.com/hobbies/festivals/halloween/midiindex.htm"} +{"d:Title": "Wolf, Lucan", "d:Description": "Vampire songs from the \"Lord of the Night,\" frightfully romantic, as well as posters and T-shirts picturing the stylish vocalist.", "topic": "Top/Arts/Music/Styles/H/Holiday/Halloween", "url": "http://www.lucanwolf.com/"} +{"d:Title": "NPR Topics: Jazz", "d:Description": "News and articles from National Public Radio.", "topic": "Top/Arts/Music/Styles/J/Jazz", "url": "http://www.npr.org/rss/rss.php?id=1042"} +{"d:Title": "Jazz Corner", "d:Description": "Portal for jazz musicians and organizations, podcasts and interviews, event calendar, bulletin board, video sharing and merchandise.", "topic": "Top/Arts/Music/Styles/J/Jazz", "url": "http://www.jazzcorner.com/"} +{"d:Title": "Alice in Dixieland", "d:Description": "An all female band from the Netherlands playing swing and bebop as well as Dixieland jazz, with biographies, schedule, and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.aliceindixieland.nl/"} +{"d:Title": "Jaga Jazzist", "d:Description": "Norwegian ten-piece band founded in 1994, cites modern rock influences. CD and concert reviews, music downloads, and tour dates.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.jagajazzist.com/"} +{"d:Title": "Jacob Fred Jazz Odyssey", "d:Description": "Oklahoma-based trio of piano, bass and drums mixes originals with occasional covers. Lists dates across the US with history, reviews, photos and recordings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.jfjo.com/"} +{"d:Title": "Luces, Sir Cedrick", "d:Description": "Steel drum musician from South Florida plays jazz and calypso. Biography, cd order information and a link to steel drum webring on the site.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.sircedrick.com/"} +{"d:Title": "PBS: Biographies", "d:Description": "More than 50 profiles of jazz greats compiled in conjunction with the Ken Burns film, \"Jazz.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.pbs.org/jazz/biography/"} +{"d:Title": "Advanced Warning", "d:Description": "Norwegian sextet featuring organ and guitar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.xs4all.nl/~sjw/"} +{"d:Title": "Burnt Sugar", "d:Description": "New York based free jazz band led by Village Voice writer, Greg Tate and inspired by Miles Davis's Bitches Brew and Lawrence Butch Morris's conduction system. Audio, schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.burntsugarindex.com/"} +{"d:Title": "Chameleon", "d:Description": "New Jersey quartet consisting of two guitars, bass and drums offers photos, audio and video.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.gratefuljazz.com/"} +{"d:Title": "Igor's Jazz Cowboys", "d:Description": "Based out of Tempe, AZ, the band plays cowboy jazz mixed with acoustic western swing. This site has news, music, and biographies.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.igorsjazzcowboys.com/"} +{"d:Title": "J\u00e9r\u00f4me et les Froggy Jazz", "d:Description": "Swiss quintet led by violinist Jerome Ogier, with humorous pen-and-ink illustration. Site uses enough English to be understood but has several pages entirely in French.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.ars.net/fj/"} +{"d:Title": "Liberty Street Jazz Band", "d:Description": "Homepage of San Francisco area band that plays bebop, swing and latin has musician biographies and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.libertyjazz.com/"} +{"d:Title": "Lynne Arriale Trio", "d:Description": "Includes press kit, discography, audio samples, articles, biographies and upcoming shows.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.lynnearriale.com/"} +{"d:Title": "Moutin Reunion Quartet", "d:Description": "With twin brothers Francois and Louis Moutin on bass and drums, saxophonist Sylvain Beuf and pianist Baptiste Trotignon. Recording release and tour dates, with biographies and discographies. [French and English]", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.moutin.com/"} +{"d:Title": "Mood Jazz", "d:Description": "European saxophonist G\u00fcnther Fischer and vibraphonist Tom O'Hare list albums including work with pianist James Williams (1951-2004). Audio downloads, reviews, CD sales, and a brief history of modern jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.moodjazz.com/"} +{"d:Title": "Projet Brassens", "d:Description": "English jazz musicians inspired by the French poet-songwriter Georges Brassens. Contains MP3s, CDs, lyrics and translations, information about Brassens, and concert information. In English and French.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.projetbrassens.eclipse.co.uk/"} +{"d:Title": "Quintology", "d:Description": "New Orleans quintet's history, discography, MP3 and RealAudio files, music sheets, tour dates, and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://quintology.com/"} +{"d:Title": "So What?", "d:Description": "Santa Barbara, California band that plays contemporary jazz with a twist of rhythm and blues and funk. Includes a gig list, sound samples and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.sowhatkombo.com/"} +{"d:Title": "Soothsayers", "d:Description": "This group plays original live and recorded music influenced by world rhythms. Biographies, sound samples and a performance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.soothsayers.net/"} +{"d:Title": "Sperker", "d:Description": "Original instrumental music by Aaron Nevezie and Aaron Dugan with jazz and rock influences.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://nevezie.tripod.com/sperker/sperkerweb1.html"} +{"d:Title": "Subterranean Jazz", "d:Description": "Jazz group from Phoenix, Arizona. CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.subjazz.com/"} +{"d:Title": "Ted Sirota's Rebel Souls", "d:Description": "Home of Chicago jazz ensemble featuring Jeff Parker, Kevin Kizer, Rob Mazurek, Geof Bradfield and Noel Kupersmith.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.tedsirota.com/"} +{"d:Title": "Tiny Bell Trio", "d:Description": "Biographies, cds and concert review of the trio featuring Dave Douglas, Brad Shepik and Jim Black.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.cosmopolis.ch/english/cosmo15/tinybelltrio.htm"} +{"d:Title": "Tradewind", "d:Description": "A jazz project in Syracuse, New York. Biographical information, recording tips, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.angelfire.com/ny2/nexus1/TRADEWIND.html"} +{"d:Title": "Van Damme, Art", "d:Description": "Website of jazz accordionist Van Damme and his group, includes biography, cd, video and tape information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.artvandamme.com/"} +{"d:Title": "Slowdown", "d:Description": "Band specializing in songs with a Brazilian feel has soundclips and band information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.slowdown-music.com/"} +{"d:Title": "Berendt, Joachim-Ernst", "d:Description": "Author, record producer and jazz festival promoter (1922-2000), with details on his \"Jazz Book,\" originally published in 1992.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.cosmopolis.ch/english/cosmo5/berendt.htm"} +{"d:Title": "Goodwin, Gordon (Dick)", "d:Description": "ASCAP symphonic composer, jazz trumpeter and band leader, and owner of G.E.M. recording studio in Columbia, South Carolina. Bookings, online sales of CDs and sheet music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.goodwinmusics.com/"} +{"d:Title": "Nichols, Bill", "d:Description": "US-based jazz and electronica composer, saxophonist and keyboardist, an online session musician via the Rocket Network.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.jazznlight.com/"} +{"d:Title": "Andrews, Lori", "d:Description": "Record company page of jazz-harpist Andrews. CD information and sales, upsoming tours, and local appearance schedules.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.jazharprecords.com/"} +{"d:Title": "Metropole Orkest", "d:Description": "Pop and jazz orchestra based in the Netherlands. Provides news, performance schedule, and videos. [English, Dutch]", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.metropoleorchestra.com/"} +{"d:Title": "Hanson, Paul", "d:Description": "Jazz bassoonist lists performances with Bela Fleck, Wayne Shorter, Modeski Martin and Wood, and Ray Charles, a Moosmann endorser. With performance schedule, reviews, and recordings for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://paulhansonmusic.com/"} +{"d:Title": "NPR : Jazz Musicians, After the Spotlight Fades", "d:Description": "A series of radio stories on the plight of aging jazz musicians.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists", "url": "http://www.npr.org/2005/04/18/4605304/jazz-musicians-after-the-spotlight-fades"} +{"d:Title": "Baumgarten, Philip", "d:Description": "Dutch acoustic and electric bass player. Information on his style of music and gigs, plus audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://baumgarten.nl/"} +{"d:Title": "Bromberg, Brian", "d:Description": "Phenomenal jazz bassist, composer, and producer.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.brianbromberg.net/"} +{"d:Title": "Chuck Israels Quartet", "d:Description": "Featuring the latest work by jazz bassist Israels, best known for his time with the Bill Evans Trio during the 1960s, the site has mp3 sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.audio-ideas.com/recordings/bellingham.html"} +{"d:Title": "Cohen, Avishai", "d:Description": "Israeli bassist leads the International Vamp Band. Audio, itinerary, photo gallery, biography and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.avishaicohen.com/"} +{"d:Title": "Moutin, Francois", "d:Description": "Popular jazz bass player and session man, co-leader of Moutin Reunion Quartet.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.moutin.com/Francois.html"} +{"d:Title": "Wright, Kenny", "d:Description": "Jazz-fusion bassist offers photo and biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.smooth-jazz.de/Artists2/Wright.html"} +{"d:Title": "Allison, Ben", "d:Description": "Features reviews, sound bites, itinerary for bassist-composer Allison.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.benallison.com/"} +{"d:Title": "Atkinson, Lisle", "d:Description": "Features reviews, sound bites, itinerary, biography, CDs, educational activities, and discography for jazz bassist Atkinson.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.lisleatkinson.com/"} +{"d:Title": "Bennett, Ed", "d:Description": "West Coast's string bass player's site contains biography, discography, Saphu records catalog, Real Audio samples, reviews and performance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://edbennett.net/"} +{"d:Title": "Dyson, David", "d:Description": "Former bassist with \"Me'shell N'degeocello\" and \"New Kids On The Block\". Biography, CD-ROM reviews, and celebrity photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.angelfire.com/me2/dysonsgroove/"} +{"d:Title": "Henn, Ritt", "d:Description": "The official website for bassist Henn includes biography, itinerary, and a discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.ritthenn.com/"} +{"d:Title": "Langston, Artie", "d:Description": "Site contains biographical information on jazz bassist and composer who has worked with Louie Jordan, Earl \"Fatha\" Hines, Lee Konitz, Eddie Harris, Mel Torme, Stephan Grapelli, Carmen McRae, and Joe Williams.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.bassace.com/"} +{"d:Title": "Peacock, Gary", "d:Description": "Bass player interviewed by Fred Jung with JazzWeekly.com about his career, Albert Ayler and the Keith Jarrett Trio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.jazzweekly.com/interviews/peacock.htm"} +{"d:Title": "Palombi, Phil", "d:Description": "Webpages of New York jazz bassist Palombi feature biography, reviews, pictures, and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.philpalombi.com/"} +{"d:Title": "Wertman, David", "d:Description": "Jazz bassist, composer, recording artist on Sunmuse Records, and leader of The Spirit Ensemble.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists", "url": "http://www.sunmuserecords.homestead.com/davidwertman.html"} +{"d:Title": "NPR's Jazz Profiles: Ray Brown", "d:Description": "Online companion to the public radio feature offers detailed biography with audio by and in tribute to the artist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Brown,_Ray", "url": "http://www.npr.org/programs/jazzprofiles/archive/brown_ray.html"} +{"d:Title": "Bass Hit", "d:Description": "Title of out-of-print album recorded for Verve, with link for iTunes digital download.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Brown,_Ray", "url": "http://www.vervemusicgroup.com/raybrown/releases/detail?id=11007"} +{"d:Title": "Carter, Ron", "d:Description": "Noted jazz bassist known for recordings and concerts with jazz legends such as Miles Davis, Wes Montgomery, Bill Evans and Dexter Gordon. Official site offers photo gallery, detailed biography and selected discography from a catalog of more than 2,500 recordings.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Carter,_Ron", "url": "http://www.roncarter.net/"} +{"d:Title": "Global Bass: Ron Carter", "d:Description": "E-zine interview by Tony Senatore from 2001 with photos of the artist. [English, German and Italian]", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Carter,_Ron", "url": "http://www.globalbass.com/archives/apr2001/ron_carter.htm"} +{"d:Title": "NPR : Jazz Bass Legend Ron Carter", "d:Description": "Ten-minute audio interview features Tavis Smiley with the artist from 2003.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Carter,_Ron", "url": "http://www.npr.org/templates/story/story.php?storyId=1400094"} +{"d:Title": "Backstage Lounge: Ron Carter's Groove Mastery", "d:Description": "E-zine discusses some of his classic jazz lines from the 1960s and early 70s.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Carter,_Ron", "url": "http://www.bassplayer.com/article/ron-carters-groove/aug-03/794"} +{"d:Title": "Holland, Dave", "d:Description": "Biographies of bassist Holland and guitarist Jim Hall. Also a review of their concert in Zurich on Feb. 17, 2000.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Holland,_Dave", "url": "http://www.cosmopolis.ch/english/cosmo4/holland.htm"} +{"d:Title": "Holland, Dave", "d:Description": "Features biography, complete discography, sound bytes, recordings, itinerary, newsletter, publishing information, and photogallery for this bassist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Holland,_Dave", "url": "http://www.daveholland.com/"} +{"d:Title": "Answers.com:John Leitham", "d:Description": "Biographical article includes links to musical associates such as Woody Herman and Pete Christlieb, covers gender reassignment surgery and associated documentary.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Leitham,_Jennifer", "url": "http://www.answers.com/topic/john-leitham"} +{"d:Title": "Leitham, Jennifer", "d:Description": "Dubbed \"the left-handed virtuoso of the upright bass\" by Leonard Feather, she lists work with Mel Torme, George Shearing and Doc Severinsen. Reviews, endorsements, photos and audio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Leitham,_Jennifer", "url": "http://jenniferleitham.com/"} +{"d:Title": "The Real Me", "d:Description": "AllAboutJazz.com review of CD by the bassist and her trio, released in 2006 on the Sinistral label.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Leitham,_Jennifer", "url": "http://www.allaboutjazz.com/php/article.php?id=24070"} +{"d:Title": "The Real Mingus Web", "d:Description": "The official Charles Mingus site has a biography, discography, and photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Mingus,_Charles", "url": "http://www.mingusmingusmingus.com/"} +{"d:Title": "Charles Mingus", "d:Description": "July 23, 1998 review from the Boston Phoenix of the Mingus documentary \"Triumph of the Underdog\" and the boxed set \"Complete 1959 Columbia Recordings.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Mingus,_Charles", "url": "http://www.bostonphoenix.com/archive/music/98/07/23/CHARLES_MINGUS.html"} +{"d:Title": "Sue Mingus Interview", "d:Description": "In an interview, Charles Mingus' widow Sue Mingus discusses the genius of her husband and their loving relationship.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Mingus,_Charles", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=suemingus.html"} +{"d:Title": "Impulse Records - Charles Mingus", "d:Description": "Official Verve Records site. Includes soundclips, label discography, and profile.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Mingus,_Charles", "url": "http://www.vervemusicgroup.com/charlesmingus"} +{"d:Title": "A Tribute to Jaco Pastorius", "d:Description": "Features a tribute in photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Pastorius,_Jaco", "url": "http://www.asahi-net.or.jp/~ut1j-tndu/jaco.html"} +{"d:Title": "Jaco Pastorius", "d:Description": "The official web site dedicated to the great bass player. News, detailed discography, new album announcement, equipment, writings, and a discussion board.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Pastorius,_Jaco", "url": "http://www.jacopastorius.com/"} +{"d:Title": "Jaco Pastorius in Martinique, December 1984", "d:Description": "Photos, sound clips, and notes from a five day bass guitar class given by Pastorius.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Bassists/Pastorius,_Jaco", "url": "http://www.jpbasslessons.com/"} +{"d:Title": "Johnny Dodds", "d:Description": "Short biography of the jazz clarinetist with photo and Real Audio files.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Clarinetists", "url": "http://www.redhotjazz.com/jdodds.html"} +{"d:Title": "Martin, Mel", "d:Description": "Tips for beginners, private lessons, events and interviews from jazz masters, video and audio clips, photos, sheet music, and exercises.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Clarinetists", "url": "http://www.melmartin.com/index2.html"} +{"d:Title": "Skoler, Harry", "d:Description": "Jazz clarinetist. Writings, pictures, and recordings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Clarinetists", "url": "http://www.changingtones.com/skoler.html"} +{"d:Title": "PBS: Artie Shaw", "d:Description": "Biography associated with the Ken Burns documentary entitled \"Jazz.\" Audio clip with Shaw's voice.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Clarinetists/Shaw,_Artie", "url": "http://www.pbs.org/jazz/biography/artist_id_shaw_artie.htm"} +{"d:Title": "BBC Obituary: Artie Shaw", "d:Description": "Artie Shaw was one of the great figures of jazz, and almost as celebrated for his eight wives.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Clarinetists/Shaw,_Artie", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/2279022.stm"} +{"d:Title": "Guardian: Artie Shaw Dies Aged 94", "d:Description": "An obituary of the jazz clarinettist and big band legend.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Clarinetists/Shaw,_Artie", "url": "http://www.theguardian.com/world/2004/dec/31/usa.arts"} +{"d:Title": "Who2: Artie Shaw", "d:Description": "Brief profile with links to Ava Gardner and Lana Turner, two of his eight wives. Additional links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Clarinetists/Shaw,_Artie", "url": "http://www.who2.com/bio/artie-shaw"} +{"d:Title": "Baum, Jamie", "d:Description": "Features reviews, sound bites, itinerary for New York flautist Baum.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Flute_Players", "url": "http://www.jamiebaum.com/"} +{"d:Title": "Leder, Jan", "d:Description": "Features reviews, sound bites, itinerary, biography, and cds for this flautist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Flute_Players", "url": "http://janleder.info/"} +{"d:Title": "Weinstein, Mark", "d:Description": "Jazz flautist site offers photographs,links and cd samples of post-bebop and latin to download.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Flute_Players", "url": "http://www.jazzfluteweinstein.com/"} +{"d:Title": "Laws, Hubert", "d:Description": "Flutist in many music styles but perhaps best known for his jazz work. Includes a brief biography, sound clips, music sales, and appearance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Flute_Players", "url": "http://www.hubertlaws.com/"} +{"d:Title": "Mann, Herbie", "d:Description": "Webpage by Jim Newsom devoted to the jazz flautist includes audio clips, discography, and a biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Flute_Players/Mann,_Herbie", "url": "http://www.jimnewsom.com/HerbieMann.html"} +{"d:Title": "Monaco, Tony", "d:Description": "Organist from Columbus, Ohio. Sound clips, date calendar, CD and video sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Organists", "url": "http://b3monaco.com/"} +{"d:Title": "DeFrancesco, Joey", "d:Description": "Biography, show dates, CD information and photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Organists", "url": "http://www.joeydefrancesco.com/"} +{"d:Title": "Organissimo", "d:Description": "Jazz funk Hammond B3 organ trio offers gig schedule, samples from CDs, videos, and active chat forums.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Organists", "url": "http://www.organissimo.org/"} +{"d:Title": "Max Blumentrath", "d:Description": "Jazz organist living in Germany, the Netherlands and Spain. Site with biography, tour schedule and photo gallery.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Organists", "url": "http://www.maxblumentrath.com/"} +{"d:Title": "Jazzateria: Jimmy Smith", "d:Description": "Biography written by Pete Fallico in 1994 covers technical aspects of Smith's playing as well dates and places.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Organists/Smith,_Jimmy", "url": "http://www.jazzateria.com/roots/jsmith.html"} +{"d:Title": "Verve Records: Jimmy Smith", "d:Description": "Artist page from label site includes biography and cover art links to albums from allied labels complete with track lists, personnel and audio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Organists/Smith,_Jimmy", "url": "http://www.vervemusicgroup.com/jimmysmith"} +{"d:Title": "Zoller, Thomas", "d:Description": "Based in Munich, Germany. Biography, recording information, and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.thomaszoller.com/"} +{"d:Title": "Hawkins, Coleman", "d:Description": "Brief biography with suggested readings from the Red Hot Jazz Archive.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.redhotjazz.com/hawkins.html"} +{"d:Title": "Donaldson, Lou", "d:Description": "Biographical information on the jazz saxophonist. Includes discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://members.tripod.com/~hardbop/loudon.html"} +{"d:Title": "Wiedoeft, Rudy", "d:Description": "Wildly popular vaudeville performer of the early 20th century, the so-called \"Kreisler of the saxophone\" is credited with popularizing the instrument so that it became part of the jazz orchestra. Detailed history with shopping links for recordings and transcriptions.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.classicalmusicnow.com/Wiedoeft-bio.htm"} +{"d:Title": "Lovano, Joe", "d:Description": "Official website. Includes video/audio clips and downloads, complete discography, and history.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.joelovano.com/"} +{"d:Title": "McChrystal, Gerard", "d:Description": "Official website of Irish saxophonist McChrystal has biography, master class information, and a discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.saxsaxsax.com/"} +{"d:Title": "McLean, Jackie", "d:Description": "Mike Zwerin's profile of the alto saxophonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.culturekiosque.com/jazz/miles/rhemile24.htm"} +{"d:Title": "Mobley, Hank", "d:Description": "Biography with links to well-known collaborators as well as a discography with links to audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://members.tripod.com/~hardbop/mobley.html"} +{"d:Title": "Pine, Courtney", "d:Description": "Official site of the UK-based performer. Includes biography, touring dates, and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.courtney-pine.com/"} +{"d:Title": "Marsh, Warne", "d:Description": "Fan page devoted to the music and life of the tenor saxophonist. Includes a detailed discography and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.warnemarsh.info/"} +{"d:Title": "Carr, David Jr.", "d:Description": "Jazz saxophonist based in Dallas-Fort Worth area. Biography, CD sales, links to MP3s, bookings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.davidcarrjr.com/"} +{"d:Title": "Catalano, Frank", "d:Description": "Lists musicians he has performed with, including Louie Bellson, Charles Earland, and Tony Bennett. Biography, news, shows, and CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.catalanomusic.com/"} +{"d:Title": "Compton, Chris", "d:Description": "Smooth Jazz saxophonist Compton's site has audio clips, biography, concert photos, booking information and CDs for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.chriscompton.com/"} +{"d:Title": "Burnett, Chris", "d:Description": "Kansas City saxophonist and composer. Gig schedule, audio, photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.burnettmusic.com/"} +{"d:Title": "Tate, Rod", "d:Description": "Saxophonist has opened for Spyro Gyra. Biography with photos, chronology and CDs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://rodtate.com/"} +{"d:Title": "Blue, T.K.", "d:Description": "Official site. Includes biography, performance schedule, and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.tkblue.com/"} +{"d:Title": "Bennett, Daniel", "d:Description": "Based in Boston, U.S. Biography, concert schedule, and recording information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.danielbennett.net/"} +{"d:Title": "D'Rivera, Paquito", "d:Description": "Cuban-style player. Biography, discography, photos, and tour dates.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.paquitodrivera.com/"} +{"d:Title": "Han, Alex", "d:Description": "Teenage professional player based in New York City and Phoenix. Biography, discography, sound samples, and media story archives.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.alexhan.com/"} +{"d:Title": "Whiteman, Jason", "d:Description": "The official homepage for Houston-area saxophonist nicknamed \"SoulTrane\". Performance calendar, links to bands, equipment used, and personal information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://soultrane.freeunixhost.com/"} +{"d:Title": "Woods, Phil", "d:Description": "Noted straightahead alto saxophonist offers biography, discography, booking information, performance schedule, discussion board, and merchandise page.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.philwoods.com/"} +{"d:Title": "O'Neill, Michael", "d:Description": "Official site includes biography, performance information, recording information, and sound clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.mosax.com/"} +{"d:Title": "Bunney, Mark", "d:Description": "Official site of the gospel jazz performer. Site contains news, event listings, music samples, links, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.markbunney.com/"} +{"d:Title": "Lloyd, Charles", "d:Description": "Former member of the Cannonball Adderly Sextet as well as other groups including his own. Biography, calendar of performances, and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.charleslloyd.com/"} +{"d:Title": "Kammerer, Stephan", "d:Description": "Official home page of the performer based in New York City, U.S. Site includes information about performances, recordings, sound samples and an online presskit for concert promoters.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.stephankammerer.com/"} +{"d:Title": "Herrmann, Ray", "d:Description": "Official page. Includes biography, sound files and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.rayherrmann.com/"} +{"d:Title": "Jackson, Franz", "d:Description": "Official site of the Chicago Jazz player. Site includes biography, news, calendar, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.franzjackson.com/"} +{"d:Title": "Halley, Rich", "d:Description": "Site of the performer based in Oregon includes recordings, performance information, reviews, biography, writings and photographs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.richhalley.com/"} +{"d:Title": "Fuller, Sean", "d:Description": "Texas-born performer. Biography, live performance schedule, and photographs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://seanfuller.com/"} +{"d:Title": "Heinrich, John", "d:Description": "Studio musician in Nashville, U.S. Biography, sound samples, and FAQ.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.johnheinrich.net/"} +{"d:Title": "Manzin, Roberto", "d:Description": "Touring player in Europe. Biography, projects, performance calendar, and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://digilander.libero.it/robertomanzin/"} +{"d:Title": "Murray, Bryan", "d:Description": "Based in New York, U.S. Biography and performance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.bryanmurray.net/"} +{"d:Title": "Scott, Tom", "d:Description": "Biography of Grammy-winning journeyman session player and soloist mentions dates with stars such as Streisand, Sinatra and Quincy Jones. With tour date list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.tomscottmusic.com/"} +{"d:Title": "Tiberi, Frank", "d:Description": "Former Woody Herman Band member. Biography and discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.franktiberi.com/"} +{"d:Title": "Gayle, Andy", "d:Description": "Based in Birmingham, UK. Includes performance schedule, news, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.andygaylejazz.co.uk/"} +{"d:Title": "Spira, St\u00e9phane", "d:Description": "Official website of the performer based in Paris. Includes audio clips and downloads, complete discography, and history.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.spirajazz.com/"} +{"d:Title": "Stewart, Grant", "d:Description": "New York City-based tenor saxophonist. Biography, schedule, and recording information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.grantstewartjazz.com/"} +{"d:Title": "Iordache, Mihai", "d:Description": "Based in Romania. Includes sound files, reviews, arrangements, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.iordache.ro/"} +{"d:Title": "McDonald, Marc", "d:Description": "Official site of the New York City-based alto player. Site includes a biography, discography, photographs, sound files, and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://marcmcdonaldmusic.com/"} +{"d:Title": "Bennett, Eli", "d:Description": "Based in Vancouver, B.C., Canada. Biography, concert schedule, and sound clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.elibennett.com/"} +{"d:Title": "Millington, Mark", "d:Description": "Based in Surrey, UK. Includes performance dates, profile, and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.markmillington.co.uk/"} +{"d:Title": "Santee, Aaron", "d:Description": "Based in Wisconsin, U.S. Biography, performance schedule, and multimedia clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.aaronsantee.com/"} +{"d:Title": "Armacost, Tim", "d:Description": "Jazz corner page of tenor saxophonist Armacost features reviews, sound bites, favorite CDs, and biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.timarmacost.com/"} +{"d:Title": "Brooks, Tina", "d:Description": "Biography and discography of saxophonist Tina Brooks.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://members.tripod.com/~hardbop/brooks_discography.html"} +{"d:Title": "Biscoe, Chris", "d:Description": "British saxophonist, clarinetist, and bandleader.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.chrisbiscoe.co.uk/"} +{"d:Title": "Cannon, Gene", "d:Description": "A professional saxophone player in the Smooth Jazz world, with numerous contributions to national and international projects.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.genecannon.com/"} +{"d:Title": "Daly, Claire", "d:Description": "Features reviews, sound bites, CDs, itinerary, projects, and biography for baritone saxophonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.clairedalymusic.com/"} +{"d:Title": "Harper, Billy", "d:Description": "Features reviews, sound bites, itinerary, fan club, biography, photo gallery, CDs, and merchandise for sale for the saxophonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.billyharper.com/"} +{"d:Title": "Hill, Jenny", "d:Description": "Freelance sax and flute player based in New York City, mixing jazz, reggae and Latin sounds with her band, Liquid Horn. Sound clips and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.jennyhilljazz.com/"} +{"d:Title": "Johnston, Philip", "d:Description": "Information about the composer and saxophonist and his work, including with the Transparent Quartet, Microscopic Septet, and Big Trouble.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.phillipjohnston.com/"} +{"d:Title": "Kendall, Paul", "d:Description": "Album reviews, music samples, and personal information for the saxophonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.kendalljazz.com/"} +{"d:Title": "Osby, Greg", "d:Description": "New York saxophonist offers biography, interviews, discography, recordings, tour schedule, forum, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.gregosby.com/"} +{"d:Title": "Paddock, Scott", "d:Description": "Homepages of Baltimore saxophonist Paddock includes information on his two bands, biography, a picture gallery, reviews, and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.scottpaddock.com/"} +{"d:Title": "Perelman, Ivo", "d:Description": "Avant garde jazz tenor saxophonist Ivo Perelman, a Brazilian musician, his recordings and his parallel career as an abstract painter.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.ivoperelman.com/"} +{"d:Title": "Pilzer, Leigh", "d:Description": "Saxophonist. Biography, discography, sound clips, pictures, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.leighpilzer.com/"} +{"d:Title": "Pine, Courtney", "d:Description": "Biography, music and gigs of the jazz saxophonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.collaborationuk.com/"} +{"d:Title": "Robinson, Jason", "d:Description": "Contemporary jazz/reggae/experimental saxophone player. Biography, photo album, reviews, recordings, and an independent record label.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.jasonrobinson.com/"} +{"d:Title": "Romus, Rent", "d:Description": "The web pages for avant-garde jazz saxophonist Romus have mp3 sound samples, biography and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.romus.net/"} +{"d:Title": "Schwartz, Anton", "d:Description": "Seattle and San Francisco based saxophonist and composer contains concert listings, CD information, audio, reviews, booking information, and jazz CD picks.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://antonjazz.com/"} +{"d:Title": "Sheppard, Bob", "d:Description": "Multi-woodwind studio and touring musician's official website. Biography and information about his new CD and jazz clinics.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.bobsheppard.net/"} +{"d:Title": "Vougas, Stratos", "d:Description": "Website of jazz saxophonist Vougas includes biographical information, discography, pictures, transcriptions, and sound clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://www.stratosvougas.com/"} +{"d:Title": "Allen, Mike", "d:Description": "Official site of jazz tenor saxophonist Allen includes biography, press clips, coming events and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://members.shaw.ca/mikeallen/"} +{"d:Title": "Liebman, David", "d:Description": "Official site of saxophonist Liebman includes biography, discography, publications and reviews, photos, and interviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists", "url": "http://davidliebman.com/"} +{"d:Title": "The Cannonball Adderley Rendez-vous", "d:Description": "Biography, band members over the years, solos, discography with liner notes, lyrics, filmography, photo gallery, articles, message board, and mailing list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Adderley,_Julian_Cannonball", "url": "http://www.cannonball-adderley.com/"} +{"d:Title": "MTV: Cannonball Adderley", "d:Description": "Reviews, news, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Adderley,_Julian_Cannonball", "url": "http://www.mtv.com/artists/cannonball-adderley/"} +{"d:Title": "All Music Guide: Peter Apfelbaum", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Apfelbaum,_Peter", "url": "http://www.allmusic.com/artist/peter-apfelbaum-p6024"} +{"d:Title": "Free Spirit: Rediscovering Albert Ayler", "d:Description": "Article from the Boston Phoenix by Ed Hazel concerning the release of \"Albert Ayler Live in Greenwich Village: The Complete Impulse Recordings.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Ayler,_Albert", "url": "http://www.bostonphoenix.com/archive/music/98/12/03/ALBERT_AYLER.html"} +{"d:Title": "Albert Ayler Discography Project", "d:Description": "Detailed discography and sessionography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Ayler,_Albert", "url": "http://www.jazzdisco.org/ayler/"} +{"d:Title": "Albert Ayler", "d:Description": "A complete discography, biography, photos, articles and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Ayler,_Albert", "url": "http://www.ayler.co.uk/"} +{"d:Title": "Red Hot Jazz: Sidney Bechet", "d:Description": "Biography, RealAudio file, filmography, and bibliography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Bechet,_Sidney", "url": "http://www.redhotjazz.com/bechet.html"} +{"d:Title": "The Sidney Bechet Society, Ltd.", "d:Description": "Dedicated to the preservation and enjoyment of the music of the soprano saxophonist, with a biography and discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Bechet,_Sidney", "url": "http://www.sidneybechet.org/"} +{"d:Title": "Michael Brecker", "d:Description": "Official site of the late performer. Includes news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Brecker,_Michael", "url": "http://www.michaelbrecker.com/"} +{"d:Title": "What Coltrane Wanted", "d:Description": "The legendary saxophonist forsook lyricism for the quest for ecstasy. Article by Edward Strickland from the Atlantic Monthly. [Requires subscription]", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.theatlantic.com/unbound/jazz/strickla.htm"} +{"d:Title": "John Coltrane - Cosmopolis", "d:Description": "Biography of the co-founder of Free Jazz", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.cosmopolis.ch/english/cosmo8/coltrane.htm"} +{"d:Title": "JohnColtrane.com", "d:Description": "Extensive official Coltrane site includes biography, photographs, licensing information, music and scholarship information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.johncoltrane.com/"} +{"d:Title": "Remembering John Coltrane", "d:Description": "Profile by jazz historian and critic Mike Zwerin.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.culturekiosque.com/jazz/miles/rhemile11.htm"} +{"d:Title": "John Coltrane book author Ashley Kahn", "d:Description": "Ashley Kahn, author of \"A Love Supreme: The Story of John Coltrane's Signature Album,\" discusses Coltrane in an exclusive intervew with Jerry Jazz Musician.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=kahn-als.html"} +{"d:Title": "Ascension of an Icon: Marketing of John Coltrane", "d:Description": "Rashied Gabriel's essay on John Coltrane from the Austin Chronicle.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.austinchronicle.com/issues/vol15/issue22/music.coltrane.html"} +{"d:Title": "John Coltrane - My Favorite Things", "d:Description": "An on-line thesis titled 'John Coltrane, Avant Garde Jazz, and the Evolution of \"My Favorite Things\"' with bibliography, discography and pictures.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://room34.com/?q=node/9"} +{"d:Title": "The Art of John Coltrane and Ralph Ellison", "d:Description": "Thesis discusses the Black American Experience through the work of iconic figures saxphonist John Coltrane and Invisible Man author Ralph Ellison.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://pages.cs.wisc.edu/~wright/music/coltrane-ellison/paper.html"} +{"d:Title": "All Music Guide: John Coltrane", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.allmusic.com/artist/p65851"} +{"d:Title": "Impulse! Records: John Coltrane", "d:Description": "Official site includes soundclips for every track in Trane's discography, and a biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Coltrane,_John", "url": "http://www.vervemusicgroup.com/johncoltrane"} +{"d:Title": "Eric Dolphy - Outward Bound", "d:Description": "Devoted to the late Eric Dolphy. Discography, sound samples, and links to other resources.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Dolphy,_Eric", "url": "http://adale.org/Eric.html"} +{"d:Title": "Verve Records: Stan Getz", "d:Description": "Official site includes soundclips for his entire label discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Getz,_Stan", "url": "http://www.vervemusicgroup.com/stangetz"} +{"d:Title": "Dexter Gordon", "d:Description": "Photograph, liner notes by Ira Gitler from \"Doin' Allright\" on Blue note and a discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Gordon,_Dexter", "url": "http://members.tripod.com/~hardbop/dex.html"} +{"d:Title": "Gordon, Dexter", "d:Description": "Official site for the bebop pioneer offers biography, discography, list of compositions with clearance request form, and shopping links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Gordon,_Dexter", "url": "http://www.dextergordon.com/"} +{"d:Title": "Concord Records: Scott Hamilton", "d:Description": "Label page offers detailed biography and discography with photos, audio and shopping links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Hamilton,_Scott", "url": "http://www.concordmusicgroup.com/artists/scott-hamilton/"} +{"d:Title": "Joe Henderson", "d:Description": "The history of Henderson's start in music, on the pages of Hard Bop's Greatest Hits.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Henderson,_Joe", "url": "http://members.tripod.com/~hardbop/henderson.html"} +{"d:Title": "Joe Henderson Interview", "d:Description": "Interview with Henderson by Mel Martin, originally published in The Saxophone Journal March/April 1991.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Henderson,_Joe", "url": "http://www.melmartin.com/html_pages/Interviews/henderson.html"} +{"d:Title": "Two Rainbows and a Grey Suit", "d:Description": "Review of a concert featuring both Joe Henderson and Roy Hargrove, in Vancouver, B.C., May 19, 1996.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Henderson,_Joe", "url": "http://www.dropd.com/issue/9/JoeHenderson/index.html"} +{"d:Title": "Joe Henderson", "d:Description": "Photos of saxophonist Henderson.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Henderson,_Joe", "url": "http://www.gallery41.com/JazzArtists/JoeHenderson.htm"} +{"d:Title": "Allmusic: Illinois Jacquet", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Jacquet,_Illinois", "url": "http://www.allmusic.com/artist/p6798"} +{"d:Title": "All About Jazz: The Constantly Creative Lee Konitz", "d:Description": "Interview by R.J. DeLuke.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Konitz,_Lee", "url": "http://www.allaboutjazz.com/php/article.php?id=1087"} +{"d:Title": "BBC Radio 3: Lee Konitz", "d:Description": "Profile.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Konitz,_Lee", "url": "http://www.bbc.co.uk/radio3/jazz/profiles/lee_konitz.shtml"} +{"d:Title": "Sons of Miles: Lee Konitz: How To Get Away From Fixed Functions", "d:Description": "Interview by Mike Zwerin. \"I guess I've earned the right to say it by now, I'm a professional improviser.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Konitz,_Lee", "url": "http://www.culturekiosque.com/jazz/miles/rhemile21.htm"} +{"d:Title": "Lee Konitz: Back to Basics", "d:Description": "Article by David Kasten and Lee Kontz from Downbeat Magazine, about improvisation.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Konitz,_Lee", "url": "http://www.melmartin.com/html_pages/Interviews/konitz.html"} +{"d:Title": "Charlie Parker Residence", "d:Description": "A photo and short article about \"Bird's House\" which is now on the National Register of Historic Places.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Parker,_Charlie", "url": "http://www.charlieparkerresidence.net/"} +{"d:Title": "Chasin' The Bird", "d:Description": "Introducing the jazz master, Charlie Parker.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Parker,_Charlie", "url": "http://www.chasinthebird.com/index_e.html"} +{"d:Title": "Flip Phillips: Swing is the Thing", "d:Description": "All About Jazz CD review by Matt Robinson from 2003 with cover art, track list, and shopping links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Phillips,_Flip", "url": "http://www.allaboutjazz.com/php/article.php?id=11144"} +{"d:Title": "Sonny Rollins: Saxophone Colossus", "d:Description": "Report on a press conference by jazz critic and historian Mike Zwerin.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Rollins,_Sonny", "url": "http://www.culturekiosque.com/jazz/miles/rhemile17.htm"} +{"d:Title": "A Conversation with Gary Giddins", "d:Description": "The Village Voice critic discusses the career of Sonny Rollins. Lengthy interview with sound samples and pictures.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Rollins,_Sonny", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=giddins-rollins.html"} +{"d:Title": "Jerry Jazz Musician: Loren Schoenberg", "d:Description": "Interview in which the author discusses writing \"The NPR Curious Listener's Guide to Jazz\" as well as memories of Teddy Wilson and Benny Goodman. With photos and audio links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Schoenberg,_Loren", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=schoenberg.html"} +{"d:Title": "Loren Schoenberg", "d:Description": "Official site of New York saxophonist, big band leader and arranger lists press reviews, recordings and writings, and describes his work toward a jazz museum in Harlem and his collaborations with Benny Goodman.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Schoenberg,_Loren", "url": "http://lorenschoenberg.com/"} +{"d:Title": "Wikipedia: Loren Schoenberg", "d:Description": "Detailed biography emphasizes his writings and books including \"The NPR Curious Listener's Guide to Jazz.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Schoenberg,_Loren", "url": "http://en.wikipedia.org/wiki/Loren_Schoenberg"} +{"d:Title": "Archie Shepp", "d:Description": "Official website of the tenor saxophonist, has biography, discography, reviews, the Archie Shepp fan club, and booking information", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Shepp,_Archie", "url": "http://www.archieshepp.net/"} +{"d:Title": "Tomaro, Mike", "d:Description": "Saxophonist, flutist, clarinetist, composer, arranger and educator at Duquesne University in Pittsburgh, Pennsylvania, co-founder of Three Rivers Jazz Band, long-time leader of US Army jazz band. Biography, recordings, published compositions and big band arrangements.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Tomaro,_Mike", "url": "http://www.miketomaro.com/"} +{"d:Title": "Kendor Music - Mike Tomaro", "d:Description": "Original compositions for sale from the publisher, with annotations and performance notes.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Tomaro,_Mike", "url": "http://www.kendormusic.com/composer_music/tomaro.htm"} +{"d:Title": "Yamaha Musical Instruments - Mike Tomaro", "d:Description": "Artist page for the Yamaha saxophones endorser.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Tomaro,_Mike", "url": "http://www.yamaha.com/yamahavgn/Images/Artists/Main/mike_tomaro_main.jpg"} +{"d:Title": "Home Again - Album Review", "d:Description": "All Music Guide review of Tomaro's 1994 independently released CD, from Answers.com.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Tomaro,_Mike", "url": "http://www.answers.com/topic/home-again-jazz-album-1"} +{"d:Title": "Perfect Sound Forever: David S. Ware", "d:Description": "Introduction, interview, and transcription by William Sacks.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Ware,_David_S.", "url": "http://www.furious.com/perfect/davidsware.html"} +{"d:Title": "AUM Fidelity: David S. Ware", "d:Description": "Record label's site, with photograph, biography, discography, and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Ware,_David_S.", "url": "http://www.aumfidelity.com/david-s-ware.html"} +{"d:Title": "Jazz Weekly: A Fireside Chat with David S. Ware", "d:Description": "Fred Jung's interview with the artist. Includes black and white photographs of Ware.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Saxophonists/Ware,_David_S.", "url": "http://jazzweekly.com/interviews/ware.htm"} +{"d:Title": "Lowe, Chris", "d:Description": "UK trombonist, composer and arranger, since 2002 the leader of a free-bop avant-garde quintet inspired by Graham Moncur III. Photo gallery.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://www.chrislowejazz.co.uk/"} +{"d:Title": "Fontana, Carl", "d:Description": "Legendary Las Vegas jazz trombonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://www.jazzmasters.nl/fontana.htm"} +{"d:Title": "Rosolino, Frank", "d:Description": "Biography and discography of this tragic jazz trombonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://www.jazzmasters.nl/rosolino.htm"} +{"d:Title": "Wiest, Steve", "d:Description": "Former trombonist with the Maynard Ferguson Band. This page is an interview that includes WAV and RealAudio sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://maynard.ferguson.net/steve.htm"} +{"d:Title": "Eubanks, Robin", "d:Description": "Features reviews, sound bites, itinerary, biography, concepts, projects, and CDs for the trombonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://robineubanks.com/"} +{"d:Title": "Reeves, Scott", "d:Description": "Trombonist, alto flugelhornist, composer, arranger, conductor, associate professor of music at City College of New York, leader of trombone jazz ensemble, and author of jazz textbooks. Biography, links to books.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://www.creativejazz.com/"} +{"d:Title": "Trombone Poetry", "d:Description": "UK trombonist and poet Paul Taylor mixes improvised jazz and poetry in solo and combo performances. Biography, scheduled performances, audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://www.trombonepoetry.com/"} +{"d:Title": "McConnell, Rob", "d:Description": "Fan site from Mike Quigley for the valve trombonist and big band leader from Ontario, Canada.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://www.mjq.net/bossbrass/"} +{"d:Title": "Bert, Eddie", "d:Description": "Jazz trombonist from New York. CD sample, picture, short biography, and discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://www.eddiebertjazz.com/"} +{"d:Title": "Bird, Vic", "d:Description": "Trombonist, bandleader, and conductor located in Orlando, Florida. Include photographs, MP3 sound samples, biography, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists", "url": "http://victorbird.tripod.com/"} +{"d:Title": "Trombone Page of the World: Urbie Green", "d:Description": "Biography touches on stints with Woody Herman and Gene Krupa as well as free-lance studio work and instrument design improvements. Photos, partial discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trombonists/Green,_Urbie", "url": "http://www.trombone-usa.com/green_urbie.htm"} +{"d:Title": "Van der Molen, Ellister", "d:Description": "Brief biography of this trumpet player and flugelhornist and links to European jazz ladies site.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.ellister.com/"} +{"d:Title": "Knight, Cullen", "d:Description": "Includes biography, recordings samples, written musicals, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.cullenknightmusic.com/"} +{"d:Title": "Arthurs, Tom", "d:Description": "Features biographies, tour schedule, projects, media, and contact information relating to this British jazz artist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.tomarthurs.co.uk/"} +{"d:Title": "Jones, Leroy", "d:Description": "New Orleans jazz trumpeter. Biography, tour dates, audio clips, and images.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.satchmo.com/leroyjones/"} +{"d:Title": "Noday, Dennis", "d:Description": "Former trumpeter for Maynard Ferguson and Stan Kenton. Biography, recordings, bookings, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.cyberjaz.com/noday/"} +{"d:Title": "Shaw, Woody", "d:Description": "Dedicated to this jazz composer and player. Biography, discography, audio and video clips, transcriptions, photographs and press reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.woodyshaw.com/"} +{"d:Title": "Shew, Bobby", "d:Description": "Performance schedule, discography, playing tips, resume, and biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.bobbyshew.com/"} +{"d:Title": "Stamm, Marvin", "d:Description": "Biography of the American Jazz Trumpeter with information about his music workshops", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.marvinstamm.com/"} +{"d:Title": "V, Frankie", "d:Description": "Smooth jazz trumpeter Frankie V's latest CD is \"Smooth Ride\" featuring Arturo Sandoval. Site has biography, tour dates, and projects.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.frankiev.com/"} +{"d:Title": "Vitale, Richie", "d:Description": "Features reviews, discography, sound bites, recordings, itinerary, and biography for this trumpeter.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://richievitale.com/"} +{"d:Title": "Merrill, Bob", "d:Description": "New York jazz trumpeter, vocalist and big bandleader. Audio samples, CDs for sale, gig and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://bobmerrill.net/"} +{"d:Title": "Metheny, Mike", "d:Description": "Jazz trumpeter's biography, discography, audio clips, performance schedule, and CD purchase.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.mikemetheny.com/"} +{"d:Title": "Morrison, James", "d:Description": "Official site for the jazz trumpeter includes biography, multimedia, drum jokes, and cd information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.jamesmorrison.com.au/"} +{"d:Title": "MacDonald, Kirk", "d:Description": "Recording artist, composer, and educator, residing in Toronto, Canada. Biography, gigs, discography and RealPlayer music clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://kirkmacdonald.com/"} +{"d:Title": "Blanchard, Terence", "d:Description": "Trumpeter gained prominence in New York in the 1980s, has recorded for Sony and Blue Note including film soundtracks for Spike Lee.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.terenceblanchard.com/"} +{"d:Title": "Souza, Johnny", "d:Description": "(Johnny Souza Jazz Ensemble) Boston area based classic jazz quintet. Upcoming events, sound samples, and CD information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.johnnysouza.com/"} +{"d:Title": "Roney, Wallace", "d:Description": "Features a biography, discography, multimedia, upcoming events, and resources.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.wallaceroney.net/"} +{"d:Title": "Ferguson, Maynard", "d:Description": "Tour dates, pictures, merchandise, fan club, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.maynardferguson.com/"} +{"d:Title": "Persson, Brent", "d:Description": "Bent Persson, internationally renowned jazz trumpeter, especially for his renditions of Louis Armstrongs 50 Hot Choruses of 1927.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.visit.se/~bentpersson"} +{"d:Title": "Jacobs, Dan", "d:Description": "Trumpet player and recording artist active since the 1950s describes live performances and albums produced with links to his published articles, and photos with Bob James, Chick Corea, and Bobby Shew.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.danjacobsmusic.com/"} +{"d:Title": "Renliden, Weine", "d:Description": "Veteran Swedish trumpet player known for Armstrong-era repertoire worked with Harry Arnold and the Swedish Radio band from 1956 to 1965. History, audio, CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.weinerenliden.com/"} +{"d:Title": "Dorham, Kenny", "d:Description": "Discography, biography, and a list of articles and interviews about be-bop trumpeter Dorham.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://members.tripod.com/~hardbop/dorham_discography.html"} +{"d:Title": "Douglas, Dave", "d:Description": "Biography of composer and trumpeter Douglas with discography, concert and CD reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.cosmopolis.ch/english/cosmo7/daved.htm"} +{"d:Title": "Hackett, Bobby", "d:Description": "A detailed biography of Hackett's professional affiliations and recording dates including a sound byte of his celebrated cornet solo on Glenn Miller's \"String of Pearls\".", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.libertyhall.com/bobby.html"} +{"d:Title": "Knight, Peter", "d:Description": "Website of Australian jazz trumpeter, composer and vocalist Knight contains Real Player audio files, player profiles, photographs and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.peterknightmusic.com/"} +{"d:Title": "Naazir", "d:Description": "Home page of New York City area trumpet player, and musical consultant includes sound samoles in real audio format.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://art-alive.com/naazir"} +{"d:Title": "Payton, Nicholas", "d:Description": "Biography, cd information and concert reviews for trumpeter Payton.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.cosmopolis.ch/english/cosmo13/payton.htm"} +{"d:Title": "Sharif, Jamil", "d:Description": "Webpage of native New Orleans trumpeter Sharif who has recorded and performed with Wynton Marsalis, Dr. John, and Clarence Gatemouth Brown.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.jamilsharif.com/"} +{"d:Title": "Tolliver, Charles", "d:Description": "Biography, reviews, and discography on trumpeter Tolliver.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.serecs.com/CharlesTolliver.html"} +{"d:Title": "Edmonds, Paul", "d:Description": "London-based trumpet player, pianist and composer influenced by Chet Baker and Miles Davis. Artist profile, gigs, and music sales by CD and download.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.journeyoftheheart.co.uk/"} +{"d:Title": "Miller, Melvin M.", "d:Description": "Atlanta based smooth jazz trumpet artist. Biography, upcoming events, pictures and audio clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.melvinmmiller.com/"} +{"d:Title": "Rava, Enrico", "d:Description": "Biography, discography, photo gallery, press releases and concert schedule of the Italian musician.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.enricorava.com/"} +{"d:Title": "Szabo, Rich", "d:Description": "Former Maynard Ferguson trumpeter leads an all-star jazz orchestra in NYC. Booking information, biography and a trumpet clinic.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://www.richszabo.com/"} +{"d:Title": "Grenadier, Phil", "d:Description": "Jazz artist. Biography, discography, and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players", "url": "http://philgrenadierjazz.com/"} +{"d:Title": "Artist Direct: Nat Adderley", "d:Description": "Biography, message board and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Adderley,_Nat", "url": "http://www.artistdirect.com/artist/nat-adderley/395562"} +{"d:Title": "MTV: Nat Adderley", "d:Description": "Reviews, news, audio, biography, discography, links, and a bulletin board.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Adderley,_Nat", "url": "http://www.mtv.com/artists/nat-adderley/"} +{"d:Title": "Louis Armstrong Online", "d:Description": "Biography, archives, FAQs, recordings, books, bulletin board.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://www.satchmo.net/"} +{"d:Title": "Louis Armstrong Stamps", "d:Description": "Stamps from around the world honoring Armstrong.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://www.libertyhall.com/stamp/1971.html"} +{"d:Title": "Louis Armstrong", "d:Description": "Biography and a history of jazz before 1930. Contains over 1000 songs from the era in Real Audio 3 format.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://www.redhotjazz.com/louie.html"} +{"d:Title": "Louis Armstrong Centennial", "d:Description": "Tribute to \"Pops\" features upcoming events, a discussion forum, quotes, awards and honors, merchandise, and free e-mail.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://www.satchmo.com/louisarmstrong/"} +{"d:Title": "Grave of Louis Armstrong", "d:Description": "Final resting place with photographs of him and the gravesite. Includes interactive tribute section.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=36"} +{"d:Title": "IMDb: Louis Armstrong", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://imdb.com/name/nm0001918/"} +{"d:Title": "Wikipedia: Louis Armstrong", "d:Description": "Article with sections on the musician's life, personality, music and legacy, plus quotations by and about him and audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://en.wikipedia.org/wiki/Louis_Armstrong"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography of Armstrong.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://rockhall.com/inductees/louis-armstrong/"} +{"d:Title": "Verve Music Group: Louis Armstrong", "d:Description": "Label site includes a timeline and soundclips from his recordings for Decca and Verve.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://www.vervemusicgroup.com/louisarmstrong"} +{"d:Title": "The Louis Armstrong Discography", "d:Description": "A collector's site featuring the recorded legacy of a premier figure in American jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Armstrong,_Louis", "url": "http://michaelminn.net/discographies/armstrong/"} +{"d:Title": "Bix Beiderbecke Memorial Society", "d:Description": "Information on the musician and jazz festival in his honor.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Beiderbecke,_Bix", "url": "http://www.bixsociety.org/"} +{"d:Title": "Red Hot Jazz: Bix Beiderbecke", "d:Description": "Biography, discography, and bibliography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Beiderbecke,_Bix", "url": "http://www.redhotjazz.com/bix.html"} +{"d:Title": "Bix: The Story of a Young Man and His Horn", "d:Description": "Abstract of an article from Smithsonian Magazine.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Beiderbecke,_Bix", "url": "http://www.smithsonianmag.com/arts-culture/bix-the-story-of-a-young-man-and-his-horn-50402450/"} +{"d:Title": "Famous Iowans: Bix Beiderbecke", "d:Description": "Des Moines Register profile with two photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Beiderbecke,_Bix", "url": "http://data.desmoinesregister.com/famous-iowans/bix-beiderbecke"} +{"d:Title": "Redhotjazz.com - Charles \"Buddy\" Bolden", "d:Description": "Biography with link to pictures of Bolden's band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Bolden,_Buddy", "url": "http://www.redhotjazz.com/buddy.html"} +{"d:Title": "Southern Music Network - Buddy Bolden", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Bolden,_Buddy", "url": "http://www.southernmusic.net/buddybolden.htm"} +{"d:Title": "All That Jazz - Buddy Bolden", "d:Description": "Brief biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Bolden,_Buddy", "url": "http://atj.8k.com/noartist/atjbolden.html"} +{"d:Title": "Buddy Bolden, the unrecorded pioneer of jazz", "d:Description": "Details of a poetic biography of Bolden published by Fithian press.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Bolden,_Buddy", "url": "http://www.danielpublishing.com/books/suppl/bisso.html"} +{"d:Title": "Buddy Bolden 4x", "d:Description": "Describes the music of the pioneer jazz trumpeter, and summarizes \"The Loudest Trumpet - Buddy Bolden and the Early History of Jazz\" by Daniel Hardie.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Bolden,_Buddy", "url": "http://members.ozemail.com.au/~darnhard/BuddyBolden.html"} +{"d:Title": "Imagining Buddy Bolden - Dave Radlauer", "d:Description": "Thorough Bolden summary including discography and bibliography", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Bolden,_Buddy", "url": "http://www.jazzhotbigstep.com/179.html"} +{"d:Title": "Brecker, Randy", "d:Description": "Features reviews, discography, sound bites, recordings, itinerary, biography, and photogallery for Grammy award winning trumpeter.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Brecker,_Randy", "url": "http://www.randybrecker.com/"} +{"d:Title": "Cosmopolis: Clifford Brown", "d:Description": "Biography of the late jazz trumpeter and composer.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Brown,_Clifford", "url": "http://www.cosmopolis.ch/english/cosmo10/cliffordbrown.htm"} +{"d:Title": "Brownie: Celebrating the Life of Jazz Trumpeter Clifford Brown", "d:Description": "Includes a short biography, discography, transcribed solos, quotes, photos, and related links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Brown,_Clifford", "url": "http://www.cliffordbrown.net/"} +{"d:Title": "Verve Records: Clifford Brown", "d:Description": "Label site has a profile, and discography with soundclips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Brown,_Clifford", "url": "http://www.vervemusicgroup.com/cliffordbrown"} +{"d:Title": "Hard Bop Homepage: Donald Byrd", "d:Description": "Biography and selected discography from mystery novelist and jazz fan Eric B. Olsen.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Byrd,_Donald", "url": "http://members.tripod.com/~hardbop/byrd.html"} +{"d:Title": "Don Cherry, World Jazz Spirit", "d:Description": "Article from The Wire.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Cherry,_Don", "url": "http://www.jazzhouse.org/files/mandel4.php3"} +{"d:Title": "Gallery 41 Presents Don Cherry", "d:Description": "Audio files from an interview and a few photos; a sample from a multimedia CD-ROM they have released.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Cherry,_Don", "url": "http://www.gallery41.com/JazzArtists/DonCherry.htm"} +{"d:Title": "Sons of Miles", "d:Description": "Profiles by Mike Zwerin on Davis and various musicians who played with Miles. Among them, John Coltrane, Jackie McLean, Sonny Rollins, and Wayner Shorter.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles", "url": "http://www.culturekiosque.com/jazz/miles/rhemiles.htm"} +{"d:Title": "Miles Davis", "d:Description": "Official site of Davis's estate, has biographical information, discography, pictures and includes online store to purchase Davis memorabilia, music, and books.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles", "url": "http://www.milesdavis.com/"} +{"d:Title": "Miles Davis Interview", "d:Description": "Musician Jools Holland (Squeeze) interviews Miles Davis for UK television in 1986 (in Real Audio format).", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles", "url": "http://www.mboss.force9.co.uk/miles/"} +{"d:Title": "Miles Ahead: A Miles Davis Website", "d:Description": "Peter Losin's comprehensive discography divided by decades covers both Prestige and Columbia recordings. Includes recording dates, track listings, track times, and musicians. Also an extensive photo gallery.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles", "url": "http://www.plosin.com/milesAhead/"} +{"d:Title": "Topix: Miles Davis", "d:Description": "News about Miles Davis, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles", "url": "http://www.topix.com/rss/who/miles-davis.xml"} +{"d:Title": "RollingStone.com: Miles Davis", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles", "url": "http://www.rollingstone.com/music/artists/miles-davis"} +{"d:Title": "Interview with Ashley Kahn", "d:Description": "Jerry Jazz Musician interviews \"Making of Kind of Blue\" author Kahn.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles", "url": "http://www.jerryjazzmusician.com/2002/04/kind-of-blue-the-making-of-the-miles-davis-masterpiece-author-ashley-kahn/"} +{"d:Title": "Eno on Miles Davis", "d:Description": "Brian Eno's musings on Miles Davis and the relationship between the music and the context (taken from The Wire Dec./Jan. 1993).", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Articles_and_Interviews", "url": "http://www.hyperreal.org/music/artists/brian_eno/interviews/Miles.html"} +{"d:Title": "Spirit of Miles", "d:Description": "The Nebula Net-zine's 11th issue combines Richard Stevenson's poems inspired by Miles' music with artwork by Marlene Menard.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Articles_and_Interviews", "url": "http://kenstange.com/nebula/feat011/"} +{"d:Title": "Wikipedia: Miles Davis", "d:Description": "Biographical article chronicling the development of the man and his music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Miles_Davis"} +{"d:Title": "The Art of Miles Davis", "d:Description": "Gallery of several Miles Davis prints based on his paintings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Image_Galleries", "url": "http://www.comptoncassey.demon.co.uk/"} +{"d:Title": "Aloha Miles: 1988-1989", "d:Description": "Miles Davis in Honolulu, Hawaii concert.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Image_Galleries", "url": "http://alohamiles.tripod.com/index.htm"} +{"d:Title": "Miles Davis- The Electric Years- Perfect Sound Forever", "d:Description": "An appreciation of the \"electric\" period of the late sixties and early seventies, which turned off many long-time fans but continues to make many new ones.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Reviews", "url": "http://www.furious.com/perfect/miles.html"} +{"d:Title": "The Complete Bitches Brew Sessions", "d:Description": "Reviews of the Bitches Brew box set, Wadada Leo Smith and Henry Kaiser's \"Yo! Miles,' and the tribute album \"Endless Miles.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Reviews", "url": "http://www.swaves.com/Back_Issues/Feb99/miles_davis.htm"} +{"d:Title": "Miles Reissues", "d:Description": "Reviews the reissued live electric recordings of the seventies.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Reviews", "url": "http://www.soul-patrol.com/jazz/miles.htm"} +{"d:Title": "Sketches of Miles Davis and Gil Evans", "d:Description": "Miles Davis biographer Jack Chambers reviews \"Miles Davis and Gil Evans: The Complete Columbia Studio Recordings.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Reviews", "url": "http://www.chass.utoronto.ca/~chambers/miles.html"} +{"d:Title": "Atlantic Monthly: The Book on Miles", "d:Description": "Critic Francis Davis (no relation) comments on the Miles autobiography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Reviews", "url": "http://www.theatlantic.com/unbound/jazz/dbook.htm"} +{"d:Title": "Miles Davis - The Complete Bitches Brew Sessions", "d:Description": "Steve Marshall's review: \"there's a reason that it became the first gold record of Miles' career. Once you hear this newly remastered version, you'll understand why.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Davis,_Miles/Reviews/The_Complete_Bitches_Brew_Sessions", "url": "http://www.thenightowl.com/reviews/bbrew.htm"} +{"d:Title": "Dizzy Gillespie", "d:Description": "Information about Alyn Shipton and his biography of the bebop artist Dizzy Gillespie: Groovin' High.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Gillespie,_Dizzy", "url": "http://www.cosmopolis.ch/english/cosmo2/dizzy.htm"} +{"d:Title": "The Dizzy Gillespie Alumni All-stars", "d:Description": "Reviews, biographies and booking information for these alumni from Dizzy Gillespie's band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Gillespie,_Dizzy", "url": "http://dizzygillespie.org/"} +{"d:Title": "Verve Records: Dizzy Gillespie", "d:Description": "Official site includes soundclips for his entire label discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Trumpet_Players/Gillespie,_Dizzy", "url": "http://www.vervemusicgroup.com/dizzygillespie"} +{"d:Title": "Venuti, Giuseppe (Joe)", "d:Description": "Profile of a jazz violinist who was to be a major influence on Django Reinhardt and St\u00e9phane Grappelli; includes links to his bands and a filmography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists", "url": "http://www.redhotjazz.com/venuti.html"} +{"d:Title": "Cooper, Ian", "d:Description": "Australian-born jazz violinist; biography, tour dates, discography, details of his bands, audio and video clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists", "url": "http://www.iancooper.com/"} +{"d:Title": "Dixon, Akua", "d:Description": "Features reviews, sound bites, itinerary, biography, cello chat, and discography for this cellist. Requires Flash.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists", "url": "http://www.akuadixon.com/"} +{"d:Title": "Jones, Susan", "d:Description": "Jazz violinist leads band with guitar, bass and drums, playing gigs in and around Washington, DC. Gig schedule and sound samples from compact disc available for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists", "url": "http://www.violindreams.com/"} +{"d:Title": "Kalmanovitch, Tanya", "d:Description": "Canadian jazz violist and violinist. Photo, biography, reviews, tour photos, teaching, performing schedule, writings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists", "url": "http://www.tanyakalmanovitch.com/"} +{"d:Title": "French Jazz Violinist Stephane Grappelli Dead At 89", "d:Description": "Reuters wire obituary by Lee Yanowitch.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists/Grappelli,_Stephane", "url": "http://www.cyberbites.com/marleys_ghost/grappelliobit.html"} +{"d:Title": "Jean-Luc Ponty", "d:Description": "The official home page for Jean-Luc Ponty. Contains a biography, discography, photos, and review excerpts. Content in English and French.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists/Ponty,_Jean-Luc", "url": "http://www.ponty.com/"} +{"d:Title": "United Mutations: Jean-Luc Ponty", "d:Description": "Discography and brief biography focusing on the violinist's work with Frank Zappa.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Violinists,_Violists_and_Cellists/Ponty,_Jean-Luc", "url": "http://www.united-mutations.com/p/jean_luc_ponty.htm"} +{"d:Title": "Singers Unlimited", "d:Description": "Vocal jazz group founded by Hi-Lo's tenor Gene Puerling, first recorded in 1972 with the Oscar Peterson Trio. Discography, photos and history.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bands_and_Artists/Vocal_Groups", "url": "http://www.singers.com/jazz/singersunlimited.html"} +{"d:Title": "The Hard Bop Homepage", "d:Description": "Dedicated to the jazz style of the late 1950's and early 1960's known as hardbop. Includes a list of notable musicians and a chronological listing of bop albums.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bebop", "url": "http://members.tripod.com/~hardbop/"} +{"d:Title": "Genres: Bebop", "d:Description": "Seven audio recordings of Billy Taylor discussing historical aspects of bebop at the Kennedy Center. [Quicktime format]", "topic": "Top/Arts/Music/Styles/J/Jazz/Bebop", "url": "http://town.hall.org/radio/Kennedy/Taylor/bt_bop.html"} +{"d:Title": "Bebop&Beyond", "d:Description": "Article discusses the concepts that led to and developed the bebop sound. Special focus on jazz lineage.", "topic": "Top/Arts/Music/Styles/J/Jazz/Bebop", "url": "http://www.melmartin.com/html_pages/Articles/Bebop.html"} +{"d:Title": "Craig's Big Bands and Big Names", "d:Description": "Music and personalities of the big band era, including Sinatra, Dean Martin, and Benny Goodman. Nightclub reviews from the New York World-Telegram dating from the 1940s and 50s, with photos, audio and links to online shopping.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band", "url": "http://www.bigbandsandbignames.com/"} +{"d:Title": "Big Band Library", "d:Description": "Monthly news, listener resources, and historical information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band", "url": "http://www.bigbandlibrary.com/"} +{"d:Title": "Big Bands Today Webring", "d:Description": "For current big bands worldwide and related matters of interest.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band", "url": "http://www.webring.org/hub?ring=bigbandstoday"} +{"d:Title": "Central Heat Big Band", "d:Description": "Volunteer orchestra from the Netherlands with a repertoire including Basie, Sinatra and Mintzer. Photos, audio, CD shopping, in English and Dutch.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.centralheatbigband.nl/"} +{"d:Title": "SJC Jazz Orchestra", "d:Description": "Based in Gifu, Japan since 1977. Concert schedule, audio clips, photos, and personnel.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www9.plala.or.jp/BigbandSJC/"} +{"d:Title": "Monroe, Vaughn", "d:Description": "Smooth singing bandleader known for hits such as \"Racing With the Moon.\" Sheet music gallery, publicity photos including shots taken at Fenway Park for the Boston Red Sox, links to band personnel.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.vaughnmonroesociety.org/"} +{"d:Title": "British Dance Bands", "d:Description": "Message board and discussion forum for fans of the British dance bands and popular music of the 1920's, 1930's and 1940's.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://groups.yahoo.com/group/british-dance-bands/"} +{"d:Title": "Route 66", "d:Description": "Big band with twenty pieces plus two vocalists plays for weddings, corporate events, festivals and hotel gigs in Ireland from a base in Maynooth. Personnel, song list, audio demos, gig schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.route66bigband.com/"} +{"d:Title": "SAP Bigband", "d:Description": "Corporate-sponsored swing/funk outfit in Germany led by trumpeter Thomas Siffling and comprised of employees of the financial software company. Song list, audio, history.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.sap-bigband.de/"} +{"d:Title": "Art Abrams Swing Machine Big Band", "d:Description": "Portland, Oregon area based band. Contains news, profile, recordings and information on their support of music education.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.artabrams.org/"} +{"d:Title": "Auburn Knights Orchestra", "d:Description": "College band founded in 1930 at Auburn University, playing swing tunes past and present. Contains a photo gallery, guest book, band history, performance schedule, alumni and reunion information, newsletter archive, song samples, repertoire, and booking and auditioning information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.auburnknights.com/"} +{"d:Title": "Bedford Big Band", "d:Description": "A non-profit 23-piece big band entertaining Southern New Hampshire with music from the swing era. Member profiles, song list, auditions, rehearsal schedule, scrapbook, guest book, contact information, events schedule, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.bedfordbigband.com/"} +{"d:Title": "Bensen-Scott Big Band", "d:Description": "[Westechester, NY, US] Trumpeters Regent Scott and Gene Bensen lead band playing charts from Basie, Ellington, Ferguson, and Nestico. Photos, gig schedule, MP3 audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.bsbbny.com/"} +{"d:Title": "Big Band Theory", "d:Description": "Neither a whimper nor a big bang, this nineteen-piece ensemble is made up of rocket scientists and technicians from the Jet Propulsion Laboratory at Pasadena, California. Photos and newspaper reviews of tunes like \"Fly Me to the Moon.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.bigbandtheory.com/"} +{"d:Title": "Bill Dayton Big Band", "d:Description": "Baltimore-based group led by Sandra Dayton on vocals and Steve Richwine on trumpet. Performance schedule, band profile, song list, audio and video samples, testimonials, contact information, pictures, musician credits.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.billdayton.com/"} +{"d:Title": "Bill Porter Orchestra", "d:Description": "Chicago based. Photo gallery, audio and video clips, guest book, a list of past engagements, and information on booking the band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.billporterorchestra.com/"} +{"d:Title": "City Rhythm Orchestra", "d:Description": "Pennsylvania big band available for weddings and parties. Music samples, CDs, video clips, upcoming shows, information on their radio show, biographies, links, reviews, repertoire, photo gallery, contact and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.cityrhythm.com/"} +{"d:Title": "Crabtowne Big Band", "d:Description": "Eighteen piece band from the Annapolis, Baltimore and Washington area. News, promotional information, CDs, audio clips, a FAQ, guest book, searchable music library, list of members, schedule and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.crabtownebigband.com/"} +{"d:Title": "Dick Campo Big Band", "d:Description": "Based in New England, playing swing and big band music. Band information, repertoire list, contact information and a FAQ.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.dickcampobigband.com/"} +{"d:Title": "Difficult Run Jazz Band", "d:Description": "[Washington, DC] 18-piece big band established 1981, playing for dances, corporate events and concerts. Photos, history, MP3 audio, list of songs and arrangers including Wolpe, Nestico and Hefti as well as charts from Glenn Miller, Kenton and Buddy Rich.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://drjb-bigband.com/"} +{"d:Title": "Doc Scantlin's Imperial Palms Orchestra", "d:Description": "1920's to 30's style big band in Washington, D.C. Playing music internationally. Band leader biography, music samples, photo album, booking information, reviews, and upcoming shows.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.docscantlin.com/"} +{"d:Title": "Gerry Barrett Swing Orchestra", "d:Description": "Trumpeter-led Boston-area swing and dance orchestra. FAQ, schedule, songlist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://gbswing.com/"} +{"d:Title": "Gold Coast Society Dance Band", "d:Description": "Sixteen-piece orchestra based in Miami, Florida. Photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.goldcoastbigband.com/"} +{"d:Title": "Jazz Express Big Band", "d:Description": "[Waukesha, Wisconsin] Twenty-piece group playing original jazz compositions and arrangements as well as charts from Basie, Ellington, Herman, Kenton, Ferguson, and the more traditional swing bands. Photos, performance schedule, bookings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://jazzexpressbigband.com/"} +{"d:Title": "Johnny Knorr Orchestra", "d:Description": "Saxophonist-led orchestra features vocalist Gay Hobbs at Ohio weddings and dances. Newsletter signup, radio directory, audio samples, bookings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.johnnyknorr.com/"} +{"d:Title": "Jump Street Swing Band", "d:Description": "Detroit-based 18-piece band with vocalists playing classic swing arrangements. Photos, RealAudio samples including \"Satin Doll\" and \"Blue Moon.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.jumpstreetswingband.com/"} +{"d:Title": "Legends of Swing", "d:Description": "Claremont, California based big band led by trombonist Gary Tole. Contains profile, contact information, and related links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.legendsofswing.com/"} +{"d:Title": "Little Big Band", "d:Description": "Fifteen-piece orchestra based in Amston, Connecticut, playing for weddings, dances and concerts, with gig schedule, FAQs, testimonials, and CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.thelittlebigband.com/"} +{"d:Title": "The Lyratones", "d:Description": "San Francisco Bay area seventeen-piece dance band playing big band swing and ballroom dances. Photos, song list, MP3 audio, scheduled events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.lyratones.com/"} +{"d:Title": "Metropolitan Jazz Orchestra", "d:Description": "Sixteen-piece orchestra from Denver offers photo, history, composition, playlist and upcoming events, as well as useful music-lover links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://metropolitanjazzorchestra.com/"} +{"d:Title": "Mood Swings Big Band", "d:Description": "Baltimore based. Performance schedule, online booking form, mailing list, band profile, song list, auditions, links, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.moodswings.com/"} +{"d:Title": "Moonlight Serenade Orchestra, The", "d:Description": "[San Diego, CA, US] Swing and dance band. Upcoming events, links, song list, photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://themso.com/"} +{"d:Title": "Moonlight Swing", "d:Description": "Sacramento big band. Profile, photos, recordings, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.moonlightswing.org/"} +{"d:Title": "Renee Wood's After Hours Big Band", "d:Description": "[Willow Springs, MO, US] Playing jazz and swing with full horn sections. Schedule including dates West Plains Opera House in southern Missouri, photos, audio clips, online ticket sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://afterhoursbigband.net/"} +{"d:Title": "Roseville Big Band", "d:Description": "Adult education swing band with vocalists features classic tunes, original compositions, exhibition dancers, audience participation at concerts and dances in the Twin Cities area of Minnesota. Band profile and history, schedule, CDs, member biographies, photos, FAQ, song list, audio samples, contact information, search engine, member area.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.rosevillebigband.org/"} +{"d:Title": "Stage Door Canteen", "d:Description": "A 12 piece big band located on Cape Cod. The band travels throughout the New England area. Includes repertoire and music samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.stagedoorcanteen.net/"} +{"d:Title": "Stan Bann Big Band", "d:Description": "Minneapolis-St. Paul band. Reviews, member list, schedule, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.stanbann.com/"} +{"d:Title": "Swingtime", "d:Description": "An 18-20 piece dance band presenting monthly dances in Melbourne, Florida. The Band plays swing music from the 30's, 40's and 50's as well as some more contemporary numbers. Affiliated with the Melbourne Municipal Band. Band profile, track list and samples from their CD, and booking and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://melbourneband.tripod.com/mmbst.htm"} +{"d:Title": "Tuxedo Junction", "d:Description": "Texas big band. Profile, merchandise, audio samples, guest book, booking information, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.tuxedojunction-bigband.com/"} +{"d:Title": "Atlanta Blue Notes, The", "d:Description": "Nineteen-piece band offers history, schedule of engagements, booking information, arrangements for sale, play list, fund-raising ideas, links, and sample songs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.atlantabluenotes.com/"} +{"d:Title": "Jump City Jazz Orchestra", "d:Description": "Seventeen piece swing orchestra from Audubon, Pennsylvania. News, booking information, member information, song clips, show dates, band history, and information on side bands.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.jumpcityjazz.com/"} +{"d:Title": "Rhythm Society Swing Orchestra", "d:Description": "Detroit big band. Profile, photos, audio samples, schedule, guest book, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.rhythmsociety.net/"} +{"d:Title": "The Cleveland Jazz Orchestra", "d:Description": "Large ensemble formed in 1984. Biographies, sound and video samples, merchandise information, history, and schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.clevelandjazz.org/"} +{"d:Title": "Enroughty, Joe and his Royal Virginians", "d:Description": "Richmond, Virginia based 12 piece big band with 2 vocalists. Includes band profile and upcoming events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.guylombardomusic.com/"} +{"d:Title": "Sherrie Maricle and The DIVA Jazz Orchestra", "d:Description": "All-woman band plays contemporary, mainstream jazz. Based in New York City and plays internationally. Sample audio and video.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.divajazz.com/"} +{"d:Title": "Yesterday Swing Orchestra", "d:Description": "Performs in the Northern Virginia and Shenandoah Valley regions. Performance schedule, audio clips, and mailing list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.yesterdayswing.com/"} +{"d:Title": "The Mulligan Mosaics Big Band", "d:Description": "Chicago group, led by baritone saxophonist Ted Hogarth, performs the music of Gerry Mulligan. Performs, educates, digitizes Mulligan's music, and develops new music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.mulliganmosaics.com/"} +{"d:Title": "Dayton Jazz Orchestra", "d:Description": "Ohio big band homepages include history, biographies, driving directions, photo gallery, schedule of events and cd information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.daytonjazzorchestra.com/"} +{"d:Title": "Gordon Goodwin's Big Phat Band", "d:Description": "18-piece jazz ensemble; celebrates and personifies the big band tradition with a contemporary and original sound. Biographies, sound clips, schedule, press, and products for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.gordongoodwin.com/"} +{"d:Title": "Jim Widner Big Band", "d:Description": "Official band site featuring itinerary of jazz camps, concerts, festivals and information on CDs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.jimwidnerbigband.com/"} +{"d:Title": "River Cities Jazz", "d:Description": "A 17 piece ensemble from Wisconsin plays big band jazz. Their website offers band member information and a cd.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.rivercitiesjazz.com/"} +{"d:Title": "Big Daddy Orchestra", "d:Description": "San Diego, California based big band. Contains biography, song list, and calendar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.bigdaddyorchestra.com/"} +{"d:Title": "Krivda, Ernie and The Fat Tuesday Big Band", "d:Description": "Eighteen piece Cleveland band. Features news and reviews, biography, Real Audio song samples and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.erniekrivda.com/"} +{"d:Title": "Kyser, Kay", "d:Description": "Swing Era big band leader. Site contains a biography, information about his band, his movies, and his songs. Additionally, information on a documentary being made about his career.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.kaykyser.net/"} +{"d:Title": "Les DeMerle Orchestras, The", "d:Description": "Big band performing at the Ritz-Carlton Amelia Island, with vocalist Bonnie Eisele. Contains history, discography, audio samples, reviews and a calendar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.lesdemerlemusic.com/index4.html"} +{"d:Title": "Radio Days Dance Orchestra", "d:Description": "A fourteen-piece dance orchestra, playing popular music from the 1920's, 30's and 40's.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.radio-days.co.uk/"} +{"d:Title": "Radio King Orchestra", "d:Description": "Washington big band. Sample tracks, CDs, schedule, photos, links, reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.rkoswing.com/"} +{"d:Title": "Royal Society Jazz Orchestra", "d:Description": "San Francisco big band. Profile, reviews, biographies, recordings, audio samples, calendar, mailing list, booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.rsjo.com/"} +{"d:Title": "Big Band East", "d:Description": "Sixteen-piece orchestra based in the Hamptons, Long Island, US offers photos, press releases, photos, schedule and contact details.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://bigbandeast.tripod.com/"} +{"d:Title": "Chops", "d:Description": "Twenty-piece jazz big band based in Fremont, California lists personnel and offers CDs for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.chopsjazz.com/"} +{"d:Title": "Crazy Rhythm Hot Society Orchestra", "d:Description": "Eleven-piece band from Los Alamitos, California, re-creating hot jazz, sweet music and novelty tunes of the 1920s and early 30s as transcribed from 78 RPM records. Promotional information, reviews, upcoming shows, recordings, musician biographies, news, mailing list and a list of links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.crazyrhythm.com/"} +{"d:Title": "Baton Rouge Jazz Ensemble", "d:Description": "Nineteen piece orchestra made up of volunteer musicians. Personnel, photos, schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.brje.org/"} +{"d:Title": "In the Mood", "d:Description": "Milwaukee group playing danceable swing and standards in the 1940s style. Features song list, schedule and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.inthemoodbigband.com/"} +{"d:Title": "Philadelphia Legends of Jazz Orchestra", "d:Description": "Sixteen-piece big band with veteran musicians conducted by Leon Mitchell, playing charts from the 1940s, 50s and 60s, the golden age of Philadelphia jazz. Audio sample.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.phillylegendsofjazz.com/"} +{"d:Title": "Ron Smolen Orchestra, The", "d:Description": "Services, biography, audio samples, and pictures from this Chicago big band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.ronsmolenorchestra.com/"} +{"d:Title": "Trinity Jazz Orchestra", "d:Description": "Jazz repertory orchestra in western Pennsylvania. Personnel, video, and performance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.trinityjazz.com/"} +{"d:Title": "The Shades of Blue Orchestra", "d:Description": "Based in Baltimore. Schedule, audio clips, repertoire, mailing list, merchandise, booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.shades-of-blue.com/"} +{"d:Title": "Spencer Smith And His Vintage Years Orchestra", "d:Description": "Winston-Salem, North Carolina based 13-piece big band led by Spencer Smith includes four saxes, three trumpets, two trombones and tuba. With gig schedule and audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.guylombardomusic.com/smith.html"} +{"d:Title": "Big Band Sound, The", "d:Description": "A 20-member big band based in Poughkeepsie, New York. Event calendar, CD information, sound clips, song list, photo gallery, radio guide, and links to big band related sites.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.thebigbandsound.com/"} +{"d:Title": "Annapolis Junction Big Band", "d:Description": "A 17-piece big band based in Annapolis, Maryland. Includes photos, contact information, public appearances, song list, band history, vocalist link, and directions.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://annapolisjunctionbigband.com/"} +{"d:Title": "Airmen of Note", "d:Description": "United States Air Force jazz ensemble. Contains members' profiles and photo gallery", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.usafband.af.mil/ensembles/BandEnsembleBio.asp?EnsembleID=58"} +{"d:Title": "Les Brown Jr. and His Band of Renown", "d:Description": "Performs in Branson, Missouri. Schedule, photos, biographies of Les Brown Senior and Junior.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.bandofrenown.com/"} +{"d:Title": "The Gene Krupa II Orchestra", "d:Description": "Playing many original arrangements from the Krupa book, as well as other popular songs from the big band era.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.genekrupa2orchestra.com/"} +{"d:Title": "Houstonian Big Band", "d:Description": "Seventeen-piece orchestra based in Houston, Texas, performing big band and swing music. Offers band biography, audio samples, repertoire list, calendar of upcoming events and photographs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://www.houstonianbigband.com/"} +{"d:Title": "Sentimental Journey Orchestra, The", "d:Description": "[Atlanta, GA, US] Nineteen-member big band. History, member biographies, auditions, links, photos, schedule, stories, booking and contact information, member area.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists", "url": "http://sjobigband.com/"} +{"d:Title": "Selected Artist Biography - Count Basie", "d:Description": "From PBS - Jazz: A Film By Ken Burns.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Basie,_Count", "url": "http://www.pbs.org/jazz/biography/artist_id_basie_count.htm"} +{"d:Title": "Count Basie", "d:Description": "A great day in Harlem: jazz history through one photograph.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Basie,_Count", "url": "http://www.harlem.org/people/basie.html"} +{"d:Title": "Verve Records: Count Basie", "d:Description": "Includes full label discography with soundclips and a biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Basie,_Count", "url": "http://www.vervemusicgroup.com/countbasie"} +{"d:Title": "Works of Cab Calloway, Jazz Artist", "d:Description": "Alphabetical list of Cab Calloway's works and where to find them.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Calloway,_Cab", "url": "http://www.heptune.com/calloway.html"} +{"d:Title": "Red Hot Jazz: Tommy Dorsey", "d:Description": "Biography, discography, filmography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Dorsey,_Tommy", "url": "http://www.redhotjazz.com/tommy.html"} +{"d:Title": "Bob Florence", "d:Description": "Page from MamaJazz label details early years including his \"Up a Lazy River\" hit for Si Zentner, and mentions his fourteen Grammy nominations.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Florence,_Bob", "url": "http://www.mamajazz.org/pages/bioflorence.html"} +{"d:Title": "PBS - Jazz: Benny Goodman", "d:Description": "Biography from The New Grove Dictionary of Jazz, with sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Goodman,_Benny", "url": "http://www.pbs.org/jazz/biography/artist_id_goodman_benny.htm"} +{"d:Title": "Benny Goodman", "d:Description": "Photos and information from Find A Grave.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Goodman,_Benny", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=3676"} +{"d:Title": "Goodman Turns Back The Clock", "d:Description": "Live performance review by Robert W. Dana, with an image of a letter from Goodman to Dana thanking him for the review.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Goodman,_Benny", "url": "http://www.bigbandsandbignames.com/BennyGoodmanReview.html"} +{"d:Title": "The Woody Herman Orchestra", "d:Description": "Schedule, audio clips, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Herman,_Woody", "url": "http://www.woodyherman.biz/"} +{"d:Title": "Wikipedia - Woody Herman", "d:Description": "Biography focuses on this bandleader's later years.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Herman,_Woody", "url": "http://en.wikipedia.org/wiki/Woody_Herman"} +{"d:Title": "Dr. Jack Poster - A Tribute Harry James and Friends", "d:Description": "Offers various sized groups to perform a tribute to Harry James.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/James,_Harry", "url": "http://www.drjackposter.com/"} +{"d:Title": "Harry James Orchestra", "d:Description": "Official site details life of the later band leader and that of modern leader Fred Radke, with audio, video, reviews and testimonials.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/James,_Harry", "url": "http://www.harryjamesband.com/"} +{"d:Title": "Lincoln Center Jazz Orchestra", "d:Description": "Cosmopolis page by Louis Gerber gives biographies of bandleader Wynton Marsalis and each member, with a concert review.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Jazz_at_Lincoln_Center_Orchestra", "url": "http://www.cosmopolis.ch/english/cosmo14/lcjo.htm"} +{"d:Title": "Jazz at Lincoln Center Orchestra", "d:Description": "The centerpiece of jazz performance at Lincoln Center, a fifteen-piece big band led by Wynton Marsalis.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Jazz_at_Lincoln_Center_Orchestra", "url": "http://www.jazz.org/"} +{"d:Title": "The Stan Kenton Collection at the University of North Texas", "d:Description": "Features an index of the photos and scores, with some images.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Kenton,_Stan", "url": "http://www.library.unt.edu/music/special-collections/kenton/"} +{"d:Title": "Glenn Miller Orchestra, The", "d:Description": "Official site for the US band led by Larry O'Brien. History, scheduled performances by date and state, recordings, personnel, booking information, merchandise, newsletter, photo galleries and reviews.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Miller,_Glenn", "url": "http://www.glennmillerorchestra.com/"} +{"d:Title": "Glenn Miller Orchestra UK", "d:Description": "Orchestra directed by Ray McVay and featuring the Moonlight Serenaders vocal group. Photo, bookings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Miller,_Glenn", "url": "http://www.glennmillerorchestra.co.uk/"} +{"d:Title": "Glenn Miller Birthplace Society", "d:Description": "Group based in Clarinda, Iowa maintains the leader's restored childhood home, publishes a newsletter and sponsors a festival featuring the modern band led by Larry O'Brien. Membership, detailed biography with photographs.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Miller,_Glenn", "url": "http://www.glennmiller.org/"} +{"d:Title": "Glenn Miller Orchestra Europe", "d:Description": "Germany-based band led by Wil Salden offers gig schedule. In English and German.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Miller,_Glenn", "url": "http://www.glenn-miller.de/"} +{"d:Title": "Twinwood Events", "d:Description": "Hosts an annual Glenn Miller festival at the Twinwood Airfield and Arena in the UK. Also runs a Glenn Miller museum.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Miller,_Glenn", "url": "http://www.twinwoodevents.com/"} +{"d:Title": "Big Band Library: Glenn Miller \"A Memorial, 1944-2004\"", "d:Description": "Biography by librarian Christopher Popa with extensive comments from Miller bassist Trigger Alpert, and detailed personnel list with dates of birth, death, and years with Miller.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Miller,_Glenn", "url": "http://www.bigbandlibrary.com/glennmiller.html"} +{"d:Title": "The Jonny Cooper Orchestra", "d:Description": "South African Glenn Miller tribute band, but also plays other music. Repertoire, audio, merchandise.", "topic": "Top/Arts/Music/Styles/J/Jazz/Big_Band/Bands_and_Artists/Miller,_Glenn", "url": "http://www.bigband.co.za/"} +{"d:Title": "Jazz Guitar Group", "d:Description": "Chat group and message board established in 1995.", "topic": "Top/Arts/Music/Styles/J/Jazz/Chats_and_Forums", "url": "http://www.jazzguitargroup.com/"} +{"d:Title": "All About Jazz", "d:Description": "Thousands of members post on assorted topics.", "topic": "Top/Arts/Music/Styles/J/Jazz/Chats_and_Forums", "url": "http://forums.allaboutjazz.com/"} +{"d:Title": "Jazz Clubs Worldwide", "d:Description": "A comprehensive directory of over 3000 places to hear live jazz around the world.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs", "url": "http://www.jazz-clubs-worldwide.com/"} +{"d:Title": "Ronnie Scott's Jazz Club", "d:Description": "Mounted by the legendary jazz club in London's Soho. It offers listings of current and upcoming artists, and archives from appearances over the past several years. You can read the club's house organ, \"Jars\" here, make email reservations and check club hours.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/Europe", "url": "http://www.ronniescotts.co.uk/"} +{"d:Title": "606 Club", "d:Description": "Located in Chelsea, offering jazz every night. Includes what's on, venue and membership information, newsletter and guestbook.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/Europe", "url": "http://www.606club.co.uk/"} +{"d:Title": "Stampen", "d:Description": "Located in the Old Town of Stockholm, Sweden. About the club's history, regular jazz evenings and special events, picture gallery and map.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/Europe", "url": "http://www.stampen.se/"} +{"d:Title": "Jazz in Vienna", "d:Description": "A complete listing of current and upcoming jazz concerts at a variety of different clubs throughout Vienna.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/Europe", "url": "http://members.chello.at/manfred.kramlinger/"} +{"d:Title": "Fleece", "d:Description": "Focuses on modern jazz, and is open every Friday night in Boxford, Suffolk, UK.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/Europe", "url": "http://www.dovbear.co.uk/fleece/"} +{"d:Title": "Crescent City Connection", "d:Description": "A two-part site: The first is an unabashed paean to Louis Armstrong, consisting of a splendid color picture of Pops, and an impressive list of quotations from well-known personages. The second is a very useful set of restaurant, club and other venue listings in New Orleans.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/North_America/United_States", "url": "http://www.satchmo.com/"} +{"d:Title": "Dimitriou's Jazz Alley", "d:Description": "Seattle jazz nightclub and restaurant accepting online reservations. Music schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/North_America/United_States", "url": "http://www.jazzalley.com/"} +{"d:Title": "Tula's Restaurant and Nightclub", "d:Description": "Seattle nightspot features local and national jazz acts. Menu, photos, map and directions.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/North_America/United_States", "url": "http://www.tulas.com/"} +{"d:Title": "Catalina Jazz Club", "d:Description": "Bar and grill in Hollywood, California presenting young artists and established jazz acts. Schedule, menu.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/North_America/United_States", "url": "http://www.catalinajazzclub.com/"} +{"d:Title": "Scullers Jazz Club", "d:Description": "Boston, Massachusetts club presenting artists such as Chris Botti, Arturo Xandoval and Tony Bennett, established 1989.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/North_America/United_States", "url": "http://www.scullersjazz.com/"} +{"d:Title": "Ryles", "d:Description": "Live music and barbecue in Cambridge, Massachusetts, featuring jazz, blues, Brazilian and Latin music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/North_America/United_States", "url": "http://www.rylesjazz.com/"} +{"d:Title": "Home - Bennetts Lane Jazz Club", "d:Description": "Melbourne establishment offering jazz combos and big bands nightly. Scheduled performers with online ticketing.", "topic": "Top/Arts/Music/Styles/J/Jazz/Clubs/Oceania", "url": "http://www.bennettslane.com/"} +{"d:Title": "Pevzner, Yan", "d:Description": "Jazz pianist, composer, arranger and educator from New York. Biography, gig schedule, CD review.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers", "url": "http://yanpevzner.com/"} +{"d:Title": "Zinkovsky, Vladimir", "d:Description": "Romanian jazz composer and ASCAP member also plays gigs on piano and flute. Performance and CD reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers", "url": "http://zinkovsky-jazz.narod.ru/"} +{"d:Title": "Bates, Django", "d:Description": "UK-based composer of improvisational works for large orchestras as well as smaller combos. Reviews, audio, photos, CDs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers", "url": "http://easyweb.easynet.co.uk/~jemuk/"} +{"d:Title": "Verve Records: Neal Hefti", "d:Description": "Artist page from the label details the arranger/composer's career including his charts for Woody Herman and Count Basie, and later film work.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers", "url": "http://www.vervemusicgroup.com/nealhefti"} +{"d:Title": "Mendoza, Vince", "d:Description": "Official site for this composer, arranger and conductor. Biography, discography, store for some of his scores, tour news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers", "url": "http://www.vincemendoza.net/"} +{"d:Title": "Music Files: Quincy Jones", "d:Description": "Biography emphasizes range of musical styles including collaborators such as Stephen Sondheim, Ice-T, and Frank Sinatra. List of films he scored.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers/Jones,_Quincy_Delight,_Jr.", "url": "http://www.mfiles.co.uk/Composers/Quincy-Jones.htm"} +{"d:Title": "NPR Morning Edition: Quincy Jones", "d:Description": "Text summary of Bob Edwards interview aired November 6, 2001 includes audio links to full program and extended version not heard on air.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers/Jones,_Quincy_Delight,_Jr.", "url": "http://www.npr.org/programs/morning/features/2001/nov/quincy/011106.quincy.jones.html"} +{"d:Title": "Academy of Achievement: Quincy Jones", "d:Description": "Biography and interview with the 1984 inductee, including several historic photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers/Jones,_Quincy_Delight,_Jr.", "url": "http://www.achievement.org/autodoc/page/jon0bio-1"} +{"d:Title": "IMDB: Quincy Jones", "d:Description": "Noted composer for films and television from the 1960s into the 21st century. Offers hundreds of links for his scores, themes, producing, acting roles and appearances as himself.", "topic": "Top/Arts/Music/Styles/J/Jazz/Composers/Jones,_Quincy_Delight,_Jr.", "url": "http://www.imdb.com/name/nm0005065/"} +{"d:Title": "Jazz Guitar Improvisation in One Lesson", "d:Description": "Jazz guitar online diagrams outline limits of classical jazz harmony in major with improvisation options. More than isolated chords or single key area map. No previous theory required.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.jazzmaster.com/"} +{"d:Title": "Jazz Resource Center", "d:Description": "Systematic approach to piano voicings and scales as well as transcriptions.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.jazzcenter.org/"} +{"d:Title": "Joan Wildman: Articles on Jazz Improvisation", "d:Description": "Some articles about improv and harmony by a professor at the University of Wisconsin. Also, soundclips, examples and links to other jazz sites.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://hum.lss.wisc.edu/jazz/"} +{"d:Title": "The Jazz School", "d:Description": "[Berkeley, CA, US] Non-profit school for all ages. Admissions and courses offered.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.jazzschool.com/"} +{"d:Title": "Jazz Impact Institute", "d:Description": "Bassist, educator, and NEA grant recipient Harvey Gold, Ph.D., presents seminars with his jazz sextet to teach corporate employees how to handle change.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.jazz-impact.com/"} +{"d:Title": "I Was Doing All Right", "d:Description": "A jazz trumpet player's journey with musical and technical development, featuring ear training tools and improvisation tips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.iwasdoingallright.com/"} +{"d:Title": "Greg Fishman Jazz Studios", "d:Description": "This site is dedicated to the study of jazz improvisation. The site features original content discussing various aspects of jazz improvisation, theory, licks, chords and practice tips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.gregfishmanjazzstudios.com/"} +{"d:Title": "Psyching Out Improvisation Demons", "d:Description": "Roger Freundlich shares his experience in teaching jazz improvisation to adult students.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.saxontheweb.net/Jazz/TeachingImprov.html"} +{"d:Title": "Purcell, Simon", "d:Description": "British jazz pianist and educator offers downloadable play-along tunes and practice guides, with links to his quintet and the Glamorgan Summer School in Wales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.simonpurcell.com/"} +{"d:Title": "Jazz House Kids", "d:Description": "New Jersey foundation provides in-school and summer programs developing jazz instrumental and vocal skills in elementary and middle school students and their music teachers. Online ticket sales and donations.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://www.jazzhousekids.org/"} +{"d:Title": "Taming the Saxophone", "d:Description": "Online tutorials and resources on jazz theory, saxophone playing and composition by UK recording musician Pete Thomas.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education", "url": "http://tamingthesaxophone.com/"} +{"d:Title": "Truro College", "d:Description": "[Truro, Cornwall, UK] Offers bachelor degree in contemporary world jazz studies in cooperation with the University of Plymouth. Map, course and instructor details.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.kornwall.f9.co.uk/"} +{"d:Title": "University Of Central Oklahoma Jazz Lab", "d:Description": "[Edmond, OK, US] Offering Master of Music in Jazz Studies either in performance or commercial music production, also open to non-majors. Lab features live jazz with pizza.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.ucojazzlab.com/"} +{"d:Title": "New School Jazz and Contemporary Music Program", "d:Description": "The jazz program at this New York City university offers BFA degrees in jazz performance as well as composition and arranging. Offers FAQs and downloadable application form.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.newschool.edu/jazz/"} +{"d:Title": "Oberlin Conservatory of Music", "d:Description": "[Oberlin, OH, US] Offers four-year degrees concentrating in jazz composition and performance with required courses in classical theory and history. Faculty, curriculum, artist residences, notable jazz alumni, and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.oberlin.edu/con/divinfo/jazz/"} +{"d:Title": "Eastman School of Music", "d:Description": "[Rochester, NY, US] Courses and four-year degrees available in jazz and contemporary media studies at the University of Rochester. Audition and admissions procedures.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.rochester.edu/Eastman/jazz/"} +{"d:Title": "University of North Florida", "d:Description": "[Jacksonville, FL, US] Offers undergraduate music degrees including a Bachelor of Music in Jazz Studies. Detailed faculty profiles including performance and educational qualifications, performance calendar, news, facilities, instrumental and vocal ensembles.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.unf.edu/coas/music/"} +{"d:Title": "Rutgers University", "d:Description": "[Newark, NJ, US] Master's degree program in jazz history and research utilizes the large collection of books, images and audio records kept at the Institute of Jazz Studies, a part of the university library system established in 1952. Course listing, online participation, thesis requirement.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://rutgers-newark.rutgers.edu/gradnwk/jazz/"} +{"d:Title": "University of North Texas", "d:Description": "[Denton, TX, US] Significant center for big band jazz offering bachelor's and master's degrees, awarded first college degree in jazz in 1949. Recordings available from One O'Clock Lab Band, alumni news, calendar of events, audition procedures.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.jazz.unt.edu/"} +{"d:Title": "University of South Florida: Jazz Studies", "d:Description": "[Tampa, Florida] Offering bachelor's and master's degrees in jazz performance and composition. Admission and audition procedures, scheduled guest lecture-performances, faculty profiles.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://jazz.arts.usf.edu/"} +{"d:Title": "The Brubeck Institute at the University of the Pacific", "d:Description": "Offering fellowships, jazz camp, summer jazz colony, and jazz archives for developing artists. Includes news, contact, programs, calendar, sponsors, special events, and staff biographies.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.brubeckinstitute.org/"} +{"d:Title": "East Carolina University", "d:Description": "[Greenville, NC, US] Offers bachelor's degree majoring in jazz. Courses include jazz vocals as well as instrumental performance, history, arranging and composition. Calendar, audition requirements.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.ecu.edu/music/jazz/"} +{"d:Title": "Vancouver Island University", "d:Description": "[Nanaimo, BC, Canada] Two-year diploma program from institution also offering bachelor's degree in classical music. Faculty, admissions, careers, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "http://www.viu.ca/calendar/FineArts/jazzstudies.asp"} +{"d:Title": "Amherst College", "d:Description": "[Amherst, MA, US] Offers a major in music with a concentration in jazz. Ensembles, combos, calendar, courses, faculty, and events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Academic_Departments", "url": "https://www.amherst.edu/academiclife/departments/music/learn-play-and-perform/jazz/studies"} +{"d:Title": "Global Music Foundation", "d:Description": "Residential seminars, music workshops and events in different countries - for the sharing of knowledge, in an atmosphere of openess and friendliness embracing oral and written traditions from around the planet.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.globalmusicfoundation.org/"} +{"d:Title": "SFJAZZ", "d:Description": "The San Francisco Jazz Organization is the host of many jazz events as well as a supporter of jazz education offering clinics and workshops.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.sfjazz.org/"} +{"d:Title": "Jazz Vermont - Big Band Camp for Adults", "d:Description": "Annually held in Stratton Mountain, Vermont, offering a Big Band camp to live the life of a musician and nothing else for 5 1/2 days. Schedule, questions and answers, comments, and contact page.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazzcamp.com/"} +{"d:Title": "Jazzcamp West", "d:Description": "Provides an annual, eight day intensive jazz education and performance program for adults and older teens; takes place in La Honda, California. Offers guest artist residence, fees/enrollment links, schedule, history, and brochure.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazzcampwest.com/"} +{"d:Title": "Stanford Jazz Workshop", "d:Description": "Summer jazz workshop in Stanford, California, offers programs for beginner and advanced students and a summer camp for children between the ages of 12-17.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.stanfordjazz.org/"} +{"d:Title": "Maine Jazz Camp", "d:Description": "Since 1979, this camp has been introducing jazz to students from all over Maine and beyond. Offers program types, faculty, curriculum, application, and general information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.mainejazzcamp.com/"} +{"d:Title": "School of Improvised Music", "d:Description": "SIM is a core group of musicians committed to creating an affordable school for emerging performers. Has information describing the faculty and staff, workshop series, private lessons, off-site clinics, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.schoolforimprov.org/"} +{"d:Title": "Jazz workshop at Frensham", "d:Description": "A two-week workshop for all levels, and a masterclass for teachers-to-be with Yochk'o Seffer. Part of the 6th Musical Summer of Frensham Heights in Surrey UK.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.lmfl.com/Jazz2003.htm"} +{"d:Title": "Lex Valk", "d:Description": "An accomplished bass player living in Houston, Texas, specializing in jazz, and classical music. Lex is available for seminars, and musical engagements, and plays professionally with The Lex Valk Trio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.lexvalk.com/index.html"} +{"d:Title": "Cathy Segal Garcia, jazz singer", "d:Description": "L.A. based jazz singer, recording artist, educator, and composer. Provides reviews, teaching techniques, biography, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.cathysegalgarcia.com/"} +{"d:Title": "Sierra Jazz Society - Jazz Camp", "d:Description": "Jazz Camp in Grass Valley, California for all ages and levels, all instruments and voice. Taught by experienced professional musicians with Bill Douglass, Artistic Director. July 15-19, Location: Nevada City School of the Arts, Nevada County, California, 1 hr east of Sacramento.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.sierrajazzsociety.com/"} +{"d:Title": "Jazz Course UK", "d:Description": "Educator Rob Hall offers advanced summer workshops in Edinburgh, and beginning and intermediate programs in Glasgow and Cambridge. Curriculum, venue, news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazzcourse.co.uk/"} +{"d:Title": "Dordogne Jazz Summer School, France", "d:Description": "An Annual residential jazz summer school in south west France. Information on tutors, location and cost.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazzschool-dordogne.co.uk/"} +{"d:Title": "Jazz Summer School", "d:Description": "Clive Fenner's Mediterranean jazz school in the south of France, and the Cuban jazz school in Havana. Jazz education for students of all experience and improvisation levels.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazzsummerschool.com/"} +{"d:Title": "Centrum Jazz Workshop", "d:Description": "Offers jazz workshop held in Port Townsend, Washington. Includes workshops, events, residencies, news, and contact page.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.centrum.org/"} +{"d:Title": "Chicago Jazz Workshop", "d:Description": "Summer workshop for ages 13\u201320 For Residential and Non-Residential Students", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.mya.org/summer/jazz.php"} +{"d:Title": "New York Summer Music Festival (NYSMF)", "d:Description": "2, 4, or 6 week sessions available for music students ages 10-25. Programs offered in Orchestra, Band, Jazz, Choir, Composition, Computer Music, Music Theatre, Chamber Music, and a variety of music, acting, and filmmaking courses. Located on the SUNY Oneonta Campus, Oneonta, NY.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://nysmf.org/"} +{"d:Title": "Southend Jazz Co-op, UK", "d:Description": "A collection of jazz musicians who meet every Saturday morning to play jazz, with tuition and occasional concerts.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.southendjazz.co.uk/"} +{"d:Title": "University of North Texas Jazz Workshops", "d:Description": "Jazz studies for combos, trumpet/trombone, jazz bass, drumset, and vocals. Includes schedule, registration, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazz.unt.edu/?q=node/120"} +{"d:Title": "National Music Camp of Canada", "d:Description": "A band, orchestra, Jazz, and music theater camp (ages 9 - 19) located in Orillia. Contains general information, location, testimonials, faculty, news, photos, fees, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.nationalmusiccamp.com/"} +{"d:Title": "Great Basin Jazz Band Camp", "d:Description": "College of Southern Idaho, Twin Falls, 5 Day Camp for students 15-up.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.greatbasinjazzcamp.com/"} +{"d:Title": "Birch Creek Music", "d:Description": "Summer music school and concert venue located on Wisconsin's Door County peninsula. Provides information on student admissions, jazz and orchestra programs, academy sessions, concerts, tickets, news, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.birchcreek.org/"} +{"d:Title": "International Music Camp", "d:Description": "Offers cultural study events for children, and adults including music, dance, and visual arts. Contains information about classes, conductors, dates, fees, and performances.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.internationalmusiccamp.com/"} +{"d:Title": "Crowden Music Center", "d:Description": "The Crowden School hosts the Alternative Styles String Camp, teaching string players the how-to's of jazz, blues, fiddle, Klezmer, rock. Faculty are national performers and clinicians. All ages and levels welcome.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.crowden.org/"} +{"d:Title": "Trinity summer jazz course, London", "d:Description": "The website for the new Summer Trinity jazz course, which is a one week course at Trinity College of Music, located at the Old Royal Naval College, Greenwich, London.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.trinitysummerschool.co.uk/"} +{"d:Title": "Derby Jazz", "d:Description": "Derby (UK) Jazz site, including summer school details.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.derby-jazz.co.uk/"} +{"d:Title": "CL Jazz", "d:Description": "Jazz workshops in England and Wales", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.cljazz.com/"} +{"d:Title": "Aebersold Summer Jazz Workshops", "d:Description": "Includes on-line application, schedule, workshop information, links, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.summerjazzworkshops.com/"} +{"d:Title": "Jazz in America", "d:Description": "Thelonious Monk Jazz Institute offers public school-based jazz education programs for young people around the world. Contains timeline, resources, jazz images, important firsts, glossary, links, and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazzinamerica.org/"} +{"d:Title": "Hayward La Honda Music Camp", "d:Description": "Camp located in La Honda, CA devoted to the development of musicianship for students' grades 6-12. Offers program agenda, courses, master classes, recreation, registration, and application.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.lahondamusiccamp.org/"} +{"d:Title": "CampJazz, Delaware Water Gap, PA", "d:Description": "One week long jazz camp for Grades 8-12 at Delaware Water Gap, PA. Teachers include saxophonist Phil Woods.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.campjazz.org/"} +{"d:Title": "New York Jazz Workshop", "d:Description": "New York Jazz Workshop, offers courses, master classes, workshops, and private lessons in the heart of New York City. The faculty is comprised of talented musicians such as Mike Longo, Marc Mommaas, Tony Moreno, Vic Juris and George Coleman Jr.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.newyorkjazzworkshop.com/"} +{"d:Title": "Play Jazz Weekend", "d:Description": "The site describes the Play Jazz Weekend held annually at Wiltshire Music Centre in Bradford on Avon, UK. It provides information about the tutors, programme,costs and how to book a place.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.playjazzweekend.co.uk/"} +{"d:Title": "MEhr Clef", "d:Description": "Based in London, United Kingdom, MEhr Clef organises jazz courses, masterclasses, workshops, tuition and live jazz events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.mehrclef.com/"} +{"d:Title": "Jazz Workshop Australia", "d:Description": "Jazz music lessons in Sydney. They offer private music lessons, ensembles, combos, holiday workshops and masterclasses. Located in St Leonards near Crows Nest.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://jazzworkshopaustralia.com.au/"} +{"d:Title": "Cambridge Jazz Cooperative", "d:Description": "Programme and general information about weekly jazz workshops held in Cambridge, UK.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.cambridgejazzcoop.org.uk/"} +{"d:Title": "The VoiceShop", "d:Description": "Two-day weekend immersion workshop in Nevada for aspiring jazz vocalists (accepting only six vocalists)founded by jazz vocalist Madeline Eastman.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.voiceshop.org/"} +{"d:Title": "California Brazil Camp", "d:Description": "Offers a week-long study of Brazilian music, percussion, and dance in the California Redwoods. Contains overview, programs, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.calbrazilcamp.com/"} +{"d:Title": "College Audition Preparation Workshops, Indiana University USA", "d:Description": "CAP offers intense study with your future college audition panel members, or people like them. You will learn what they think and what they want to hear.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.music.indiana.edu/precollege/summer/college-auditions/index.shtml"} +{"d:Title": "Jazz School UK", "d:Description": "Offers a wide range of regular activities and courses for players of all levels. Based in Northamptonshire, England.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.jazzschool.co.uk/"} +{"d:Title": "Michele Weir", "d:Description": "A jazz musician, arranger, and educator who has served on the music faculty of several universities, and has an extensive clinic schedule. Provides biography, arranging, teaching, and performing techniques, schedule, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://www.michmusic.com/"} +{"d:Title": "The KU Jazz Workshop", "d:Description": "Week-long instruction emphasizing combo performance for grades 8 to 12. Provides printable application form, fees, clinicians, daily schedules, and brochures.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://music.ku.edu/mmc/jazzworkshop"} +{"d:Title": "Lafayette Summer Music Workshop", "d:Description": "Held in Lafayette, CA. Offers schedule, application, photos, and Big Band seminar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "https://lafayettejazz.wordpress.com/"} +{"d:Title": "Tritone Music", "d:Description": "This jazz camp offers adult jazz players and singers the opportunity to learn and play in a friendly environment by professional jazz musicians and educators. Current camp locations include Rochester, NY and Baileys Harbor, WI.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Camps_and_Workshops", "url": "http://tritonejazz.com/"} +{"d:Title": "A Jazz Improvisation Primer, by Marc Sabatella", "d:Description": "Detailed online course in jazz improvisation with pages in history, theory, and practical advice on playing in a group.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Methods_and_Techniques", "url": "http://www.outsideshore.com/primer/primer/"} +{"d:Title": "Jazclass with Michael Furstner", "d:Description": "A basic primer on jazz improvisation using chord root tones and chord guide tones.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Methods_and_Techniques", "url": "http://www.jazclass.aust.com/im1.htm"} +{"d:Title": "Sax on the Web: Tim Price", "d:Description": "Selmer clinician from the New York area offers online instruction harmonic substitution, with exercises to improve phrasing and improvisation and a series of \"Bird-ology\" studies.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Methods_and_Techniques", "url": "http://www.saxontheweb.net/Price/"} +{"d:Title": "Swing and Jump Blues Guitar", "d:Description": "Matthieu Brandt, a guitarist from Amsterdam, Netherlands, offers detailed progressive lessons. Topics include chords, riffs, comping, turnarounds, and timing.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Methods_and_Techniques", "url": "http://www.swingblues.com/swing.htm"} +{"d:Title": "Guitar Lesson World by Patrick MacFarlane", "d:Description": "Progressive series of guitar lessons for the improvising musician, including soloing and comping.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Methods_and_Techniques", "url": "http://www.guitarlessonworld.com/"} +{"d:Title": "Jazz Arranging Tutorial", "d:Description": "Web-based instruction in jazz ensemble writing. Based on charts for the University of Northern Colorado Jazz Lab Band. Offered by Alabama State music professor Doug Bristol.", "topic": "Top/Arts/Music/Styles/J/Jazz/Education/Methods_and_Techniques", "url": "http://webpages.charter.net/dbristol4/tutorial/tutorial.htm"} +{"d:Title": "Montreux Jazz Festival", "d:Description": "The festival held each July in Switzerland is one of the most famous Jazz festivals in the world. Running for over 40 years the festival has seen all the greats from Miles Davis through to Ella Fitzgerald and B.B. King.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.montreuxjazz.com/"} +{"d:Title": "Jazz \u00e0 Vienne", "d:Description": "Summer jazz festival in Vienne, France. Line-up, tickets, videos, and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.jazzavienne.com/"} +{"d:Title": "Waiheke Island of Jazz", "d:Description": "Events held at Waiheke Island, Auckland, New Zealand, organized by New Orleans jazz impresario David Paquette.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.waihekejazz.co.nz/"} +{"d:Title": "Dominican Republic Jazz Festival", "d:Description": "An international jazz festival held in October on the weekend of the Columbus holiday. The festival features jazz artists from the United States, Europe, the Caribbean, South America, Africa and Asia.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.drjazzfestival.com/"} +{"d:Title": "St. Lucia Jazz Festival", "d:Description": "Jazz event, held annually in May. Program schedule, directions, accommodation, FAQs, and contact details.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.stluciajazz.org/"} +{"d:Title": "Jazzfests.net", "d:Description": "Current and upcoming European events. Has a searchable directory of annual festivals listed by country or month.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.jazzfests.net/"} +{"d:Title": "Copenhagen Jazz Festival", "d:Description": "The official website for one of Europe's largest Jazz festivals. Copenhagen has been running annually since 1979 and seen headliners such as Count Basie and Dizzy Gillespie and runs every year in July.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://jazz.dk/"} +{"d:Title": "Wikipedia - List of Jazz Festivals", "d:Description": "Crowd-sourced list of worldwide jazz festivals.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://en.wikipedia.org/wiki/List_of_jazz_festivals"} +{"d:Title": "Festival Archive", "d:Description": "Comprehensive jazz festival listings worldwide. Festival facts, information, line-up, maps, ticket prices, transport info and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.festivalarchive.com/"} +{"d:Title": "Bod\u00f8 Jazz Open", "d:Description": "A winter jazz festival in Bod\u00f8, Norway. Established 2010. Ticket info, news, line-ups, programme. Norwegian website with English festival page.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.bodojazzopen.no/"} +{"d:Title": "Java Jazz Festival", "d:Description": "Annual international jazz festival in Jakarta, Indonesia. Line-up, schedule, tickets, photos and videos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.javajazzfestival.com/"} +{"d:Title": "Cape Town International Jazz Festival", "d:Description": "Annual music festival held each year at end of March, Cape Town, South Africa. Festival info, tickets, galleries, videos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.capetownjazzfest.com/"} +{"d:Title": "Jamaica Jazz&Blues Festival", "d:Description": "Line-up, tickets, festival details and photos. Est. 1995.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://jamaicajazzandblues.com/"} +{"d:Title": "National Youth Jazz Festival", "d:Description": "An education focused festival that takes place in Grahamstown, South Africa. Education programmes, artists, festival info.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.youthjazz.co.za/"} +{"d:Title": "Boh\u00e9m Ragtime&Jazz Festival", "d:Description": "Annual winter jazz&ragtime festival in Kecskem\u00e9t, Hungary. Programme, performer info, gallery&videos, news&ticket information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.bohemragtime.com/en/act.html"} +{"d:Title": "Festival Internacional Jazz Barcelona", "d:Description": "Annual Barcelona Jazz Festival hosted in Catalonia, Spain. Established 1968. Programme, news, tickets, venue&concert info.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals", "url": "http://www.barcelonajazzfestival.com/"} +{"d:Title": "Newcastle and Hunter Jazz Club Inc", "d:Description": "Event focused on traditional jazz hosted by the Newcastle and Hunter Jazz Club in New South Wales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Australia", "url": "http://www.newcastlejazz.com.au/"} +{"d:Title": "Wagga Wagga Jazz Festival", "d:Description": "Annual even held each September in New South Wales. Schedule of artists and links to accommodations.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Australia", "url": "http://www.waggajazz.org.au/"} +{"d:Title": "Melbourne Jazz", "d:Description": "An annual event showcasing international jazz musicians in Melbourne. Site provides a schedule, past event information, and donation information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Australia", "url": "http://www.melbournejazz.com/"} +{"d:Title": "Noosa Jazz Festival", "d:Description": "An annual jazz festival in Noosa Heads, Australia.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Australia", "url": "http://www.noosajazz.com.au/"} +{"d:Title": "Wangaratta Festival Of Jazz and Blues", "d:Description": "The annual event held on the weekend of the Melbourne Cup in Northeast Victoria. Artists, Programme, Contacts, Volunteering Opportunities.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Australia", "url": "http://www.wangarattajazz.com/"} +{"d:Title": "Montreal Jazz Festival", "d:Description": "This annual summer event is one of the largest in the world, attracting two million visitors each year with several hundred concerts scheduled over a ten-day period.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.montrealjazzfest.com/"} +{"d:Title": "International Actual Music Festival", "d:Description": "Annual May event in Victoriaville, Quebec. Multimedia, improvisation, jazz, rock, contemporary, live, and electroacoustic music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://fimav.qc.ca/"} +{"d:Title": "Rimouski International Jazz Festival", "d:Description": "Event known as Festi Jazz held each autumn in Quebec.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.festijazzrimouski.com/"} +{"d:Title": "Beaches International Jazz Festival", "d:Description": "Celebrates jazz culture and music. Held annually in Toronto, Ontario.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.beachesjazz.com/"} +{"d:Title": "Oakville Jazz Festival", "d:Description": "Started in 1992 this event features international jazz performers on several outdoor stages.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.oakvillejazz.com/"} +{"d:Title": "Canadian Big Band Celebration", "d:Description": "[Port Elgin, Ontario] Held annually on the weekend of the third Saturday in September. Bands scheduled, photos, band signup.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.canadianbigband.ca/"} +{"d:Title": "All Canadian Jazz Festival", "d:Description": "An annual event held in Port Hope, Ontario, featuring Canadian jazz artists. Includes schedule, profiles of participants, ticket and press information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://allcanadianjazz.ca/"} +{"d:Title": "SaskTel Saskatchewan Jazz Festival", "d:Description": "Annual summer event held in June/July.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.saskjazz.com/"} +{"d:Title": "Jazz Winnipeg Festival", "d:Description": "Annual festival and concert which has featured such notable performers as Johnny Lang, Holly Cole and Kurt Elling.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.jazzwinnipeg.com/"} +{"d:Title": "Edmonton International Jazz Festival", "d:Description": "Annual event held every June. Includes a list of events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.edmontonjazz.com/"} +{"d:Title": "Prince Edward County Jazz Festival", "d:Description": "Annual August event in Prince Edward County. Includes schedule, tickets and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://www.pecjazz.org/"} +{"d:Title": "Wreckhouse Jazz&Blues", "d:Description": "Annual festival held every July in St. John's, NL. Features a schedule, artists and sponsors.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://wreckhousejazzandblues.com/"} +{"d:Title": "Toronto Jazz Festival", "d:Description": "Annual June - July event held in downtown Toronto.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/Canada", "url": "http://torontojazz.com/"} +{"d:Title": "Callander Jazz and Blues Festival", "d:Description": "Annual jazz festival. Line-up, Programme, Accommodation, Tickets, Location info.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.callanderjazz.com/"} +{"d:Title": "Upton Upon Severn Jazz Festival", "d:Description": "Annual 3-day festival held in June. Line-up and ticket information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.uptonjazz.co.uk/"} +{"d:Title": "The Marsden Jazz Festival", "d:Description": "Annual event with formal and informal sessions in the village of Marsden, West Yorkshire, in October. Programme, artist information and practical details.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.marsdenjazzfestival.com/"} +{"d:Title": "Manchester Jazz Festival", "d:Description": "Two weeks of jazz, held annually. Programme and venue information, and details of their education programme.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.manchesterjazz.com/"} +{"d:Title": "Porthcawl Jazz Festival", "d:Description": "Information about the programme, ticketing, and location. [South Wales]", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.porthcawl-jazz-festival.com/"} +{"d:Title": "Scarborough Jazz Festival", "d:Description": "Programme of events, information about some of the musicians performing at the festival (with downloadable sample music), ticket prices and contacts.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://jazz.scarboroughspa.co.uk/"} +{"d:Title": "Oxford Jazz Festival", "d:Description": "A four day music festival which takes place over the Easter Bank Holiday weekend with acclaimed jazz artists performing in some of Oxford\u2019s finest and most historic venues.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.oxfordjazzfestival.com/"} +{"d:Title": "Newport Jazz Weekend", "d:Description": "Annual jazz festival in Newport, Isle of Wight held in July. Details of programme and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.newportjazzweekend.co.uk/"} +{"d:Title": "Nantwich Jazz Blues and Music Festival", "d:Description": "Annual jazz festival held each April. Established in 1996. Venues, tickets, venues and festival info.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://nantwichjazz.com/"} +{"d:Title": "Brecon Jazz", "d:Description": "Annual summer jazz festival set in the heart of Wales, UK. Ticket info, line-up, news and programme.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://breconjazz.com/"} +{"d:Title": "Bristol International Jazz Festival", "d:Description": "Annual jazz and Blues festival, Est. 2013. Programme, Line-up, Gallery, News, Videos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.bristoljazzandbluesfest.com/"} +{"d:Title": "Cheltenham Jazz Festival", "d:Description": "Annual Jazz Festival (early summer). Est. 1996. Lineup, tickets, gallery, venues, news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.cheltenhamfestivals.com/jazz/"} +{"d:Title": "Bridgnorth Jazz Festival", "d:Description": "Held annually in October in Shropshire. Includes gig list, contact and ticket information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.bridgnorthjazz.co.uk/"} +{"d:Title": "Keswick Jazz Festival", "d:Description": "Annual jazz festival. Festival info, history, ticket prices.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.keswickjazzfestival.com/"} +{"d:Title": "Ribble Valley Jazz&Blues Festival", "d:Description": "Annual Jazz festival in Clitheroe, Lancashire. Est. 2009. Gigs&venues, line-up, photos and videos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_Kingdom", "url": "http://www.rvjazzandblues.co.uk/"} +{"d:Title": "Natchitoches Jazz&R&B Festival", "d:Description": "This official web site includes line up, schedule, ticket information, souvenirs, and directions for finding historic Natchitoches, Louisiana.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.natchjazzfest.com/"} +{"d:Title": "Sun Valley Jazz Jamboree", "d:Description": "Idaho event featuring many styles of music including traditional and contemporary jazz, swing, and blues. Contains program and on-line tickets.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.sunvalleyjazz.com/"} +{"d:Title": "M&T Jazz Fest", "d:Description": "Contains festival overview, admission, schedule, artists, and history. Syracuse, New York.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://syracusejazzfest.com/"} +{"d:Title": "Capital Jazz Fest", "d:Description": "Features a showcase of live contemporary jazz music in America. Includes general information, schedule, tickets, photo album, and exhibitor information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.capitaljazz.com/"} +{"d:Title": "Telluride Jazz Celebration", "d:Description": "Annual summer event in Colorado. Ticket information, artist schedule, photogallery, and festival history.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://telluridejazz.org/"} +{"d:Title": "Atlanta Jazz Festival", "d:Description": "Annual summer event held in Georgia. Band line-up, photographs, programs and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://atlantafestivals.com/"} +{"d:Title": "Burlington Discover Jazz Festival", "d:Description": "Community based Jazz festival held in Burlington, Vermont. Features event dates, ticket information, souvenirs, and jukebox sampler of past artists.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://discoverjazz.com/"} +{"d:Title": "Elkhart Jazz Festival", "d:Description": "A three-day festival held in June, in Elkhart Indiana. Includes artists, schedule, tickets, press room, and sponsors.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.elkhartjazzfestival.com/"} +{"d:Title": "Evergreen Jazz Festival", "d:Description": "Provides information and ticket ordering for the festival in Evergreen, Colorado. Includes photos and backgrounds of the 10 bands scheduled to perform, plus photos of the area.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.evergreenjazz.org/"} +{"d:Title": "The Litchfield Jazz Festival", "d:Description": "Annual summer festival sponsored by the Litchfield Performing Arts Association in Connecticut. Event information, news, schedule, and tickets.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.litchfieldjazzfest.com/"} +{"d:Title": "Music Worcester, Inc.", "d:Description": "Non-profit presenter of the Worcester Music Festival, International Artists Series and Mass Jazz Festival.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.musicworcester.org/"} +{"d:Title": "Purdue Jazz Festival", "d:Description": "Midwestern annual jazz festival, held in January. Includes a list of participants, schedules, events, and ticket information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "https://purdue.edu/bands/jazzfest/"} +{"d:Title": "Salt Lake City International Jazz Festival", "d:Description": "Held annually in July. Lists featured artists, schedule, clinics, and sponsors.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.slcjazzfestival.org/"} +{"d:Title": "Jersey Shore Jazz&Blues Festival", "d:Description": "Annual event held in Red Bank New Jersey, May 30th-June 1st. Contains festival information, newsroom, sponsors, artists, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.jerseyshorefestival.org/"} +{"d:Title": "French Quarter Festival", "d:Description": "Annual festival held in the historic French Quarter (Vieux Carr\u00e9) of New Orleans, Louisiana. Event information, news, programme, photos and tickets. Genres: jazz, world, blues, gospel, classical, brass band, rock and pop.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.fqfi.org/frenchquarterfest/"} +{"d:Title": "Hampton Jazz Festival", "d:Description": "Festival held in Hampton Virginia. Includes artists, hotel package information, tickets, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.hamptonjazzfestival.com/"} +{"d:Title": "Jazz Aspen Snowmass", "d:Description": "Features jazz, blues, and soul music. Includes education project, performers, ticket information, and contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://jazzaspensnowmass.org/"} +{"d:Title": "Branford Jazz Concert Series", "d:Description": "A series of free summer jazz concerts on the Branford, CT Town Green sponsored by the Town of Branford, the Branford Recreation Department, the Branford Festival, and Blue Plate Radio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://branfordjazz.com/"} +{"d:Title": "JazzFestFalmouth", "d:Description": "Information and ticket sales for this annual Jazz Event in Falmouth, MA", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.jazzfestfalmouth.org/"} +{"d:Title": "Richmond Jazz Festival, Virginia", "d:Description": "Featuring over 20 local, national and international bands and artists on two stages. Tickets, Line-up and Travel Info.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.jazzatmaymont.com/"} +{"d:Title": "Hudson Valley Jazz Festival", "d:Description": "International and local jazz musicians performing in different venues around Warwick, New York. Artist information, program, photos, past performances.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.warwickvalleyjazzfest.com/"} +{"d:Title": "Iowa City Jazz Festival", "d:Description": "Annual Summer Jazz Festival. Founded in 1991, Schedule, line-up, Performer Bios, History", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.summerofthearts.org/festival-menu/jazz-festival/about.aspx"} +{"d:Title": "Exit 0 International Jazz Festival", "d:Description": "Held twice a year in New Jersey, this festival celebrates straight-ahead jazz. Includes schedule, artists, tickets, news, and festival links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.exit0jazzfest.com/"} +{"d:Title": "Omaha Jazz and Blues Festival", "d:Description": "Jazz, and blues festival showcasing national, regional, and local artists. Includes schedule, talent, tickets, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://omaha.net/riverfront-jazz-blues-festival"} +{"d:Title": "Tri-C JazzFest", "d:Description": "Annual music festival. Provides educational clinic information, schedule, tickets, festival history, and contact information. Cleveland, Ohio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.tri-c.edu/jazzfest/"} +{"d:Title": "Elmhurst College Jazz Festival", "d:Description": "Annual College Jazz Festival in Illinois. Offers schedule, featured artists, festival history, and map directions.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.elmhurst.edu/jazzfestival"} +{"d:Title": "Macy's Music Festival Cincinnati", "d:Description": "Tickets and accommodations provided by QLZ Productions to the festival also known as the Cincinnati Jazz Festival.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.qlzproductions.com/"} +{"d:Title": "Sarasota Jazz Festival", "d:Description": "Annual spring event. Artist schedule, ticket prices, festival information and links to dozens of other club-sponsored events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "http://www.jazzclubsarasota.org/festival--2"} +{"d:Title": "Greater Hartford Festival of Jazz", "d:Description": "Features four nights of free jazz in Bushnell Park - next to the State Capitol in Hartford. Includes schedule, artists, photos, and information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States", "url": "https://www.hartfordjazz.org/"} +{"d:Title": "Oregon Dixieland Jubilee", "d:Description": "Annual event in Seaside, Oregon. Includes schedule, band profiles and links, local area information, and photographs of previous festivals.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States/Dixieland", "url": "http://www.jazzseaside.com/"} +{"d:Title": "Suncoast Jazz Classic", "d:Description": "Annual event in Clearwater Beach, Florida. Includes line-up and photographs from previous events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Festivals/United_States/Dixieland", "url": "http://www.suncoastjazzclassic.com/"} +{"d:Title": "Microscopic Septet", "d:Description": "Highly eclectic reeds-and-rhythm New York group active in the 1980s and 90s, with photo, discography and history.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists", "url": "http://www.phillipjohnston.com/micros.htm"} +{"d:Title": "Foster/Jenkins/Eubanks Trio", "d:Description": "Freely-improvised music with Joe Foster on cornet, Jean-Paul Jenkins on guitar and altoclarinet, Bryan Eubanks on alto sax. Eccentric biographies with itinerary, news and audio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists", "url": "http://fjetrio.blogspot.com/"} +{"d:Title": "Instant Composers Pool", "d:Description": "European group founded 1967 by Dutch drummer Han Bennink and Russian pianist Misha Mengelberg. Musicians who have played with the group, reviews, and discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists/Instant_Composers_Pool_Orchestra", "url": "http://www.icporchestra.com/"} +{"d:Title": "Salon.com: Ken Nordine", "d:Description": "Profile and interview with the man who brought the world Word Jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists/Nordine,_Ken", "url": "http://www.salon.com/2001/07/11/nordine/"} +{"d:Title": "Pharoah Sanders Site", "d:Description": "Extensive discography, and photo images of lengendary tenor player Sanders.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists/Sanders,_Pharoah", "url": "http://www.angelfire.com/id2/laotan/"} +{"d:Title": "Planet Sun Ra", "d:Description": "The pirAnts have established a colony on Planet Sun Ra to celebrate the wisdom of the musical artist. This page contains words of wisdom from Sun Ra, music and links to other sites.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists/Sun_Ra", "url": "http://www.holeworld.com/stellar.html"} +{"d:Title": "Sun Ra: Stranger from the Outer Space", "d:Description": "Article by Mike Walsh about the life, music, and philosophies of jazz band leader Sun Ra.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists/Sun_Ra", "url": "http://www.missioncreep.com/mw/sunra.html"} +{"d:Title": "Sun Ra", "d:Description": "A biographical tribute to Sun Ra by Scott McFarland.", "topic": "Top/Arts/Music/Styles/J/Jazz/Free_and_Avant-Garde/Bands_and_Artists/Sun_Ra", "url": "http://www.furious.com/perfect/sunra.html"} +{"d:Title": "Scott's Jazz/Fusion Page", "d:Description": "Pictures, information and interviews for several jazz and fusion guitarists.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion", "url": "http://www.angelfire.com/oh/scotters/fusion.html"} +{"d:Title": "CyberFusion", "d:Description": "Internet magazine for Jazz Fusion, containing CD reviews, interviews, live reports in Japanese and English.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion", "url": "http://www.jazzfusion.com/indexe.htm"} +{"d:Title": "Fusion Music Masterpieces", "d:Description": "A list of fusion albums by many artists. Detailed information is present for a few.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion", "url": "http://www.bekkoame.ne.jp/~takeito/fusion.html"} +{"d:Title": "The Jazz/Rock Fusion Page", "d:Description": "Fan tribute to classic and modern jazz/rock fusion, with an introduction, history, links and an interactive quiz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion", "url": "http://www.liraproductions.com/jazzrock/"} +{"d:Title": "jazzfusion.tv", "d:Description": "Hundreds of hours of 1960s and 70s vintage live jazz fusion audio and video, mostly from European FM broadcasts and collected by sound file traders.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion", "url": "http://jazzfusion.tv/"} +{"d:Title": "Jazz Rock World", "d:Description": "Fusion portal with recording reviews and scheduled release dates as well as artist-submitted audio for download, forums, survey and polls, news archive, trivia quiz, and a members area.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion", "url": "http://www.jazzrockworld.com/"} +{"d:Title": "Vani", "d:Description": "A group who performs a genre of fusion music called raga rock. Band background, sound clips, CD ordering, booking information, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://ragarock.com/"} +{"d:Title": "Chameleon", "d:Description": "Groove jazz funk and blues. Site contains audio clips, photos, and band information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.jpj.net/chameleon/"} +{"d:Title": "Summers, Andy", "d:Description": "Since 1986, when the Police left the stage as the biggest rock band in the world, Andy Summers has followed his own muse, cultivating the ambient and improvisatory streaks always evident in his distinctive soundprint.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.andysummers.com/"} +{"d:Title": "Levin, Tony", "d:Description": "Bassist Tony Levin's Discography", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.papabear.com/tldiscog.htm"} +{"d:Title": "Sanjana, Sandhya", "d:Description": "An Indian vocalist who integrates Indian classical vocals with jazz, world music and western contemporary styles. Artist information, song clips and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.sandhyasanjana.com/"} +{"d:Title": "Sijupana", "d:Description": "A four piece collaboration from Youngstown, Ohio, dedicated to multiple genre improvisation. Group information, photos, message board, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.angelfire.com/band/sijupana/"} +{"d:Title": "Coryell, Larry", "d:Description": "A large site dedicated to Coryell. Bio, discography, photos, forum, shopping, tour dates, news, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.kiosek.com/coryell/"} +{"d:Title": "Rodriguez, Hector", "d:Description": "Site of jazz-fusion guitarist in English and Spanish.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://members.tripod.com/hector_rodriguez/"} +{"d:Title": "Willis, Gary", "d:Description": "The official site for the Tribal Tech bassist features CDs, books, bass lessons, the Ibanez Willis Signature bass, tour/clinic information, Ask Willis, dogs, and mountain bikes.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.garywillis.com/"} +{"d:Title": "Travis Larson Band", "d:Description": "An instrumental guitar fusion band from California. News, song clips, show dates, reviews and articles.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.travislarsonband.com/"} +{"d:Title": "Lyon, Ray (Balsam Pillow Studio)", "d:Description": "Website for musician/producer/engineer Ray Lyon, Balsam Pillow Studio, and Burning Blue Records.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.balsampillow.com/"} +{"d:Title": "Henderson, Scott", "d:Description": "The home page of the Tribal Tech guitarist with reviews, interview, biography, news, merchandise, tour dates and equipment information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.scotthenderson.net/"} +{"d:Title": "Rare Blend", "d:Description": "Jazz fusion, instrumental rock quartet. Discography, audio, photographs, reviews, schedule, booking information and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.rareblend.net/"} +{"d:Title": "Niacin", "d:Description": "US group composed of Billy Sheehan, John Novello, and Dennis Chambers. Includes sound clips and discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://billysheehan.com/niacin/"} +{"d:Title": "Jazz Pistols", "d:Description": "German jazz trio with guitar, bass and drums. CD and concert reviews, performing schedule, MP3 samples, humorous clay sculpture images of musicians and instruments. In English and German.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.jazz-pistols.de/"} +{"d:Title": "Margot Leverett and the Klezmer Mountain Boys", "d:Description": "Clarinetist leads band blending bluegrass with klezmer. Audio clips, CD and concert reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.klezmermountainboys.com/"} +{"d:Title": "JD Project", "d:Description": "Canadian jazz-funk-fusion band led by guitarist John Drew, with sound clips and CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.mnsi.net/~njdrew/"} +{"d:Title": "Garcia, Al", "d:Description": "Progressive jazz/rock fusion bassist and guitarist performing with the band Continuum. Reviews, equipment list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.liraproductions.com/alfgar/"} +{"d:Title": "Continuum", "d:Description": "California fusion quartet with keyboard, bass, sax and percussion. Gig list, photo gallery, sound samples, CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.liraproductions.com/Continuum.html"} +{"d:Title": "Farpoint Station", "d:Description": "Band led by Croatian guitarist Nenad Resnik offers musician photos and CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/Bands_and_Artists", "url": "http://www.nenadresnik.com/"} +{"d:Title": "World Jazz", "d:Description": "For musicians and music students with free online lessons and MP3.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion", "url": "http://www.worldjazz.ch/english.htm"} +{"d:Title": "Conte, Paolo", "d:Description": "Biography, discography, articles, news, tour dates, and reviews of the Italian pianist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.swonderful.net/"} +{"d:Title": "Sambalan\u00e7o", "d:Description": "Homepage of Afro-Brazilian Percussion Group from Rotterdam, playing music with a mix of African, Cuban, rhythms like samba, samba-reggae, afoxe, baiao, timbalada.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.sambalanco.nl/"} +{"d:Title": "Muna, Bachot", "d:Description": "Homesite of Bachot and his band Vibration, a Cameroonian artist combining jazz funk, makossa and soul. Site features a biography, discography and audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://users.skynet.be/bachot-muna/"} +{"d:Title": "Kaissa", "d:Description": "African fusion world music singer in New York.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.kaissa.com/"} +{"d:Title": "Skins of an Orchestra", "d:Description": "A trio playing Andean Appalachian folk fusion music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.skorch.com/"} +{"d:Title": "Ancient Future", "d:Description": "The home page of the pioneering World Fusion band, Ancient Future. Band history and biography, online CD ordering and concert information for many bands and forums.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.ancient-future.com/"} +{"d:Title": "Hulu Project", "d:Description": "Luigi Archetti and Hubl Greiner duet, creating radical eclectic music. Includes profiles, audio file downloads, and discography. In English and German.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.hg11.com/"} +{"d:Title": "Al-Andalus", "d:Description": "Performs a mixture of Andalusian and western music. Site contains band information, discography, music samples, news and press quotes.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.andalus.com/"} +{"d:Title": "Elektra Kurtis", "d:Description": "Home of the violinist and her Ensemble Elektra, who play a fusion of funk, contemporary jazz and Latin beats with Mediterranean and Middle Eastern ethnic music traditions. Biography, sound clips, CD information and ordering.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://elektrak.tripod.com/"} +{"d:Title": "Armstrong, Randy", "d:Description": "Guitarist, multi-instrumentalist, recording artist, educator and composer performing contemporary jazz/world music blending electronic and acoustic instruments from around the world.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.randyarmstrong.com/"} +{"d:Title": "Ranga Pae", "d:Description": "Instruments from Japan, India, Bali, Indonesia, Hawaii, and the west fused with jazz, new age, and pop/rock styles. Free MP3s, CDs and tapes, photos and concert dates.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.rangapae.com/"} +{"d:Title": "Scott, Andy", "d:Description": "Saxophonist and composer combining contemporary, classical, jazz, folk, and world music. Biography, quartet information, performance dates, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.andyscott.org.uk/"} +{"d:Title": "Apollo Saxophone Quartet", "d:Description": "Member biographies, discography, commissioned works, repertoire, performances, projects, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.apollosaxophonequartet.com/"} +{"d:Title": "Prunka, Brian", "d:Description": "Composer, guitarist, and oud player. Includes music samples, current projects and performance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.brianprunka.com/"} +{"d:Title": "Oregon", "d:Description": "The official site for the innovative musical quartet that integrates jazz, classical, and world music. Includes history, discography, sound clips, photos, and news.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.oregonband.com/"} +{"d:Title": "Tibbetts, Steve", "d:Description": "The recordings of multi-instrumentalist Steve Tibbetts, featuring reviews, tour dates and online ordering.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.frammis.com/"} +{"d:Title": "Walcott, Collin", "d:Description": "Dedicated to the late sitar/percussion player and composer, a member of \"Oregon\". Includes biography, audio files, image gallery, discography, credits, and videography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.collinwalcott.com/"} +{"d:Title": "Vasilic Nenad Balkan Band", "d:Description": "About the Vasilic Nenad Balkan band fusion of Balkan music and modern jazz. Includes sound samples from latest CD \"Folk Songs\".", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://vasilic.faithweb.com/"} +{"d:Title": "KinderVomUniversum Homepage", "d:Description": "The homepage of KinderVomUniversum, featuring information about the band, lyrics and mp3 samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.kindervomuniversum.de/"} +{"d:Title": "Young and Rollins", "d:Description": "Biography, discography, pictures, music samples, reviews and contact information for this \"new flamenco\" guitar duo.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.youngandrollins.com/"} +{"d:Title": "Cado", "d:Description": "Brazilian jazz guitarist, composer and instructor.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://members.tripod.com/cado3/"} +{"d:Title": "Dogole, Ian", "d:Description": "A percussionist, bandleader, composer, recording artist, producer and educator. Samples, discography, photos and performance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.iandogole.com/"} +{"d:Title": "Goskel, Omer", "d:Description": "Turkish composer and arranger. MP3s of original works for piano, instrumental and vocal ensembles.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.omergoksel.com/"} +{"d:Title": "Nulle&Verdensorkestret", "d:Description": "A group of Danish musicians playing distinctive jazz and world music. Sound samples, photographs from concerts and tours, and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://www.nulleogverdensorkestret.dk/"} +{"d:Title": "Mezcla Cuban Roots Fusion Ensemble", "d:Description": "Havana group offers a mix of Cuban music styles: jazz, son, rumba, yoruba (afro cuban), and nueva trova is mixed with rock, blues, rap, reggae and souk.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists", "url": "http://cubamezcla.com/"} +{"d:Title": "Mark Isham", "d:Description": "Official web site, featuring music samples, sheet music, discography, and event calendar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Isham,_Mark", "url": "http://www.isham.com/"} +{"d:Title": "Masada", "d:Description": "Fan site from J. F. Graves offers detailed history of the band, with link to college thesis on founder John Zorn's music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Masada", "url": "http://members.tripod.com/~JFGraves/Masada/masada.html"} +{"d:Title": "Masada", "d:Description": "Unofficial discography with translations and explications of the Hebrew Aramaic track titles. Links to tours by date and location.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Masada", "url": "http://barkokhba.chez.com/masada.htm"} +{"d:Title": "Monk, Meredith", "d:Description": "Composer, singer, film maker, choreographer, and director. Includes a biography, discography, shop, information on opera, installations, video, and performances.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Monk,_Meredith", "url": "http://www.meredithmonk.org/"} +{"d:Title": "Lovely Music, Ltd.: Meredith Monk", "d:Description": "Biography, profile, and discography of this composer, singer, and film maker.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Monk,_Meredith", "url": "http://www.lovely.com/bios/monk.html"} +{"d:Title": "Terje Rypdal", "d:Description": "Biography from the Norwegian Music Information Centre.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Rypdal,_Terje", "url": "http://www.mic.no/mic.nsf/home/forsiden?opendocument&url=http://www.mic.no/mic.nsf/doc/art2002100720202345364156"} +{"d:Title": "In a Miles Mood", "d:Description": "AllAboutJazz.com review of the guitarist's 2003 release entitled Vossabrygg, and its roots in Miles' \"Bitches Brew\" album.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Rypdal,_Terje", "url": "http://www.allaboutjazz.com/php/article.php?id=20807"} +{"d:Title": "Wikipedia: Terje Rypdal", "d:Description": "Brief biography mentions the Norwegian guitarist's work with Jan Garbarek and Georgue Russell, with a discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Rypdal,_Terje", "url": "http://en.wikipedia.org/wiki/Terje_Rypdal"} +{"d:Title": "Yahoo! Groups: Ralph_Towner", "d:Description": "A list devoted to general discussion and sharing the interest about the guitarist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Towner,_Ralph", "url": "http://groups.yahoo.com/group/Ralph_Towner/"} +{"d:Title": "De Vito / Taylor / Towner", "d:Description": "Biography, discography, photos of trio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Towner,_Ralph", "url": "http://www.provocateurrecords.co.uk/artist.php?artistID=12&display=biography"} +{"d:Title": "Weather Report at Rockpalast", "d:Description": "Some audio clips about a Weather Report concert of 1978 (Germany). Also photos, discography and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Weather_Report", "url": "http://www.rockpalastarchiv.de/concert/weather.html"} +{"d:Title": "Weather Report Annotated Discography", "d:Description": "Detailed descriptions of each album by the musicians themselves.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Weather_Report", "url": "http://www.binkie.net/wrdisc/"} +{"d:Title": "Joe Zawinul Italian Fan Site", "d:Description": "Information about the musician and his past career. Also background on Jaco Pastorius, Wayne Shorter, and Cannonball Adderley. Content both in English and Italian.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Zawinul,_Joe", "url": "http://www.zawinulfans.org/"} +{"d:Title": "Zawinul Online", "d:Description": "Includes news, catalogs, articles, interviews and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Zawinul,_Joe", "url": "http://www.binkie.net/zawinul/"} +{"d:Title": "Joe Zawinul: Austrian Folk and Weathered Funk", "d:Description": "Profile and interview by jazz historian and critic Mike Zwerin.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Zawinul,_Joe", "url": "http://www.culturekiosque.com/jazz/miles/rhemile15.htm"} +{"d:Title": "Joe Zawinul Fans Mailing List", "d:Description": "A mailing list dedicated to Joe Zawinul. Also includes links, files, events, and chat sessions.", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Zawinul,_Joe", "url": "http://launch.groups.yahoo.com/group/zawinulfans/"} +{"d:Title": "Joe Zawinul", "d:Description": "Official site offers discography, personnel, photos, news and tour dates.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Fusion/World_Fusion/Bands_and_Artists/Zawinul,_Joe", "url": "http://www.zawinulmusic.com/"} +{"d:Title": "InterJazz", "d:Description": "Internet plaza offering inexpensive websites for jazz musicians, clubs, festivals, management agencies and labels. Search function.", "topic": "Top/Arts/Music/Styles/J/Jazz/Guides_and_Directories", "url": "http://www.interjazz.com/"} +{"d:Title": "Jazz Roots", "d:Description": "Tom Morgan offers lavishly illustrated profiles of early 20th century performers along with links to books, a timeline from 1800 to 1930, and images of early sheet music including a year-by-year collection of Cotton Club Parades.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.jass.com/"} +{"d:Title": "The Jazz Archive", "d:Description": "Jazz archive, photos, and vintage records are displayed. A historic jazz calendar is included.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.rainerjazz.com/"} +{"d:Title": "Jazz, Hot and Cold", "d:Description": "Essay by librettist Arnold Sundgaard on jazz history as originally published June, 1955 in the Atlantic Monthly.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.theatlantic.com/unbound/jazz/sundgaar.htm"} +{"d:Title": "What is Jazz?", "d:Description": "Four lectures on jazz history by Dr. Billy Taylor.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://town.hall.org/Archives/radio/Kennedy/Taylor/"} +{"d:Title": "Red Hot Jazz Archive", "d:Description": "A history of jazz before 1930 offering RealAudio files, biographies, discographies, and filmography.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.redhotjazz.com/"} +{"d:Title": "Kansas City Jazz", "d:Description": "Loose collection of pages devoted to Count Basie, Jay McShann, Charlie Parker, Don Byas, and Bennie Moten, with a page for the modern Basie band under Grover Mitchell. Photos, link to ring.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://members.tripod.com/~isaziz/index.html"} +{"d:Title": "The Real Godfathers of Punk", "d:Description": "E-zine article by Billy Bob Hargus connecting jazz artists auch as Sun Ra, Albert Ayler, John Coltrane and Ornette Coleman with the roots of punk music. Links, photographs.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.furious.com/perfect/jazzpunk.html"} +{"d:Title": "Chicago Jazz Archive", "d:Description": "Significant collection founded in 1976 at the University of Chicago including early recordings, sheet music, photos and piano rolls, much of which is accessible online.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.lib.uchicago.edu/e/su/cja/"} +{"d:Title": "Great Day in Harlem", "d:Description": "Click on Art Kane's August 1958 photograph of 57 jazz musicians for names of those pictured, or browse by timeline, instrument, or style. Link to the Jean Bach documentary of the shoot, online sales of the photo originally published in Esquire magazine.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.harlem.org/"} +{"d:Title": "All That Jazz", "d:Description": "The history of jazz starting from the late 1800's.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://atj.8k.com/"} +{"d:Title": "Swing Music Net", "d:Description": "The history of swing music from the 1930's until today.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.swingmusic.net/"} +{"d:Title": "Modern Jazz Discography", "d:Description": "Catalog of recordings released by Blue Note, Prestige and Riverside Records, sorted by musician, label and date. Session indexes, biographical notes, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.jazzdisco.org/"} +{"d:Title": "Mars Club of Paris", "d:Description": "Internationally known jazz club where many Americans gathered to play in the 1950s. Features photograph of a door inscribed with the names of musicians who appeared at the club, and memories of Billy Strayhorn.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.marsclubofparis.com/"} +{"d:Title": "Historian Max Morath on Popular Music Standards", "d:Description": "Musician and historian Max Morath discusses American popular music standards with Jerry Jazz Musician. Pictures, sound files, text.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=morath.html"} +{"d:Title": "A History of Jazz", "d:Description": "Provides information about jazz history with links to jazz clubs and festivals. Also includes a gallery of jazz posters.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.historyjazz.com/"} +{"d:Title": "The Hard Bop", "d:Description": "Music and the musicians of the jazz style of the late 1950s and early 1960s. Photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://members.tripod.com/~hardbop/"} +{"d:Title": "The Norwegian Jazz Base", "d:Description": "A history of jazz in Norway. Includes photographs, sound clips, jazz links and biographies.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.jazzbasen.no/index_eng.html"} +{"d:Title": "Heptune: Lorenz-Pulte Jazz Page", "d:Description": "Fan site profiling Cab Calloway, Blanche Calloway, Louis Armstrong, Don Redman, Fats Waller, Frankie \"Half-Pint\" Jaxon, and Lil Johnson.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.heptune.com/jazzfolk.html"} +{"d:Title": "The Los Angeles Jazz Institute", "d:Description": "Houses and maintains one of the largest jazz archives in the world. All styles and eras are represented with special emphasis on preservation and documentation of jazz in southern California.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://lajazzinstitute.org/"} +{"d:Title": "JazzStandards.com", "d:Description": "Documentation on the jazz standards including their origins, histories, musical analyses, song-writer and performer biographies, book reviews, and CD recommendations.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.jazzstandards.com/"} +{"d:Title": "Solid!", "d:Description": "An encyclopedia of big band, classic jazz, and lounge music.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.parabrisas.com/"} +{"d:Title": "Basinstreet.com", "d:Description": "Dedicated to the preservation of early jazz history.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.basinstreet.com/"} +{"d:Title": "A Brief History of Modern Jazz", "d:Description": "Succinct summary of major artists identified with bebop, cool jazz, hard bop, modal jazz, bossa nova, and jazz rock. With links to audio from Milt Jackson tribute CD.", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.moodjazz.com/history.html"} +{"d:Title": "Music and You Jazz History", "d:Description": "This is a brief historical essay on the history of Creoles in New Orleans, and their influence on Jazz", "topic": "Top/Arts/Music/Styles/J/Jazz/History", "url": "http://www.musicandyou.com/music-history/"} +{"d:Title": "Gallery 41", "d:Description": "Featuring photographs of more than 100 jazz artists, highlighted with audio and video files.", "topic": "Top/Arts/Music/Styles/J/Jazz/Image_Galleries", "url": "http://www.gallery41.com/"} +{"d:Title": "William P. Gottlieb Photographs from the Golden Age of Jazz", "d:Description": "Library of Congress collection of more than 1,600 photographs taken by photo-journalist for the Washington Post, Down Beat, Collier's, The Record Changer, and Saturday Review, documenting the jazz scene from 1938 to 1948, primarily in New York City and Washington, D.C.", "topic": "Top/Arts/Music/Styles/J/Jazz/Image_Galleries", "url": "http://memory.loc.gov/ammem/wghtml/wghome.html"} +{"d:Title": "Jazz Impressions in Black and White", "d:Description": "Images of signed and numbered prints of ink drawings offered for sale by artist Alastair Graham including portraits of Miles Davis, Art Blakey, and Charles Mingus. [Flash]", "topic": "Top/Arts/Music/Styles/J/Jazz/Image_Galleries", "url": "http://www.jazzfolio.com/"} +{"d:Title": "Addison Groove Project", "d:Description": "This Boston-based modern funk fusion band that features vintage keyboards, horns, guitar, heavy drums and bass, unique vocals, and great songwriting.", "topic": "Top/Arts/Music/Styles/J/Jazz/Jazz_Funk/Bands_and_Artists", "url": "http://www.addisongroove.com/"} +{"d:Title": "Stanley Maxwell", "d:Description": "This is the official \"Stanley Maxwell\" website. Contains up-to-date info on their tour dates; music downloads; merchandise; etc.", "topic": "Top/Arts/Music/Styles/J/Jazz/Jazz_Funk/Bands_and_Artists", "url": "http://www.stanleymaxwell.com/"} +{"d:Title": "B3 Bombers", "d:Description": "Features Chicago organist Dan Trudell and drummer Clyde Stubblefield, with links to Trudell's other bands.", "topic": "Top/Arts/Music/Styles/J/Jazz/Jazz_Funk/Bands_and_Artists", "url": "http://www.dantrudell.com/b3bombers.html"} +{"d:Title": "Noel Freidline Quintet", "d:Description": "Pianist-vocalist leads this Florida group with vocalist Renee Dickerson. Band photos and profiles, audio and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Mainstream/Bands_and_Artists", "url": "http://noelfreidline.com/"} +{"d:Title": "Marcus Miller Jazz Quartet", "d:Description": "Detroit quintet led by saxophonist with keyboard, bass, and drums, with streaming video and audio downloads.", "topic": "Top/Arts/Music/Styles/J/Jazz/Mainstream/Bands_and_Artists", "url": "http://marcus-miller-jazz.blogspot.com/"} +{"d:Title": "John Lewis and the MJQ", "d:Description": "Micheal Furstner's Jaz Class profile of Jazz pianist, composer, and MJQ band leader, includes a chronology, bibliography and discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Mainstream/Bands_and_Artists/Modern_Jazz_Quartet,_The", "url": "http://www.jazclass.aust.com/lewis.htm"} +{"d:Title": "Olavi Huuska's Modern Jazz Quartet Page", "d:Description": "Fan from Finland provides detailed discography based on his MJQ record collection.", "topic": "Top/Arts/Music/Styles/J/Jazz/Mainstream/Bands_and_Artists/Modern_Jazz_Quartet,_The", "url": "http://koti.mbnet.fi/~ohuuska"} +{"d:Title": "DownBeat.com", "d:Description": "Daily online news from Down Beat magazine, the monthly \"bible\" of jazz, blues, and roots music.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media", "url": "http://www.downbeat.com/"} +{"d:Title": "eJazzNews", "d:Description": "Providing jazz-related news, articles, events, forums, reviews and MP3 music downloads.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media", "url": "http://www.ejazznews.com/"} +{"d:Title": "World Wide Jazz", "d:Description": "A jazz site that provides a jazz newsletter, interviews, cd reviews, a forum and merchandise.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media", "url": "http://www.worldwide-jazz-online.com/"} +{"d:Title": "Chicago Jazz Magazine", "d:Description": "Online edition offers links to Chicagoland musicians, clubs, and CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.chicagojazz.com/"} +{"d:Title": "Jazz and Blues Report", "d:Description": "Search for information on current artists.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jazz-blues.com/"} +{"d:Title": "Jazznet", "d:Description": "Features, interviews and photos of major jazz musicians, CD reviews, European club dates and commentary by Mike Zwerin of the International Herald Tribune in Paris.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.culturekiosque.com/jazz/index.htm"} +{"d:Title": "Jazz Improv Magazine", "d:Description": "Magazine with music and ideas for all jazz enthusiasts. Includes a companion CD with each issue.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jazzimprov.com/"} +{"d:Title": "Jazz Weekly", "d:Description": "Interviews, reviews, CD picks, and concert picks.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jazzweekly.com/"} +{"d:Title": "JazzHouse.org", "d:Description": "Online zine of the Jazz Journalists Association. Articles, photos, reviews, discussions on all aspects of jazz contributed by members of the JJA - the largest worldwide organization of working jazz scribes and photographers.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jazzhouse.org/"} +{"d:Title": "The Atlantic Monthly's Jazz Archives", "d:Description": "Articles from 1922 forward, viewable by paid subscribers.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.theatlantic.com/unbound/jazz/articles.htm"} +{"d:Title": "Sounds of Timeless Jazz", "d:Description": "Webzine featuring interviews, CD and concert reviews, and shopping.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.soundsoftimelessjazz.com/"} +{"d:Title": "JazzTimes.com", "d:Description": "Features daily news, CD reviews, discussion forums, festival listings, merchandise, and contests.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jazztimes.com/"} +{"d:Title": "Jazz News", "d:Description": "Features news, reviews of recordings and books, interviews, articles, a message board and archives.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://home.nestor.minsk.by/jazz/"} +{"d:Title": "JazzWest", "d:Description": "Focused on San Francisco Bay area, and featuring club and concert listings, articles, CD reviews, free tickets, and a directory of Bay Area jazz musicians, jazz clubs, record labels, and jazz-related groups.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jazzwest.com/"} +{"d:Title": "Smooth Jazz News", "d:Description": "Contemporary jazz magazine featuring jazz stories and CD reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.smoothjazznews.com/"} +{"d:Title": "The Sharper Sound", "d:Description": "Irish online magazine featuring news, interviews, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://sharpersound.tripod.com/thesharpersound/"} +{"d:Title": "ContemporaryJazz.com", "d:Description": "E-zine offering news, reviews, scheduled release dates, charts, chat forum and link to online CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://contemporaryjazz.com/"} +{"d:Title": "Jerry Jazz Musician", "d:Description": "E-zine featuring sketches of jazz greats, album art and liner notes, feature articles and sound files.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jerryjazzmusician.com/"} +{"d:Title": "Jazziz", "d:Description": "Online and print versions offer reviews, articles, and recording compilations by subscription.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.jazziz.com/"} +{"d:Title": "The Vermont Review", "d:Description": "Series of interviews, reviews and articles by prolific writer and webmaster Brian Knight.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://members.tripod.com/vermontreview/Jazz/Aboutjazz.htm"} +{"d:Title": "All About Jazz", "d:Description": "Offers monthly columns, active chat forum, and browsable archive including artists' profiles, photos, gigs, festivals, news, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.allaboutjazz.com/"} +{"d:Title": "Topix: Jazz", "d:Description": "News about jazz, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/jazz.xml"} +{"d:Title": "Metropolis", "d:Description": "Jazz weblog with articles and images.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://metropolis.free-jazz.net/"} +{"d:Title": "National Jazz Archive: Jazz Stories", "d:Description": "Large collection of interviews, articles and musician profiles maintained by UK big band trumpet player Ron Simmonds, with composing and arranging tips, photo galleries, and events.", "topic": "Top/Arts/Music/Styles/J/Jazz/News_and_Media/Magazines_and_E-zines", "url": "http://www.nationaljazzarchive.co.uk/stories"} +{"d:Title": "Latin Jazz Network", "d:Description": "Online project dedicated to promoting Latin jazz music and artists. Contains news, reviews, events, interviews, and artists' profiles.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.latinjazznet.com/"} +{"d:Title": "Arkansas Jazz Heritage Foundation", "d:Description": "a non-profit organization dedicated to educating the general public about the historical significance of Arkansas' musical heritage. It sponsors jazz performances by musicians with Arkansas connections and educational clinics for high school and college musicians throughout the state.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.arjazz.org/"} +{"d:Title": "SEMJA - Southeastern Michigan Jazz Association", "d:Description": "SEMJA, supporting jazz and jazz education in SE Michigan. With monthly newsletter, local calendar, and reviews of concerts and recordings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.semja.org/"} +{"d:Title": "Potomac River Jazz Club", "d:Description": "A non-profit society devoted to preserving, encouraging and promoting the playing of traditional /dixieland / ragtime jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.prjc.org/"} +{"d:Title": "Starr-Gennett Foundation", "d:Description": "Non-profit organization dedicated to promoting the musical legacy of the Gennett Record company, which recorded some of the earliest and most significant jazz records in the 1920s and 30s.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.starrgennett.org/"} +{"d:Title": "The Banff Centre", "d:Description": "a Canadian learning centre dedicated to the arts, leadership development, and mountain culture. The Centre hosts an International Workshop in Jazz and Creative Music and the Hugh Fraser Jazz Orchestra Workshop.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.banffcentre.ca/"} +{"d:Title": "Puget Sound Traditional Jazz Society", "d:Description": "PSTJS provides information on traditional jazz concerts, bands, musicians and radio shows in the Seattle area. The PSTJS also sponsors area events and CD compilations.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.pstjs.org/"} +{"d:Title": "NEJA - New England Jazz Alliance", "d:Description": "NEJA promotes jazz in New England and has created the New England Jazz Hall of Fame, a travelling roadshow in search of a permanent home. The organization is a resource for area performances and outreach programs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.nejazz.org/"} +{"d:Title": "SFJAZZ, the San Francisco Jazz Organization", "d:Description": "A non-profit presenting arts organization dedicated to advancing the art of jazz through concerts, education programs, discussions, listening parties, and cultural events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.sfjazz.org/"} +{"d:Title": "Friends of Big Band Jazz", "d:Description": "A non-profit organization dedicated to the preservation and promotion of Big Band Jazz, supporting the Mike Vax Jazz Orchestra and the Mike Vax Big Band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.bigbandjazz.net/"} +{"d:Title": "Illawarra Jazz Club", "d:Description": "Australian, non-profit organisation whose aim is to promote jazz and have lots of fun.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.illawarrajazzclub.com/"} +{"d:Title": "The Boogie-woogie Preservation Society", "d:Description": "UK-based organisation dedicated to the preservation of Boogie-Woogie piano playing. Features events, players and links to related sites.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://theboogiewoogie.bravepages.com/"} +{"d:Title": "Jazz'halo", "d:Description": "Belgian organization promoting modern jazz music. Produces quarterly magazine, CD recordings, concerts.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.jazzhalo.be/"} +{"d:Title": "Brookfield Jazz Society", "d:Description": "Holds weekly meetings and free jazz events in and around Brookfield, Illinois.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.brookfieldjazz.org/"} +{"d:Title": "International Association of Jazz Record Collectors", "d:Description": "Dedicated to the promotion of jazz recordings and jazz history, the IAJRC maintains a searchable catalog and lending library. The association also publishes a quarterly journal and holds an annual convention.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://iajrc.org/"} +{"d:Title": "Heritage Jazz", "d:Description": "Photos of jazz events with bulletin board for jazz fans in Goa, India.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.heritagejazz.com/"} +{"d:Title": "Jazz Cares", "d:Description": "Sells recordings on behalf of musicians contributing a portion of proceeds to musicians and organizations affected by disasters like Hurricane Katrina.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.jazzcares.com/"} +{"d:Title": "The Jazz Foundation of America", "d:Description": "Assists musicians by providing emergency funds and instruments, and free or low-cost medical care in the New York area.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.jazzfoundation.org/"} +{"d:Title": "Jazz Lifeline to Academia Severed", "d:Description": "NY Times article reports bankruptcy filing by the 10,000-member International Association of Jazz Educators.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.nytimes.com/2008/04/26/arts/music/26jazz.html"} +{"d:Title": "The Thelonious Monk Institute of Jazz", "d:Description": "Its mission is to offer the world's most promising young musicians college level training by America's jazz masters and to present public school-based jazz education programs for young people around the globe.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.monkinstitute.org/"} +{"d:Title": "Jazz at Lincoln Center", "d:Description": "New York based organization led by artistic director Wynton Marsalis. Includes recordings, broadcasts and performance schedule for the resident Lincoln Center Jazz Orchestra, information on guest performers and educational programs, and essays by Stanley Crouch.", "topic": "Top/Arts/Music/Styles/J/Jazz/Organizations", "url": "http://www.jazz.org/"} +{"d:Title": "Morell, Drew", "d:Description": "Jazz pianist, guitarist and bassist, and music professor the University of Colorado in Denver. Lesson availability and recordings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Personal_Pages", "url": "http://www.drewjazz.com/"} +{"d:Title": "Minali, Xavier", "d:Description": "Jazz saxophonist and pianist from Toulouse, France doubles as website consultant and designer.", "topic": "Top/Arts/Music/Styles/J/Jazz/Personal_Pages", "url": "http://www.xminali.com/"} +{"d:Title": "Changee", "d:Description": "Jazz piano solo performances by a salaried worker who loves Bill Evans. Text in English and Japanese.", "topic": "Top/Arts/Music/Styles/J/Jazz/Personal_Pages", "url": "http://www.voiceblog.jp/katsuhiro/"} +{"d:Title": "Jazz After Hours", "d:Description": "Jim Wilke's late night public radio jazz program, with program playlists.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.jazzafterhours.org/"} +{"d:Title": "Jazz Variations", "d:Description": "Weekly syndicated jazz radio show hosted by Tonia King. Links including affiliate stations.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.jazzvariations.com/"} +{"d:Title": "XM 70 - Real Jazz", "d:Description": "Straightahead jazz and bebop broadcast via satellite to the continental US. List of typical cuts, program schedule, song request form.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.xmradio.com/programming/channel_page.jsp?ch=70"} +{"d:Title": "SIRIUS Jazz and Standards", "d:Description": "Service offers contemporary, smooth, and classic jazz channels by satellite broadcast to the continental US as well as online to subscribers.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.sirius.com/JazzAndStandards"} +{"d:Title": "NPR's JazzSet with Dee Dee Bridgewater", "d:Description": "Hour-long weekly show with live jazz music and profiles.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.npr.org/programs/jazzset/"} +{"d:Title": "BBC Radio 3: Jazz on 3", "d:Description": "Extensive archive of full-length jazz concerts and interviews in streaming audio, with reviews and features.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.bbc.co.uk/radio3/jazzon3/"} +{"d:Title": "JAZZ.FM91", "d:Description": "Canadian radio station featuring on-air and web only streams, jazz news, program schedule, and playlists.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.jazz.fm/"} +{"d:Title": "KSDS 88.3 FM", "d:Description": "Non-commercial mainstream and traditional jazz station established at San Diego City College in California in 1951, playing full time jazz on-air and online.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.jazz88.org/"} +{"d:Title": "NPR Jazz", "d:Description": "Varioius NPR jazz programs, CD and book reviews, station spotlights, and general information about jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Radio", "url": "http://www.npr.org/music/genres/jazz/"} +{"d:Title": "Mary Haley's Ragtime Page", "d:Description": "Information on ragtime concerts, festivals, societies and clubs; list of CD recordings and sheet music; links to MIDI files, merchandise.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime", "url": "http://www.ragtimers.org/"} +{"d:Title": "Northern Virginia Ragtime Society", "d:Description": "Promoting an interest in classical ragtime and related forms of music; membership information, past and upcoming concerts.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime", "url": "http://www.nvrs.org/"} +{"d:Title": "Ragtime Press MIDI Archive", "d:Description": "Ragtime, blues, stride and boogie piano played live by Sue Keller. All performances are uncut and freely available in MIDI format. Sheet music and CDs also available.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime", "url": "http://www.rtpress.com/"} +{"d:Title": "Vintage Recordings", "d:Description": "Ragtime, jazz, vaudeville, Roaring '20s recordings reissued on CD and cassette. Online catalog and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime", "url": "http://vintage-recordings.com/"} +{"d:Title": "Perfessor Bill Edwards", "d:Description": "List of recordings with descriptions, sound bites/MIDI samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime", "url": "http://www.perfessorbill.com/"} +{"d:Title": "A Ragtime Timeline: 100 Years of the Maple Leaf Rag", "d:Description": "Article from Minnesota Public Radio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime", "url": "http://music.minnesota.publicradio.org/features/9905_ragtime/index.shtml"} +{"d:Title": "National Ragtime and Jazz Archive", "d:Description": "At Southern Illinois University Edwardsville. List of holdings, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime", "url": "http://www.siue.edu/lovejoylibrary/musiclistening/NRJA/"} +{"d:Title": "Ragtime Society Frankfurt", "d:Description": "Performs historical band arrangements from the ragtime era. Concept, performances, musicians, repertoire, MIDIs and sheet music covers, recordings, ragtime discussion forum.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists", "url": "http://www.ragtime-society.de/Englisch/RSF_ENGL.htm"} +{"d:Title": "Paragon Ragtime Orchestra", "d:Description": "Year-round, professional organization performing ragtime-era music: vaudeville hits, silent movie accompaniments, and dance-hall favorites.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists", "url": "http://www.paragonragtime.com/"} +{"d:Title": "Dyson, Phillip", "d:Description": "British concert pianist specializing in Scott Joplin and Billy Mayerl. Biography and email.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists", "url": "http://www.phillipdyson.com/"} +{"d:Title": "Keller, Sue", "d:Description": "Biographical page from the Northern Virginia Ragtime Society about the performer, who is also founder of the Ragtime Press, which sells original sheet music online.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists", "url": "http://www.nvrs.org/SueKeller.htm"} +{"d:Title": "Stargold Music", "d:Description": "Pianist and bassist playing \"Tennessee Rhythm and Ragtime\" for parties, and offering antique sheet music for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists", "url": "http://stargoldmusic.com/"} +{"d:Title": "Birnbaum, Mark", "d:Description": "Ragtime, jazz and blues pianist, staff musician for Joe Franklin television show, with a doctorate from Columbia University. MP3s, articles about ragtime and jazz history, reviews and interviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists", "url": "http://www.ragtimemarkbirnbaum.com/"} +{"d:Title": "Maddox, Johnny", "d:Description": "Noted ragtime pianist whose first recording was released in 1950 offers biography, gig schedule, honors and awards, and CDs for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists", "url": "http://www.crazyotto.com/index.cfm?page=johnny_bio.html"} +{"d:Title": "Scott Joplin International Ragtime Foundation", "d:Description": "Mission statement, information about 2000 Festival, catalog and store, museum, newsletters.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists/Joplin,_Scott", "url": "http://www.scottjoplin.org/"} +{"d:Title": "mfiles: Scott Joplin", "d:Description": "Brief illustrated biography with links to downloadable sheet music and sound files.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists/Joplin,_Scott", "url": "http://www.mfiles.co.uk/composers/scott-joplin.htm"} +{"d:Title": "Kunst der Fuge: Scott Joplin", "d:Description": "MIDI files of ten rags as performed by Alessandro Simonetto.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists/Joplin,_Scott", "url": "http://www.kunstderfuge.com/ragtime.htm"} +{"d:Title": "The Maple Leaf Rag Ring", "d:Description": "Devoted to Scott Joplin and ragtime music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists/Joplin,_Scott", "url": "http://www.webring.org/hub?ring=scottjoplin"} +{"d:Title": "Scott Joplin", "d:Description": "Biography, trivia, and filmography noting the use of his music in film and television from the Internet Movie Database (IMDb).", "topic": "Top/Arts/Music/Styles/J/Jazz/Ragtime/Bands_and_Artists/Joplin,_Scott", "url": "http://www.imdb.com/name/nm0429771/"} +{"d:Title": "JazzWorld.Com", "d:Description": "Hosting musicians' web sites with artist profiles and links to downloads and sales of music and software.", "topic": "Top/Arts/Music/Styles/J/Jazz/Resources", "url": "http://jazzworld.com/"} +{"d:Title": "Montreux Sounds Records", "d:Description": "Database listing performers, set lists and track lists searchable by year or by artist for the Montreux Jazz Festival, 1967 to the present. Links to CD shopping.", "topic": "Top/Arts/Music/Styles/J/Jazz/Resources", "url": "http://www.montreuxsounds.com/"} +{"d:Title": "Professor Andrey's Jazz Laboratory", "d:Description": "Discographies including Ric Colbeck, Mike Osborne, Alan Shorter and Miroslav Vitous as well as autographs, articles and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Resources", "url": "http://jazzlab.iwarp.com/"} +{"d:Title": "Jazzsight", "d:Description": "Offers profiles of well known artists, interviews with contemporary jazz musicians, and a collection of off-beat jazz anecdotes.", "topic": "Top/Arts/Music/Styles/J/Jazz/Resources", "url": "http://www.jazzsight.com/"} +{"d:Title": "Real Book Listening Guide", "d:Description": "Links to audio samples for each tune in the Real Book first edition, with shopping links to the legal sixth edition, and a tune-by-tune comparison of differences between the two.", "topic": "Top/Arts/Music/Styles/J/Jazz/Resources", "url": "http://www.realbooklisten.com/"} +{"d:Title": "Dixieland Jazz", "d:Description": "Offers Louis Armstrong transcriptions, improvisation lessons, and play-along accompaniments.", "topic": "Top/Arts/Music/Styles/J/Jazz/Resources", "url": "http://www.themeister.co.uk/dixie/dixieland_jazz.htm"} +{"d:Title": "Amherst College: Jazz", "d:Description": "Jazz Studies program including e-zines, artist and genre guides, web-cast radio stations, photography, and academic departments and offices.", "topic": "Top/Arts/Music/Styles/J/Jazz/Resources", "url": "https://www.amherst.edu/academiclife/departments/music/learn-play-and-perform/jazz/studies"} +{"d:Title": "DownBeat.com", "d:Description": "65 years of archived articles, news and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Reviews", "url": "http://www.downbeat.com/"} +{"d:Title": "Smooth Jazz Vibes", "d:Description": "News, CD-ROM reviews, links; back issues of Dan Margules' \"Rhythm and Jazz Newsletter\" and Jonathan Widran's \"Contempo\" column published in JAZZIZ magazine.", "topic": "Top/Arts/Music/Styles/J/Jazz/Reviews", "url": "http://www.smoothvibes.com/"} +{"d:Title": "Rambles: jazz reviews", "d:Description": "Rambles, a fast-growing cultural arts magazine, takes a look at the world of jazz music.", "topic": "Top/Arts/Music/Styles/J/Jazz/Reviews", "url": "http://www.rambles.net/jazz.html"} +{"d:Title": "Jazz Online", "d:Description": "Reviews, FAQs, video interviews, bulletin board, audio and video clips, artist interviews, archives.", "topic": "Top/Arts/Music/Styles/J/Jazz/Reviews", "url": "http://www.jazzonline.com/"} +{"d:Title": "Kozmigroov", "d:Description": "An overview of cosmic and psychedelic jazz with reviews by year of release, comments submitted by visitors, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Reviews", "url": "http://www.freeform.org/music/"} +{"d:Title": "ExploreDance.com: Jazz", "d:Description": "Links to dozens of New York jazz club reviews and artist interviews, mostly by Roberta E. Zlockower.", "topic": "Top/Arts/Music/Styles/J/Jazz/Reviews", "url": "http://www.exploredance.com/jazz-p.html"} +{"d:Title": "The A Train", "d:Description": "A collection of classic and fusion jazz album reviews. Includes articles, glossary and archives.", "topic": "Top/Arts/Music/Styles/J/Jazz/Reviews", "url": "http://nicholas_bruner.tripod.com/"} +{"d:Title": "Benoit, David", "d:Description": "Biography, FAQ, tour dates, pictures, discography, news, and sound files.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.benoit.com/"} +{"d:Title": "Oliver, Steve", "d:Description": "Smooth jazz guitarist and vocalist. Biography, discography, photos, news and tour dates.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.steveolivermusic.com/"} +{"d:Title": "Smooth and Soul", "d:Description": "Gallery with hundreds of biographies of smooth jazz performers.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.smooth-jazz.de/"} +{"d:Title": "Airborne", "d:Description": "Smooth vocals group from New Haven, Connecticut. Reviews, photo, audio in several formats.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.airbornejazz.com/"} +{"d:Title": "Lington, Michael", "d:Description": "Copenhagen-born smooth saxophonist associated with Dave Koz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.michaellington.com/"} +{"d:Title": "Ghoussoub, Randa", "d:Description": "Canadian jazz singer of Lebanese origins performing in Canada, Paris and Beirut. Photo gallery, press coverage in English and French.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.randamusic.com/"} +{"d:Title": "David Bach Consort", "d:Description": "Pianist leads Washington, DC based quartet with sax/flute, bass, and percussion. Concert venues, radio appearances, CD sales, corporate clients and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.davidbachmusic.com/"} +{"d:Title": "Grusin, Dave", "d:Description": "Pianist, arranger/composer, and co-founder of GRP Records. Photo, audio, biography, discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.vervemusicgroup.com/davegrusin"} +{"d:Title": "Brecker, Michael", "d:Description": "Verve Records page offers discography, soundclips, downloads, eCards and schedule for the saxophonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.vervemusicgroup.com/michaelbrecker"} +{"d:Title": "Elliot, Richard", "d:Description": "GRP Records page for the saxophonist includes tour dates, soundclips and label discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.vervemusicgroup.com/richardelliot"} +{"d:Title": "Golub, Jeff", "d:Description": "GRP Records page for the guitarist includes history, tour dates, soundclips and discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://www.vervemusicgroup.com/jeffgolub"} +{"d:Title": "Dahl, Gary Michael", "d:Description": "A rhythm and blues and smooth jazz band out of Houston, Texas. Song list, gallery, links, and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists", "url": "http://garydahlband.com/"} +{"d:Title": "Ottmar Liebert", "d:Description": "His weblog offers reflections on music, recording, traveling, art, the business of music and unrelated subjects, with photos and archives.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Liebert,_Ottmar", "url": "http://www.ottmarliebert.com/diary/"} +{"d:Title": "Sanborn, David", "d:Description": "Official site includes news and reviews, discography, tour dates and scheduled releases.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Sanborn,_David", "url": "http://www.davidsanborn.com/"} +{"d:Title": "MTV: David Sanborn", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Sanborn,_David", "url": "http://www.mtv.com/artists/david-sanborn/"} +{"d:Title": "All Music Guide: David Sanborn", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Sanborn,_David", "url": "http://www.allmusic.com/artist/david-sanborn-mn0000188432"} +{"d:Title": "Yellowjackets.com", "d:Description": "Info on the group and the leading edge musicians in it.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.yellowjackets.com/"} +{"d:Title": "Artist Direct", "d:Description": "Includes an overview of the band, tour dates, community information, related artists, and albums.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.artistdirect.com/music/artist/card/0,,512414,00.html"} +{"d:Title": "Bob Mintzer", "d:Description": "Yellowjackets lead sax player offers a performing schedule, chat forum, biography, discography, videography, photo gallery, equipment list and CD shopping links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.bobmintzer.com/"} +{"d:Title": "jazzdimensions: Jimmy Haslip interview", "d:Description": "An interview of bassplayer and founding member of the group Yellowjackets.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.jazz-dimensions.de/interviews/the_world/2000/jimmy_haslip.html"} +{"d:Title": "Global Bass: Jimmy Haslip interview", "d:Description": "Brent-Anthony Johnson interviews Yellowjackets founding member and bass player June-2002.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.globalbass.com/archives/june2002/jimmy_haslip.htm"} +{"d:Title": "Russell Ferrante", "d:Description": "Russ is the keyboard player and founding member of the Yellowjackets. Includes his keyboard setup, biography and influences.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.russellferrante.com/"} +{"d:Title": "Drummer World: picture gallery of Marcus Baylor", "d:Description": "A collection of photos and sound samples of Yellowjackets drummer.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.drummerworld.com/drummers/Marcus_Baylor.html"} +{"d:Title": "Drummer World: picture gallery of Ricky Lawson", "d:Description": "Photos and a brief profile of one of the most sought after drummers in the world. Ricky is a founding member of the group Yellowjackets.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.drummerworld.com/drummers/Ricky_Lawson.html"} +{"d:Title": "Artistdirect: profile of Marc Russo", "d:Description": "Overview, discography and message board of the former Yellowjackets saxophonist.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.artistdirect.com/music/artist/card/0,,488056,00.html"} +{"d:Title": "Drummer World: Picture gallery of William Kennedy", "d:Description": "Contains several photos, a few sound samples and a profile of this world class jazz drummer. Will was with the Yellowjackets for 9 years.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.drummerworld.com/drummers/William_Kennedy.html"} +{"d:Title": "Seattle Post-Intelligencer: Review", "d:Description": "A review of a concert in Seattle, WA. by Bill White - June 2003.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Bands_and_Artists/Yellowjackets", "url": "http://www.seattlepi.com/ae/music/article/Yellowjackets-create-quite-a-buzz-1117524.php"} +{"d:Title": "Jazz Lynx / Cafe Jazz", "d:Description": "Canadian smooth jazz radio show hosted by Ted Hasiuk. Includes a live audio feed and an archive of each weekly broadcast.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://jazzlynx.net/cafejazzradio_audio.html"} +{"d:Title": "KBZN 97.9 FM", "d:Description": "[Salt Lake City, Utah] \"The Breeze.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.kbzn.com/"} +{"d:Title": "KJLH 102.3 FM", "d:Description": "[Los Angeles, California]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.kjlhradio.com/"} +{"d:Title": "KJZY 93.7 FM", "d:Description": "[Sonoma, California]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.kjzy.com/"} +{"d:Title": "KYOT 95.5 FM", "d:Description": "[Phoenix, Arizona]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.kyot.com/"} +{"d:Title": "WAVE 94.7 FM", "d:Description": "[Hamilton, Ontario, Canada]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.wave947.fm/"} +{"d:Title": "WEIB 106.3 FM", "d:Description": "[Northampton, Massachusetts]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.weibfm.com/"} +{"d:Title": "Smoothjazz.com", "d:Description": "[Monterey Bay, California] Offers streaming Internet music, artist links, charts, news, concert video clips, jazz travel guide, and online store.", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.smoothjazz.com/"} +{"d:Title": "WJZI 93.3 FM", "d:Description": "[Milwaukee, Wisconsin]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.b933fm.com/"} +{"d:Title": "KTWV 94.7 FM", "d:Description": "[Los Angeles, California] \"The Wave.\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://947thewave.radio.com/"} +{"d:Title": "WNWV 107.3 FM", "d:Description": "[Cleveland, Ohio]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://1073thewave.net/"} +{"d:Title": "WRCJ 90.9 FM", "d:Description": "[Detroit, Michigan] \"Classical Days, Jazzy Nights\"", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.wrcjfm.org/"} +{"d:Title": "WROZ 101.3 FM", "d:Description": "[Lancaster, Pennsylvania]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://www.fun1013.com/"} +{"d:Title": "WSJT 94.1 FM", "d:Description": "[St. Petersburg, Florida]", "topic": "Top/Arts/Music/Styles/J/Jazz/Smooth_Jazz/Radio", "url": "http://tampa.cbslocal.com/wsjt-smooth-jazz-tampa-bay/"} +{"d:Title": "Craig's BigBands and BigNames", "d:Description": "Historic reviews and photographs of performers from the Big Band Era.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.bigbandsandbignames.com/"} +{"d:Title": "SwingMusic.net", "d:Description": "Fan portal offering historical articles, biographies, RealAudio radio archives, photographs and extensive links.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.swingmusic.net/"} +{"d:Title": "Any Swing Goes", "d:Description": "An online magazine dedicated to the revival of swing and big band music and dancing, including the latest swing news, reviews, an online chat lounge, and a links directory.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.anyswinggoes.com/"} +{"d:Title": "Swiss Swing Dance Society", "d:Description": "For lindy hop dance enthusiasts in Switzerland. Event schedule, photo gallery, links to swing dance classes.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.swing.ch/"} +{"d:Title": "The Swing Lounge", "d:Description": "Information on swing etiquette, fashion, and the Atlanta swing scene.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.angelfire.com/ga/evanato/"} +{"d:Title": "ATOMIC Online", "d:Description": "An online magazine about all things retro, including swing music, bands, venues, and dancing.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.atomicmag.com/"} +{"d:Title": "Pennsylvania 65000", "d:Description": "Links to swing bands, radio stations, clubs and venues, societies, calendars, and other retro sites.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.pennsylvania65000.com/"} +{"d:Title": "Australian Dance Bands 1925-1970", "d:Description": "A discussion forum/website for all who love the Australian Dance Bands from this fabulous era. Other dance bands and vocalists can also be discussed from the same era.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing", "url": "http://www.groups.yahoo.com/group/australian-dance-bands"} +{"d:Title": "Savoy Dance Orchestra", "d:Description": "Swing orchestra from Berlin. History, reviews, repertoire, CD with audio samples, contact information. Also in German.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.sdo-berlin.de/"} +{"d:Title": "Smith, Lavay and her Red Hot Skillet Lickers", "d:Description": "San Francisco based swing band. News, CDs, biographies, calendar or events, large collection of swing and jazz links, scrapbook, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.lavaysmith.com/"} +{"d:Title": "Gee, George", "d:Description": "New York City leader of the bands The Jump, Jive and Wailers and The Make-Believe Ballroom Orchestra. Biography, information on the bands, performance schedule, discography, links, guest book and contact and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.georgegee.com/"} +{"d:Title": "4th St. Niteowls", "d:Description": "Tristate Area swing ensemble. Biographies, audio samples, photos, schedule, news, links, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.4thstreetniteowls.com/"} +{"d:Title": "Rico and The Jump 'n' Jive Review", "d:Description": "Six-piece swing and jump blues band from New England, with news, biographies, sound files, and calendar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.ricobarr.com/"} +{"d:Title": "Beats Walkin'", "d:Description": "Western swing quartet from Philadelphia with Jim Cohen on pedal steel guitar. Offers band history, calendar, debut CD, biographies, and reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.beatswalkin.com/"} +{"d:Title": "Big Band Trio, The", "d:Description": "Canadian group with guitar, bass, sax, and vocal harmonies also performs with added players as The Jumpin' Jive Orchestra. Calendar, discography, biography, photos, and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.bigbandtrio.com/"} +{"d:Title": "Big Chief", "d:Description": "Portland, Maine based swing, jazz and rhythm and blues band. Offers a calendar, song list, audio samples, biographies, and a list of links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.bigchiefband.com/"} +{"d:Title": "Big-T and the Bada-Bings", "d:Description": "Wedding and party band based in Dallas, Texas, available with 6, 12, or 18 pieces, blending blues, swing and Sinatra. With photographs, audio samples, reviews and CD sales.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.bigt-music.com/"} +{"d:Title": "Blue Lunch", "d:Description": "Jump, blues and swing band from northeastern Ohio. Check their online calendar, listen to streaming audio from their two CDs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.bluelunch.com/"} +{"d:Title": "Bombay Jim and the Swinging Sapphires", "d:Description": "Boston swing band featuring faculty members from Berklee College of Music. Member biographies, appearance schedule, booking information, information on recordings, song list, reviews, photos, audio and video.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.bombayjim.com/"} +{"d:Title": "Retrospect", "d:Description": "Nine-piece Washington-Baltimore band. Band profile, booking and contact information, schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.retrospectband.com/"} +{"d:Title": "The Rosenberg Trio", "d:Description": "Gypsy-swing group from the Netherlands offers discography and tour schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.rosenberg-trio.com/"} +{"d:Title": "Camaros, The", "d:Description": "New York swing and rockabilly group with horns featuring Louisiana dangergirl and vocalist Jen Jones, with sound clips, CDs, tour dates, picture gallery, and guest book.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.thecamaros.com/"} +{"d:Title": "Club Django Sextet of Toronto", "d:Description": "Gypsy swing in the spirit of Django Reinhardt and St\u00e9phane Grappelli's \"Hot Club de France.\" Biographies, gig schedule, reviews, sound clips and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.clubdjangotoronto.com/"} +{"d:Title": "Crescent City Maulers", "d:Description": "Offers a profile and CD ordering information for this New Jersey swing band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.crescentcitymaulers.com/"} +{"d:Title": "Dino Martinis", "d:Description": "Jumpin' jive band from Calgary, Alberta, Canada offers recordings for sale with audio samples, calendar, biography and photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.dinomartinis.com/"} +{"d:Title": "Dominic Halpin and the Honey B's", "d:Description": "Swing band for wedding receptions, parties and events. Includes photos, video clips, testimonials and audio.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.dominichalpin.com/"} +{"d:Title": "Dr. Zoot", "d:Description": "Pittsburgh swing band. Tour schedule, band biography, discography and sample MP3s.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.drzoot.com/"} +{"d:Title": "Drootin Brothers Band", "d:Description": "Boston based swing band. Contact and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.drootin.com/"} +{"d:Title": "Dusty 45's, The", "d:Description": "Seattle rockabilly, swing and jump blues band. Upcoming shows, audio and video clips, CDs for sale, photo galleries, biographies, poster archive, message board, list of links, reviews, mailing list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.dusty45s.com/"} +{"d:Title": "Eight to the Bar", "d:Description": "Six piece Connecticut band. Rhythm and blues, soul, Motown, Boogie Woogie and swing. Show dates, discography with song samples, biographies, photo gallery, booking information, FAQ, favorite links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.eighttothebar.com/"} +{"d:Title": "Esquires", "d:Description": "Bringing the swing music of the Big Band Era to the southern California area for over 40 years. Also have a training program for aspiring musicians. News, calendar of events, recordings, booking information, history, the Esquire Music Foundation, photo album, event planning, links to swing music in Southern California.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.esquires.org/"} +{"d:Title": "Estelle", "d:Description": "A dance orchestra performing standards of the swing era, offering a jazz trio, quintet, or 8 piece swing band for weddings and conventions in Calgary or Canadian Rockies. Information on their services, repertoire, song samples, testimonials, client list, contact information, guest book.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.estelle-music.com/"} +{"d:Title": "E-Type Jazz", "d:Description": "Adelaide, Australia swing combo. History, schedule, photos, CDs, song list, links, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.etypejazz.com/"} +{"d:Title": "Fat Sam's Band", "d:Description": "Jump jive, swing and jazz band from Edinburgh, Scotland. Schedule, history, press clippings, audio samples, merchandise, booking information, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.fatsamsband.com/"} +{"d:Title": "Five in Love with Betty", "d:Description": "Swing and jive band from Vienna, Austria lists tour dates and offers sound files, photos, reviews, guest book and links. [German and English]", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.5inlove.com/"} +{"d:Title": "For Sentimental Reasons", "d:Description": "Dedicated to the music and memories of the big band era, this vocal group presents an authentic recreation of a live radio broadcast during World War Two. Biographies, tour dates, booking information, newsletter, reviews.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.fsr44.com/"} +{"d:Title": "Gregg Gelb Swing Band", "d:Description": "Eight-piece jazz band with a big band sound. CDs, biographies, song list, reviews, upcoming performances, photo gallery.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.gregggelb.com/"} +{"d:Title": "Gypsy Hombres", "d:Description": "News, gig dates, and music, links and biographies from this Jazz/Swing band out of Nashville, Tennessee. Official home page.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.gypsyhombres.com/"} +{"d:Title": "Haining, Doug and The Twin Cities Seven", "d:Description": "Small group in the grand tradition of Count Basie's and Duke Ellington's sideman ensembles. Biographies, their CD, schedule of events, and a small collection of links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.tcseven.com/"} +{"d:Title": "Hepcat Revival, The", "d:Description": "Seven piece Ohio band. Biography, biographies, reviews, schedule, photos, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.hepcatrevival.com/"} +{"d:Title": "Hipster Daddy-O and the Handgrenades", "d:Description": "Six piece Arizona band. Features information, audio, schedule, photos and merchandise.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.hipsterdaddyo.com/"} +{"d:Title": "Hot Tomatoes Dance Orchestra, The", "d:Description": "Denver swing band. News, schedule, profile, merchandise, booking and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.hottomatoes.com/"} +{"d:Title": "J Street Jumpers", "d:Description": "Washington D.C. swing and jump blues band. Biographies, history, audio samples, schedule, reviews, photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.jstreetjumpers.com/"} +{"d:Title": "Jerry Embree Swing Band", "d:Description": "New Orleans based. Profile, booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://jerryembree.com/"} +{"d:Title": "Jive Aces, The", "d:Description": "British swing band. Presented by the Church of Scientology. Tour information, lyrics, member information, swing speak, CD, Real Audio samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.jiveaces.com/"} +{"d:Title": "Johnny Nocturne Band, The", "d:Description": "Nine piece jump blues and swing band. Biographies, reviews, schedule, audio and video clips, discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.johnnynocturne.com/"} +{"d:Title": "Joker's Wild, The", "d:Description": "From Washington D.C. Schedule, booking information, audio samples, photos, song list, guest book.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.thejokerswild.net/"} +{"d:Title": "Jump, Jive and Wail", "d:Description": "Schedule, biographies, contact information and photo galleries for this Las Vegas swing band. Includes a link to their songs on MP3.com.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://crjones.brinkster.net/jumpjiveandwail.com/"} +{"d:Title": "Jumpin' Joz Band", "d:Description": "Performs swing music reminiscent of the late 30's to early 50's. Profiles, gig schedule, audio files, photos, and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://jumpinjoz.com/"} +{"d:Title": "The Kingdom of Swing", "d:Description": "Articles, reviews, and other content about swing and occasionally other jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.xanga.com/Benni"} +{"d:Title": "Lex and Joe", "d:Description": "Musicians collaborating to offer music from the Swing Era as well as more contemporary rhythm and blues. Calendar, biographies, song list, audio clips, merchandise, mailing list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.lexandjoe.com/"} +{"d:Title": "Lincoln '46", "d:Description": "Swing band based in southern Sweden. Contains profile, audio samples and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://lincoln46.barbanet.com/"} +{"d:Title": "Lost Weekend", "d:Description": "Western swing band from California, United States. Tour schedule, photographs, news, reviews, CD and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.lostweekend.ws/"} +{"d:Title": "Love Dogs, The", "d:Description": "Jump blues band playing rhythm and blues, funk, swing, and jazz. Performance schedule, biographies, CDs, MP3 samples, contact and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.thelovedogs.com/"} +{"d:Title": "Lucky Strikes, The", "d:Description": "Austin, Texas based swing band. Real Audio Samples, event calendar, CD order form, member profiles, reviews, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.luckystrikes.com/"} +{"d:Title": "Mister Lucky Swing Syndicate", "d:Description": "Milwaukee-area band specializing in swing tunes, both original and contemporary. Upcoming shows, song list, CD, sample tracks, contact information, mailing list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.luckyswings.com/"} +{"d:Title": "Mood Elevators, The", "d:Description": "Boston area swing band performing jazz, swing and standards from the 1930s and 1940s in three-part harmony. News, profile, booking and contact information, calendar, Real Audio clips.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.moodelevators.com/"} +{"d:Title": "Mora's Modern Rhythmists", "d:Description": "Ten-piece ensemble playing classic jazz and swing from the 20s and early 30s. Band profile, audio and video clips, upcoming shows, news, CDs, photos, guest book, mailing list, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://morasmodern.com/"} +{"d:Title": "New Morty Show, The", "d:Description": "San Francisco band. Biography, calendar, reviews, booking information, photos, audio clips, CDs and other merchandise.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.newmortyshow.com/"} +{"d:Title": "New York Swing Band Stan Wiest Orchestra", "d:Description": "Serving the metropolitan tri-state area.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.stanwiest.com/ny_swing_band.htm"} +{"d:Title": "Night&Day Swing and Jazz Music", "d:Description": "Based in the Washington, D.C. area and playing elegant swing, big band, and jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.nightanddaymusic.com/"} +{"d:Title": "O'Dell, Peaches and her Orchestra", "d:Description": "Eleven piece swing band led by female entertainer featuring elaborate costumes. History, audio samples, photos, guest book, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.peachesodell.com/"} +{"d:Title": "O.T.T. Chicago Swing", "d:Description": "Swing and jazz band from Perth, Western Australia. Profile, biographies, schedule, photos, recordings, audio clips, booking and contact information, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://members.iinet.net.au/~ott/"} +{"d:Title": "Pasadena Roof Orchestra, The", "d:Description": "UK based band. Offers news, history, album information, photographs, tour schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.pasadena.co.uk/"} +{"d:Title": "Petters, John", "d:Description": "UK drummer and band leader. Site contains, biography, information on his band, tour dates, traditional jazz CDs for sale, biographies of Louis Armstrong, Gene Krupa and other notable jazz drummers, traditional jazz mailing lists, links, guest book, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.traditional-jazz.com/"} +{"d:Title": "Prairie Cats", "d:Description": "Omaha eight piece band. News, profile, biographies, schedule, play list, lyrics, audio samples, photos, links, reviews, CDs, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.prairiecats.com/"} +{"d:Title": "The Saints of Swing", "d:Description": "Party band led by trumpet and tuba featuring female vocalist Rene Bailey, based in New York area. Song list, mp3s.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.saintsofswing.com/"} +{"d:Title": "Satyan, Arthur", "d:Description": "About the Armenian pianist and composer. Life, career, music, performance schedule, and photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.arthursatyan.com/"} +{"d:Title": "Shapiro, Henry", "d:Description": "Pittsburgh-based guitarist and dancer leads two bands, Swing Fever and Steel City Klezmer, available from Cleveland to Washington, DC. Photos, audio samples of both bands.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://henryshapiro.com/"} +{"d:Title": "Sinister Dexter", "d:Description": "[San Francisco, CA] Twelve-piece swing, funk, and blues band with seven horns. History, biographies, calendar, photos, audio samples, links, mailing list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.sinisterdexter.net/"} +{"d:Title": "Sister Swing", "d:Description": "Vocal swing trio. History, song list, booking information, calendar, CD information, audio samples, mailing list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.sisterswing.com/"} +{"d:Title": "Slicked-Up 9's, The", "d:Description": "Schedule, photos, member list, CD, audio samples, history, lyrics, links, guest book, mailing list, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.slickedup9s.com/"} +{"d:Title": "Speak Easy Swing", "d:Description": "Nine piece Chicago band. Profile, audio samples, merchandise, booking information, schedule, photos, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.speakeasyentertainment.com/Se_Swing/index.html"} +{"d:Title": "Steve Lucky and the Rhumba Bums", "d:Description": "San Francisco band. Calendar, photos, message board, contact and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.luckylounge.com/"} +{"d:Title": "Sweethearts of Swing", "d:Description": "Geelong, Australia based. Biography, repertoire, booking information, reviews, past tours, recordings, lyrics.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.sweethearts.com.au/"} +{"d:Title": "Swing Fever", "d:Description": "San Francisco Bay Area swing band. Contact information, band and member profiles, calendar, CDs, audio clips, client comments, song list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swingfever.com/"} +{"d:Title": "Swing Kings", "d:Description": "Los Angeles band. Photos, audio samples, reviews, calendar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swingkings.com/"} +{"d:Title": "Swing Legacy, The", "d:Description": "Boston-based six-piece swing band with vocalist playing original arrangements. Profile, audio clips, CD reviews, song list, client list, calendar, biographies, photos, FAQ, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swinglegacy.com/"} +{"d:Title": "Swing Speak", "d:Description": "Virginia swing band. Schedule, biography, recordings, audio clips, song list, booking information, photos, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swingspeak.com/"} +{"d:Title": "Swingadelic", "d:Description": "News, audio clips, schedule, member list, review, booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swingadelic.com/"} +{"d:Title": "Swingamajig", "d:Description": "A father-son jazzy musical duo based in Vancouver, B.C., Canada. Michael Fraser plays hot swing fiddle and his dad, Don Fraser, plays Django-style guitar back-up", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swingamajig.com/"} +{"d:Title": "Swingtips, The", "d:Description": "Touring 6-piece swing band based in Arizona. News, biographies, reviews, audio samples, CDs, links, calendar, mailing list, booking and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swingtips.com/"} +{"d:Title": "Tom Cunningham Orchestra", "d:Description": "Washington D.C. band. Contact information, band profile, calendar, reviews, recordings.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.tomcunningham.com/"} +{"d:Title": "Top Hats Orchestra", "d:Description": "Schedule, biographies, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.tophatmusic.com/"} +{"d:Title": "Turley, Joe", "d:Description": "Vocalist and pianist. Song samples, recordings, lyrics, schedule, song list, reviews, biography, photographs, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.joeturley.com/"} +{"d:Title": "Undulations, The", "d:Description": "California post-modern swing band playing originals by leader Ziggy Wells. History, recordings, audio samples, news, calendar, biographies, member biographies.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.undulations.com/"} +{"d:Title": "Wally's Swing World", "d:Description": "Santa Cruz band. Recordings, sample songs, calendar, guest book, biography, news, contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.wallysswingworld.com/"} +{"d:Title": "White Heat Swing Orchestra, The", "d:Description": "Boston, Massachusetts based. Profile, calendar, booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.havetodance.com/whiteheat/"} +{"d:Title": "Wild, Cool and Swingin' Orchestra", "d:Description": "Nine-piece group performing 1960s Las Vegas swing. Schedule, photos, member profiles, contact information, links, mailing list, CDs for sale.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://davefowler.20m.com/"} +{"d:Title": "Wilde, Lily and her Jumpin' Jubilee Orchestra", "d:Description": "Portland jump, swing, jazz, blues band. Biography, reviews, audio samples, calendar, CD, contact information, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.lilywilde.com/"} +{"d:Title": "Willie, Lew and the Snapdragons", "d:Description": "South Florida dance band specializing in jump swing, society, pop, and rhythm and blues. Audio samples, photos, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.lucianwilliams.com"} +{"d:Title": "Yalloppin' Hounds Homepage", "d:Description": "Ghetto swing from New York. News, MP3 samples, lyrics, CDs, biographies, FAQ, photos, schedule, reviews, guest book, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.yalloppinhounds.com/"} +{"d:Title": "ZOOT", "d:Description": "From Los Angeles. Review, play list, audio and video clips, CDs and other merchandise, booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.zoot.net/"} +{"d:Title": "Zoot Suit Revue", "d:Description": "Six member Los Angeles band. Profile, schedule, photos, audio samples, song list, mailing list, reviews, guest book, CD, booking and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.zootsuitrevue.com/"} +{"d:Title": "Bill Elliott Swing Orchestra", "d:Description": "Los Angeles big band with fifteen musicians and Lucky Stars vocal quartet. Contains news, a calendar of upcoming shows, audio samples, a discography, a list of links, and information on joining their mailing list and booking the band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://swingorchestra.com/"} +{"d:Title": "Eddie Reed Big Band and Swing Quintet, The", "d:Description": "Two Long Beach, California swing bands faithfully recreating the sounds of the 30's, 40's and 50's. Site includes audio and video clips, biographies, CDs, guest book, booking information, upcoming shows, song list.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.eddiereed.com/"} +{"d:Title": "Red and The Red Hots", "d:Description": "Ten piece band playing high-energy swing. Biographies, booking and contact information, recordings, photos, links, schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.redyoung.com/"} +{"d:Title": "Blue Moon Special", "d:Description": "Swing, jump blues and rock and roll band from Amsterdam. Contains a band profile, an audio sample, and contact information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://home.kpn.nl/bluemoonspecial/"} +{"d:Title": "Jones, Calfee and the 8 Beats", "d:Description": "Akron Ohio based blues, swing rockabilly band. Booking schedule and history of the group.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://sites.google.com/site/calfeejones/"} +{"d:Title": "Studebakers, The", "d:Description": "History, recordings, photos, calendar.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.facebook.com/thestudebakers"} +{"d:Title": "Swing Unlimited Big Band", "d:Description": "Swing and jazz band based on the South Coast of the UK, available for corporate or private events. Gig schedule and biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.swingunlimitedbigband.co.uk/"} +{"d:Title": "Dutch Swing College Band", "d:Description": "Seven member swing band. Biographies, schedule, contest, discography, sample song, booking information. Also in Dutch and German.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists", "url": "http://www.dsc.nl/"} +{"d:Title": "Big Bad Voodoo Daddy", "d:Description": "Official site with news, band biographies, audio samples, lyrics, CDs and other merchandise, photos, mailing list, message board, schedule, tour journal.", "priority": "1", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Bad_Voodoo_Daddy", "url": "http://www.bbvd.com/"} +{"d:Title": "Swingin Kitty's House of Voodoo", "d:Description": "Fan page. Biographies, history, photos, lyrics, links, message board, bulletin board.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Bad_Voodoo_Daddy", "url": "http://swingin_kitty.tripod.com/houseofvoodoo/"} +{"d:Title": "Big Bad Voodoo Daddy by Swingkid 21", "d:Description": "Lyrics, news, biographies, pictures, and tour dates.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Bad_Voodoo_Daddy", "url": "http://members.tripod.com/~swingkid21/index.htm"} +{"d:Title": "Let's Do the Voodoo Thingie!", "d:Description": "An insane tribute to the band, including concert photos, reviews, and a Shrine to Dirk Shumaker.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Bad_Voodoo_Daddy", "url": "http://members.tripod.com/voodoolove/"} +{"d:Title": "Voodoo Cooties", "d:Description": "Dedicated to the band, with pictures and commentary.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Bad_Voodoo_Daddy", "url": "http://www.angelfire.com/music/voodoocooties/index.html"} +{"d:Title": "Atomic Online: Big Rude Jake", "d:Description": "Review of the self-titled album by Joanne O'Day.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Rude_Jake", "url": "http://www.atomicmag.com/reviews/1999/music/big_rude_jake.html"} +{"d:Title": "Music-Critic.com: Big Rude Jake", "d:Description": "Interview about his self-titled album.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Rude_Jake", "url": "http://www.music-critic.com/interviews/bigrudejake.htm"} +{"d:Title": "Marg Stowe, Pics from European tour with Big Rude Jake", "d:Description": "Pictures of the 1999-2000 European tour.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Rude_Jake", "url": "http://www.execulink.com/~mstowe/europics.html"} +{"d:Title": "ArtistDirect.com: Big Rude Jake", "d:Description": "Includes photographs, audio clips, a biography, and a discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Big_Rude_Jake", "url": "http://www.artistdirect.com/artist/big-rude-jake/729795"} +{"d:Title": "Brian Setzer Orchestra", "d:Description": "Features news, photos, MP3s, polls, clothes, links, and a discography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Brian_Setzer_Orchestra", "url": "http://bso.freeservers.com/"} +{"d:Title": "ArtistDirect: Brian Setzer Orchestra", "d:Description": "Links to web sites, MP3s, lyrics, pictures, video, and a biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Brian_Setzer_Orchestra", "url": "http://www.artistdirect.com/artist/brian-setzer/491516"} +{"d:Title": "MTV: Brian Setzer Orchestra", "d:Description": "Features music news, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Brian_Setzer_Orchestra", "url": "http://www.mtv.com/artists/the-brian-setzer-orchestra-1/"} +{"d:Title": "The LPN Website from Hell", "d:Description": "Official band site. Information about their latest CD, upcoming shows, mailing list, message board, booking information, reviews, biographies, photo gallery, sample tracks, related links, and a rant from Lee Press-On.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Lee_Press-On_and_the_Nails", "url": "http://www.leepresson.com/"} +{"d:Title": "Royal Crown Revue", "d:Description": "Official site. Real Video samples, news, schedule, photos, merchandise, band history, discography, fan club, contact and booking information, and message board.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Royal_Crown_Revue", "url": "http://www.rcr.com/"} +{"d:Title": "MTV.com: Royal Crown Revue", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Bands_and_Artists/Royal_Crown_Revue", "url": "http://www.mtv.com/artists/royal-crown-revue/"} +{"d:Title": "Swingin' Down The Lane", "d:Description": "Weekly big band program hosted by David Miller. State-by-state schedule of public and community stations carrying the show.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Radio", "url": "http://www.bigband-era.com/"} +{"d:Title": "Swingin' West", "d:Description": "Western swing program hosted by Mike Gross on WVOF - 88.1 FM, a college station at Fairfield University in Connecticut, and online.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Radio", "url": "http://www.swinginwest.com/"} +{"d:Title": "Miller at Midnight with Bob Haskey", "d:Description": "Program from Cincinnati public radio station WMKV offers Glenn Miller music online.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Radio", "url": "http://wmkvfm.org/?PageID=45&ProgID=9"} +{"d:Title": "WKHR - Cleveland", "d:Description": "Non-profit station broadcasting swing and big band music. Audio interviews with performers such as Buddy Greco, John Pizzarelli, Maria Schneider and Conte Candoli.", "topic": "Top/Arts/Music/Styles/J/Jazz/Swing/Radio", "url": "http://wkhr.org/"} +{"d:Title": "Dixieland Jazz Mailing List", "d:Description": "Unmoderated list open to all. Includes searchable archives, FAQ, CD sources, and events.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional", "url": "http://www.islandnet.com/~djml/"} +{"d:Title": "America's Finest City Dixieland Jazz Society", "d:Description": "Information about monthly concerts, annual festival, adult jazz camps, workshops, and membership. San Diego, California.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional", "url": "http://www.dixielandjazzfestival.org/"} +{"d:Title": "Suncoast Dixieland Jazz Society", "d:Description": "Concert and scholastic sponsorship. Schedule of local performances and events, Black Cat Jazz Band, and news. Tampa Bay Area, Florida.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional", "url": "http://www.siterrific.com/SDJS/"} +{"d:Title": "Vancouver Dixieland Jazz Society", "d:Description": "Sponsors the Spring and Vancouver Dixiefests and a youth band and camp. Includes details of events and programs. British Columbia.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional", "url": "http://www.vcn.bc.ca/vdjs/"} +{"d:Title": "Arizona Classic Jazz Society", "d:Description": "Sponsors festival each November along with monthly concerts. Calendar, membership, links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional", "url": "http://www.azclassicjazz.org/"} +{"d:Title": "Armada Jazz Band", "d:Description": "Press quotes, recordings, upcoming gigs and contact details for Roger Marks' band based in SW England.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional", "url": "http://www.armadajazz.co.uk/"} +{"d:Title": "Storyville Jazzband", "d:Description": "A New Orleans-style Jazzband from Copenhagen that plays traditional jazz.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.storyville.dk/"} +{"d:Title": "La Vella Dixieland", "d:Description": "Based in Barcelona, Spain. Includes schedule, discography, audio samples, and links. [English/Spanish/Catalan]", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.lavelladixieland.com/"} +{"d:Title": "Banjo Buddies Dixieland Band", "d:Description": "Chicago based band. Includes profile and sound samples.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.banjobuddies.com/"} +{"d:Title": "Barbary Coast Dixieland Band", "d:Description": "Band's history, photo album, CD information and articles.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.barbary-coast.com/"} +{"d:Title": "Bill Allred's Classic Jazz Band", "d:Description": "Intricately arranged band and associated record company run by father and son trombonists based in Orlando, Florida. Concert and recording reviews, history, photos, sales of CDs by label artists such as the Bee Line Horns and the Wild Bill Davis Legacy.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.billallred.com/"} +{"d:Title": "Second Line Jazz Band", "d:Description": "Traditional band established in 1989 in Gothenburg, Sweden. Gig schedule including dates across Scandinavia and in the UK, press reviews in Swedish and English, shopping for half a dozen CDs.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.secondlinejazzband.com/"} +{"d:Title": "Jazz Rascals", "d:Description": "Trio playing for South Florida clubs events. Photos, sound clips, gig schedule and booking information.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://performers-r-us.com/rascals/"} +{"d:Title": "Classic Jazz Orchestra", "d:Description": "Six-piece UK vintage jazz outfit known for Jelly Roll Morton, and also playing Beiderbecke, Armstrong, and more modern artists. Gig schedule, recordings and BBC radio appearances.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.classicjazzorchestra.org.uk/"} +{"d:Title": "Hot Rhythm Orchestra", "d:Description": "Led by clarinetist Colin Bryant the band plays music for jazz lovers from the 20's, 30's and 40's to dance to or just listen. Page includes a biography and photos.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.hotrhythm.co.uk/"} +{"d:Title": "Liberty Hall Jazz Quartet of Cape Cod", "d:Description": "Traditional jazz band with sound files in MIDI, Real Audio and other formats. Information on jazz greats Louis Armstrong, Bobby Hackett, and Ted Roy.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.libertyhall.com/"} +{"d:Title": "The Liberty Street Jazz Band", "d:Description": "Welsh traditional jazz band's history, gigs, contact information, and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.libertystreet.co.uk/"} +{"d:Title": "Stevedores Jazz Band", "d:Description": "Banjo-based band in Perth, a member of the Jazz Club of Western Australia, available for weddings and parties. Musician profiles and photos, schedule of public performances.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.stevedoresjazzband.net/"} +{"d:Title": "World's Greatest Jazz Band", "d:Description": "Answers.com page details 10-year history of band founded by Dick Gibson with trumpeter Yank Lawson and bassist Bob Haggart in 1968, with links to personnel.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.answers.com/topic/world-s-greatest-jazz-band?cat=entertainment"} +{"d:Title": "Hotlanta Dixieland Jazz / Hotlanta Swings", "d:Description": "Based in Atlanta, Georgia. Includes discography, audio samples, CD ordering, and performance schedule.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.hotlantajazz.com/"} +{"d:Title": "Buffalo Ridge Jazz Band", "d:Description": "Traditional sextet with tuba and banjo, based in Cincinnati, Ohio and performing at festivals across the US and abroad. Performance schedule, CD ordering.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.buffaloridgejazz.com/"} +{"d:Title": "Acoustic Jass", "d:Description": "Traditional New Orleans style quartet from Hampshire, UK, with tuba, banjo, trumpet and clarinet. Audio and video.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.acousticjass.co.uk/"} +{"d:Title": "The New Melbourne Jazz Band", "d:Description": "A six piece Australian Dixieland jazz band. Sound samples, upcoming events and biography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists", "url": "http://www.newmelbournejazzband.com/"} +{"d:Title": "The Dirty Dozen Brass Band", "d:Description": "Biography, discography, news, tourdates and booking information for this New Orleans style band.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Dirty_Dozen_Brass_Band", "url": "http://www.rosebudus.com/dozen/"} +{"d:Title": "Dirty Dozen Brass Band", "d:Description": "Official site for the New Orelans group offers schedule of shows with news, downloads, and merchandise.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Dirty_Dozen_Brass_Band", "url": "http://www.dirtydozenbrass.com/"} +{"d:Title": "The Dukes of Dixieland", "d:Description": "Official site for the band since 1974, the year original leader Frank Assunto died. Photos, biographies, discography, CD sales, press releases and review.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Dukes_of_Dixieland", "url": "http://www.dukesofdixieland.com/"} +{"d:Title": "The Real Dukes of Dixieland", "d:Description": "About the original band headed by the Assuntos from the mid 1940s until 1974. Discography with cover art. Detailed history from dates with Pete Fountain as the Basin Street Six in 1947.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Dukes_of_Dixieland", "url": "http://www.thedukesofdixieland.com/"} +{"d:Title": "A Closer Talk with Pete Fountain", "d:Description": "Text of an interview with the artist. Information on his early years, teachers, influences, and technique.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Fountain,_Pete", "url": "http://www.experienceneworleans.com/pete.html"} +{"d:Title": "Original Dixieland Jazz Band", "d:Description": "New Orleans based band founded in 1916, the first jazz group to be recorded. Includes history, articles, video, photo galleries, audio samples, CD ordering, performance schedule, and bookings contact.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Original_Dixieland_Jazz_Band", "url": "http://www.odjb.com/"} +{"d:Title": "Red Hot Jazz: Original Dixieland Jazz Band", "d:Description": "Includes biography, member profiles, discography, audio samples, and suggested reading.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Original_Dixieland_Jazz_Band", "url": "http://www.redhotjazz.com/odjb.html"} +{"d:Title": "Kennedy Center: Original Dixieland Jazz Band", "d:Description": "Video of the band's performance at the center in 2002.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Original_Dixieland_Jazz_Band", "url": "http://www.kennedy-center.org/artist/B10835"} +{"d:Title": "Teagarden, Jack", "d:Description": "RedHotJazz page offers brief biography with discography and filmography.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Teagarden,_Jack", "url": "http://www.redhotjazz.com/tea.html"} +{"d:Title": "Teagarden, Jack", "d:Description": "Detailed Connexions biography by Catherine Schmidt-Jones includes technical discussion of his unusual playing technique.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Teagarden,_Jack", "url": "http://cnx.org/content/m13431/latest/"} +{"d:Title": "Teagarden, Jack", "d:Description": "Trombone Page of the World biography written by Scott Yanow, with extensive discography and shopping links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Teagarden,_Jack", "url": "http://www.trombone-usa.com/teagarden_jack.htm"} +{"d:Title": "Teagarden, Jack", "d:Description": "Extensive site offers biographies, obituary, reminiscences, photos, discography, albums for sale, and account of efforts to halt bootlegging by son and heir Joe Teagarden.", "topic": "Top/Arts/Music/Styles/J/Jazz/Traditional/Bands_and_Artists/Teagarden,_Jack", "url": "http://www.jackteagarden.info/"} +{"d:Title": "SkyJazz", "d:Description": "Audio webcasts including easy swing, big band and mainstream programs as well as news of emerging artists and chat. CD sales and links.", "topic": "Top/Arts/Music/Styles/J/Jazz/Webcasts", "url": "http://www.skyjazz.com/"} +{"d:Title": "The Early Jazz and Blues Ring", "d:Description": "This webring is for sites devoted to early jazz and blues.", "topic": "Top/Arts/Music/Styles/J/Jazz/Web_Rings", "url": "http://www.heptune.com/jazzblue.html"} +{"d:Title": "Scenario Music", "d:Description": "Independent Italian recording company producing lounge, bossa, breakbeat, deep house, downtempo, and jazz recordings.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.scenariomusic.it/"} +{"d:Title": "The Space Age Pop Music Page", "d:Description": "Encyclopedia of space age pop, exotica and lounge music, and musicians.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.spaceagepop.com/"} +{"d:Title": "Club Velvet", "d:Description": "An adventure in space-age exotica, King Kini's is both a virtual and real-life tribute to the music, cocktails and atmosphere of tiki lounges and bachelor pads long forgotten.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.tamboo.com/"} +{"d:Title": "The Exotica Mailing List", "d:Description": "A mailing list for fans and collectors of weird and unusual music from the early LP era forward.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.studio-nibble.com/lists/exotica.html"} +{"d:Title": "In Hi-Fi", "d:Description": "Exotica and cocktail music information and events.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.inhi-fi.com/"} +{"d:Title": "The Bethany Lounge Swingin' Radio", "d:Description": "A weekly radio program from Bethany College featuring 1940's swing, 1950's lounge, and 1960's space-age pop.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://matterer.www.50megs.com/lounge/lounge.htm"} +{"d:Title": "Just for Kicks: The Music of Bob Thompson", "d:Description": "Devoted to the creator of bachelor pad and space age lounge music in the '50s and '60s. Includes information on LPs and recordings.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.bobthompsonmusic.com/"} +{"d:Title": "Maxelect Records", "d:Description": "Lounge music label releasing artists Max Melvin, Compendium, Nova June and A Vida Azul.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.maxelect.com/"} +{"d:Title": "Space Age Pop a Go-go", "d:Description": "Music and album covers gallery devoted to the 1950's and 1960's artists in space age pop, exotica, cocktail, lounge and bachelor pad music.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://spaceagepopagogo.tripod.com/"} +{"d:Title": "Exotica", "d:Description": "Provides information on the genre, and includes sound file links.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.patioculture.net/exotica.html"} +{"d:Title": "Beyond The Roots of Lounge", "d:Description": "Home for Hepcats. Site offers a history of lounge music and related links.", "topic": "Top/Arts/Music/Styles/L/Lounge", "url": "http://www.beyondtherootsoflounge.com/"} +{"d:Title": "The Transistors", "d:Description": "An electronic spectra sonic exotic pop band. Site features gallery, discography, newsletter and other band information.", "topic": "Top/Arts/Music/Styles/L/Lounge/Bands_and_Artists", "url": "http://www.thetransistors.com/"} +{"d:Title": "Syme Piano", "d:Description": "Recordings of classical pieces, popular standards, and traditional music.", "topic": "Top/Arts/Music/Styles/L/Lounge/Bands_and_Artists", "url": "http://www.symepiano.com/"} +{"d:Title": "Satin Doll Trio", "d:Description": "Patrice Ferris sings romantic 1950s-style supper club jazz backed by guitar and bass in the Washington, DC area, with photos and performance calendar.", "topic": "Top/Arts/Music/Styles/L/Lounge/Bands_and_Artists", "url": "http://www.satindolltrio.com/"} +{"d:Title": "Droit Music", "d:Description": "Sales of military band CDs; growing collection of British army band history pages.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_Kingdom", "url": "http://military-bands.co.uk/"} +{"d:Title": "Royal British Legion Marching Band", "d:Description": "This band is made up of volunteers who promote assistance to veterans of HM Armed Forces. Christchurch, Dorset.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_Kingdom", "url": "http://www.royalbritishlegionband.co.uk/"} +{"d:Title": "The Royal Marines Band Service", "d:Description": "The official website of Her Majesty's Royal Marines Band Service. This band is representative of the British Royal Marines.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_Kingdom", "url": "http://www.royalmarinesbands.co.uk/"} +{"d:Title": "The Central Band of the Royal Air Force", "d:Description": "The official website of the British Central Band of the Royal Air Force.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_Kingdom", "url": "http://www.raf.mod.uk/rafmusic/aboutus/centralband.cfm"} +{"d:Title": "The Royal Air Force Halton Area Band. RAF Halton Band", "d:Description": "Official website of the Band of Royal Air Force Station Halton. This band is a Voluntary Military Band that is comprised of Military and civilian members that represent the British Royal Air Force.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_Kingdom", "url": "http://haltonband.co.uk/"} +{"d:Title": "The Band of the Grenadier Guards", "d:Description": "Official website of the Her Majesty's Band of the Grenadier Guards. The Grenadier Guards are a Military Band representing the British Army.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_Kingdom", "url": "http://grengds.com/static.php?content_id=19"} +{"d:Title": "Surrey Police Band", "d:Description": "A charitably-funded community wind band playing military music right through to modern pop at any event. Recruiting now. Membership information and online event booking at the web site.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_Kingdom", "url": "http://www.surreypoliceband.org.uk/"} +{"d:Title": "The WAF Band", "d:Description": "Historical and reunion site of the US Air Force's first and only all-woman band (235 women served in the Women in the Air Force Band from 1951 to 1961). Photos, history, memoirs, tributes. Reunion information and member search.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Air_Force", "url": "http://www.wafband.org/"} +{"d:Title": "United States Air Force Band", "d:Description": "Units include the Concert Band, Ceremonial Brass, Chamber Players, Air Force Strings, Airmen of Note jazz ensemble, High Flight show group, Silver Wings country group, Diplomats jazz/pop ensemble and the Singing Sergeants. Includes full free performance schedule, history, newsletter and sound demos.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Air_Force", "url": "http://www.usafband.af.mil/"} +{"d:Title": "US Army Bands Online", "d:Description": "Interesting and thorough history of Army bands, charts of daily bugle call scheduling complete with written music, stories of Army band Medal of Honor winners; links and/or contact information for all Army active duty, reserve, and guard bands; career information, news. Performance calendars for all Army bands!", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Army", "url": "http://bands.army.mil/"} +{"d:Title": "US Army Band", "d:Description": "Calendar, news, history, director biographies, ensemble pages, vacancies, mailing list; information about their open annual events: the Tuba-Euphonium Conference and the Eastern Trombone Workshop; 17 RealAudio clips.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Army", "url": "http://www.usarmyband.com/"} +{"d:Title": "US Army Field Band", "d:Description": "Calendar, vacancies, roster, ensembles, newsletter; information on support for high school and college music educators including concerts and clinics, guest artists, instructional videotapes, reference recordings, and classroom poster.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Army", "url": "http://www.armyfieldband.com/"} +{"d:Title": "Old Guard Fife and Drum Corps", "d:Description": "U.S. Army's Revolutionary War period ceremonial and show unit. Good notes on show sequence music. Serious practitioners can exchange research and music with the unit (on the library page). Performance calendar, fife and drum links.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Army", "url": "http://www.fifeanddrum.army.mil/"} +{"d:Title": "US Coast Guard Academy Idlers", "d:Description": "Men's chorus specializing in sea chanties and patriotic tunes. Unofficial site.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Coast_Guard", "url": "http://www.rezonate.com/idlers/"} +{"d:Title": "Leatherneck Pipes and Drums, USMC", "d:Description": "The Leatherneck Pipes and Drums is the only bagpipe band in the nation representing the men and women of the United States Marine Corps. The band comprised of exclusively of U.S. military veterans, was recently awarded the Department of the Navy/USMC Meritorious Civilian Service Medal.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Marine_Corps", "url": "http://www.leatherneckpipeband.com/"} +{"d:Title": "The United States Navy Band", "d:Description": "Calendar, history, openings, ensemble information, roster, press information, newsletter offer, slide show, and MP3s. Located at the Washington Navy Yard, Washington, D.C.", "topic": "Top/Arts/Music/Styles/M/Military_Bands_and_Music/Regional/United_States/Navy", "url": "http://www.navyband.navy.mil/"} +{"d:Title": "Writings by Serge Kozlovsky", "d:Description": "New Age music articles, interviews and reviews.", "topic": "Top/Arts/Music/Styles/N/New_Age", "url": "http://mkmk.com/kozlovsky/index.htm"} +{"d:Title": "Hearts Of Space", "d:Description": "Music production company and radio program.", "topic": "Top/Arts/Music/Styles/N/New_Age", "url": "http://hos.com/"} +{"d:Title": "Aerial Acoustics", "d:Description": "Guitar duo, playing original instrumental music in an eclectic blend of new age, jazz, classical, and folk.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/A", "url": "http://www.aerialweb.com/"} +{"d:Title": "Alberton, Luigi", "d:Description": "Songs, acoustic instruments, Mediterranean percussion and didgeridoos create a new music with Italian influences. Includes MP3s and postcards. [English/Italian]", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/A", "url": "http://www.luigialberton.com/"} +{"d:Title": "Avalon, Jennifer", "d:Description": "Official site with album and song information, weekly essays, quotes, interviews, and a free newsletter.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/A", "url": "http://jenniferavalon.net/"} +{"d:Title": "Aeoliah", "d:Description": "Website presents Aeoliah's music, books and art for human and spiritual development.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/A", "url": "http://musicforhealthyliving.com/"} +{"d:Title": "Burnham, Gordon", "d:Description": "Includes biography, concert information, sound files, and discography.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/B", "url": "http://www.gburnham.com/"} +{"d:Title": "Bruce and Lisa", "d:Description": "Original flute and violin romantic jazz, new age, and inspirational music. Profile, photo gallery, news, and CD ordering.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/B", "url": "http://bruceandlisa.com/"} +{"d:Title": "Berglund, Eric", "d:Description": "Includes pages on angelic harp music, healing, retreats, upcoming events, and gallery with special Java animation.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/B", "url": "http://www.erikberglund.com/"} +{"d:Title": "Cardall, Paul", "d:Description": "Biography, concert dates, discography, and reviews for the pianist and composer.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/C", "url": "http://www.paulcardall.com/"} +{"d:Title": "Carr, Richard", "d:Description": "Featuring the artist's solo improvisational piano recordings, reviews and sound bytes.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/C", "url": "http://richardcarr.com/"} +{"d:Title": "Cason, Shirley", "d:Description": "Biography, music philosophy, sound samples and reviews from the keyboardist.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/C", "url": "http://www.shirleycason.com/"} +{"d:Title": "Clemence, Pat", "d:Description": "New age music for massage, healing, relaxation and tai chi. RealAudio and MP3 audio samples, and related links.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/C", "url": "http://www.patclemence.com/"} +{"d:Title": "Curry, Joe", "d:Description": "Includes upcoming events, sound files, articles, and CD information.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/C", "url": "http://www.joecurry.com/"} +{"d:Title": "Cossu, Scott", "d:Description": "Biography, concert dates, discography, videography, and reviews.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/C", "url": "http://www.scottcossu.com/"} +{"d:Title": "Casey, Craig", "d:Description": "Original piano pieces from the composer with links to MP3 files.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/C", "url": "http://craigcasey.tripod.com/the_opening.htm"} +{"d:Title": "Douglas, Bill", "d:Description": "Includes biography, recordings, concerts and workshops, and news.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/D", "url": "http://www.billdouglas.cc/"} +{"d:Title": "Dwane, Mark", "d:Description": "News, discography, reviews, interviews, and photos for the new age composer.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/D", "url": "http://www.markdwane.com/"} +{"d:Title": "Davis, Scott D.", "d:Description": "Inspirational and lively acoustic instrumental music featuring piano, flute, and oboe. Listen to sound samples, and learn about Scott and his CD release \"Piano and Woodwinds\".", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/D", "url": "http://www.inspiringpiano.com/"} +{"d:Title": "Deep Sky Divers", "d:Description": "Includes reviews, audio samples and information on the band, and CD ordering. Based in the UK.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/D", "url": "http://www.deepskydivers.com/"} +{"d:Title": "Elu", "d:Description": "Includes biography, photos, sound files, and lyrics.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/E", "url": "http://www.angelfire.com/music/elu/"} +{"d:Title": "Emerson, Vicky", "d:Description": "A refreshing, young talent that is a New Age pianist/composer and her music. Visit the site to listen and for tour information.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/E", "url": "http://vickyemerson.com/"} +{"d:Title": "Flomer, John", "d:Description": "Composer of electronic orchestral magic. Biography, reviews, recordings, and a special deviations section.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/F", "url": "http://www.johnflomer.com/"} +{"d:Title": "FreeBrick", "d:Description": "Producer of professional new media and the composer of over 250 songs in several styles and downloadable formats.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/F", "url": "http://www.freebrick.com/"} +{"d:Title": "Gable, Gary", "d:Description": "Stream two minute clips of music by contemporary instrumental music composer.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/G", "url": "http://ggable.com/"} +{"d:Title": "Giovanni", "d:Description": "New Age composer/pianist with Christmas, romance, and new selections. Biography, photos, performance information, and CD-ROM releases.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/G", "url": "http://www.giovanni.com/"} +{"d:Title": "Griffin, Robert", "d:Description": "Solo piano music, including his CD, \"NC: A State of Music,\" with his arrangements of other NC artists and some original work.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/G", "url": "http://www.robertgriffin.com/"} +{"d:Title": "Gordon, David and Steve", "d:Description": "Artists creating new age/world music with native drumming for meditation.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/G", "url": "http://www.sequoiarecords.com/gordons_bio.php"} +{"d:Title": "Handberry, Ophelia", "d:Description": "Pianist and composer of contemporary eclectic sounds. Sheet music, MP3 clips, biography, tour dates, shopping, fan club information, and pictures.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/H", "url": "http://www.ohklecticrecords.com/"} +{"d:Title": "Hedges, Michael", "d:Description": "New age and guitar musician. Biography, discography, lyrics, interview archives and samples of his music.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/H", "url": "http://www.nomadland.com/"} +{"d:Title": "Herge, Jeff", "d:Description": "Solo pianist.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/H", "url": "http://www.jeffherge.com/"} +{"d:Title": "Iasos", "d:Description": "One of the original founders of New Age music and an exponent of celestial music. Official site features biography and discography, as well as visionary art and spiritual/metaphysical information.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/I", "url": "http://iasos.com/"} +{"d:Title": "Jacob, Stephen", "d:Description": "Composer, pianist, and speaker. Includes biography, sound files, and reviews.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/J", "url": "http://www.stephenjacob.com/"} +{"d:Title": "Kayn", "d:Description": "Includes visual and musical works.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/K", "url": "http://www.kay-n.com/"} +{"d:Title": "Klemmer, John", "d:Description": "Noted saxophonist and composer. News, biography, discography, and links.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/K", "url": "http://johnklemmer.com/"} +{"d:Title": "Koichi, Shindo", "d:Description": "Japanese artist. Audio samples.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/K", "url": "http://koichishindo.tripod.com/index.htm"} +{"d:Title": "Keppler, Matt", "d:Description": "MP3 and RealAudio files by the pianist.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/K", "url": "http://www.mattkeppler.com/"} +{"d:Title": "Luttrell, John", "d:Description": "Sound files, biography, news, and CD information for the new age composer.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/L", "url": "http://www.ottersong.com/"} +{"d:Title": "Lamb, Gary", "d:Description": "Photos, stories, audio clips, biography information, discography information, concert updates and ordering of CDs, cassettes, concert tickets and autographed sheet music.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/L", "url": "http://www.garylamb.com/"} +{"d:Title": "Leslie, Jane", "d:Description": "Includes a brief biography, reviews, and concert dates for the pianist.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/L", "url": "http://www.janelesliemusic.com/"} +{"d:Title": "Order, Jeff", "d:Description": "Baltimore based musician and composer of instrumental music. Biography, discography with audio samples, CD and cassette tape ordering, and links.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/O", "url": "http://www.jefforder.com/"} +{"d:Title": "Pasco, Sam", "d:Description": "Relaxing lyrical solo piano pieces written and performed by the new age artist.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/P", "url": "http://www.sampasco.com/"} +{"d:Title": "Pinkus, Mark", "d:Description": "Canadian pianist/composer presents original CDs of solo piano. Music samples, album information and reviews.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/P", "url": "http://markpinkus.com/"} +{"d:Title": "Poegs", "d:Description": "MP3s, biography, reviews, discography, lyrics, tablature, and links.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/P", "url": "http://home.arcor.de/poegs/index.html"} +{"d:Title": "Rasa", "d:Description": "Profiles of Kim Waters and Hans Christian, lyrics, artwork, and online sales.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/R", "url": "http://www.rasa-music.com/"} +{"d:Title": "Sposito, John", "d:Description": "New age artist and soundtrack composer for movies, documentaries, TV programs, and cartoons. List of works.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/S", "url": "http://www.johnsposito.it/"} +{"d:Title": "Schmidt, Jon", "d:Description": "New age classical pianist and composer. Includes sound files, upcoming events, and sheet music.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/S", "url": "http://www.jonschmidt.com/"} +{"d:Title": "Seiler, Peter", "d:Description": "Synthesizer musician and composer. Includes biography, discography, sound files, and CD information.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/S", "url": "http://www.peterseiler.de/"} +{"d:Title": "Soundician", "d:Description": "Features instrumental tracks from the duo based in the North of England.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/S", "url": "http://www.soundician.com/"} +{"d:Title": "Tobler, Ron", "d:Description": "Piano music with instrumental support. Includes profile, sound files, performance dates, and CD information.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/T", "url": "http://www.rontobler.8k.com/"} +{"d:Title": "Tourin, Christina", "d:Description": "Harpist. Biography, concert and tour dates, harp information, and online ordering.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/T", "url": "http://www.emeraldharp.com/"} +{"d:Title": "Van, Zola", "d:Description": "Solo piano music inspired by the scenic beauty of the Shawnee National Forest in Southern Illinois. CD reviews and MP3s.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/V", "url": "http://zolavan.com/"} +{"d:Title": "Val Magyar", "d:Description": "Profiles, news, and audio samples.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/V", "url": "http://www.valmagyar.com/"} +{"d:Title": "Wayfarer Project, The", "d:Description": "Original compositions and collaborative productions of Lawrence Moore. Profiles, streaming audio and MP3s, artist community message board, chat room, and radio stations.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/W", "url": "http://www.thewayfarerproject.com/"} +{"d:Title": "Westhorpe, Rick", "d:Description": "Biography, sound files, and CD information for the new age musician and writer and his recording label, Westsongs.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/W", "url": "http://www.westsongs.co.uk/"} +{"d:Title": "Dancing Cat Records", "d:Description": "Founded by George Winston, includes biography, discography, audio samples, FAQ, and concert dates as well as information about slack key and the artists he's recorded.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/W/Winston,_George", "url": "http://www.dancingcat.com/"} +{"d:Title": "Young, Sue", "d:Description": "Performances and recordings of inspirational guitar and vocal-based music for all ages and bilingual (English/Spanish) songs and stories for children.", "topic": "Top/Arts/Music/Styles/N/New_Age/Bands_and_Artists/Y", "url": "http://www.sueyoungmusic.com/"} +{"d:Title": "Operanet", "d:Description": "Opera news, features, opera reviews, interviews of leading opera stars and directors, opera CD reviews, the 101 best opera CD guide for building the ideal CD collection, extensive opera calendar and reviews by international critics and journalists.", "topic": "Top/Arts/Music/Styles/O/Opera", "url": "http://www.culturekiosque.com/opera/"} +{"d:Title": "OperaGlass", "d:Description": "Includes libretti, source texts, performance histories, synopses and discographies plus pictures and background information. Extensive links to composers, librettists, operas, opera companies and opera people. From Stanford University.", "priority": "1", "topic": "Top/Arts/Music/Styles/O/Opera", "url": "http://opera.stanford.edu/"} +{"d:Title": "Operas and Composers: A Pronunciation Guide", "d:Description": "AIFF format sound clips of names of operas and composers being spoken.", "topic": "Top/Arts/Music/Styles/O/Opera", "url": "http://patriciagray.net/Operahtmls/works.html"} +{"d:Title": "Operissimo", "d:Description": "Searchable database of performers, composers, compositions, and opera houses.", "topic": "Top/Arts/Music/Styles/O/Opera", "url": "http://www.operissimo.com/"} +{"d:Title": "The Aria Database", "d:Description": "A searchable database of arias, each includes vocal part and contextual information. Some have sound files and translations.", "topic": "Top/Arts/Music/Styles/O/Opera", "url": "http://www.aria-database.com/"} +{"d:Title": "OperaTalent", "d:Description": "Information about opera and people in the industry, from singers to stage managers. Covers auditions, events, broadcasting and in-depth reviews, articles and interviews.", "topic": "Top/Arts/Music/Styles/O/Opera", "url": "http://www.operatalent.com/"} +{"d:Title": "OperaGlass: Opera Composers", "d:Description": "Alphabetical listing with works and links to better-known composers with details of certain compositions. From Stanford University.", "topic": "Top/Arts/Music/Styles/O/Opera/Composers", "url": "http://opera.stanford.edu/composers/"} +{"d:Title": "U.S. Opera", "d:Description": "Information about American opera composers and their works.", "topic": "Top/Arts/Music/Styles/O/Opera/Composers", "url": "http://www.usopera.com/"} +{"d:Title": "Operabase", "d:Description": "News and reviews, performers and artists, opera houses, recordings and web resources, plus booking details, interactive maps, search tools and timelines. [Dutch, English, French, German, Italian, Portuguese, Spanish]", "topic": "Top/Arts/Music/Styles/O/Opera/Directories", "url": "http://www.operabase.com/"} +{"d:Title": "Aria Database", "d:Description": "Searchable database of arias, 177 operas, 65 composers, 389 translations, 1027 aria texts and 223 MIDIs. No longer being updated.", "topic": "Top/Arts/Music/Styles/O/Opera/Directories", "url": "http://www.aria-database.com/operacom.html"} +{"d:Title": "The Legacy of the Diva", "d:Description": "Opera's recorded legacy. Articles and surveys of aria recordings especially from the coloratura repertoire.", "topic": "Top/Arts/Music/Styles/O/Opera/History", "url": "http://www.divalegacy.com/"} +{"d:Title": "Evolution of Opera", "d:Description": "Overview of the development of the operatic form.", "topic": "Top/Arts/Music/Styles/O/Opera/History", "url": "http://members.tripod.com/~dorakmt/music/opera.html"} +{"d:Title": "The Rise of Light Opera", "d:Description": "A history of the development of the comic opera.", "topic": "Top/Arts/Music/Styles/O/Opera/History", "url": "http://www.imagi-nation.com/moonstruck/spectop001.html"} +{"d:Title": "Historic Opera", "d:Description": "Archived images of historic opera singers, composers, and set designs.", "topic": "Top/Arts/Music/Styles/O/Opera/History", "url": "http://www.historicopera.com/"} +{"d:Title": "Collecting Operas on Vintage Postcards", "d:Description": "An illustrated introduction to collecting early 20th century European historical opera postcards.", "topic": "Top/Arts/Music/Styles/O/Opera/History", "url": "http://www.luckymojo.com/operacards.html"} +{"d:Title": "Timeline of American Opera", "d:Description": "A chronology of important dates in the history of American opera.", "topic": "Top/Arts/Music/Styles/O/Opera/History", "url": "http://www.usopera.com/faq/timeline.html"} +{"d:Title": "A History of Opera in Fifteen Key Works", "d:Description": "A concise description of the development of the art form using fifteen major operas as examples.", "topic": "Top/Arts/Music/Styles/O/Opera/History", "url": "http://wanderingleopard.angelfire.com/opera.html"} +{"d:Title": "Origin of the Opera", "d:Description": "Brief analysis of the artistic atmosphere in 17th century Italy which lead to the development of opera.", "topic": "Top/Arts/Music/Styles/O/Opera/History/17th_Century", "url": "http://www.theatrehistory.com/italian/opera.html"} +{"d:Title": "The Origin of Opera", "d:Description": "An in-depth analysis of the development of opera.", "topic": "Top/Arts/Music/Styles/O/Opera/History/17th_Century", "url": "http://www.imagi-nation.com/moonstruck/spectop003.html"} +{"d:Title": "Serious and Comic Opera in Eighteenth-Century Italy", "d:Description": "Essay on the development of opera.", "topic": "Top/Arts/Music/Styles/O/Opera/History/18th_Century", "url": "http://www.nthuleen.com/papers/M52opera.html"} +{"d:Title": "Classical Opera", "d:Description": "A history of early 19th century opera.", "topic": "Top/Arts/Music/Styles/O/Opera/History/19th_Century", "url": "http://www.imagi-nation.com/moonstruck/spectop002.html"} +{"d:Title": "Opera in the Nineteenth Century", "d:Description": "A brief analysis of opera in the nineteenth century.", "topic": "Top/Arts/Music/Styles/O/Opera/History/19th_Century", "url": "http://www.infoplease.com/encyclopedia/entertainment/opera-opera-nineteenth-century.html"} +{"d:Title": "Who Was Who in the D'Oyly Carte Opera Company", "d:Description": "A directory, photo gallery, and biographical resource devoted to those who performed with the company between 1875 and 1982.", "topic": "Top/Arts/Music/Styles/O/Opera/History/20th_Century", "url": "http://diamond.boisestate.edu/gas/whowaswho/"} +{"d:Title": "Twentieth Century Opera", "d:Description": "A brief analysis of opera in the 20th century.", "topic": "Top/Arts/Music/Styles/O/Opera/History/20th_Century", "url": "http://www.infoplease.com/encyclopedia/entertainment/opera-twentieth-century-opera.html"} +{"d:Title": "Opera Japonica", "d:Description": "Coverage of opera in Japan; includes monthly schedules, reviews, interviews, international reports, and multimedia files.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies", "url": "http://www.operajaponica.org/"} +{"d:Title": "Bangkok Opera", "d:Description": "Lists the performance schedule, news, and membership and ticket information for the company, based in Bangkok.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies", "url": "http://www.bangkokopera.com/"} +{"d:Title": "Cape Town Opera", "d:Description": "Provides information on current and forthcoming productions, training and development, artistic and production staff and ticket reservations. Cape Town.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Africa/South_Africa", "url": "http://www.capetownopera.co.za/"} +{"d:Title": "La Monnaie - De Munt", "d:Description": "(Brussels) Belgian National Opera House. Program, calendar of events, and ticket purchasing. [English/French/Dutch]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Belgium", "url": "http://www.demunt.be/"} +{"d:Title": "Varna Opera Theatre", "d:Description": "Lists a full archive of its premieres, pictures and performances. Varna. [Bulgarian/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Bulgaria", "url": "http://www.operavarna.bg/"} +{"d:Title": "Plovdiv State Opera", "d:Description": "Repertoire, people and history. Plovdiv. [Bulgarian/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Bulgaria", "url": "http://www.ofd-plovdiv.eu/"} +{"d:Title": "Opera in Prague", "d:Description": "Prague parallel opera and ballet schedule, Prague Opera Gala, opera packages and ticket sales.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Czech_Republic", "url": "http://www.czechopera.cz/"} +{"d:Title": "Prague National Theatre Opera", "d:Description": "History, schedule, repertoire, premieres, tickets, opera packages, and links to all opera performances in Prague.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Czech_Republic", "url": "http://www.nationaltheatre.cz/"} +{"d:Title": "Prague Estates Theatre", "d:Description": "History, schedule, repertoire, premieres, seating plan, tickets, and links. [English/Czech]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Czech_Republic", "url": "http://www.estatestheatre.cz/"} +{"d:Title": "The Royal Theatre", "d:Description": "History, repertoire and schedule. Copenhagen. [Danish/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Denmark", "url": "http://www.kglteater.dk/"} +{"d:Title": "Aarhus Sommeropera", "d:Description": "Performs two productions each year during the months of August and September in Helsinger Theatre, part of the Old Town village museum. Combines established, well-known principals with young talented singers. Aarhus. [Danish/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Denmark", "url": "http://www.aarhus-sommeropera.dk/english/"} +{"d:Title": "Savonlinna Opera Festival", "d:Description": "Information about the current season's program, ticket ordering information and history. Savonlinna. [English/Finnish/Swedish]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Finland", "url": "http://www.operafestival.fi/"} +{"d:Title": "Finnish National Opera", "d:Description": "Performance dates and ticket prices of operas, ballets and concerts. Helsinki. [English/Finnish/Swedish]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Finland", "url": "http://www.opera.fi/"} +{"d:Title": "Bayerische Staatsoper", "d:Description": "Information about performances, artists, tickets and history. Munich. [English/German]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Germany", "url": "https://www.staatsoper.de/"} +{"d:Title": "Wexford Opera Festival", "d:Description": "Annual festival held at the end of October. Includes schedule, events, booking and directions. Wexford.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Ireland", "url": "http://www.wexfordopera.com/"} +{"d:Title": "Opera Ireland", "d:Description": "News and information about current and past productions, artists, outreach and education. Dublin.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Ireland", "url": "http://www.opera.ie/"} +{"d:Title": "Irish Youth Opera", "d:Description": "Production company for youth opera in Ireland. Provides information on productions, history and artistic policies.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Ireland", "url": "http://www.irishyouthopera.ie/"} +{"d:Title": "Manx Gilbert and Sullivan Society", "d:Description": "Information on the Society, schedule, history and photos. Isle of Man.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Isle_of_Man", "url": "http://www.gands.org.im/"} +{"d:Title": "Teatro Comunale of Bologna", "d:Description": "Information about the theatre, productions and performances, archives and tours. Online calendar and ticketing. [English/Italian]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Italy", "url": "http://www.comunalebologna.it/"} +{"d:Title": "Constanzi Theatre", "d:Description": "Box office information for the theatre in Rome.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Italy", "url": "http://www.tourome.com/costanzi.htm"} +{"d:Title": "Opera di Firenze", "d:Description": "(Florence) Concert and opera seasons. [English/Italian]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Italy", "url": "http://www.operadifirenze.it/"} +{"d:Title": "Latvian National Opera", "d:Description": "Lists current performances, ticket information and facts about the opera. Available in English and Latvian.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Latvia", "url": "http://www.opera.lv/"} +{"d:Title": "Gran Teatro del Liceu", "d:Description": "History, season, and 3D tour. [English/Catalan/Spanish]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/Spain", "url": "http://www.liceubarcelona.cat/"} +{"d:Title": "Welsh National Opera", "d:Description": "Information about forthcoming operas in Wales and the UK.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.wno.org.uk/"} +{"d:Title": "Scottish National Opera", "d:Description": "(Glasgow) Schedule and history.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.scottishopera.org.uk/"} +{"d:Title": "First Act Opera International", "d:Description": "(Suffolk) Information and schedule. Specialists in chamber operas, parodies and concert repertoire, performing worldwide.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://members.tripod.com/~operavox/FAOI.HTM"} +{"d:Title": "Opera della Luna", "d:Description": "(UK) A chamber opera company producing a wide variety of works in English.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operadellaluna.org/"} +{"d:Title": "Opera Brava", "d:Description": "Professional opera company specialising in touring opera, corporate events, and education work. News, productions, performance schedule, workshops, and mailing list.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operabrava.co.uk/"} +{"d:Title": "Pavilion Opera", "d:Description": "Touring chamber opera performs popular operas fully costumed with piano accompaniment. Based in Lincolnshire.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.pavilionopera.com/"} +{"d:Title": "English Touring Opera", "d:Description": "Includes tour schedules, company history and mailing list.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.englishtouringopera.org.uk/"} +{"d:Title": "Guildford Opera Company", "d:Description": "Details of productions, fundraising, membership, plus members-only section.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.guildfordopera.com/"} +{"d:Title": "Opera Omnibus", "d:Description": "Presents grand opera, in English, in the Haslemere area.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operaomnibus.co.uk/"} +{"d:Title": "Opera South East", "d:Description": "Opera sung in English, plus concerts. Site provides schedule, photo gallery, opera quizzes and quotes. Questions and quotes can be added by viewers of sites.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operasoutheast.org.uk/"} +{"d:Title": "Opera Holland Park", "d:Description": "Official website of London summer opera festival.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operahollandpark.com/"} +{"d:Title": "Midland Music Makers", "d:Description": "Stages grand opera in English, in Birmingham. Includes concert and venue list.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.midlandmusicmakers.org/"} +{"d:Title": "Milborne Port Opera", "d:Description": "An amateur group performing every Easter in Milborne Port Village Hall. Includes details of past and future events. Somerset.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.themoose.co.uk/Opera/"} +{"d:Title": "Grange Park Opera", "d:Description": "An opera company based in Northington, Hampshire. Outlines current season schedule, an archive and a brief history of Grange Park.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.grangeparkopera.co.uk/"} +{"d:Title": "English National Opera", "d:Description": "Britain's only full-time repertory opera company, based near Covent Garden. Includes a diary of what's on and booking information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.eno.org/"} +{"d:Title": "Glyndebourne", "d:Description": "Private Sussex opera house.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.glyndebourne.com/"} +{"d:Title": "Bel Canto Opera", "d:Description": "Future and previous performances, including photo galleries and reviews. Located in Gloucestershire.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.belcanto-opera.com/"} +{"d:Title": "Opera Anywhere", "d:Description": "Group of singers performing various opera productions across the UK.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operaanywhere.com/"} +{"d:Title": "Hatstand Opera", "d:Description": "A UK touring opera company specialising in opera highlights in performances for theatres, festivals, charity fundraising events, parties, and corporate functions.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.hatstandopera.co.uk/"} +{"d:Title": "Stanley Opera", "d:Description": "Opera sung in English, performing in Leicestershire. Includes upcoming shows, reviews and information about the company.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.stanley-opera.org.uk/"} +{"d:Title": "Surrey Opera", "d:Description": "Semi-professional company performing in Surrey, Sussex and Kent, providing opportunities for young singers, directors and designers in the early stages of their careers.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.surreyopera.org/"} +{"d:Title": "The Wandering Minstrels", "d:Description": "Touring Gilbert and Sullivan company performing songs and scenes from the G&S comic operas.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.wanderingminstrels.org.uk/"} +{"d:Title": "Mid Wales Opera", "d:Description": "Details about the festivals and tours.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.zen137696.zen.co.uk/"} +{"d:Title": "Stanley Hall Opera", "d:Description": "Offers details of past and present opera productions. Includes dates and location in Halstead, Essex.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.stanleyhall.co.uk/"} +{"d:Title": "Opera Integra", "d:Description": "Offers performing experience for amateur and aspiring professional singers. Includes upcoming events, history and past productions.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operaintegra.org.uk/"} +{"d:Title": "Opera at Bearwood", "d:Description": "(Wokingham, Berkshire) Information and schedule.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.operaatbearwood.org/"} +{"d:Title": "Sheffield City Opera", "d:Description": "Includes past and future productions, membership, photos, patrons and links.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.sheffieldcityopera.com/"} +{"d:Title": "Kentish Opera", "d:Description": "Provides an opportunity for young professional singers to gain experience in performing major operatic roles.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Europe/United_Kingdom", "url": "http://www.kentishopera.com/"} +{"d:Title": "L'Op\u00e9ra de Qu\u00e9bec", "d:Description": "Produces quality opera productions in Quebec City. Includes upcoming season and ticket information. [French/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/Canada", "url": "http://www.operadequebec.qc.ca/"} +{"d:Title": "Calgary Opera", "d:Description": "Season schedule, press releases, education, supporters and special events. Online box office. Calgary, Alberta.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/Canada", "url": "http://www.calgaryopera.com/"} +{"d:Title": "Canadian Opera Company", "d:Description": "Largest producer of opera in Canada and the sixth largest in North America. Includes production information and on-line subscription ordering.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/Canada", "url": "http://www.coc.ca/"} +{"d:Title": "Vancouver Opera", "d:Description": "Season program, community programs, press releases, sponsors and opera FAQ. Vancouver, BC.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/Canada", "url": "http://www.vancouveropera.ca/"} +{"d:Title": "Opera York", "d:Description": "Presents professional opera performances and provides cultural education to York Region, Ontario. Provides event schedules, program and membership information, and featured performers.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/Canada", "url": "http://www.operayork.com/"} +{"d:Title": "Arizona Opera", "d:Description": "(AZ) Performs in Phoenix and Tucson, site features season information about shows, cast, and ticket purchase.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.azopera.com/"} +{"d:Title": "Florentine Opera", "d:Description": "(WI) Information about current season and special events, tickets, history, educational programs, merchandise", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.florentineopera.org/"} +{"d:Title": "New Orleans Opera Association", "d:Description": "(LA) Seating charts, ticket information, and administrative information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.neworleansopera.org/"} +{"d:Title": "Portland Opera", "d:Description": "(OR) Schedule and ticket information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.portlandopera.org/"} +{"d:Title": "Portland Opera Repertory Theatre", "d:Description": "(ME) Production of major opera and other events", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.portopera.org/"} +{"d:Title": "Santa Fe Opera", "d:Description": "(NM) Summer opera programs, major works", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.santafeopera.org/"} +{"d:Title": "Tulsa Opera", "d:Description": "(OK) Schedule, artist profiles, tickets, and links.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.tulsaopera.com/"} +{"d:Title": "Virginia Opera", "d:Description": "(VA) Schedule and tickets. Presentations in multiple cities", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.vaopera.org/"} +{"d:Title": "Opera for the Young", "d:Description": "(Touring) A professional opera company performing in schools throughout the Midwest.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.operafortheyoung.org/"} +{"d:Title": "Opera Idaho", "d:Description": "(ID) Season information, events", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.operaidaho.org/"} +{"d:Title": "Utah Festival Opera Company", "d:Description": "(UT) Summer opera program.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.ufoc.org/"} +{"d:Title": "Opera A La Carte: Gilbert and Sullivan", "d:Description": "(Touring) Schedule, productions, references, reviews, contacts, and related information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.operaalacarte.org/"} +{"d:Title": "Juneau Lyric Opera", "d:Description": "(AK) Opera and Musical Theatre since 1975.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States", "url": "http://www.juneauopera.org/"} +{"d:Title": "The Birmingham Music Cooperative", "d:Description": "(AL) Grand opera, two to three productions each season", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Alabama", "url": "http://www.operabirmingham.org/"} +{"d:Title": "Mobile Opera", "d:Description": "(AL) - performances at Mobile Civic Center", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Alabama", "url": "http://www.mobileopera.org/"} +{"d:Title": "Casa Italiana Opera Company", "d:Description": "(CA) Presents several operas each year; specializing in Italian Operas. Based in Los Angeles.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.casaitaliana.org/"} +{"d:Title": "Gloriana Opera Company", "d:Description": "(CA) Community musical theater, some operetta", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.gloriana.org/"} +{"d:Title": "Golden Gate Opera", "d:Description": "(CA) Schedule, audition and internship information, and past performances.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.goldengateopera.org/"} +{"d:Title": "Long Beach Opera", "d:Description": "(CA) Details of upcoming performances, background information on company, plus photos and reviews.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.longbeachopera.org/"} +{"d:Title": "Opera San Jose", "d:Description": "(CA) Performance schedule, resident artist profiles, educational programs and ticket information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.operasj.org/"} +{"d:Title": "Pocket Opera", "d:Description": "(CA) Season information, events, staff, tickets", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.pocketopera.org/"} +{"d:Title": "San Francisco Lyric Opera", "d:Description": "(CA) Dedicated to the performance of classical opera, traditionally staged and sung in the original language with English supertitles.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.sflyricopera.org/"} +{"d:Title": "San Francisco Opera", "d:Description": "(CA) Major operatic works, season and cast information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.sfopera.com/"} +{"d:Title": "Sonoma City Opera", "d:Description": "(CA) Standard operatic repertoire as well as premieres", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://sonomacityopera.org/"} +{"d:Title": "Sierra Chamber Opera", "d:Description": "Brings the music and drama of opera to elementary schools in the central California area.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://sierrachamberopera.org/"} +{"d:Title": "West Edge Opera", "d:Description": "(CA) Local traveling production company. Current performance and ticket information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://westedgeopera.org/"} +{"d:Title": "Martinez Opera Contra Costa", "d:Description": "(CA) Regional opera company in northern California.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://mtzocc.com/"} +{"d:Title": "Opera San Luis Obispo", "d:Description": "(CA) - Current season and special events.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.operaslo.org/"} +{"d:Title": "The Festival Opera Association", "d:Description": "Features for grand opera San Francisco's East Bay communities, performances for modern audiences, summer performance schedule and free park concerts.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.festivalopera.org/"} +{"d:Title": "Southland Sings", "d:Description": "(CA) Community outreach company", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://southlandsings.org/"} +{"d:Title": "San Diego Opera", "d:Description": "(CA) Current season, recital program, membership and sponsorship opportunities, education program, company history, the scenic studio.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.sdopera.org/"} +{"d:Title": "West Bay Opera", "d:Description": "(CA) Schedule, reviews and information about upcoming events.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/California", "url": "http://www.westbayopera.org/"} +{"d:Title": "Central City Opera", "d:Description": "(CO) Includes details about the current schedule, outreach program, news and history", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Colorado", "url": "http://www.centralcityopera.org/"} +{"d:Title": "Opera Colorado", "d:Description": "(CO) Current season, performers, company and education programs. Online ticketing and newsletter.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Colorado", "url": "http://www.operacolorado.org/"} +{"d:Title": "Connecticut Lyric Opera", "d:Description": "(CT) Full-season professional opera company offers schedule, artists and ticket information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Connecticut", "url": "http://www.ctgrandopera.org/"} +{"d:Title": "Opera Theater of Connecticut", "d:Description": "(CT) History and highlights, performance schedule and ticket ordering information from the company based in Clinton.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Connecticut", "url": "http://www.operatheaterofct.org/"} +{"d:Title": "Sarasota Opera", "d:Description": "(FL) Performance schedules, ticketing and educational programs.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Florida", "url": "http://www.sarasotaopera.org/"} +{"d:Title": "Vero Beach Opera Guild", "d:Description": "(FL) Dedicated to promoting opera in the community and classroom, plus providing scholarships to promising students.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Florida", "url": "http://www.verobeachopera.org/"} +{"d:Title": "Americolor Opera Alliance of Atlanta", "d:Description": "(GA) Opera that celebrates American music and culture by presenting stories based upon American and Afro-centric culture and experiences.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Georgia", "url": "http://www.americoloropera.org/"} +{"d:Title": "Atlanta Opera", "d:Description": "(GA) Includes schedule and ticket sales, information on educational programs, and bibliography.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Georgia", "url": "http://www.atlantaopera.org/"} +{"d:Title": "Chicago Opera Theater", "d:Description": "(IL) Official website, online tickets purchase, season and company information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Illinois", "url": "http://www.chicagooperatheater.org/"} +{"d:Title": "OperaModa", "d:Description": "(IL) Chicago area opera company specializing in American operas.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Illinois", "url": "http://www.operamoda.com/"} +{"d:Title": "Lyric Opera of Chicago", "d:Description": "(IL) International-level opera company. Details about the current season, tickets (online ordering), special events, radio broadcasts, education programs, press releases, history and online shopping.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Illinois", "url": "http://www.lyricopera.org/"} +{"d:Title": "Light Opera Works", "d:Description": "(IL) Performing light operas and operettas.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Illinois", "url": "http://www.lightoperaworks.org/"} +{"d:Title": "Muddy River Opera Company", "d:Description": "(IL) news and information about the community program from Quincy University.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Illinois", "url": "https://www.facebook.com/MuddyRiverOpera"} +{"d:Title": "Baltimore Opera", "d:Description": "(MD) Online ticket sales (including \"starving students\" discount program) and cast lists for each of the season's productions.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Maryland", "url": "http://www.baltimoreopera.com/"} +{"d:Title": "Comic Opera Guild", "d:Description": "(MI) Touring company presenting operetta in English translations. Orchestrations also available.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Michigan", "url": "http://www-personal.umich.edu/~mgillett/coghome.htm"} +{"d:Title": "Opera Grand Rapids", "d:Description": "(MI) Performance schedule, photograph gallery, auditions calendar.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Michigan", "url": "http://www.operagr.com/"} +{"d:Title": "Michigan Opera Theatre", "d:Description": "(MI) - Detroit-based company presenting major works, season information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Michigan", "url": "http://www.michiganopera.org/"} +{"d:Title": "Lyric Opera of Kansas City", "d:Description": "(MO) Schedule, auditions, and history.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Missouri", "url": "http://www.kcopera.org/"} +{"d:Title": "Opera League of New Hampshire", "d:Description": "(NH) Schedules, synopses, cast and staff information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_Hampshire", "url": "http://www.operanh.org/"} +{"d:Title": "Opera North", "d:Description": "(NH) Schedule of productions and events, history, staff listings, newsletter, Young Artists Program.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_Hampshire", "url": "http://www.operanorth.org/"} +{"d:Title": "Center for Contemporary Opera", "d:Description": "(NY) Dedicated to the creation and performance of contemporary American opera and opera in English.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.conopera.org/"} +{"d:Title": "DeStefano Presents", "d:Description": "(NY) Details of the opera company, performances and performers. Ordering information for their CD.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.destefanopresents.org/"} +{"d:Title": "Lake George Opera", "d:Description": "(NY) Details of upcoming events, company background, reviews of previous productions, and opera education program.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.lakegeorgeopera.org/"} +{"d:Title": "Metropolitan Opera", "d:Description": "(NY) Complete information, history, and other opera resources", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.metopera.org/"} +{"d:Title": "New York City Opera", "d:Description": "(NY) Major works, season and company information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.nycopera.com/"} +{"d:Title": "New York Gilbert and Sullivan Players", "d:Description": "(NY) Professional repertory Gilbert and Sullivan ensemble. Calendar, ticket information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.nygasp.org/"} +{"d:Title": "New York Grand Opera Company", "d:Description": "(NY) Performances, repertoire. Free opera in Central Park", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://newyorkgrandopera.org/"} +{"d:Title": "Opera Ebony", "d:Description": "(NY) African-American opera company. Information about artists, tours and projects.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.operaebony.org/"} +{"d:Title": "Tri-Cities Opera Homepage", "d:Description": "(NY) Season and cast information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.tricitiesopera.com/"} +{"d:Title": "The Village Light Opera of New York City", "d:Description": "(NY) Long standing amateur company which puts on two productions a year.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.vlog.org/"} +{"d:Title": "Hudson Opera Theatre of New York and New Jersey", "d:Description": "(NY) Season schedule, history", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.hotopera.com/"} +{"d:Title": "Chautauqua Opera Company", "d:Description": "(NY) Productions in English, opportunities for young artists, season information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://opera.ciweb.org/"} +{"d:Title": "Nickel City Opera Company", "d:Description": "(Buffalo, NY) Includes calendar, news and contact information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.nickelcityoperaco.com/"} +{"d:Title": "New Rochelle Opera", "d:Description": "(NY) Calendar and contact information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://nropera.org/"} +{"d:Title": "Brooklyn Academy of Music - Opera", "d:Description": "(NY) Information on the current season's productions.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.bam.org/#Opera"} +{"d:Title": "Opera Orchestra of New York", "d:Description": "(NY) Provides information on Operas staged in NYC, programs and events hosted by OONY, and upcoming events.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://www.operaorchestrany.org/"} +{"d:Title": "Opera Company of Brooklyn", "d:Description": "(NY) Upcoming performances and programs, special events, contact details.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/New_York", "url": "http://operabrooklyn.org/"} +{"d:Title": "Greensboro Opera Company", "d:Description": "(NC) Production details, tickets and information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.greensboroopera.org/"} +{"d:Title": "Cincinnati Opera", "d:Description": "(OH) performs major works, season information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Ohio", "url": "http://www.cincinnatiopera.org/"} +{"d:Title": "Toledo Opera", "d:Description": "(OH) Season schedule, tour, ticket ordering, and links.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Ohio", "url": "http://www.toledoopera.org/"} +{"d:Title": "Opera Circle Cleveland", "d:Description": "(OH) provides history, reviews, current season performances and ticket information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Ohio", "url": "http://www.operacircle.org/"} +{"d:Title": "The Microscopic Opera Company", "d:Description": "(OH) Pittsburgh company provides information on current season, past productions and tickets along with video and press coverage.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Ohio", "url": "http://www.microscopicopera.org/"} +{"d:Title": "Cleveland Opera Theater", "d:Description": "Producing accessible opera in Cleveland.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Ohio", "url": "http://www.clevelandoperatheater.org/"} +{"d:Title": "Canton Comic Opera Company", "d:Description": "Dedicated to the preservation and performance of American comic operas and operettas.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Ohio", "url": "https://sites.google.com/site/cantoncomicoperaco/"} +{"d:Title": "Concert Opera", "d:Description": "(PA) Opera performed in a concert setting.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.concertopera.com/"} +{"d:Title": "Pittsburgh Opera", "d:Description": "(PA) Eighth-oldest opera company in the U.S. Major works presented annually.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.pittsburghopera.org/"} +{"d:Title": "Harrisburg Opera Association", "d:Description": "(PA) Season, educational outreach, and historical information", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.harrisburg-opera.org/"} +{"d:Title": "Opera Memphis", "d:Description": "(TN) Current season, ticket ordering, merchandise, quizzes, and games.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Tennessee", "url": "http://www.operamemphis.org/"} +{"d:Title": "The Dallas Opera", "d:Description": "(TX) Production information, news updates, archives, and broadcasts.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Texas", "url": "http://www.dallasopera.org/"} +{"d:Title": "Fort Worth Opera", "d:Description": "(TX) Performs major works, has a young artist program, and performs school tours.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Texas", "url": "http://www.fwopera.org/"} +{"d:Title": "Houston Grand Opera", "d:Description": "(TX) Calendar and ticket information. Includes history of HGO. Studio artist program.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Texas", "url": "http://www.houstongrandopera.org/"} +{"d:Title": "Opera San Antonio", "d:Description": "(TX) Includes season schedule and information about tickets, educational programs and membership.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Texas", "url": "http://operasa.org/"} +{"d:Title": "Austin Opera", "d:Description": "(TX) Ticketing, scheduling, and performance news.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Texas", "url": "http://austinopera.org/"} +{"d:Title": "Vermont Opera Theater", "d:Description": "(VT) Small company featuring professional singers and instrumentalists.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Vermont", "url": "http://www.vermontopera.org/"} +{"d:Title": "Black Box Opera Theater", "d:Description": "(WA) Seattle-based repertory company", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Washington", "url": "http://www.blackboxoperatheater.com/"} +{"d:Title": "Seattle Opera", "d:Description": "(WA) Calendar and online ticket sales", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Washington", "url": "http://www.seattleopera.org/"} +{"d:Title": "Tacoma Opera", "d:Description": "(WA) Season information, cast bios", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/North_America/United_States/Washington", "url": "http://www.tacomaopera.com/"} +{"d:Title": "Opera Australia", "d:Description": "Performance schedule for full year at Sydney Opera House and Melbourne Arts Theatre; online ticketing, artist and company information, stage whispers.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Oceania/Australia", "url": "http://www.opera-australia.org.au/"} +{"d:Title": "State Opera of South Australia", "d:Description": "News, maps, and information on tickets and performances. Adelaide, South Australia.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Oceania/Australia", "url": "http://www.saopera.sa.gov.au/"} +{"d:Title": "West Australian Opera Company", "d:Description": "Details about the company and its current season. Online booking information.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Oceania/Australia", "url": "http://www.waopera.asn.au/"} +{"d:Title": "Savoy Opera Company", "d:Description": "Melbourne-based company that specialises in Gilbert and Sullivan.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Oceania/Australia", "url": "http://www.savoyoperacompany.com/"} +{"d:Title": "Eastern Metropolitan Opera", "d:Description": "Schedule and past performances. Melbourne.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Oceania/Australia", "url": "http://www.melbourneopera.com/"} +{"d:Title": "Opera Factory", "d:Description": "Training company for developing opera singers presents opera and opera events in fringe theatre style. Profile page and coming events listings. Auckland.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/Oceania/New_Zealand", "url": "http://www.operafactory.com/"} +{"d:Title": "Teatro Alfa", "d:Description": "Season schedule and theater information. S\u00e3o Paulo.", "topic": "Top/Arts/Music/Styles/O/Opera/Houses_and_Companies/South_America/Brazil", "url": "http://www.teatroalfa.com.br/"} +{"d:Title": "Chauvin", "d:Description": "This opera in three acts, awaiting its world premiere, is loosely based on the story of Nicolas Chauvin, of \"chauvinism\" notoriety. Site features description of many details of this opera: story, theme, orchestral and vocal requirements.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://www.chauvin.org.uk/"} +{"d:Title": "Juana, la loca", "d:Description": "An opera in two acts by Argentine composer Eduardo Alonso-Crespo. General description, synopsis, original cast, and technical information.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://www.angelfire.com/music3/juanalaloca/"} +{"d:Title": "Virtopera", "d:Description": "A virtual opera composed by Eberhard Schoener. Be the audience or influence its development. [English/German/Portuguese/Italian] [Flash player required]", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://www.virtopera.de/virtopera/index/index2.htm"} +{"d:Title": "1896 - Pheidippides... run again!", "d:Description": "All about the award-winning opera, based on the first modern Olympic Games in Athens 1896. Opera and libretto by Luca Belcastro.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://www.1896.lucabelcastro.it/"} +{"d:Title": "Willows by the Western Gate", "d:Description": "Complete libretto of a new opera based on an ancient Chinese love story.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://members.tripod.com/~fantomas66/"} +{"d:Title": "Aethelred the Unready", "d:Description": "One-act opera by American composer Richard Wilson.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://faculty.vassar.edu/riwilson/aethelred/"} +{"d:Title": "The Pilgrim's Progress", "d:Description": "A work by Finnish composer Kalevi Ukkola, based on John Bunyan's novel.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://personal.inet.fi/musiikki/kalevi.ukkola/"} +{"d:Title": "Wuornos", "d:Description": "Composer Carla Lucero recounts the life story of Aileen Wuornos, the sex worker and murderer who was executed for killing six men in Florida.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://www.wuornos.org/"} +{"d:Title": "Antony and Cleopatra", "d:Description": "A new lyrical grand opera by Gene Tyburn, adapted from Shakespeare.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://www.tyburnoperas.com/AC-a1s6.htm"} +{"d:Title": "Putzi", "d:Description": "General information, original cast, synopsis and photo gallery about Putzi, opera in one act by Eduardo Alonso-Crespo. [Spanish/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas", "url": "http://www.angelfire.com/music3/eduardoalonsocrespo/putzi/"} +{"d:Title": "La Boh\u00e8me", "d:Description": "Archive of the Puccini work from Opera Glass at Stanford University. Links to composer and librettist information, libretto, performance history, synopses, English translation of the novel, and discography.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Boh\u00e8me,_La", "url": "http://opera.stanford.edu/Puccini/LaBoheme/libretto.html"} +{"d:Title": "Ponchielli: La Gioconda", "d:Description": "Classical Net CD review includes details of the piece and its difference from the operatic norm.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Gioconda,_La", "url": "http://www.classical.net/music/recs/reviews/n/nxs10112a.html"} +{"d:Title": "La Gioconda", "d:Description": "Stanford University listing with composer and librettist information and major dates.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Gioconda,_La", "url": "http://opera.stanford.edu/opera/Ponchielli/Gioconda/"} +{"d:Title": "Lucia di Lammermoor", "d:Description": "Composer and librettist information, source, libretto in HTML and PDF, synopses, and discography from Stanford University's Opera Glass.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Lucia_di_Lammermoor", "url": "http://opera.stanford.edu/Donizetti/LuciaDiLammermoor/main.html"} +{"d:Title": "AZOpera: Lucia Di Lammermoor", "d:Description": "Background information, plot summary, and composer information from the Arizona Opera.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Lucia_di_Lammermoor", "url": "http://www.evermore.com/azo/98season/ldl_syn.php3"} +{"d:Title": "Lucia di Lammermoor", "d:Description": "Plot synopsis and character descriptions including voice range, part size, dancing difficulty, age range, and summaries for each character from Stage Agent.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Lucia_di_Lammermoor", "url": "http://stageagent.com/shows/opera/1478/lucia-di-lammermoor"} +{"d:Title": "Luther Opera", "d:Description": "Official site. Composer, performer, and performance details with synopsis, pictures, quotes, and links. Includes downloadable libretto.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Luther", "url": "http://www.kolumbus.fi/kari.tikka/luther-ooppera/"} +{"d:Title": "Madame Butterfly", "d:Description": "E-text version of the 1903 Grosset and Dunlap edition by John Luther long, complete with scanned versions of the original illustrations . From American Studies at the University of Virginia.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Madame_Butterfly", "url": "http://xroads.virginia.edu/~HYPER/LONG/abstract.html"} +{"d:Title": "Pagliacci", "d:Description": "Edited and with background material by Mark D. Lew. Footnoted with explanations, spelling conventionalized, with libretto divided among prologue and the two acts.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Pagliacci,_I", "url": "http://home.earthlink.net/~markdlew/lib/pagliacci/"} +{"d:Title": "Pagliacci: Opera San Jos\u00e9", "d:Description": "Notes concerning a November 1996 production include background materials and referrals to various opera companies. Jim DeLaHunt provides, instead of a factual summary, an emotional plot of the work.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Pagliacci,_I", "url": "http://www.jdlh.palo-alto.ca.us/perform/roles/pagliacci96.html"} +{"d:Title": "Tosca Goes Online", "d:Description": "Minnesota Public Radio presentation of the libretto. Almost 3 dozen pages of text and images telling the story. Based on a May, 1998 performance by the Minnesota Opera.", "topic": "Top/Arts/Music/Styles/O/Opera/Individual_Operas/Tosca", "url": "http://music.minnesota.publicradio.org/features/9809_tosca/"} +{"d:Title": "Libretto Homepage", "d:Description": "Public domain opera libretti and other vocal texts.", "topic": "Top/Arts/Music/Styles/O/Opera/Libretti", "url": "http://opera.stanford.edu/iu/librettim.html"} +{"d:Title": "English Opera Translations", "d:Description": "English performing translations (librettos) of popular operas, including Marriage of Figaro, La Boheme, Gianni Schicchi, Pagliacci, translated by Ken Jakobs.", "topic": "Top/Arts/Music/Styles/O/Opera/Libretti", "url": "http://www.englishoperatranslations.com/"} +{"d:Title": "OperaGlass: Operas", "d:Description": "Extensive directory by opera of libretti, synopses, performance history, background and discography.", "topic": "Top/Arts/Music/Styles/O/Opera/Libretti", "url": "http://opera.stanford.edu/operas.html"} +{"d:Title": "Opernf\u00fchrer / Opera Guide", "d:Description": "A collection of opera libretti (originals and translations), with links to similar sites. [German/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Libretti", "url": "http://www.opera-guide.ch/"} +{"d:Title": "Trubadur", "d:Description": "A club for Polish opera fans, with articles, reviews and interviews from Trubadur magazine), libretti of Polish operas, recordings and discussion forum. [Polish/English]", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.trubadur.pl/"} +{"d:Title": "New York Opera Forum", "d:Description": "Gives classically trained singers the opportunity to learn and perform standard operatic repertoire in the original languages.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.nyoperaforum.com/"} +{"d:Title": "Bel Canto Society", "d:Description": "Non-profit organization that publishes recordings and sponsors opera performances. Includes store, event listings, and CD reviews.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.belcantosociety.org/"} +{"d:Title": "Richard Tucker Music Foundation", "d:Description": "Non-profit organization honoring the memory of the tenor at Lincoln Center's Avery Fisher Hall, granting awards to singers at three levels of development, and producing educational events nationwide.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.richardtucker.org/"} +{"d:Title": "OPERA America: The Service Organization for Opera", "d:Description": "National service organization based in New York supports opera and the musical arts internationally with education and awareness programs.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.operaamerica.org/"} +{"d:Title": "Wagner Society of England", "d:Description": "Includes membership information, history, events, links to other societies worldwide, how to buy tickets to events, and an inventory of library recordings, books and CDs.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.wagnersociety.org/"} +{"d:Title": "Opera USA", "d:Description": "A non-profit corporation whose mission is to promote, instruct, create and develop opera and musical theater for Americans. Includes performance dates, production schedules, singers listings, festivals and membership information.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.operausa.org/"} +{"d:Title": "New England Opera Club", "d:Description": "Information about opera events, performances, repertories and recitals in the New England region.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://neoperaclub.org/"} +{"d:Title": "The Northern Virginia Opera Guild", "d:Description": "Non-profit organization which seeks to bring opera to the region and develop support for the performing arts. Includes profiles, events past productions, membership information, resources, reviews and contact details.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.operaguildnova.org/"} +{"d:Title": "Magdala", "d:Description": "A community-based musical organisation offering operatic training and concert work, based in Nottingham, England. Audio clips, events schedule and CD-ROM.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.magdalanottingham.com/"} +{"d:Title": "American Singers Opera Project", "d:Description": "A nonprofit program to develop American opera singers.", "topic": "Top/Arts/Music/Styles/O/Opera/Organizations", "url": "http://www.asop-inc.org/"} +{"d:Title": "Opera Glass: Opera People", "d:Description": "Home pages of people professionally connected with opera. Most of the people listed are performers, although there are some composers, teachers, and stage managers listed. There is also a separate page listing fan pages.", "topic": "Top/Arts/Music/Styles/O/Opera/Performers", "url": "http://opera.stanford.edu/people.html"} +{"d:Title": "North Star Opera", "d:Description": "Group of UK singers, available for concerts and opera performances.", "topic": "Top/Arts/Music/Styles/O/Opera/Performers", "url": "http://www.northstaropera.co.uk/"} +{"d:Title": "Seidel Artists Management", "d:Description": "Provides singers of the highest quality with emphasis on vocal artistry and dramatic interpretation. Includes roster, media and information on its artists.", "topic": "Top/Arts/Music/Styles/O/Opera/Performers", "url": "http://www.seidelartistsmgmt.com/"} +{"d:Title": "Wolfmannia", "d:Description": "Personal page about musical theatre and opera created by a singer for singers and people who apprecite the art.", "topic": "Top/Arts/Music/Styles/O/Opera/Personal_Pages", "url": "http://www.angelfire.com/in/Wolfmannia/index.html"} +{"d:Title": "Opera Pages johnrpierce.com", "d:Description": "Information about operas, singers, performances and recordings.", "topic": "Top/Arts/Music/Styles/O/Opera/Personal_Pages", "url": "http://www.johnrpierce.com/operapages.htm"} +{"d:Title": "GOpera.com", "d:Description": "All about opera, with links to opera houses, Lieder, and other general opera sites.", "topic": "Top/Arts/Music/Styles/O/Opera/Personal_Pages", "url": "http://www.gopera.com/"} +{"d:Title": "Opera Jamboree", "d:Description": "Articles, reviews, and recipes, all related to the joy of opera and singing.", "topic": "Top/Arts/Music/Styles/O/Opera/Personal_Pages", "url": "http://operajamboree.ragbert.com/"} +{"d:Title": "Pamela Howard, FRSA", "d:Description": "Professor Emeritus at The London Institute, scenographer, director, writer, educator, exhibition curator and international producer creating theatre events in several countries and languages.", "topic": "Top/Arts/Music/Styles/O/Opera/Personal_Pages", "url": "http://www.pamelahoward.co.uk/"} +{"d:Title": "L'Avant-sc\u00e8ne Op\u00e9ra", "d:Description": "Features libretto, with analysis, of one or two operas in each issue: sales of subscriptions and back issues.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.asopera.com/"} +{"d:Title": "Opera News", "d:Description": "American magazine: subscription information, contents of recent issues. (some content for subscribers only).", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.operanews.com/"} +{"d:Title": "Opera Magazine", "d:Description": "News, articles and reviews from the world of opera.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.opera.co.uk/"} +{"d:Title": "Amadeus Press", "d:Description": "Specialty publisher of books on opera, classical music, music history and biography, instruments, and performance.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.amadeuspress.com/"} +{"d:Title": "Opera Journeys Publishing", "d:Description": "Offering a full line of mini guides, opera classics and libretti. Available in print and downloadable eBook formats for purchase on-line.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.operajourneys.com/"} +{"d:Title": "Opera~Opera", "d:Description": "Australian magazine offering articles on opera and plot summaries of major operas.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.opera-opera.com.au/"} +{"d:Title": "Pocket Publications", "d:Description": "Orchestral reductions of opera scores for hire and orchestral hire library.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.pocket-publications.com/"} +{"d:Title": "Topix: Opera", "d:Description": "News about opera, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.topix.com/rss/arts/opera.xml"} +{"d:Title": "The Opera Critic", "d:Description": "Includes news, reviews and articles.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://www.theoperacritic.com/"} +{"d:Title": "Operaticus", "d:Description": "Opinions and reviews of opera and classical vocal music written by artists in the field.", "topic": "Top/Arts/Music/Styles/O/Opera/Publications", "url": "http://operaticus.com/"} +{"d:Title": "The Legacy of the Diva", "d:Description": "Opera's recorded legacy. Articles and surveys of aria recordings especially from the coloratura repertoire.", "topic": "Top/Arts/Music/Styles/O/Opera/Recordings", "url": "http://www.divalegacy.com/"} +{"d:Title": "OperaNights", "d:Description": "Guide to the best recordings available on CD and DVD.", "topic": "Top/Arts/Music/Styles/O/Opera/Recordings", "url": "http://www.operanights.com/"} +{"d:Title": "Opera Performances by City", "d:Description": "Look up international opera performances by city and date.", "topic": "Top/Arts/Music/Styles/O/Opera/Schedules_and_Events", "url": "http://www.fsz.bme.hu/opera/citydata_url.html"} +{"d:Title": "The Opera Schedule Server", "d:Description": "Globally searchable user-updated database for opera schedules.", "topic": "Top/Arts/Music/Styles/O/Opera/Schedules_and_Events", "url": "http://www.fsz.bme.hu/opera/"} +{"d:Title": "Istituto Europeo International Singing Competition", "d:Description": "Florence, Italy-based competition reserved exclusively for non-Italian singers.", "topic": "Top/Arts/Music/Styles/O/Opera/Schedules_and_Events", "url": "http://www.istitutoeuropeo.it/english/musicISC.html"} +{"d:Title": "Season Schedule of Performances from OperaAmerica", "d:Description": "Online database of opera performances in North America as well as many international opera companies.", "topic": "Top/Arts/Music/Styles/O/Opera/Schedules_and_Events", "url": "http://www.operaamerica.org/Applications/Schedule/"} +{"d:Title": "City of Discovery Concert", "d:Description": "A biennial fund raising concert in Dundee, Scotland to inspire young people by giving them the opportunity to perform with professional musicians.", "topic": "Top/Arts/Music/Styles/O/Opera/Schedules_and_Events", "url": "http://www.cityofdiscoveryconcert.co.uk/"} +{"d:Title": "Zarzuela!", "d:Description": "Introduction to lyric theatre, history of the zarzuela, biographies of composers and writers, synopses, song translations, audio, photographs, and upcoming performances.", "topic": "Top/Arts/Music/Styles/O/Opera/Zarzuela", "url": "http://www.zarzuela.net/"} +{"d:Title": "Dolores P\u00e9rez", "d:Description": "A tribute to Spanish soprano Dolores P\u00e9rez, also known as Lily Berchman. Includes photos, biography and discography.", "topic": "Top/Arts/Music/Styles/O/Opera/Zarzuela", "url": "http://lilydolores.tripod.com/"} +{"d:Title": "Am I Right?", "d:Description": "Misheard lyrics (mondegreens) spanning the last six decades of pop, country, Christian and Christmas music. Song parodies covering the last four decades, ranked by site visitors.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor", "url": "http://www.amiright.com/"} +{"d:Title": "Brampton Folk Festival", "d:Description": "Spoof British folk song and dance event. Includes artists, workshops and news.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor", "url": "http://www.brampton-bugle.co.uk/brampton-folk-festival/"} +{"d:Title": "The Sponge Awareness Foundation", "d:Description": "SAF is a comedy rock band made famous by Dr. Demento. This official site, explains its origins, lists concert and recording information, and provides audio files of hard to get recordings.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.spongeawareness.com/"} +{"d:Title": "ApologetiX", "d:Description": "Specializes in biblical parodies of rock hits from the 60s through the 90s and today.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.apologetix.com/"} +{"d:Title": "Kip Addotta - Back Stage", "d:Description": "Home Page of the comedian who brought us \"Wet Dream\" a demented favorite.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.kipaddotta.com/"} +{"d:Title": "Arf! Arf! Records", "d:Description": "Purveyors of '60s garage/psych, incredibly strange music, and radically fresh sounds.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.arfarfrecords.com/arfarf/home.shtml"} +{"d:Title": "Les Barker and the Mrs Ackroyd Band", "d:Description": "Official homepage providing audio files, schedule, poems, booking information and contact details.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.cix.co.uk/~ackroyd/"} +{"d:Title": "Bird and Macdonald", "d:Description": "Biography and sound files.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.picklehead.com/mini/mac_birdandmac.html"} +{"d:Title": "Dickie Goodman", "d:Description": "Biographical article by Chuck Miller and discography of the artist who, with Bill Buchanan, developed break in or snippet songs. Includes a discography.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.chuckthewriter.com/goodman.html"} +{"d:Title": "Washboard Jungle", "d:Description": "Post-digital jug band from New York offers CDs \"The Brown Album\" and \"The Wash Cycle\" for sale, with history and links to band member sites.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://users.rcn.com/hample/washboardjungle.html"} +{"d:Title": "Mother Goose Rocks", "d:Description": "A series of pop-parody music compilations that feature nursery rhymes set to popular music styles.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.mothergooserocks.com/"} +{"d:Title": "Vancouver Raging Grannies", "d:Description": "A group of older women who endeavor to raise awareness of issues relating to peace, the environment,and social justice through satirical songs and skits.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.vcn.bc.ca/ragigran/"} +{"d:Title": "Mazey Gardens, R.I.P.", "d:Description": "Tribute site for the \"Original Outlaw\" country singer and his Brick Hit House Band. Includes ordering information for 45 rpm record, \"Calling In Dead.\"", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.mazeygardens.com/"} +{"d:Title": "Bob Noone and the Well Hung Jury", "d:Description": "Live show transforms the law and current events into an evening of song and story. Includes profile, performance schedule, photos, album, booking and contact information.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists", "url": "http://www.lawsongs.com/"} +{"d:Title": "The Official Dr. Demento Web Site", "d:Description": "Includes news, games, contests, and playlists.", "priority": "1", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists/Dr._Demento", "url": "http://www.drdemento.com/"} +{"d:Title": "Whimsical Will", "d:Description": "Homepage of the Whimsical one himself.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists/Dr._Demento", "url": "http://members.tripod.com/~whimsicalwill/index.html"} +{"d:Title": "Krellan Demented Radio Streams", "d:Description": "Scheduled time slots of radio stations carrying the Dr. Demento program with dates links were last checked.", "topic": "Top/Arts/Music/Styles/P/Parody_and_Humor/Bands_and_Artists/Dr._Demento", "url": "http://krellan.com/demento/"} +{"d:Title": "PopMusic.com", "d:Description": "Downloadable songs, CDs, stereo soundclips, free pop music MP3s, music charts and news.", "topic": "Top/Arts/Music/Styles/P/Pop", "url": "http://www.popmusic.com/"} +{"d:Title": "NOW! That's What I Call Music", "d:Description": "Track listings of the compilation CD series, several released each year since 1981. Audio and video clips, news, forums.", "topic": "Top/Arts/Music/Styles/P/Pop", "url": "http://www.nowmusic.com/"} +{"d:Title": "Popdirt.com", "d:Description": "Gossip and news articles along with reader comments.", "topic": "Top/Arts/Music/Styles/P/Pop", "url": "http://popdirt.com/"} +{"d:Title": "Jay's Pop Music Pages", "d:Description": "A resource for pop music including artists, radio and television, music stores and record companies.", "topic": "Top/Arts/Music/Styles/P/Pop", "url": "http://popmusic.stormloader.com/"} +{"d:Title": "Natalie Brown", "d:Description": "In depth promo site for this rhythm and blues songstress with biography, pictures, music downloads, and fan club sign-up.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://www.natalie-brown.com/"} +{"d:Title": "Livingstone, Beric", "d:Description": "The official website for this London based pop singer and songwriter.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://www.bericlivingstone.com/"} +{"d:Title": "Ven, Ellee", "d:Description": "This lady creates a blend of pop, rhythm and blues, soul, dance and flamenco. Biography, song samples and photos.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://www.elleeven.com/"} +{"d:Title": "Beloved Few, The", "d:Description": "Musicians Michael Troy and David Stefanelli play the gamut, from self-penned songs to classic pop rock of the 1970s. Their site has photos, biographies, tunes, reviews and club dates.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://www.belovedfew.com/"} +{"d:Title": "Claim, The", "d:Description": "From Germany, this group has been compared to The Beatles and Oasis. Their site has a discography, band information and a chat room.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://members.tripod.com/carsten_schankat/"} +{"d:Title": "Chocolate Covered World", "d:Description": "Flash Multimedia presentation of music, biography, photographs, and tour notes.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://www.chocolatecoveredworld.com/"} +{"d:Title": "McPherson, Michael", "d:Description": "Guitar player/songwriter/singer from Niwot, Colorado. Contains background and CD information, lyrics, and sound clips.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://mmcpherson.com/"} +{"d:Title": "Doctor Q", "d:Description": "X-rated Hip Hop performer from San Francisco with biography, information, sound clips and interactive remix page.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://www.circusmusic.com/vanityproductions/"} +{"d:Title": "Jeremy and Rebecca", "d:Description": "Official site of singer/songwriter duo. Includes news, media, and music.", "topic": "Top/Arts/Music/Styles/P/Pop/Bands_and_Artists", "url": "http://www.jeremyandrebecca.com/"} +{"d:Title": "E Greatest Music Hits WebSite", "d:Description": "Fan site and information for a variety of Boy Bands, including Backstreet Boys, Savage Garden, NSync, 5ive, and 98 Degrees.", "topic": "Top/Arts/Music/Styles/P/Pop/Boy_Bands/Bands_and_Artists", "url": "http://www.angelfire.com/band/hits/"} +{"d:Title": "Richinello", "d:Description": "Ricky Marquez and Nello Muto duet, with biographies, news, audio downloads, photos, concert dates, and discography.", "topic": "Top/Arts/Music/Styles/P/Pop/Boy_Bands/Bands_and_Artists", "url": "http://www.angelfire.com/mh/richinello/"} +{"d:Title": "My Generation", "d:Description": "UK 60s music site with The Searchers, The Troggs, The Swinging Blue Jeans, The Merseybeats and a sixties mailing list.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion", "url": "http://www.my-generation.org.uk/"} +{"d:Title": "Making Time", "d:Description": "Provides an evolving resource about British groups of the 1960s. Extensive list of bands with links to related sites, CD and book reviews, news and a quiz.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion", "url": "http://www.makingtime.co.uk/"} +{"d:Title": "Procol Harum", "d:Description": "Huge site containing more than 1600 pages and 1500 illustrations, with daily updates. Includes band history, interviews, reviews, newsletter, chat room, discography, set lists, and lyrics.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion/Bands_and_Artists", "url": "http://www.procolharum.com/"} +{"d:Title": "Noone, Peter", "d:Description": "Official site of the famous singer for Herman's Hermits. Includes tour information, forum and news.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion/Bands_and_Artists", "url": "http://www.peternoone.com/"} +{"d:Title": "Fans of Freddie and the Dreamers", "d:Description": "A fan site with photos, discography, trivia and biography.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion/Bands_and_Artists", "url": "http://www.angelfire.com/music4/freddie/"} +{"d:Title": "Davis, Spencer", "d:Description": "Home page of the founding member of The Spencer Davis Group offers photos, discography, biography and current news.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion/Bands_and_Artists", "url": "http://www.spencer-davis-group.com/"} +{"d:Title": "Nashville Teens, The", "d:Description": "British Invasion sixties band. Discography, history, photo album, audio clips, gig list, and guestbook.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion/Bands_and_Artists", "url": "http://www.nashville-teens.com/"} +{"d:Title": "The Searchers", "d:Description": "Official website of this famous rock group includes a biography, concert dates, discography, song lyrics, audio clips and exclusive articles.", "topic": "Top/Arts/Music/Styles/P/Pop/British_Invasion/Bands_and_Artists", "url": "http://www.the-searchers.co.uk/"} +{"d:Title": "Forever", "d:Description": "Teen girl duo. Includes news, profile, discography, audio clips, photos, lyrics, guestbook, and mailing list.", "topic": "Top/Arts/Music/Styles/P/Pop/Girl_Groups/Bands_and_Artists", "url": "http://www.angelfire.com/pop/foreversofficialsite/"} +{"d:Title": "Rock-and-Roll Page: The Crystals", "d:Description": "Biography of the group.", "topic": "Top/Arts/Music/Styles/P/Pop/Girl_Groups/Bands_and_Artists/Crystals,_The", "url": "http://www.tsimon.com/crystals.htm"} +{"d:Title": "History of Rock: The Crystals", "d:Description": "Biography with photos.", "topic": "Top/Arts/Music/Styles/P/Pop/Girl_Groups/Bands_and_Artists/Crystals,_The", "url": "http://www.history-of-rock.com/crystals.htm"} +{"d:Title": "All Music Guide: The Crystals", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/P/Pop/Girl_Groups/Bands_and_Artists/Crystals,_The", "url": "http://www.allmusic.com/artist/the-crystals-mn0000138332"} +{"d:Title": "All Music Guide: The Ronettes", "d:Description": "Contains biography, discography and related information.", "topic": "Top/Arts/Music/Styles/P/Pop/Girl_Groups/Bands_and_Artists/Ronettes,_The", "url": "http://www.allmusic.com/artist/the-ronettes-mn0000491220"} +{"d:Title": "Designer Magazine", "d:Description": "A UK based e-zine featuring the latest news, reviews and interviews with artists in the world of indie, pop, dance and hip hop music.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://designermagazine.tripod.com/"} +{"d:Title": "Insiders", "d:Description": "Perspective, rants and satire from the UK covering contemporary pop and alternative music. Updated twice weekly.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://www.insiders.co.uk/"} +{"d:Title": "Pop Pages", "d:Description": "Photographic e-zine of Vancouver, Canada's indie pop music scene.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://thepoppages.tripod.com/"} +{"d:Title": "Pop On Top", "d:Description": "E-zine focusing on power pop, high energy rock 'n' roll, and garage rock, with a special emphasis on Australian artists.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://pop_on_top.tripod.com/"} +{"d:Title": "Pop Zine Online", "d:Description": "Offering information, interviews, breaking news, rumors, downloads, and concert/CD reviews.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://www.popzineonline.com/"} +{"d:Title": "Freaky Trigger", "d:Description": "Pop music fanzine featuring reviews, commentary and opinionated nonsense.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://www.freakytrigger.co.uk/"} +{"d:Title": "Pop-Music.com", "d:Description": "Pop music news and information, charts, special artist features and interactive music chat.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://www.pop-music.com/"} +{"d:Title": "Topix: Pop Rock", "d:Description": "News about pop, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/P/Pop/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/pop-rock.xml"} +{"d:Title": "The Chords", "d:Description": "Official site contains news, info and photos.", "topic": "Top/Arts/Music/Styles/P/Pop/Mod", "url": "http://www.thechords.co.uk/"} +{"d:Title": "The Name", "d:Description": "British Mod band new site with sound clips and full history from 1979-81. Toured with Purple Hearts and The Chords.", "topic": "Top/Arts/Music/Styles/P/Pop/Mod", "url": "http://members.tripod.com/historyofthename/"} +{"d:Title": "Motown N' Soul", "d:Description": "About the legends of soul, rhythm and blues and doo wop music from the 50s, 60s and 70s. Includes biographies and photos.", "topic": "Top/Arts/Music/Styles/P/Pop/Motown", "url": "http://www.angelfire.com/biz6/motownNsoul4Ever/"} +{"d:Title": "Rob's Motown MIDIs", "d:Description": "MIDI files and photographs of the great Motown artists including Marvin Gaye, the Temptations, the Supremes, Martha and the Vandellas, Smokey Robinson and the Miracles, the Four Tops and others.", "topic": "Top/Arts/Music/Styles/P/Pop/Motown", "url": "http://members.tripod.com/~Trebor_4/"} +{"d:Title": "Motown and Detroit's Cultural Politics", "d:Description": "Review of a study by Suzanne E. Smith", "topic": "Top/Arts/Music/Styles/P/Pop/Motown", "url": "http://www.cosmopolis.ch/english/cosmo7/motown.htm"} +{"d:Title": "The Motown Historical Museum", "d:Description": "A photo essay of the famous Motor City record company.", "topic": "Top/Arts/Music/Styles/P/Pop/Motown", "url": "http://www.recordingeq.com/motown/motown.htm"} +{"d:Title": "A Tribute to Motown", "d:Description": "Fan site for all Motown artists includes a message board, guestbook, photo gallery, biographies, discographies, and lyrics.", "topic": "Top/Arts/Music/Styles/P/Pop/Motown", "url": "http://tracy_prinze.tripod.com/atributetomotown/"} +{"d:Title": "Glorious Noise: That Burning, Yearning Feeling", "d:Description": "Essay by Kenan Hebert about the original Motown singers and their backup band in relation to the recent documentary, Standing in the Shadows.", "topic": "Top/Arts/Music/Styles/P/Pop/Motown", "url": "http://www.gloriousnoise.com/arch/000708.php"} +{"d:Title": "Motown Webring", "d:Description": "Links to Motown sites.", "topic": "Top/Arts/Music/Styles/P/Pop/Motown", "url": "http://www.webring.org/hub?ring=motown"} +{"d:Title": "The History Of Synthpop", "d:Description": "Complete with artist information and synthesizer model information.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop", "url": "http://www.synt.nu/history/"} +{"d:Title": "Electric Dreams", "d:Description": "A London nightclub for eighties new wave and synthpop.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop", "url": "http://www.angelfire.com/ok/ELECTRICDREAMS/"} +{"d:Title": "DJ Virto's Synthpop of the Week", "d:Description": "Contains links and information regarding sites and resources.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop", "url": "http://dj_virto.tripod.com/synthpop/links.html"} +{"d:Title": "Intelligent Communications", "d:Description": "Record label containing news and online ordering. Some artists include All for One and Distant Dark Spaces.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop", "url": "http://www.intelligent-communications.de/"} +{"d:Title": "Synthpop Now", "d:Description": "Contains history, MOD files, links and events.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop", "url": "http://www.synt.nu/"} +{"d:Title": "Energy Rekords/October", "d:Description": "Swedish record label. Some artists include Das Ich, Front 242, Front Line Assembly and Iris.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop", "url": "http://www.octoprod.se/"} +{"d:Title": "Hand Held Halo Records", "d:Description": "Record label based in England. Contains news, free MP3 files and online store. Artists include Gebrauche-Musik, Intervox, Seven Words and Phear.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop", "url": "http://www.handheldhalo.com/"} +{"d:Title": "Anything Box", "d:Description": "Group based in Los Angeles, CA. Includes free MP3 files, online ordering and current events.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.anythingbox.com/"} +{"d:Title": "Cosmicity", "d:Description": "Artist from Detroit, MI. Includes a complete list of releases, photos, links to audio samples and latest news.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.cosmicity.com/"} +{"d:Title": "De/Vision", "d:Description": "Based in Frankfurt, Germany. Includes news, products and discography.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.devision.de/"} +{"d:Title": "Synth Pop Och Cyber Kultur", "d:Description": "SPOCK is based in Sweden. Includes online ordering of CDs, biography, discography and lyrics.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.subspace.se/spock/"} +{"d:Title": "A Covenant of Thorns", "d:Description": "Includes biography, discography, official news, free MP3 files and photos.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.acovenantofthorns.com/"} +{"d:Title": "Dead Poets Society", "d:Description": "Official site features news, biographical information, pictures, streaming music, MP3 downloads, and online album purchasing.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.thedeadpoets.org/"} +{"d:Title": "Iris", "d:Description": "Group based in Texas, includes news, online ordering of CDs, biography and discography.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.irismusic.com/"} +{"d:Title": "NukleoN", "d:Description": "Includes information, MP3s and online ordering of CDs.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.nukleon.com/"} +{"d:Title": "Null Device", "d:Description": "Madison, WI, based synthpop duo on Nilaihah Records.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.nulldevice.com/"} +{"d:Title": "The Tic Tok Men", "d:Description": "Synthpop/darkwave trio from Portland, OR, covering musical space from Kraftwerk to industrial music to ambient.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.tictokmen.com/"} +{"d:Title": "I, Synthesist", "d:Description": "I, Synthesist is the electro music of Chris Ianuzzi, collaborating with multimedia/video artist Anna Krych as well as various other performers to create surrealistic, futuristic musical environments that take concerts beyond the average.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.isynthesist.net/"} +{"d:Title": "Paris By Air", "d:Description": "Contains MP3s, online ordering of CDs and latest news.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists", "url": "http://www.soundclick.com/bands/default.cfm?bandID=104243"} +{"d:Title": "Echo Central", "d:Description": "Official site of The Echoing Green includes news, biography, discography and online ordering of merchandise.", "topic": "Top/Arts/Music/Styles/P/Pop/Synthpop/Bands_and_Artists/Echoing_Green,_The", "url": "http://www.echocentral.com/"} +{"d:Title": "Rain, Bryanna", "d:Description": "Features audio, video, interviews and news on the teen pop artist.", "topic": "Top/Arts/Music/Styles/P/Pop/Teen/Bands_and_Artists", "url": "http://www.bryannarain.net/"} +{"d:Title": "Twee Kitten", "d:Description": "Insanely cute pop label and zine. Scott Brookman, Pedestal, Jumprope and others. Based in Walnut Creek, CA, US.", "topic": "Top/Arts/Music/Styles/P/Pop/Twee", "url": "http://www.tweekitten.com/"} +{"d:Title": "Orchidelirium", "d:Description": "Images, track list, contact information, and links.", "topic": "Top/Arts/Music/Styles/P/Pop/Twee", "url": "http://www.angelfire.com/indie/orchidelirium/"} +{"d:Title": "International Association for the Study of Popular Music", "d:Description": "A non-commercial group, IASPM coordinates research and interest in popular music with chapters in countries around the world.", "topic": "Top/Arts/Music/Styles/P/Popular", "url": "http://www.iaspm.net/"} +{"d:Title": "Wikipedia: Popular music", "d:Description": "Definition with discussion of disagreement over relative value of popular and art music. List of reference articles.", "topic": "Top/Arts/Music/Styles/P/Popular", "url": "http://en.wikipedia.org/wiki/Popular_music"} +{"d:Title": "Tenores de Bitti Mialinu Pira", "d:Description": "Sardinian group of Canto a Tenore. Presents historical information and description of the songs, examples audio, the village of Bitti, history, culture, nature and ancient traditions.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.tenoresdibitti.com/"} +{"d:Title": "AfroJazz", "d:Description": "Promoting talent and originality in music from around the world, including jazz, world, AfroCuban, percussion, alternative, contemporary classical, latin rap, and funk. With artist pages and RealAudio song clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.afrojazz.com/"} +{"d:Title": "Rhythmweb", "d:Description": "Information and links about percussion and music around the world, browsable by cultures and instruments.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.rhythmweb.com/"} +{"d:Title": "Chanter - French Music Online", "d:Description": "A collection of links, offered in both English and French, regarding French-language music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.chanter.com/"} +{"d:Title": "WorldMusic.de", "d:Description": "Online resources for folk and world music in Europe.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.worldmusic.de/"} +{"d:Title": "Ethnobeat", "d:Description": "A free and public discussion list about world music and ethnic rhythms.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://groups.yahoo.com/group/ethnobeat/"} +{"d:Title": "World Music Central", "d:Description": "Provides news content about world music, festivals, artist biographies, music books and international arts and crafts.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.worldmusiccentral.org/"} +{"d:Title": "Salute To Vienna", "d:Description": "Presents a New Year's Day concert series in major Canadian and the United States cities, featuring the music of Viennese waltzes and operettas.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.salutetovienna.com/"} +{"d:Title": "Charlie Gillett - The Sound of the World", "d:Description": "World music DJ, currently on the BBC World Service; includes a biography, playlists, a forum, and links to his programme archives.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://www.charliegillett.com/"} +{"d:Title": "About.com: World Music", "d:Description": "Music reviews, interviews with bands and artists, profiles of music companies and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic", "url": "http://worldmusic.about.com/"} +{"d:Title": "Afro-Caribbean Music Guide", "d:Description": "African musicians by style, label, country, instrument, and year. Biographies, audio samples, lyrics, articles, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.afromix.org/"} +{"d:Title": "African Music Encyclopedia", "d:Description": "African music styles and musicians worldwide, listing of music stores, bookstore, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.africanmusic.org/"} +{"d:Title": "Afropop Worldwide", "d:Description": "Database of articles on African music and musicians searchable by artist, style, and country. Includes radio shows, reviews and interviews, and a glossary.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.afropop.org/"} +{"d:Title": "Highlife Piccadilly", "d:Description": "African music released on 45 rpm records in the United Kingdom between 1954 and 1981.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.mustrad.org.uk/articles/african.htm"} +{"d:Title": "Afribeat", "d:Description": "South African based music and arts site. Reviews, artist profiles, and film and music festivals.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.afribeat.com/"} +{"d:Title": "African Hip Hop", "d:Description": "Platform for information and discussion on hip hop from the African continent. News, reviews, downloads and music store.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.africanhiphop.com/"} +{"d:Title": "Roots World: African Music", "d:Description": "Includes artist profiles, articles, reviews, and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.rootsworld.com/rw/africa.html"} +{"d:Title": "Gateway of Africa", "d:Description": "Musicians listed by country and style. Includes biographies, discographies, worldwide concert listings, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.gatewayofafrica.com/"} +{"d:Title": "Musique d'Afrique.", "d:Description": "African pop music selections available in streaming audio and through download in Real Audio.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://people.zeelandnet.nl/rufus/"} +{"d:Title": "Swahili Music Portal", "d:Description": "MP3s of historical recordings fusing traditional and pop and jazz influences.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://wenyewe.com/"} +{"d:Title": "South of the Sahara: African Music", "d:Description": "Stanford University's annotated guide to African music sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www-sul.stanford.edu/depts/ssrg/africa/music.html"} +{"d:Title": "Yahoo Groups: African Music", "d:Description": "Mailing list established in 1995, open to all. [Requires free membership]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://groups.yahoo.com/group/african_music/"} +{"d:Title": "African Music Hub", "d:Description": "The hub of the African Music Discussion Group and the African Music Webring with information on how to join the group.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.africanmusichub.com/"} +{"d:Title": "AllAfrica.com: Music Top News", "d:Description": "Newsfeed source for news and reports on music in Africa. [English/French]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://allafrica.com/music/"} +{"d:Title": "Dandemutande", "d:Description": "Worldwide resource for Zimbabwean music includes e-zine, calendar of concerts and festivals, and database of performers, teachers, instrument makers, vendors, and websites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://dandemutande.org/"} +{"d:Title": "African Music WebRing", "d:Description": "Ring of sites featuring information about the music of the African Diaspora.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African", "url": "http://www.webring.org/hub?ring=africanmusic"} +{"d:Title": "Voices Of Africa", "d:Description": "African American women's ensemble that performs a cappella harmonies with traditional West African percussion instruments. Includes profiles, performance schedule, instrument information and lessons, and educational programs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists", "url": "http://www.voicesofafrica.net/"} +{"d:Title": "Samite", "d:Description": "New York based Ugandan musician and songwriter. Includes profile, photographs, reviews, tour dates, detailed discography with audio samples, links, and information about the PBS documentary Song of the Refugee.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists", "url": "http://www.samite.com/"} +{"d:Title": "AMP: Issa Bagayogo", "d:Description": "Profile and photograph.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Bagayogo,_Issa", "url": "http://www.africanmusiciansprofiles.com/issa.htm"} +{"d:Title": "Earshot: Timbuktu", "d:Description": "Album review by Shannon Doyle.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Bagayogo,_Issa", "url": "http://www.earshot-online.com/reviews/DisplayReview.cfm?DiscID=664"} +{"d:Title": "AMG: Kanda Bongo Man", "d:Description": "Includes biography, related artists, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Kanda_Bongo_Man", "url": "http://www.allmusic.com/artist/kanda-bongo-man-p57940"} +{"d:Title": "Ladysmith Black Mambazo", "d:Description": "Official site of the all-male South African a cappella singing group. Includes biography, tour dates, reviews, discography, audio samples, and a Zulu glossary.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Ladysmith_Black_Mambazo", "url": "http://www.mambazo.com/"} +{"d:Title": "6moons.com: Coco Mbassi \"Sepia\"", "d:Description": "Review of the debut album.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Mbassi,_Coco", "url": "http://www.6moons.com/worldmusic/sepia.html"} +{"d:Title": "Tropical Music: Coco Mbassi", "d:Description": "Record label's profile of the vocalist with photographs and audio sample.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Mbassi,_Coco", "url": "http://www.tropical-music.com/autor_detail/mbassi_e.html"} +{"d:Title": "African Music Encyclopedia: Youssou n'Dour", "d:Description": "Profile and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/N'Dour,_Youssou", "url": "http://www.africanmusic.org/artists/youssou.html"} +{"d:Title": "RootsWorld: The Youssou N'Dour Interview 2000", "d:Description": "Discussion of The Joko Project and The Great African Ball in New York. Includes photographs and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/N'Dour,_Youssou", "url": "http://www.rootsworld.com/interview/ndour.html"} +{"d:Title": "Real World Records: Papa Wemba", "d:Description": "Focusing on the CD Molokai. Includes photographs, profile, audio samples, and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Wemba,_Papa", "url": "http://realworldrecords.com/artists/papa-wemba"} +{"d:Title": "AMG: Papa Wemba", "d:Description": "Includes biography, related artists, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Wemba,_Papa", "url": "http://www.allmusic.com/artist/papa-wemba-p3475"} +{"d:Title": "6moons.com:: Zawose&Brook \"Assembly\"", "d:Description": "Review of the CD.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Bands_and_Artists/Zawose,_Hukwe", "url": "http://www.6moons.com/worldmusic/assembly.html"} +{"d:Title": "Chief Udoh Essiet", "d:Description": "Official site with biography, photographs, and links to MP3s from his current album. [English/French]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Highlife/Essiet,_Chief_Udoh", "url": "http://sherry.free.fr/"} +{"d:Title": "Ghanaweb.com: E.T. Mensah", "d:Description": "Biography of the musician by John Collins.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Highlife/Mensah,_E.T.", "url": "http://www.ghanaweb.com/GhanaHomePage/people/pop-up.php?ID=144"} +{"d:Title": "AMG: E.T. Mensah", "d:Description": "Includes biography, recent discography, and related artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Highlife/Mensah,_E.T.", "url": "http://www.allmusic.com/artist/et-mensah-p3357"} +{"d:Title": "African Music Encyclopedia: King Sunny Ade", "d:Description": "Profile and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Ade,_King_Sunny", "url": "http://africanmusic.org/artists/sunnyade.html"} +{"d:Title": "Metroactive Music: King Sunny made African Rhythms Popular in the States", "d:Description": "Article by Nicky Baxter prior to a concert in California.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Ade,_King_Sunny", "url": "http://www.metroactive.com/papers/metro/08.01.96/king-sunny-9631.html"} +{"d:Title": "Perfect Sound Forever: King Sunny Ade interview", "d:Description": "Interview by Jason Gross prior to a show in New York City.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Ade,_King_Sunny", "url": "http://www.furious.com/perfect/kingsunnyade.html"} +{"d:Title": "World Music Portal: King Sunny Ade", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Ade,_King_Sunny", "url": "http://worldmusiccentral.org/artists/artist_page.php?id=1073"} +{"d:Title": "AMG: King Sunny Ade", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Ade,_King_Sunny", "url": "http://www.allmusic.com/artist/king-sunny-ade-p3186"} +{"d:Title": "African Music: King Sunny Ade", "d:Description": "Discography, including singles and EPs, from 1967 to the present.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Ade,_King_Sunny", "url": "http://endolab.jp/endo/EAAde.html"} +{"d:Title": "Music Traditions: I K Dairo, MBE", "d:Description": "Article by Benson Corporo Okagbare. Includes photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Dairo,_Isaiah_Kehinde", "url": "http://www.mustrad.org.uk/articles/ikdairo.htm"} +{"d:Title": "RootsWorld: I.K. Dairo: A Remembrance", "d:Description": "Tribute to the first international star of African music by Andrew C. Frankel, includes photograph, audio sample, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Dairo,_Isaiah_Kehinde", "url": "http://www.rootsworld.com/rw/feature/dairo.html"} +{"d:Title": "World Music Portal: I. K. Dairo", "d:Description": "Biography of the influential musician.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Dairo,_Isaiah_Kehinde", "url": "http://worldmusiccentral.org/artists/artist_page.php?id=1069"} +{"d:Title": "AMG: I.K. Dairo", "d:Description": "Profile, discography, and related artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Juju/Dairo,_Isaiah_Kehinde", "url": "http://www.allmusic.com/artist/ik-dairo-p3253"} +{"d:Title": "Kunzwana Trust", "d:Description": "Zimbabwe based non-profit organisation which fosters the practice and study of the country's indigenous music through festivals, international tours, and music safaris for visitors.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Organizations", "url": "http://www.servus.at/argezim/kunzwana.htm"} +{"d:Title": "Mbira", "d:Description": "California-based non-profit organization whose purpose is to educate the public regarding traditional Shona music of Zimbabwe and to develop a library of recordings to preserve that music. Includes information about the music, instruments, and musicians, workshops and lessons, events calendar, recordings, and tape and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Organizations", "url": "http://www.mbira.org/"} +{"d:Title": "Afro-Dicia", "d:Description": "Saturday afternoon radio show. Includes playlists, interviews, video clips, festivals, and concert listings for southern California.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Radio", "url": "http://www.afrodicia.com/"} +{"d:Title": "African Radio on the Internet", "d:Description": "An annotated guide to African radio programs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Radio", "url": "http://www-sul.stanford.edu/depts/ssrg/africa/radio.html"} +{"d:Title": "Radio Africa", "d:Description": "Australian radio station not currently broadcasting. Includes reviews of rare recordings, discographies, archived playlists, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Radio", "url": "http://www.radioafrica.com.au/"} +{"d:Title": "Soukous Radio", "d:Description": "Radio station playing African and Caribbean music online.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Soukous", "url": "http://www.soukous.org/"} +{"d:Title": "African Music Encyclopedia: Diblo Dibala", "d:Description": "Profile and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Soukous/Dibala,_Diblo", "url": "http://africanmusic.org/artists/diblo.html"} +{"d:Title": "AMG: Diblo Dibala", "d:Description": "Biography, related artists, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/African/Soukous/Dibala,_Diblo", "url": "http://www.allmusic.com/artist/diblo-dibala-p3262"} +{"d:Title": "BBC Worldservice: Afrobeat", "d:Description": "Interviews and text about the movement and the music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Afrobeat", "url": "http://www.bbc.co.uk/worldservice/learningenglish/music/musicdirectory/afrobeat.shtml"} +{"d:Title": "Slipcue.Com Guide To Afrobeat Music", "d:Description": "Annotated discography of major Afrobeat albums and compilation records, with reviews by Joe Sixpack.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Afrobeat", "url": "http://www.slipcue.com/music/international/africa/aa_styles/afrobeat_01.html"} +{"d:Title": "Cajun and Zydeco in Nederland", "d:Description": "E-zine with concert dates, CD and event reviews, MP3s, English and French lyrics, articles, and artist profiles. [English/Dutch]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.downtowncajunband.nl/sitewillem/"} +{"d:Title": "Cajun Radio and Zydeco Radio Guide", "d:Description": "A guide to Cajun and Zydeco radio programs in the United States. Also featuring a link guide to Cajun and Zydeco culture, genealogy, festivals, regional dance newsletters, bands, dance instructors and music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.cajunradio.org/"} +{"d:Title": "Cajun Zydeco Web Resources", "d:Description": "San Francisco Bay Area Cajun and zydeco music and dance information, including CD, book and event reviews, special events, recommended related sites, and a Northern California schedule of events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.sfbayou.com/"} +{"d:Title": "The Cajun-Zydeco Connection of Huntsville", "d:Description": "Promoting live Cajun and Zydeco music, dances, dance instruction and the culture of Southwest Louisiana in the North Alabama area. Includes event listings, photographs, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.czdance.com/"} +{"d:Title": "Louisiana Sue", "d:Description": "Newsletter with schedules for Cajun and Zydeco band tours, dance camps, and festivals in California and Nevada. Includes West Coast Cajun/Zydeco Music and Dance Association membership information, a history of the styles in California, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco", "url": "http://www.louisianasue.com/"} +{"d:Title": "Cajun Roosters", "d:Description": "Cajun and Zydeco band in Germany; profile of the band, discography, gig schedule, and information about an annual festival. [English and German]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.cajunweb.de/"} +{"d:Title": "LeeRon Zydeco Davis", "d:Description": "Creating music that is a mix of zydeco, Cajun, Caribbean, blues, Tex-Mex and Mardi Gras styles. With show dates, sound samples, and genre information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.leeron.com/"} +{"d:Title": "Porterhouse Bob and Down to the Bone", "d:Description": "Atlanta boogie and New Orleans style keyboard music from Porterhouse Bob and his band. With background, booking information, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.porterhousebob.com/"} +{"d:Title": "Porchdogs, The", "d:Description": "Official site for the Florida-based band. With background, pictures, song clips and downloads, release information, and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.theporchdogs.com/"} +{"d:Title": "Marc&The Boiled Crawfish", "d:Description": "Swiss band. Includes performance dates, audio samples, and information about Cajun and Creole culture and music. [English/German]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.cajun.ch/"} +{"d:Title": "Toups, Wayne", "d:Description": "Playing zydecajun, a combination of Cajun and Zydeco with rock. Includes news, tour dates, biography, discography, photo gallery, message board, and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.waynetoups.com/"} +{"d:Title": "Zydeco-Playboys", "d:Description": "A Zydeco, Cajun, rhythm and blues, TexMex, Latin, accordion band from Germany. With background, member profiles, releases, and show dates. In German and English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.zydeco-playboys.com/"} +{"d:Title": "Bones, Mickey", "d:Description": "Cajun and Zydeco music by a New England area band. Includes biography, reviews, photos and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Bands_and_Artists", "url": "http://www.mickeybones.com/"} +{"d:Title": "Cajun French Music Association", "d:Description": "Dedicated to the promotion and preservation of Cajun music and culture, Hall of Fame located in Eunice, Louisiana. Includes music awards, artist profiles, Cajun heritage, list of radio programs in Louisiana and Texas, message board, and membership information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun", "url": "http://www.cajunfrenchmusic.org/"} +{"d:Title": "Louisiana's Living Traditions: Cajun Music as Oral Poetry", "d:Description": "Article by Carolyn Ware on the metaphors in Cajun lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun", "url": "http://www.louisianafolklife.org/LT/Articles_Essays/creole_art_oral_poetry_caj.html"} +{"d:Title": "Louisiana's Living Traditions: Cajun Music: Alive and Well in Louisiana", "d:Description": "The history of the style, by Ann Savoy.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun", "url": "http://www.louisianafolklife.org/LT/Articles_Essays/creole_art_cajunmusic_aliv.html"} +{"d:Title": "Cochon Bleu", "d:Description": "Traditional band based in Holland. Includes biography, discography, and photographs. [English/Dutch]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists", "url": "http://www.cochonbleu.nl/"} +{"d:Title": "Swamperella", "d:Description": "Toronto, Canada based traditional Cajun dance band. Includes profiles, photographs, CD ordering, audio samples, review, links, and artwork by Soozi Schlanger.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists", "url": "http://www.swamperella.com/"} +{"d:Title": "Bruce Daigrepont Cajun Band", "d:Description": "Playing new traditional Cajun. Includes biography, photo gallery, performance schedule, and annotated discography with lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists", "url": "http://brucedaigrepont.homestead.com/"} +{"d:Title": "Rambles: Live at Whiskey River Landing", "d:Description": "Review by Donna Scanlon of the album released in 2000.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists/Balfa_Toujours", "url": "http://www.rambles.net/balfa_whiskey.html"} +{"d:Title": "Louisiana Folklife Center: Christine and Nelda Balfa", "d:Description": "Profile and photograph.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists/Balfa_Toujours", "url": "http://louisianafolklife.nsula.edu/artist-biographies/profiles/16"} +{"d:Title": "All Music Guide: Harry Choates", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists/Choates,_Harry", "url": "http://www.allmusic.com/artist/harry-choates-p721"} +{"d:Title": "Handbook of Texas: Harry H. Choates", "d:Description": "Biography, with bibliography, of the Louisiana-born Cajun musician who grew up in Port Arthur, Texas.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists/Choates,_Harry", "url": "http://www.tshaonline.org/handbook/online/articles/fch67"} +{"d:Title": "CNN: Hackberry Ramblers: Making Music Since 1933", "d:Description": "Article with photographs and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Cajun/Bands_and_Artists/Hackberry_Ramblers", "url": "http://www.cnn.com/SHOWBIZ/9711/11/hackberry.ramblers/"} +{"d:Title": "River Zydeco Band", "d:Description": "Netherlands based group. Includes concert schedule and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Zydeco/Bands_and_Artists", "url": "http://www.riverzydeco.nl/"} +{"d:Title": "Bonne Musique Zydeco Band", "d:Description": "Los Angeles based group that performs at festivals, dances, parties, weddings, and community affairs. Includes band history, performance schedule, audio samples, articles, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Zydeco/Bands_and_Artists", "url": "http://www.bonnemusiquezydeco.com/"} +{"d:Title": "CaptainSqueeze and the Zydeco Moshers", "d:Description": "Upstate New York band. Includes tour schedule, band profiles, discography with audio samples, and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Zydeco/Bands_and_Artists", "url": "http://www.captainsqueeze.com/"} +{"d:Title": "Simien, Terrance", "d:Description": "Zydeco fusion artist. News, biography, tour dates, reviews, photos, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Zydeco/Bands_and_Artists", "url": "http://www.terrancesimien.com/"} +{"d:Title": "SqueezeBox Boogaloo", "d:Description": "Sydney, Australia based band. Includes biography, CD information, performance schedule, photographs, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Zydeco/Bands_and_Artists", "url": "http://www.squeezeboxboogaloo.com/"} +{"d:Title": "Slippery Sneakers", "d:Description": "Rhode Island-based band, headed by Robert Graves Leonard. With show dates, background and member profiles, album details, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Zydeco/Bands_and_Artists", "url": "http://www.slipperysneakers.com/"} +{"d:Title": "J. Paul Jr Zydeco Nubreedz", "d:Description": "Texas-based band. With music, photographs, press, discography and show dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Cajun_and_Zydeco/Zydeco/Bands_and_Artists", "url": "http://www.nubreeds.com/"} +{"d:Title": "Belle Eau Road Blues", "d:Description": "Showcasing calypso and pan recordings from artists such as David Rudder, Frankie McIntosh and Garvin Blake.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Calypso", "url": "http://www.basementrecordings.com/asp/BAMN/bella.htm"} +{"d:Title": "Caribbean Depot", "d:Description": "Information about several Caribbean artists and bands based in the Los Angeles, California area.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Calypso", "url": "http://www.steeldrumband.net/"} +{"d:Title": "Virtual Trinidad Calypso Lyrics", "d:Description": "Introduction to calypso music of Trinidad. Thoughts on key albums and some lyrics from the Mighty Sparrow.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Calypso", "url": "http://www.coldbacon.com/music/calypso.html"} +{"d:Title": "JR'S Socalypsoreggaeroc", "d:Description": "Steel drum (pan) band, performing Caribbean style music including, calypso, soca, and reggae. Available for hire. Site provides music samples and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Calypso/Bands_and_Artists", "url": "http://www.jrsoca.com/"} +{"d:Title": "Dano's Island Sounds", "d:Description": "Steel Drums and Calypso Music in San Diego, CA. Includes brief history of Calypso, events, mp3 audio samples, photos, and Calypso music forum.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Calypso/Bands_and_Artists", "url": "http://www.danosislandsounds.com/"} +{"d:Title": "Island Ed and His Fiery Limbo Revue", "d:Description": "A Steel Drum combo in Ohio. Includes schedule, pictures, and mp3 audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Calypso/Bands_and_Artists", "url": "http://www.island-ed.com/"} +{"d:Title": "Rhythm and Steel", "d:Description": "Information about the band, their wedding and corporate entertainment activities, and workshops they run.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Calypso/Bands_and_Artists", "url": "http://www.rhythmandsteel.co.uk/"} +{"d:Title": "From Caroni Gyal To Calcutta Woman: A History Of East Indian Chutney Music In The Caribbean", "d:Description": "Essay by Rajendra Saywack.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Caribbean", "url": "http://www.guyanaundersiege.com/Cultural/Chutney%20Music%20.htm"} +{"d:Title": "Jr", "d:Description": "New Jersey based singer, song writer, steel drummer and performer, specializing in authentic Caribbean styles of music. Includes audio samples, CD ordering, photographs, and performance schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Caribbean/Bands_and_Artists", "url": "http://www.jrsoca.com/"} +{"d:Title": "Upstream", "d:Description": "California based band playing Soca, Reggae, Ska, and Junkaroo. Includes audio samples, CD ordering, performance listing, and mailing list.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Caribbean/Bands_and_Artists", "url": "http://www.upstreammusic.com/"} +{"d:Title": "Scotland's Music", "d:Description": "BBC site covering Celtic, traditional and folk music from Scotland and beyond. Includes gig listings, reviews and programme information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic", "url": "http://www.bbc.co.uk/scotland/music/"} +{"d:Title": "Traditional Celtic Music", "d:Description": "Resource for San Francisco and Monterey Bay area Celtic music. Includes information on the traditional Celtic music of Ireland, Scotland, Cape Breton and Brittany, with event calendar, local resources, CD reviews, suggested first purchases, and musician links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic", "url": "http://www.sfcelticmusic.com/"} +{"d:Title": "Ceolas Celtic Music Archive", "d:Description": "The home of Celtic music on the net, with reviews, biographies, guides, audio samples, hundreds of links to real-world and Internet resources, tunes, schedules, and instrument guides.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic", "url": "http://www.ceolas.org/ceolas.html"} +{"d:Title": "Celtic Music", "d:Description": "Wikipedia article explores the difficulty in defining a genre which includes the traditional musics of the Celtic peoples, and recorded popular music with only a superficial resemblance to folk styles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic", "url": "http://en.wikipedia.org/wiki/Celtic_music"} +{"d:Title": "Avalon Rising", "d:Description": "A San Francisco Bay based Celtic fusion band. With biographies, show schedule, news, reviews, discography, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/A", "url": "http://www.flowinglass.com/avalon/"} +{"d:Title": "Around the Hearth", "d:Description": "Celtic duo touring the western U.S. Includes performance schedule, pictures, soundclips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/A", "url": "http://aroundthehearth.com/"} +{"d:Title": "Altan", "d:Description": "Official site of this Irish supergroup. With profiles, pictures, performance dates, discography, lyrics, history, news, and press.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/A/Altan", "url": "http://www.altan.ie/"} +{"d:Title": "Altan", "d:Description": "A profile and discography of the band and its members (from Ceolas).", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/A/Altan", "url": "http://www.ceolas.org/artists/Altan.html"} +{"d:Title": "Altan", "d:Description": "Profile, history and discography for the band (from Wikipedia).", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/A/Altan", "url": "http://en.wikipedia.org/wiki/Altan"} +{"d:Title": "Anuna", "d:Description": "Official site for an Irish choir, singing songs from medieval to contemporary. Includes news, updates, information on appearances, sheet music, and pictures.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/A/Anuna", "url": "http://www.anuna.ie/"} +{"d:Title": "Yahoo! Groups: anuna", "d:Description": "An active discussion list for fans of the group An\u00fana.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/A/Anuna", "url": "http://groups.yahoo.com/group/anuna/"} +{"d:Title": "Barnes and Hampton", "d:Description": "Duo playing Celtic harp, lute, guitar, and Uillean pipes, in a wide variety of European traditions. Includes discography, biography and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/B", "url": "http://www.barnesandhampton.com/"} +{"d:Title": "Brobdingnagian Bards", "d:Description": "Celtic Renaissance group from Austin, Texas playing the autoharp, recorder and mandolin. Includes lyrics, MP3s, gig-dates, and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/B", "url": "http://thebards.net/"} +{"d:Title": "Bourrasque Celtique", "d:Description": "A group from Quebec specializing in making traditional Celtic music with modern influences. Including background, biographies, release information, song clip, and show dates. (in French and English)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/B", "url": "http://www.bourrasqueceltique.net/"} +{"d:Title": "Banshee in the Kitchen", "d:Description": "California-based trio playing mostly Irish music on fiddle, flutes, hammered dulcimer, whistle, accordion, bouzouki, and guitar. Includes biographies, CD details, and tour schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/B", "url": "http://www.bansheeinthekitchen.com/"} +{"d:Title": "Browne, Ronan", "d:Description": "Profile and discography of an uilleann piper.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/B", "url": "http://www.ronanbrowne.com/"} +{"d:Title": "Bays, Randal", "d:Description": "Irish-style fiddler. Contains performance schedule, CD sales, reviews, sound clips, photos and profile.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/B", "url": "http://www.randalbays.com/"} +{"d:Title": "Bushplant", "d:Description": "Irish folk rock band. Includes discography, profiles, news, reviews, gig and tour guide.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/B", "url": "http://www.bushplant.com/"} +{"d:Title": "Clarke, Jennifer", "d:Description": "Prairie Canadian Celtic singer. Includes biography, discography, lyrics, performance dates, photos, and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.wildmountainthyme.ca/"} +{"d:Title": "Curry, Nathan", "d:Description": "As a duo with Linda Miller, performs mainly Celtic and Canadian traditional music. Includes biographies, details of their recordings, and Nathan's luthiery.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://fol.ncf.ca/"} +{"d:Title": "Celtic Tenors, The", "d:Description": "Trio of Matthew Gilsenan, Niall Morris and James Nelson, performing traditional and contemporary Celtic songs. With release information, biographies, appearances, and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.celtic-tenors.com/"} +{"d:Title": "Celtic Orbis", "d:Description": "Celtic/ambient/traditional Irish music with Uillean pipes and whistles, acoustic and electric guitars, fiddle, keyboards, samples, and percussion. Includes details of recordings, member profiles, and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.celticorbis.co.uk/"} +{"d:Title": "Cahill, Patricia", "d:Description": "Official information, including biography, discography, pictures, MP3 samples and a contact e-mail.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.patriciacahill.com/"} +{"d:Title": "Cotters Bequest", "d:Description": "Australian pan-Celtic band who play contemporary Celtic music using pipes, whistles, samplers, and fiddles. They sing in Irish, Scottish, Manx, Welsh and Cornish languages. Includes biographies, details of recordings, and audio/video samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.locrian.com.au/country/cotter98.html"} +{"d:Title": "Celtic Horizon", "d:Description": "A duo made up of the lead vocalists of Beggars Row, playing Scottish/Irish and contemporary folk music. With background, and gig guide.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://celtichorizon.tripod.com/"} +{"d:Title": "Cawthorn, Scott", "d:Description": "American piper playing traditional Scots highland music. Profile, information about recordings, news and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://caber-records.com/"} +{"d:Title": "Caedmon`s Fayre", "d:Description": "Austrian-based band playing Irish music. Profile, biographies, tour dates, with details of recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.caedmonsfayre.com/"} +{"d:Title": "Conway, Francie", "d:Description": "An Irish-born singer, songwriter and record producer, presently living in Dublin. With biography, discography, pictures, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://indigo.ie/~conwayf/"} +{"d:Title": "Churchfitters, The", "d:Description": "Biography, schedule, photos and music for this folk group based in Brittany that performs both traditional and original songs. (French and English)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://churchfitters.com/"} +{"d:Title": "Cantiga", "d:Description": "Renaissance festival band playing Celtic, medieval and world music. Includes band news and profiles, tour itinerary, sound clips, weblog, recording details, and MP3 samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.cantigamusic.com/"} +{"d:Title": "Chulrua", "d:Description": "Band playing traditional Irish dance music. Profile, discography, tour dates, news, and information on their workshops. (US)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.chulrua.com/"} +{"d:Title": "Clepper, Randy", "d:Description": "Multi-instrumentalist player of Irish, Celtic and other forms of traditional music fingerstyle guitar, cittern/bouzouki, tenor banjo, and hammered dulcimer. Profile, information on collaborations, gig schedule, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/C", "url": "http://www.randyclepper.com/"} +{"d:Title": "Dempsey, Carmel", "d:Description": "A Galway musician and singer bringing her sense of humor to entertaining at events. With background, profile, availability, release information, and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/D", "url": "http://www.carmeldempsey.com/"} +{"d:Title": "Doyle, John", "d:Description": "Founding and former member of the band Solas; arranger of traditional songs and tunes, composer, singer, and finger-style guitarist. Includes tour dates, biography and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/D", "url": "http://www.johndoylemusic.com/"} +{"d:Title": "Druid Band", "d:Description": "Auckland-based five piece band playing traditional and modern Celtic music. Contains biographies, gig guide and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/D", "url": "http://druidband.com/"} +{"d:Title": "Donohue, Gabriel", "d:Description": "Singer/songwriter performs on guitar and piano. Includes schedule information, and some of his lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/D", "url": "http://gabrieldonohue.tripod.com/"} +{"d:Title": "Duignan, Eoin", "d:Description": "Low whistles and Uileann pipes performer and composer. Includes profile, performance schedule, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/D", "url": "http://www.duigo.com/"} +{"d:Title": "Eitre", "d:Description": "Irish traditional music from a Swedish five piece band; includes profiles, details of recordings, gig and booking information, and sound samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/E", "url": "http://www.eitre.com/"} +{"d:Title": "Emerson, Frank", "d:Description": "A Dublin-born singer/songwriter/entertainer specializing in Irish, Scottish, Canadian, US and UK folk music. With news, background, schedule, recordings, sound clips, reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/E", "url": "http://www.frankemerson.com/"} +{"d:Title": "Ensemble Galilei", "d:Description": "Official site for an ensemble of five women performing Irish and Scots traditional, and early music. Includes biographies, discography, tour dates and contact details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/E", "url": "http://www.egmusic.com/"} +{"d:Title": "Faith, Arlene", "d:Description": "Composer and performer of New Celtic music, based on traditionsal sounds and forms. Includes biography, discography, sound files, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/F", "url": "http://www.woodenstonemusic.com/"} +{"d:Title": "Fulup Celtic Swing", "d:Description": "Breton band melding Breton, Scots and Irish traditions. Includes profiles of band and founder member, Job Fulup; also pictures, and details of records.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/F", "url": "http://jobfulup.tripod.com/"} +{"d:Title": "Finvarras Wren", "d:Description": "Michigan-based Celtic band featuring dulcimer, Irish pipes, fiddle, and step dancers. Biographies, gig-guide, details of their recordings and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/F", "url": "http://www.finvarraswren.com/"} +{"d:Title": "Fromseier Rose", "d:Description": "Violin and piano duo performing Celtic music with improvisation and influences from classical to Cuban. Includes biographies, news, tour dates and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/F", "url": "http://www.fromseierrose.com/"} +{"d:Title": "Gilmartin, Joann", "d:Description": "Scottish singer. Includes album info with audio samples, a photo gallery and biographical notes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/G", "url": "http://www.glasgowgirl.com/"} +{"d:Title": "Grant-Adams, Colin", "d:Description": "Scottish singer/songwriter. Includes tour dates, profile, and details of his recordings, with mp3 samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/G", "url": "http://www.colingrant-adams.com/"} +{"d:Title": "Glaub, Julee", "d:Description": "Vocalist and musician. Includes audio samples, tour dates and booking information for this singer and musician.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/G", "url": "http://www.juleeglaub.com/"} +{"d:Title": "Grant, Barbary", "d:Description": "Singer and harp player. Includes a biography, gallery, and calendar, with lyrics and audio from 3 albums.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/G", "url": "http://www.barbarygrant.com/"} +{"d:Title": "Green Man", "d:Description": "Includes a preview of the Lovedeathbeauty album with video of the recording.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/G", "url": "http://www.greenmanmusic.com/"} +{"d:Title": "Golden Bough", "d:Description": "Celtic three piece. Includes mp3 samples from their albums, tour news, photographs and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/G", "url": "http://www.goldenboughmusic.com/"} +{"d:Title": "Guede Waide", "d:Description": "Band from Picardy, France. Profiles of the musicians, recordings, and audio samples. (French and English)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/G", "url": "http://guede_waide.voila.net/indexAnglais.html"} +{"d:Title": "Heidi, Stef and Bow Triplets", "d:Description": "A Swiss band playing Celtic and related music. With merchandise, reviews, biographies, sound clips and links to band mp3.com page for full length song downloads.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/H", "url": "http://www.bowtriplets.com/"} +{"d:Title": "Homespun Ceilidh Band", "d:Description": "Washington, D.C. based Celtic band, playing Scottish, Irish, Manx, Welsh, and Cape Breton styles. With events schedule, contact information, and biographies.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/H", "url": "http://www.homespunceilidh.com/"} +{"d:Title": "Herbertson, Craig", "d:Description": "Scottish singer/songwriter, author, book illustrator, actor, and lead singer and guitarist in the band, Scapa Flow. Discography, gig-list, biographical notes, and information on the writing and acting parts of his varied career.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/H", "url": "http://www.craigherbertson.com/"} +{"d:Title": "Idlewild", "d:Description": "Utah-based band playing traditional music from the Celtic nations. Profiles of band members, schedule, and booking details. Also offer information on music therapy.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/I", "url": "http://idlewildrecordings.com/"} +{"d:Title": "Keane, James", "d:Description": "Home of Dublin-born Irish button accordion master, now living in New York. With biography, session schedule, discography and pictures.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/K", "url": "http://www.jameskeane.com/"} +{"d:Title": "King Laoghaire", "d:Description": "Six person band from Sweden, playing Irish/Celtic traditional music and ballads. With background, influences, song samples, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/K", "url": "http://www.kinglaoghaire.com/"} +{"d:Title": "Kearns, Anthony", "d:Description": "Fan site for this member of the Irish Tenors. Includes biography, schedule, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/K", "url": "http://homepage.eircom.net/~skelton/Kearns/"} +{"d:Title": "Kelly, James", "d:Description": "Irish traditional fiddler; includes a profile, gig and tour dates, and information on recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/K", "url": "http://www.jameskellymusic.com/"} +{"d:Title": "Kearns, Anthony", "d:Description": "One of the 'Irish Tenors'; includes biographies, reviews, photos and lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/K", "url": "http://www.anthonykearns.com/"} +{"d:Title": "Korb, Ron", "d:Description": "Flutist and composer's music is a fusion of jazz and world music. Discography, reviews, film and album credits, instrument collection, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/K", "url": "http://www.ronkorb.com/"} +{"d:Title": "Seamus Kennedy, Let the Music Take You Home", "d:Description": "Album review by Tom Knapp, with positive comments about the music and negative comments about the playability of an album with so many humorous interludes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/K/Kennedy,_Seamus", "url": "http://rambles.net/kennedy_takeyouhome.html"} +{"d:Title": "Lunasa", "d:Description": "Irish band playing and developing traditional music. Includes sound clips, tour dates, band member profiles and an update of news from all of their tours.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/L", "url": "http://www.lunasa.ie/"} +{"d:Title": "Mac Dhonnagain, Tadhg", "d:Description": "Bilingual Irish songwriter. With music samples and also providing a resource for adult learners of the Irish language and bilingual children in Ireland and around the world.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.futafata.com/"} +{"d:Title": "Mouland, Robert", "d:Description": "Artist making Irish, Scottish, and Baroque music using period and antique instruments. Includes his biography, discography, and information on his instruments and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.wireharp.com/"} +{"d:Title": "Molly Maguires, The", "d:Description": "A Chattanooga based Irish folk band. Biography, pictures, upcoming show dates and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.angelfire.com/folk/TheMollyMaguires/Molly_Maguires_Web.htm"} +{"d:Title": "Moch Pryderi", "d:Description": "Fredericksburg, Virginia-based Celtic band playing Welsh and Breton music on Harp, pibgorn, Welsh pipes, Uillean pipes, and bombarde. With biographies, show dates, legend history, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.mochpryderi.com/"} +{"d:Title": "Miller, Linda", "d:Description": "As a duo with Nathan Curry, performs mainly Celtic and Canadian traditional music. Includes biographies, details of their recordings, and Nathan's luthiery.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://fol.ncf.ca/"} +{"d:Title": "Mayock, Emer", "d:Description": "Irish flautist and Uilleann piper with Afro Celt Sound System, who also has a solo career. Includes biography, discography, photos, reviews, and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.emermayock.com/"} +{"d:Title": "Moran, Mossy", "d:Description": "Singer of Irish traditional and contemporary music. Performance schedule, booking information, reviews, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.mossymoran.com/"} +{"d:Title": "Mhaoileoin, Brid Ni", "d:Description": "Official site with biography, photos, notes on gigs and CD, sound samples, guestbook and reviews. A few parts in Irish.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://brid.euroots.com/"} +{"d:Title": "McInnis' Kitchen", "d:Description": "Traditional Irish and Scottish music in the spirit of Newfoundland and Cape Breton. Biographies and booking details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.mcinniskitchen.com/"} +{"d:Title": "McCue, Rick", "d:Description": "Toronto-based singer/songwriter with roots in the music of Newfoundland; profile, tour dates, and details of recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.rickmccue.com/"} +{"d:Title": "Martz, Stuart", "d:Description": "Vocalist and instrumentalist; includes a profile, photos and music samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M", "url": "http://www.stuartmartz.net/"} +{"d:Title": "Makem.com", "d:Description": "Profiles of Tommy Makem and the Makem Brothers; includes press reviews, discographies, lyrics, tour schedules, and FAQ.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M/Makem,_Tommy,_and_the_Makem_Brothers", "url": "http://www.makem.com/"} +{"d:Title": "Makem, Tommy", "d:Description": "Profile of the seminal singer's career.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/M/Makem,_Tommy,_and_the_Makem_Brothers", "url": "http://www.iol.ie/~ronolan/makem.html"} +{"d:Title": "North Cregg - Official Website", "d:Description": "Six piece Irish traditional band. Includes, profile, photographs, tour dates, reviews, and album information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/N", "url": "http://www.northcregg.com/"} +{"d:Title": "Norland Wind and Thomas Loefke", "d:Description": "Harp music and song based on the traditions of Donegal. Profile of band members and collaborators, discography, concert and tour information, photos and reviews. [Germany]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/N", "url": "http://www.thomasloefke.de/"} +{"d:Title": "O'Connell, Maura", "d:Description": "Ex member of De Dannan, this Irish folk singer now follows a solo career in the US. Includes a discography, biography, touring information and recent news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/O", "url": "http://www.mauraoconnell.com/"} +{"d:Title": "\u00d3 Ceannabh\u00e1in, Peadar", "d:Description": "Traditional sean-n\u00f3s singer from West Connemara. Includes profile, reviews, details of recordings, audio clips, with notes, lyrics and translations.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/O", "url": "http://www.grafxsource.com/MCS/Cuid_den_tSaol.html"} +{"d:Title": "O'Carolan, Turlough", "d:Description": "A biography, with some of his poetry.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/O/O'Carolan,_Turlough", "url": "http://english.glendale.edu/carolan.html"} +{"d:Title": "O'Carolan, Turlough", "d:Description": "Profile of the blind, itinerant Irish harper and composer, sometimes considered to be Ireland's national composer and the last of the Irish bards. From Wikipedia.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/O/O'Carolan,_Turlough", "url": "http://en.wikipedia.org/wiki/Turlough_%C3%93_Carolan"} +{"d:Title": "The Prodigals", "d:Description": "Band with a jig-punk approach to Irish music. Tour dates, road stories, track samples, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/P", "url": "http://www.prodigals.com/"} +{"d:Title": "Poor Angus", "d:Description": "A Canadian Celtic band present their profile, tour dates, and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/P", "url": "http://www.poorangus.com/"} +{"d:Title": "Reavy, Joe", "d:Description": "Son of famous Irish traditional composer and fiddler Ed Reavy, also a fiddler who sets classic Irish poetry to music. Profile and details of recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/R", "url": "http://reavymusic.com/"} +{"d:Title": "Ryan, Sheila", "d:Description": "Singer, harpist and guitar player; biography, tour dates, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/R", "url": "http://www.sheilaryan.com/"} +{"d:Title": "Roach, Kevin", "d:Description": "Performs original and traditional Celtic instrumental music on guitar, tenor banjo, mandolin, bouzouki and fiddle, in and around Halifax, Nova Scotia, Canada. Profile, photos, booking details, and information about his recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/R", "url": "http://www.kevinroachmusic.com/"} +{"d:Title": "Skidu", "d:Description": "A Washington DC group seeking to expand the Celtic music tradition. Includes background, gig-guide, MP3s, photos, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/S", "url": "http://www.angelfire.com/band/skidu/"} +{"d:Title": "Shanley, Eleanor", "d:Description": "Irish traditional singer, once with De Dannan, now with a solo career. Includes biography, pictures, discography, lyrics, concert reviews, MP3 clips, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/S", "url": "http://www.eleanorshanley.com/"} +{"d:Title": "Skye", "d:Description": "A four member contemporary Celtic band based in Eugene, Oregon. With background, biographies, releases, show schedule, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/S", "url": "http://www.skyecelticmusic.com/"} +{"d:Title": "Sullivan, Mair\u00e9id", "d:Description": "Features articles on Celtic cultural history, with selected poetry and songs by Irish-born singer, songwriter, and cultural activist.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/S", "url": "http://www.maireid.com/"} +{"d:Title": "Shebeen", "d:Description": "Irish band performing rebel music; includes gig-guide and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/S", "url": "http://shebeenonline.com/"} +{"d:Title": "Taylor, Frank", "d:Description": "Canadian entertainer, musician, performer and recording artist also known as \"The Flying Scotsman\". With profile, pictures, show dates, releases, news, and clan information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/T", "url": "http://www.theflyingscotsman.ca/"} +{"d:Title": "Tullamore", "d:Description": "A Kansas City-based trio performing music of Scotland and Ireland, offering contemporary arrangements of traditional styles. Profiles, tour dates, reviews, details of recordings and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/T", "url": "http://www.tullamore.info/"} +{"d:Title": "Tynan, Ronan", "d:Description": "Official site of the vocalist born in Johnstown, County Kilkenny in Ireland, performing traditional music with the Irish Tenors. With profile, schedule, discography and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/T", "url": "http://www.ronantynan.net/"} +{"d:Title": "White, Jennifer", "d:Description": "Official site of the Canadian Celtic harper, vocalist and storyteller. With biography, performances, discography and CDs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/W", "url": "http://www.knockgrafton.com/"} +{"d:Title": "Williams, John", "d:Description": "Founding and former member of the band Solas, Chicago-based John plays the button accordion and the concertina. Includes gigs dates, biography and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/W", "url": "http://www.johnwilliamsmusic.com/"} +{"d:Title": "Young Dubliners, The", "d:Description": "Six-piece ensemble of American and Irish musicians, from flat-out rock and roll to Irish roots with the flavor of Eire, featuring mandolin, tin whistle, fiddle and flute, bass, drums, guitar and bass. With events schedule, biographies, newsletter, and CD information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Bands_and_Artists/Y", "url": "http://www.youngdubs.com/"} +{"d:Title": "Traditional Celtic Music and Dance in Nederland", "d:Description": "Overview of traditional Celtic music and dance in the Netherlands. Includes listings of events and performers.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region", "url": "http://www.eltjot.dds.nl/celticnl.htm"} +{"d:Title": "Guide to Music in Brittany", "d:Description": "Online book by Dr Lois Kuter as an introduction to the traditional dance, song, music, and musical instruments of Brittany. Provided by the International Committee for the Defense of the Breton Language.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton", "url": "http://www.breizh.net/icdbl/saozg/guide.htm"} +{"d:Title": "Music of Brittany", "d:Description": "Wikipedia article describes the Breton folk music tradition, covering history, instruments and contemporary developments.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton", "url": "http://en.wikipedia.org/wiki/Music_of_Brittany"} +{"d:Title": "Duff, Dom", "d:Description": "Breton singer and guitarist; includes profile, discography, gig and tour listing, lyrics and audio samples. (Breton, French, and English)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Bands_and_Artists", "url": "http://www.domduff.com/"} +{"d:Title": "Skolvan", "d:Description": "One of the mainsprings of the revival of Breton music; profile of the band and its members, discography, and tour schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Bands_and_Artists", "url": "http://www.skolvan.com/"} +{"d:Title": "Fulup, Job", "d:Description": "A rare example of a Celtic Harp player who learned in the oral tradition; plays Irish, Scottish and Breton pieces and several compositions. Profile, reviews and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Bands_and_Artists", "url": "http://jobfulup.tripod.com/"} +{"d:Title": "The Kemper Bagad", "d:Description": "Profile of the Kemper Pipe Band, playing traditional Breton instruments, with a listing of their prizes and a discography; also gives a description of the instruments played. [French and English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Bands_and_Artists", "url": "http://www.bagadoo.tm.fr/kemper/BAGAD/bagad_kemper_E.html"} +{"d:Title": "Pennec, Alain", "d:Description": "Breton multi-instrumentalist reviving traditional folk music. Includes his profile, and details of his projects and publications.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Bands_and_Artists", "url": "http://www.alain-pennec.com/"} +{"d:Title": "James, Mike", "d:Description": "Profile of a Welsh-born, Breton resident, singer, musician and dance caller; includes information on his solo work and appearances with bands, discography, gig-list, and teaching activities.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Bands_and_Artists", "url": "http://www.mikejames.net/"} +{"d:Title": "Dremmwel", "d:Description": "Profile of a West Breton band, playing traditional music from Highland and Lowland Brittany. Includes discography, tour dates, notes of previous tours, reviews, merchandise, and contact details. (French and English, and site requires Flash.)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Bands_and_Artists", "url": "http://pagesperso-orange.fr/hanternoz/dremmwel/"} +{"d:Title": "Le Festival Interceltique de Lorient", "d:Description": "International festival of Celtic music and culture, held annually in Lorient, Brittany. Includes program and practical information for visitors.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Breton/Festivals", "url": "http://www.festival-interceltique.com/"} +{"d:Title": "Cranford Publications", "d:Description": "Specialize in Celtic fiddle music from Cape Breton, Ireland and Scotland. Offers online shopping for recordings and books; also maintains a large collection of tunes (in standard notation and \"abc\", and online indexes of tunes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cape_Breton", "url": "http://www.cranfordpub.com/"} +{"d:Title": "Introduction to the Music of Cape Breton, Nova Scotia", "d:Description": "Presents the traditional music of the area, its history and roots, with a guide to recordings and artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cape_Breton", "url": "http://www.sfcelticmusic.com/Capebret/cbmintro.htm"} +{"d:Title": "Lamond, Mary Jane", "d:Description": "Combines Gaelic songs from the Cape Breton tradition with contemporary pop sounds. Site has biography, reviews, and multimedia clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cape_Breton/Bands_and_Artists", "url": "http://www.maryjanelamond.com/"} +{"d:Title": "MacIsaac, Wendy", "d:Description": "A fiddler, piano player, and stepdancer from Inverness county. Biography, discography, audio samples, and touring schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cape_Breton/Bands_and_Artists", "url": "http://www.wendymacisaac.com/"} +{"d:Title": "Celtic Colours International Festival", "d:Description": "Annual Celtic festival of music and Celtic culture held on Cape Breton Island. Information about the schedules, artists, and pictures of past events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cape_Breton/Festivals", "url": "http://www.celtic-colours.com/"} +{"d:Title": "F\u00e9is An Eilein", "d:Description": "Annual festival of Gaelic song, music and dance on Christmas Island, Cape Breton; includes schedule, contact details, and information on their year-round activities.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cape_Breton/Festivals", "url": "http://www.feisaneilein.ca/"} +{"d:Title": "Music of Cornwall", "d:Description": "An overview of Cornish traditional music and its revival in the 20th century. (Article and links on Wikipedia)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish", "url": "http://en.wikipedia.org/wiki/Music_of_Cornwall"} +{"d:Title": "Cornwall Folk Festival", "d:Description": "Four day festival held in Wadebridge, Cornwall, with major and local artists. Includes ticket and camping information. Annual event held on the August Bank Holiday weekend.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish", "url": "http://www.cornwallfolkfestival.com/"} +{"d:Title": "Cornish Folk Songs", "d:Description": "A selection of traditional songs (require RealPlayer). Also includes a few old photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish", "url": "http://www.rogerj.co.uk/music.htm"} +{"d:Title": "Dalla", "d:Description": "Cornish music group. Origins, members, CD plus details of live performances. Includes Noze Looan, a less formal dance alternative to a troyl or ceilidh.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish/Bands_and_Artists", "url": "http://www.dalla.co.uk/"} +{"d:Title": "Lyngham House", "d:Description": "Fiddle player, singer and storyteller Mike O'Connor. Includes Lyngham House Music, Fiddles at Fowey workshops and The North Cornwall Traditional Arts Project.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish/Bands_and_Artists", "url": "http://www.lyngham.co.uk/"} +{"d:Title": "Walton, Jake", "d:Description": "Hurdy-gurdy and guitar player, and singer in the Cornish tradition, demonstrating the influences of Brittany. Includes tour dates, information about his recordings and his instruments, with lyrics and audio samples", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish/Bands_and_Artists", "url": "http://www.jakewaltonmusic.co.uk/"} +{"d:Title": "Cape Cornwall Singers", "d:Description": "Male singing group performing traditional Cornish songs. CDs, tapes and videos, how to book and charity funds raised.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish/Bands_and_Artists", "url": "http://www.capecornwallsingers.co.uk/"} +{"d:Title": "Camborne Town Band", "d:Description": "General information, history, photographs, player profiles, results, and contacts.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish/Bands_and_Artists", "url": "http://www.camborneband.com/"} +{"d:Title": "Bolingey Troyl Band", "d:Description": "Traditional Cornish dance band with or without a caller. History, music, members, diary and CDs. Includes information on Bolingey September Fayre.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish/Bands_and_Artists", "url": "http://www.bolingeytroylband.co.uk/"} +{"d:Title": "Camborne Music Festival", "d:Description": "Annual competitive festival for amateur instrumentalists and vocalists. Provides classes, winners, rules of festival and tips for new competitors.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Cornish/Festivals", "url": "http://www.cambornemusicfestival.org.uk/"} +{"d:Title": "Traditional Music on the Isle of Man", "d:Description": "Article on traditional Celtic music in the Isle of Man, by Cliff McGann on the Ceolas Celtic Archive.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Manx", "url": "http://www.ceolas.org/Regions/Manx-article.html"} +{"d:Title": "Yn Chruinnaght", "d:Description": "Annual festival of Manx music and dance; includes program, information about artists, ticketing and accommodation, and a history.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Manx/Festivals", "url": "http://www.ynchruinnaght.com/"} +{"d:Title": "Avondale Music", "d:Description": "Recording company specialized in the production and distribution of Newfoundland music. Includes their catalog and artist roster.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland", "url": "http://www.avondalemusic.com/"} +{"d:Title": "Singsong Inc", "d:Description": "A Newfoundland recording company owned by performing artist Jim Payne, and dedicated to traditional music and dance. Includes online catalogue, artist profiles, and ordering instructions.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland", "url": "http://www.singsonginc.ca/"} +{"d:Title": "Morgan, Pamela", "d:Description": "Performer from the Celtic music scene. Site with biography, discography, audio clips, and order information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://www.pamelamorgan.ca/"} +{"d:Title": "Atlantic Union", "d:Description": "An acoustic music trio based in St John's; profiles of the band members, touring schedule, details of their recordings, news and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://www.atlanticunion.net/"} +{"d:Title": "The Fables", "d:Description": "Newfoundland Celtic rock band. Includes member profiles, discography, sound samples, photos, and gig listing.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://www.thefables.com/"} +{"d:Title": "Buddy Wasisname and the Other Fellers", "d:Description": "Profiles of the band members, tour dates, discography, lyrics, reviews and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://www.buddywasisname.com/"} +{"d:Title": "The Masterless Men", "d:Description": "Band presenting a fusion of original, Celtic, bluegrass, folk, and popular music. Member profiles, discography, audio samples, gig-schedules, newsletter and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://www.masterlessmen.com/"} +{"d:Title": "Hynes, Ron", "d:Description": "Singer/songwriter; offers his biography, discography, gig-schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://www.hynesite.org/"} +{"d:Title": "Dorymates, The", "d:Description": "A Calgary, Canada-based band playing Newfoundland and Celtic music. With background, schedule, release information, pictures, and booking information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://members.shaw.ca/jmcgrath/"} +{"d:Title": "Ryan's Fancy", "d:Description": "A tribute to a band of three Irish emigrants to Canada, that rose out of the folk music scene of the late 1960's, and had a strong influence on East Coast Canadian music; includes biography, discography, photos, and press articles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://www.ryansfancy.com/"} +{"d:Title": "Hewison, Jean; and Smith, Christina", "d:Description": "Duo playing traditional Newfoundland music; offer their profile, touring schedule, information on their recordings, and presskit.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Newfoundland/Bands_and_Artists", "url": "http://jeanandchristina.ca/"} +{"d:Title": "Music of Galicia, Cantabria and Asturias", "d:Description": "An article on Wikipedia examining the roots of traditional music and dance in Northern Spain, its putative Celtic links, and the revival of these musics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain", "url": "http://en.wikipedia.org/wiki/Music_of_Galicia,_Cantabria_and_Asturias"} +{"d:Title": "Pato, Cristina", "d:Description": "Bagpiper devoted to Galician popular music. Includes biography, discography and gig-listing. (Spanish, Galego and English)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Bands_and_Artists", "url": "http://www.cristinapato.com/"} +{"d:Title": "Llan de Cubel", "d:Description": "An Asturian folk music group, who take traditional Asturian music as a base for their work. Band profile, discography, touring schedule, sound samples and FAQ.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Bands_and_Artists", "url": "http://www.llandecubel.com/"} +{"d:Title": "Felpeyu", "d:Description": "A band who aim to remake Asturian traditional music, following trends in current Atlantic European genres, without losing their distinctiveness. Includes a profile, discography, and news. [Asturian, Spanish and English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Bands_and_Artists", "url": "http://www.felpeyu.com/"} +{"d:Title": "Nunez, Carlos", "d:Description": "Plays the gaita (Galician pipes). Includes his biography, discography, audio samples, and background information on Galicia and its music. (Multi-lingual, and site requires Flash.)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Bands_and_Artists", "url": "http://www.carlos-nunez.com/"} +{"d:Title": "Treixadura Gaiteiros", "d:Description": "Profile of a group of four pipers, with information about their recordings and gigs. Also includes MIDI samples, and tunes in PDF format. (Multi-lingual site; requires Flash.)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Bands_and_Artists", "url": "http://www.treixadura.com/"} +{"d:Title": "N\u00fa\u00f1ez, Carlos", "d:Description": "Interview with the Galician piper, for FolkWorld (February, 1998).", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Bands_and_Artists", "url": "http://www.folkworld.de/3/carlos.html"} +{"d:Title": "Llangres", "d:Description": "RootsWorld review of Esnalar, recorded by this Asturian band.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Bands_and_Artists", "url": "http://www.rootsworld.com/reviews/llangres05.shtml"} +{"d:Title": "Festival Ortigueira", "d:Description": "An annual international festival of Celtic music; includes programme, history, and practical details. [Spanish and English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Northern_Spain/Festivals", "url": "http://www.festivaldeortigueira.com/"} +{"d:Title": "Donald Macdonald's Gaelic Pages", "d:Description": "Offers a collection of resources for Gaelic learners, including a collection of lyrics in Gaelic and English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish", "url": "http://www3.sympatico.ca/donaldmacdonald/"} +{"d:Title": "McNeill, Brian", "d:Description": "Multi-instrumentalist, and founder member of the Battlefield Band. Biography, reviews, gig dates, and details of his recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Bands_and_Artists", "url": "http://www.brianmcneill.co.uk/"} +{"d:Title": "Reid, Alan", "d:Description": "Profile of Battlefield Band member, and his collaboration with Rob van Sante as a folk duo. Includes discography, gig guide, photo gallery and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Bands_and_Artists", "url": "http://www.reidvansante.com/"} +{"d:Title": "The Balladeers", "d:Description": "Biographies and discographies of Scots and Irish folk singers, Alex Campbell, The Corries, The Dubliners, Robin Hall and Jimmie Macgregor.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Bands_and_Artists", "url": "http://www.theballadeers.com/"} +{"d:Title": "Whistlebinkies, The", "d:Description": "Traditional Scots music, using authentic instruments. Profile of band and members, discography, and contact details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Bands_and_Artists", "url": "http://whistlebinkies.co.uk/"} +{"d:Title": "Shooglenifty", "d:Description": "Scottish band combining traditional tunes with contemporary dance rhythms and textures. Information on recordings, audio samples, band profile and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Bands_and_Artists", "url": "http://www.shooglenifty.com/"} +{"d:Title": "Ceolas Music Summer School", "d:Description": "Annual school music and dance on South Uist, exploring the connections between Scottish traditional music, Gaelic song and dance. Programme of events, listing of tutors, and practical details for visitors.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Education", "url": "http://www.ceolas.co.uk/"} +{"d:Title": "The National Centre of Excellence in Traditional Music", "d:Description": "Offers tuition to young traditional musicians in instrumental playing and song, both Gaelic and Scots. News, contact details, and information about students and tutors. [Plockton, Wester Ross, Scotland].", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Education", "url": "http://www.musicplockton.org/"} +{"d:Title": "Blas", "d:Description": "International celebration of Highland music and Gaelic language, in a range of venues across Highland Region; includes programme, news, and ticketing information. [Scotland]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Festivals", "url": "http://www.blas-festival.com/"} +{"d:Title": "Celtic Connections", "d:Description": "Scotland's premier winter music festival. Held in Glasgow and featuring favorite acts and new talent in many events, including concerts, ceilidhs, workshops, club-nights, and talks.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Festivals", "url": "http://www.celticconnections.com/"} +{"d:Title": "Hebridean Celtic Festival", "d:Description": "Traditional and contemporary music on the Isle of Lewis in July. Programme, competition, and booking details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Festivals", "url": "http://www.hebceltfest.com/"} +{"d:Title": "Highlands and Islands Music and Dance Festival", "d:Description": "Annual weekend of competitions and events in Oban, celebrating highland and Scottish country dancing, traditional music and song. Includes programme and information for competitors.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Festivals", "url": "http://www.obanfestival.org/"} +{"d:Title": "Feis an Eilein", "d:Description": "Annual Festival of traditional music, song, dance, storytelling, theatre and film from Gaelic and other traditions. [Isle of Skye, Scotland]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Scottish/Festivals", "url": "http://www.seall.co.uk/feis-an-eilein-skye-festival/"} +{"d:Title": "Celfyddydau Mari Arts", "d:Description": "Organization created to research, preserve and encourage the use of the traditional arts of song, music, dance, drama and storytelling. Includes listings, information about bands, news, and a growing archive of related materials.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Welsh", "url": "http://www.folkwales.org.uk/"} +{"d:Title": "TRAC", "d:Description": "Organization promoting the development of folk music and dance in Wales. News, and listings of events, festivals, performers, and organisations. (Cymraeg and English)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Welsh", "url": "http://www.trac-cymru.org/"} +{"d:Title": "Wales", "d:Description": "A collection of Welsh lyrics, many with midi tunes. From a German collection of world-wide folk music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Welsh", "url": "http://ingeb.org/catwal.html"} +{"d:Title": "Carreg Lafar", "d:Description": "Profile of a band playing traditional music of Wales. Includes news, gig guide, reviews and details of their recordings. (Cwmraeg and English)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Welsh/Bands_and_Artists", "url": "http://www.carreglafar.co.uk/"} +{"d:Title": "James, Sian", "d:Description": "Information about the traditional Welsh music of Sian James. Includes biography, reviews, news, and RealAudio samples. [English/Cymraeg]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Welsh/Bands_and_Artists", "url": "http://www.sianjames.co.uk/"} +{"d:Title": "Anglesey National Eisteddfod", "d:Description": "An artistic event in Wales and one of Europe's largest and oldest cultural festivals of music, song and poetry. Information about program, history, competitions, and practical details for visitors.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/By_Region/Welsh/Festivals", "url": "http://eisteddfod.wales/"} +{"d:Title": "Celtic Roots Festival", "d:Description": "Annual heritage festival celebrating the folk music, dance and craft art traditions of Ireland, Scotland, Wales and other countries including Canada. Includes details of the schedule, performers, and information for visitors. [Ontario, Canada]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Festivals", "url": "http://www.celticfestival.ca/"} +{"d:Title": "Celtic Ways", "d:Description": "E-zine and archives featuring Celtic, Ambient and Fusion music downloads, home studio production, indie label marketing and music e-zine directory.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Magazines_and_E-zines", "url": "http://www.celticways.com/"} +{"d:Title": "Shite'n'Onions", "d:Description": "E-zine following Celtic punk. News, reviews and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Magazines_and_E-zines", "url": "http://www.shitenonions.com/"} +{"d:Title": "Irish Music Magazine", "d:Description": "Folk and traditional music magazine from Ireland. Includes subscription details, session and festival listings, and information on current and past issues.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Magazines_and_E-zines", "url": "http://www.irishmusicmagazine.com/"} +{"d:Title": "Irish Punk", "d:Description": "Following the latest in new and reviews for the Celtic Punk genre.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Magazines_and_E-zines", "url": "http://www.punk.ie/"} +{"d:Title": "Celtic MP3", "d:Description": "An online magazine with the latest Celtic MP3's for free.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Magazines_and_E-zines", "url": "http://celticmp3s.com/"} +{"d:Title": "The Southwest Celtic Music Association", "d:Description": "Promotes awareness of the rich cultural heritage of the Celts and its effects in the United States; details of concerts, music and dance workshops, and organization's activities.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Organizations", "url": "http://www.scmatx.org/"} +{"d:Title": "Celtic Music Association of Madison, Wisconsin", "d:Description": "They present Irish, Scottish, Welsh and Manx traditional music and dance events in Madison. Includes a regional events calendar and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Organizations", "url": "http://celticmadison.org/"} +{"d:Title": "DCU Traditional Music Society", "d:Description": "Student society at Dublin City University that promotes traditional Irish Music. Includes profiles of musicians, music archives and a search engine dedicated to Irish traditional music web sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Organizations", "url": "http://www.redbrick.dcu.ie/~tradsoc/"} +{"d:Title": "Comhaltas Ceolt\u00f3ir\u00ed Eireann", "d:Description": "Details of this organisation and movement, promoting traditional Irish music, song and dance in Ireland and worldwide. Offers music samples, session details, branch information, concert and educational programmes, structures and archives.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Organizations", "url": "http://comhaltas.ie/"} +{"d:Title": "The Thistle and Shamrock", "d:Description": "Official page for NPR's weekly program of Celtic music, hosted by Fiona Ritchie since 1981.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Radio", "url": "http://www.npr.org/programs/thistle/"} +{"d:Title": "Celtic Connections", "d:Description": "Produced in the studios of WSIU-FM on the campus of Southern Illinois University at Carbondale, and syndicated via the public radio satellite system. Includes station listing, playlist, and information on programs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Radio", "url": "http://www.celticconnectionsradio.org/"} +{"d:Title": "Ceolas Worldwide Celtic Music Radio Listing", "d:Description": "A listing from Ceolas of radio shows featuring Celtic music, mostly in the US, but some foreign listings are included.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Radio", "url": "http://ceolas.org/ref/radio.html"} +{"d:Title": "Highlander Radio", "d:Description": "Internet only radio station broadcasting a wide range of Celtic music 24 hours day.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Radio", "url": "http://www.celticradio.net/"} +{"d:Title": "Celtic Radio on the Internet", "d:Description": "A schedule with all known Celtic music and culture radio programmes broadcast on the Internet from the British Isles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Radio", "url": "http://groups.csail.mit.edu/mac/users/rauch/celticradio/"} +{"d:Title": "Irish music at the Kennedy Center", "d:Description": "A collection of links to audio/video streams of performances of Irish music on the Millennium Stage of the Kennedy Center.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources", "url": "http://www.stresscafe.com/music/kennedycenter.html"} +{"d:Title": "Essays by Chris Smith", "d:Description": "Essays on Irish music, session dynamics, and ear learning. Scroll down to \"Instructional materials.\"", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources", "url": "http://coyotebanjo.com/music.html"} +{"d:Title": "Cornish Folk Songs", "d:Description": "Lyrics of traditional Cornish songs, with historical notes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources", "url": "http://www.brycchancarey.com/places/cornwall/songs.htm"} +{"d:Title": "Tunes at Ceolas", "d:Description": "Hundreds of Celtic tunes in various electronic formats, with the software to view and play them, and a large index of published sources of Celtic and other folk tunes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.ceolas.org/tunes/"} +{"d:Title": "Jordan-O'Connell Memorial Celtic MIDI Archive", "d:Description": "Featuring over 100 folk dance MIDIs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.lutheran-hymnal.com/celtic/c1.html"} +{"d:Title": "The Session", "d:Description": "A collection of Irish traditional tunes in abc and GIF formats contributed by members.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.thesession.org/"} +{"d:Title": "Tony's Celtic Music Pages", "d:Description": "A personal site with information on Celtic music and culture, MIDI files and sheet music of traditional and composed tunes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://tonyupton.tripod.com/"} +{"d:Title": "The Irish Traditional Music Archive", "d:Description": "Archive and resource centre for the traditional song, music and dance of Ireland. Information about collections, catalogues and activities.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.itma.ie/"} +{"d:Title": "Irish Traditional Music Tune Index", "d:Description": "Large, detailed database of tunes. Identifies tunes on commercial recordings and in the major tune books. Includes statistics, definitions, and analysis of Irish traditional music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.irishtune.info/"} +{"d:Title": "Traditional Music", "d:Description": "A collection of tunes, mostly traditional Celtic, in midi and notation (gif format), with suggestions for a basic repertoire.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.cpmusic.com/tradmus.html"} +{"d:Title": "An Daras Music", "d:Description": "A small collection of traditional songs in Cornish (notation and lyrics).", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.an-daras.com/music/m_kernewek.htm"} +{"d:Title": "Ceolta Gael", "d:Description": "A collection of traditional lyrics in Gaelic, with tunes in abc format.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.angelfire.com/ri/domhan/ceolta.html"} +{"d:Title": "Craig Cockburn - Songbook", "d:Description": "Articles on Celtic vocal music and MIDI files of Scots and Gaelic songs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Resources/Tune_Collections", "url": "http://www.siliconglen.scot/culture/songs.html"} +{"d:Title": "Jiggernaut", "d:Description": "A \"neo-Celtic\" rock band from Texas. With news, calendar, member pages, pictures, MP3s, and mailing list sign-up.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.jiggernaut.com/"} +{"d:Title": "Blackthorn", "d:Description": "History of this US band playing Celtic rock, with information on their schedule, recordings, and biographies.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.irishthing.com/"} +{"d:Title": "Killdares, The", "d:Description": "A power pop/Celtic crossover band from the Dallas area. With news, performance schedule, merchandise links, song samples, biography, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.killdares.com/"} +{"d:Title": "Lenahan", "d:Description": "Official website of the band formerly known as The Clan. With tour dates, pictures, discography, merchandise, lyrics, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.celtic-rock.com/"} +{"d:Title": "Glengarry Bhoys", "d:Description": "Celtic rock group based in Glengarry, Ontario, Canada. Contains information on tour dates, band biographies, discography, and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.glengarrybhoys.com/"} +{"d:Title": "Homeland", "d:Description": "Ohio band playing energetic rock with a fine Celtic edge. Includes news, show dates, background and pictures.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.homelandmusic.com/"} +{"d:Title": "Nettles, The", "d:Description": "Blending traditional Irish and American music with the spices of rock, jazz, and blues. Includes discography, reviews and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.peak.org/~brophyl/nettles/"} +{"d:Title": "Celtic Rock and Blues Band, The", "d:Description": "Information about the band, gigs, and releases. Includes profiles of Johnny Fean (ex of Horslips), and Stephen Travers.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.johnnyfean.com/"} +{"d:Title": "Tinsmith", "d:Description": "Progressive Celtic folk/rock trio based in Washington, DC. News, schedule, discography, and profiles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.tinsmith.net/"} +{"d:Title": "Life After 9", "d:Description": "Official website of a Canadian Celtic rock act. Information about the band, their tours, with merchandise and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://la9bangkok.tripod.com/"} +{"d:Title": "Enter The Haggis", "d:Description": "Band profile, tour schedule, audio and video samples, merchandise, and information about recordings. (Site requires Flash)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.enterthehaggis.com/"} +{"d:Title": "Kissers, The", "d:Description": "An Irish rock band based in Wisconsin. Features image gallery, sound clips, schedule, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.thekissers.com/"} +{"d:Title": "Gypsy Soul", "d:Description": "A California-based Celtic/soul band. Features MP3 links, articles, history, message board, schedule, and video downloads.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.gypsysoul.com/"} +{"d:Title": "Neck", "d:Description": "London-Irish Celtic punk band; includes profile, discography, gig-guide, reviews, audio samples, booking information", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.neck.ie/"} +{"d:Title": "Off Kilter", "d:Description": "Celtic rock band permanently in residence at Epcot Center's Canadian Pavilion in Florida. News, press, schedule, and background.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock", "url": "http://www.offkilter.net/"} +{"d:Title": "4 Brunswick Street", "d:Description": "Unofficial band site, featuring member biographies, discography, news, reviews, pictures, media clips, and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock/Punters,_The", "url": "http://thepunters.tripod.com/"} +{"d:Title": "Seven Nations", "d:Description": "The ultimate Celtic Rock band's home page.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock/Seven_Nations", "url": "http://www.sevennations.com/"} +{"d:Title": "Aaron's 7N Page", "d:Description": "A Seven Nations fan page.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock/Seven_Nations", "url": "http://dogbert.wsc.ma.edu/7N/"} +{"d:Title": "The Seven Nations Fan Ring", "d:Description": "Webring for Seven Nations fan sites. This page lists the member sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Rock/Seven_Nations", "url": "http://www.webring.org/hub?ring=7nations"} +{"d:Title": "Celtic Traditional Music Ring", "d:Description": "Webring whose member sites include a variety of band/artist, personal, and information-rich sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Web_Rings", "url": "http://www.webring.org/hub?ring=celttrad"} +{"d:Title": "IRTRAD-W [Irish Traditional Music WebRing]", "d:Description": "This is an Irish music webring sponsored by the newsgroup IRTRAD-L.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Celtic/Web_Rings", "url": "http://www.webring.org/hub?ring=irtrad_w"} +{"d:Title": "Fado", "d:Description": "From Wikipedia, the history of this Portuguse folk music characterized by mournful tunes and lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado", "url": "http://en.wikipedia.org/wiki/Fado"} +{"d:Title": "Fado Music", "d:Description": "World Music Central - article describing the characteristics of Fado.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado", "url": "http://www.worldmusiccentral.org/staticpages/index.php/fado"} +{"d:Title": "Fado - The People's Soul", "d:Description": "The origins of Fado, with photographs, sound samples, and essays on the Portuguese guitar. [bilingual]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado", "url": "http://paginas.fe.up.pt/~fado/"} +{"d:Title": "Coimbra Fado", "d:Description": "Wikipedia article describes the style of Fado which developed in the university town of Coimbra.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado", "url": "http://en.wikipedia.org/wiki/Fado_de_Coimbra"} +{"d:Title": "Chasing the Fado", "d:Description": "An article by an enthusiast for \"those Portuguese Blues\"; includes discography and resource lists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado", "url": "http://bolingo.org/audio/texts/fr105fado.html"} +{"d:Title": "Alberto Mendes, Manuel Lemos, and Mr. Franks performing Portuguese songs and music from the Azores, 1939", "d:Description": "A collection of recordings of Portuguese songs and music made in 1939 by Sidney Robertson Cowell in Richmond, California; includes descriptive notes and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado", "url": "http://memory.loc.gov/ammem/afccchtml/0009.html"} +{"d:Title": "Marceneiro, ALfredo Duarte (1891-1982)", "d:Description": "Biography, discography and tributes to the artist on the centenary of his birth.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists", "url": "http://www.alfredomarceneiro.com/"} +{"d:Title": "Branco, Cristina", "d:Description": "Biography, discography and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists", "url": "http://www.cristinabranco.com/"} +{"d:Title": "de F\u00e1tima, Maria", "d:Description": "Biography, discography, and tour dates of a fado singer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists", "url": "http://www.mariadefatima.com/"} +{"d:Title": "World Music Central", "d:Description": "Provides a list of artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists", "url": "http://www.worldmusiccentral.org/artists/genre_list.php?genre=Fado"} +{"d:Title": "Pontes, Dulce", "d:Description": "Official website offers her profile, discography, audio samples and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists", "url": "http://www.dulcepontes.net/"} +{"d:Title": "Arnauth, Mafalda", "d:Description": "Official site of the Fado singer; includes her biography, discography, schedule, reviews and press pack. [Portuguese and English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists/Arnauth,_Mafalda", "url": "http://www.mafaldaarnauth.com/"} +{"d:Title": "Arnauth, Mafalda", "d:Description": "Biography and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists/Arnauth,_Mafalda", "url": "http://www.syntorama.com/eng/artists/mafalda_arnauth/"} +{"d:Title": "Rodrigues, Amalia", "d:Description": "Profile of one of the great performers of the 20th century.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists/Rodrigues,_Am\u00e1lia", "url": "http://www.rootsworld.com/rw/amalia.html"} +{"d:Title": "Rodrigues, Am\u00e1lia", "d:Description": "Filmography, awards, biography, and discussion forum.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists/Rodrigues,_Am\u00e1lia", "url": "http://www.imdb.com/name/nm0735052/"} +{"d:Title": "Rodrigues, Am\u00e1lia", "d:Description": "A biography, covering her singing career as \"The Queen of Fado\" and her film performances. An article on Wikipedia.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Fado/Bands_and_Artists/Rodrigues,_Am\u00e1lia", "url": "http://en.wikipedia.org/wiki/Am%C3%A1lia_Rodrigues"} +{"d:Title": "Cristina Heeren Flamenco Foundation", "d:Description": "School of Flamenco in Seville, Andalucia, Spain. Dance, guitar and cante in a year round program.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco", "url": "http://www.flamencoheeren.com/"} +{"d:Title": "Flamenco", "d:Description": "A resource collecting writings on the history and current world of flamenco music, plus articles from the Dutch flamenco magazine, \"Aficionao.\"", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco", "url": "http://www.xs4all.nl/~davidbos/flamenco/"} +{"d:Title": "Anda La Musica", "d:Description": "Independent music production recording facility in Granada, Spain. Artist information, biographies, photos, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco", "url": "http://www.andalamusica.com/"} +{"d:Title": "Flamenco in Washington, DC", "d:Description": "Information for the Washington DC area, including performances, classes and places to buy clothing, instruments, and music. Updated frequently by guitarist Michael Perez.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco", "url": "http://www.dcflamenco.com/"} +{"d:Title": "Val Ramos Flamenco Ensemble", "d:Description": "Official site features concert information, biography, downloads, news, and a photo gallery.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists", "url": "http://www.valramosflamenco.com/"} +{"d:Title": "Wood, Christopher", "d:Description": "Contemporary guitarist and composer, inspired by flamenco and exploring Indian, Arabic and Celtic idioms; offers brief profile, audio samples, and information about recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists", "url": "http://www.christopherwood.com.au/"} +{"d:Title": "Pedros, Bruno", "d:Description": "Italian guitarist specializing in Flamenco. Biography, profile page, schedule, interviews, gallery, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.brunopedros.com/"} +{"d:Title": "Benise", "d:Description": "Flamenco guitarist who has performed all over the U.S. and Canada. With show schedule, pictures, discography, audio clips and shopping.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.benise.com/"} +{"d:Title": "de Pilar, Lalo", "d:Description": "Flamenco fusion guitarist performing in North America and Europe. Biography, recordings, and original poetry.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.lalodepilar.com/"} +{"d:Title": "Flametal - Benjamin Woods", "d:Description": "SF Guitarist Benjamin Woods combines Flamenco and Metal for a unique musical combination. Find images, info, music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.flametal.com/"} +{"d:Title": "Fries, Daniel", "d:Description": "Internationally performing and recording flamenco guitarist. Includes profile and MP3s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.friesarts.com/daniel/words/flamenco.htm"} +{"d:Title": "Jacome, Chris Burton", "d:Description": "Official site for the flamenco guitarist originally from Arizona. With biography, release information, performance dates, and historical perspective on flamenco music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.chrisburtonjacome.com/"} +{"d:Title": "Vogel, Andr\u00e9", "d:Description": "A German flamenco guitarist resident in Andalusia. Includes his biography, teaching activities, photos, and audio/video clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.andiguitar.com/"} +{"d:Title": "Armik", "d:Description": "Flamenco guitarist and composer. Biography, discography, fan club, and sound samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.armik.com/"} +{"d:Title": "Marks, Nicholas", "d:Description": "Gypsy flamenco guitarist. Along with samples of his CDs and a schedule of his live performances, site features biography, gallery, and track samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.nicholasmarks.com/"} +{"d:Title": "Leeson, Aloysius", "d:Description": "Australian flamenco guitarist. Includes information on the flamenco guitar and his recordings, with mp3s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.aloysiusleeson.com/"} +{"d:Title": "Jim\u00e9nez, Tom\u00e0s", "d:Description": "Performer and teacher of Flamenco Puro. Includes profile, sound samples, performance schedule, with information about his teaching and instruments.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists", "url": "http://www.flamencotomas.co.uk/"} +{"d:Title": "Wikipedia: Paco de Luc\u00eda", "d:Description": "Online encyclopedia entry with biography, discography, and references.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists/De_Lucia,_Paco", "url": "http://en.wikipedia.org/wiki/Paco_de_Luc%C3%ADa"} +{"d:Title": "Pe\u00f1a, Paco", "d:Description": "Official site of the guitarist, composer, dramatist and mentor; includes his biography, discography, schedule of appearances, news and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Flamenco/Bands_and_Artists/Guitarists/Pe\u00f1a,_Paco", "url": "http://www.pacopena.com/"} +{"d:Title": "Explore the World of Gamelan", "d:Description": "An introduction to the gamelan of Java and Bali with audio samples and photographs, origins and spread to other areas, comparisons with other music, instruments and ensembles, dictionary, and lists of gamelan CDs, books, and links. In French and English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://alek.zipzap.ch/gamelan/"} +{"d:Title": "Central Javanese Gamelan", "d:Description": "An introduction to the music with reviews of recommended recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.medieval.org/music/world/java.html"} +{"d:Title": "Balinese Gamelan Rhythms", "d:Description": "Training exercises and MIDI files.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.ancient-future.com/bali.html"} +{"d:Title": "Gamelan Mitra Kusuma", "d:Description": "A Balinese gamelan based in Washington, DC, presents information on the instruments, audio samples, performance schedule, classes, and a mailing list.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.dcgamelan.com/"} +{"d:Title": "Gamelan in Australia and New Zealand", "d:Description": "Profiles of gamelan groups, recordings, courses, mailing list, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://danny.oz.au/gamelan/"} +{"d:Title": "Gamelan Semara Santi of Swarthmore College", "d:Description": "Information about gamelan in general, including audio clips, and about Swarthmore's gamelan program and how to join.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.swarthmore.edu/Humanities/music/Gamelan/"} +{"d:Title": "Gamelan Anak Swarasanti", "d:Description": "Balinese gamelan orchestra based in San Francisco. Schedule of upcoming performances, slide shows of past performances, discography with audio samples, player profiles, and articles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.anakswarasanti.com/"} +{"d:Title": "Gamelan Sinar Surya (Santa Barbara)", "d:Description": "A Santa Barbara-based organization dedicated to preservation, teaching and performing traditional Gamelan music of Indonesia and Malaysia. Photo gallery and mp3 samples from their first CD.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.gamelansb.com/"} +{"d:Title": "One People Voice", "d:Description": "One People Voice, based in Oakland, CA, is a hybrid processional orchestra and chant ensemble, utilizing gongs and drums from Bali which are interwoven with other world and western instruments. Includes information on their kids program, workshop schedule, guestbook, and photo gallery.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.onepeople.com/"} +{"d:Title": "Gamelan Naga Mas", "d:Description": "A community group playing classical Javanese and Balinese music and modern compositions; information about their performances and events, background on the music, and their education activities. [Glasgow, Scotland]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.nagamas.co.uk/"} +{"d:Title": "Metalworks Gamelan", "d:Description": "A gamelan with eight members, with backgrounds in jazz, Latin American, experimental, Baroque, Indian pop, and contemporary classical music; information about their recordings, workshops and performances. [Berkshire, UK]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.metalworks.org/"} +{"d:Title": "Southbank Gamelan Players", "d:Description": "Ensemble-in-residence at the Royal Festival Hall, working closely with dancers, puppeteers and composers from Indonesia, Europe and the USA; includes profile, repertoire, education activities, and performance schedule. [London, UK]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://sbgp.org.uk/"} +{"d:Title": "UK Gamelan Information", "d:Description": "An information source for people interested in Indonesian performing arts in the UK; includes news, events, gamelan in education, discography, and a links listing.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.gamelan.org.uk/"} +{"d:Title": "Gendhing Jawa", "d:Description": "Javanese Gamelan notation [PDF].", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.gamelanbvg.com/gendhing/index.php"} +{"d:Title": "Lila Cita", "d:Description": "Founded (as Kembang Kirang) in 1992 (by Andy Channing, director of the LSO gamelan education programme) to perform Balinese gamelan music. Information about performances, workshops, personnel, and associated dance group. [UK]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.lilacita.com/"} +{"d:Title": "Evergreen Club Gamelan", "d:Description": "Canadian gamelan group. Includes concert and recording information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.evergreenclubgamelan.ca/"} +{"d:Title": "Cornell Gamelan Ensemble", "d:Description": "Information on the ensemble, and brief introduction to wayang kulit.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://www.arts.cornell.edu/gamelan/"} +{"d:Title": "Gamelan - Wikipedia", "d:Description": "Introduction to gamelan in Java, Bali, and elsewhere in Southeast Asia.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://en.wikipedia.org/wiki/Gamelan"} +{"d:Title": "The Gamelans of the Kraton Yogyakarta", "d:Description": "Article by Roger Vetter.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://web.grinnell.edu/courses/mus/gamelans/"} +{"d:Title": "Gamelan-L Mailing List", "d:Description": "This list is for anyone with a scholarly, artistic, or practical interest in gamelan. Archives available.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan", "url": "http://danny.oz.au/gamelan/list.html"} +{"d:Title": "American Gamelan Institute (AGI)", "d:Description": "Devoted to publishing, recording, distributing, and making available information on all aspects of Indonesian performing arts and their international counterparts. Web radio station, library, recordings, books, directory of gamelan groups, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan/Organizations", "url": "http://www.gamelan.org/"} +{"d:Title": "Gamelan Pacifica", "d:Description": "Arts organization and home of the Gamelan Pacifica ensemble, Seattle Creative Orchestra, Mary Sheldon Scott/Jarrad Powell Performance, and the Kali Project. Profiles, photographs, performance schedules, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan/Organizations", "url": "http://gamelanpacifica.org/"} +{"d:Title": "Gamelan Sekar Jaya", "d:Description": "A nonprofit volunteer organization dedicated to the study and presentation of traditional and contemporary performing arts of Bali. Five various kinds of gamelan ensembles with contact information, recordings, and information on gamelan, kotekan, and Balinese music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Gamelan/Organizations", "url": "http://www.gsj.org/"} +{"d:Title": "Hawaiian Music and Hula Archives", "d:Description": "Large collection of song lyrics and profiles of composers.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian", "url": "http://www.huapala.org/"} +{"d:Title": "Kalena's Hawaiian Resources", "d:Description": "Listing of Hawaiian cultural events in New York City, Boston, and New England and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian", "url": "http://www.kalena.com/hawaii.html"} +{"d:Title": "Hawaiian Music 101", "d:Description": "A brief introduction to the music, with streaming audio.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian", "url": "http://people.ku.edu/~art/mele.html"} +{"d:Title": "Hoopii, Sol", "d:Description": "Profile of Solomon Ho'opi'i Ka'ai'ai, the \"King of the Steel Guitar\" (1902-1953). Includes lists of available books and recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists", "url": "http://www.well.com/user/wellvis/hoopii.html"} +{"d:Title": "The Island Riddim Band", "d:Description": "Northern California-based contemporary Hawaiian band. Photographs, show dates, mailing list, sound clips, and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists", "url": "http://www.islandriddim.com/"} +{"d:Title": "Wiki Waki Woo Serenaders", "d:Description": "Hawaii-based band specializing in fun Hawaiian music using steel guitar, and ukulele. Review of vintage tin pan alley Hawaiian as well as old wave originals from new CD, Souvenirs. Song list, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists", "url": "http://www.angelfire.com/hi4/WikiWakiWoo/"} +{"d:Title": "The Moonlighters", "d:Description": "New York City based steel guitar swing band. Includes history, show listings, mp3s, and CDs for sale.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists", "url": "http://www.blissblood.com/index_moonlighters.html"} +{"d:Title": "PICA: Some Memories of the IZ Concert", "d:Description": "Review of an October, 1996 concert with photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists/Kamakawiwo'ole,_Israel", "url": "http://www.pica-org.org/IZ/AfterGlow/AfterGlow.html"} +{"d:Title": "Israel Kamakawiwo'ole, IZ, Hawaiian Supaman", "d:Description": "Biography with photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists/Kamakawiwo'ole,_Israel", "url": "http://home.earthlink.net/~homeyrf/izbio.html"} +{"d:Title": "Uncle Charlie's Fond Memories of Bradda Iz", "d:Description": "The Hawaiian storyteller recalls his relationship with Iz. Includes photographs and lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists/Kamakawiwo'ole,_Israel", "url": "http://www.moolelo.com/iz.html"} +{"d:Title": "Israel Kamakawiwo'ole", "d:Description": "All about Israel Kamakawiwo'ole, includes lyrics, chords, and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists/Kamakawiwo'ole,_Israel", "url": "http://www.kamakawiwo.net/"} +{"d:Title": "Keali`i Reichel", "d:Description": "Popular Hawaiian recording artist. Biography, concert information, photographs, discography, reviews, video, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Bands_and_Artists/Reichel,_Keali'i", "url": "http://www.kealiireichel.com/"} +{"d:Title": "Hawaiian Steel Guitar Association", "d:Description": "Global non-profit organization. Events, performances, instruction, recordings, photographs, articles, and membership information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Organizations", "url": "http://www.hsga.org/"} +{"d:Title": "Hawaiian Music Hall of Fame", "d:Description": "Gallery, biographies and articles about honorees, related events, and discussion forum for hawaiian musicians.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Organizations", "url": "http://www.hawaiimusicmuseum.org/"} +{"d:Title": "Aloha2go RadioShow", "d:Description": "Current and archived Hawaiian music show, serving to share real Hawaiian music with the world. RealPlayer required for listening.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Radio", "url": "http://aloha2go.com/radioshow/"} +{"d:Title": "KINE-FM 105", "d:Description": "Hawaiian adult contemporary station with streaming audio. Music news, reviews, artist information, and event listings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Radio", "url": "http://www.hawaiian105.com/"} +{"d:Title": "Dancing Cat Records", "d:Description": "Featuring slack key guitar recordings. Includes concert and tour information, artist bios, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Slack_Key", "url": "http://www.dancingcat.com/"} +{"d:Title": "Beamer, Keola", "d:Description": "Singer/songwriter, arranger, composer, and slack key guitarist. Biography, recordings, audio samples, videos, and guitar information.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Slack_Key", "url": "http://www.kbeamer.com/"} +{"d:Title": "Brozman, Bob", "d:Description": "Hawaiian guitar master. History, biography, and tour information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Slack_Key", "url": "http://www.bobbrozman.com/"} +{"d:Title": "Beamer, Kapono", "d:Description": "Talented guitarist, singer, and composer. Biographical information as well as a complete discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Slack_Key", "url": "http://www.kapono.com/"} +{"d:Title": "The TaroPatch Network", "d:Description": "Information about learning Hawaiian slack key guitar, links to other slack key resources and a message board for announcements and discussions about slack key.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Slack_Key", "url": "http://www.taropatch.net/"} +{"d:Title": "Kahumoku Jr., George", "d:Description": "Slack key recording artist, performer and teacher. Includes general info on the slack key guitar, calendar of performances and workshops, and album and book listing.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Slack_Key", "url": "http://www.kahumoku.com/"} +{"d:Title": "Keawe, John", "d:Description": "Plays in the established slack key tradition and embellishes it with his personal touch.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Hawaiian/Slack_Key", "url": "http://www.johnkeawe.com/"} +{"d:Title": "Inuit Throat-Singing", "d:Description": "Includes an interview with Evie Mark, suggested CDs, and references.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Inuit", "url": "http://www.mustrad.org.uk/articles/inuit.htm"} +{"d:Title": "CJTM: Charlie Panigoniak: Eskimo Music in Transition", "d:Description": "Lynn Whidden examines the influences the music styles of different generations have on each other.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Inuit", "url": "http://cjtm.icaap.org/content/9/v9art4.html"} +{"d:Title": "Nunatsiaq News: Kattajjatiit From Generation to Generation", "d:Description": "Alison Blackduck's interview of throat-singers Minnie and Madeleine Allakariallak.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Inuit", "url": "http://www.nunatsiaqonline.ca/archives/nunavut010531/nvt10518_10.html"} +{"d:Title": "Culturebase.net: Alacie Tullaugaq and Lucy Amarualik", "d:Description": "Profile of the Nunavik based Katajjak throat singing duo.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Inuit/Tullaugag,_Alacie_and_Lucy_Amarualik", "url": "http://www.culturebase.net/artist.php?389"} +{"d:Title": "Rambles: Katutjatut: Throat Singing", "d:Description": "Paul de Bruijn's review of the CD.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Inuit/Tullaugag,_Alacie_and_Lucy_Amarualik", "url": "http://www.rambles.net/tullaugaq_katut98.html"} +{"d:Title": "Jewish Music WebCenter", "d:Description": "A directory of Jewish music on the Internet, by a librarian of Brandeis University.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish", "url": "http://www.jmwc.org/"} +{"d:Title": "Jewish Music Resources", "d:Description": "Listing of Jewish music resources maintained by Roger Harris.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish", "url": "http://www.nationalfinder.com/jmr/"} +{"d:Title": "Theory of Jewish Prayer Modes", "d:Description": "Exposition by Josh Horowitz on the system of Jewish prayer modes, or Staygers, which forms the basis of all Jewish music. The article is written from the perspective of Klezmer music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish", "url": "http://www.klezmershack.com/articles/horowitz/horowitz.klezmodes.html"} +{"d:Title": "Hebrew Songs", "d:Description": "Collection of Hebrew songs, transliterated and translated into English, with sound clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish", "url": "http://www.hebrewsongs.com/"} +{"d:Title": "Kempin, Daniel", "d:Description": "Germany-based singer and guitarist playing Yiddish music along with violinist Dimitry Reznik. With biography, MP3s, program and workshop synopses, and reviews. Site in English and German.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Bands_and_Artists", "url": "http://www.daniel-kempin.de/"} +{"d:Title": "Dachs, Shloime", "d:Description": "With background, discography, audio clips from all his albums, concert listings, popular sheet music, and related information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Bands_and_Artists", "url": "http://www.shloimedachs.com/"} +{"d:Title": "Neshoma Orchestra and Singers", "d:Description": "Orchestra with twenty years of experience performing for weddings, dinners, Bar / Bat Mitzvahs, and events, plus recording with many Jewish music recording and concert artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Bands_and_Artists", "url": "http://www.neshomaorchestra.com/"} +{"d:Title": "Veroba, Gershon", "d:Description": "Jewish entertainer. English songs with messages of inspiration as well as Hebrew and modern Chassidic melodies. Informal interaction with the audience.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Bands_and_Artists", "url": "http://veroba.net/"} +{"d:Title": "ShulMusic", "d:Description": "Complete sheet music collection of Salomon Sulzer as well as the Bluebook anthology of English chazzanut.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Chazzanut", "url": "http://www.shulmusic.org/"} +{"d:Title": "Zamir Chorale of Boston", "d:Description": "Non-profit mixed chorus who perform of Jewish choral music. Includes schedule, press clippings, recordings and biography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Chazzanut", "url": "http://www.zamir.org/"} +{"d:Title": "Modzitz", "d:Description": "The Modzitz chassidim are renown for their beautiful tunes. This site features a large MP3 collection of these nigunim.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Chazzanut", "url": "http://www.modzitz.org/"} +{"d:Title": "ShulMusic List", "d:Description": "Chazzanut email list and Yahoo groups site.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Chazzanut", "url": "http://launch.groups.yahoo.com/group/jewishshulmusic/"} +{"d:Title": "The Klezmer Shack", "d:Description": "Comprehensive site on Klezmer and 'world music from a Jewish slant.' Includes an extensive directory of Klezmer artists and a calendar of performances. The place for Klezmorim to network.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.klezmershack.com/"} +{"d:Title": "Klezmer Geneva", "d:Description": "Includes a complete guide of Klezmer music in general, the local scene, and also a presentation of Rojinkes mit Mandeln and Hotegezugt, bands directed by Michel Borzykowski.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://borzykowski.users.ch/EnglishIndex.html"} +{"d:Title": "The Essential Klezmer", "d:Description": "With a review of Rogovoy's book The Essential Klezmer, and information on members of the group Metropolitan Klezmer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.cosmopolis.ch/english/cosmo14/klezmer.htm"} +{"d:Title": "The German Klezmer Page", "d:Description": "Klezmer from a German perspective.[English/German]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.ta-deti.de/klezmer/english/"} +{"d:Title": "Der Bay - Anglo-Yiddish Newsletter", "d:Description": "International Anglo-Yiddish newsletter with international calendar of events, news of klezmer bands, Yiddish teachers, and Yiddish clubs and translators", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.derbay.org/"} +{"d:Title": "About the Klezmer Revival", "d:Description": "From one of the greatest current klezmer authorities, an article about the Klezmer revival.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.klezmershack.com/articles/aboutklez.html"} +{"d:Title": "Klezvendors", "d:Description": "Ari Davidow's links to vendors and organizations of interest to klezmorim.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.klezmershack.com/contacts/klezvendors.html"} +{"d:Title": "Living Traditions", "d:Description": "Home page for an organization that's involved with Yiddish language and culture, especially through their Yiddish-language recordings and through KlezKamp, an annual Yiddish folk arts festival which they produce.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.livingtraditions.org/"} +{"d:Title": "Jewish Music Institute", "d:Description": "Arts organisation based at the Schoolof Oriental and African Studies, supporting education and performance. Information on concerts, courses and conferences. [UK]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.jmi.org.uk/"} +{"d:Title": "Klezmer Ring", "d:Description": "Webring for sites about klezmer and klezmer bands.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer", "url": "http://www.webring.org/hub?ring=klezmer"} +{"d:Title": "Ot Azoj", "d:Description": "Dutch Klezmer Band. Includes pictures, CDs, and contact info.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.otazoj.nl/"} +{"d:Title": "Tummel", "d:Description": "Swedish sixtet playing at concerts and festivals around the world. Presentation of the members, sound clips, reviews and pictures. [Swedish and English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.tummel.nu/"} +{"d:Title": "Klezmer Conservatory Band", "d:Description": "One of the oldest and most respected Klezmer bands around. Their web page contains information about the band's concerts, educational program, and CDs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.klezmerconservatory.com/"} +{"d:Title": "Klezamir", "d:Description": "The band's site - some wonderful clips and information about the band.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.klezamir.com/"} +{"d:Title": "Klezmania", "d:Description": "Australian klezmer and yiddish folk band. Upcoming gigs, recordings, news and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.klezmania.com.au/"} +{"d:Title": "Oy Klezmer", "d:Description": "Performs the klezmer and yiddish music of Eastern Europe, including wedding music from Moldova.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://ksumail.kennesaw.edu/~lsherr/oyklezmer/index.htm"} +{"d:Title": "Maxwell Street Klezmer Band", "d:Description": "Klezmer band from Chicago.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.klezmerband.com/"} +{"d:Title": "Krakowski, Wolf", "d:Description": "A guitarist and blues-singer from Toronto, Canada, now residing in Northampton, Massachusetts, who is firmly anchored in Yiddish.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://home.swipnet.se/~w-40997/WolfEng.htm"} +{"d:Title": "Tziganarama", "d:Description": "Official site for the London-based trio playing klezmer and East European folk on fiddle, accordion and double bass. With background, release information, reviews, and gig dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.fiddlingaround.co.uk/tzig/"} +{"d:Title": "Klezmerica", "d:Description": "Represents Jewish culture and folk music through the Jewish musical form known as klezmer. RealAudio samples of their klezmer CD, booking and ordering information. Based in Minnesota's Twin Cities area.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.frozenchozen.com/"} +{"d:Title": "Yiddishe Cup", "d:Description": "Cleveland's concert and celebration klezmer band. With general information, reviews, release details with RealAudio samples, and performance schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.yiddishecup.com/"} +{"d:Title": "Bostoner Klezmer, Di", "d:Description": "A group of four musicians in Massachusetts playing Klezmer, traditional Jewish, Hasidic music and Yiddish song. With brief member profiles, past show locations, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.yiddishmusic.com/"} +{"d:Title": "Binyomin Ginzberg Trio, The", "d:Description": "Ensemble playing Yeshivish, Chassidic, Israeli, Klezmer, and contemporary Jewish, as well as jazz and classical. With background, concert dates, audio clips, and performance services.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.jewishmusician.com/"} +{"d:Title": "Steel City Klezmorim", "d:Description": "Pittsburgh based Klezmer band playing classic Klezmer and Jewish music. Performs also in Cleveland, Ohio and Washington, DC areas.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.henryshapiro.com/klezmer.htm"} +{"d:Title": "Eleonori", "d:Description": "Netherlands-based singer specializing in Yiddish and Ladino songs, accompanied by guitar. Includes guestbook and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://eleonori.tripod.com/yiddishmusic/"} +{"d:Title": "Klezmatics, The", "d:Description": "An American Klezmer music group. With biography, discography, song samples, reviews, show dates, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.klezmatics.com/"} +{"d:Title": "Second Avenue Klezmer Ensemble", "d:Description": "Celebrates Jews in North America with the melodies of Eastern Europe, the American Yiddish Theatre, and songs of Jewish Spain. Includes biographies and performance schedule. Based in San Diego, California.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://www.secondavenueklezmer.com/"} +{"d:Title": "Fifth Generation Klezmer All-Stars", "d:Description": "Traditional Klezmer band based in New York City, USA. Includes mp3 files, upcoming gigs, and general information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Jewish/Klezmer/Bands_and_Artists", "url": "http://fifthgeneration.klezmer.org/"} +{"d:Title": "Yahoo! Groups: Kurdish-music", "d:Description": "Mailing list with open membership.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Kurdish", "url": "http://groups.yahoo.com/group/kurdish-music/"} +{"d:Title": "Razbar Ensemble", "d:Description": "Presenting the sacred music of the Ahl-e Haqq, whose rich repertoire is derived from its deep roots in ancient spiritual and artistic traditions. Contains photos, discography, QuickTime and RealAudio samples, and information on the dances, spirituality, and music of the Ahl-e Haqq.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Kurdish", "url": "http://www.razbar.com/"} +{"d:Title": "Afrocubaweb.com: Music", "d:Description": "Profiles and schedules of artists worldwide, record labels and agencies, radio stations, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban", "url": "http://www.afrocubaweb.com/music.html"} +{"d:Title": "Cuban Music 101", "d:Description": "A brief description of Cuban music forms, with featured artists and RealAudio samples, courtesy of Mamborama.com.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban", "url": "http://www.mamborama.com/cuba_music.html"} +{"d:Title": "Bongo Drums", "d:Description": "A brief history of bongo drumming linked to the early Cuban music styles of Chang\u00fci and Son.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban", "url": "http://www.rhythmweb.com/bongo/history.htm"} +{"d:Title": "Explorations in Afro-Cuban Dance and Drum", "d:Description": "Humboldt State University Office of Extended Education presents the 6th annual Explorations in Afro-Cuban Dance and Drum workshop to celebrate the folkloric music, songs, and dances of the Afro-Cuban people.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban", "url": "http://www.humboldt.edu/afrocuban/"} +{"d:Title": "Afrocuba de Matanzas", "d:Description": "One of Cuba's top folkloric groups, with an impressive knowledge of many of the African traditions. Biographical and historical information, reviews, discography, tour schedule, and CD-ROM sales.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban/Bands_and_Artists", "url": "http://afrocubaweb.com/afrocubamatanzas.htm"} +{"d:Title": "Barreto, Emilio", "d:Description": "Background information on vocalist Barreto, and information on his cd \"Santisimo\", a homage to the African deities of Santeria.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban/Bands_and_Artists", "url": "http://afrocubaweb.com/luzproductions.htm"} +{"d:Title": "Ibbu-Okun", "d:Description": "Biographical information on this all female Afro-Cuban folkloric group from Cuba, founded by percussionist, and singer Amelia Pedroso.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban/Bands_and_Artists", "url": "http://www.ibiblio.org/mao/musicians/ibbu_okun.html"} +{"d:Title": "Quimbomb\u00f3", "d:Description": "The name of this NY area band means \"okra\" in Spanish, and they play Afro-Cuban rhythms, salsa and Latin jazz. Their page includes band member biographies, sound samples and booking information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban/Bands_and_Artists", "url": "http://www.quimbombo.com/home.htm"} +{"d:Title": "Son Sublime", "d:Description": "Ten-piece charanga band based in the New York City area, playing the classic styles of Danz-n, Mambo, Cha-Cha-Cha, and Son. Schedule and booking information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Afro-Cuban/Bands_and_Artists", "url": "http://www.sonsublime.com/"} +{"d:Title": "Bata Ketu: A musical interplay of Cuba and Brasil", "d:Description": "Bembe Records site for the Afro-Cuban, Afro-Brazilian music of two American percussionists: Michael Spiro and Mark Lamson, includes biography, sound samples and cd information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Bands_and_Artists", "url": "http://www.bembe.com/bataketu/"} +{"d:Title": "Brasil Brazil Show", "d:Description": "Ensemble delivering the fervor, enthusiasm and excitement of popular Brazian music. Biographies, pictures, contact information and links on their site.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Bands_and_Artists", "url": "http://brasilbrazilshow.com/"} +{"d:Title": "Valdez, Carlos", "d:Description": "Homepage of Afro-Latin percussionist Carlos \"Carlito\" Valdez, composer for \"Bring in Da Noise, Bring in Da Funk\", and musical director of Wemba.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Bands_and_Artists", "url": "http://www.wemba-music.org/carlos_valdez.htm"} +{"d:Title": "Gonzalez , Nelson", "d:Description": "Official Website of Tres Guitar player Nelson Gonzalez has sound samples and cd information. Touring musician for Marc Anthony, this five-time grammy award winner has recorded for Gloria Estefan and others.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Afro-Latin/Bands_and_Artists", "url": "http://www.mindspring.com/~marcsue/nelson/"} +{"d:Title": "Music from the Andes", "d:Description": "Spotlights music from the Andean countries, includes descriptive notes about their music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean", "url": "http://boleadora.com/"} +{"d:Title": "Fiesta Andina", "d:Description": "Andean folk music trio based in Nova Scotia, Canada.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean/Band_and_Artists", "url": "http://www.hutten.org/fiesta_andina/"} +{"d:Title": "Inca Sons", "d:Description": "Plays music from the Andes of Peru and the rest of Latin America. It presents full-scale stage productions for fairs, festivals and concerts, gives lectures, performances and workshops for schools, and provides musical training.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean/Band_and_Artists", "url": "http://www.incason.com/"} +{"d:Title": "Inka Antares", "d:Description": "Includes biographies and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean/Band_and_Artists", "url": "http://www.inka.freeservers.com/"} +{"d:Title": "Quichua Mashis", "d:Description": "A band formed by Quichua Indians from northern Ecuador. Sells music CDs, instruments, and clothing from the Andes region of South America.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean/Band_and_Artists", "url": "http://www.incasite.com/"} +{"d:Title": "Saint Paul Sunday presents Rumillajta", "d:Description": "Exploring the music the music of this Bolivian ensemble that specializes in native Andean repertoire.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean/Band_and_Artists", "url": "http://saintpaulsunday.publicradio.org/features/9705_rumillajta/"} +{"d:Title": "Karumanta Jamuyku", "d:Description": "Features traditional Bolivian music and the music of Oscar Reynolds.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean/Band_and_Artists", "url": "http://www.karumanta.com/"} +{"d:Title": "Kjilmar Music", "d:Description": "Latin American and world music by Kjilmar from Lima, Peru.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Andean/Band_and_Artists", "url": "http://www.kjilmar.com/"} +{"d:Title": "Conexion Latina", "d:Description": "Band comprised of eight musicians that connect music lovers with rhythms they define El Sonido Tropical Mexicano; member's biography, discography, audio clips, and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Bands_and_Artists", "url": "http://www.conexionlatinausa.com/"} +{"d:Title": "Ortiz, Luis Perico", "d:Description": "Trumpeter from Puerto Rico; biography and resume, discography, and current projects.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Bands_and_Artists", "url": "http://home.coqui.net/perico/"} +{"d:Title": "Fortin-L\u00e9veill\u00e9", "d:Description": "A pair of Latin guitarists creating a blend of music. With background, release information, MP3s, reviews, and pictures.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Bands_and_Artists", "url": "http://www.reverbnation.com/fortinleveille"} +{"d:Title": "Carpio, Luzmila", "d:Description": "Bolivian singer: general artist information, music, tour, releases, and press.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Bands_and_Artists", "url": "http://lcmgmt.online.fr/html/luzmilacarpio.html"} +{"d:Title": "Bossa Nova Song Lyrics and Chords", "d:Description": "Offers lyrics, mp3s, photo gallery, sheet musics and related information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Bossa_Nova", "url": "http://www.bensebossanova.com/english/lyrics-chords-guitar.htm"} +{"d:Title": "Gil, Gilberto", "d:Description": "Official site with biography, albums and news. In Portuguese, Spanish and English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Bossa_Nova/Bands_and_Artists", "url": "http://www.gilbertogil.com.br/"} +{"d:Title": "Bitencourt, Paulo", "d:Description": "Brazilian musician, voice-over talent and photographer. Audio and video samples, photography and the history of bossa nova.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Bossa_Nova/Bands_and_Artists", "url": "http://bitencourt.net/"} +{"d:Title": "Samba Resille", "d:Description": "The associative batucada (Brasilian percussion school) takes part in carnivals, festivals in the heat of the samba.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian", "url": "http://sambistas.online.fr/samba-resille/"} +{"d:Title": "Brazilian Music", "d:Description": "A source about this music culture and style: articles, interviews and it hosts sites of many Brazilian artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian", "url": "http://brazilianmusic.com/"} +{"d:Title": "Brazil: Musical Cauldron", "d:Description": "An article on how different cultures have influenced Brazilian music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian", "url": "http://www.brazzil.com/musaug98.htm"} +{"d:Title": "Wonders of Brazilian Music", "d:Description": "Brazilian Music Guide features profiles of nearly seventy artists, reviews of albums, and information on various Brazilian musical styles, including a glossary of musical terms.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian", "url": "http://www.slipcue.com/music/brazil/brazillist.html"} +{"d:Title": "The Brazilian Fantasy", "d:Description": "Weekly public radio show featuring all genres of Brazilian music. Cenir is the host of the show.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian", "url": "http://brazilnut0.tripod.com/brazilianfantasy/"} +{"d:Title": "Daniella Thompson on Brazil", "d:Description": "Articles on Brazilian music and culture; disc reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian", "url": "http://daniv.blogspot.com/"} +{"d:Title": "Brazilian Music&Folklore", "d:Description": "Offers articles about roots of MPB, reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian", "url": "http://www.maria-brazil.org/brazilian_musicandfolklore.htm"} +{"d:Title": "Purim, Flora", "d:Description": "Official site of the singer includes biography, discography, photo gallery and sound files.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://www.florapurim.com/"} +{"d:Title": "Moreira, Airto", "d:Description": "Official site in English and Portuguese: includes biography, discography, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://www.airto.com/"} +{"d:Title": "De Carvalho, Marco", "d:Description": "Schedule, biography and CD information of the guitarist original from Rio de Janeiro, now residing in Seattle.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://marco_carvalho.tripod.com/"} +{"d:Title": "Vergueiro, Guilherme", "d:Description": "Pianist, composer, arranger and producer: bio, discography, interviews, and it introduces the Brazilian Big Band.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://brazilianmusic.com/vergueiro/"} +{"d:Title": "Garcia, Paulinho", "d:Description": "Guitarist, features his discography and current projects.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://paulinhogarcia.com/"} +{"d:Title": "Show Brazil!", "d:Description": "Group of musicians and dancers owned by Eduardo Mendon\u00e7a, musician from Salvador, Bahia, now based in Washington: pictures, schedule, and school programs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://www.showbrazil.com/en/index.html"} +{"d:Title": "The Amazonas Samba School", "d:Description": "Based in Tel Aviv, Israel: photos, credits, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://members.tripod.com/amazonas_go/"} +{"d:Title": "Lindsay, Arto", "d:Description": "Singer and songwriter: biography, discography, press reviews, and current projects.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://www.artolindsay.com/"} +{"d:Title": "Mariano, Cesar Camargo", "d:Description": "Pianist, arranger and composer. Biography, discography, media samples, agenda, interviews, and photos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://www.cesarcamargomariano.com/"} +{"d:Title": "Carlinhos Brown", "d:Description": "Article in RootsWorld on this contemporary composer, percussionist, and singer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://www.rootsworld.com/rw/feature/brown.html"} +{"d:Title": "Ach\u00e9 Brasil", "d:Description": "Nominated for Live Performer of the year by the West Coast Music Awards, this band specializes in Brazilian music, capoeira and dances.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists", "url": "http://achebrasil.ca/"} +{"d:Title": "All Music Guide: Egberto Gismonti", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists/Gismonti,_Egberto", "url": "http://www.allmusic.com/artist/egberto-gismonti-p73484"} +{"d:Title": "Verve Records: Antonio Carlos Jobim", "d:Description": "Includes discography and biography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists/Jobim,_Antonio_Carlos", "url": "http://www.vervemusicgroup.com/antoniocarlosjobim"} +{"d:Title": "Brazzil: Jovino Santos, ambassador of Hermeto Pascoal", "d:Description": "An interview to this pianist about Hermeto and his music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists/Pascoal,_Hermeto", "url": "http://www.brazzil.com/musdec96.htm"} +{"d:Title": "All Music Guide: Hermeto Pascoal", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Brazilian/Bands_and_Artists/Pascoal,_Hermeto", "url": "http://www.allmusic.com/artist/hermeto-pascoal-p112663"} +{"d:Title": "Latin Jazz Network", "d:Description": "Online project dedicated to promoting Latin jazz music and artists. Featuring events schedules, news, artists reviews, interviews and musicians profiles. Provides links to artists Web sites, and audio files library.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz", "url": "http://www.latinjazznet.com/"} +{"d:Title": "Corazon de Aldea", "d:Description": "Argentinian group consisting of four musicians: website in English, German, French and Spanish has photos, biographies and concert dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.ars.net/cda/"} +{"d:Title": "Gomez, Richard", "d:Description": "Dominican born Gomez is a writer, arranger and guitarist, and his pages include a biography and information on the Latin-Jazz band Manati.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.richardgomez.com/"} +{"d:Title": "Tjader, Cal", "d:Description": "Dedicated to presenting, discussing and preserving the recordings of this vibraphonist.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.caltjader.com/"} +{"d:Title": "Towns, Mark", "d:Description": "Guitarist, it offers some audio samples from his CD, some pictures and his performance schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.marktowns.com/"} +{"d:Title": "Jazz Caliente", "d:Description": "Based in San Francisco Bay Area: discography, schedule, and information on band members.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://leewatermanproductions.com/"} +{"d:Title": "Hernandez, Horacio \"El Negro\"", "d:Description": "Cuban drummer: biography, discography, photographs, reviews, and current projects.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.elnegro.com/"} +{"d:Title": "Marea Alta", "d:Description": "Latin pop and smooth jazz band popular in Venezuela and Florida, now based in Atlanta. Photo gallery, mp3s, booking information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.mareaalta.com/"} +{"d:Title": "Romero, Miguel", "d:Description": "Atlanta-based pianist and keyboardist offers profile, press reviews, photos with his band, and samples from CD for sale.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.miguelromero.com/"} +{"d:Title": "BYE-YA!", "d:Description": "Quintet based in Nederlands: history, biography, discography, and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Jazz/Bands_and_Artists", "url": "http://www.bye-ya.com/"} +{"d:Title": "Pereira, Alfredo", "d:Description": "Official site for this pop artist from Uruguay. With news, biography, pictures, discography, lyrics, song samples, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists", "url": "http://www.alfredopereira.com/"} +{"d:Title": "Puente Jr., Tito", "d:Description": "The King of Timbales' son: site includes biography, photos, fan club, and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists", "url": "http://www.titopuentejr.freeservers.com/"} +{"d:Title": "Songo", "d:Description": "San Francisco based Latin-Rock band: history, photos, audio samples, reviews, lyrics, and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists", "url": "http://www.songo.com/"} +{"d:Title": "Fey", "d:Description": "Dedicated to the singer: includes information, news, lyrics, photos, message board and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Fey", "url": "http://www.angelfire.com/music/fey/index.html"} +{"d:Title": "Feynatic", "d:Description": "A resource for English-speaking fans of the Mexican pop star: includes translated lyrics, photos, personal information, wallpapers, multimedia downloads, links, and message board.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Fey", "url": "http://feynatic.8m.com/"} +{"d:Title": "Shakira", "d:Description": "Official site for the singer: biography, discography, photos, videos, tour information and sound files.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira", "url": "http://shakira.com/"} +{"d:Title": "Behind The Glass", "d:Description": "Site featuring celebrities such as Shakira and Mandy Moore.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira", "url": "http://www.angelfire.com/stars3/behindglass/index1.html"} +{"d:Title": "Pop Entertainment - Shakira", "d:Description": "Interview by Jay S. Jacobs concerning Shakira's rise to stardom.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira", "url": "http://www.popentertainment.com/shakira.htm"} +{"d:Title": "MTV: Shakira", "d:Description": "Album reviews, news, audio files, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira", "url": "http://www.mtv.com/artists/shakira/"} +{"d:Title": "Beautiful Shakira", "d:Description": "Includes photos and news on the Columbian superstar.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://iamfamous1.tripod.com/beautifulshakira/"} +{"d:Title": "Latin Beauty", "d:Description": "Includes biography, discography, pictures, news, gossip and lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://latinbeauty03.tripod.com/shakiraonline/"} +{"d:Title": "Los Pies Descalzos de Shakira", "d:Description": "Pictures, biography, concert information, news, and some songs from her first CD that was only released in Colombia.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://www.angelfire.com/ca3/Shakira/"} +{"d:Title": "Shakira Explosion!", "d:Description": "Features pictures, biography, discography, videography, and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://shakiramusic.tripod.com/"} +{"d:Title": "Shakira Fansite", "d:Description": "Includes news and discography", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://shakira48.tripod.com/shakira/"} +{"d:Title": "ShakiraHeaven.com", "d:Description": "Includes free mail, news and tour information, photos, discography, and biography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://www.shakiraheaven.com/"} +{"d:Title": "Shak Track", "d:Description": "Includes news and TRL chart updates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://www.angelfire.com/sk3/shaktrak/"} +{"d:Title": "Ablazingly Shakira", "d:Description": "Includes pictures of the Latin singer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Latin_Pop/Bands_and_Artists/Shakira/Fan_Pages", "url": "http://www.ablazingly.com/shakira/"} +{"d:Title": "LaMusica.com", "d:Description": "A information-packed site with information about Latin music: artists, events and record reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Magazines_and_E-zines", "url": "http://www.lamusica.com/"} +{"d:Title": "La Ritmo.com", "d:Description": "It offers interviews, charts, news and reviews, also with streaming audio clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Magazines_and_E-zines", "url": "http://www.laritmo.com/"} +{"d:Title": "RootsWorld", "d:Description": "Magazine of world music, roots music, music from around the planet that defies easy classification or pigeonholing.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Magazines_and_E-zines", "url": "http://www.rootsworld.com/"} +{"d:Title": "Mamajuana", "d:Description": "Official site for the band: project information, discography, photos, sound clips and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Merengue", "url": "http://www.mamajuana.com/"} +{"d:Title": "PBS: Accordion Dreams - All About Conjunto", "d:Description": "Television program about the style of music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.pbs.org/accordiondreams/all/"} +{"d:Title": "The Roots of Tejano and Conjunto Music", "d:Description": "Music excerpts, liner notes, and photographs from Arhoolie Records.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.lib.utexas.edu/benson/border/arhoolie2/raices.html"} +{"d:Title": "South Texas Conjunto Association", "d:Description": "Information about a non-profit, educational organization that teaches about the origins of conjunto music of South Texas.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.angelfire.com/tx/STCA/"} +{"d:Title": "M\u00fasica fronteriza - Border Music", "d:Description": "By Manuel Pe\u00f1a. Research article on the music of the Mexican/US border.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.lib.utexas.edu/benson/border/pena/index.html"} +{"d:Title": "Yo soy de aqui", "d:Description": "Photographs of Central Texas conjunto accordion players.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.lib.utexas.edu/benson/border/accordion/index.html"} +{"d:Title": "Conjunto 101: An Unofficial Primer", "d:Description": "Includes origins, instruments, and development of the style of music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.accordions.com/index/art/guerra.shtml"} +{"d:Title": "Narcocorrido: A Journey into the Music of Drugs, Guns, and Guerrillas", "d:Description": "Detailed description of this book about the corrido music style, and the Mexican culture.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.elijahwald.com/corrido.html"} +{"d:Title": "Handbook of Texas Online: M\u00fasica Norte\u00f1a", "d:Description": "History of the style of music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.tshaonline.org/handbook/online/articles/xbm01"} +{"d:Title": "Handbook of Texas Online: Texas-Mexican Conjunto", "d:Description": "History of the style of music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.tshaonline.org/handbook/online/articles/xbtpa"} +{"d:Title": "Grito Radio", "d:Description": "An online Tejano music radio station.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o", "url": "http://www.gritoradio.com/"} +{"d:Title": "Los Tigres del Norte: Metroactive Music", "d:Description": "Article with a history of the band.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.metroactive.com/papers/metro/12.31.97/los-tigres-9753.html"} +{"d:Title": "Ayala, Ram\u00f3n : All Music Guide", "d:Description": "Leader of Los Bravos del Norte. Includes biography and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/ramn-ayala-p28967"} +{"d:Title": "Conjunto Bernal: All Music Guide", "d:Description": "Features band history, discography, and recordings for sale.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/conjunto-bernal-p33678"} +{"d:Title": "Jimenez Jr, Santiago : All Music Guide", "d:Description": "Features biography, merchandise, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/santiago-jimenez-jr-p1666"} +{"d:Title": "Longoria, Valerio : All Music Guide", "d:Description": "Conjunto pioneer. Includes biography, products, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/valerio-longoria-sr-p99310"} +{"d:Title": "Los Invasores de Nuevo Leon: All Music Guide", "d:Description": "Includes history, merchandise, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/los-invasores-de-nuevo-len-p29191"} +{"d:Title": "Jimenez, Santiago, Sr.: Handbook of Texas Online", "d:Description": "Biography of the conjunto artist.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.tshaonline.org/handbook/online/articles/fji03"} +{"d:Title": "Jordan, Esteban: All Music Guide", "d:Description": "Includes biography, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/esteban-steve-jordan-mn0001563633"} +{"d:Title": "Jimenez Sr, Santiago: All Music Guide", "d:Description": "Pioneer of conjunto music. Features biography and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/don-santiago-jimenez-sr-mn0000802648"} +{"d:Title": "Los Tigres del Norte: All Music Guide", "d:Description": "Features band history, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/los-tigres-del-norte-mn0000806336"} +{"d:Title": "Los Huracanes del Norte: All Music Guide", "d:Description": "Includes history, members, discography, and products.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists", "url": "http://www.allmusic.com/artist/los-huracanes-del-norte-mn0000286866"} +{"d:Title": "Narciso Martinez - Father of Texas-Mexican Conjunto", "d:Description": "Features extensive biography and music excerpts.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists/Martinez,_Narciso", "url": "http://www.lib.utexas.edu/benson/border/arhoolie2/narciso.html"} +{"d:Title": "All Music Guide: Narciso Martinez", "d:Description": "Considered one of the founders of m\u00fasica norte\u00f1a. Includes biography, discography, and products.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists/Martinez,_Narciso", "url": "http://www.allmusic.com/artist/p33078"} +{"d:Title": "Handbook of Texas Online: Martinez, Narciso", "d:Description": "Biography of the conjunto founding artist.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Norte\u00f1o/Bands_and_Artists/Martinez,_Narciso", "url": "http://www.tshaonline.org/handbook/online/articles/fmadk"} +{"d:Title": "Rae's Music Page", "d:Description": "Latin jazz and salsa radio show with Rae Arroyo on KUNV 91.5 in Las Vegas, Saturdays at noon. Salsa events and a weekly playlist.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Radio", "url": "http://www.raeslatinmusicpage.myeweb.net/custom3.html"} +{"d:Title": "DJ Michael - El Rumbero", "d:Description": "Homepage of Salsa DJ Michael 'El Rumbero' with CD reviews, photographs and information about the salsa scene in Berlin, Germany.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa", "url": "http://www.el-rumbero.com/"} +{"d:Title": "Cecilia No\u00ebl and the Wild Clams", "d:Description": "The official site for the band includes photos, biography and schedules. Check out their latest music and videos via MP3s and Real Player.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.wildclam.com/"} +{"d:Title": "Salsera Music", "d:Description": "Offers information about two musical ensembles based in the Mid-Atlantic region: Pastiche and Elio Villafranca and the New Latin Voyage Project.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.salseramusic.com/"} +{"d:Title": "Grupo Fantasia", "d:Description": "Based in Boston, MA: biography, audio samples, schedule, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.grupofantasia.com/"} +{"d:Title": "Cepeda, William", "d:Description": "Official site of the trombonist/composer/arranger from Loiza Aldea, Puerto Rico. Contains reviews, interviews, recordings, discography, resources, projects information, biography, photo gallery and tour schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.williamcepeda.com/"} +{"d:Title": "Boogalu Productions", "d:Description": "Featuring the music of Cuban bands who perform traditional popular music. Includes audio files and information about culture and history.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.boogalu.com/"} +{"d:Title": "Jimenez, Carmen", "d:Description": "Official site of the singer: biography, discography, some photos, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.carmenjimenez.com/"} +{"d:Title": "Yari More y su Orquesta", "d:Description": "Official site that includes: biography, discography, schedule, and press cuttings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.yarimore.com/"} +{"d:Title": "Mamborama", "d:Description": "Palm Springs,CA-based Salsa band's website includes biography, reviews, discography and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.mamborama.com/"} +{"d:Title": "Fredy Omar con su Banda", "d:Description": "New Orleans-based band features Honduran-born Salsero Fredy Omar and includes soundclips, photos, schedule, biography and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.fredyomar.com/"} +{"d:Title": "Tierra", "d:Description": "Official website of Rhythm and Blues/Salsa band includes biography, discography, photos and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.tierramusic.com/"} +{"d:Title": "Somos Son", "d:Description": "Popular Salsa band based in Santa Barbara, CA. Includes biography, photos and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.somosson.com/"} +{"d:Title": "David Cedeno and His Orchestra", "d:Description": "A 13 piece Latin American band. Audio samples, band history, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.davidcedeno.com/"} +{"d:Title": "MioSotis Online", "d:Description": "The website of MioSotis, a female salsa artist, with information about her biography and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists", "url": "http://www.miosotisonline.com/"} +{"d:Title": "AfroCubaWeb: Celia Cruz", "d:Description": "Brief article on Celia's early start in the Communist Party. Site also contains tour schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists/Cruz,_Celia", "url": "http://afrocubaweb.com/celiacruz.htm"} +{"d:Title": "\"Tito Puente Way\" Dedication", "d:Description": "Article covers the Dedication Ceremony of \"Tito Puente Way\" on the corner of 110th Street in NYC next to the tenement where the famed percussionist grew up.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Bands_and_Artists/Puente,_Tito", "url": "http://www.east-harlem.com/mt/archives/000027.html"} +{"d:Title": "Salsaholic", "d:Description": "All about Salsa. Includes a huge concert calendar for central Europe, CD reviews with audio samples, star information, gig contacts for musicians, bands and promoters and a glossary of Latin musical terms.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Concerts_and_Events", "url": "http://www.salsaholic.de/"} +{"d:Title": "Salsa Power", "d:Description": "CD reviews, articles and a list of cities around the world with Salsa clubs, schools and events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Concerts_and_Events", "url": "http://www.salsapower.com/"} +{"d:Title": "Salsa Stuff", "d:Description": "Contains history, graphics, photos, posters and videos of Salsa from the 70's.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/History", "url": "http://www.salsamagazine.com/"} +{"d:Title": "Sonidos de las Americas: Cuba", "d:Description": "A bi-lingual site for those interested in exploring the Cuban roots of Salsa. Highlights Cuban composers and provides event information for concert showcases.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/History", "url": "http://americancomposers.org/cubasourceessay.htm"} +{"d:Title": "Salsa Artists", "d:Description": "Artists can register their music 24 hours/7 days a week. DJs can list their clubs, charts and create their own radio station. Chat, message board, interviews and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Salsa/Radio_Stations", "url": "http://www.salsaartists.com/"} +{"d:Title": "Samba Galez", "d:Description": "Community drumming group in Cardiff, Wales playing a range of rhythms derived from Brazilian samba, along with West African and Cuban beats.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Samba/Bands_and_Artists", "url": "http://www.sambagalez.info/"} +{"d:Title": "Sambashows Brazilian Samba Band", "d:Description": "Sambashows' professional Brazilian musicians play Latin styles including Samba, Lambada, Ax\u00e9, Forr\u00f3, Salsa&Bossa Nova. Our Samba Band, Raizes do Samba, plays authentic samba and are available for UK&worldwide events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Samba/Bands_and_Artists", "url": "http://www.sambashows.com/"} +{"d:Title": "Viva-Brasil Ltd Homepage", "d:Description": "Rio-styled carnival dancing and samba shows and events company in the north west of england, specifically Liverpool, Manchester and Merseyside and Cheshire", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Samba/Bands_and_Artists", "url": "http://viva-brasil.co.uk/"} +{"d:Title": "Todo Tango", "d:Description": "Offers information on dance and music. Also features songs and lyrics from Astor Piazzolla, Eva Peron, Carlos Gardel, covers the history, and provides lessons.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango", "url": "http://www.todotango.com/"} +{"d:Title": "Argentine Tango Music and Videos", "d:Description": "Includes a list of recordings and videos featuring tango Argentino from a personal collection.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango", "url": "http://www.solarpassion.com/latin/tango_music.htm"} +{"d:Title": "Argentina's Music", "d:Description": "Offers information on the musicians and singers of Tango.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango", "url": "http://argentour.com/en/tango/tango.php"} +{"d:Title": "Tango DJ", "d:Description": "Topical links to DJ resources including guides for tango music for dancing and how to DJ tango events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango", "url": "http://www.tangodj.org/"} +{"d:Title": "Tango Tales", "d:Description": "Site that lists albums and tracks of Argentine tango music as well as book reviews and blogs about Argentina and tango.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango", "url": "http://www.tangotales.com/"} +{"d:Title": "Vogel, Norberto", "d:Description": "Curriculum and contact information for this pianist and bandoneon player in Buenos Aires.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.vogel.com.ar/"} +{"d:Title": "Fain Mantega", "d:Description": "Biography, audio clips, and photos for this tango duo formed by Paulina Fain and Exequiel Mantega in Argentina.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.fain-mantega.com.ar/"} +{"d:Title": "Rocco Boness", "d:Description": "Bandoneon tuning and repair specialist Rocco Boness who plays as a soloist and also with others in various arrangements.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.roccoboness.com/"} +{"d:Title": "Al Tango Orchestra", "d:Description": "This group's first album includes tango songs performed in twelve languages by al vocalist Piotr Rafa\u0142ko from Poland.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.altango.art.pl/"} +{"d:Title": "Astrorico", "d:Description": "A history of the group with members' biographies and a listing of CDs produced by this tango ensemble in Kyoto, Japan.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.astrorico.com/"} +{"d:Title": "Beltango", "d:Description": "A Balkan tango quintet from Serbia with information about their albums and performances in Belgrade.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.beltango.com/"} +{"d:Title": "Tango Bar", "d:Description": "Information and schedule for the group Tango Bar which plays traditional and newer tango music in Israel.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.tangobar.co.il/"} +{"d:Title": "Mood Area 52", "d:Description": "A tango quintet that blends traditional tango elements with music from other genres based in Eugene, Oregon.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.rocketboyarts.com/mood_area_52/"} +{"d:Title": "Tango Egregia", "d:Description": "An independent songwriter musician producer of new tango music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.egriega.co.uk/"} +{"d:Title": "Santiago Cimadevilla", "d:Description": "An Argentine bandoneonist based in The Netherlands who performs as a soloist and with various orchestras and ensembles throughout Europe.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.santiagocimadevilla.com/"} +{"d:Title": "PortoTango Ensemble", "d:Description": "Tango musicians in Portugal that play a variety of tango styles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tango/Bands_and_Artists", "url": "http://www.portotango.net/"} +{"d:Title": "Texas Talent Musicians Association", "d:Description": "Non-profit organization that provides a public forum for songwriters, performers and musicians in order to recognize their artistic efforts and achievements through the annual Tejano Music Awards.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://www.tejanomusicawards.com/"} +{"d:Title": "Dbo's Tejano.com", "d:Description": "Houston's Tejano resource: concert information, news, and album reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://dbo375.tripod.com/ENTER.HTML"} +{"d:Title": "Breaking Point", "d:Description": "Supporting Tejano and Latin music in Phoenix, Arizona: information about artists, and events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://breaking_pointaz.tripod.com/"} +{"d:Title": "Tejano Universe", "d:Description": "Includes news, reviews, pictures, soundclips, and links to related sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://www.angelfire.com/tx4/tejanouniverse/"} +{"d:Title": "La Mera Mera's Tejano Music Homepage", "d:Description": "Information about artists like Selena, David Lee Garza and Emilio with pictures, autographs, links, message board, chat rooms, music polls.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://members.tripod.com/~loc2001/"} +{"d:Title": "Tejano Y Grupero News", "d:Description": "Providing coverage of tejano, norteno, and grupero music in South Texas.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://tejanogruperonews.tripod.com/"} +{"d:Title": "iTejano", "d:Description": "A blog about Tejano music and musicians.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://www.itejano.com/"} +{"d:Title": "Tejano Music Festival", "d:Description": "Annual national convention. Includes artist line-up and schedule of events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano", "url": "http://www.tejanoticket.com/"} +{"d:Title": "Grupo Intocable", "d:Description": "Official site that includes bio, discography, sound files and lyrics, photos and a fan zone with a chat and a message board. (Flash plug in required)", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://www.grupointocable.com/"} +{"d:Title": "Salgado, Michael", "d:Description": "Official site that includes biography, band information, discography, photos and the tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://www.michaelsalgado.com/"} +{"d:Title": "Herrera, Ram", "d:Description": "Biography, discography, tour dates, contact information and some merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://www.ramherrera.com/"} +{"d:Title": "Ramos, Ruben - El Gato Negro", "d:Description": "Official site includes biography, music clips, video, concert dates, band information and related merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://www.rubenramos.com/"} +{"d:Title": "Moreno, Jorge", "d:Description": "Biography, photos, and contact information for this country/tejano singer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://www.jorge-moreno.com/"} +{"d:Title": "Little Joe y La Familia", "d:Description": "Pioneering Tejano group. Includes tour information, products, news, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://littlejoeylafamilia.homestead.com/"} +{"d:Title": "Musicales, Los", "d:Description": "Official site includes photos, biographies, discography and a merchandising area.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://www.losmusicales.com/"} +{"d:Title": "Stefani Montiel", "d:Description": "Official site for the singer and her band featuring her bio, photos, tour dates, sound files and the fan club zone.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Tejano/Bands_and_Artists", "url": "http://www.stefanimontiel.com/"} +{"d:Title": "Lefel, Edith", "d:Description": "Discography and album art for this zouk singer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Zouk/Bands_and_Artists", "url": "http://www.afromix.org/html/musique/artistes/edith-lefel/"} +{"d:Title": "Kassav'", "d:Description": "Discography and track listings of all the commercial recordings of this popular singer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Zouk/Bands_and_Artists", "url": "http://www.afromix.org/html/musique/artistes/kassav/"} +{"d:Title": "Saint Val, Tanya", "d:Description": "Discography and album art for this popular zouk singer.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Zouk/Bands_and_Artists", "url": "http://www.afromix.org/html/musique/artistes/tanya-saint-val/"} +{"d:Title": "T-Konpa", "d:Description": "The official website of T-Konpa international music group.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Latin/Zouk/Bands_and_Artists", "url": "http://www.tkonpa2nuband.webs.com/"} +{"d:Title": "RootsWorld Magazine", "d:Description": "An online and e-mail magazine of world and roots music. Interviews, articles, audio clips, and recording reviews by music journalists from around the world.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Magazines_and_E-zines", "url": "http://www.rootsworld.com/rw/"} +{"d:Title": "Mariachi Educator's Resource Page", "d:Description": "Educational aids, resources, ideas and discussions.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi", "url": "http://guitarron.tripod.com/"} +{"d:Title": "Puro Mariachi", "d:Description": "Calendar of western US concerts and events, directory of groups, and links to history and review sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi", "url": "http://www.mariachi.org/"} +{"d:Title": "Mariachi 4u", "d:Description": "Searchable database of groups, with message board, classified ads, lyrics and sheet music. Most content in English, search for Mexican groups in Spanish.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi", "url": "http://www.sobrino.net/mariachi4u/"} +{"d:Title": "Serenata Mariachi", "d:Description": "Directory of bands, calendar of events, and blog.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi", "url": "http://serenatamariachi.com/"} +{"d:Title": "Mariachi Plaza", "d:Description": "Historic account of the plaza and the Boyle Hotel in East Los Angeles. Includes photos of places and people around the area.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi", "url": "http://www.mariachiplazalosangeles.com/"} +{"d:Title": "Mariachi Emanuel", "d:Description": "Miami, Florida. Photos and contact information. [English/Spanish]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.mariachiemanuel.com/"} +{"d:Title": "Las Perlitas Tapatias", "d:Description": "Guadalajara, Jalisco. Female group describes history, musical style, and discography. [English/Spanish]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.lasperlitas.com.mx/"} +{"d:Title": "Mariachi Cardenal de Stanford", "d:Description": "California. Current members, alumni information, booking request, and rehearsal schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.stanford.edu/group/mariachi/"} +{"d:Title": "Viva Mexico Mariachi", "d:Description": "Toronto, Canada, and Brussels, Belgium. Performance schedule, audio clips, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.vivamexicomariachi.com/"} +{"d:Title": "Mariachi Mexico Amigo", "d:Description": "Toronto, Canada. History of the group, photos, and song samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.mexicoamigo.ca/"} +{"d:Title": "The Horn of Plenty", "d:Description": "Judi Blackwell interview with influential trumpeter Miguel Mart\u00ednez.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.metroactive.com/papers/metro/07.11.96/mariachi-9628.html"} +{"d:Title": "Mariachi Relampago", "d:Description": "Austin, Texas. Photos, audio files, performance schedule, and group history.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.mariachirelampago.com/"} +{"d:Title": "Carrales, Joe Ely", "d:Description": "A South Texas mariachi musician. With extensive biography, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://carrales.tripod.com/"} +{"d:Title": "Los Changuitos Feos", "d:Description": "Non-profit youth mariachi group based in Tucson. Information about history, educational programs, and event schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.loschanguitosfeos.org/"} +{"d:Title": "Virtuoso Mariachi", "d:Description": "Information on mariachi-influenced classical compositions by New Mexico-based Jeff Nevin and on performance ensemble Mariachi Champa\u00f1a Nev\u00edn, with links and photos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.virtuosomariachi.com/"} +{"d:Title": "Mariachi Viva Mexico", "d:Description": "Oregon. Photos, song samples, and information on performances and booking.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.mariachivivamexico.com/"} +{"d:Title": "Mariachi Pasion", "d:Description": "Scottsdale, Arizona. Female group includes photos, calendar of events, members, and bookings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.mariachipasion.com/"} +{"d:Title": "Mariachi Sol de Mexico", "d:Description": "South El Monte, California. Sound clips, tour schedule and regular southern California dinner shows, booking information, and history of the group. Also describes associated female group, Reyna de Los Angeles. [English/Spanish]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Bands_and_Artists", "url": "http://www.soldemexicoonline.com/"} +{"d:Title": "Mariachi Spectacular", "d:Description": "Albuquerque, New Mexico. Ticket information and schedule for annual conference and concert.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Concerts_and_Events", "url": "http://www.mariachispectacular.com/"} +{"d:Title": "Mariachi USA", "d:Description": "Los Angeles, California. Annual festival provides ticket information and band lineup.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Mariachi/Concerts_and_Events", "url": "http://www.mariachiusa.com/"} +{"d:Title": "Middle Eastern Music: Translated Song Lyrics", "d:Description": "English translations of the lyrics of 40 popular Arabic, Turkish, Greek, Armenian, and Hebrew songs. Some are accompanied by RealAudio clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern", "url": "http://www.shira.net/lyrics.htm"} +{"d:Title": "Al Mashriq - the Levant - Lebanon and the Middle East", "d:Description": "Extensive information about the culture of Lebanon and the Middle East, including a section on Arabic music.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern", "url": "http://almashriq.hiof.no/"} +{"d:Title": "The Egyptian Castle", "d:Description": "A site focused on Egyptian culture. The music area offers MIDI and RealAudio files, and information about leading artists. Also business, travel, live radio, live TV, shopping, and history.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern", "url": "http://www.egyptiancastle.com/"} +{"d:Title": "Jas's-- Middle Eastern Rhythms FAQ", "d:Description": "ASCII text renditions of Arab-style doumbek rhythms with MIDI examples of some.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern", "url": "http://www.khafif.com/rhy/rhythm.html"} +{"d:Title": "The Arabic Music Page", "d:Description": "This was formerly known as the FAQ (frequently asked questions) for the rec.music.arabic newsgroup. Good introductory information to Arabic music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern", "url": "http://leb.net/rma/"} +{"d:Title": "Arabic Maqam World", "d:Description": "Includes information, notation, and RealAudio samples of a couple dozen Arabic musical modes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern", "url": "http://www.maqamworld.com/"} +{"d:Title": "Turkish Progressive Music Musicians", "d:Description": "Directory of progressive Turkish rock bands in the 1970s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern", "url": "http://psychefolk.com/TURK.html"} +{"d:Title": "Brothers of the Baladi", "d:Description": "World music with an emphasis on music from the Middle East by a band based in Oregon. Site lists music for sale and includes tour schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.baladi.com/"} +{"d:Title": "Tekbilek, Omar Faruk", "d:Description": "Artist site, and location of Dynamic Recording Studios. Album information, radio show, and biography for Tekbilek and his band The Sultans.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://dynrec.com/tekbilek/"} +{"d:Title": "Kinetik Trance", "d:Description": "A San Francisco band that performs traditional and modern music from the Near East. Their speciality is fast and intricate pieces emphasizing ornate makams and tight grooves.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.musiq.com/kt/"} +{"d:Title": "Sirocco", "d:Description": "Promotes musical recordings and personal appearances by the California-based band that specializes in traditional Middle Eastern music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.sirocco-music.com/"} +{"d:Title": "Anatolia", "d:Description": "A group of musicians from Western Massachusetts playing folk songs and dance music of Turkey and the Arab world.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.hinesmusic.com/Anatolia.html"} +{"d:Title": "Elias Karam", "d:Description": "Unofficial site for the Syrian singer, including photos, songs, MP3 collection, and background.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.eliaskaram.8k.com/"} +{"d:Title": "Cameron Powers", "d:Description": "Information on Sherefe and The Habibis, including live performances, CDs, and their maqam teaching program.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.cameronpowers.com/"} +{"d:Title": "Marwan Abado", "d:Description": "Austro-palestinian singer, composer, and oudist. Includes concert schedule and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://web.utanet.at/abado/"} +{"d:Title": "Mukhtar, Ahmed", "d:Description": "An Iraqi oud player and composer who has studied numerous traditional Arabic music styles in depth. With profile, audio, press, instrument information, and CDs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.amukhtar.com/"} +{"d:Title": "Sadeghi, Manoochehr", "d:Description": "Contains historical and technical information about Persian Classical Music, with mp3s, pictures and biographies of Persian art music Masters.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://santur.com/"} +{"d:Title": "Uncle Mafufo", "d:Description": "Artist performing percussive music for bellydance; includes instructional materials, music for sale, and a schedule of appearances.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.unclemafufo.com/"} +{"d:Title": "Fidel Fayad (official)", "d:Description": "Lebanese singer currently living in Los Angeles, California. Includes photos, guestbook, and one sound sample.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.fidelfayad.com/"} +{"d:Title": "Bilezikjian, John", "d:Description": "California-based Armenian-American multi-instrumentalist specializing in the oud. Includes profile, resume, performance schedule, and tape and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.dantzrecords.com/"} +{"d:Title": "Sultan, Amin", "d:Description": "Arabic singer from Lebanon currently in the US. Includes photos, videos, and mp3s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.aminsultan.com/"} +{"d:Title": "Tekbilek, Omar Faruk", "d:Description": "Features images, sounds, and press releases about this American-based performer of Turkish and New Age music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.omarfaruktekbilek.com/"} +{"d:Title": "Ahmet Erdogdular", "d:Description": "Features biography, calendar of performances and seminars, concert videos and discography of this well known singer of classical Ottoman-Turkish and Sufi music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://www.ahmeterdogdular.com/"} +{"d:Title": "Tahar, Samir", "d:Description": "Algerian oud player, singer, and composer. With biography, album information and sound samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists", "url": "http://samir.tahar.pagesperso-orange.fr/index_en.html"} +{"d:Title": "Radio Casablanca - Warda", "d:Description": "Collection of RealAudio clips of famous Warda songs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Al-Jazairia,_Warda", "url": "http://www.maroc.net/newrc/sb/warda.htm"} +{"d:Title": "Warda Online", "d:Description": "Fan site for Arabic singer Warda, featuring a biography, lyrics, and .zip files of music samples", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Al-Jazairia,_Warda", "url": "http://www.wardaonline.com/"} +{"d:Title": "Beggars Group USA: Natacha Atlas", "d:Description": "Label's artist pages, with biography, discography, album reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Atlas,_Natacha", "url": "http://www.beggarsgroupusa.com/natachaatlas/"} +{"d:Title": "All Music Guide: Natacha Atlas", "d:Description": "Brief discography, biography and links to collaborative projects and influences", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Atlas,_Natacha", "url": "http://www.allmusic.com/artist/natacha-atlas-mn0000369574"} +{"d:Title": "Sima Bina by Yasmela", "d:Description": "Article about Sima Bina, Persian dance, and an American's discovery of Persian music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Bina,_Sima", "url": "http://www.gildedserpent.com/articles8/yasmelasimabina.htm"} +{"d:Title": "All Music Guide: Sim\u00e2 Bin\u00e2", "d:Description": "Brief biography and discography, with links to collaborators.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Bina,_Sima", "url": "http://www.allmusic.com/artist/sim%C3%A2-bin%C3%A2-mn0000038206"} +{"d:Title": "Diab Online", "d:Description": "Fan site in both English and Arabic, featuring discography, filmography, pictures, video clips, games, and interviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Diab,_Amr", "url": "http://diabonline1.tripod.com/"} +{"d:Title": "All Music Guide: Farid el Atache", "d:Description": "Informative but brief biography including details about his musical collaborations with Alabina and others.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/El_Atrash,_Farid", "url": "http://www.allmusic.com/artist/farid-el-atrache-mn0000795549"} +{"d:Title": "Fairuz", "d:Description": "News and information about Fairuz and the Rahbani Brothers as well as many others who have worked with them. Includes articles, RealAudio files, photos, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Fairouz", "url": "http://www.fairouz.com/"} +{"d:Title": "Fairouz's World", "d:Description": "Articles, photographs, and a list of contact information for fans.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Fairouz", "url": "http://gnh-fairouz.tripod.com/"} +{"d:Title": "Fairuz, our Ambassador to the Stars", "d:Description": "A biography and an appreciation of her music. Includes lyrics in English and Arabic, her work in theatre and film, and a discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Fairouz", "url": "http://almashriq.hiof.no/lebanon/700/780/fairuz/"} +{"d:Title": "Crazy about Fairouz", "d:Description": "Yahoo group for the fans of the singer. Offers a forum.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Fairouz", "url": "http://groups.yahoo.com/group/crazyaboutfairouz/"} +{"d:Title": "FairuzOnline.com", "d:Description": "Includes forum, biography, image gallery, news, CDs, and DVDs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Fairouz", "url": "http://www.fairuzonline.com/"} +{"d:Title": "All Music Guide: Fairuz", "d:Description": "Includes information on the musicians who worked with Fairuz, as well as a select discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Bands_and_Artists/Fairouz", "url": "http://www.allmusic.com/artist/fairuz-mn0000163342"} +{"d:Title": "Santur.com", "d:Description": "Website of Dr. Manoochehr Sadeghi includes information about santur (Persian hammered dulcimer), radif music and articles about Persian art music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://santur.com/"} +{"d:Title": "Kereshmeh Records", "d:Description": "Kereshmeh Records is a non-profit organization. It has information of Persian music, artists, musical instruments and a music mailing list.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.kereshmeh.com/"} +{"d:Title": "Hossein Behroozinia", "d:Description": "Canada-based barbat player. Includes profile, tour schedule, discography with audio samples, an introduction to the barbat, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.barbat.us/"} +{"d:Title": "Elahi (1895-1974)", "d:Description": "Official Website of the late Elahi (composer and Kurdish tanbour player) that includes information of his life, works and so on.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.ostadelahi.com/english/"} +{"d:Title": "Hossein Omoumi", "d:Description": "A ney player that includes his biography, press, concerts, discography and photos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.omoumi.com/"} +{"d:Title": "Tanbour", "d:Description": "Tanbour.org is a New York base institute focused on performing and teaching Persian Sufi, spiritual and folk music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.tanbour.org/"} +{"d:Title": "Maryam Yusefzadeh", "d:Description": "U.S.-based website for Persian music by Maryam Yusefzadeh. Music production, news and calendar.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://mymusicproduction.com/"} +{"d:Title": "Fariborz Lachini", "d:Description": "Fariborz Lachini - Composing unique scores for film, television, theatre and interactive multimedia with a Middle Eastern feel. News weblog, music room, biography, filmography and discography sections.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.lachini.com/"} +{"d:Title": "Persian Musicians", "d:Description": "Interview with Pejman Akbarzadeh, Iranian journalist and a member of Artists Without Frontiers.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.parstimes.com/persianmusicians.html"} +{"d:Title": "Iranian Classical Music", "d:Description": "Brief history of Iranian classical music and reviews of some albums.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.medieval.org/music/world/iran.html"} +{"d:Title": "Musica Persiana", "d:Description": "History of Persian music. Several Real Audio samples of ethnic music styles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.persia.it/html/musica.htm"} +{"d:Title": "A View on Folkloric Music of Mazandaran", "d:Description": "Essay about the ritual songs and music of Mazandaran.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.payvand.com/news/00/nov/1001.html"} +{"d:Title": "Hayedeh", "d:Description": "Wikipedia article about the singer, her career and biography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://en.wikipedia.org/wiki/Hayedeh"} +{"d:Title": "Hayedeh", "d:Description": "The singer's official website including biography, pictures, downloads of music files and a list of her recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.hayedehmusic.com/"} +{"d:Title": "Avazeh", "d:Description": "Homepage of Anis Moin, an Iranian singer living in Sweden. Features news, biography, discography and some music samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.avazeh.com/"} +{"d:Title": "Googoosh.com", "d:Description": "Official site for the pop singer, with concert calendar, news, image gallery, biography and messages for her fans.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.googoosh.com/"} +{"d:Title": "Iranian Musicology", "d:Description": "Email forum for the exchange of information, ideas, and research on and about Oriental musical cultures, especially the music of Iran.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://launch.groups.yahoo.com/group/iranian_musicology/"} +{"d:Title": "Eshgh-e-Iran", "d:Description": "24/7 Persian Music, live radio, Music of today and yesterday from outside and inside of Iran.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.iranianradio.com/"} +{"d:Title": "Setar", "d:Description": "Information about masters, techniques, sound samples, history of setar and related instruments.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.setar.info/"} +{"d:Title": "Nasehpour Ensemble", "d:Description": "Four member art music group. Includes member profiles, music samples, and related articles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Persian", "url": "http://www.nasehpour.com/"} +{"d:Title": "6arab.com", "d:Description": "RealAudio files of popular Arab songs by Wael Keffori, Fadhel Shaker, Diana Haddad, and others.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Sound_Files", "url": "http://www.6arab.com/"} +{"d:Title": "Mohamed Abdelwahab", "d:Description": "RealAudio files of nearly every recorded song by Mohamed Abdelwahab. In English and Arabic.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Middle_Eastern/Sound_Files", "url": "http://engr.smu.edu/~saad/abdelwahab/"} +{"d:Title": "Index of Native American Music Resources", "d:Description": "About sixty annotated links, including general information, band and artist sites, organizations, record labels and other sources for recordings, and instrument sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American", "url": "http://www.hanksville.org/NAresources/indices/NAmusic.html"} +{"d:Title": "Native American Music and Art", "d:Description": "Annotated list of sites and resources maintained by Lisa Mitten.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American", "url": "http://www.nativeculture.com/lisamitten/music.html"} +{"d:Title": "The Power of Kiowa Song", "d:Description": "21 annotated audio files of music from this American Indian tribe. Quicktime; long loads.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American", "url": "http://www.uapress.arizona.edu/extras/kiowa/kiowasng.htm"} +{"d:Title": "Native American Music Awards", "d:Description": "Annual awards to Native American musicians. Includes photographs and video of award shows, radio stations, Hall of Fame, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American", "url": "http://www.nativeamericanmusicawards.com/"} +{"d:Title": "Little Elk, David", "d:Description": "A professional musician/composer and certified Lakota language/culture teacher in South Dakota. With release information, reviews, and artist background.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.studymed.de/"} +{"d:Title": "TallTree, Robert and Terri", "d:Description": "Native American music, storytelling and culture.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.thetalltrees.com/"} +{"d:Title": "Broken Walls Ministries", "d:Description": "A Christian band led by Jonathan Maracle, a Mohawk from Tyendinaga Territory, Ontario. Performing a fusion of aboriginal and contemporary rock styles of music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.brokenwalls.com/"} +{"d:Title": "Red Raven Blue", "d:Description": "Contemporary music for flute, inter-tribal drums, and voice. Includes photos, Windows Media and mp3 audio, and general information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.redravenblue.com/"} +{"d:Title": "Two-Hawks, John", "d:Description": "A veteran Lakota musician and singer, who plays cedar flutes, ocarinas, drums, guitars, mandolins and even dulcimers. With performance schedule, CD information, pictures, and references.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.johntwohawks.com/"} +{"d:Title": "Flying Bye, Joseph", "d:Description": "Native American medicine man and spiritual leader recording ceremonial pipe songs and teachings for future generations. Recorded in Lakota/English, these songs carry on the oral traditions.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.centerrecords.com/"} +{"d:Title": "Roybal, Ronald", "d:Description": "Self-taught guitarist, flutist and dancer whose a descendant of Spanish Colonial and Pueblo (Tewa) peoples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://pweb.netcom.com/~rnroybal/"} +{"d:Title": "Mauchahty-Ware, Tom", "d:Description": "Traditional singer and dancer, of Kiowa and Comanche descent. This is single page about one of his albums.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.hoe.ch/labels/indian/ih2512.html"} +{"d:Title": "Ball, Jeff", "d:Description": "Artist combining the Native American Cedar Flute with guitar, bass, drums and vocals. Includes bio and RealAudio clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://www.jeffball.net/"} +{"d:Title": "Lady Hawke's Legend Of The Flute", "d:Description": "Links to bands and artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists", "url": "http://ladyhawkesite.tripod.com/FlutePage.htm"} +{"d:Title": "Mirabal, Robert", "d:Description": "Performer, songwriter, flutist, vocalist, drummer and author from the Taos Pueblo in New Mexico, who strives to bridge the gap between traditional Native American values and contemporary American society.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists/Mirabal,_Robert", "url": "http://www.mirabal.com/"} +{"d:Title": "Robert Mirabal: Singing the Truth", "d:Description": "Profile of the musician written by j. poet for Indian Artists magazine.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Bands_and_Artists/Mirabal,_Robert", "url": "http://www.hanksville.org/jpoet/mirabel.html"} +{"d:Title": "Dakota/Lakota singing", "d:Description": "Offers collection of Dakota songs complete pow wow listings for Minnesota, Wisconsin, North and South Dakota.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Drummers-Singers", "url": "http://drumhop.com/"} +{"d:Title": "WhiteFish Bay Singers", "d:Description": "Offer singing and drumming in the Anishinabeg tradition. The WFB singers have traveled all over North America. They have also been host drum for many powwows and gatherings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Drummers-Singers", "url": "http://members.tripod.com/~GregQ/discovery3_index.html"} +{"d:Title": "Donald Ahdunko", "d:Description": "Traditional recordings of Native American music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Drummers-Singers", "url": "http://members.tripod.com/~BlanchardT/"} +{"d:Title": "Raye, Marina", "d:Description": "Native American flute music by the artist referred to as \"The Feminine Voice of the Native Flute\". Also, hand-crafted Native American flutes by Charlie Oakwind.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Flute_Music", "url": "http://www.marinaraye.com/"} +{"d:Title": "Golana", "d:Description": "Melodies performed on Native American flutes accompanied by soft acoustic guitars, piano, and strings. Samples online.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Flute_Music", "url": "http://www.oginali.com/"} +{"d:Title": "Troy De Roche", "d:Description": "The traditional and spiritual nature of the flute through music and instruments by an enrolled member of the Blackfeet Nation.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Flute_Music", "url": "http://www.songstick.com/"} +{"d:Title": "The Hawk Project", "d:Description": "The Hawk Project is about promoting spiritual awareness and creativity through a blend of Native American music, jazz and free improvisation.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Folk-Jazz-Instrumental", "url": "http://www.hawkproject.com/"} +{"d:Title": "Wind Walker", "d:Description": "Spiritually inspiring and uplifting alternative healing music from elan michaels. This official site includes MPEG3 and MIDI samples as well as photographs of the composer. The Wind Walker CD and MP3s features the flute of Walden Whitham.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Folk-Jazz-Instrumental", "url": "http://www.angelfire.com/md/elanmichaels/windwalkermusic.html"} +{"d:Title": "Red Thunder, Native American Rock", "d:Description": "Red Thunder blazes a trail into the Indian rock territory, weaving Native American and modern sound into a colorful musical blanket.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Native_Rock", "url": "http://www.eaglethunder.com/"} +{"d:Title": "White Fire Reed", "d:Description": "A contemporary Native rock, Alternative Duo, showing pictures of the band, reviews and biography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Native_Rock", "url": "http://whitefirereed.tripod.com/whitefirereed/"} +{"d:Title": "Native Hip Hop", "d:Description": "Native Americans in the culture of Hip Hop. Includes columns, message board, song downloads, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Native_Rock", "url": "http://www.nativehiphop.net/"} +{"d:Title": "AIROS", "d:Description": "Homepage to the American Indian Radio on Satellite", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Radio", "url": "http://www.airos.org/"} +{"d:Title": "First Nations Broadcasting", "d:Description": "Native American and Alaskan News and Music via Real Player. Plus other Native and Alaskan links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Radio", "url": "http://www.palmsradio.com/upnorth.html"} +{"d:Title": "Native Radio", "d:Description": "Native American artist information and music broadcasts available in RealPlayer, Media Player, or streaming MP3 format.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Radio", "url": "http://www.nativeradio.com/"} +{"d:Title": "Native Am Radio Stations list", "d:Description": "Information page covering ten states with Native American radio frequencies and phone numbers.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Radio", "url": "http://www.afn.org/~native/radstats.htm"} +{"d:Title": "Oyate Native American Music", "d:Description": "Music clips of Native American pieces that have been performed on Public Radio", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Radio", "url": "http://www.oyate.com/"} +{"d:Title": "The Storyteller", "d:Description": "Program features true stories of First Nations people who are following Jesus Christ.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Radio", "url": "http://www.withoutreservation.com/storyteller.htm"} +{"d:Title": "Autumn Morning Star", "d:Description": "Describes her storytelling and music. Includes biography, links, and calendar of her performances.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Native_American/Storytellers", "url": "http://www.angelfire.com/celeb/magicmorningstar/AMS.HTML"} +{"d:Title": "World Music", "d:Description": "Non-profit organization presenting music and dance from Africa, Asia, Europe and the Americas in concert series and educational programs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Organizations", "url": "http://www.worldmusic.org/"} +{"d:Title": "Center for World Music", "d:Description": "Non-profit, San Diego based arts organization promoting world music, dance, and related arts through concerts, workshops, and performing arts tours.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Organizations", "url": "http://www.centerforworldmusic.org/"} +{"d:Title": "World Music Institute", "d:Description": "Presents music and dance concerts from around the world, sells thousands of world music CDs, and arranges concert tours.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Organizations", "url": "http://www.heartheworld.org/"} +{"d:Title": "China People Promotions", "d:Description": "UK organization promoting Chinese traditional arts. History of Chinese music, instruments, musician profiles, audio samples, and activities in the UK.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Organizations", "url": "http://www.chinesemusic.co.uk/"} +{"d:Title": "Cambridge University Society for World Music", "d:Description": "The only Cambridge society catering to non-western forms of music. Includes calendar of student concerts and committee information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Organizations", "url": "http://cuswm.tripod.com/"} +{"d:Title": "Tamburitza Association of America", "d:Description": "Preserves and promotes the music of the Balkans in the United States. Information about past and future events, orchestras, and photos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Organizations", "url": "http://www.tamburitza.org/TAA/"} +{"d:Title": "Nancy's Place For Polkas", "d:Description": "A polka site for musicians wanting to sell recordings and list show dates. Also includes links to other polka sites, radio shows, and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polkas.com/"} +{"d:Title": "Steve's Polka Page", "d:Description": "Polka news, performers, music and links from the Polish American Journal.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polamjournal.com/polka/"} +{"d:Title": "Matt's Polka Party", "d:Description": "IPA Hall of Famer Matt Wasielewski, featuring his radio show, with links to polka music, its history, Poland, and western Pennsylvania.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.mattspolkaparty.com/"} +{"d:Title": "247PolkaHeaven.com", "d:Description": "24-hour audio streaming of polka music and waltzes.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://247polkaheaven.com/"} +{"d:Title": "Polka CD Reviews by Nostradamus", "d:Description": "Devoted to reviews and ratings of polka CDs. Reader submissions are welcome.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.nostradamus.net/polka_page.htm"} +{"d:Title": "St. Louis Metro Polka Club", "d:Description": "Non-profit club promotes the growth and acceptance of polka music. Sponsors dances and hosts polka festivals and special events in the St Louis metro area.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.folkfire.org/polka/"} +{"d:Title": "Polka: Wisconsin's State Dance", "d:Description": "From The Smithsonian Institution's \"The Mississippi River of Song\" project, a survey of the main styles of polka music, and profiles of modern-day local musicians in Wisconsin.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.pbs.org/riverofsong/music/e1-polka.html"} +{"d:Title": "National Cleveland-Style Polka Hall of Fame", "d:Description": "Includes information on \"Cleveland-style polka,\" the annual awards show, museum hours, and membership information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.clevelandstyle.com/"} +{"d:Title": "FolkLib Index - Wisconsin Polka Bands", "d:Description": "Links and discographies for all known Wisconsin Polka Bands.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.folklib.net/index/wis_polka.shtml"} +{"d:Title": "Polka USA, Felix&Stella's Polish Dance Calendar", "d:Description": "Polish style dance calendar, listing events near Detroit, MI., USA, and Florida.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polkausa.com/index.html"} +{"d:Title": "Its Polka Time!", "d:Description": "Craig Ebel hosts this polka music radio program produced from the Twin Cities of Minneapolis and St. Paul, Minnesota.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.itspolkatime.com/"} +{"d:Title": "World Wide Polka", "d:Description": "Includes links to Polka radio stations, and the authors views on education, and social reform.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.worldwidepolka.com/"} +{"d:Title": "Polka Jammer Network", "d:Description": "Streaming 24 hours a day, polka news, and an events calendar.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polkajammernetwork.org/"} +{"d:Title": "German Music - Texas Style", "d:Description": "Pre-recorded webcasts from New Braunfels, Texas. Plays polkas, waltzes, and other folk music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.nbgermanmusic.com/"} +{"d:Title": "The National Polka Festival", "d:Description": "Annual event in Ennis, Texas. Includes calendar, activities, history, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.nationalpolkafestival.com/"} +{"d:Title": "International Polka Association", "d:Description": "Nonprofit organization promotes polka music, hosts annual convention in North America, operates the Polka Music Hall of Fame and Museum.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.ipapolkas.com/"} +{"d:Title": "United States Polka Association", "d:Description": "Official website. Includes newsletters, history, and upcoming events.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.uspapolka.com/"} +{"d:Title": "Prime Time Polkas with Pam, Jerry and Don", "d:Description": "24/7 online broadcasting, news, events, and new recordings over the Pittsburgh, PA airwaves.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.primetimepolkas.com/home.htm"} +{"d:Title": "Polka Beat", "d:Description": "Texas based site, reporting on dance dates andnews of polka bands.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polkabeat.com/"} +{"d:Title": "Texas Polka Music Museum", "d:Description": "Located in Schulenburg, Texas. Features polka bands, DJ's, products, history, and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://texaspolkamuseum.com/"} +{"d:Title": "Polka Bob's Polka Page", "d:Description": "Dedicated to the California polka scene. Includes news, links to radio shows, bands, clubs, and dances.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://polkabob.com/"} +{"d:Title": "Polkas United", "d:Description": "Forum discussing everything polka.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polkasunited.com/"} +{"d:Title": "Polka Lovers Club of Texas Museum", "d:Description": "La Grange, Texas. Provides board members, location, event schedule, and virtual tour.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://texaspolkalovers.com/"} +{"d:Title": "Polka Concerts", "d:Description": "Features calendar of polka events, news, directory, polka lyrics, and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://polkaconcerts.com/"} +{"d:Title": "Polka Lovers Klub of America", "d:Description": "Promote polka music and dancing. Features upcoming events, videos, news, and state chapters.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polkofa.com/"} +{"d:Title": "Wisconsin Polka Boosters", "d:Description": "Provides contact information, event calendar, membership, and history.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.wisconsinpolkaboosters.com/"} +{"d:Title": "Midwest Polka Association", "d:Description": "Presents history, membership, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.midwestpolkaassociation.com/"} +{"d:Title": "Pulaski Polka Days", "d:Description": "Annual polka festival in Pulaski, Wisconsin that lasts four days. Provides band schedule, events, news, videos, directions, and dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.pulaskipolkadays.com/"} +{"d:Title": "Polka Rrific", "d:Description": "Newsletter about polka happenings in Iowa.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka", "url": "http://www.polkarrific.com/"} +{"d:Title": "Frankie Liszka&TBC", "d:Description": "Includes schedule, latest recordings, band information, and online fanclub.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.thebrassconnection.com/"} +{"d:Title": "Jimmy Sturr and His Orchestra", "d:Description": "The official home page, schedules, events and music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.jimmysturr.com/"} +{"d:Title": "Joe Grkmania Grkman Polka Band", "d:Description": "Award winning Slovenian style polka band from Pittsburgh, PA.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.grkmania.com/"} +{"d:Title": "The Kielbasa Kings Polka Band", "d:Description": "Michigan based band, with band information, contact, future appearances, recordings, and pictures.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.kielbasakings.com/"} +{"d:Title": "Jess n Joan", "d:Description": "A brief retrospective of the musical duo including sounds samples, and upcoming public performances.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.jessnjoan.com/"} +{"d:Title": "Polish Connection Band", "d:Description": "Jimmy B'S Polish Connection Band Web Site.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.polishconnection.com/"} +{"d:Title": "Steve Meisner", "d:Description": "Steve Meisner's Jammin' Polkas from Whitewater Wisconsin .", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.stevemeisner.com/"} +{"d:Title": "Uncle Ozzie", "d:Description": "Personal website with music samples, and performance dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.uncleozzie.com/"} +{"d:Title": "Family Tradition", "d:Description": "From Stevens Point, Wisconsin. Band information, schedules, and fan interaction on the official site.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.familytraditionpolkaband.com/"} +{"d:Title": "Charm City Sound", "d:Description": "Award winning polka band based in Maryland", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.charmcitysound.com/"} +{"d:Title": "Alaska Button Box Gang", "d:Description": "A band whose focus is to promote button box accordion music. Social and recreational group that play at many events in and around Alaska and elsewhere.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.alaskabuttonboxgang.org/"} +{"d:Title": "Ted Tomczak's Take Five", "d:Description": "Good music and a great time for all ages. Polka band from Chicago, IL.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.tedstakefive.com/"} +{"d:Title": "Al Meixner Trio", "d:Description": "A fourth generation musical family which performs, produces and sells polka music, button box music, accordion music, and jazz.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.almeixner.com/"} +{"d:Title": "Richie Yukovich&Polkarioty", "d:Description": "Contains a schedule, photographs and recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.polkarioty.com/"} +{"d:Title": "Tom Brusky Band", "d:Description": "Based in Milwaukee. Award-winning polka/variety band concentrating on Cleveland-style polka.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.tombrusky.com/"} +{"d:Title": "Lynn Marie Rink", "d:Description": "Grammy nominated button accordionist. includes biography, discography, tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.lynnmarie.net/"} +{"d:Title": "John Gora Band", "d:Description": "Grammy nominated Canadian band playing polka and ethnic music. Includes news and show calendar.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.johngora.com/"} +{"d:Title": "The Kava's \"Husker Style\" Polish Band", "d:Description": "Possibly the longest lasting Polish band in existence, playing a unique blend of old-time, honky and modern push styles. Located in central Nebraska.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.thekavas.com/"} +{"d:Title": "Fritz's Polka Band", "d:Description": "An eclectic mix of music, from Verona, New York. The first polka band to perform at a Woodstock Festival.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.fritzspolkaband.com/"} +{"d:Title": "Happy Hans German Band", "d:Description": "Washington state based German band playing traditional Oktoberfest and polka music. Includes schedule and online store.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.happyhansmusic.com/"} +{"d:Title": "Polka Country Musicians", "d:Description": "Award-Winning, high energy \"push\" style band combining Polka and Country influences. Includes schedule, pictures and music", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.polkacountry.com/"} +{"d:Title": "The Polkaholics", "d:Description": "Chicago band that plays a high speed collision of polka and rock .", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://chicagopolkaholics.com/"} +{"d:Title": "Carol and the Keynotes", "d:Description": "Wisconsin based trio playing Polkas and Waltzes. Includes schedule, play list, and contact information", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.carolandthekeynotes.com/"} +{"d:Title": "John Stevens' Doubleshot", "d:Description": "Polka band based in Eastern Pennsylvania. Features schedule, discography, biography and contact information", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://johnstevensdoubleshot.com/"} +{"d:Title": "Czech Melody Masters", "d:Description": "Biography, discography, schedule and MP3s from the Austin, Texas-based Czech polka band.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.czechpolka.com/"} +{"d:Title": "Full Circle", "d:Description": "A band that celebrates and performs popular polka styles. Includes biography, tour information, and photo gallery.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.gonefullcircle.com/"} +{"d:Title": "Happy Louie and Julcia's Polka Band", "d:Description": "Grammy nominated Polka Band, and home of Ha_Lo Records. Includes a polka news blog.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.happylouie.com/"} +{"d:Title": "Bill Koncar Band", "d:Description": "Live accordion and polka band music in the Twin Cities, Minnesota area. Includes new, schedule, biography and MP3s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.billkoncar.com/"} +{"d:Title": "Emter Family - Button Accordionists", "d:Description": "Local Jamestown, ND music group specializing in traditional and polka music. Site features event listings, audio clips, pictures and online order form.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.emterfamily.com/"} +{"d:Title": "The Smilin' Scandinavians", "d:Description": "A polka band from Seattle, Washington led by Toby Hanson. Presents band information, schedule, music samples, and booking information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.smilinscandinavians.com/"} +{"d:Title": "Barefoot Becky and The Ivanhoe Dutchmen", "d:Description": "German-style polka music with Czech, country, dixie, and big band influences. Schedule, photos, profiles, and merchandise for sale. Mount Vernon, Iowa.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.barefootbecky.com/"} +{"d:Title": "Dorf Kapelle", "d:Description": "Authentic folk music of Germany, Austria, Switzerland, and the Czech Republic. Includes profile, pictures, and schedule. Wausaukee, Wisconsin.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.dorfkapelle.com/"} +{"d:Title": "Dennis Polisky and The Maestro's Men", "d:Description": "Connecticut based band provides information on recordings available, band biographies and sample songs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.maestrosmen.com/"} +{"d:Title": "The Dujka Brothers", "d:Description": "Texas band plays Czech and German waltzes and polkas. Includes discography, music for sale, schedule, and audio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.dujkabrothers.com/"} +{"d:Title": "The Texas Sound Check Band", "d:Description": "Czech polka and waltz band. Includes schedule, band members, booking information, and recordings for sale.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.texassoundcheck.com/"} +{"d:Title": "Kovanda's Czech Band", "d:Description": "Includes history, schedule, and recordings. Houston, Texas.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.kovandasczechband.org/"} +{"d:Title": "Praha Bros.", "d:Description": "Plays Czech polkas and waltzes. Features history, booking information, music sales, and schedule. Temple, Texas.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.prahabros.com/"} +{"d:Title": "Vrazels' Polka Band", "d:Description": "Out of Buckholts, Texas. Includes booking information, schedule, merchandise, history, and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.vrazelspolkaband.com/"} +{"d:Title": "Mike Suratt and the Continentals", "d:Description": "Biography, performance schedule, videos, photographs, and song list. Based in the Washington D.C. area.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.continentalsmusic.com/"} +{"d:Title": "The Mike Schneider Band", "d:Description": "A Slovenian-style polka band from Milwaukee, Wisconsin. Includes band profile, videos, performance schedule, and music sales.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.mikeschneiderband.com/"} +{"d:Title": "The Czechaholics", "d:Description": "Czech polka band in South Central Texas. Provides contact information, calendar, music for sale, and band members.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.czechaholics.com/"} +{"d:Title": "Czech and Then Some", "d:Description": "Band from Ennis, Texas. Has band information, schedule, history, contact information, music sales, and sample songs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.czechandthensome.com/"} +{"d:Title": "Mark Halata and Texavia", "d:Description": "Houston based Czech polka band. Provides music sales, schedule, press, contacts, and band information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.halatamusic.com/"} +{"d:Title": "Ennis Czech Boys", "d:Description": "Ennis, Texas band. Lists history, discography, merchandise, schedule, history, contact information, and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.ennisczechboys.com/"} +{"d:Title": "Austin Polka Band", "d:Description": "Austin, Texas. Booking information, rates, contact information, videos, recordings, and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.austinpolka.com/"} +{"d:Title": "Valina Polka and Das Ist Lustig", "d:Description": "German band from Houston, Texas playing folk variety and polka music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.valinapolka.com/"} +{"d:Title": "Terry Cavanagh and Alpine Express", "d:Description": "New Braunfels, Texas. Lists biography, contact information, schedule, calendar, and jukebox.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.terrycavanagh.com/"} +{"d:Title": "Shiner Hobo Band", "d:Description": "Shiner, Texas. Provides history, contacts, schedule, photographs, merchandise, photographs, and band members.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.shinerhoboband.com/"} +{"d:Title": "Squeezebox and Mollie B Polka Party", "d:Description": "Includes schedule, recordings, band information, and contacts.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.squeezeboxband.com/"} +{"d:Title": "Gary's Ridgeland Dutchmen", "d:Description": "Cashton, Wisconsin. Includes band information, contacts, calendar, news, videos, and music store.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.garysrd.com/"} +{"d:Title": "Brian Brueggen and the Mississippi Valley Dutchmen", "d:Description": "Cashton, Wisconsin. Provides calendar, band information, contacts, videos, and music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.mississippivalleydutchmen.com/"} +{"d:Title": "Barry Boyce Polka Band", "d:Description": "Omaha, Nebraska band plays a variety of ethnic music featuring old-time German, Czech, and Polish polkas and waltzes with English lyrics. Presents schedule, redordings, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.barryboyceband.com/"} +{"d:Title": "Chmielewski Funtime Band", "d:Description": "Sturgeon Lake, Minnesota. Lists schedule, contact information, music store, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.funtimeband.com/"} +{"d:Title": "Doctor Kielbasa", "d:Description": "Schedule, band members, contact information, news, and discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.doctorkielbasa.com/"} +{"d:Title": "Eddie Korosa Jr. and His boys from Illinois", "d:Description": "Chicago area band. Provides booking information, photographs, schedule, videos, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.eddiekorosajr.com/"} +{"d:Title": "Jerry Haisler and the Melody 5", "d:Description": "Central Texas band presents history, music samples, shedule, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://melody5.markhaisler.com/"} +{"d:Title": "The Jolly Huntsmen", "d:Description": "Lakeville, Minnesota. Provides schedule, contact information, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.jollyhuntsmen.com/"} +{"d:Title": "The Moravians Polka Band", "d:Description": "Ennis, Texas. Includes music clips, contact information, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.themoravianspolkaband.com/"} +{"d:Title": "Wayne Appelhans and The Dutch Hops", "d:Description": "Features contact information, schedule, history, store, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Polka/Bands_and_Artists", "url": "http://www.wadutchhops.com/"} +{"d:Title": "Aloha2go RadioShow", "d:Description": "Current and archived Hawaiian music show, serving to share real Hawaiian music with the world. RealPlayer required for listening.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Radio", "url": "http://aloha2go.com/radioshow/"} +{"d:Title": "Tempo Italiano with John Richetta", "d:Description": "A syndicated radio program of Italian music via RealAudio and live on the air Sundays from 9:00 AM to 3:00 PM on WEST AM 1400, in Easton, Pennsylvania.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Radio", "url": "http://tempoitaliano.net/"} +{"d:Title": "KPHI Radio", "d:Description": "Featuring music selections from many cultures and styles, including Celtic, German, Polish, Latin, Islamic, Asian, dance, new age and classical.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Radio", "url": "http://www.kphi.org/"} +{"d:Title": "Pacific Islands Radio", "d:Description": "Internet radio stations and an overview of the music of the region.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Radio", "url": "http://www.pacificislandsradio.com/"} +{"d:Title": "Sunday Morning Klezmer and Other Jewish Music", "d:Description": "Show broadcast from New Jersey exploring Jewish music, art and culture. Broadcast Sundays, 6:00am to 10:00am EST on 88.9 FM.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Radio", "url": "http://www.angelfire.com/nj/WBZCFMsndymrnngklzmr/"} +{"d:Title": "Encyclopaedia of the Orient: Ra\u00ef", "d:Description": "Introduction to Algerian ra\u00ef music, with links and a few RealAudio song clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rai", "url": "http://lexicorient.com/e.o/rai.htm"} +{"d:Title": "Ra\u00ef Music", "d:Description": "A brief history and introduction to Rai music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rai", "url": "http://mohamed.sahnoun.pagesperso-orange.fr/Rai_engl.html"} +{"d:Title": "Saadoun, Abdelkader", "d:Description": "BBC Radio coverage of the WOMAD 2002 festival performer includes a profile and audio clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rai/Bands_and_Artists", "url": "http://www.bbc.co.uk/radio3/world/onyourstreet/msabdelkader3.shtml"} +{"d:Title": "Ra\u00ef: Cheb Khaled", "d:Description": "Unofficial page for this Algerian Ra\u00ef music star, with RealAudio samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rai/Bands_and_Artists/Khaled,_Cheb", "url": "http://www.angelfire.com/al/alyafaei/chebkhaled.html"} +{"d:Title": "All Music Guide: Cheb Khaled", "d:Description": "Includes discography, biography, a picture, and listings of collaborations and influences.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rai/Bands_and_Artists/Khaled,_Cheb", "url": "http://www.allmusic.com/artist/cheb-khaled-mn0000089264"} +{"d:Title": "The Rachid Taha Fan Blog", "d:Description": "Fansite with news, pictures, articles, and material sent by his fans from the entire world in English, French, and Spanish.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rai/Bands_and_Artists/Taha,_Rachid", "url": "http://www.tahafanblog.blogspot.com/"} +{"d:Title": "All Music Guide: Rachid Taha", "d:Description": "Includes discography, biography, listing of collaborations and influences, and one photo.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rai/Bands_and_Artists/Taha,_Rachid", "url": "http://www.allmusic.com/artist/rachid-taha-p168660"} +{"d:Title": "The Rembetiko Forum", "d:Description": "Offers a history of the music, with a discussion forum (in Greek only), a timeline from 1890 to the present, a collection of articles, and details of an enthusiasts club. [Greek and English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rebetiko", "url": "http://www.rembetiko.gr/"} +{"d:Title": "Rebetiko", "d:Description": "A Wikipedia article traces the origins of the style, with a listing of key performers, typical instruments used, and external links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rebetiko", "url": "http://en.wikipedia.org/wiki/Rembetika"} +{"d:Title": "Rembetika and Greek Popular Music", "d:Description": "An introduction to Rembetika music, illustrated with photos and sound samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rebetiko", "url": "http://greecetravel.com/music/rembetika/"} +{"d:Title": "Introduction to Greek Rebetika and Smyrnaiikan Music", "d:Description": "Information on the music, its history, instruments, and modes/tuning/rhythm. Includes a discography and bibliography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Rebetiko", "url": "http://www.musiq.com/rebetika/"} +{"d:Title": "Classical Reggae Interviews", "d:Description": "Interviews of famous reggae artists from around the world in English and German.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.classical-reggae-interviews.org/"} +{"d:Title": "Positive Vibes - The Reggae Experience", "d:Description": "Includes online samplers, tributes to Bob Marley, Dennis Brown, Marcia Griffiths, and Beenie Man, and a touch of Reggae music history.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://ptyme.tripod.com/"} +{"d:Title": "Jammin' Reggae Archives", "d:Description": "One of the original (and also one of the largest) reggae sites on the web, with information on artists, songs, tour schedules and resources. Also featuring a weekly-updated 24/7 webcast.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://niceup.com/"} +{"d:Title": "Reggaenode.de", "d:Description": "Information on Germany's Reggae scene, including shows, news, soundsystems, artists, clubs, CD reviews, and radio stations. Site in German and English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.reggaenode.de/"} +{"d:Title": "ReggaeTrain.com", "d:Description": "Offering a RealAudio webcast, artist of the week spotlight, free CD contests, festival guide, and top 20 chart. Also contains links to fan, MP3, regional, sound system, label, and radio sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.reggaetrain.com/"} +{"d:Title": "Reggae Fusion", "d:Description": "Featuring an encyclopedia of Jamaica's music industry and directory by location covering music and performers from mento and ska to rocksteady, dub and dancehall reggae.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.reggaefusion.com/"} +{"d:Title": "Ireggae", "d:Description": "Streaming reggae \"sound station,\" a California entertainment calendar, official artist pages, reggae interviews and links to other reggae sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.ireggae.com/"} +{"d:Title": "African Reggae", "d:Description": "Real Video and photos from the Ghana Reggae Sunsplash, Ampofo Acquah and Oboja Adu.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.web62.com/africanmusic.html"} +{"d:Title": "Zinc Fence: We Play Reggae", "d:Description": "London based site offering quality reggae journalism on the web, including articles, features, news, reviews, images, sound samples and regular updates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.reggaezine.co.uk/"} +{"d:Title": "Natural Mystic Reggae Music", "d:Description": "A reggae portal with links to archived reggae shows, RealAudio files, online music streams, shops, and MP3 sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://members.shaw.ca/naturalmystic/"} +{"d:Title": "Jolly Boys and Mento", "d:Description": "History of Jamaican mento music, with mento bibliography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae", "url": "http://www.furious.com/perfect/jollyboys.html"} +{"d:Title": "Half Pint", "d:Description": "Official site for the legendary reggae singer. With biography, news, discography, show information, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.halfpintmusic.com/"} +{"d:Title": "Irie Time", "d:Description": "Reggae and soca band from Houston, Texas. Includes sound files, articles, and profiles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.irietime.com/"} +{"d:Title": "Kirton, David", "d:Description": "A vibrant, modern roots reggae singer from Barbados. Photos, tour information and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.davidkirton.com/"} +{"d:Title": "Reggae Vision", "d:Description": "Band serving up a blend of styles, including reggae, dub and Caribbean sounds. With background, pictures, release details, and link to project mp3.com pages for song downloads and streams.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.reggaevision.com/"} +{"d:Title": "Concrete Jungle", "d:Description": "A reggae band from Germany. With band story, music and release information, song samples, pictures, show dates, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.reggaeworld.de/"} +{"d:Title": "Prince Far I", "d:Description": "Tribute containing a biography, discography, sounds and images.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.princefari.com/"} +{"d:Title": "Riddim, Chucka", "d:Description": "A New York City based reggae artist. SingerSong.com page includes brief artist profile, music samples, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.singersong.com/chuckariddim.htm"} +{"d:Title": "Messian Dread", "d:Description": "Official homepage for the artist. With discography, press, lyrics, audio loops, and a song catalog with free MP3s.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://messiandread.dubroom.org/"} +{"d:Title": "King Eddie and the Pili Pili Band", "d:Description": "A reggae band based in Jacksonville, Florida. With show calendar, background, and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://kingeddie.com/"} +{"d:Title": "Sky High Music", "d:Description": "A German-born reggae artist and producer who has been involved with many reggae bands from across the world. With audio clips, background, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.sky-high.de/"} +{"d:Title": "Native Roots", "d:Description": "An Albuquerque based Native American reggae band dedicated to the pride and spirit of Native American and Roots Irie culture. Including pictures, band profile, reviews, gig schedule, and CD information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.nativeroots.net/"} +{"d:Title": "Broggs, Peter", "d:Description": "Singer from Jamaican. News, tour schedule, biography, discography, lyrics, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.peterbroggs.com/"} +{"d:Title": "Perez, Ras", "d:Description": "Berlin-based recording artist and producer originally from Dominica. Articles about reggae in Germany.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.rasperez.de/"} +{"d:Title": "Jah Shaka", "d:Description": "Complete discography plus links, flyers and pictures of the \"dub warrior\".", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://shaka.reggaeclub.org/"} +{"d:Title": "Tribal Nation", "d:Description": "Central Texas-based band mixing original reggae, funk and blues. Site features bio, pictures, CD details and press reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.tribalnationmusic.com/"} +{"d:Title": "Windjammer Music", "d:Description": "Contains information about the music and performances of the Florida based band. Also includes an archive of photos and recordings documenting the band's history since its founding in 1980.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.windjammermusic.com/"} +{"d:Title": "Whispering Lion", "d:Description": "Reggae singer based in California: biography, albums and MP3 files.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.whisperinglion.com/"} +{"d:Title": "Lions, The", "d:Description": "A world roots reggae band in Germany. With artist information, reviews, show dates, and release details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.euraf.de/index_lions.html"} +{"d:Title": "Jahmark and the Soulshakers", "d:Description": "Conscious reggae music artist. With release information, biography, pictures, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.jahmark.com/"} +{"d:Title": "Taj Weekes and Adowa", "d:Description": "With history, band story, member profiles, show dates, and sound clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.tajweekes.com/"} +{"d:Title": "Kalle Baah", "d:Description": "A reggae band from Sk\u00e4rblacka, Sweden. With member biographies, news, show dates, and related information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.kallebaah.com/"} +{"d:Title": "Lasana Bandele", "d:Description": "Jamaican composer, singer and producer. Interviews, songs and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.lasanabandele.com/"} +{"d:Title": "Wild Bunch, The", "d:Description": "Reggae band that uses counterbass, one-drop beat, half-acoustic guitar and Hammond organ. This is official profile with latest music, albums, songs, videos and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "https://myspace.com/thebiggundownreggae"} +{"d:Title": "Mutabaruka", "d:Description": "A Jamaican rastafarian. Music, lyrics, books, photos and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.mutabaruka.com/"} +{"d:Title": "Symarip", "d:Description": "Band biography and discography, music, photos and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.symarip.com/"} +{"d:Title": "Boom Shaka", "d:Description": "A Southern California-based reggae band. With show dates, album information, news, sounds, and Haile Selassie archives.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "https://myspace.com/boomshakaroots"} +{"d:Title": "Kwame D", "d:Description": "Biography, discography, photo gallery, music samples, tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.kwamed.com/"} +{"d:Title": "INJOY", "d:Description": "Music, video, pictures of the band influenced by roots reggae.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "https://myspace.com/injoyreggae"} +{"d:Title": "Don Carlos", "d:Description": "Roots reggae music artist. News, concert schedule, biography, discography, music downloads.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.doncarlosreggae.com/"} +{"d:Title": "High Hopes", "d:Description": "Reggae band from New England. Booking information, history, music samples, links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://highhopesband.com/"} +{"d:Title": "Rockie Dan", "d:Description": "Band biography, sample sounds, gallery, events, contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.rockiedan.com/"} +{"d:Title": "Roots Rocket", "d:Description": "Merudia, Kin Dread, Root Rocket and other dub®gae bands from Bulgaria. Tour dates, riddims, dub plates, photos and music samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.rootsrocket.org/"} +{"d:Title": "Rootsamala", "d:Description": "Reggae band with female vocals from Malaga, Spain. News, biography and music samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.rootsamala.com/"} +{"d:Title": "Island Mirage", "d:Description": "San Diego based steel drum band that plays calypso, tropical rock, pop-reggae and party music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://mirageband.net/"} +{"d:Title": "Mama Perfecta", "d:Description": "Traditional Reggae band from Argentina. Website contains music samples and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "https://myspace.com/mymamaperfecta"} +{"d:Title": "Cantina Roots", "d:Description": "An Italian reggae band from Bolzano (MySpace profile).", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "https://myspace.com/cantinaroots"} +{"d:Title": "Firebug", "d:Description": "Brazilian band that plays rocksteady and Skinhead reggae with heavy counterbass and Hammond organ. Music, videos and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.last.fm/music/Firebug"} +{"d:Title": "Reggae Magic", "d:Description": "Reggae&Old Skool Ska band from the United Kingdom. Facebook profile.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://en-gb.facebook.com/ReggaeMagic"} +{"d:Title": "Demo Delgado", "d:Description": "A roots reggae artist. Early career, news and press releases, contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://demodelgado.com/"} +{"d:Title": "Benn-i Productions", "d:Description": "Reggae, Dub and Dancehall tracks, beats, riddims and instrumentals. Free downloads, licensing information and online store.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://benn-i-productions.com/"} +{"d:Title": "Hotta Flames", "d:Description": "Dub/reggae band from Barbados. Photos, lyrics, music samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://myspace.com/hottaflamesmusic"} +{"d:Title": "I'ROOTS", "d:Description": "A Swedish reggae band. Featuring pictures, MP3s, and Bob Marley MIDIs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://myspace.com/irootsreggaemartinique"} +{"d:Title": "Cannings, James", "d:Description": "A reggae, world and jazz artist, guitarist, and vocalist, recording music since 1975. With general information, release information, merchandise, and sound clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://myspace.com/jamescanning"} +{"d:Title": "Indika", "d:Description": "Band bringing reggae flavor in the style of Jamaica's Sunsplash Festival to every performance. With background, show schedule, member profiles, RealAudio clips, and pictures.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://www.oocities.org/indikareggaeband/"} +{"d:Title": "Raices Rusticas", "d:Description": "Reggae band from Puerto Rico. Upcoming events, music downloads, contacts.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "http://myspace.com/raicesrusticas"} +{"d:Title": "Third World", "d:Description": "Official site for the Jamaican reggae band. News, biographies, discography, sounds, pictures, tour information, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists", "url": "https://www.facebook.com/thirdworldband"} +{"d:Title": "Jimmy Cliff", "d:Description": "Official website. Biography, music samples, press releases, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Cliff,_Jimmy", "url": "http://www.jimmycliff.com/"} +{"d:Title": "BBC Interviews - Jimmy Cliff", "d:Description": "Interview with Jimmy Cliff with information about album Fantastic Plastic People, his thoughts on the current music scene, and reflections on the past.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Cliff,_Jimmy", "url": "http://www.bbc.co.uk/totp2/artists/c/cliff_jimmy/underthegrill/page1.shtml"} +{"d:Title": "Rock and Roll Hall of Fame: Jimmy Cliff", "d:Description": "Biography, pictures and videos of the 2010 inductee.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Cliff,_Jimmy", "url": "http://rockhall.com/inductees/jimmy-cliff/"} +{"d:Title": "Grey, Eugene", "d:Description": "Veteran South Florida guitarist details early years in Jamaica as well as tours with Burning Spear, Kid Creole, and Toots and the Maytals. Historic photos, discography, booking and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Grey,_Eugene", "url": "http://www.eugenegrey.com/"} +{"d:Title": "Lee 'Scratch' Perry", "d:Description": "A collection of materials about the artist, including concert reviews, Roast Fish review and writing from Scratch.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Perry,_Lee", "url": "http://www.furious.com/perfect/leeperry/"} +{"d:Title": "BlackArk -- Lee Scratch Perry", "d:Description": "Includes WebRing, streaming radio, discussion board, articles, reviews, pictures, mp3 and RealVideo downloads.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Perry,_Lee", "url": "http://www.blackark.com/"} +{"d:Title": "Eternal Thunder: Lee Scratch Perry", "d:Description": "Discography, news, pictures, writings, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Perry,_Lee", "url": "http://www.upsetter.net/scratch/"} +{"d:Title": "All Music Guide: Lee \"Scratch\" Perry", "d:Description": "Includes lengthy biography, selective discography, a few pictures, and links to artists he produced and collaborated with.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Perry,_Lee", "url": "http://www.allmusic.com/artist/lee-scratch-perry-p113751"} +{"d:Title": "Shaggy's Jukebox", "d:Description": "Remixes, bonus tracks, interviews, music and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Shaggy", "url": "http://shaggy.pbworks.com/"} +{"d:Title": "All Music Guide: Sizzla", "d:Description": "Contains brief biography and extensive discography of his work as a dancehall recording artist.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Sizzla", "url": "http://www.allmusic.com/artist/sizzla-p169262"} +{"d:Title": "Toots and the Maytals", "d:Description": "Official website. Biography, photo gallery, audio clips, lyrics, tour schedule, and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Toots_and_the_Maytals", "url": "http://www.tootsandthemaytals.net/"} +{"d:Title": "Peter Tosh", "d:Description": "Information about Peter Tosh's life and his music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Tosh,_Peter", "url": "http://rastas-home.tripod.com/petertosh.htm"} +{"d:Title": "Intelligent Diplomat", "d:Description": "Features articles about Tosh's life and music, a dictionary of his invented phrases, music lyrics, and album discographies.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Bands_and_Artists/Tosh,_Peter", "url": "http://intelligentdiplomat.free.fr/"} +{"d:Title": "Dancehall.DK", "d:Description": "Portal for dancehall as well as reggae, dub and related styles in Denmark in Sweden.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dancehall", "url": "http://www.dancehall.dk/"} +{"d:Title": "Dancehall Reggae", "d:Description": "One of the biggest forums on the dancehall", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dancehall", "url": "http://www.dancehallreggae.com/"} +{"d:Title": "All Music Guide: Yellowman", "d:Description": "Biography and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dancehall/Bands_and_Artists", "url": "http://www.allmusic.com/artist/p30006"} +{"d:Title": "All Music Guide: Shabba Ranks", "d:Description": "Introduction to the pioneer of \"reggae-rap,\" a combination of dancehall and hip-hop. Includes discography, biography, and links to collaborations.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dancehall/Bands_and_Artists/Shabba_Ranks", "url": "http://www.allmusic.com/artist/shabba-ranks-p2930"} +{"d:Title": "Wikipedia: Shabba Ranks", "d:Description": "Biography, discography, and controversy.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dancehall/Bands_and_Artists/Shabba_Ranks", "url": "http://en.wikipedia.org/wiki/Shabba_Ranks"} +{"d:Title": "The Fader: Jonathan Mannion Remembers Shabba Ranks", "d:Description": "Article by the photographer and fan of Ranks, with photos of Ranks' performance at Madison Square Garden.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dancehall/Bands_and_Artists/Shabba_Ranks", "url": "http://www.thefader.com/2010/05/21/jonathan-mannion-remembers-shabba-ranks/"} +{"d:Title": "Ariwa Sounds", "d:Description": "Label featuring dub, lovers rock, and roots and culture reggae music. Artists include Mad Professor, Chukki Starr, Macka B, Aisha, John McLean, Shaloma, and Borrah.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.ariwa.com/"} +{"d:Title": "The Dub Me Crazy Page", "d:Description": "Covering dub production techniques, as well as drum and bass, trip hop, big beat and abstract music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.interruptor.ch/dub.html"} +{"d:Title": "Niceup.com", "d:Description": "Links to dub pages", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://niceup.com/dub.html"} +{"d:Title": "The DubRoom", "d:Description": "A site founded by the Netherlands-based dub artist, Messian Dread. Contains reggae MIDIs, MP3s, album reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.dubroom.org/"} +{"d:Title": "Real Eyes", "d:Description": "Links to project mp3.com sites, online shopping, radio stream, brief profile, and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.dubroots.co.uk/"} +{"d:Title": "dub.com", "d:Description": "Show listings, news, and links to many reviews, artist, radio and related music sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://dub.com/"} +{"d:Title": "Dub Mission", "d:Description": "Playlists, mixed tapes, press releases, as well as a schedule for the monthly Sunday night party at the Elbo Room in San Francisco.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.dubmissionsf.com/"} +{"d:Title": "Pressure Sounds", "d:Description": "Dub + Roots label", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.pressure.co.uk/"} +{"d:Title": "Under the Influence", "d:Description": "A local Orlando, Florida band. With profile and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.angelfire.com/band/utidubb/"} +{"d:Title": "VP Records", "d:Description": "Roots Reggae and Dancehall Record Label: Artists include: Luciano, Sizzla, Beres Hammond, Morgan Heritage Group, and Anthony B.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.vprecords.com/"} +{"d:Title": "Shake the Foundations", "d:Description": "Features a few reviews, interviews with artists and articles about Jamaican and UK dub.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.uncarved.org/dub/"} +{"d:Title": "Frost and Wagner", "d:Description": "Information about these two musicians from Berlin and their releases.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://frostandwagner.com/"} +{"d:Title": "The Interruptor", "d:Description": "A musician based in Switzerland. Features a collection of dub production techniques, free VST plugins, releases, audio files and events calendar.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.interruptor.ch/"} +{"d:Title": "Jah Acid Dub and the Bad Weed Studio", "d:Description": "Electro Dub Music from Jah Acid Dud. Free full MP3, video, review, Biography and Discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://jahaciddub.tripod.com/"} +{"d:Title": "The Dub Flash", "d:Description": "An independent dub website with information about artists, labels, albums as well as news, music, pictures, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub", "url": "http://www.dubflash.com/"} +{"d:Title": "Alpha and Omega", "d:Description": "New roots dub reggae from the UK. With discography, biography, interviews and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub/Bands_and_Artists", "url": "http://www.alphaandomega.co.uk/"} +{"d:Title": "King Tubby tribute", "d:Description": "Personal reflections by Paul Dickow on the influence of King Tubby, including a biography and abbreviated discography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub/Bands_and_Artists/King_Tubby", "url": "http://www.furious.com/perfect/kingtubby.html"} +{"d:Title": "AMG: King Tubby", "d:Description": "General introduction to the artist, with abbreviated discography, links to acts he produced, and biographical details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub/Bands_and_Artists/King_Tubby", "url": "http://www.allmusic.com/artist/king-tubby-mn0000093322"} +{"d:Title": "All Music Guide: Mad Professor", "d:Description": "Biography and selected discography of dub productions.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Dub/Bands_and_Artists/Mad_Professor", "url": "http://www.allmusic.com/artist/mad-professor-p2906"} +{"d:Title": "Reggae Sundance Festival", "d:Description": "Information on the festival to be held by Panic Productions in the Netherlands. Includes confirmed artist list, pictures from previous years, and festival history.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Festivals_and_Concerts", "url": "http://www.panic.nl/"} +{"d:Title": "Reggae on the River", "d:Description": "Official site for the reggae festival.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Festivals_and_Concerts", "url": "http://www.reggaeontheriver.com/"} +{"d:Title": "Reggae Festivals Guide Online", "d:Description": "With extensive listings of reggae festivals, concerts and tours, organized by date or group. Also with magazine, recipe, reggae-related radio, web and TV information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Festivals_and_Concerts", "url": "http://www.reggaefestivalguide.com/"} +{"d:Title": "Afiwi.com: Vibes", "d:Description": "Information on reggae, soca, west-Indian and other Caribbean music and events. With calendar and brief performance reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Festivals_and_Concerts", "url": "http://afiwi.com/vibes/"} +{"d:Title": "Ragga Muffins Productions", "d:Description": "Organizing annual reggae events in California, including Bob Marley Day and Old School Jam. Also handling artist bookings, food and craft festivals, tours, and workshops.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Festivals_and_Concerts", "url": "http://www.angelfire.com/indie/RaggaMuffins/"} +{"d:Title": "One Root Festival", "d:Description": "Bringing people of all cultures together through food, arts and music as a base for common ground. With information and pictures from the 1999 and 2000 festivals held in Roseville, California.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Festivals_and_Concerts", "url": "http://onerootfestival.wordpress.com/"} +{"d:Title": "JahWorks", "d:Description": "An online, grassroots publication covering Caribbean and African-based music and culture that includes event listings, music reviews and informative, heartfelt articles.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Magazines_and_E-zines", "url": "http://www.jahworks.org/"} +{"d:Title": "Raddy's Reggae and Dancehall Site", "d:Description": "Reggae and Dancehall information for Berlin and Germany, plus site creator's singles, mix and soundsystem lists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Personal_Pages", "url": "http://raddy73.tripod.com/"} +{"d:Title": "The Reggaeweb", "d:Description": "Free downloads of MIDI and RealAudio files, desktop artwork and free e-mail addresses.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Personal_Pages", "url": "http://www.mivanthi.solcon.nl/"} +{"d:Title": "Sim's Reggae Page", "d:Description": "A small collection of reggae interviews, biographies, discographies, and pictures, featuring artists such as Chuck Turner, Shinehead, Coco Tea, and Princess.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Personal_Pages", "url": "http://www.angelfire.com/indie/simrete/"} +{"d:Title": "The Dread Library", "d:Description": "A collection of essays by American students on Reggae, Rastafarianism and related social topics.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Personal_Pages", "url": "http://debate.uvm.edu/dreadlibrary/dreadlibrary.html"} +{"d:Title": "Dj KaaS", "d:Description": "Fan site dedicated to dancehall reggae. With MP3 mixes and remixes, artist information and concert pictures from Holland and Belgium.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Personal_Pages", "url": "http://www.djkaas.com/"} +{"d:Title": "Anya McCoy's Reggae Page", "d:Description": "New and archival photos of reggae artists, with some articles about favorite reggae artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Personal_Pages", "url": "http://memweb.newsguy.com/~herblady/allmusic.htm"} +{"d:Title": "BlackArk.com", "d:Description": "Streaming classic reggae music with a weekly changing playlist. Streaming MP3 format.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Radio", "url": "http://www.blackark.com/"} +{"d:Title": "Reggae DJ/VJ Doug Wendt,", "d:Description": "information about Reggae CD releases, upcoming Midnight Dread Dread shows and links to other Reggae websites. The Midnight Dread has a sydnicated radio show and has been spinning reggae since 1974", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Radio", "url": "http://midnightdread.com/"} +{"d:Title": "The Uprising", "d:Description": "Internet broadcast of the latest and greatest in Jamaica's reggae, roots and conscious dancehall music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Radio", "url": "http://www.ireggae.com/uprising.htm"} +{"d:Title": "Scratch", "d:Description": "A dub, reggae, rocksteady and ska MP3 stream available in both low and high bandwidth speed.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Radio", "url": "http://www.azevedo.ca/scratch/"} +{"d:Title": "Junior Dub's Irie Reggae Music", "d:Description": "A long-running online radio show with music selected by Junior Dub and announcing by Jack Slater. MP3 stream-capable player required for online listening.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Radio", "url": "http://www.reggaeshow.com/"} +{"d:Title": "Reggae Club", "d:Description": "Radio Malta's weekly reggae show presented by Manwel Tabone. With playlists, online stream, and related links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Radio", "url": "http://www.reggaeclub.org/"} +{"d:Title": "Massive B", "d:Description": "A New York based sound system. With background, catalog, interviews and downloadable audio clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Sound_Systems", "url": "http://www.massiveb.com/"} +{"d:Title": "Falasha Recordings", "d:Description": "Official site of Aba Shanti sound system, the Shanti-ites, and their record company Ethiopia Records.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Sound_Systems", "url": "http://www.falasha-recordings.co.uk/"} +{"d:Title": "Highlanda Sound System", "d:Description": "Reggae Vault and Dancehall Now podcasts, mix CDs, blog and itinerary.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Reggae/Sound_Systems", "url": "http://www.highlanda.net/"} +{"d:Title": "Soca MP3s", "d:Description": "Includes MP3 audio samples by many Soca artists.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca", "url": "http://condred_2000.tripod.com/Dougla_1.html"} +{"d:Title": "Soca News", "d:Description": "UK-based magazine dedicated to Soca music. Current issue, events, soca people, and information on Carnivals in the UK, including the famous Notting Hill Carnival.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca", "url": "http://www.socanews.com/"} +{"d:Title": "Soca Music Web Ring", "d:Description": "Includes 10 Soca-focused music sites.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca", "url": "http://www.webring.org/hub?ring=socamusic"} +{"d:Title": "Ras Shorty I", "d:Description": "Biography and a few photos of the founder of Soca.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca/Bands_and_Artists", "url": "http://www.angelfire.com/ny/Playmas/shorty.html"} +{"d:Title": "3canal", "d:Description": "A performance and visual arts collaborative rooted in Rapso music. Information on the band, photographs, and details on albums released are featured here.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca/Bands_and_Artists", "url": "http://www.3canal.com/"} +{"d:Title": "David Rudder - Caribbean Hall of Fame", "d:Description": "Short profile.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca/Bands_and_Artists/Rudder,_David", "url": "http://caribbean.halloffame.tripod.com/David_Rudder.html"} +{"d:Title": "Yahoo! Groups: David Rudder", "d:Description": "A place for his fans to meet and post messages, with information on David Rudder, tour details, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca/Bands_and_Artists/Rudder,_David", "url": "http://groups.yahoo.com/group/davidrudder/"} +{"d:Title": "All Music Guide: David Rudder", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Soca/Bands_and_Artists/Rudder,_David", "url": "http://www.allmusic.com/artist/david-rudder-p120892"} +{"d:Title": "Salah and Family", "d:Description": "A Canadian site for steelpan music, featuring information on the steelpan music business, musical instruments and accessories, plus a tutorial book and CDs are available here.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://pages.infinit.net/salahpan/"} +{"d:Title": "Steelpan European", "d:Description": "Organization promoting steel pan playing in Europe. Information on the instrument, biennial festival, news and contact details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://www.steelpaneuropean.org/"} +{"d:Title": "Pan on the Net", "d:Description": "News, events, and links to recordings of various music and video clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://www.panonthenet.com/"} +{"d:Title": "Panpodium", "d:Description": "All about the Steelpan; its history, diaspora, pictures, a forum and all the latest news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://panpodium.com/"} +{"d:Title": "Panjive Steel Drum Band", "d:Description": "Panjive offers beautiful Steel Drum music to your next island themed event. From Belefonte to Marley to Buffett. \"Solo\" Steel drummer to full 6-Piece band with vocals. affordable punctual, and friendly.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://www.panjive.com/"} +{"d:Title": "Holman, Ray", "d:Description": "Widely travelled composer, arranger and steel drum performer from Trinidad; includes biography, discography, news, events listing, reviews, and a history of steel pan.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://www.rayholmanmusic.com/"} +{"d:Title": "Canadian Pan Ring", "d:Description": "Web ring hub for Canadian and international steel bands. Offers a newsletter.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://www.webring.org/hub?ring=canpanring"} +{"d:Title": "Panman555 Pan Ring", "d:Description": "Web ring hub for steel pan performers.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan", "url": "http://www.webring.org/hub?ring=jouvert"} +{"d:Title": "Guppy, Anthony", "d:Description": "Biography of a steel pan player who has performed in the Caribbean, Europe, North America, South America, and is currently based in Japan. Includes background information and photos. [Site requires Flash]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://tonyguppy.com/"} +{"d:Title": "Clarke, Toussaint", "d:Description": "Steel pan player, teacher and pan-builder based in Bath, England. Includes a profile, and details of his services.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.strictlypan.co.uk/"} +{"d:Title": "Jah Pan Steel Drum and Calypso Band", "d:Description": "New York City-based steel drum band, playing reggae, calypso, jazz, and latin. Includes band biographies, sound clips and contact information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.jahpan.com/"} +{"d:Title": "Luces, Sir Cedrick", "d:Description": "Steel drum musician from South Florida plays jazz and calypso. Biography, CD ordering, audio samples, and performance schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.sircedrick.com/"} +{"d:Title": "Shaqq, Hameed", "d:Description": "Pan player based in Toronto. Includes repertoire, events listing, photo gallery and biography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.thepanpiper.com/"} +{"d:Title": "Pan-A-Cea Steel Drum and Calypso Band", "d:Description": "General information, band photo, booking information and music samples are featured on this site. Based in the Southern California area.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://steeldrumband.net/panacea/"} +{"d:Title": "Stainless Steel", "d:Description": "Danish pan ensemble - information about their music and tours, with photograph galleries and downloadable MP3 samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.steelband.dk/"} +{"d:Title": "Lyons, Bob", "d:Description": "South Florida musician. Includes a history of steel pan, his profile, and booking details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.steeldrummusic.net/"} +{"d:Title": "Steel Sunsations", "d:Description": "Solo steel drummer Christopher Arpad, based in Los Angeles. Includes background information, song samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.chrisarpad.com/"} +{"d:Title": "Steel Tropics Steel Drum Band", "d:Description": "Steel band based in Southern California. Includes photos, booking information, sound samples and information on their recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.steeltropics.com/"} +{"d:Title": "The Geckos", "d:Description": "Profile of a steel pan group touring East coast USA. Includes profile, information on recordings, and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.steeldrumband.com/"} +{"d:Title": "Steely Pan Steel Band", "d:Description": "A steel drum band from Appalachian State University School of Music. Includes information on the band, performance schedule, pictures, and recordings.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.steelband.appstate.edu/"} +{"d:Title": "Thorton, Tracy", "d:Description": "Solo steel-pannist offers his profile, tour dates, photos and audio samples. Based North Carolina, US.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.ttpan.com/"} +{"d:Title": "Roots Steel Band", "d:Description": "A history and profile of the band, with discography, photo gallery of their tours, members, and contact details. [Antigua]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.rootssteelband.com/"} +{"d:Title": "Tin Pan Alley Steelband", "d:Description": "A German band; includes their history, news, sound files, and CD information. [German and English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.tinpanalley-steelband.de/"} +{"d:Title": "Greenidge, Robert", "d:Description": "Arranger, composer, steel drum and recording artist; includes biography, discography, merchandise, international tour dates, and contact details. [Trinidad]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.robertgreenidge.com/"} +{"d:Title": "Alfred St. John's Trinidad and Tobago Steel Band", "d:Description": "New York based group. Schedules, photos of past appearances, recordings, and information for booking.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.dynrec.com/stjohn/"} +{"d:Title": "Sweet Pan", "d:Description": "Information about members, lessons, and party services; photos and audio samples. [Vancouver, Canada]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.sweetpan.com/"} +{"d:Title": "Toucans Steel Drum Band", "d:Description": "4 piece band based in Seattle, Washington, US. Includes information about steel pans, band profile, gig-list, information about their recordings, audio samples and contact details.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Steelpan/Bands_and_Artists", "url": "http://www.toucans.net/"} +{"d:Title": "Kuni's HomePage", "d:Description": "Information about Hi no Taiko with photographs and audio samples of performances with explanations and terms, a Yoko-bue, the Japanese bamboo flute, tutorial, and links to Taiko sites. [Japanese, English]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko", "url": "http://www.kuni-net.com/"} +{"d:Title": "Taiko Drums From A Small Planet", "d:Description": "Information site about the Minnesota based dance and drum group Sanseiyonseikai. Audio clips, biographical data and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko", "url": "http://www.mninter.net/~thomasjp/taiko.html"} +{"d:Title": "Taiko Oz", "d:Description": "Professional Australian group, offering performances, classes and recordings. Video and audio clips, biographies, photos and performance information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko", "url": "https://www.taikoz.com/"} +{"d:Title": "Taiko Building", "d:Description": "The basic elements of building a wine barrel Nagado daiko. Shows the procedure from start to finish.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko", "url": "http://webspace.webring.com/people/dr/runker_room/build.htm"} +{"d:Title": "Taiko Skin", "d:Description": "An African based site with informational pages on many aspects of Taiko.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko", "url": "http://taikoskin.blogspot.com/"} +{"d:Title": "History of Taiko", "d:Description": "An article by Wendy Whiteside on the evolution of taiko to its modern day form. References and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko", "url": "http://www.waitaiko.com/about_history_of_taiko.html"} +{"d:Title": "Tentekko-Taiko", "d:Description": "The first new performing group in Germany since 1989. Photographs, video and contact info. Mostly German and some English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.tentekko-taiko.de/"} +{"d:Title": "Yamato", "d:Description": "Contains profile, members, and performance schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.yamato.jp/"} +{"d:Title": "Tao Taiko Drummers", "d:Description": "A Kyushu based group performing internationally. With news and performance information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.drum-tao.com/"} +{"d:Title": "Odaiko New England", "d:Description": "Performing group. Workshops, classes and live performances", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://onetaiko.org/"} +{"d:Title": "Fubuki Daiko", "d:Description": "A Winnipeg-based group. Performance schedule with festival links, photographs, interview, and CD and t-shirt ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.fubuki.ca/"} +{"d:Title": "Yakudo", "d:Description": "Ontario-based taiko group, a progression of the former Toronto Suwa Daiko, which was founded in 1981. Biographies, past and upcoming performances, photographs, and class information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.yakudo.com/"} +{"d:Title": "Shin Daiko", "d:Description": "A group in D\u00fcsseldorf Germany. Taiko information, photographs, audio, video, profiles, and links. In German, Japanese, and English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.shin-daiko.de/"} +{"d:Title": "Taiko Project", "d:Description": "Los Angeles-based drumming ensemble. News, videos, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.taikoproject.com/"} +{"d:Title": "Gocoo", "d:Description": "A progressive ensemble of men and women from Tokyo. News, profiles, performances, MP3s and videos, discography, reviews, and merchandise. [English/German]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.gocoo.de/"} +{"d:Title": "Seattle Kokon Taiko", "d:Description": "A Japanese-American performance group. Performances, pictures, classes and information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.seattlekokontaiko.org/"} +{"d:Title": "Kyoshin Do Taiko Dojo", "d:Description": "An Italian group performing , their profile, biographies and schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.kyoshindo.org/"} +{"d:Title": "Cal Raijin Taiko", "d:Description": "A collegiate performing group at UC Berkeley. Events, members, history, resources, and photos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://taiko.berkeley.edu/"} +{"d:Title": "San Francisco Taiko Dojo", "d:Description": "Grand Master Seiichi Tanaka's original professional group. The oldest and largest group in the Western world. Classes, merchandise and public performance.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.sftaiko.com/"} +{"d:Title": "Burlington Taiko", "d:Description": "Vermont-based Burlington Taiko Group and Burlington Taiko Youth Ensemble. Classes, performance schedule, summer camps, photographs, and videos.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.burlingtontaiko.org/"} +{"d:Title": "Karin Kaiser Artist Agency", "d:Description": "Information about four groups based in Germany: Tentekko, Wadokyo, Blazing Beats, and Akita. Includes tour dates and video clips. Also custom drum shop.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.daiko-taiko-drums.de/"} +{"d:Title": "Wadaiko Artist Art Lee", "d:Description": "Solo artist previously with Sacramento Taiko Dan and Ondekoza. Includes profile, articles, workshop and performance schedule, photographs, and links. [English/Japanese/French/Spanish/German]", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://www.tokara.net/"} +{"d:Title": "Nagata Shachu", "d:Description": "A Toronto, Canada based group. Includes biography, performance schedule, photo galleries, and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles", "url": "http://nagatashachu.com/"} +{"d:Title": "Kodo", "d:Description": "Official site of the Japanese taiko group and their cultural foundation. Profile, history, upcoming group and solo performances, workshops, CDs, books, and video ordering, instrument catalog, articles from the Kodo Beat, and apprenticeship program. In Japanese and English.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles/Kodo", "url": "http://www.kodo.or.jp/"} +{"d:Title": "TNT: Tataku", "d:Description": "A review by Scott Faller of the CD released in 2000.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles/Kodo", "url": "http://www.tnt-audio.com/topics/kodo_e.html"} +{"d:Title": "KUCI: Kodo", "d:Description": "A review by Dan Young of Ibuki, a CD released in 1996.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Ensembles/Kodo", "url": "http://www.kuci.org/text/reviews/kodoibuki.html"} +{"d:Title": "Portland Taiko", "d:Description": "Multiethnic community group, ensemble, and youth group in Oregon. Schedule of workshops and classes, performance and tour schedules, photographs, and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.portlandtaiko.org/"} +{"d:Title": "San Jose Taiko", "d:Description": "California based group. Festival, touring, and workshop calendars, photo galleries, newsletter, conservatory history and programs, and online store.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.taiko.org/"} +{"d:Title": "Taikoza and East Winds", "d:Description": "New York based organization and ensemble. History, instruments, performance schedule, photographs, and video.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.taikoza.com/"} +{"d:Title": "Taiko Center of Los Angeles", "d:Description": "A performing and teaching Taiko group with ongoing workshops in the Los Angeles, California area. Information on performances, classes, history, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.taikocenter.com/"} +{"d:Title": "Venice Koshin Taiko Dojo", "d:Description": "Teaching and performing Southern California-based community group. History, biographies, upcoming and past performances, photo galleries, practice schedule, and booking information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://koshintaiko.org/"} +{"d:Title": "Wadaiko Newark Taiko Group", "d:Description": "Wadaiko Newark is a community based performing and teaching Taiko group affiliated with the Newark California Unified School system. Group information and news.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://wadaikonewark.org/"} +{"d:Title": "University of Tasmania's Taiko Society", "d:Description": "Class, workshop, and performance schedules, drum making, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.taikodrum.com/"} +{"d:Title": "Makoto Taiko", "d:Description": "Under the direction of 2008 Grammy Award winner, Koji Nakamura, this Pasadena-based group offers beginner classes and a wide range of performance opportunities.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.makototaiko.org/"} +{"d:Title": "Sacramento Taiko Dan", "d:Description": "Community based non-profit group. History, performance dates, class and practice schedule, photo gallery, booking information, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.sactaiko.org/"} +{"d:Title": "St.Louis Osuwa Taiko Group", "d:Description": "Missouri group founded by the late Grand Master of Taiko, Daihachi Oguchi. Offers performances and youth workshops.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.stltaiko.com/"} +{"d:Title": "Watsonville Taiko Group", "d:Description": "A South San Francisco Bay group. Performances, classes, events calendar and performance schedules.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.watsonvilletaiko.org/"} +{"d:Title": "Emeryville Taiko", "d:Description": "Classes and local performances in California.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://www.etaiko.org/"} +{"d:Title": "Fushu Daiko", "d:Description": "Combining the traditional drums and rhythms of Japan with musical styles such as jazz and rhythm and blues to create an exciting, powerful cross-cultural experience.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Taiko/Organizations", "url": "http://fushudaiko.org/"} +{"d:Title": "World Fusion Music Links", "d:Description": "Links page for the world music and dance movements, courtesy of the band Ancient Future. Includes both traditional and world fusion music.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat", "url": "http://www.ancient-future.com/links/index.html"} +{"d:Title": "Dissidenten", "d:Description": "A German band sometimes referred to as the \"grandfathers of worldbeat\". Official site features news, discography, biography, pictures, reviews, lyrics, MP3s, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.dissidenten.com/"} +{"d:Title": "Buffett, Peter", "d:Description": "Official artist site with biography, discography, song clips, and project information including solo releases, Comet9, and the show Spirit.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.peterbuffett.com/"} +{"d:Title": "Baghdaddies", "d:Description": "A \"Balkanistic\" boogie dance band from the UK. With background, discography, MP3s, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.baghdaddies.com/"} +{"d:Title": "Blue Star", "d:Description": "New age world fusion project from Germany. With biographies, discography, sound clips and full songs, pictures, studio information and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.bluestar.de/"} +{"d:Title": "Cheza", "d:Description": "Ottawa, Canada-based, seven member band, playing a fusion of traditional African with rock and folk. With background, news, profiles, discography, lyrics, press, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.cheza.ca/"} +{"d:Title": "Planet Josh", "d:Description": "Official site for an upcoming Indian-fusion band. Featuring background, audio samples, reviews, and photographs.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.planetjosh.com/"} +{"d:Title": "Ponce, Lorenza", "d:Description": "Home of the violinist and singer featured on Sheryl Crow's 1999 world tour and John Tesh's PBS special \"One World\". With discography, biography, pictures and song clips.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.lorenzaponce.com/"} +{"d:Title": "Ethnic Fusion Sound", "d:Description": "New alchemy of modern and ancient music styles, developed by this singer/percussionist, Rikhi Hambra. With project background, CDs, pictures, and sound samples.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.ethnicfusionsound.com/"} +{"d:Title": "Oliver Shanti&Friends", "d:Description": "A fan site for the artist with background and release information.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.angelfire.com/music4/olivershanti/"} +{"d:Title": "Juba", "d:Description": "An African-influenced vocal group from Edmonton, Canada. Band information, sample MP3s and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://members.shaw.ca/mondomusic/"} +{"d:Title": "Rajhans Orchestra", "d:Description": "A Belgian musical ensemble that plays a fusion of styles based on Indian and Flemish music. With artist information, sound clips and background.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://users.telenet.be/rajhans.orchestra/"} +{"d:Title": "Radikal Sunflowers", "d:Description": "Band from Germany combining reggae and rumba with a bit of jazz, samba, dub, ska, cumbia, and hip-hop. With description, MP3s, and pictures.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.radikalsunflowers.de/pages/radikal%20english.html"} +{"d:Title": "UMAN", "d:Description": "World-influenced band on Six Degrees Records. With background, discography, studio information, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://pagesperso-orange.fr/jmbdev/en/page00.htm"} +{"d:Title": "Tahe", "d:Description": "An African fusion band from Mauritius. Site features background, influences, radio stream, and reviews.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists", "url": "http://www.soundclick.com/bands/default.cfm?bandID=2613"} +{"d:Title": "Johnny Clegg", "d:Description": "Official artist website, with history, band line-ups, pictures, audio, lyrics, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists/Clegg,_Johnny", "url": "http://www.johnnyclegg.com/"} +{"d:Title": "Johnny Clegg and Juluka FAQ", "d:Description": "Includes mailing list, general, music, biographical, Internet, South African music and culture, and sources questions and answers.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists/Clegg,_Johnny", "url": "http://alumnus.caltech.edu/~aerdmann/.index.html"} +{"d:Title": "V\u00e4rttin\u00e4", "d:Description": "A Finnish band that has grown from mostly traditional vocal music to combining traditional language and lyrics with modern music and themes. With biography, discography, and show schedule.", "topic": "Top/Arts/Music/Styles/R/Regional_and_Ethnic/Worldbeat/Bands_and_Artists/V\u00e4rttin\u00e4", "url": "http://www.varttina.com/"} +{"d:Title": "Soulgalore", "d:Description": "Audio samples, articles, chat, soundcards, discussion forum, and shopping links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues", "url": "http://www.soulgalore.com/"} +{"d:Title": "E-Jams", "d:Description": "An interactive music survey with contests, chat rooms, trivia, music charts, bulletin boards, merchandise, links and music information.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues", "url": "http://www.ejams.com/"} +{"d:Title": "Showtime At The Regal Theater", "d:Description": "The Regal Theater provided some of the greatest black live entertainment in Chicago. Site details pictures and history.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues", "url": "http://www.angelfire.com/il2/regalthe/"} +{"d:Title": "East Coast Groove", "d:Description": "Article about the 70's east coast bands.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues", "url": "http://www.soul-patrol.com/funk/east_co.htm"} +{"d:Title": "Soul Walking", "d:Description": "Toby Walker's guide to soul music, including over a thousand biographies of artists.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues", "url": "http://www.soulwalking.co.uk/"} +{"d:Title": "Topix: R&B", "d:Description": "News about rhythm and blues, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues", "url": "http://www.topix.com/rss/music/r-n-b.xml"} +{"d:Title": "Stax Museum of American Soul Music", "d:Description": "Official site features history, music, merchandise, and news on the home of the Memphis Sound.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues", "url": "http://www.staxmuseum.com/"} +{"d:Title": "The Big Easy", "d:Description": "Rock/rhythm'n'blues/funk band from Germany. Profile, pictures, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists", "url": "http://www.thebigeasy-band.de/"} +{"d:Title": "Onabule, Ola", "d:Description": "Features the work of the British Nigerian soul funkateer. RealAudio clips, MP3 tracks, gig and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists", "url": "http://www.ola-onabule.co.uk/"} +{"d:Title": "Doherty, Terry", "d:Description": "Irish singer and songwriter with soul band, The Defendants. Band information and sound samples.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists", "url": "http://terry.doherty.50megs.com/"} +{"d:Title": "Fredi and the Soul Shakers", "d:Description": "Home page for the Denver band, house band at The Church since 1997.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists", "url": "http://www.frediandthesoulshakers.com/"} +{"d:Title": "James, Freddie", "d:Description": "Includes news, schedules, biographies, photographs, and song list of the artist and his band.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists", "url": "http://www.freddiejames.com/"} +{"d:Title": "Delta Wires", "d:Description": "Based in Oakland, California. Biography, calendar, sound files, press quotes, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists", "url": "http://www.deltawires.com/"} +{"d:Title": "The Anita Baker Pages", "d:Description": "Contains a biography, audio clips, pictures and general information about the singer.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists/Baker,_Anita", "url": "http://oreoluwa.com/AnitaBaker/"} +{"d:Title": "Big Town Playboys Archive", "d:Description": "News and gossip, biography, discography with reviews and cover art, song list, interviews and reviews, photographs, message board, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists/Big_Town_Playboys", "url": "http://www.drfeelgood.de/btps/index.htm"} +{"d:Title": "Just Boyz II Men", "d:Description": "Fan site includes profiles, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists/Boyz_II_Men", "url": "http://www.angelfire.com/pa2/boyziimen/"} +{"d:Title": "Yahoo Music: Boyz II Men", "d:Description": "News, biography, and music videos.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists/Boyz_II_Men", "url": "http://new.music.yahoo.com/boyz-ii-men/"} +{"d:Title": "MTV: Boyz II Men", "d:Description": "Videos and news.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists/Boyz_II_Men", "url": "http://www.mtv.com/artists/boyz-ii-men/"} +{"d:Title": "My Musiq Page - Tha Soulchild", "d:Description": "Includes biography, discography, lyrics and pictures.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists/Soulchild,_Musiq", "url": "http://www.angelfire.com/realm/musiq/"} +{"d:Title": "Yahoo Groups: Musiq Soulchild", "d:Description": "Messages, chat, photographs, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Bands_and_Artists/Soulchild,_Musiq", "url": "http://groups.yahoo.com/group/musiqsoulchild/"} +{"d:Title": "The Cammy Awards", "d:Description": "Promoting Carolina beach music, its influences and offshoots. Academy information, award winners, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach", "url": "http://www.cammy.org/"} +{"d:Title": "Shag Art", "d:Description": "Prints of past and present shag club dance lounges in the South.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach", "url": "http://members.tripod.com/shag5/main.htm"} +{"d:Title": "Betty B's Bungalow : A Shagging World", "d:Description": "Introduction to the dance and the music, list of clubs on the East Coast, a tribute to Shad Alberty, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach", "url": "http://bettybbungalow.tripod.com/"} +{"d:Title": "Band of Oz, The", "d:Description": "Cammy Award-winning band. Show schedule, photographs, mailing list, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.bandofoz.com/"} +{"d:Title": "Billy Scott&The Prophets", "d:Description": "Playing beach music since 1965. Profiles, photographs, schedule, CD ordering, and beach links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.theprophets.com/"} +{"d:Title": "Coastline Band, The", "d:Description": "Cammy Award-winning band. Schedule, biography, photographs, merchandise, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.coastlineband.com/"} +{"d:Title": "Coming Up Brass", "d:Description": "North Carolina based nine-piece band playing Carolina beach, soul, rock, and rhythm and blues oldies. Photographs, song list, upcoming performances, audio samples, message board, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.comingupbrass.com/"} +{"d:Title": "Fantastic Shakers", "d:Description": "Cammy Award-winning band. Schedule, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.fantasticshakers.com/"} +{"d:Title": "Holiday Band, The", "d:Description": "Cammy Award-winning band. Discography, photographs and profiles, schedule, newsletter, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.holidayband.com/"} +{"d:Title": "Johnny Dollar Band", "d:Description": "Cammy Award nominated group from Greenville, North Carolina. Profiles, tour dates, MP3s, videos, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.johnnydollarband.com/"} +{"d:Title": "Men of Distinction, The", "d:Description": "Sumter, South Carolina based dance/show band specializing in beach music. Performance dates, photo gallery, audio samples, awards, CD ordering, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.menofdistinction.net/"} +{"d:Title": "Carolina Sounds Beach Show", "d:Description": "Mobile show by DJ Butch Halpin. Includes testimonials, schedule, music and gig reviews, image galleries, personal favourate songs, and contact details.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.carolinasounds.com/"} +{"d:Title": "Flashbacks, The", "d:Description": "Beach music and oldies band from Easley, South Carolina. Show schedule, song list, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Carolina_Beach/Bands_and_Artists", "url": "http://www.theflashbacks.com/"} +{"d:Title": "Bold Soul Sisters", "d:Description": "Tribute to the unsung heroines of '70s-early 80s funk, soul, disco, and rare groove music. Features audio, pictures, links, and quasi-biographies on female artists that are difficult to find.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.angelfire.com/biz3/boldsoulsisters/"} +{"d:Title": "The Soul Shack Radio Show", "d:Description": "Rhythm and Blues Oldies, Northern Soul, Funk, and Blues - 1950-1980. Hosted by Scott Relf and Johnny Rivera from Winnipeg, Canada.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.soulshack45.com/"} +{"d:Title": "Funky and Groovy Music Records Lexikon", "d:Description": "Reference guide and discographies of thousands of LPs, 45s, and CDs, and pictures of rare LP covers and 45 labels.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.funk.ch/funk-lexikon.htm"} +{"d:Title": "The Funk Palace", "d:Description": "Modern bands, old-school bands, links to other funk resources, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.angelfire.com/ks/funk1/"} +{"d:Title": "Soul Strut", "d:Description": "US-based webzine that emphasizes music and culture involving heavy beats. Genres include 70s funk, hip hop, jazz, and rock. Streaming audio, features, MP3s, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.soulstrut.com/"} +{"d:Title": "P*FUNK Review", "d:Description": "Black music/culture site. Funk, soul and rhythm and blues artists. Related political, social and historical perspective/context. Essays, album/book reviews.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.soul-patrol.com/funk/"} +{"d:Title": "FunkMasterJ's Pad", "d:Description": "Funk music, Blaxploitation movies, and Toasts (Black oral folk poetry).", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://funkmasterj.tripod.com/"} +{"d:Title": "The-Breaks.com", "d:Description": "Resource on funk and hip hop music. It traces all the connections between hip hop and funk primarily via the use of samples. Includes samples and a reverse search to see how many people sampled the original funk music.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.the-breaks.com/"} +{"d:Title": "Jahsonic: Funk", "d:Description": "Hyperlinked definition with cross-referenced influences books, images, and list of bands.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.jahsonic.com/Funk.html"} +{"d:Title": "Jazzman Records", "d:Description": "Sells vinyl records and hard to find out of print jazz and funk records.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.jazzmanrecords.co.uk/"} +{"d:Title": "Soul Sister's Funk Hut", "d:Description": "Home of Soul Power, rare and obscure funk and soul grooves.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.djsoulsister.com/"} +{"d:Title": "Funkatopia", "d:Description": "Record reviews, highlights famous and little known current funk music, as well as offering a radio show, artist interviews&concert listings. Expert on all things related to Prince.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://funkatopia.com/"} +{"d:Title": "Wax Poetics", "d:Description": "Curates eclectic, soulful, funky music from around the world. They write in depth, original articles about funk, soul, electronic and genre-bending music, as well as the musicians and labels that make this kind of music (both new releases and older influential music and artists).", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://waxpoetics.com/"} +{"d:Title": "Paris DJs", "d:Description": "Curate and compile dynamic, funky music from around the world, explain the artists' stories in detail, and offer free mixes, as well as paid new records. They master new releases and remix hip-hop, Reggae, funk, and African music.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.parisdjs.com/"} +{"d:Title": "Funkish", "d:Description": "Curates genre-bending, funky music from around the world. Creates Spotify playlists and articles about the labels and musicians making eclectic funk music.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "http://www.funkish.audio/"} +{"d:Title": "Flea Market Funk", "d:Description": "Reliable resource for up to date exciting new Funk, Soul, Jazz, Hip Hop and Reggae music based out of Jersey City, NJ. They celebrate vinyl records, the art of the DJ, Hip Hop culture and the artists by publishing reviews, mixes, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk", "url": "https://fleamarketfunk.com/"} +{"d:Title": "Johnson, George", "d:Description": "Official page for George Johnson, one half of the Brothers Johnson.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://home.earthlink.net/~log/"} +{"d:Title": "Michael Vaughan Quartet", "d:Description": "Profiles, photographs, discography, and MP3.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.angelfire.com/nv/MVQ/"} +{"d:Title": "Air Condo", "d:Description": "Popular Detroit bar band that was together in the late 70s. News, photographs, profiles, CD ordering, and performance dates.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.angelfire.com/mi2/aircondo/"} +{"d:Title": "Prime Time Funk", "d:Description": "A 10-piece horn band carries on the traditions of funk and rhythm and blues. Tour calendar, sound clips, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.primetimefunk.com/"} +{"d:Title": "Thaddeus Hogarth Band", "d:Description": "Official site includes news, biography, reviews, and CD information.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.thaddeushogarth.com/"} +{"d:Title": "Mark Pender Band, The", "d:Description": "New York-based funk band. Biography, MP3s, calendar of events, discography, and photographs.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.markpenderband.com/"} +{"d:Title": "Mayes, Moses and the Funk Family Orchestra", "d:Description": "Eight-piece funk collective from Winnipeg, Canada. Pictures, audio samples, reviews and articles, funk forums, performance dates, and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.mosesmayes.com/"} +{"d:Title": "Nine Balls Deep", "d:Description": "Chico, CA based funk rock band. Photographs, audio samples, and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.angelfire.com/band/nineballsdeep/"} +{"d:Title": "Jive Principle, The", "d:Description": "Funky/rock style band from Dublin, Ireland. News, biographies, MP3s of original songs and covers, photographs, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.angelfire.com/ok/thejive/"} +{"d:Title": "Mbalafunk", "d:Description": "New York City based group fusing African rhythm with Caribbean and American music. Audio, video, CD ordering, mailing list, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.mbalafunk.com/"} +{"d:Title": "Van Wicklen, Ed", "d:Description": "Funk/blues, rock/groove musician from York, PA. Biography, photographs, reviews and articles, schedule, audio samples, and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.edvanwicklen.com/"} +{"d:Title": "Blues Bug", "d:Description": "Greek rhythm and blues/acid jazz/soul band. Biography, discography, show dates, photo gallery, fan club, and links. [English/Greek]", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.bluesbug.com/"} +{"d:Title": "Funkydown Recordings", "d:Description": "Independent record label / production company. Artists include Dr.Rubberfunk and Mr G. Design-led website with release news, events, MP3 and video content.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.funkydown.co.uk/"} +{"d:Title": "Sly-chi", "d:Description": "Nine piece funk/soul/jazz group from Portland, Maine specializing in originals and covers with grooving sound. Their music is highly danceable and full of improvisation, allowing each show to be full of energy and unpredictable moments.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.slychi.com/"} +{"d:Title": "Go-sub", "d:Description": "Four piece funk band from Blackburn, England.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://go-sub.users.50megs.com/"} +{"d:Title": "Project Phoenix", "d:Description": "11 piece R 'n B funk band, playing cover tunes plus original funk material.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://www.projectphoenix.ca/"} +{"d:Title": "Mr. B's Boogie Band", "d:Description": "East Coast soul group. Audio samples, CD ordering, profiles, reviews, and gig list.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists", "url": "http://home.earthlink.net/~prfjazz/prfjazz/boogieband.htm"} +{"d:Title": "Average White Band", "d:Description": "Official site.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Average_White_Band", "url": "http://www.averagewhiteband.com/"} +{"d:Title": "James Brown Biography", "d:Description": "Bio of the \"Hardest Workest Man in Show Business.\"", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Brown,_James", "url": "http://www.cosmopolis.ch/english/cosmo11/jamesbrown.htm"} +{"d:Title": "Topix: James Brown", "d:Description": "News about James Brown, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Brown,_James", "url": "http://www.topix.com/rss/who/james-brown.xml"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Brown,_James", "url": "http://rockhall.com/inductees/james-brown/"} +{"d:Title": "James Brown - The Godfatha Page", "d:Description": "Biography, discography, and a career retrospective.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Brown,_James", "url": "http://www.soul-patrol.com/funk/father.htm"} +{"d:Title": "James Brown", "d:Description": "Filmography at IMDb with biographical information, trivia, nicknames, and actor, performer, and notable TV guest appearance credits.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Brown,_James", "url": "http://www.imdb.com/name/nm0113768/"} +{"d:Title": "Earth, Wind and Fire", "d:Description": "Official site created by veteran member Ralph Johnson. History, discography, upcoming concert appearances, and Real Audio.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Earth,_Wind_and_Fire", "url": "http://www.earthwindandfire.com/"} +{"d:Title": "Earth, Wind and Fire - The Elements", "d:Description": "Articles, lineups, and discography.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Earth,_Wind_and_Fire", "url": "http://www.soul-patrol.com/funk/ewf_feel.htm"} +{"d:Title": "Topix: Earth, Wind, and Fire", "d:Description": "News about Earth, Wind, and Fire, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Earth,_Wind_and_Fire", "url": "http://www.topix.com/rss/who/earth-wind-fire.xml"} +{"d:Title": "Surfers' Guide to Earth, Wind and Fire", "d:Description": "Includes biography, discography, pictures, sounds, lyrics, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Earth,_Wind_and_Fire", "url": "http://www.homdrum.net/ewf/"} +{"d:Title": "Rock and Roll Hall of Fame: Earth, Wind and Fire", "d:Description": "Brief biography, timeline, essential recordings, and recommended reading.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Earth,_Wind_and_Fire", "url": "http://rockhall.com/inductees/earth-wind-fire/"} +{"d:Title": "Rollingstone.com: Earth, Wind And Fire", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Earth,_Wind_and_Fire", "url": "http://www.rollingstone.com/music/artists/earth-wind-fire"} +{"d:Title": "Beast from the East - Mandrill", "d:Description": "P-Funk review with photographs of a live Mandrill concert.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Mandrill", "url": "http://www.soul-patrol.com/funk/mandrill.htm"} +{"d:Title": "Mandrill", "d:Description": "Official site of influential funk band with biography, news, and concert schedule.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Mandrill", "url": "http://mandrillmusic.com/"} +{"d:Title": "The Zigaboo Modeliste Official Web Site", "d:Description": "Master of second line funk drumming and drummer for the original Meters in the 70s. News, tour dates, discography, sound clips, photographs, press kit, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Meters,_The", "url": "http://www.zigaboo.com/"} +{"d:Title": "Maceo Parker", "d:Description": "Official site provides biography, discography, recordings, booking information, photo gallery, and press articles.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Parker,_Maceo", "url": "http://www.maceo.com/"} +{"d:Title": "Artrocity's PFunk Pix Page", "d:Description": "Photographs from the Mothership Reconnection in NYC and a Baltimore, MD, show from 1995.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Parliament", "url": "http://www.artrocity.com/rockshots/pfunk1.htm"} +{"d:Title": "P*Funk Review", "d:Description": "Articles and interviews.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Parliament", "url": "http://www.soul-patrol.com/funk/pfunk.htm"} +{"d:Title": "One Nation P-Funk Page: Eddie Hazel", "d:Description": "Biography of the late, legendary Parliament-Funkadelic guitarist.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Parliament", "url": "http://www.angelfire.com/biz2/1nationpfunkbios/eddiehazel.html"} +{"d:Title": "Soul Patrol: Original Parliaments", "d:Description": "Review of a concert featuring 4 of the 5 original members: Ray Davis, Fuzzy Haskins, Grady Thomas, and Calvin Simon.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Parliament", "url": "http://www.soul-patrol.com/funk/originalp.htm"} +{"d:Title": "Official George Porter, Jr. Homepage", "d:Description": "Biography, performance and recording history, Running Pardners and Funky Meters schedules, reviews, audio samples, photo galleries, fan mail, message board, and links.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Funk/Bands_and_Artists/Porter,_George,_Jr.", "url": "http://www.georgeporterjr.com/"} +{"d:Title": "Originator Radio", "d:Description": "User-programmed SonicNet radio station featuring the music of the late Bo Diddley and other rhythm 'n' blues and soul music performers.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Radio", "url": "http://members.tripod.com/~Originator_2/radio.html"} +{"d:Title": "R&B Vibe", "d:Description": "Australian 104.7 Gippsland FM show airing Sundays 2-4 p.m. News, playlist, chat, request form, and DJ information.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Radio", "url": "http://www.angelfire.com/rnb/vibefm/"} +{"d:Title": "Lost In The 80s", "d:Description": "Weekly radio show that features the best rhythm and blues from the 1980s, hosted by Derrick Jonzun and Melissa Summers. Features, charts, sample show, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rhythm_and_Blues/Radio", "url": "http://www.lostinthe80s.com/"} +{"d:Title": "Adult Contemporary Music in Japan", "d:Description": "Information and artist profile from the AOR, Adult Contemporary Music, CCM, Fusion genres, also reviews and news, with a Japanese perspective.", "topic": "Top/Arts/Music/Styles/R/Rock", "url": "http://www.bekkoame.ne.jp/~takeito/music.html"} +{"d:Title": "Zum Online", "d:Description": "A paper zine that has grown into a label and online music resource including reviews, interviews, releases and a radio stream featuring artists such as Nuzzle and The Intima, show listings, and links related to indie rock and pop, punk and electronic music.", "topic": "Top/Arts/Music/Styles/R/Rock", "url": "http://www.zumonline.com/"} +{"d:Title": "Rubin's Rock N Roll Reference Discography", "d:Description": "A complete list of rock artists and their songs, albums, years of release, lead singers, and other helpful information to help track down music one is looking for.", "topic": "Top/Arts/Music/Styles/R/Rock", "url": "http://www.rockmusiclist.com/"} +{"d:Title": "NewRock.RulesTheWeb.com", "d:Description": "Guide to new music on the radio, local Kentucky bands, Internet music downloads, and online radio stations.", "topic": "Top/Arts/Music/Styles/R/Rock", "url": "http://www.angelfire.com/ky2/newrock/"} +{"d:Title": "Psyche van het folk", "d:Description": "Radio program and large resource covering several types of rock music, including progressive and psychedelic, gothic, experimental, and folk. With extensive links to other sources of relatedcinformation.", "topic": "Top/Arts/Music/Styles/R/Rock", "url": "http://psychevanhetfolk.homestead.com/"} +{"d:Title": "Rarebird's Rock and Roll Rarity Reviews", "d:Description": "Provides information and reviews for rare and out-of-print rock albums that are sought by collectors.", "topic": "Top/Arts/Music/Styles/R/Rock", "url": "http://rarebird9.net/"} +{"d:Title": "InSound", "d:Description": "Indie music resource, with interviews, reviews, band profiles, downloads, store, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative", "url": "http://www.insound.com/"} +{"d:Title": "About.com: Alternative Music GuideSite", "d:Description": "Arabella Clauson's links to alternative and modern rock sites; reviews, interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative", "url": "http://altmusic.about.com/"} +{"d:Title": "ModernRock.com", "d:Description": "Featuring MP3s, new music, free artist promotion, a large selection of CDs, reviews, news, interviews, weekly Hot 30 chart, links to band sites, internet radio station and free email.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative", "url": "http://modernrock.com/"} +{"d:Title": "Tunefilter.com", "d:Description": "Offering an eclectic selection of indie music reviews, best-of-year lists and CDs available for purchase from their label.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative", "url": "http://www.tunefilter.com/"} +{"d:Title": "SoYouWanna.com - Indie Rock", "d:Description": "A sometimes tongue-in-cheek view of how to look and act the part of a serious indie rock fan. With pointers to labels and books to study, genre history, and general advice.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative", "url": "http://www.ehow.com/how-to_4845452_fake-being-indie-rock-expert.html"} +{"d:Title": "Alternative Addiction", "d:Description": "Alternative Music: new singles, unknown bands, and rare music.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative", "url": "http://www.alternativeaddiction.com/"} +{"d:Title": "4flaws", "d:Description": "Photos, music, and a guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/4", "url": "http://members.tripod.com/fourflaws/"} +{"d:Title": "The 5.0's", "d:Description": "Mixing elements of rock, reggae, and funk, The 5.0's play a variety of ska ranging from blazing, energetic, dancin tunes, to slow ballads you'll be hummin in your head all day.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/5", "url": "http://www.angelfire.com/va/doc101/"} +{"d:Title": "69 Chambers", "d:Description": "Official site of the female fronted Swiss band with band biography, show list, pictures and MP3 downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/6", "url": "http://www.69chambers.com/"} +{"d:Title": "Aaron Saloman", "d:Description": "Biography, show dates, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/A", "url": "http://www.aaronmusic.com/"} +{"d:Title": "Antigona", "d:Description": "Homepage of Israeli band with pictures, history, MP3 files and news. In Hebrew and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/A", "url": "http://www.angelfire.com/rock/antigona/"} +{"d:Title": "ACES", "d:Description": "Band information, music samples, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/A", "url": "http://www.angelfire.com/mi4/electricsparks/"} +{"d:Title": "Army of Me", "d:Description": "Alternative rock group from Washington, D.C. Pictures, audio downloads, show dates, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/A", "url": "http://www.armyofmeonline.com/"} +{"d:Title": "Amontillado Bloody Circus", "d:Description": "Contains information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/A", "url": "http://amontillado.ru/eng/"} +{"d:Title": "Appalachian Death Ride", "d:Description": "Official site includes profile and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/A", "url": "http://www.escapistart.com/adr/"} +{"d:Title": "The Bomb Shelters", "d:Description": "Official site of the American-led rock band, based in Lithuania, includes profile and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.angelfire.com/indie/bombshelters/"} +{"d:Title": "The Butterflies of Love", "d:Description": "A band based in New Haven, CT. Includes photographs, news, a music clip in MP3 format, and a list of tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://imezok.tripod.com/"} +{"d:Title": "Black Hairy Tongue", "d:Description": "Offers a message board, discography with CD pricing, downloadable MP3s and contact details for band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://blackhairytongue.com/"} +{"d:Title": "Border Line", "d:Description": "Official site of the French rock duo. Includes complete songs in MP3 format, lyrics, and photographs.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://borderlineband.free.fr/"} +{"d:Title": "Before 9", "d:Description": "An unsigned alternative rock group from Mississippi. With news, pictures, release information, lyrics, show dates, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://members.tripod.com/before_9/"} +{"d:Title": "The Big Sleep", "d:Description": "Music samples and concert dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.thebigsleep.net/"} +{"d:Title": "Blue Tofu", "d:Description": "Jazz and alternative influenced duo of Tim Story and Andrea Mathews offers their biography and downloadable music in MP3 and Real Audio formats", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.bluetofu.com/"} +{"d:Title": "The Bendy Monsters", "d:Description": "Photos and biography of band from Sheffield, England.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://members.tripod.com/~angelsegg/The_Bendy_Monsters.htm"} +{"d:Title": "Bittersweet", "d:Description": "Pictures, information about the band, and information about the band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://bittersweet2000.tripod.com/"} +{"d:Title": "Brave Captain", "d:Description": "Contains pictures and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.bravecaptain.co.uk/"} +{"d:Title": "Broken Romeo", "d:Description": "An alternative rock group from Tucson, Arizona. Pictures, audio downloads, lyrics, show dates, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.brokenromeo.com/"} +{"d:Title": "Buzz Poets", "d:Description": "Official website of the Buzz Poets. Site includes: tour dates, a biography of the band, and a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.buzzpoets.com/"} +{"d:Title": "Bob The Singing Bass Player", "d:Description": "Contains pictures and information about the artist.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://members.tripod.com/dizzygrace/bob/bob.html"} +{"d:Title": "BunnyBrains", "d:Description": "An acid/punk/noise/rock/stoner/psychedelic band. With history, information, and song samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.bunnybrains.com/"} +{"d:Title": "Blood Ruby", "d:Description": "Includes band information; streaming and downloadable music; contact information; mailing list and Yahoo! Group sign-up forms; a guestbook; and a links page.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.bloodruby.com/"} +{"d:Title": "Box of Stuff", "d:Description": "Information about the band and music samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/B", "url": "http://www.soundclick.com/bands/default.cfm?bandID=4677"} +{"d:Title": "Commercial Blackout", "d:Description": "An alterna-punk band from Union County, NJ. Featuring news, biographies, lyrics, pictures, and show schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband/commercialblackout/"} +{"d:Title": "Chagrin", "d:Description": "Cleveland based alternative rock band. Official site includes history, gig dates, sound files, pictures, and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.angelfire.com/oh3/chagrinzone/"} +{"d:Title": "Clutch Cargo", "d:Description": "Includes news, biography, show dates, sound files, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://members.tripod.com/~clutch_cargo/"} +{"d:Title": "Cypher", "d:Description": "An independent rock band. Member profiles, pictures, background story, lyrics, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.angelfire.com/ky/sigh4her/"} +{"d:Title": "Cries from Beneath the Stage", "d:Description": "Information about the band and band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://nion_27.tripod.com/"} +{"d:Title": "Clement, David", "d:Description": "Official site for this alternative rock artist. Including a biography, release information, show dates, free MP3s, and a gallery of visual artwork by writers, actors, and musicians.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.davidclement.com/"} +{"d:Title": "Chimaera", "d:Description": "Chimaera are an alternative rock band from Scotland influenced by Radiohead, Mogwai, Mansun and Spiritualized.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://chimaera.8m.com/"} +{"d:Title": "Citizen", "d:Description": "Boston band features profile, shows, music and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.angelfire.com/band/citizen/enterpage.html"} +{"d:Title": "Cafe Insomnia", "d:Description": "Includes MP3s, RealAudio clips, images, and lyrics for the independent band from BC, Canada.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.angelfire.com/ca7/cafeinsomnia/index.htm"} +{"d:Title": "Cabletwitch", "d:Description": "Contains pictures and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.angelfire.com/darkside/cabletwitch/"} +{"d:Title": "Concrete Sky", "d:Description": "Alternative, progressive rock band from Virginia; features MP3s, lyrics and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://concretesky.com/"} +{"d:Title": "Cloud Party", "d:Description": "Pennsylvania band that describes its sound as smart passionate guitar based indie rock. Tour schedule, merchandise, bio, music samples, lyrics, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/C", "url": "http://www.duf.net/cp/"} +{"d:Title": "Double 0", "d:Description": "Official site of the band from Michigan City, IN. Includes a band bio, CD ordering details, and a list of show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://double0music.tripod.com/"} +{"d:Title": "Dreamfield", "d:Description": "Alternative/ambient band. MP3 downloads, news, information about the band and their London scene, pictures, lyrics, and artwork.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://dreamfield.indiegroup.com/"} +{"d:Title": "Douglas Fir, The", "d:Description": "Official site of this Boston-based underground pop band. Includes reviews from CMJ, Magnet Magazine and other publications as well as information on how to purchase the band's 7-inch vinyl singles.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://www.thedouglasfir.com/"} +{"d:Title": "Deep Ella", "d:Description": "Official site for this Houston, Texas based band. With band information, merchandise, and related project links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://deepella.com/"} +{"d:Title": "Downfall of Eddie, The", "d:Description": "An emo/alternative band based in Aberdeen, Maryland. With background, pictures, show dates, MP3s and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://www.angelfire.com/emo/tdoe/"} +{"d:Title": "The Defined", "d:Description": "Website of a Hardcore Alternative Groove band with information and a few MP3 audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://thedefined.50megs.com/"} +{"d:Title": "doulethink", "d:Description": "Website of Rock band from CT with news, lyrics, picture and audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://www.angelfire.com/ct/TheOccupantLoad/"} +{"d:Title": "Danielle Lo Presti and The Masses", "d:Description": "Official site includes gig information, news, photos, and video.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://www.sayitrecords.com/indexband.html"} +{"d:Title": "Dirty Three", "d:Description": "Official band site, with news, tour details, discography, biography, links, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/D", "url": "http://www.anchorandhope.com/"} +{"d:Title": "Electric Shaman", "d:Description": "Official site with biography, photographs, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/E", "url": "http://www.qdef.com/shaman"} +{"d:Title": "Energetic Kennedy", "d:Description": "Official site of the band from Preston. Includes a bio, lyrics, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/E", "url": "http://members.tripod.com/energetic_kennedy/kennedy/"} +{"d:Title": "end effect", "d:Description": "Band information, pictures, show dates, and music samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/E", "url": "http://endeffect.tripod.com/"} +{"d:Title": "Einstein`s Wardrobe", "d:Description": "Site contains pictures, a discography, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/E", "url": "http://www.soundclick.com/bands/default.cfm?bandID=4926"} +{"d:Title": "Face Shift", "d:Description": "History and pictures for the heavy alternative rock band from San Diego, CA.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/F", "url": "http://www.angelfire.com/hi3/faceshift/index.html"} +{"d:Title": "Flying Hobos", "d:Description": "New York rock band. Includes member profiles, news and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/F", "url": "http://flyinghobos.tripod.com/index.html"} +{"d:Title": "Fragile Porcelain Mice", "d:Description": "Official site for the St. Louis band includes show dates, biography, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/F", "url": "http://www.fragileporcelainmice.com/"} +{"d:Title": "fiji-online", "d:Description": "A fan site dedicated to Fiji (Jamie Hince) with a photo gallery, lyrics, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/F", "url": "http://fiji_online.tripod.com/"} +{"d:Title": "Fusionn", "d:Description": "Band information, lyrics, and photo gallery.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/F", "url": "http://fusionnrocks1.tripod.com/fusionnrocks"} +{"d:Title": "Failsafe Nation", "d:Description": "Alternative rock group from Trenton, New Jersey. Includes pictures, show dates, audio downloads, and information on the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/F", "url": "http://www.failsafenation.com/"} +{"d:Title": "Geoffrey Vaughn Band", "d:Description": "MP3 clips, and some images created by the band leader.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/G", "url": "http://g-vaughn.tripod.com/"} +{"d:Title": "Grinder", "d:Description": "Official site of the band from the tri-state area. Includes photographs and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/G", "url": "http://www.angelfire.com/music/grinder/"} +{"d:Title": "gram rabbit", "d:Description": "Official website. Includes: gig information and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/G", "url": "http://www.gramrabbit.com/"} +{"d:Title": "Hughes, George", "d:Description": "A singer/songwriter in the acoustic alternative vein. With biography, pictures, MP3s, and recommended links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/H", "url": "http://www.georgehughes.net/"} +{"d:Title": "Harrison, Eric", "d:Description": "Official site for the songwriter and his band, Crash Chorus. With history, release information, video clips, show dates, and related information and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/H", "url": "http://www.ericharrisonmusic.com/"} +{"d:Title": "Incert Coin", "d:Description": "Official site of the alternative band from Trinidad. Includes a discography, biography, lyrics, photographs, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/I", "url": "http://incertcoin.tripod.com/"} +{"d:Title": "Idle Class", "d:Description": "Lincoln City alternative band. Includes member profiles, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/I", "url": "http://www.angelfire.com/band/idleclass/idleclass.html"} +{"d:Title": "Junk Star Dream", "d:Description": "Official site includes biography, sound clips, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/J", "url": "http://www.angelfire.com/rock/junkstardream/index.html"} +{"d:Title": "Jefreys, The", "d:Description": "Lo-fi basement band from St. Louis. Self-released cassette now available on this site.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/J", "url": "http://www.angelfire.com/mo2/thejefreys/"} +{"d:Title": "John Hex's Creepshow", "d:Description": "Site contains pictures, music samples, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/J", "url": "http://creepshow4.tripod.com/"} +{"d:Title": "Kramdens, The", "d:Description": "The official site of the Guelph, Ontario based band, with information, discography, show list and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/K", "url": "http://www.thekramdens.com/"} +{"d:Title": "KingBathmat", "d:Description": "Solo artist from London, with sound clips, reviews, and images.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/K", "url": "http://www.kingbathmat.com/"} +{"d:Title": "Ltd Slip", "d:Description": "A band with members from different parts of the world, now based in Los Angeles, California. With news, biography, sounds, pictures, and merchandise information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/L", "url": "http://www.angelfire.com/rock2/ltdslip/"} +{"d:Title": "Limbeck", "d:Description": "Official site with photographs, news, member profiles, and a list of tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/L", "url": "http://www.limbeck.net/"} +{"d:Title": "Lukalips Destruction Co.", "d:Description": "Band information, lyrics, gig information, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/L", "url": "http://www.lukalips.com/"} +{"d:Title": "Last Hand Dealt", "d:Description": "Band information, pictures, and music samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/L", "url": "http://lhd1.tripod.com/"} +{"d:Title": "Losing Miss Bliss", "d:Description": "Contains audio, pictures, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/L", "url": "http://www.angelfire.com/tx4/losingmissbliss/"} +{"d:Title": "Midlake", "d:Description": "Official site includes news, tour dates, sound clips, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://www.midlake.net/"} +{"d:Title": "Meanies, The", "d:Description": "Official website of Melbourne band, with news, reviews, MP3 audio samples, photos and merchandise information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://themeanies.tripod.com/"} +{"d:Title": "Maggi, Pierce and E.J. online", "d:Description": "Quirky fork-rockers from Philadelphia. With music listening, tour dates, news, and merchandise information. May not work in all browsers.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://mpeband.com/"} +{"d:Title": "Mother Redcap", "d:Description": "Official band site, with MP3 and RealAudio files, lyrics, merchandise, contact and scheduling information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://www.motherredcap.com/"} +{"d:Title": "Moggs", "d:Description": "Band information, music samples, and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://www.moggs.com/"} +{"d:Title": "Myopian Patients", "d:Description": "Photos and shopping for this band which offers a diverse form of punk/alternative for the obscure individual.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://themyopianpatients.20megsfree.com/"} +{"d:Title": "Mile A Minute", "d:Description": "An indy rock band from a Chicago suburb. Influences include Local H, Caviar, Superdrag, and Weezer. Offers biographical information, photos and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://kickenmajorass.tripod.com/mileaminute/"} +{"d:Title": "Minus the Bear", "d:Description": "Band information, concert dates, and music samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://minusthebear.com/"} +{"d:Title": "Methyl Red", "d:Description": "Fairbanks, Alaskan-based alternative band. Includes news and member profiles.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M", "url": "http://livewire16.tripod.com/"} +{"d:Title": "Minmae Interview", "d:Description": "An interview with the founder of the project.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/M/Minmae", "url": "http://users.skynet.be/entrepot/int/minmae.html"} +{"d:Title": "Nemesea", "d:Description": "The official website and community for Nemesea music, news, tour dates, merchandise. Groningen, The Netherlands.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/N", "url": "http://www.nemesea.com/"} +{"d:Title": "Nikkole Appearances", "d:Description": "Official site with lyrics, samples in real audio format, photographs, news, and contact details.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/N", "url": "http://www.nikkole.com/"} +{"d:Title": "Neumatics, The", "d:Description": "Band information, concert dates, and music samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/N", "url": "http://www.angelfire.com/indie/thepneumatics/"} +{"d:Title": "One up Grunt", "d:Description": "Contains pictures and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/O", "url": "http://www.angelfire.com/darkside/1upgrunt/home.html"} +{"d:Title": "The Magic Treehouse", "d:Description": "Fan site offering news, biography, discography, pictures, downloads, articles, and a forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/O/Ooberman", "url": "http://www.themagictreehouse.co.uk/"} +{"d:Title": "Pinch Weasel", "d:Description": "Baltimore band offers information, music clips, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/P", "url": "http://www.pinchweasel.20m.com/"} +{"d:Title": "Patterns Of Age", "d:Description": "Offers biographies, pictures, show information, history, cover art and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/poa/"} +{"d:Title": "Pocket Rockets", "d:Description": "Band information, pictures, and music samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/P", "url": "http://www.angelfire.com/dc/pocketrockets/"} +{"d:Title": "Planeside", "d:Description": "Band information, music samples, gig information, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/P", "url": "http://www.planeside.com/"} +{"d:Title": "Psychodelicates", "d:Description": "Site contains pictures and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/P", "url": "http://www.psychodelicates.com/"} +{"d:Title": "Pickens, Raj", "d:Description": "Site contains pictures and information about the artist.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/P", "url": "http://kcotton97.tripod.com/rajpickens"} +{"d:Title": "Paradox", "d:Description": "Official site for an alternative rock band from Los Angeles, California. Includes pictures, audio downloads, show dates, and a band biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/P", "url": "http://www.paradox-band.com/"} +{"d:Title": "Ruby Lashes", "d:Description": "Boston indie rock band. Official site includes news, pictures, show dates, and a link to sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/R", "url": "http://www.rubylashes.com/"} +{"d:Title": "Royal Ottawa", "d:Description": "Includes discography and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/R", "url": "http://www.royalottawa.com/"} +{"d:Title": "Raw Novembre", "d:Description": "Alternative independent rock band from Ireland. Includes biography, discography and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/R", "url": "http://www.rawnovembre.ie/"} +{"d:Title": "Sore", "d:Description": "Band information, pictures, music samples, and a list of events.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.angelfire.com/home/sore/"} +{"d:Title": "Sot After", "d:Description": "Official site of the alternative punk band from Langley, BC. Includes lyrics, songs in MP3 format, member biographies and photographs, and a list of show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.angelfire.com/band/sotafter/"} +{"d:Title": "SlapHog: a rock slopera", "d:Description": "A Portland, Oregon band that performs the music from the original rock slopera in which Hogsnard the rock star does battle with the Evil Geezer with the help of Juicy Mama, leader of the revolution.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.slaphog.com/"} +{"d:Title": "Shade", "d:Description": "Band information and gig information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.shade.4mg.com/"} +{"d:Title": "Satellite", "d:Description": "Official webpage. A Flashed site with lyrics, information on the band, downloads, and information on the members.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://protospheres.tripod.com/band"} +{"d:Title": "Split Personality", "d:Description": "Band information, pictures, and concert information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.angelfire.com/rock/splitp/"} +{"d:Title": "Subwave", "d:Description": "Alternative rock band from south Germany. Band information, lyrics, image gallery, and real audio stream of their latest album.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.subwave-music.de/"} +{"d:Title": "Standfast", "d:Description": "Band information, music samples, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.angelfire.com/band/standfast/"} +{"d:Title": "Sick Lipstick, The", "d:Description": "Band from Toronto, Canada with ex members of Black Cat #13. Would appeal to fans of Huggy Bear, Screamers, Arab On Radar, Le Shok.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/S", "url": "http://www.thesicklipstick.com/"} +{"d:Title": "T-Odio", "d:Description": "Italian alternative power rock band. History, discography, reviews, and concert dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T", "url": "http://www.todio.com/"} +{"d:Title": "Traband, Tyler", "d:Description": "Songwriter, piano man, and leader of a five piece band. Band member profiles, pictures, song samples, and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T", "url": "http://tylertraband.net/"} +{"d:Title": "Trust", "d:Description": "Chicago-based alternative rock band's official site, with news and free full length MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T", "url": "http://www.angelfire.com/rock/trust/"} +{"d:Title": "Tiny Huge", "d:Description": "Band updates, MP3 song samples, and music related links from this Boston-based band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T", "url": "http://www.angelfire.com/ma/gr8trax/"} +{"d:Title": "Tender Trap", "d:Description": "Site contains information about the band and concert information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T", "url": "http://www.users.globalnet.co.uk/~queenb/tt/"} +{"d:Title": "Taint", "d:Description": "RealAudio and MP3 samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T", "url": "http://www.angelfire.com/indie/taint/"} +{"d:Title": "Metacritic: Can Our Love", "d:Description": "Multiple critic and user reviews for the album.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T/Tindersticks", "url": "http://www.metacritic.com/music/can-our-love/tindersticks"} +{"d:Title": "Trespassers William", "d:Description": "Fan site with lyrics, music, photos, show information, and band biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/T/Trespassers_William", "url": "http://www.angelfire.com/indie/trespassers/"} +{"d:Title": "Ugh!", "d:Description": "Noisy rock band from Germany. With news, background, MP3s, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/U", "url": "http://www.ugh-music.de/"} +{"d:Title": "Velvet Sun", "d:Description": "A psychedelic rock band from NYC, mixing British pop, American rock and eerie atmospheres. With backgrounds, MP3s, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/V", "url": "http://www.velvet-sun.com/"} +{"d:Title": "Willis's Chair", "d:Description": "A modern rock acoustic trio from NJ, playing covers of modern rock hits.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/W", "url": "http://willischair.tripod.com/index.html"} +{"d:Title": "Walsh Music", "d:Description": "Alternative funk band based in Westminster, Maryland. Includes photos, news, line-up information, chatroom and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/W", "url": "http://walsh-music.tripod.com/"} +{"d:Title": "Wall, Christopher", "d:Description": "Site contains pictures and information about the artist.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/W", "url": "http://www.angelfire.com/rock3/wall/index.html"} +{"d:Title": "Zelda Pinwheel", "d:Description": "Official site for the experimental band includes show dates, profile, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Bands_and_Artists/Z", "url": "http://members.tripod.com/pzeldapinwheel/main.html"} +{"d:Title": "InSound", "d:Description": "Indie music resource, with interviews, reviews, band profiles, downloads, store, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Directories", "url": "http://www.insound.com/"} +{"d:Title": "About.com: Alternative Music GuideSite", "d:Description": "Links to alternative and modern rock sites; reviews, interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Directories", "url": "http://altmusic.about.com/"} +{"d:Title": "ModernRock.com", "d:Description": "Featuring MP3s, new music, free artist promotion, a large selection of CDs, reviews, news, interviews, weekly Hot 30 chart, links to band sites, internet radio station and free email.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Directories", "url": "http://modernrock.com/"} +{"d:Title": "shady lane radio playlist", "d:Description": "indie rock and pop radio", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Directories", "url": "http://shadylanetx.tripod.com/bands.html"} +{"d:Title": "T-DT-B", "d:Description": "Covers independent, alternative, underground, D.I.Y. bands and labels. Detailed discography and links to official or fan websites.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Directories", "url": "http://www.t-dt-b.org/"} +{"d:Title": "The Guardian: Top Alternative", "d:Description": "News network's guide to the top 100 alternative albums ever.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Directories", "url": "http://www.theguardian.com/music/music+tone/albumreview"} +{"d:Title": "Triple J: Happy Mondays and Madchester", "d:Description": "Interviews with the band and Tony Wilson of Factory Records. Requires RealPlayer.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Madchester", "url": "http://www.abc.net.au/triplej/jfiles/files/s232280.htm"} +{"d:Title": "Madchester", "d:Description": "Edinburgh club devoted to this type of music. Provides top ten list and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Madchester", "url": "http://www.eventsarmoury.com/madc/"} +{"d:Title": "Cerysmatic Factory", "d:Description": "Weblog, history and archive about Factory Records.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Madchester/Factory_Records", "url": "http://www.cerysmaticfactory.info/"} +{"d:Title": "The Crepuscule and Factory Pages", "d:Description": "Making comparisons between the two labels, including label histories, band histories, essay and features and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Madchester/Factory_Records", "url": "http://home.kpn.nl/frankbri/"} +{"d:Title": "Sans Tambour Ni Trompette", "d:Description": "An independent e-zine and radio show from France with music news, reviews, audio clips, and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.stnt.org/"} +{"d:Title": "Crud Music Magazine", "d:Description": "Offering an irreverent look at the world of international indie rock and alternative music, featuring music downloads, music charts, interviews, events and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.2-4-7-music.com/"} +{"d:Title": "Desperately Kind", "d:Description": "An online indie rock magazine that includes album and show reviews, upcoming releases, a calendar of LA and NY shows, and links to other music-related sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://halfaperson.tripod.com/music1.html"} +{"d:Title": "Lazyeye", "d:Description": "Online music magazine that features artist profiles, interviews, reviews, and news about both national and Omaha indie rock bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://lazy-i.com/"} +{"d:Title": "Ob(zine)", "d:Description": "Magazine from the lower blue mountains near Sydney, Australia, featuring interviews, articles, a gig guide, and information on local bands and events.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://obzine.hypermart.net/"} +{"d:Title": "Release Music Magazine", "d:Description": "Alternative music news, reviews, features, competitions, and tour dates, with daily updates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.releasemagazine.net/"} +{"d:Title": "Hybrid Magazine", "d:Description": "A monthly magazine with indie and alternative interviews, reviews, MP3s, new release information, and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.hybridmagazine.com/"} +{"d:Title": "Stix Pix", "d:Description": "A monthy updated e-zine that focuses on garage bands and widely known bands. With articles, interviews, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://stixpix.tripod.com/main/"} +{"d:Title": "Altrok", "d:Description": "Offers a critical view of music and its marketing, tempered by both fandom and cynicism. With opinions by pioneers, insiders, and fans.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.altrok.com/"} +{"d:Title": "Cool Noise", "d:Description": "With the latest music reviews, release information, band index, and links to sites for media files and shopping.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.coolnoise.co.uk/"} +{"d:Title": "Barflies.net", "d:Description": "A source for punk, rockabilly, country and garage rock music news and Southern California events. Also with zine archives, including reviews and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.barflies.net/"} +{"d:Title": "Indieville", "d:Description": "Articles, interviews, reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.indieville.com/"} +{"d:Title": "Komakino fanzine", "d:Description": "A zine about pun, noise, dreampop, dark, shoegaze, garage and related indie and DIY music. With pictures, MP3s, reviews and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.inkoma.com/"} +{"d:Title": "Plug In", "d:Description": "Focuses on unsigned and independent bands, with an emphasis on the alternative and indie scenes. Features band profiles, reviews and contests.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.pluginmusic.com/"} +{"d:Title": "Alternative Press Magazine", "d:Description": "Features reviews, news, and features for fans of alternative, indie, ska, electronic, dub, industrial, punk, techno, underground, rock, ambient, and experimental.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.altpress.com/"} +{"d:Title": "SoundsXP", "d:Description": "Featuring reviews of indie, anti-folk, alt-country, punk and electronica releases and gigs plus interviews with artists and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.soundsxp.com/"} +{"d:Title": "Topix: Alternative", "d:Description": "News about alternative, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/alternative.xml"} +{"d:Title": "AFN", "d:Description": "A self-described alternative hardrock emo aggro band from Pittsburgh, Pennsylvania. With show dates, MP3s, news, merchandise, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/A", "url": "http://www.angelfire.com/music/afn/"} +{"d:Title": "Aj and Ryan's Cool Band Page", "d:Description": "Lyrics, information and pictures for Blink 182 and Lucky Boy's Confusion.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/A", "url": "http://www.angelfire.com/band/luckyboys/"} +{"d:Title": "Brett's Webpage", "d:Description": "A collection of RealPlayer files of new and older alternative/rock bands, plus plenty of personal information and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/B", "url": "http://brett_parsons.tripod.com/"} +{"d:Title": "Blackmaker", "d:Description": "A Chicago-based band. With background, pictures, MP3s, show dates, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/B", "url": "http://members.tripod.com/blackmaker0/"} +{"d:Title": "Bliss Aquamarine", "d:Description": "Kim Harten's website, covering the Bliss tape label, Aquamarine fanzine, and information on The Sea Urchins and Delta.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/B", "url": "http://www.blissaquamarine.net/"} +{"d:Title": "Buzz Poets", "d:Description": "A fan page dedicated to this band from Pittsburgh, Pennsylvania.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/B", "url": "http://lestat1978.tripod.com/buzzpoets.html"} +{"d:Title": "Concrete and Barbwire", "d:Description": "Providing links to artist sites and spotlight article on topics such as Internet radio.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/C", "url": "http://members.tripod.com/concretebarbwire/"} +{"d:Title": "Compromise, The", "d:Description": "Official web space for this Brooklyn indie rock band. Including audio samples, biography, merchandise, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/C", "url": "http://www.angelfire.com/indie/thecompromise/"} +{"d:Title": "Coca Entertainment", "d:Description": "Alternative rock web site includes gossips and links for popular bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/C", "url": "http://www.angelfire.com/sd/cocaentertainment/"} +{"d:Title": "Discarded", "d:Description": "An unsigned underground rock band site, with band information and tabs, sound clips and links to other band sites such as Nirvana, The Offspring, and Green Day.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/D", "url": "http://www.discarded.20m.com/"} +{"d:Title": "Danrock", "d:Description": "A short list of site creator's favorite alternative rock bands, with links to their official sites and opinions about their music.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/D", "url": "http://danrock99.tripod.com/"} +{"d:Title": "Goddess Waif Music Page", "d:Description": "Pictures, discography, and links for Korn, Papa Roach, LIT, Godsmack, and Incubus.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/G", "url": "http://members.tripod.com/goddesswaif/"} +{"d:Title": "Gtguy504's Page", "d:Description": "Pictures, movies, songs and links with information on bands such as Limp Bizkit, Korn, and Machine Head.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/G", "url": "http://www.angelfire.com/la3/weston/"} +{"d:Title": "The Home of Notorious Slimm", "d:Description": "Fan pages for Incubus, Deftones, Taproot, Downside, and Divided. With links to sites and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/H", "url": "http://www.angelfire.com/geek/NotoriousSlimm/index.html"} +{"d:Title": "Idiotic Xeno", "d:Description": "A Charlotte, North Carolina-based band. With background, member profiles, and song lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/I", "url": "http://www.angelfire.com/myband2/idioticxeno/"} +{"d:Title": "Infest Your Mind", "d:Description": "Site contains information on bands, lyrics, and information about the author.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/I", "url": "http://www.members.tripod.com/jeff1324021/"} +{"d:Title": "Koqlb Music", "d:Description": "A young and seriou guitar player. With original music and personal information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/K", "url": "http://koqlb-music.tripod.com/"} +{"d:Title": "Knut", "d:Description": "Guitar-driven rock band from Fleet Hampshire, UK. With MP3s, band information, gig dates, news and release details.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/K", "url": "http://www.hydrahead.com/knut/"} +{"d:Title": "Les McKeown's Bay City Rollers", "d:Description": "Current and historical information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/L", "url": "http://www.lesmckeown.com/"} +{"d:Title": "Lost Cause", "d:Description": "Three member band from Issaquah, Washingtion.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/L", "url": "http://members.tripod.com/butterflyvalve/lostcause/"} +{"d:Title": "Lost Chocolate Lab", "d:Description": "A Minneapolis-based noise/pop/improv/ambient indie rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/L", "url": "http://www.waste.org/lostchocolatelab/"} +{"d:Title": "Moorhead, Craig", "d:Description": "Featuring song lyrics and album information, including track listings and downloadable MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/M", "url": "http://www.craigmoorhead.com/"} +{"d:Title": "Metridium", "d:Description": "A Wisconsin-based band. With profiles, pictures, lyrics, MP3, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/M", "url": "http://www.angelfire.com/music2/metridium/"} +{"d:Title": "Mig22", "d:Description": "English rock band formed during winter 2000. With brief member profiles, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/M", "url": "http://www.angelfire.com/rock2/Mig22/"} +{"d:Title": "Music Bands", "d:Description": "Dedicated to Pearl Jam, Sublime, Deftones, Foo Fighters, and Nirvana. Includes images, lyrics, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/M", "url": "http://www.angelfire.com/pop/raux/music.html"} +{"d:Title": "Nunzillum", "d:Description": "Fan site and tribute to the San Francisco-based band Nunzilla. With pictures, news, show dates, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/N", "url": "http://mancorn.tripod.com/Nunzillum/"} +{"d:Title": "Pure Crimson", "d:Description": "A band based out of Reno, Nevada. With member profiles, news, and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/P", "url": "http://www.purecrimson.8m.com/"} +{"d:Title": "Plastic Indifference", "d:Description": "Personal website with links, pictures, information and tabs for some alternative bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/P", "url": "http://www.angelfire.com/mo/weirdocreep/"} +{"d:Title": "Rivulets", "d:Description": "Official news, upcoming show updates, release information and link to artist mp3.com page for this indie project envisioned by Nathan Amundson.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/R", "url": "http://www.rivulets.net/"} +{"d:Title": "The Rock Page", "d:Description": "Featuring biographies, discographies, pictures, and links on bands including the Smashing Pumpkins, Nine Inch Nails, and Korn.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/R", "url": "http://therockpage0.tripod.com/Index.htm"} +{"d:Title": "Ryan's Metal Page", "d:Description": "Fan site with information and pictures of KoRn, NIN, Slipknot, KMFDM and MDFMK and Limp Bizkit.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/R", "url": "http://japan-imation.tripod.com/KoRninslipknot/"} +{"d:Title": "Steve Taunton", "d:Description": "Official Toronto-based alternative rock artist site, with information on the \"Broken\" CD. With photos, sound clips, a biography, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/S", "url": "http://steve.taunton.tripod.com/yabureta/"} +{"d:Title": "Seth o Rama's home", "d:Description": "Information on my band, bass playing, and musician resources.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/S", "url": "http://members.tripod.com/sethorama/"} +{"d:Title": "Shakurbe", "d:Description": "Band based in Florence, Alabama. With pictures, discography, show dates, news, background, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/S", "url": "http://shakurbe.tripod.com/"} +{"d:Title": "Someday You Will Ache Like I Ache", "d:Description": "Personal website of Courtney Love and the band Hole with some personal information.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/S", "url": "http://www.angelfire.com/ok2/katiepaul/"} +{"d:Title": "Switch", "d:Description": "Official homepage of the UK emo-rock band. With upcoming shows, news and releases, pictures, games, and links to similar bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/S", "url": "http://www.angelfire.com/band/switchrock/"} +{"d:Title": "S. Werquin's Musical Pages", "d:Description": "An overview of electro-alternative bands since the 80s.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/S", "url": "http://www.swerquin.net/music.htm"} +{"d:Title": "Tegan and Sara Schtuff", "d:Description": "An unofficial Tegan and Sara Quin site, serving as a repository of article links and pictures from the Web.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/T", "url": "http://www.angelfire.com/pa2/lucyme/Table.html"} +{"d:Title": "Talk to Tom", "d:Description": "Band of three high school-aged members, playing covers from bands such as Weezer. With news, biographies, pictures, MP3s and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/T", "url": "http://www.angelfire.com/band/therealband/"} +{"d:Title": "Wurlitzer", "d:Description": "An unsigned rock and roll band from Leicetser, England. With band story, news, pictures, gig dates, biographies, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/W", "url": "http://www.angelfire.com/band/wurlitzer/"} +{"d:Title": "Will's Coffeehouse", "d:Description": "An unsigned band. With basic background, band name poll, and a few links.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Personal_Pages/W", "url": "http://www.angelfire.com/band2/willscoffeehouse/"} +{"d:Title": "Shoegazing.com", "d:Description": "Guide to the bands, message board, links, quiz, top ten list and song of the week.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze", "url": "http://www.angelfire.com/md/shoegazing/"} +{"d:Title": "Alternapop.com", "d:Description": "Alternative resource for indie, alternative, pop and rock music, magazines, culture and fashion.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze", "url": "http://www.alternapop.com/"} +{"d:Title": "Shoegazer Band Web Ring", "d:Description": "Dedicated to the shoegazer style of music for the bands and fans to enjoy and discover each other and to help support this genre.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze", "url": "http://www.webring.org/hub?ring=shoegazer"} +{"d:Title": "Malory", "d:Description": "Official site of the German dreampop band featuring news, tour dates, biography, discography, and mp3-downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://malory-music.com/"} +{"d:Title": "Rivulets", "d:Description": "Official home of organic shoegaze project Rivulets. News, show information, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://www.rivulets.net/"} +{"d:Title": "Isabel's Dream", "d:Description": "It's a blurred wall of cascading guitars washing over delicate, angelic male/female vocals, steady rhythmic pulses and rolling basslines that take the listener to far off places.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://webhome.idirect.com/~midnite/"} +{"d:Title": "Winter In A Silver Box", "d:Description": "A page created for one of the most under appreciated, extraordinary bands of the past ten years; the Autumns.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://www.angelfire.com/pop/winterinasilverbox/"} +{"d:Title": "Worry Knot, The", "d:Description": "Singer/songwriter Michael Hughes and his introspective slow-moving music.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://www.theworryknot.com/"} +{"d:Title": "Drive Like Weather", "d:Description": "Official site for the band that mixes piano, guitar, a voice, and raw emotions to create a very real, and very tangible window into the thoughts of one girl.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://drivelikeweather.20m.com/"} +{"d:Title": "Bird Feeder Music", "d:Description": "Chicago artist akin to the 4AD, Sarah Records sound.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://birdfeedermusic.com/"} +{"d:Title": "Hope Chest", "d:Description": "A heavily melodic, psychedelic, dream-pop band formed in 1993 and still going strong.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://www.soundclick.com/hopechest"} +{"d:Title": "Ester Drang", "d:Description": "Official site of the Oklahoma band featuring news, discography, tour dates, photos, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists", "url": "http://www.esterdrang.com/"} +{"d:Title": "Chapterhouse", "d:Description": "Biography on the Artist Direct Network.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Chapterhouse", "url": "http://www.artistdirect.com/nad/music/artist/bio/0,,413863,00.html"} +{"d:Title": "Curve", "d:Description": "The official Curve website with unreleased mp3s, images, and discography, with regular postings by Toni Haliday and Dean Garcia.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Curve", "url": "http://www.curve.co.uk/"} +{"d:Title": "Recovery", "d:Description": "An unofficial band site with discography, a selection of lyrics, images and multimedia clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Curve", "url": "http://www.stack.nl/~conrad/curve/"} +{"d:Title": "Direct Line To The Telepathic", "d:Description": "A fan site.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Flickerstick", "url": "http://www.angelfire.com/art/flickerstick/"} +{"d:Title": "Flickerstick - The popentertainment Interview", "d:Description": "Frontman Brandin Lea talks to Jay S. Jacobs about life on the road and life on TV.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Flickerstick/Articles_and_Interviews", "url": "http://www.popentertainment.com/flickerstick.htm"} +{"d:Title": "AMG All Music Guide Entry", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Lilys", "url": "http://www.allmusic.com/artist/lilys-p40021"} +{"d:Title": "High on lowsunday", "d:Description": "Review and interview from the Pittsburgh Post-Gazette.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/lowsunday", "url": "http://www.post-gazette.com/magazine/20010330lowsunday2.asp"} +{"d:Title": "Hybridmagazine.com: lowsunday: The Ability to Communicate", "d:Description": "Guest column by Shane Sahene.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/lowsunday", "url": "http://hybridmagazine.com/music/0601/lowsunday.shtml"} +{"d:Title": "EvilSponge: Elesgiem by Lowsunday", "d:Description": "Album review, rated 4 out of 7 sponges.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/lowsunday", "url": "http://www.evilsponge.org/albums/Lowsunday__Elesgiem.htm"} +{"d:Title": "All Music Guide: Moose", "d:Description": "Features band history and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Moose", "url": "http://www.allmusic.com/artist/moose-p13713"} +{"d:Title": "The Slowdive&Mojave 3 Digest Archive", "d:Description": "Subscription information for the mailing list, and archive of messages.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Slowdive", "url": "http://www.lysator.liu.se/~chief/avalyn.html"} +{"d:Title": "Slowdive Information", "d:Description": "The band, their albums, and related appearances.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Slowdive", "url": "http://www.musicstack.com/slowdive/"} +{"d:Title": "SF59.com", "d:Description": "Official website with discography, tour dates, merchandise, and photos.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59", "url": "http://www.sf59.com/"} +{"d:Title": "ChristianGuitar.org", "d:Description": "Featuring guitar tablature to play the songs of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59", "url": "http://www.christianguitar.org/christian/songs.php?artist=598"} +{"d:Title": "Jesusfreakhideout.com", "d:Description": "Features a discography and list of present and past members.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59", "url": "http://www.jesusfreakhideout.com/artists/Starflyer59.asp"} +{"d:Title": "Unofficially Starflyer 59", "d:Description": "Fan site that features biography, music samples, discography, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59", "url": "http://sf59.tripod.com/"} +{"d:Title": "Noisepop.com", "d:Description": "Features live photos of the band in 2001.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59", "url": "http://www.noisepop.com/2001/sf/photos_03_01_bh.html"} +{"d:Title": "Panache Magazine", "d:Description": "Features an interview with Jason Martin.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Articles_and_Interviews", "url": "http://www.humboldtmusic.com/panache/interviews/starflyer59.html"} +{"d:Title": "CDshakedown.com", "d:Description": "Features a review of the Silver album.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews", "url": "http://www.cdshakedown.com/072695.htm"} +{"d:Title": "Crosswalk.com", "d:Description": "Features a review of Can't Stop Eating.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Can't_Stop_Eating", "url": "http://www.crosswalk.com/1178867/"} +{"d:Title": "Westnet.com", "d:Description": "Features a review of Everybody Makes Mistakes.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Everybody_Makes_Mistakes", "url": "http://www.westnet.com/consumable/2000/02.09/revstarf.html"} +{"d:Title": "Babysue.com", "d:Description": "Features a review of Everybody Makes Mistakes.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Everybody_Makes_Mistakes", "url": "http://www.babysue.com/LMNOP-Reviews-Dec-99.html#anchor1473619"} +{"d:Title": "Power Of Pop", "d:Description": "Features a review of Leave Here A Stranger.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Leave_Here_A_Stranger", "url": "http://powerofpop.tripod.com/reviewed_nov_01.htm#sf59"} +{"d:Title": "The Fish", "d:Description": "Features a review of Leave Here A Stranger.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Leave_Here_A_Stranger", "url": "http://www.thefish.com/music/reviews/11618333/Leave-Here-a-Stranger/"} +{"d:Title": "Almostcool.org", "d:Description": "Features a review of Leave Here A Stranger.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Leave_Here_A_Stranger", "url": "http://www.almostcool.org/mr/1192/"} +{"d:Title": "The Phantom Tollbooth", "d:Description": "E-zine covering music and popular culture with reviews of the album Old.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Old", "url": "http://www.tollbooth.org/2003/reviews/sf59.html"} +{"d:Title": "MusicTap.net", "d:Description": "Features a review of Old.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Old", "url": "http://www.musictap.net/Reviews/Starflyer59Old.html"} +{"d:Title": "Ink 19", "d:Description": "Features a review of Old.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Old", "url": "http://www.ink19.com/issues/august2003/musicReviews/musicS/starflyer59.html"} +{"d:Title": "The Fish", "d:Description": "Features a review of Old.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Starflyer_59/Reviews/Old", "url": "http://www.thefish.com/music/reviews/11618779/Old/"} +{"d:Title": "All Music Guide: The Swirlies", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Alternative/Shoegaze/Bands_and_Artists/Swirlies,_The", "url": "http://www.allmusic.com/artist/the-swirlies-p41840"} +{"d:Title": "Apocrasy", "d:Description": "An independent rock band from Belgium. Featuring band information, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/A", "url": "http://www.angelfire.com/rock/apocrasy/"} +{"d:Title": "Akira Project", "d:Description": "A hard hitting rock/metal/punk band from East Los Angeles, California. With background, news, picture, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/A", "url": "http://theakiraproject.tripod.com/"} +{"d:Title": "Antioch", "d:Description": "Saint Louis, Missouri band, includes pictures, events and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/A", "url": "http://www.angelfire.com/rebellion/antioch/"} +{"d:Title": "Art of Truth [Through Lying E], The", "d:Description": "A band from Glamorgan university, Wales.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/A", "url": "http://www.angelfire.com/rock2/tattle/"} +{"d:Title": "Bourquein, Tay", "d:Description": "Site dedicated to the bassist of the band Push Down and Turn.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/B", "url": "http://kris_tie75.tripod.com/TayBourquein/index.html"} +{"d:Title": "Corduroy Road", "d:Description": "A young band from Winnipeg, Canada, specializing in blues-rock. With background, profiles, song download, guitar tabs, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/C", "url": "http://corduroy_road.tripod.com/"} +{"d:Title": "DuNcE", "d:Description": "A new-school rap/metal band based in Windsor, Ontario, Canada. With news, member profiles, pictures, lyrics, audio, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/DuNcE20/"} +{"d:Title": "Decerbo, Mark", "d:Description": "A pop/rock artist from California. With show dates and link to artist mp3.com pages for further information, song downloads, and purchasing CD.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/D", "url": "http://decerbo.com/"} +{"d:Title": "Double Barrel", "d:Description": "A Topeka, Kansas-based band. With event schedule, pictures, and background information.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/D", "url": "http://members.tripod.com/walleye_1/default.htm"} +{"d:Title": "The Danglerz", "d:Description": "A rock band based in Geneva, Switzerland. With biography, reviews, pictures, videos and a calendar of the performances.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/D", "url": "http://www.thedanglers.com/"} +{"d:Title": "Eminent Child", "d:Description": "Official site for the emerging South African rock band who have a large following in the Eastern Cape region, Port Elizabeth and East London (South Africa). With news, biography, pictures, and RealAudio song samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/E", "url": "http://www.eminent-child.com/"} +{"d:Title": "Fools Paradise", "d:Description": "A Ventura county, California based theatrical hard rock band that is a little on the dark side. With release information, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/F", "url": "http://www.neilson-fools.com/"} +{"d:Title": "Fox, Amy", "d:Description": "Rock artist in Austin, Texas. Pictures, audio downloads, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/F", "url": "http://www.amyfox.com/"} +{"d:Title": "Future Legends, The", "d:Description": "Band based out of Gainesville, Florida, described as glam, goth, 80s, and just plain rock and roll. With background, lyrics, press and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/F", "url": "http://www.angelfire.com/rock2/thefuturelegends/"} +{"d:Title": "Helms", "d:Description": "A Boston-based band. With news, show updates, audience photos, posters, and mp3 links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/H", "url": "http://www.helmsmusic.com/"} +{"d:Title": "Hyrise", "d:Description": "A rock band from the Philadelphia playing shows at bars and clubs in the area. With biography, discography, MP3s, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/H", "url": "http://www.angelfire.com/band/hyrise/"} +{"d:Title": "JAR", "d:Description": "A Boise, Idaho-based female rock duo. With show dates, mailing list sign-up, and booking/contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/J", "url": "http://www.jarjam.homestead.com/"} +{"d:Title": "Jacknife Powerbombs", "d:Description": "Official band site, with concert schedule, member profiles, concert archive, pictures, discography, and link to group mp3.com site for song downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/J", "url": "http://jacknife_powerbombs.tripod.com/"} +{"d:Title": "Jiblian, Gary", "d:Description": "A NS/Stick player influenced by King Crimson, Gordian Knot, and Cynic. With biography, news, show dates, sound clips, pictures, and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/J", "url": "http://garyjibilian.com/"} +{"d:Title": "Jet Lawrence", "d:Description": "A four-piece independent rock group, remenisent of older Radiohead, Oasis, Ben Folds Five, Superdrag, and Third Eye Blind. With news, pictures, background, and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/J", "url": "http://www.members.tripod.com/jetlawrence/"} +{"d:Title": "Lakesyde", "d:Description": "A 3-piece UK band. With member profiles, song list, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/L", "url": "http://www.lakesyde.50megs.com/"} +{"d:Title": "LiveIt", "d:Description": "A teen rock band based in Calgary, Alberta. With background, lyrics, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/L", "url": "http://www.angelfire.com/band/liveit/"} +{"d:Title": "Mountain Mirrors", "d:Description": "Psychedelic Zen Rock from Boston, Massachusetts' Jeff Sanders. Free MP3 downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/M", "url": "http://www.mountainmirrors.com/"} +{"d:Title": "Madore, Ron", "d:Description": "A Connecticut-based singer/songwiter/musician creating songs from soft rock to ballads. With RealAudio sound clips and general information.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/M", "url": "http://www.ronmadore.com/index.html"} +{"d:Title": "No-Shadow Kick", "d:Description": "Western Massachusetts band, offers humorous fiction, movie reviews, artwork, comics, CDs and free MP3 music downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/N", "url": "http://www.noshadowkick.com/"} +{"d:Title": "Omniblank", "d:Description": "A hard rock band originally known as Hate Machine, based in New York. With background, show dates, news, pictures, MP3s, lyrics, merchandise and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/O", "url": "http://www.angelfire.com/il3/omniblank/"} +{"d:Title": "Phoenix Rising", "d:Description": "Rock and roll band with a blues influences. Including pictures, show dates, MP3s, and reviews of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/P", "url": "http://www.prising.com/"} +{"d:Title": "Pincution", "d:Description": "A local unsigned band from Killeen, Texas. With brief background, biographies, pictures, news, show dates and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/P", "url": "http://www.angelfire.com/myband/Eclectic/"} +{"d:Title": "Project 814", "d:Description": "Official site for this Cellar Records group. With music samples and general information.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/P", "url": "http://www.project814.com/"} +{"d:Title": "P'Tangi Warriors", "d:Description": "Teen Swede Sven's page dedicated to the memory of the legendary Brooklands College rockers from the late 90s.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/P", "url": "http://www.angelfire.com/rock/ptangiwarriors/"} +{"d:Title": "Radio Nowhere", "d:Description": "Bay Area band specializing in pop and rock music. Includes music samples, show listing and biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/R", "url": "http://www.radionowhere.net/"} +{"d:Title": "Small Town Riot", "d:Description": "A rock band based in the Charleston area of South Carolina. With background and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/S", "url": "http://members.tripod.com/small_town_riot/"} +{"d:Title": "SmakDaddy", "d:Description": "A band from Alexandria, Louisiana. With information about the band and members, completed projects, current projects, and future projects.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/S", "url": "http://shantillylace23.tripod.com/MySmakDaddyPage/"} +{"d:Title": "Sleepwalkers", "d:Description": "Official website with gig dates, background information, pictures and MP3 samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/S", "url": "http://homepage.eircom.net/~sleepwalkers/"} +{"d:Title": "Silos, The", "d:Description": "Official web site featuring MP3 samples, news, tour dates. [Requires Flash and Java]", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/S", "url": "http://www.thesilos.net/"} +{"d:Title": "That 70's Band", "d:Description": "A band that feels rock music achieved perfection in 1977. With general information, pictures and biographies.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/T", "url": "http://www.angelfire.com/music2/that70s/"} +{"d:Title": "Tower", "d:Description": "An original band playing in the style of classic rock and rhythm and blues. With biographies, pictures, show dates and links to the music.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/T", "url": "http://tower_rock_band.tripod.com/tower1"} +{"d:Title": "Tripwire", "d:Description": "Official site for the band from Pennsylvania. With audio samples, biographies, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/T", "url": "http://www.angelfire.com/pa4/tripwire/"} +{"d:Title": "Victor, Paul (Swan)", "d:Description": "Official site of the Austin, Texas-based guitar player and winner of four national \"Guitar Wars\" contests. With background, news, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Bands_and_Artists/V", "url": "http://tron621.tripod.com/index.html"} +{"d:Title": "Sara's Classic Rock Page", "d:Description": "A fan site with a small collection of classic rock band photos and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic", "url": "http://www.angelfire.com/ca4/ClassicRock/"} +{"d:Title": "Oldiesloon", "d:Description": "Dedicated to the oldies music of the 1950s, 60s and 70s plus the radio stations and disc jockeys playing it. Currently has about 250 hit record surveys of that time period from Minneapolis/St. Paul, Minnesota stations. Links to other sites include some with airchecks.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic", "url": "http://www.oldiesloon.com/"} +{"d:Title": "Super Seventies RockSite", "d:Description": "Reviews for hundreds of albums and singles from the 70s, plus a 70s almanac, related news, interviews, trivia, MIDIs, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic", "url": "http://www.superseventies.com/"} +{"d:Title": "ClassicBands.com", "d:Description": "Profiles of classic rock bands, with stories of how they got started, their hits, and where they are now.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic", "url": "http://www.classicbands.com/"} +{"d:Title": "Classic Rock Revisited", "d:Description": "Coverage of 70s and 80s rock music, interviews, articles, CD and DVD reviews, concert coverage, news and forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic", "url": "http://www.classicrockrevisited.com/"} +{"d:Title": "25 years of Rock Photography", "d:Description": "Chris Walter chronicles the music artists he photographed from the middle of the 1960s through the 1980s and later.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic", "url": "http://chriswalterphotography.com/chris25.html"} +{"d:Title": "Nova Classic Rock", "d:Description": "The first station playing classic rock in The Hague-Netherlands. Online RealAudio stream available.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.novaclassicrock.nl/"} +{"d:Title": "Retro Rewind", "d:Description": "An Internet radio site specializing in 70s, 80s and 90s music, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.retrorewind.com/"} +{"d:Title": "WODZ Oldiez 96", "d:Description": "Oldies music, news, car cruising and jamming at Saturday night oldies parties; the top 96 oldies songs, contests, free gifts and music links. Broadcasts from Whitesboro to Central NY.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.oldiez96.com/"} +{"d:Title": "Rock 101 Vancouver's Classic Rock", "d:Description": "Classic rock radio station in Vancouver. Includes the Top 100 and photos of \"Rock Girls\".", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.rock101.com/"} +{"d:Title": "Wfkz-Fm", "d:Description": "Web Site for Key Largo classic rock station WFKZ-FM 103.1", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.keysradio.com/"} +{"d:Title": "WZLX Boston 100.7", "d:Description": "Classic rock station in Boston. With concert highlights, news, pictures, personality profiles, and schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.wzlx.com/"} +{"d:Title": "Rock-it Radio", "d:Description": "Broadcasting 24 hours daily, with archive shows of 1950s music including rockabilly and doo-wop. Also features rockabilly bands of today.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.palmsradio.com/main.html"} +{"d:Title": "XM 40 - Deep Tracks", "d:Description": "Neglected album cuts broadcast via satellite to the continental US. List of typical songs and artists, program schedule, song request form.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.xmradio.com/programming/channel_page.jsp?ch=40"} +{"d:Title": "XM 46 - Top Tracks", "d:Description": "Familiar favorites broadcast via satellite to the continental US. List of typical cuts, program schedule, song request form.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.xmradio.com/programming/channel_page.jsp?ch=46"} +{"d:Title": "Z100 FM", "d:Description": "California radio station playing rock from the 60s, 70s and 80s. Online listening available through Windows Media Player.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.zchannelradio.com/"} +{"d:Title": "K-ROCK 97-3", "d:Description": "Canadian classic rock radio station, playing vintage rock from Led Zeppelin, Pink Floyd, and Aerosmith. Windows Media Player stream available.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://www.k97.fm/"} +{"d:Title": "103.5 The Fox", "d:Description": "Colorado's Classic Rock with links to the best Classic Rock artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Classic/Radio", "url": "http://thefox.iheart.com/"} +{"d:Title": "Ersatz Audio", "d:Description": "Ultra-synthetic electro-pop from this Detroit record label. Features artists Adult., and Le Car.", "topic": "Top/Arts/Music/Styles/R/Rock/Electroclash", "url": "http://www.ersatzaudio.com/"} +{"d:Title": "Euroclash", "d:Description": "Lists electroclash artists worldwide. Also features MP3s, videos, radio, mailing list, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Electroclash", "url": "http://euroclash.de/"} +{"d:Title": "Peaches Rocks", "d:Description": "Official site with news, images, video clips, MP3s, tour dates, online store, mailing list, links, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Electroclash/Bands_and_Artists/Peaches", "url": "http://www.peachesrocks.com/"} +{"d:Title": "The Sounds", "d:Description": "Official site with news, biography, album track listings, mailing list, images, contact information, and tour dates. [English, German, Swedish]", "topic": "Top/Arts/Music/Styles/R/Rock/Electroclash/Bands_and_Artists/Sounds,_The", "url": "http://www.the-sounds.com/"} +{"d:Title": "What The Heck Is Emo Anyway?", "d:Description": "A primer for a loose definition of what Emo is, with a best records list and links to other sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo", "url": "http://www.fourfa.com/"} +{"d:Title": "Origin of Emo", "d:Description": "Traces the origin of the genre, with references and shopping links.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo", "url": "http://www.angelfire.com/emo/origin/"} +{"d:Title": "Small Brown Bike", "d:Description": "A band from Michigan playing punk rock. Site has band information, pictures, upcoming shows and a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists", "url": "http://www.smallbrownbike.com/"} +{"d:Title": "Sick Lipstick, The", "d:Description": "Band from Toronto, Canada with ex members of Black Cat #13. Would appeal to fans of Huggy Bear, Screamers, Arab On Radar, Le Shok.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists", "url": "http://www.thesicklipstick.com/"} +{"d:Title": "Cursive", "d:Description": "Omaha based indie rock. Tour dates, photos, biography, discography, forum, reviews, contact information, videos, links, and real audio and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists", "url": "http://www.cursivearmy.com/"} +{"d:Title": "Mineral", "d:Description": "Crank Records page for the Austin, TX based emo band.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists", "url": "http://www.crankthis.com/mineral.html"} +{"d:Title": "Decade", "d:Description": "A four piece, emo/rock band from Southern Vermont. With news, show dates, member profiles, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists", "url": "http://www.angelfire.com/emo/decade/"} +{"d:Title": "To The Rescue", "d:Description": "The emo band based out of the US. Site features news, upcoming shows, MP3s and a guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists", "url": "http://www.angelfire.com/emo/ourband/index.html"} +{"d:Title": "In Music We Trust - Braid: Lucky To Be Alive", "d:Description": "Review of \"Lucky To Be Alive\".", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists/Braid", "url": "http://www.inmusicwetrust.com/articles/30r04.html"} +{"d:Title": "Burning Airlines", "d:Description": "MTV site for the band with a brief biography and a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists/Burning_Airlines", "url": "http://www.mtv.com/artists/burning-airlines/"} +{"d:Title": "Ink 19 :: Alkaline Trio / Hot Water Music", "d:Description": "Split EP (Jade Tree). Review by TJ Stankus.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists/Hot_Water_Music", "url": "http://www.ink19.com/issues/january2002/musicReviews/musicA/alkalineTrioHot.html"} +{"d:Title": "Ink Nineteen: Hot Water Music", "d:Description": "Review Of \"Forever and Counting\".", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists/Hot_Water_Music", "url": "http://www.ink19.com/issues_F/97_12/wet_ink/music_g_k/054_hot_water_music_nf.html"} +{"d:Title": "CD Reviews: Hot Water Music", "d:Description": "From NY Rock review of Hot Water Music among others.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists/Hot_Water_Music", "url": "http://www.nyrock.com/reviews/2002/jbhf.asp#three"} +{"d:Title": "Some Records - Hot Water Music", "d:Description": "Some Records site for the emo band.", "topic": "Top/Arts/Music/Styles/R/Rock/Emo/Bands_and_Artists/Hot_Water_Music", "url": "http://www.some.com/hotwatermusic.htm"} +{"d:Title": "Cutie Morning Moon", "d:Description": "Dedicated to '60s garage punk including photos, articles, and interviews. [Japanese and English]", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://60spunk.m78.com/"} +{"d:Title": "Grunnen Rocks", "d:Description": "Large archive of band information. Also FAQ, label listing, trade list, images, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.grunnenrocks.nl/"} +{"d:Title": "Garage Compilation Database", "d:Description": "Contains track listings and other information for hundreds of various artist compilations that focus on '60s garage and psychedelic rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.soybomb.com/garage-comps/"} +{"d:Title": "Ugly Things", "d:Description": "Garage, punk, and rock 'n' roll fanzine based in San Diego with in-depth, informative articles and other features.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.ugly-things.com/"} +{"d:Title": "Grand Rapids Rocks", "d:Description": "The history of garage rock in West Michigan, especially Great Lakes Studio and Fenton Records.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.grandrapidsrocks.com/"} +{"d:Title": "Timeless Gods", "d:Description": "News, interviews, record reviews, and images.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.angelfire.com/ks/timelessgods/"} +{"d:Title": "Bomp List", "d:Description": "Discussion group for garage punk music and culture. Rules, how to subscribe, and archives.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.juvalamu.com/bomplist"} +{"d:Title": "Shindig! Magazine", "d:Description": "Introduction, issue archive, reviews, contact information, links, and mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.shindig-magazine.com/"} +{"d:Title": "Scram Magazine", "d:Description": "A journal of unpopular culture.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.scrammagazine.com/"} +{"d:Title": "100% Garage Rock Webring", "d:Description": "List of websites, rules, and how to join.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage", "url": "http://www.webring.org/hub?ring=100garagerockweb"} +{"d:Title": "Standard of Society", "d:Description": "Central, PA band with images, video, lyrics, news, biography, links, merchandise, contact information, and audio files.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://www.angelfire.com/pa4/sosmusic/"} +{"d:Title": "Thee Michelle Gun Elephant", "d:Description": "Profile, news, links, discography, fan club, tour information, photos, and merchandise. [Japanese and English]", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://www.tmge.co.jp/"} +{"d:Title": "Gore Gore Girls", "d:Description": "Band from Detroit with upcoming shows, contact information, photo, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://www.goregoregirls.com/"} +{"d:Title": "Mighty Moguls, The", "d:Description": "Profiles, news, images, music samples, and links. [Japanese and English]", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://sound.jp/mightymoguls/"} +{"d:Title": "Mighty Stars, The", "d:Description": "Bristol girl band. Includes biography, links, news, gig dates, MP3s, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://mightystars.50megs.com/"} +{"d:Title": "Church Keys, The", "d:Description": "New York City band. News, merchandise, tour dates, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://members.tripod.com/thechurchkeys/"} +{"d:Title": "Reverbians, The", "d:Description": "Murfreesboro, TN band with news, gig dates, contact information, biography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://angelfire.com/clone/thereverbians"} +{"d:Title": "Morticia's Lovers", "d:Description": "Band from Italy. Photos, reviews, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://www.morticiaslovers.com/"} +{"d:Title": "Chicklettes, The", "d:Description": "Little Rock, AR band. Tour dates, news, lyrics, merchandise, contact information, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://www.angelfire.com/punk4/thechicklettes/index.html"} +{"d:Title": "prey for mojo", "d:Description": "A punk, garage, hard rock band with original taste. Except no substitutes.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://preyformojo.home.mindspring.com/"} +{"d:Title": "THE RESISTOLEROS", "d:Description": "Rock 'N' Roll deviants from Oakland California.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://resistoleros.tripod.com/"} +{"d:Title": "The Terminal Sunglasses", "d:Description": "Free MP3s and videos from this Montreal \"avant-garage\" band. They mixed '60s garage band influences and Velvet Underground avant-guard tendencies with 1980s punk sensibilities.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists", "url": "http://lawrencejoseph.org/1indexts.html"} +{"d:Title": "Peekaboo Records:", "d:Description": "Images, interview, tour diary, song titles, discography, sound clips, how to get a membership card, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/1-4-5s,_The", "url": "http://www.peekaboorecords.com/bands/145s/"} +{"d:Title": "Fallout Records: Bantam Rooster", "d:Description": "Photos of the in-store.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Bantam_Rooster", "url": "http://www.falloutrecords.com/gallery/bantam.html"} +{"d:Title": "Grunnen Rocks: Bantam Rooster", "d:Description": "Contact information, band members, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Bantam_Rooster", "url": "http://www.grunnenrocks.nl/index.html?bands/b/bantamro.htm"} +{"d:Title": "The Bellrays", "d:Description": "Official site with history, tour dates, MP3s, merchandise, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Bellrays,_The", "url": "http://www.thebellrays.com/"} +{"d:Title": "Ink19: The Bellrays", "d:Description": "Review of Grand Fury.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Bellrays,_The", "url": "http://www.ink19.com/issues/april2001/wetInk/musicB/bellRays.html"} +{"d:Title": "Angehla's Band Photos", "d:Description": "Black and white thumbnails from a show.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Delta_72,_The", "url": "http://anjehla.tripod.com/bands/delta72/delta.html"} +{"d:Title": "Grunnen Rocks: The Delta 72", "d:Description": "Contact information, band line-ups, history, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Delta_72,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/d/delta72.htm"} +{"d:Title": "Grunnen Rocks: Detroit Cobras", "d:Description": "Contact information, history, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Detroit_Cobras,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/d/detroitc.htm"} +{"d:Title": "Grunnen Rocks: The Dirtbombs", "d:Description": "Contact information, list of different line-ups, history, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Dirtbombs,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/d/dirtbomb.htm"} +{"d:Title": "Firestarter Images", "d:Description": "Introduction and show images.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Firestarter", "url": "http://www.warui.com/stefan/pjapan/newer_music/firestarter.html"} +{"d:Title": "Grunnen Rocks: The Gospel Swingers", "d:Description": "Band members, history, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Gospel_Swingers,_The", "url": "http://www.grunnenrocks.nl/bands/g/gospelswingers.htm"} +{"d:Title": "Guitar Wolf", "d:Description": "Official site with news, schedule, biography, discography, merchandise, forum, and links. [Japanese and English]", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Guitar_Wolf", "url": "http://www.guitarwolf.net/"} +{"d:Title": "Ink Nineteen: Guitar Wolf", "d:Description": "Review and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Guitar_Wolf", "url": "http://www.ink19.com/issues_F/98_02/wet_ink/music_eg/058_guitar_wolf_nf.html"} +{"d:Title": "Grunnen Rocks: Guitar Wolf", "d:Description": "Contact information, members, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Guitar_Wolf", "url": "http://www.grunnenrocks.nl/index.html?bands/g/guitarwo.htm"} +{"d:Title": "Rockin' Enocky Club", "d:Description": "Images, show dates, sound samples, and links. [Japanese and English]", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Jackie_and_the_Cedrics", "url": "http://www.geocities.co.jp/MusicStar-Drum/6409/"} +{"d:Title": "Ink Nineteen: Junior Varsity", "d:Description": "Introduction, review of Bam Bam Bam! and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Junior_Varsity", "url": "http://www.ink19.com/issues_F/00_03/wet_ink/music_im/080_junior_varsity.shtml"} +{"d:Title": "Ink Nineteen: Lord High Fixers", "d:Description": "Review of \"Is Your Club a Secret Weapon?\" and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Lord_High_Fixers", "url": "http://www.ink19.com/issues_F/00_03/wet_ink/music_im/088_the_lord_high_fixers.shtml"} +{"d:Title": "Lord High Fixers", "d:Description": "Review of \"When the Revolution Comes\" compact disc.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Lord_High_Fixers", "url": "http://www.ucalgary.ca/UofC/students/VOX/Albums/lordhigh.htm"} +{"d:Title": "Lord High Fixers", "d:Description": "Band history, discography, links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Lord_High_Fixers", "url": "http://www.grunnenrocks.nl/index.html?bands/l/lordhigh.htm"} +{"d:Title": "Retro Metro: The Makers", "d:Description": "Reviews and album cover images.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Makers,_The", "url": "http://www.xmission.com/~flubber/notes/garage2.html"} +{"d:Title": "The Monks Official Website", "d:Description": "Introduction, the history of feedback, how the band formed, reunion information, reviews, interviews, photos, merchandise, news, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Monks,_The", "url": "http://www.the-monks.com/"} +{"d:Title": "Perfect Sound Forever: The Year of the Monks", "d:Description": "In-depth article by Will Bedard.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Monks,_The", "url": "http://www.furious.com/perfect/monks.html"} +{"d:Title": "Jared's Pick: Black Monk Time", "d:Description": "Review and album cover image.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Monks,_The", "url": "http://www.angelfire.com/nh/jaredspick/monks.html"} +{"d:Title": "Back to Monk Time", "d:Description": "Article for EYE magazine by Aaron Poehler.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Monks,_The", "url": "http://www.angelfire.com/in2/aaronmusicarchives/backtomonktime.html"} +{"d:Title": "Empty Records: Motards", "d:Description": "Introduction, merchandise, and image.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Motards,_The", "url": "http://www.emptyrecords.com/empty/bands/band_detail.asp?intbandid=11"} +{"d:Title": "Grunnen Rocks: The Motards", "d:Description": "Contact information, extensive discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Motards,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/m/motards.htm"} +{"d:Title": "Subpop: Murder City Devils", "d:Description": "News, images, real audio samples, merchandise, and press clippings.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Murder_City_Devils,_The", "url": "http://www.subpop.com/artists/the_murder_city_devils"} +{"d:Title": "Pitchforkmedia: In Name and Blood Review", "d:Description": "Image and review.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Murder_City_Devils,_The", "url": "http://pitchfork.com/reviews/albums/5509-in-name-and-blood/"} +{"d:Title": "Grunnen Rocks: The Rip Offs", "d:Description": "Musical history, contact information, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Rip_Offs,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/r/ripoffs.htm"} +{"d:Title": "Ink Nineteen: Sugar Shack", "d:Description": "Review and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Sugar_Shack", "url": "http://www.ink19.com/issues_F/97_12/wet_ink/music_p_s/109_sugar_shack_nf.html"} +{"d:Title": "Grunnen Rocks: Sugar Shack", "d:Description": "Member information, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Sugar_Shack", "url": "http://www.grunnenrocks.nl/index.html?bands/s/sugarshack.htm"} +{"d:Title": "Grunnen Rocks: Supercharger", "d:Description": "Extensive discography, contact information, member musical history, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Supercharger", "url": "http://www.grunnenrocks.nl/index.html?bands/s/supercha.htm"} +{"d:Title": "Grunnen Rocks: Teengenerate", "d:Description": "Member list, extensive discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/Teengenerate", "url": "http://www.grunnenrocks.nl/index.html?bands/t/teengene.htm"} +{"d:Title": "Fallout Records: The White Stripes", "d:Description": "Introduction and in-store photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.falloutrecords.com/gallery/wstripes.html"} +{"d:Title": "The White Stripes", "d:Description": "Official site features discography, show dates, news, photos and artwork, and tablature.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.whitestripes.com/"} +{"d:Title": "WhiteStripes.net", "d:Description": "Offers live and rare downloads, news, messageboard community, and an article library.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.whitestripes.net/"} +{"d:Title": "Glorious Noise: White Stripes", "d:Description": "A copy of Jack and Meg White's 1996 marriage license and 2000 divorce certificate.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.gloriousnoise.com/?pg=white_stripes_married.php"} +{"d:Title": "The White Stripes - Expecting", "d:Description": "A collection of songs, lyrics, tour dates and setlists.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www-personal.umich.edu/~brt/expecting/"} +{"d:Title": "Grunnen Rocks: The White Stripes", "d:Description": "Contact information, history, track listings, links, and release list.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.grunnenrocks.nl/index.html?bands/w/whitestripes.htm"} +{"d:Title": "Topix: The White Stripes", "d:Description": "News about The White Stripes, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.topix.com/rss/who/the-white-stripes.xml"} +{"d:Title": "White Stripes Fansite", "d:Description": "Includes photos, discography, lyrics, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.whitestripes.gr/"} +{"d:Title": "All Music Guide: The White Stripes", "d:Description": "Band biography, discographies, reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The", "url": "http://www.allmusic.com/artist/the-white-stripes-p371524"} +{"d:Title": "AlmostCool.org: Elephant", "d:Description": "A review of The White Stripes' \"Elephant,\" with a link to AlmostCool.org's review of \"White Blood Cells.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Bands_and_Artists/White_Stripes,_The/Reviews", "url": "http://www.almostcool.org/mr/1449/"} +{"d:Title": "Little Steven's Underground Garage", "d:Description": "Weekly syndicated garage rock radio program hosted by \"Little Steven\" Van Zandt.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Radio", "url": "http://www.littlestevensundergroundgarage.com/"} +{"d:Title": "The Wayback Machine", "d:Description": "Hosted by Kopper, Monday nights (8-10pm Central Time) on KDHX-FM 88.1, St. Louis, Mo. \"The past, present, and future of garage, punk, and primitive rock'n'roll!\" Since 1995.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Radio", "url": "http://www.garagepunk.com/"} +{"d:Title": "Teenage Wasteland", "d:Description": "Sundays, 3-5pm (EST) WFMU-FM 91.1 in Newark, NJ. Fall into Bill Kelly's Black Hole of Rock 'n' Roll!", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Radio", "url": "http://www.wfmu.org/blackhole"} +{"d:Title": "Three Chord Monte", "d:Description": "With Joe Belock, Tuesdays from Noon to 3PM on WFMU (91.1FM) Newark, NJ: No-frills rock and roll with live bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Radio", "url": "http://www.wfmu.org/joeb"} +{"d:Title": "The Hound On WFMU 1985-1997", "d:Description": "Airchecks of the Hound radio show in WFMU in the New York area. DJ played very obscure old rhythm and blues, rockabilly, rock and roll, punk, blues, hillbilly, and garage. Guests include Hasil Adkins, Sonny Burgess, Hank Ballard, and Arthur Alexander.", "topic": "Top/Arts/Music/Styles/R/Rock/Garage/Radio", "url": "http://thehound.net/"} +{"d:Title": "Gothic World", "d:Description": "Reviews of many Gothic, death, black, and doom metal bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic", "url": "http://www.angelfire.com/pq/gothmaster/index.html"} +{"d:Title": "Gothic Tabs", "d:Description": "Tablatures to gothic music for guitar and bass.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic", "url": "http://gothtabs.stormpages.com/"} +{"d:Title": "SadBoyInBlack's Goth Pages", "d:Description": "Writings on the Goth lifestyle and the essential characteristics of Goth music, with a list of classic goth songs and related music.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic", "url": "http://www.angelfire.com/goth/sadboyinblack/gothpages/index.html"} +{"d:Title": "Belgian Dark Alternative Music Database", "d:Description": "Offering news, links and information on Belgian acts, labels, radio shows, parties and events and related details in the range of wave, Gothic, electro, industrial, noise, and synthpop music.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic", "url": "http://users.telenet.be/stefannelies/bdamd/"} +{"d:Title": "Dark Grave", "d:Description": "Providing information on new and old Gothic music that unusual and hard to find. Also includes information the culture, new sites, online radio stations and literature.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic", "url": "http://www.darkgrave.com/"} +{"d:Title": "Wave Gotik Treffen", "d:Description": "Wave Gotik Treffen, the world largest Gothic festival with its own RSS feed in English.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic", "url": "http://www.wave-gotik-treffen.de/rss/wgt_en.xml"} +{"d:Title": "Underground Press", "d:Description": "Supports South African Gothic music through reviews, biographies, events, and audio downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic", "url": "http://www.undergroundpress.co.za/"} +{"d:Title": "Aenima", "d:Description": "Official site for this ethereal/experimental/Gothic group. With news, band story, biographies, release information, photography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A", "url": "http://www.aetherial.org/aenima/"} +{"d:Title": "All Living Fear", "d:Description": "MP3s, merchandise, profiles, lyrics, history, discography, photos, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A", "url": "http://www.alllivingfear.co.uk/"} +{"d:Title": "Audra", "d:Description": "Official band site, with pictures, merchandise, MP3s, lyrics, press, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A", "url": "http://www.audramusic.com/"} +{"d:Title": "Musicfolio: And Also The Trees", "d:Description": "Discography with reviews and ratings.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A/And_Also_the_Trees", "url": "http://www.musicfolio.com/modernrock/andalsothetrees.html"} +{"d:Title": "And Also The Trees Official", "d:Description": "History, news, releases, lyrics and real audio.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A/And_Also_the_Trees", "url": "http://www.andalsothetrees.co.uk/"} +{"d:Title": "All Music Guide: And Also the Trees", "d:Description": "With group members, discography, band biography and related artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A/And_Also_the_Trees", "url": "http://www.allmusic.com/artist/and-also-the-trees-mn0000019160"} +{"d:Title": "Antimatter - Saviour - review", "d:Description": "Review of their first album \"Saviour\".", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A/Antimatter", "url": "http://www.tartareandesire.com/reviews/antimatter.html"} +{"d:Title": "Autumnblaze Lyrics", "d:Description": "Lyrics from all their albums at Darklyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/A/Autumnblaze", "url": "http://www.darklyrics.com/a/autumnblaze.html"} +{"d:Title": "Between the Light of Ordered Bodies", "d:Description": "Official site of the band also known as BLOOB. Features news, mp3 downloads, discographies and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/B", "url": "http://www.bloob.co.uk/"} +{"d:Title": "Black Tape for a Blue Girl", "d:Description": "Official site. Includes news, audio, photos, band profiles, interviews, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/B", "url": "http://www.blacktapeforabluegirl.com/"} +{"d:Title": "Cell Division", "d:Description": "Dark rock from Zurich, Switzerland. Featuring band background, pictures, MP3s, and streaming audio and video clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/C", "url": "http://www.celldivision.ch/"} +{"d:Title": "Caustic Soul", "d:Description": "A darkwave Gothic band from Colorado.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/C", "url": "http://www.angelfire.com/mt/sol/caustic.html"} +{"d:Title": "Crying Whilst Dying", "d:Description": "Two-piece from Kentucky. News, biography, discography, lyrics, and contact information", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/C", "url": "http://www.angelfire.com/scary/cryingwhilstdying/index.html"} +{"d:Title": "Cruxshadows", "d:Description": "Official band site for this darkwave/new wave act. Contains photos, sound samples, news, tour dates, merchandise, screen savers, and general band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/C/Cruxshadows,_The", "url": "http://www.cruxshadows.com/"} +{"d:Title": "The Cruxshadows: Musicfolio album reviews", "d:Description": "Discography, album reviews and ratings with links to similar gothic artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/C/Cruxshadows,_The", "url": "http://musicfolio.com/modernrock/cruxshadows.html"} +{"d:Title": "Die Schlaflosen", "d:Description": "A site dedicated to the dark Gothic sounds of Die Schlaflosen. Featuring pictures and downloads of this Hollywood based band.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/D", "url": "http://www.angelfire.com/band/schlaflosen/"} +{"d:Title": "Death In June - Official site", "d:Description": "News, releases, mail order, mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/D/Death_In_June", "url": "http://www.deathinjune.net/"} +{"d:Title": "SKot's Death In June page", "d:Description": "A fan page featuring news, discography, biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/D/Death_In_June", "url": "http://www.brainwashed.com/dij/"} +{"d:Title": "Death in June Not Mysterious", "d:Description": "Critical examination of the band, exploring their origins and imagery. Written by Stewart Home and published in Datacide, summer 2000.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/D/Death_In_June", "url": "http://www.stewarthomesociety.org/dij.htm"} +{"d:Title": "Michael J Sheehy", "d:Description": "Unofficial web site with articles, audio samples, biography, discography, images, links, lyrics, news and videos of the singer and his former band Dream City Film Club.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/D/Dream_City_Film_Club", "url": "http://www.angelfire.com/indie/mjs/index.html"} +{"d:Title": "Dream City Film Club", "d:Description": "Fan site about the late 90s post punk/Gothic/new grave band. With background, discography, and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/D/Dream_City_Film_Club", "url": "http://www.angelfire.com/indie/bbblux/DCFC_Index.HTM"} +{"d:Title": "Dream City Film Club", "d:Description": "Fan site of the Gothic rock band contains biography, lyrics, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/D/Dream_City_Film_Club", "url": "http://www.dreamcityfilmclub.com/"} +{"d:Title": "Empty Storage", "d:Description": "Progressive darkrock band from Germany.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/E", "url": "http://www.empty-storage.de/"} +{"d:Title": "Fair Bitch Projekt, The", "d:Description": "The official website of The Fair Bitch Projekt, a harsh Gothic rock band from the gloomy depth of Turin, Italy.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/F", "url": "http://www.tfbp.net/"} +{"d:Title": "Fra Lippo Lippi", "d:Description": "Official site includes history and discography for the Norwegian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/F", "url": "http://www.fralippolippi.com/"} +{"d:Title": "Gene loves Jezebel", "d:Description": "Official site which includes news, photos, sounds, videos, discography, articles and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/G/Gene_Loves_Jezebel", "url": "http://www.genelovesjezebel.com/"} +{"d:Title": "Ysgarlad", "d:Description": "Site of the Jay Aston Web Ring.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/G/Gene_Loves_Jezebel", "url": "http://www.spookhouse.net/angelynx/jezebel/ysgarlad.html"} +{"d:Title": "Genitorturers", "d:Description": "Official site featuring news, auction, multimedia, store, forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/G/Genitorturers", "url": "http://www.genitorturers.com/"} +{"d:Title": "Society Of Genitorture", "d:Description": "A fan site featuring news, merchandise, multimedia, lyrics and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/G/Genitorturers", "url": "http://members.tripod.com/liars_lair/"} +{"d:Title": "The Punisher's Genitorturer's Pics", "d:Description": "Live pictures from a club show in Milan in May 2002.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/G/Genitorturers", "url": "http://livepics.tripod.com/genitorturers.htm"} +{"d:Title": "HIM", "d:Description": "The official website for the Finnish rock band HIM.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/H/HIM", "url": "http://www.heartagram.com/"} +{"d:Title": "Room 666", "d:Description": "An unofficial/fansite for the Finnish rockband HIM. With biography, discography, tour information, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/H/HIM", "url": "http://him-room666.tvheaven.com/"} +{"d:Title": "Heartagram.org", "d:Description": "Fan site includes news, galleries, lyrics, discography, and forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/H/HIM", "url": "http://www.heartagram.org/"} +{"d:Title": "Heartagram_666", "d:Description": "An unofficial fan site of the rock band HIM. With a discography and photo gallery.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/H/HIM", "url": "http://heartagram_666.tripod.com/him/index.html"} +{"d:Title": "Ikon", "d:Description": "Official site includes news, lyrics, discography, MP3 files, and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/I", "url": "http://www.ikondomain.com/"} +{"d:Title": "Wytches", "d:Description": "An unofficial band site, featuring news, gig details, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/I/Inkubus_Sukkubus", "url": "http://www.inkubus-sukkubus.co.uk/"} +{"d:Title": "Inkubus Sukkubus", "d:Description": "Official site of the UK-based band. With biography, MP3s, pictures, lyrics, discography, gig dates, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/I/Inkubus_Sukkubus", "url": "http://inkubussukkubus.com/"} +{"d:Title": "In Between Days Home", "d:Description": "Joy Division And New Order live tapes, bootlegs information and RealAudio.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/J/Joy_Division", "url": "http://www.angelfire.com/ga/zza77/index.html"} +{"d:Title": "Joy Division at worldinmotion", "d:Description": "Contains discography, lyrics, sessionography, videography, books, interviews, articles and miscellaneous stuff.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/J/Joy_Division", "url": "http://www.worldinmotion.net/joydivision.htm"} +{"d:Title": "Musicfolio - Joy Division", "d:Description": "Album reviews and ratings with links to similar Gothic artist reviewed on musicfolio.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/J/Joy_Division", "url": "http://musicfolio.com/modernrock/joydivision.html"} +{"d:Title": "Songsterr: Joy Division", "d:Description": "A collection of tabs for the Gothic rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/J/Joy_Division", "url": "http://www.songsterr.com/a/wsa/joy-division-tabs-a3247?inst=bass"} +{"d:Title": "Kismet", "d:Description": "An extension of the cult band Mizar this band is Australia based although performs regularly in Macedonia.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/K", "url": "http://www.unet.com.mk/kismet/"} +{"d:Title": "Lucan Wolf", "d:Description": "The Lord of the Night's official site is where you can dig up all the music, merchandise and other grave goods.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/L", "url": "http://www.lucanwolf.com/"} +{"d:Title": "Lair of The Shadow Dance", "d:Description": "Official band site with images, sounds, information, releases and tour dates on this band from Finland.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/L", "url": "http://www.dlc.fi/~tammika/"} +{"d:Title": "Leisure Hive", "d:Description": "Description and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/L", "url": "http://www.users.globalnet.co.uk/~uncle/hive.htm"} +{"d:Title": "The Last Dance", "d:Description": "Los Angeles and Fountain Valley, California band site, offering insight on the band, tour dates and some MP3 downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/L", "url": "http://www.thelastdance.com/"} +{"d:Title": "Lycia discography", "d:Description": "Complete discography", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/L/Lycia", "url": "http://kzsu.stanford.edu/eklein/artist/artistly.html#21"} +{"d:Title": "Museum", "d:Description": "A site featuring gothic rock music and images of this southern California band.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M", "url": "http://www.ourmuseum.com/"} +{"d:Title": "Mephisto Walz", "d:Description": "Official home page, with lyrics and song information, guitar and bass tabs, photographs, interviews and reviews, releases and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M", "url": "http://www.mephistowalz.com/"} +{"d:Title": "Moonspell", "d:Description": "Official page of the band from Portugal.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M", "url": "http://www.moonspell.com/"} +{"d:Title": "Keep the faith", "d:Description": "Official site for The Mission UK, featuring news, gigs, and pictures.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M/Mission,_The", "url": "http://www.themissionuk.com/"} +{"d:Title": "Yahoo Groups: Mish", "d:Description": "Mailing list archives and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M/Mission,_The", "url": "http://groups.yahoo.com/group/mish/"} +{"d:Title": "Serpent's Kiss", "d:Description": "A discussion group dedicated to The Mission.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M/Mission,_The", "url": "http://serpentskiss.tripod.com/"} +{"d:Title": "Sacrilege", "d:Description": "A fan site featuring albums, history, photos and Mission screen saver.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M/Mission,_The", "url": "http://members.tripod.com/voneldritch/themission/index.html"} +{"d:Title": "Musicfolio Album Reviews: Mission UK", "d:Description": "With discography, reviews, ratings, and related artist and shopping links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/M/Mission,_The", "url": "http://www.musicfolio.com/modernrock/missionuk.html"} +{"d:Title": "New Risen", "d:Description": "Synthpop-Gothic musician from Nantes, France. Official site includes news, lyrics, audio, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/N", "url": "http://newrisen.free.fr/"} +{"d:Title": "Neurepublik", "d:Description": "Official site for this Dayton, Ohio based band. With news, audio clips, release information, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/N", "url": "http://www.members.tripod.com/yada/neurepublik.html"} +{"d:Title": "Odor of Pears", "d:Description": "Pictures, music and other information on this unique goth/industrial/world/performance art band.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/O", "url": "http://www.odorofpears.com/"} +{"d:Title": "Of the Wand and the Moon", "d:Description": "Danish folk noir music with Nordic mythological influences. Releases, photos and links to other music sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/O", "url": "http://www.ofthewandandthemoon.dk/"} +{"d:Title": "Ostara", "d:Description": "Official site for the music of Richard Leviathan.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/O", "url": "http://www.ostara.net/"} +{"d:Title": "Passion Play", "d:Description": "Oxfordshire, UK band with photos, audio samples, merchandise, links, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/P", "url": "http://www.passionplay.co.uk/"} +{"d:Title": "Pandrix Napier", "d:Description": "Gothic/Hardcore band. Offers a blend of intense hardcore beats surrounded by gothic undertones.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/P", "url": "http://www.randommalice.com/"} +{"d:Title": "Razed In Black", "d:Description": "Official band site, featuring news, tour dates, photos, audio and video.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/R", "url": "http://www.razedinblack.net/"} +{"d:Title": "Rome Burns", "d:Description": "Homepage for the band Rome Burns. Described by Mick Mercer as \"Cool Goth with a sense of style that comes naturally\".", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/R", "url": "http://www.romeburns.co.uk/"} +{"d:Title": "BLOW", "d:Description": "Small fan site, with discography and news about related projects of the band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/R/Red_Lorry_Yellow_Lorry", "url": "http://members.tripod.com/~rlyl/"} +{"d:Title": "Allmusic: Rosetta Stone", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/R/Rosetta_Stone", "url": "http://www.allmusic.com/artist/rosetta-stone-mn0000347937"} +{"d:Title": "Sopor Aeternus and the Ensemble of Shadows", "d:Description": "A European dark band. Featuring background, FAQ, interviews, pictures, discography, lyrics, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/S", "url": "http://www.soporaeternus.de/"} +{"d:Title": "Strangewalls", "d:Description": "A New England low-fi/gloom/post-punk/ether noise band, with sounds and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/S", "url": "http://www.angelfire.com/indie/STRANGEWALLS/index.html"} +{"d:Title": "SYPh0N", "d:Description": "An Ohio-based industrial/goth band, with biography, discography, forums and chat rooms.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/S", "url": "http://www.angelfire.com/co2/syphon/"} +{"d:Title": "Shades of Winter", "d:Description": "Gothic rock band with free mp3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/S", "url": "http://www.angelfire.com/rock2/shades/"} +{"d:Title": "Sword Volcano Complex, The", "d:Description": "Provides news and a discography for the one-man project.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/S", "url": "http://www.grayowl.com/svc/"} +{"d:Title": "Trespass", "d:Description": "The official site of the new French Gothic-rock band, news, downloadable mp3s, pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/T", "url": "http://karnath.free.fr/"} +{"d:Title": "Tragic Black", "d:Description": "The official Tragic Black website with band photography, MP3 audio samples, lyrics, show dates, biography and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/T", "url": "http://www.tragicblack.com/"} +{"d:Title": "Thebrotheregg", "d:Description": "Portland Oregon's Avant-rainpop quartet.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/T", "url": "http://www.thebrotheregg.com/"} +{"d:Title": "Villain", "d:Description": "Information on this deathrock band, plus personal information on their gothabilly drummer.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/V", "url": "http://www.angelfire.com/zine/bonedaddy/"} +{"d:Title": "ViolentFix", "d:Description": "A Gothic/industrial project. With news, show dates, discography, and photography.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/V", "url": "http://www.violentfix.com/"} +{"d:Title": "Vision Bleak, The", "d:Description": "Allen B. Konstanz and Ulf Theodor Schwadorf celebrate creepy and haunting entertainment inspired by the Horror-Novels and -Movies of Max Schreck, John Carpenter, Friedrich Murnau, H.P.Lovecraft or George Romero.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/V", "url": "http://www.the-vision-bleak.de/"} +{"d:Title": "Vanishing, The", "d:Description": "Photos, links, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/V", "url": "http://www.thevanishing.com/"} +{"d:Title": "Zoar", "d:Description": "Music for the movies in your head.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Bands_and_Artists/Z", "url": "http://www.zoar.com/"} +{"d:Title": "Official Dark Music Sites Index", "d:Description": "Links to official websites of many major darkwave, Gothic, elektro, industrial and related bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Directories", "url": "http://www.freddark.net/"} +{"d:Title": "Music Database", "d:Description": "Industrial and Gothic music index including full discographies and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Directories", "url": "http://kzsu.stanford.edu/eklein/"} +{"d:Title": "SickOzell", "d:Description": "Directory of Gothic, industrial, EBM, and medieval music resources. Site allows user submissions and offers a radio station.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Directories", "url": "http://www.sickozell.org/"} +{"d:Title": "The Social Music Revolution", "d:Description": "Gothic, darkwave, EBM, industrial, etherial, synthpop. Weekly artist and track chart.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Directories", "url": "http://www.last.fm/group/_-Music-Database-_Gothic%2BIndustrial%2BMetal%2BEBM/"} +{"d:Title": "Sordid Magazine", "d:Description": "Interviews, reviews and features covering Gothic, industrial, EBM, electro, atmospheric and related genres.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Magazines_and_E-zines", "url": "http://www.sortedmagazine.com/Sordid.php3"} +{"d:Title": "pretty bruises", "d:Description": "This dark music zine features Interviews with bands like Dead Leaves Rising, lovesliescrushing, Tara Vanflower of Lycia and Mara's Torment.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Magazines_and_E-zines", "url": "http://www.rivulets.net/prettybruises.html"} +{"d:Title": "Grave Concerns E-zine", "d:Description": "Grave Concerns E-zine is full of Gothic, industrial and electro reviews, interviews and news. It is run by Julue Johnson of Gothic Beauty Magazine and Sideline.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Magazines_and_E-zines", "url": "http://www.graveconcernsezine.com/"} +{"d:Title": "Darker Than The Bat", "d:Description": "Gothic, wave, industrial, electro and EBM show on ZRO 106.2FM in Belgium.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Radio", "url": "http://www.proservcenter.be/darkerthanthebat/radio.html"} +{"d:Title": "Black Channel", "d:Description": "Radio show also broadcast through RealAudio, presenting the world of wave, Gothic, industrial, dark techno, electro, ritual, experimental, ambient, and avangarde.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Radio", "url": "http://www.blackchannel.de/"} +{"d:Title": "Real Synthetic Audio", "d:Description": "Canadian-based internet broadcast updated weekly. Available in RealAudio and Windows Media formats.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Radio", "url": "http://www.synthetic.org/"} +{"d:Title": "Elektro Pony", "d:Description": "An electro-industrial radio webcast via RealAudio from Boston University's student radio station, WTBU. Broadcast live, online and off on Friday nights/Saturday mornings from midnite-2 AM.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Radio", "url": "http://www.angelfire.com/electronic/elektropony/index.html"} +{"d:Title": "Second Shifters", "d:Description": "A darker themed online radio station that is up and running 24/7. Second Shifters caters to the creatures of the night and the culture that surrounds them.", "topic": "Top/Arts/Music/Styles/R/Rock/Gothic/Radio", "url": "http://www.secondshifters.com/"} +{"d:Title": "Bootleg Trading Post", "d:Description": "Offers trades.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.enterthepit.com/"} +{"d:Title": "Wicked Land", "d:Description": "Featuring Pantera, KoRn, Marilyn Manson, Far, Deftones, Soulfly, Pulkas, Pro-Pain, and Vision of Disorder.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.wickedland.com/"} +{"d:Title": "About Heavy Metal", "d:Description": "MP3s, concert schedules, band links, and live radio from About.com.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://heavymetal.about.com/"} +{"d:Title": "Mega Hard Rock Site", "d:Description": "Features various information on selected bands such as Slipknot, System of a Down, Limp Bizkit, and The Deftones.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.megahardrocksite.itgo.com/"} +{"d:Title": "The Gauntlet", "d:Description": "News, biographies, MP3s, videos, lyrics, tablatures, buddy icons, message boards, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.thegauntlet.com/"} +{"d:Title": "Albums of Purgatory", "d:Description": "Features reviews and interviews in all types of metal.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.angelfire.com/goth/purgatory0/"} +{"d:Title": "Darkest Plague", "d:Description": "Features news updates, album reviews, latest releases, tours, and merchandising information on popular metal bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.angelfire.com/realm2/darkestplague/"} +{"d:Title": "Kickin It in Kent", "d:Description": "Dedicated to metal bands in Kent, Ohio, featuring news, profiles, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://randy_magik.tripod.com/kent/Kickin_It_In_x.html"} +{"d:Title": "Beherit Metal", "d:Description": "Lyrics, graphics, MP3s, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://lord.beherit.free.fr/indexhaut.php#"} +{"d:Title": "Wolvie's Metal News", "d:Description": "News, CD and DVD reviews, interviews, and a special section on Ozzfest.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.angelfire.com/band2/wolvie/main.html"} +{"d:Title": "Encyclopaedia Metallum", "d:Description": "Extensive database of information about bands such as discography, lyrics, and album reviews submitted by users.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.metal-archives.com/"} +{"d:Title": "Mega Metal Music", "d:Description": "Descriptions of over 100 metal bands from the 1970s to the present. Includes biographies, discographies, pictures and album covers. Also features For Sale/Wanted lists, and metal trivia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://psychoangel.tripod.com/"} +{"d:Title": "The BNR Metal Pages", "d:Description": "Extensive database of information and opinions on metal bands, ranging from the 1970s to the 1990s.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.bnrmetal.com/"} +{"d:Title": "Bella Online: Heavy Metal", "d:Description": "Biographies, discographies, news, features, links and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://heavymetal.bellaonline.com/"} +{"d:Title": "Slay The Masses - Metal Horde", "d:Description": "Covering all genres of extreme/avantgarde/alternative metal such as black, death, grindcore, doom, goth and industrial. Featuring reviews, news, interviews, lyrics and forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://slaythemasses.o-f.com/"} +{"d:Title": "Metal Injection TV", "d:Description": "Weekly streaming TV show, wallpapers, news and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.metalinjection.net/"} +{"d:Title": "Darksoul7.com", "d:Description": "Interviews, reviews, MP3 downloads, news, free email, message board and chat. Covers North America.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.darksoul7.com/"} +{"d:Title": "Metalheads Against Racism", "d:Description": "Promotes tolerance within the metal community, and includes a list of sites supporting their ideas.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.metalheadsagainstracism.org/"} +{"d:Title": "Gravemusic", "d:Description": "Includes original photos from live performances, news, vintage flyers, wallpaper, album reviews and audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.mortado.com/gravemusic/"} +{"d:Title": "Rising Horizons", "d:Description": "Adrian's favourite heavy metal bands. Photographs and information on Stratovarius, Rhapsody, Edguy, Hammerfall and Iron Savior.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.truemetal.org/powermetal/"} +{"d:Title": "HardVideo", "d:Description": "Streaming music video site.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.hardvideo.com/"} +{"d:Title": "The Metal Guide", "d:Description": "Extensive database of Australian metal bands including contact details and links to official sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.ausmetalguide.com/"} +{"d:Title": "Metal Video", "d:Description": "Streaming music videos from all sub-genres.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal", "url": "http://www.metalvideo.com/"} +{"d:Title": "7th Nemesis", "d:Description": "French band. Includes biography, discography, news, photos, reviews, interviews, audio, video and merchandise. [English and French]", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/7", "url": "http://7thnemesis.free.fr/"} +{"d:Title": "707 - Lyrics", "d:Description": "Words to songs listed by album", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/7/707", "url": "http://www.davemcnally.com/Lyrics/707/"} +{"d:Title": "Angeles Del Infierno", "d:Description": "Official site offering a biography, news, concert information, fan club, guitar tablature, and sounds in MP3 and RealAudio formats.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.angelesdelinfierno.com/"} +{"d:Title": "Ashes to Ashes", "d:Description": "Official site of the Norwegian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.atoa.net/"} +{"d:Title": "Axis Of Evil", "d:Description": "Milwaukee underground band's site features news, biography, pictures, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.axisofevil.cc/"} +{"d:Title": "Anaka", "d:Description": "Brooklyn, New York band. Includes mission statement, lyrics, MP3s, shows, pictures and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.anaka.net/"} +{"d:Title": "Altaria", "d:Description": "Finnish melodic metal band. News, biography, discography, photos, MP3s, forum and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.altariamusic.com/"} +{"d:Title": "Aramae", "d:Description": "Southern California band. Biography, news, MP3s and show calendar.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.aramae.com/"} +{"d:Title": "Andersson, Marten", "d:Description": "Official site of the bass player from Lizzy Borden, George Lynch Group, Starwood, Legacy, Jonas Hansson Band and Joy Basu.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.legacylive.com/marten.htm"} +{"d:Title": "Aftermath", "d:Description": "Irish extreme metal band. Biography, discography, photos, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "http://www.aftermathrocks.co.uk/"} +{"d:Title": "Addicts of Affliction", "d:Description": "Biographies, audio and video clips, photos, and merchandise for the Montreal, Quebec band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A", "url": "https://www.facebook.com/addictsofaffliction"} +{"d:Title": "All Music Guide: Accept", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Accept", "url": "http://www.allmusic.com/artist/p3498"} +{"d:Title": "Rockmagic.net: UDO", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Accept/U.D.O.", "url": "http://lyrics.rockmagic.net/lyrics/udo/"} +{"d:Title": "U.D.O. Online", "d:Description": "Official site.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Accept/U.D.O.", "url": "http://www.udo-online.com/"} +{"d:Title": "Party Central", "d:Description": "Fan site including news, FAQ, pictures, sightings, tour dates, articles, interviews, and chat room.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Andrew_W.K.", "url": "http://www.alivingdisaster.com/awk/"} +{"d:Title": "WK UK", "d:Description": "Biography, discography, poll, reviews, news, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Andrew_W.K.", "url": "http://www.angelfire.com/ak5/wk/"} +{"d:Title": "Andrew W.K.", "d:Description": "Official web site including biography, discography, lyrics, pictures, tour dates, and contact information.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Andrew_W.K.", "url": "http://www.andrewwk.com/"} +{"d:Title": "Annihilator", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Annihilator", "url": "http://lyrics.rockmagic.net/lyrics/annihilator/"} +{"d:Title": "Entertainment Ave: Anthrax", "d:Description": "Review of a concert at The House of Blues in Chicago, Illinois.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anthrax", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/a/anthrax/an012800.htm"} +{"d:Title": "Anthrax", "d:Description": "Official site, with news, biographies, albums, and store.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anthrax", "url": "http://www.anthrax.com/"} +{"d:Title": "UnRated Magazine: Anthrax", "d:Description": "Live concert review and photos from December 5, 2003 show at the Metro in Chicago.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anthrax", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=146"} +{"d:Title": "XGuitar.com: Anthrax", "d:Description": "Guitar and bass tabs sorted by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anthrax", "url": "http://www.xguitar.com/guitar-tabs/anthrax/"} +{"d:Title": "Songsterr: Anthrax", "d:Description": "Bass tablature.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anthrax", "url": "http://www.songsterr.com/a/wsa/anthrax-tabs-a601?inst=bass"} +{"d:Title": "Anvil", "d:Description": "Biography, discography, lyrics, tour dates, pictures, audio, video, reviews, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anvil", "url": "http://my.tbaytel.net/tgallo/anvil"} +{"d:Title": "All Music Guide: Anvil", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anvil", "url": "http://www.allmusic.com/artist/anvil-p3563"} +{"d:Title": "BNR Metal Pages -- Anvil", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Anvil", "url": "http://www.bnrmetal.com/v3/band/band/Anvi"} +{"d:Title": "Arch Enemy", "d:Description": "Official site, with news, tour dates, discography, biography, audio, lyrics, pictures, forum and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Arch_Enemy", "url": "http://www.archenemy.net/"} +{"d:Title": "Arch Enemy - Lost Souls Domain", "d:Description": "Album and bootleg reviews, profile and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Arch_Enemy", "url": "http://www.zenial.nl/html/archenem.htm"} +{"d:Title": "All Music Guide: Arch Enemy", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Arch_Enemy", "url": "http://www.allmusic.com/artist/arch-enemy-p294095"} +{"d:Title": "BNR Metal Pages -- Arch Enemy", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/Arch_Enemy", "url": "http://www.bnrmetal.com/v3/band/band/ArEn"} +{"d:Title": "All Music Guide: At the Gates", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/At_the_Gates", "url": "http://www.allmusic.com/artist/at-the-gates-p37147"} +{"d:Title": "BNR Metal Pages -- At The Gates", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/At_the_Gates", "url": "http://www.bnrmetal.com/v3/band/band/ATG"} +{"d:Title": "AXXIS Online", "d:Description": "German rock band news, history, fanclub updates, and merchandising information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/A/AXXIS", "url": "http://www.axxis.de/"} +{"d:Title": "BSR", "d:Description": "Rock band from the Evansville,IN. Pictures, tour dates and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/B", "url": "http://www.angelfire.com/ky/shagsroses/bsr.html"} +{"d:Title": "Biomechanical", "d:Description": "A UK-based biography-metal band. With \"the legend\", sound samples, lyrics, and artwork.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/B", "url": "http://www.biomechanical.co.uk/"} +{"d:Title": "Blackmail", "d:Description": "News, MP3s, and lyrics for the Finnish band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/B", "url": "http://www.angelfire.com/darkside/blackmail/"} +{"d:Title": "Biofreek", "d:Description": "North Devon, England band's site features news, biographies, band history, lyrics, MP3s, forums, and a chat room.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/B", "url": "http://bio_freek.tripod.com/"} +{"d:Title": "Blood Feast", "d:Description": "Official site of the 80s thrash band. Features news, biography, discography, reviews, pictures, and a guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/B", "url": "http://www.drinktheblood.com/"} +{"d:Title": "Bertolla, Ivan", "d:Description": "Progressive composer's official site including news, discography, MP3s, reviews, and ordering information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/B", "url": "http://www.bertolla.com/"} +{"d:Title": "Blind Tempest", "d:Description": "Band from south western Pennsylvania, with photos, background information, and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/B", "url": "http://blind-tempest.tripod.com/"} +{"d:Title": "Combat", "d:Description": "New York thrash metal band. Site features biography, discography, MP3 and video clips, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C", "url": "http://www.deadfoot.com/"} +{"d:Title": "Crush Groove", "d:Description": "Official web site of the Ohio band. Features pictures, information, sounds, FAQs, geek of the week and a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/oh2/crushgroove/"} +{"d:Title": "CRY'o'GENIC", "d:Description": "Progressive power metal band from Mannheim, Germany, with information, gig list, pictures and live audio MP3s for download.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C", "url": "http://www.cryogenic.de/"} +{"d:Title": "Chasar", "d:Description": "Official site for the cult \"New Wave of British Heavy Metal\" band. With background, history, pictures, artwork, press, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C", "url": "http://chasaronline.tripod.com/"} +{"d:Title": "Cranium", "d:Description": "Soul-searching metal band from India. Contains history, tour dates, lyrics, and MP3 files.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C", "url": "http://www.cranium.faithweb.com/"} +{"d:Title": "Crimson Sky", "d:Description": "Contains news, links, lyrics, and MP3s of the founders' previous projects.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/rock3/crimsonsky/index.html"} +{"d:Title": "Cessation Of Life", "d:Description": "California band's site contains news, biography, member profiles, lyrics, MP3s, discography, tour dates, reviews and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C", "url": "http://www.cessationoflife.com/"} +{"d:Title": "Chimaira", "d:Description": "Official site for the Ohio band. Features news, biography, discography, MP3s, videos, lyrics, pictures, downloads, press articles, merchandise, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C/Chimaira", "url": "http://www.chimaira.com/"} +{"d:Title": "An \"empty\" Chimaira site", "d:Description": "Includes news, biography, lyrics, pictures, and tablatures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C/Chimaira", "url": "http://chimaira70.tripod.com/index2.html"} +{"d:Title": "All Music Guide: Crimson Glory", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C/Crimson_Glory", "url": "http://www.allmusic.com/artist/p12631"} +{"d:Title": "BNR Metal Pages: Crimson Glory", "d:Description": "Profile, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C/Crimson_Glory", "url": "http://www.bnrmetal.com/v3/band/band/CrGl"} +{"d:Title": "In Dark Places...", "d:Description": "Welcome to the Crimson Glory Website.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/C/Crimson_Glory", "url": "http://shyshadow.tripod.com/Shadowland/Frames/cg.htm"} +{"d:Title": "Destruction", "d:Description": "German thrash band. Concert dates, photos, lyrics, tabs, audio samples and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.destruction.de/"} +{"d:Title": "Devil Doll", "d:Description": "Official site for this band, with history, discography, writings, reviews, photo gallery, and other information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.devildoll.nl/"} +{"d:Title": "Daemos", "d:Description": "Heavy band from California. Intro, band info, news, gigs, sound clips, lyrics, reviews and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.daemos.com/"} +{"d:Title": "DeadByDay", "d:Description": "Official homepage of a horror metal band based in Los Angeles.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.deadbyday.com/"} +{"d:Title": "Division", "d:Description": "News, biography and RealAudio samples of heavy metal band from the USA.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.division-usa.com/"} +{"d:Title": "Delusional Mind", "d:Description": "Georgia band's site featuring news, band history, pictures, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.delusionalmind.com/"} +{"d:Title": "Dying Breed, The", "d:Description": "Olney, Maryland thrash band. News, biography, pictures, MP3s, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.angelfire.com/apes/thedyingbreed/"} +{"d:Title": "Demonio", "d:Description": "Austin, Texas band. Photos, show dates, lyrics, downloads, contacts and guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.demonio.bizhosting.com/"} +{"d:Title": "Desspo", "d:Description": "Norwegian heavy metal band. History, photos, gigs and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.desspo.com/"} +{"d:Title": "Dame Fortune", "d:Description": "Hollywood, California band. News, soundclips, pictures, lyrics and video.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.damefortune.net/"} +{"d:Title": "Dream Devoid", "d:Description": "Greek band with melodic/speed/power/progressive elements, featuring biography, news, reviews, photos and audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://truemetal.org/dreamdevoid"} +{"d:Title": "Dead Lane Cross", "d:Description": "Metal band from East Anglia, UK. News, biography, pictures, lyrics and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.deadlanecross.com/"} +{"d:Title": "Degree Absolute", "d:Description": "Information and soundclips related to the progressive metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.degreeabsolute.com/"} +{"d:Title": "DragonForce", "d:Description": "Official site of melodic metal band from the UK. News, biography, discography, members, tour dates, photos, lyrics and guitar tabs.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.dragonforce.com/"} +{"d:Title": "Diamond Head", "d:Description": "Official site with history, news, lyrics, audio, discography, press, photos, merchandise, message board and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.diamond-head.net/"} +{"d:Title": "Darkling", "d:Description": "Combining old and new school metal, with videos, lyrics, photographs and weblog.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://suckloud.com/"} +{"d:Title": "Degradead", "d:Description": "Official site of metal band from Sweden. News, tour info, guestbook, links and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.degradead.com/"} +{"d:Title": "Dreadful Shadows", "d:Description": "Official homepage of the German gothic metal band. News, bio, discography, photos and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.dreadful-shadows.de/english/index.htm"} +{"d:Title": "Disfear", "d:Description": "Official website of the Swedish punk/hardcore band. Audio, video, downloads and touring schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.disfear.com/"} +{"d:Title": "Dreadnaught", "d:Description": "Official site of the Aussie heavy rock band. News, tour dates, media, merchandise, bio, discography and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.dreadnaught.com.au/"} +{"d:Title": "Dominici", "d:Description": "Official site of the American metal band from Long Island. News, bio, discography, photos, lyrics, videos, tour dates and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.dominici.com/"} +{"d:Title": "Divinity", "d:Description": "Official site of the Canadian metal band. News, tours, bio, discography, media, store and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.divinity.ca/"} +{"d:Title": "Dream Evil", "d:Description": "Official website of the Swedish heavy power metal band. News, bio, tour, discography, media, links, contact and guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://dreamevil.se/"} +{"d:Title": "Decadence", "d:Description": "Melodic thrash metal band from Sweden. News, bio, tour dates, discography, shop, fanclub and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.decadence.se/"} +{"d:Title": "Dark Illusion", "d:Description": "Official Site of the Swedish power metal band. News, bio, links, photos and contact info.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.darkillusion.se/"} +{"d:Title": "Deadlock", "d:Description": "Official site of the German metal band. News, tour dates, bio, pics, media, guestbook, contact and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.xdeadlockx.com/"} +{"d:Title": "Dark Moor", "d:Description": "Official site of the Spanish metal band. News, bio, discography, tour dates, pictures, downloads, shop and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.dark-moor.com/"} +{"d:Title": "Daturah", "d:Description": "Official site. An instrumental five-piece of ambient noise rock from Frankfurt/Germany. News, info, media, contact and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.daturah.de/"} +{"d:Title": "Dragonsfire", "d:Description": "Heavy metal from Germany. With band info, livedates, discography, photos and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.dragonsfire.de/"} +{"d:Title": "Derelict", "d:Description": "A death/progressive metal band from Montreal. With news, bio, discography, videos and contacts.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.derelictmetal.com/"} +{"d:Title": "Dalriada", "d:Description": "Official site of the folk metal band from Hungary. Bio, discography, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D", "url": "http://www.dalriada.hu/"} +{"d:Title": "Default", "d:Description": "Canadian rock band's official site offering news, biography, MP3s, videos, tour dates, journal, photos, street team, and a mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Default", "url": "http://www.defaultonline.com/"} +{"d:Title": "Demon", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Demon", "url": "http://lyrics.rockmagic.net/lyrics/demon/"} +{"d:Title": "Demon", "d:Description": "Official site for the British metal band with sound clips, complete lyrics, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Demon", "url": "http://the-demon.com/"} +{"d:Title": "Calculating Infinity", "d:Description": "Fansite for the New Jersey band featuring news, biography, discography, lyrics, MP3s, pictures, tablatures, show dates, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Dillinger_Escape_Plan,_The", "url": "http://calculatinginfinity.tripod.com/"} +{"d:Title": "Dillinger Escape Plan - Irony Is A Dead Scene", "d:Description": "Extensive reviews of the EP \"Irony Is A Dead Scene\" featuring Mike Patton of Mr. Bungle fame.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Dillinger_Escape_Plan,_The", "url": "http://www.lambgoat.com/albums/view.asp?aid=387"} +{"d:Title": "Lambgoat // Bands", "d:Description": "Features a brief biography and review of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Dillinger_Escape_Plan,_The", "url": "http://www.lambgoat.com/bands/view.asp?bid=16"} +{"d:Title": "Drowning Pool", "d:Description": "Official site the American heavy metal band. Site includes news, tour dates, MP3s, videos, merchandise and a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Drowning_Pool", "url": "http://www.drowningpool.com/"} +{"d:Title": "Drowning Pool Fansite", "d:Description": "Includes news, biography, articles, MP3s, video clips, tour date, and information on merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/D/Drowning_Pool", "url": "http://www.dpool.fanspace.com/"} +{"d:Title": "Euthanasia", "d:Description": "Official site of the rock/metal act from India.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E", "url": "http://www.euthanasia.tvheaven.com/"} +{"d:Title": "Encrypt", "d:Description": "Metal band from Texas. Official site includes news, profiles, biography, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E", "url": "http://encrypt.8m.com/"} +{"d:Title": "Entropy", "d:Description": "Metal band from Hampton, Virginia. Includes pictures and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E", "url": "http://www.angelfire.com/ns/spineofentropy/"} +{"d:Title": "Existi", "d:Description": "The official website of the Arizona-based hardcore/metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E", "url": "http://www.existi.com/"} +{"d:Title": "Elfman", "d:Description": "Nu-metal band in Europe featuring news, biography, MP3s, discography, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E", "url": "http://members.aon.at/elfman/"} +{"d:Title": "Evergrey", "d:Description": "Official site, including biography, discography, news, reviews, interviews, photos, audio, video, forum and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E/Evergrey", "url": "http://www.evergrey.net/"} +{"d:Title": "BNR Metal Pages -- Evergrey", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E/Evergrey", "url": "http://www.bnrmetal.com/v3/band/band/Evrg"} +{"d:Title": "Exodus", "d:Description": "Official site, with biography, discography, news, tour dates, merchandise, pictures, message board and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E/Exodus", "url": "http://www.exodusattack.com/"} +{"d:Title": "All Music Guide: Exodus", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E/Exodus", "url": "http://www.allmusic.com/artist/exodus-p4213"} +{"d:Title": "BNR Metal Pages -- Exodus", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/E/Exodus", "url": "http://www.bnrmetal.com/v3/band/band/Exod"} +{"d:Title": "Franano, Michael", "d:Description": "Profile, music, news and show schedule for the singer/songwriter with the bands The Front, Bakers Pink, and under the name Michael Moon.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://www.michaelfranano.com/"} +{"d:Title": "Fresh Cut", "d:Description": "Biography, photographs and music for this one man metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://www.angelfire.com/band/freshcut/"} +{"d:Title": "Forthcoming Brutality", "d:Description": "Death metal band from Tidewater, Virginia. Tours Virginia and surrounding states.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://www.angelfire.com/va2/FcB/"} +{"d:Title": "Flybanger", "d:Description": "Fan site for the Canadian band featuring news, biographies, discography, lyrics, pictures, fan art, old tour dates, and interpreattions of the band's music.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://www.angelfire.com/fl5/flybanger/index.html"} +{"d:Title": "The Flammable Priests", "d:Description": "Features news, biographies, pictures, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://tfp.8m.com/"} +{"d:Title": "Forsaken", "d:Description": "Indiana band's site features news, biography, pictures, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://www.forsaken.0catch.com/"} +{"d:Title": "Fest Head", "d:Description": "Athol, Massachusetts band's site features news, pictures, member biographies, and upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://www.angelfire.com/band2/festhead/"} +{"d:Title": "Freak Seed", "d:Description": "New Jersey band's site features news, biographies, CD reviews, audio samples, free mailing list, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://www.freakseed.com/"} +{"d:Title": "Fairlight", "d:Description": "French metal band's site containing news, reviews, discography, tour dates and other band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F", "url": "http://fairlighthome.chez.com/"} +{"d:Title": "All Music Guide: Fastway", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F/Fastway", "url": "http://www.allmusic.com/artist/fastway-p4237"} +{"d:Title": "BNR Metal Pages -- Fastway", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/F/Fastway", "url": "http://www.bnrmetal.com/v3/band/band/Fast"} +{"d:Title": "Guerrilla", "d:Description": "German thrash metal band. Includes news, reviews, pictures and gig dates. [English/German]", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://www.guerrilla-metal.com/"} +{"d:Title": "Graham, Walter", "d:Description": "Drummer's personal site featuring profile, experiences, photos, influences and gear.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://www.angelfire.com/wv/wbgenterprizes/"} +{"d:Title": "Griffin'sFire", "d:Description": "Features news, biography, MP3s, videos, and ordering information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://griffinsfire.com/"} +{"d:Title": "Rockmagic.net:Golgotha", "d:Description": "Song lyrics indexed by album and alphabetically. 23 songs From the albums \"Elemental Changes\", \"The Way Of Confusion\", \"Caves Of Mind\", and \"Melancholy\".", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/golgotha/"} +{"d:Title": "Rockmagic.net: Gehenna", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/gehenna/"} +{"d:Title": "Rockmagic.net: Godstower", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/godstower/"} +{"d:Title": "Rockmagic.net: Grip Inc.", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/grip_inc/"} +{"d:Title": "Rockmagic.net: Grave", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/grave/"} +{"d:Title": "Rockmagic.net: Graveworm", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/graveworm/"} +{"d:Title": "Rockmagic.net: Godgory", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/godgory/"} +{"d:Title": "Rockmagic.net: Gates of Ishtar", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/gates_of_ishtar/"} +{"d:Title": "Rockmagic.net: God Dethroned", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G", "url": "http://lyrics.rockmagic.net/lyrics/god_dethroned/"} +{"d:Title": "Rockmagic.net: Gamma Ray", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gamma_Ray", "url": "http://lyrics.rockmagic.net/lyrics/gamma_ray/"} +{"d:Title": "Gamma Ray Tabs - French Homepage", "d:Description": "Gamma Ray fansite featuring text and Guitar Pro tablature for many songs from their albums. The site also includes history of the band, pictures, discography, artwork, music and video downloads and links to other Gamma Ray related websites.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gamma_Ray", "url": "http://gammaraytabs.free.fr/"} +{"d:Title": "Kai Hansen Interview", "d:Description": "Interview written for Metal Rules magazine and e-zine.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gamma_Ray/Kai_Hansen", "url": "http://www.metal-rules.com/interviews/GammaRay_KaiHansen.htm"} +{"d:Title": "Kinder's Website", "d:Description": "News, biographies, pictures galleries and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gathering,_The", "url": "http://thegathering.free.fr/"} +{"d:Title": "Cycling Colours", "d:Description": "News, live pictures, set lists, reviews, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gathering,_The", "url": "http://www.cyclingcolours.nl/"} +{"d:Title": "The Gathering World", "d:Description": "Lyrics, discography and band members photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gathering,_The", "url": "http://thegatheringworld.tripod.com/"} +{"d:Title": "Rockmagic.net: The Gathering", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gathering,_The", "url": "http://lyrics.rockmagic.net/lyrics/gathering/"} +{"d:Title": "Musicfolio: The Gathering", "d:Description": "Discography, album reviews and biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Gathering,_The", "url": "http://musicfolio.com/modernrock/gathering.html"} +{"d:Title": "Goatwhore", "d:Description": "Official site, with biography, discography, news, tour dates, message board and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Goatwhore", "url": "http://www.goatwhore.net/"} +{"d:Title": "BNR Metal Pages -- Goatwhore", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Goatwhore", "url": "http://www.bnrmetal.com/v3/band/band/Gotw"} +{"d:Title": "Crumbling Flesh", "d:Description": "Fan site with news, reviews, biography, discography, lyrics, MP3s and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Godflesh", "url": "http://www.godflesh.com/"} +{"d:Title": "Rockmagic.net: Godflesh", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Godflesh", "url": "http://lyrics.rockmagic.net/lyrics/godflesh/"} +{"d:Title": "All Music Guide: Godflesh", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Godflesh", "url": "http://www.allmusic.com/artist/godflesh-p13062"} +{"d:Title": "Godflesh and Related", "d:Description": "Biography, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/G/Godflesh", "url": "http://jbyram.tripod.com/Godflesh.htm"} +{"d:Title": "Harbinger", "d:Description": "Experimental hardcore/grunge band, biographies, pictures and MP3 sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H", "url": "http://www.angelfire.com/on/harbinger69/"} +{"d:Title": "Hybrid Defrost", "d:Description": "A four piece heavy metal band from Philadelphia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H", "url": "http://hybriddefrost.iwarp.com/hd.html"} +{"d:Title": "Human Abstrakt", "d:Description": "Band from Atlanta, Georgia featuring pictures, music samples and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H", "url": "http://human-abstrakt.8m.net/"} +{"d:Title": "HotCHOPit", "d:Description": "Grunge metal band from Memphis, Tennessee. Site contains band information, MP3s, lyrics, pictures, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H", "url": "http://www.angelfire.com/freak/hotchopit/"} +{"d:Title": "Heaven's Daemon", "d:Description": "Photos and lyrics for the solo artist from Newport, New Hampshire.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H", "url": "http://homepage.nhvt.net/drgnmstr/"} +{"d:Title": "HammerFall", "d:Description": "The official site giving news, tourdates, sound files, forum, and also chat sessions with the band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/HammerFall", "url": "http://www.hammerfall.net/"} +{"d:Title": "Rock Lyrics: HammerFall", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/HammerFall", "url": "http://lyrics.rockmagic.net/lyrics/hammerfall/"} +{"d:Title": "All Music: HammerFall", "d:Description": "Biography, discography, and related artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/HammerFall", "url": "http://www.allmusic.com/artist/p212623"} +{"d:Title": "Hatebreed", "d:Description": "New Haven, Connecticut band's official site features news, biography, discography, tour dates, lyrics, MP3s, merchandise, and press journal.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Hatebreed", "url": "http://www.hatebreed.com/"} +{"d:Title": "Hatebreed Online 3.0", "d:Description": "Fansite containing news, biography, discography, pictures, interviews, MP3s, video clips, tablatures, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Hatebreed", "url": "http://www.angelfire.com/biz6/hatebreed/new/enter.html"} +{"d:Title": "UnRatedMagazine.com: Hatebreed", "d:Description": "Launch of new tour for Europe and Australia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Hatebreed", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=42"} +{"d:Title": "BNR Metal Pages -- The Haunted", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Haunted,_The", "url": "http://www.bnrmetal.com/v3/band/band/Haun"} +{"d:Title": "Treasurechest", "d:Description": "Official site with news, member profiles and band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween", "url": "http://www.helloween.org/"} +{"d:Title": "rockmagic.net: Helloween", "d:Description": "Offers guitar and bass tablatures for Helloween songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween", "url": "http://www.rockmagic.net/guitar-tabs/helloween/"} +{"d:Title": "Helloween Lyrics", "d:Description": "Song lyrics listed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween", "url": "http://lyrics.rockmagic.net/lyrics/helloween/"} +{"d:Title": "Back Again", "d:Description": "Japanese tribute site featuring the latest news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween/Andi_Deris", "url": "http://homepage2.nifty.com/andi/"} +{"d:Title": "Pumpkin Fly Free", "d:Description": "Dedicated to Helloween. Links, lyrics, photos, and sounds.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween/Fan_Pages", "url": "http://www.truemetal.org/flyfree/"} +{"d:Title": "Khan the Killer", "d:Description": "Fan site with discography and lyrics, show reviews, news, gossip, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween/Fan_Pages", "url": "http://khan_the_killer.tripod.com/"} +{"d:Title": "We Burn - A Tribute to Helloween", "d:Description": "Includes news, history, discography, pictures, downloads, message board, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween/Fan_Pages", "url": "http://www.truemetal.org/weburn/"} +{"d:Title": "Keeper Of The Seven Keys - Michael Weikath's Official Website", "d:Description": "Pictures, interviews, lyrics of songs he wrote or co-wrote, sound clips, videos, and tabs.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween/Michael_Weikath", "url": "http://www.truemetal.org/keeper/"} +{"d:Title": "Kaleidoscope", "d:Description": "Fansite dedicated to the guitarist, featuring news, a biography, discographies, tour dates, and multimedia downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Helloween/Roland_Grapow", "url": "http://www.truemetal.org/kaleidoscope/index.html"} +{"d:Title": "Holochaust", "d:Description": "Features MP3s and band history for the Finnish band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Holochaust", "url": "http://music.mp3lizard.com/holochaust/"} +{"d:Title": "Hundred Reasons", "d:Description": "Fansite featuring news, biography, discography, lyrics, tablatures, pictures, and a forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Hundred_Reasons", "url": "http://hundredreasons.tripod.com/"} +{"d:Title": "Hundred Reasons", "d:Description": "Official site of the British band features news, pictures, and a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/H/Hundred_Reasons", "url": "http://www.hundredreasons.com/"} +{"d:Title": "Inseecure", "d:Description": "Features news, biography, pictures, press releases and a fan area.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/I", "url": "http://www.inseecure.s5.com/"} +{"d:Title": "Insaniac", "d:Description": "Norwich, England band's site features news, member biographies, lyrics, pictures, MP3, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/I", "url": "http://www.angelfire.com/freak/insaniac/"} +{"d:Title": "BNR Metal Pages -- In Flames", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/I/In_Flames", "url": "http://www.bnrmetal.com/v3/band/band/InFl"} +{"d:Title": "Iron Savior", "d:Description": "Official site features news, biography, discography, tour information, fan resources, and MP3s for the German band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/I/Iron_Savior", "url": "http://www.iron-savior.com/"} +{"d:Title": "All Music Guide: Iron Savior", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/I/Iron_Savior", "url": "http://www.allmusic.com/artist/p302825"} +{"d:Title": "Jag Panzer", "d:Description": "Official site with news, history, discography, lyrics, merchandise, MP3 samples, tablatures and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/J", "url": "http://www.jagpanzer.com/"} +{"d:Title": "Keller, Achim", "d:Description": "Sanvoisen drummer's personal site.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K", "url": "http://members.tripod.com/a.keller/drummer.htm"} +{"d:Title": "Kaos", "d:Description": "A group from the San Francisco Bay area. With general information, sounds, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K", "url": "http://www.kaosamongus.com/"} +{"d:Title": "Kabal", "d:Description": "Official website of the Cleveland band with biography, pictures, news and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K", "url": "http://www.angelfire.com/band/cabal/"} +{"d:Title": "Kessler, Chris", "d:Description": "Heavy metal guitarist.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K", "url": "http://puffspliffdad69.tripod.com/index.html"} +{"d:Title": "Kill Machine", "d:Description": "Features news, biography, lyrics, and MP3s for the New Jersey horror band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K", "url": "http://www.angelfire.com/myband2/killmachine/"} +{"d:Title": "Karnivool", "d:Description": "Official homepage of this Australian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K", "url": "http://www.karnivool.com.au/"} +{"d:Title": "Killswitch Engage - Temple From Within", "d:Description": "Fansite for the Massachusetts band featuring news, band biography, discography, tour dates, merchandise, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K/Killswitch_Engage", "url": "http://www.angelfire.com/rock3/killswitch0/"} +{"d:Title": "Dark Domain of Insanity: King Diamond", "d:Description": "Tribute page featuring author's personal King Diamond pictures, interviews, and up-to-date news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K/King_Diamond", "url": "http://richardthicke.tripod.com/king.html"} +{"d:Title": "King Diamond", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K/King_Diamond", "url": "http://lyrics.rockmagic.net/lyrics/king_diamond/"} +{"d:Title": "UnRated Magazine: King Diamond", "d:Description": "Live concert review and photos from the Chicago, Illinois show on 11/30/2003.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/K/King_Diamond", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=139"} +{"d:Title": "Lion's Share", "d:Description": "Official homepage including news, a discography, sounds in MP3 and RealAudio formats, tour dates and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/L", "url": "http://www.lionsshare.org/"} +{"d:Title": "Leon", "d:Description": "Polish death metal band. News, MP3s, biographies, gallery, releases, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/L", "url": "http://leon.rockmetal.art.pl/"} +{"d:Title": "Lordi", "d:Description": "Information in English and Finnish. News, events, discography, extras and a discussion forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/L", "url": "http://www.lordi.fi/"} +{"d:Title": "All Music Guide: Lizzy Borden", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/L/Lizzy_Borden", "url": "http://www.allmusic.com/artist/lizzy-borden-mn0000269894"} +{"d:Title": "BNR Metal Pages -- Lizzy Borden", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/L/Lizzy_Borden", "url": "http://www.bnrmetal.com/v3/band/band/LiBo"} +{"d:Title": "MTV: Loudness", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/L/Loudness", "url": "http://www.mtv.com/artists/loudness/"} +{"d:Title": "Medication", "d:Description": "LA based band featuring Whit Crane, Logan Mader, Blunt and Roy Mayorga. Website contains biography, information, guestbook and images.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.angelfire.com/rock/medication/"} +{"d:Title": "Mighty Red", "d:Description": "A compendium dedicated to the musical and intellectual representation of the heavy metal band Mighty Red.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.angelfire.com/az2/mightyred/index.html"} +{"d:Title": "Misanthrope", "d:Description": "Official homepage, news, tour dates, background information and sound clips in MP3 format.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://misanthrope.darkriver.net/"} +{"d:Title": "Miwi", "d:Description": "MP3 audio samples of metal artist from Kiruna, Sweden.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.wittikko.com/"} +{"d:Title": "Mental Masturbation", "d:Description": "Chaos Metal band, from Seattle, Washington.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.angelfire.com/rock2/imajica6/"} +{"d:Title": "Malignari", "d:Description": "A theatrical progressive horror metal band from Denver, Colorado.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.malignari.com/"} +{"d:Title": "Myriad", "d:Description": "Progressive thrash metal band. Lyrics, mp3, and guitar tablatures for other bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.angelfire.com/band2/myriad/"} +{"d:Title": "MoType", "d:Description": "Official website of German band with MP3 audio samples, pictures and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.motype.com/"} +{"d:Title": "Mirrorthrone", "d:Description": "Extreme symphonic metal from Switzerland. News, biography, discography, MP3s, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.mirrorthrone.com/"} +{"d:Title": "Mechanistic", "d:Description": "Bozeman, Montana metal band. Includes biography, news, sound samples, press and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.mechanistic.net/"} +{"d:Title": "Machine Wash Only", "d:Description": "UK alternative metal band. News, biography, gigs, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://machine-wash-only.tripod.com/"} +{"d:Title": "Metaphilic", "d:Description": "Bangladeshi band. Biography, photos, news and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.metaphilic.i8.com/index.html"} +{"d:Title": "Militants, The", "d:Description": "Los Angeles, California band. Biography, news, discography, audio and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.themilitants.com/"} +{"d:Title": "Unrated Magazine: Macabre", "d:Description": "Live concert review and photos from Metro in Chicago on December 26, 2003.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=144"} +{"d:Title": "Miller, Jim", "d:Description": "Current singer of StormFront. Includes pages devoted to his passions: music, libraries, trapping and freedom.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M", "url": "http://elxa.tripod.com/"} +{"d:Title": "Manilla Road", "d:Description": "History, photos, discography, reviews, interviews, merchandise and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manilla_Road", "url": "http://truemetal.org/manillaroad/main.html"} +{"d:Title": "Tribute To Manilla Road", "d:Description": "Features lyrics, MP3s, biography, and demos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manilla_Road", "url": "http://www.angelfire.com/ma3/manillaroad/"} +{"d:Title": "Manowar Mountains", "d:Description": "Contains tablature, MP3s, real audio, midi, lyrics, interview, history, discography, translations and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manowar", "url": "http://manowar.rockmetal.art.pl/"} +{"d:Title": "Turkish Defenders of Steel", "d:Description": "A fansite with MP3s, video, interviews, discography and mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manowar", "url": "http://www.manowar.s5.com/"} +{"d:Title": "Manowar guitar and bass tabs - RockMagic.net", "d:Description": "Contains guitar tabs, guitar chords and bass tablatures for numerous songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manowar", "url": "http://www.rockmagic.net/guitar-tabs/manowar/"} +{"d:Title": "The best for Manowar fans!", "d:Description": "Contains news, lyrics, history, tablature, videos, articles, and tributes.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manowar", "url": "http://www.manowar.ru/indexe.shtml"} +{"d:Title": "Manowar: Return Of The Warlord", "d:Description": "Contains discography, band information, frequently asked questions, forum and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manowar", "url": "http://www.tbns.net/manowar/"} +{"d:Title": "Rockmagic.net: Manowar Lyrics", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Manowar/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/manowar/"} +{"d:Title": "Rockmagic: Master's Hammer", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Master's_Hammer", "url": "http://lyrics.rockmagic.net/lyrics/master_s_hammer/"} +{"d:Title": "Mercyful Fate Coven", "d:Description": "Offers information on the band, audio files, lyrics, guitar tablatures and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mercyful_Fate", "url": "http://mercyful-fate.coven.vmh.net/"} +{"d:Title": "BNR Metal Pages -- Metal Church", "d:Description": "Includes brief review of the band, as well as a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Metal_Church", "url": "http://www.bnrmetal.com/v3/band/band/MeCh"} +{"d:Title": "Metal Church", "d:Description": "Official homepage of the legendary thrash metal band, featuring news, biography, discussion board, chat room, FAQ, merchandise, lyrics, MP3s, fan goodies, and a mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Metal_Church", "url": "http://metalchurchofficial.com/"} +{"d:Title": "Mortician", "d:Description": "Mailing list and discussion, tour dates, and news about Mortician.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mortician", "url": "http://groups.yahoo.com/group/mortician/"} +{"d:Title": "Domian of Death", "d:Description": "Biography, discography, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mortician", "url": "http://www.angelfire.com/extreme4/mortician/index.html"} +{"d:Title": "D.O.A. (Reviews 22 Nov 99 - Mortician)", "d:Description": "Review of \"Chainsaw Dismemberment.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mortician", "url": "http://taaren.tripod.com/mortician.html"} +{"d:Title": "Unrated Magazine: Mudvayne", "d:Description": "Review and photos of Chicago 2002 House of Blues concert.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=47"} +{"d:Title": "All Music Guide: Mudvayne", "d:Description": "Biography, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne", "url": "http://www.allmusic.com/artist/mudvayne-p441360"} +{"d:Title": "Songsterr: Mudvayne", "d:Description": "Bass tablature.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne", "url": "http://www.songsterr.com/a/wsa/mudvayne-tabs-a3787?inst=bass"} +{"d:Title": "Bury Me Underneath", "d:Description": "A fansite with biography, discography, tour dates, reviews and some wallpapers.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://mudvayne.fanspace.com/"} +{"d:Title": "Deathblooms.com", "d:Description": "A Mudvayne fan site.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://deathblooms.freewebspace.com/"} +{"d:Title": "I am Once Was", "d:Description": "Fan site featuring a biography, news, lyrics, interesting facts, a discography, tour dates, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://www.angelfire.com/band2/mudkittie/index.html"} +{"d:Title": "Mudskate's Mudvayne Crazyness", "d:Description": "A Mudvayne fan site with pics, bio, tabs, lyrics, news, polls, wallpapers, and other various things dealing with Mudvayne.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://www.mudskate.20megsfree.com/"} +{"d:Title": "696_MuDvAyNe_969", "d:Description": "Fan site offering photos, lyrics, wallpaper and cursor downloads, guitar and bass tablatures, news, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://www.angelfire.com/music3/mudvayne66669666/"} +{"d:Title": "Mudvayne", "d:Description": "Features biography, lyrics, pictures, MP3s, and videos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://www.mudvayne666.s5.com/"} +{"d:Title": "Mudvayne, Under My Skin", "d:Description": "Includes discography, biographies, pictures, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://www.angelfire.com/goth/me666/"} +{"d:Title": "Mudvayne: Silenced", "d:Description": "Biography, lyrics, tour dates, photos, poll and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://mudvayne_ryknow.tripod.com/"} +{"d:Title": "MuDvAyNe", "d:Description": "News, pictures, biography, tablature, lyrics, message board and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://www.angelfire.com/rebellion2/mudvayne_333/"} +{"d:Title": "Mercy Severity", "d:Description": "Features information, pictures, video and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mudvayne/Fan_Pages", "url": "http://members.tele2.nl/ae.aartsen/Mudvayne%20site/html/_sgg/f10000.htm"} +{"d:Title": "Mushroomhead", "d:Description": "Biography, pictures, lyrics, tablature and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mushroomhead", "url": "http://www.mushroomheadxx.20megsfree.com/"} +{"d:Title": "Rockmagic: Mystifier", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/M/Mystifier", "url": "http://lyrics.rockmagic.net/lyrics/mystifier/"} +{"d:Title": "No Sissy Stuff", "d:Description": "Female heavy metal band from Germany.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/N", "url": "http://www.nosissystuff.de/"} +{"d:Title": "Neglected Life", "d:Description": "Maryland hardcore band, news, pictures, MP3 sound clips, and biographies.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/N", "url": "http://www.angelfire.com/music2/neglectedlife/"} +{"d:Title": "Noise Therapy", "d:Description": "Live concert review from Chicago Metro Theater in November 2002.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/N", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=48"} +{"d:Title": "Necrocult", "d:Description": "Black/death metal band from south of France. News, biography, discography, guestbook and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/N", "url": "http://www.necrocult.com/"} +{"d:Title": "Neckbone", "d:Description": "Minneapolis, Minnesota band. News, biography, photos and guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/N", "url": "http://www.neckbone.20m.com/"} +{"d:Title": "Neurosis Inc.", "d:Description": "A death metal band from Bogot\u00e1, Colombia", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/N", "url": "http://www.neurosiscolombia.com/"} +{"d:Title": "Outsider", "d:Description": "Sample MP3s, lyrics and band information from this United Kingdom based heavy rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/O", "url": "http://www.outsider.indiegroup.com/"} +{"d:Title": "Of Infinity", "d:Description": "Photos, demo links, merchandise, and biography for the ambient band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/O", "url": "http://www.angelfire.com/goth/ofinfinity/"} +{"d:Title": "Omegadoom", "d:Description": "German band. Profile, audio and video.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/O", "url": "http://www.omegadoom.net/"} +{"d:Title": "Jon Oliva's Pain", "d:Description": "New musical project from former Savatage member Jon Oliva.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/O", "url": "http://www.jonoliva.net/index2.html"} +{"d:Title": "Opeth", "d:Description": "Official site with news, tour schedule, biography, discography, photos, and music samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/O/Opeth", "url": "http://www.opeth.com/"} +{"d:Title": "Rock Lyrics: Opeth", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/O/Opeth", "url": "http://lyrics.rockmagic.net/lyrics/opeth/"} +{"d:Title": "UnRated Magazine: Opeth", "d:Description": "Interview with the band from March 2004.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/O/Opeth", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Features/index.cfm&Article_ID=147"} +{"d:Title": "Poisonblack", "d:Description": "Finnish gothic metal band. Biography, discography, news, gigs, photos, forum and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.poisonblack.com/"} +{"d:Title": "Paroled", "d:Description": "Home of the Finnish metal band Paroled.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://parosite.tripod.com/"} +{"d:Title": "Pergatory", "d:Description": "Death metal band from the USA.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk/alleytrap/"} +{"d:Title": "Psychothrust", "d:Description": "Hard rocking heavy metal with a psychedelic/psychotic twist.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.angelfire.com/music/psychothrust/"} +{"d:Title": "PushPin", "d:Description": "Hardcore-metal band from Lakewood (Tacoma), Washington. Styles similar to SnapCase, Earth Crisis, Trail, and Botch.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.angelfire.com/wa2/PushPin/"} +{"d:Title": "Psychotic Waltz", "d:Description": "Official site for the slef-described underground progressive hippie metal band. With band history, release information, show details, sound samples, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://psychoticwaltz.com/"} +{"d:Title": "Path Of Resistance", "d:Description": "A local band out of Ft. Lauderdale, FL. Main influences bands like Metallica, Creed, and RHCP.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/por/home.html"} +{"d:Title": "Prevalent Dreams", "d:Description": "Lansing, Michigan metal band's site features a biography, news, discography, lyrics, MP3s, and a guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.angelfire.com/myband/sacredrevelations/"} +{"d:Title": "Project Apocalypse", "d:Description": "offers MP3s, biography, pictures, lyrics, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.angelfire.com/mi3/projectapocalypse369/"} +{"d:Title": "P.M.O.", "d:Description": "Vomit metal band from England. Biography, discography, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P", "url": "http://www.pmo.20m.com/"} +{"d:Title": "Rockmagic.net: Paradise Lost", "d:Description": "Song lyrics indexed alphabetically and by album.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P/Paradise_Lost", "url": "http://lyrics.rockmagic.net/lyrics/paradise_lost/"} +{"d:Title": "Paradise Lost", "d:Description": "Official site of the dark rock band from the UK. With biography, discography, news, show dates, lyrics, pictures, merchandise, words, downloads and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P/Paradise_Lost", "url": "http://www.paradiselost.co.uk/"} +{"d:Title": "Primal Fear", "d:Description": "Official site, with pictures, tour dates, news, brief profiles, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P/Primal_Fear", "url": "http://primalfear.rocks.de/"} +{"d:Title": "Primal Fear Lyrics", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P/Primal_Fear", "url": "http://lyrics.rockmagic.net/lyrics/primal_fear/"} +{"d:Title": "Professional Murder Music", "d:Description": "Fansite containing news, biography, discography, letter written to the band, pictures, show reviews and tourdates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P/Professional_Murder_Music", "url": "http://pmm_girl.tripod.com/PMMFanSite/"} +{"d:Title": "Professional Murder Music", "d:Description": "Official site for the Los Angeles electronic metal band features news, biography, pictures, MP3s, tourdates, journal, and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/P/Professional_Murder_Music", "url": "http://www.murdermusic.com/"} +{"d:Title": "Red to Grey", "d:Description": "Bavarian metal band, band history, news, tourdates, pictures, links, merchandise and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://www.redtogrey.de/"} +{"d:Title": "Rh\u00fbn", "d:Description": "Trio formed in 1997 in Buenos Aires, Argentina. Their music is varied with dark and heavy sounds.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://www.rhun.8m.com/"} +{"d:Title": "Ripsaw", "d:Description": "Features news, biography, MP3s, pictures, interviews, and CD ordering information for the Texas band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://ripsawonline.tripod.com/"} +{"d:Title": "Rhino Bucket", "d:Description": "News, biography, discography, and MP3s for the AC/DC-inspired California band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://www.rhinobucket.com/"} +{"d:Title": "Retribution", "d:Description": "News, history, pictures, and member biographies for the band from Dublin, Ireland.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://www.retribution.s5.com/"} +{"d:Title": "Racora", "d:Description": "Band lineup, show dates, and pictures for the Virginia metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://www.angelfire.com/rock3/racora/"} +{"d:Title": "Ransom", "d:Description": "Denver, Colorado band. History, shows, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://www.angelfire.com/hiphop2/ransom/"} +{"d:Title": "Roadkill", "d:Description": "Dutch traditional heavy metal band. Biography, discography, photos, MP3s and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://www.roadkill.nl/"} +{"d:Title": "Redrum 4", "d:Description": "Les Vennes, Belgium band. News, biography, lyrics, sound samples, tour dates, picture galleries and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R", "url": "http://users.skynet.be/redrum4/home.htm"} +{"d:Title": "Raging Speedhorn", "d:Description": "Guitar tablatures, pictures, MP3s , background, tour dates, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Raging_Speedhorn", "url": "http://www.angelfire.com/home/ragingspeedhorn/"} +{"d:Title": "Raging Speedhorn", "d:Description": "Geebee's fan page with downloads, pictures, biography, lyrics, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Raging_Speedhorn", "url": "http://www.angelfire.com/band/ragingspeedhorn/index.htm"} +{"d:Title": "Randy Rhoads Club", "d:Description": "Online reference for the guitarist including discography, bio, personal memories, bootlegs and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Rhoads,_Randy", "url": "http://www.rrclub.com/"} +{"d:Title": "Rhoads is God", "d:Description": "Contains pictures, sounds, biography, and tabs.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Rhoads,_Randy", "url": "http://rhoadsisgod.tripod.com/"} +{"d:Title": "Rotting Christ Lyrics", "d:Description": "Song lyrics listed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Rotting_Christ", "url": "http://lyrics.rockmagic.net/lyrics/rotting_christ/"} +{"d:Title": "Rotting Christ", "d:Description": "The official site of the band, includes news, a comprehensive list of released albums and a forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Rotting_Christ", "url": "http://www.rotting-christ.com/"} +{"d:Title": "JFire's Running Wild Page", "d:Description": "A fan page with news, discography and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Running_Wild", "url": "http://matrix.binary.net/jfire/rw/"} +{"d:Title": "Running Wild Lyrics", "d:Description": "Lyrics listed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Running_Wild", "url": "http://lyrics.rockmagic.net/lyrics/running_wild/"} +{"d:Title": "Running Wild", "d:Description": "Features news, band history, member profiles, and tourdates for the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Running_Wild", "url": "http://www.running-wild.de/"} +{"d:Title": "All Music Guide: Running Wild", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/R/Running_Wild", "url": "http://www.allmusic.com/artist/running-wild-mn0000302026"} +{"d:Title": "Sodom", "d:Description": "German thrash band. Biography, show dates, news and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://sodomized.info/"} +{"d:Title": "Sad Communication", "d:Description": "Hardcore metal band from Parma, Italy, includes history, lyrics, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://sadcommunication.tripod.com/"} +{"d:Title": "Severity", "d:Description": "Official site for the hard rock band from Portland, USA including news, lyrics, biography, photos and songs in MIDI, MP3, RealAudio and WAV format.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://severityrocks.tripod.com/"} +{"d:Title": "Sedition", "d:Description": "Southern California-based heavy metal band \"with real soul and emotionally driven performance\".", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.truemetal.org/sedition"} +{"d:Title": "Skin Deep", "d:Description": "Official website of Washington DC area metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/rock/skindeep/"} +{"d:Title": "Subhuman", "d:Description": "Official site for the band from central Iowa, with news, band and member biographies, photos and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/rock2/subhuman/subh/homex.html"} +{"d:Title": "Saxon", "d:Description": "Official website with news, tour dates, history, discography, lyrics, photos and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.saxon747.com/"} +{"d:Title": "Stalemate", "d:Description": "Official site of the metal band from Italy; news, gigs, photos and tracks in RealAudio format.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.truemetal.org/stalemate/"} +{"d:Title": "Stifled", "d:Description": "Hardcore band from Plano, Texas. Lyrics, biography, MP3s, photos, links and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/rock/stifled/"} +{"d:Title": "Surreal", "d:Description": "Five piece classic rock band from Baltimore playing a mixture of cover and original tracks, news, gigs, pictures, set list and MP3 sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/in2/surreal/index01.html"} +{"d:Title": "Systematic Failure", "d:Description": "South Jersey Hardcore/Metal band, information and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/ar/punkskametalhc/hedtrauma.html"} +{"d:Title": "Serum", "d:Description": "Homepage of band from Munich, Germany with news, information, MP3 samples, photos, gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.serum-munich.de/"} +{"d:Title": "Stormlord", "d:Description": "Extreme epic metal band from Italy. Biography, news, MP3s, photos, discography, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.stormlord.net/"} +{"d:Title": "Sonata Arctica", "d:Description": "Official homepage for the Finnish metal band. Includes photos, biography, releases, lyrics, reviews, tour schedule, tabs, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://koti.mbnet.fi/~vpv/sonata/"} +{"d:Title": "Sixdayskaos", "d:Description": "Information on the band, short biography, concert dates, pictures, lyrics, and activities.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/nd/sixdayskaos/"} +{"d:Title": "Slaves of Freedom", "d:Description": "A Gonzales, Louisiana-based southern metal band. Includes show dates, background, sound clips, release and booking information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/myband/slavesoffredom/"} +{"d:Title": "sKinLAb Fansite", "d:Description": "Biography, news, discography, lyrics, message board, tour dates, tabs, and MP3.com downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/wa2/knowyourenemies/"} +{"d:Title": "Satellite Circle, The", "d:Description": "Seventies psychedelic heavy rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://satellitecircle.com/"} +{"d:Title": "Shattered Soul", "d:Description": "Black/thrash/death metal band from Ohio/Pennsylvania. Photos, reviews, show dates, news, sound clips, biographies and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/oh3/shatteredsoul/"} +{"d:Title": "Scaffold", "d:Description": "Official site includes news, biography, MP3s, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.scaffoldband.com/"} +{"d:Title": "Smile", "d:Description": "Dark metal band's site features news, biography, reviews, MP3s, mailing list, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://smilemetal.tripod.com/"} +{"d:Title": "Stormbringer", "d:Description": "Pennsylvania band's site includes news, biography, discography, pictures, MP3s, shows, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/indie/stormbringermusic/"} +{"d:Title": "Strongpoint", "d:Description": "A site dedicated to the heavy band Strongpoint based out of Virginia Beach.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/band2/strongpoint/"} +{"d:Title": "Scrumtrillescent", "d:Description": "News, biographies, show updates, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/ma4/scrumtrillescent/"} +{"d:Title": "Stand, The", "d:Description": "Coral Springs, Florida screamo/emocore band. News, biography, pictures, and show information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/band2/thestand/"} +{"d:Title": "ShadowPlay", "d:Description": "Australian band based in Manchester, UK. News, reviews, audio, biography, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.theshadowplay.com/"} +{"d:Title": "Scattered Brains", "d:Description": "Canadian band. MP3s, lyrics, news and biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/music5/scatteredbrains/index.html"} +{"d:Title": "Savatage - official", "d:Description": "The official homesite of Savatage!", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Savatage", "url": "http://www.savatage.com/"} +{"d:Title": "Rockmagic.net", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Savatage", "url": "http://lyrics.rockmagic.net/lyrics/savatage/"} +{"d:Title": "The Savatage Museum", "d:Description": "Includes articles, profiles, pictures, time line, quotes and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Savatage", "url": "http://www.realsavatage.com/"} +{"d:Title": "All Music Guide: Savatage", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Savatage", "url": "http://www.allmusic.com/artist/savatage-mn0000255347"} +{"d:Title": "SavaToons the Savatage Comic Strip", "d:Description": "A comic strip based on the musical band Savatage.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Savatage", "url": "http://savatoons.tripod.com/"} +{"d:Title": "Sentenced", "d:Description": "Official homepage of Finnish metal band. Contains band information, discography, biography, resent news, picture gallery, press releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Sentenced", "url": "http://www.sentenced.org/"} +{"d:Title": "Sentenced Lyrics", "d:Description": "Song lyrics listed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Sentenced", "url": "http://lyrics.rockmagic.net/lyrics/sentenced/"} +{"d:Title": "BNR Metal Pages -- Shadows Fall", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Shadows_Fall", "url": "http://www.bnrmetal.com/v3/band/band/ShFa"} +{"d:Title": "BNR Metal Pages -- Six Feet Under", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Six_Feet_Under", "url": "http://www.bnrmetal.com/v3/band/band/SFU"} +{"d:Title": "Stone Sour", "d:Description": "Fansite includes a biography, pictures, lyrics, MP3s, and a complete discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://members.ams.chello.nl/j.heitmans1/stonesour/"} +{"d:Title": "Stone Sour", "d:Description": "Official site of the Des Moines, Iowa group. Includes news, biography, tour dates, MP3s, and video.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://www.stonesour.com/"} +{"d:Title": "Ultimate-Guitar.Com: Stone Sour", "d:Description": "Collection of tabs for 11 songs from their debut album", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://www.ultimate-guitar.com/tabs/stone_sour_tabs.htm"} +{"d:Title": "NY Rock: Stone Sour review", "d:Description": "Review of the band's single \"Get inside\" by Bill Ribas", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://www.nyrock.com/reviews/2002/asf.asp#two"} +{"d:Title": "Designer Magazine: Stone Sour", "d:Description": "Stone Sour's Corey Taylor interviewed about his attitude towards his other band Slipknot", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://designermagazine.tripod.com/StoneSourINT1.html"} +{"d:Title": "Knac.com - Stone Sour live", "d:Description": "Extensive review of the band's live performance in Seattle", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://www.knac.com/article.asp?ArticleID=1567"} +{"d:Title": "Belgian Stone Sour Fanpage", "d:Description": "biography, pictures, discography, videos, tabs, forum, newsletter and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://users.telenet.be/slipknot/stonesour"} +{"d:Title": "LyricsOnDemand: Stone Sour", "d:Description": "Lyrics to self-titled debut album.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://www.lyricsondemand.com/s/stonesourlyrics/index.html"} +{"d:Title": "Stone Sour live in Cincinnati, Ohio", "d:Description": "Pictures of the bands' concert in Cincinnati", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://www.urbanohio.com/stonesour.htm"} +{"d:Title": "MTV: Stone Sour", "d:Description": "Offering biography, news, tour dates, tv appearances, message boards, videos and interviews", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stone_Sour", "url": "http://www.mtv.com/artists/stone-sour/"} +{"d:Title": "Stratovarius", "d:Description": "The official page of the band with news, band history, photos, FAQ, tour dates, discography and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stratovarius", "url": "http://www.stratovarius.com/"} +{"d:Title": "Rising Horizons", "d:Description": "Band information, discography, and photos for Stratovarius.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stratovarius", "url": "http://www.truemetal.org/powermetal/stratovarius.htm"} +{"d:Title": "Rockmagic.net", "d:Description": "Indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stratovarius", "url": "http://lyrics.rockmagic.net/lyrics/stratovarius/"} +{"d:Title": "Michael Sweet", "d:Description": "Official page covering Michael's solo career. Includes discography, frequently asked questions, and multimedia downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stryper", "url": "http://www.michaelsweet.com/"} +{"d:Title": "Stryper", "d:Description": "Official site for the Christian metal group with biographies, articles, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stryper", "url": "http://www.stryper.com/"} +{"d:Title": "Stryper", "d:Description": "Pictures of the band and its members, as well as history, biographies, and collectibles.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stryper", "url": "http://www.stryper.info/"} +{"d:Title": "Soldiers for Stryper", "d:Description": "Yahoo group with pictures, links, and chat.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stryper", "url": "http://groups.yahoo.com/group/soldiersforstryper/"} +{"d:Title": "MTV: Stryper", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Stryper", "url": "http://www.mtv.com/artists/stryper/"} +{"d:Title": "Deliverance: Superheist", "d:Description": "Fan site containing band information, guitar tabs, news, tour dates, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/S/Superheist", "url": "http://www.angelfire.com/band/deliverance/"} +{"d:Title": "Terminally Ill", "d:Description": "Unsigned band out of Houston, Texas. Biographies, pictures, show dates, merchandise, lyrics and a mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://members.tripod.com/terminally_ill/"} +{"d:Title": "Temperance", "d:Description": "\"The Swedish Soulmetal Kings\". News, biography, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://www.angelfire.com/tx/temperance999/"} +{"d:Title": "Transmission 6", "d:Description": "Hardcore metal band from Nashville, TN, USA. Site contains show dates, biographies, lyrics, and information about the band as well as an uncensored message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://www.angelfire.com/rock/transmission6/"} +{"d:Title": "T-odio", "d:Description": "Homesite of Italian band with biography, discography, pictures and RealMedia clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://www.todio.com/"} +{"d:Title": "Threnody", "d:Description": "A Holland-based band formed in 1988. With history, member profiles, lyrics, MP3s, studio information, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://www.threnody.com/"} +{"d:Title": "Three Shades To Eve", "d:Description": "Grindmetal doom from Plattsburgh, New York. News, shows, and MP3 sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://www.angelfire.com/ny3/shadestoeve/"} +{"d:Title": "Turbin, Neil", "d:Description": "Former lead vocalist for Anthrax. Biography, discography, news, pictures, MP3s, and memorabilia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://www.neilturbin.com/"} +{"d:Title": "TeNce", "d:Description": "Official site of the Los Angeles band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T", "url": "http://www.angelfire.com/my/tence/intro.html"} +{"d:Title": "Testament's Official Web Site", "d:Description": "Official Website of the heavy metal band Testament. Music, news, interviews, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T/Testament", "url": "http://www.testamentlegions.com/"} +{"d:Title": "The Murky Waters", "d:Description": "A page dedicated to Testament. News, information, tour dates, pictures, discography, contact information, history and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T/Testament", "url": "http://themurkywaters.tripod.com/"} +{"d:Title": "Testament 's Fatal Page", "d:Description": "Lyrics, tabs, discography, pictures and related recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/T/Testament", "url": "http://www.angelfire.com/nd/cmgfx/testament/"} +{"d:Title": "Urban Fear", "d:Description": "Official site of the nu-metal band includes profiles.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/U", "url": "http://www.angelfire.com/myband/urbanfear/"} +{"d:Title": "Victems-Of-Their-Own", "d:Description": "Industrial/metal/hardcore band, featuring news, band information, MP3 downloads, photos, merchandise, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/V", "url": "http://victemsoftheirown_1.tripod.com/"} +{"d:Title": "VII Arcano", "d:Description": "Death thrash band from Italy. A mix between Slayer and At The Gates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/V", "url": "http://www.viiarcano.com/"} +{"d:Title": "Wolves, The", "d:Description": "Australian band's site containing pictures, discography, band information, concert dates, news, MP3s, and a mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W", "url": "http://the-wolves.8m.com/"} +{"d:Title": "Wrath Of Killenstein", "d:Description": "Official site, merchandise, reviews, tour dates, band photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W", "url": "http://www.killenstein.com/"} +{"d:Title": "Wirksystem", "d:Description": "German nu-metal band's site features news, biography, discography, pictures, merchandise, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W", "url": "http://www.wirksystem.de/"} +{"d:Title": "Witchhammer", "d:Description": "Pictures, news, and MP3s for the Norwegian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W", "url": "http://www.witchhammer.com/"} +{"d:Title": "Without Motive", "d:Description": "British heavy metal band. Biography, photos and gigs.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W", "url": "http://www.angelfire.com/rock3/wm/"} +{"d:Title": "W.A.S.P. Nation", "d:Description": "Official site.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P.", "url": "http://www.waspnation.com/"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "W.A.S.P. song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P.", "url": "http://lyrics.rockmagic.net/lyrics/w_a_s_p/"} +{"d:Title": "W.A.S.P. Warriors", "d:Description": "Official European fan club.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P.", "url": "http://www.ultimatom.com/waspwarriors/"} +{"d:Title": "Chaos Control Digizine", "d:Description": "W.A.S.P. frontman Blackie Lawless talks about censorship, the Internet, fandom in this 1999 interview.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P.", "url": "http://www.chaoscontrol.com/w-a-s-p/"} +{"d:Title": "All Music Guide: W.A.S.P.", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P.", "url": "http://www.allmusic.com/artist/wasp-mn0000815090"} +{"d:Title": "Yahoo Groups: Chris Holmes", "d:Description": "Unofficial Chris Holmes club.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P./Chats_and_Forums", "url": "http://groups.yahoo.com/group/chrisholmes/"} +{"d:Title": "Yahoo Groups: Metaldungeon", "d:Description": "Discuss your opinions, share related news, enjoy being a heavy metal fan.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P./Chats_and_Forums", "url": "http://groups.yahoo.com/group/metaldungeon/"} +{"d:Title": "Metalvixen's Dungeon", "d:Description": "Fansite featuring pictures, lyrics, news, and personal collection.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P./Fan_Pages", "url": "http://www.metalgypsy.com/"} +{"d:Title": "Daniela's attic", "d:Description": "Concert pictures and backstage stories from the Swedish part of the 1999 tour.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P./Fan_Pages", "url": "http://user.tninet.se/~cxq849j/WasIndx.html"} +{"d:Title": "Evil For Eternity", "d:Description": "Pictures, band history and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P./Fan_Pages", "url": "http://evil_for_eternity.tripod.com/"} +{"d:Title": "W.A.S.P. Coliseum", "d:Description": "Fansite featuring band information, links, news section and other topics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P./Fan_Pages", "url": "http://truemetal.org/waspcoliseum/"} +{"d:Title": "Chainsaw Charlie", "d:Description": "Guitar, bass and drum tablatures plus a variety of other information about the band.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/W.A.S.P./Fan_Pages", "url": "http://chainsawcharlie.com.sapo.pt/"} +{"d:Title": "Zakk Wylde - Official Site", "d:Description": "News, tour dates, biography, discography and pictures, also has information on current band Black Label Society.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/W/Wylde,_Zakk", "url": "http://www.zakkwylde.com/"} +{"d:Title": "Zincpitchnine", "d:Description": "Official site for the metal band from Alamance county, NC. News, pictures, biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/Z", "url": "http://handbasket.tripod.com/"} +{"d:Title": "Zion Bess", "d:Description": "Heavy metal band from the St.Louis Missouri area. News, pictures, biographies and bookings.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/Z", "url": "http://www.angelfire.com/rock/zionbess/"} +{"d:Title": "Zandelle", "d:Description": "The official web site.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Bands_and_Artists/Z", "url": "http://www.zandelle.net/"} +{"d:Title": "Creatures of the Battle Hymns", "d:Description": "News, pictures, downloads, MP3s, video downloads, VRML galleries, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal", "url": "http://www.users.globalnet.co.uk/~jasen01/warsongs/"} +{"d:Title": "Hell's Laughter", "d:Description": "Shedding light on the humor and irony of black metal with artwork, fan photographs, banners, and forums.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal", "url": "http://users.belgacom.net/hellslaughter/"} +{"d:Title": "Deadstation", "d:Description": "Lyrics, reviews, concert news, links, and a internet radio station.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal", "url": "http://www.rockdiscography.com/deadstation/"} +{"d:Title": "Norden's Skalder", "d:Description": "Translation of black metal lyrics from Norwegian to English and Italian.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal", "url": "http://web.tiscali.it/nordensskalder/index.html"} +{"d:Title": "Pennsylvanian Hunger", "d:Description": "Fanzine, radio station, message board, and link dedicated to black metal bands in Pennsylvania.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal", "url": "http://www.angelfire.com/pa5/pabm/index.html"} +{"d:Title": "Unholy Black Metal Songtitle-O-Matic", "d:Description": "Black metal random songtitle generator.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal", "url": "http://metalseb.free.fr/"} +{"d:Title": "Exraven", "d:Description": "Contains news, lyrics, pictures, and other information about Norwegian black metal bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal", "url": "http://www.exraven.com/"} +{"d:Title": "Agathodaimon", "d:Description": "Biography, lyrics, photographs, message board, chat, and merchandise from the German band. Site is in both English and German.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A", "url": "http://www.agathodaimon.de/"} +{"d:Title": "Allison Gross", "d:Description": "Russian black metal band. Band history and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A", "url": "http://allisongross.narod.ru/"} +{"d:Title": "Apokrypha", "d:Description": "German Black Metal band\u2019s official site with news, discography, media, concert information and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A", "url": "http://www.apokrypha.de/"} +{"d:Title": "At First Light", "d:Description": "Official site for the Australian band. Includes news, lyrics, MP3s, and a forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A", "url": "http://atfirstlight.tripod.com/"} +{"d:Title": "Abigor", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A/Abigor", "url": "http://lyrics.rockmagic.net/lyrics/abigor/"} +{"d:Title": "Wikipedia - Abigor", "d:Description": "Contains a brief history and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A/Abigor", "url": "http://en.wikipedia.org/wiki/Abigor"} +{"d:Title": "All Music Guide: Absu", "d:Description": "Provides a short biography and discography for the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A/Absu", "url": "http://www.allmusic.com/artist/absu-mn0000574121"} +{"d:Title": "Acheron", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A/Acheron", "url": "http://lyrics.rockmagic.net/lyrics/acheron/"} +{"d:Title": "Alastis", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/A/Alastis", "url": "http://lyrics.rockmagic.net/lyrics/alastis/"} +{"d:Title": "Black Countess", "d:Description": "Russian gothic black metal band's site features news, biography, discography, lyrics, MP3s, photographs, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/B", "url": "http://darkerotica.narod.ru/"} +{"d:Title": "Crematory", "d:Description": "Official site for the now-disbanded German band, featuring lyric, pictures, tour reviews, discography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/C", "url": "http://www.crematory.de/"} +{"d:Title": "Center of Pestilence", "d:Description": "Gallery of P. Emerson Williams' various projects, including Beyond Flesh and Choronzon.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/indie/choronzon333/"} +{"d:Title": "Crest of Darkness", "d:Description": "Official site of the Norwegian band, including a discography, photos, and a forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/C", "url": "http://www.crestofdarkness.com/"} +{"d:Title": "Darkestrah", "d:Description": "German Pagan black metal band fansite. Discography, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/D", "url": "http://darkestrah.i8.com/"} +{"d:Title": "Darkthrone", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/D/Darkthrone", "url": "http://lyrics.rockmagic.net/lyrics/dark_throne/"} +{"d:Title": "Etherial Dawn", "d:Description": "Gothic black metal band from Leuven, Belgium. Features biography, discography, upcoming shows, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/E", "url": "http://users.telenet.be/jean.smeets/etherialdawn/"} +{"d:Title": "Emperor", "d:Description": "Interviews, biography, a VRML gallery, MP3 downloads, and complete discography with album information and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/E/Emperor", "url": "http://www.users.globalnet.co.uk/~jasen01/warsongs/emperor.htm"} +{"d:Title": "Emperor: The Supreme Gods of Black Metal", "d:Description": "Fan site with a picture and a brief biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/E/Emperor", "url": "http://www.members.tripod.com/~deathpurgatory/mainemperor.html"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Emperor song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/E/Emperor", "url": "http://lyrics.rockmagic.net/lyrics/emperor/"} +{"d:Title": "Emperor", "d:Description": "Official site of the long-standing influential black metal band.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/E/Emperor", "url": "https://www.facebook.com/emperorofficial"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "Enslaved song lyrics indexed both by album and alphabetically. 35 songs from albums \"Vikingligr Veldi\", \"Frost\", \"Eld\", \"Blodhemn\".", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/E/Enslaved", "url": "http://lyrics.rockmagic.net/lyrics/enslaved/"} +{"d:Title": "Forgotten Lore", "d:Description": "Rome, Italy gothic black metal band. News, history, interviews, tour dates, MP3s, lyrics and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/F", "url": "http://www.forgottenlore.it/"} +{"d:Title": "Greymure", "d:Description": "New Zealand band's website features news, a biography, metal essays, MP3s, and multimedia downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/G", "url": "http://members.tripod.com/greymure_band/index.htm"} +{"d:Title": "Godgory", "d:Description": "News, biography, lyrics, pictures, interviews, and reviews from the Swedish band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/G", "url": "http://www.angelfire.com/sk/godgory/"} +{"d:Title": "Grimlore", "d:Description": "American band's site contains news, history, MP3s, pictures, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/G", "url": "http://www.grimlore.net/"} +{"d:Title": "Hades Adorned", "d:Description": "Pagan-influenced band from The Netherlands. News, discography, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/H", "url": "http://www.serpentis.nl/"} +{"d:Title": "Infestum", "d:Description": "Belarussian band's official site, in both Russian and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/I", "url": "http://infestum.musica.mustdie.ru/"} +{"d:Title": "Korgonthurus", "d:Description": "Official site of the Finnish band features news, biography, releases, lyrics, photographs, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/K", "url": "http://korgonthurus2.tripod.com/main.htm"} +{"d:Title": "Lord", "d:Description": "French band's website includes a history, concert video clips, and a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/L", "url": "http://lord.blackmetal.online.fr/"} +{"d:Title": "Manic Movement", "d:Description": "Belgian band's official site contains news updates, a discography, concert schedule, media downloads, a forum, and a chatroom.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/M", "url": "http://www.manicmovement.be/"} +{"d:Title": "Rockmagic: Marduk", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/M/Marduk", "url": "http://lyrics.rockmagic.net/lyrics/marduk/"} +{"d:Title": "Mayhem Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed both by album and alphabetically and includes 63 songs from various releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/M/Mayhem", "url": "http://lyrics.rockmagic.net/lyrics/mayhem/"} +{"d:Title": "Metal-Rules: Interview with Blasphemer", "d:Description": "As appeared in the March 2002 issue of Metal-Rules.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/M/Mayhem", "url": "http://www.metal-rules.com/interviews/mayhem.htm"} +{"d:Title": "The True Mayhem", "d:Description": "The Norwegian band's official site with news, history, biographies, pictures, discography, videography, lyrics, reviews, interviews, tour information, downloads, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/M/Mayhem", "url": "http://www.thetruemayhem.com/"} +{"d:Title": "Seventh Realm reviews Mayhem", "d:Description": "Review of Live At Leipzig.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/M/Mayhem", "url": "http://www.angelfire.com/planet/fallenempiretrendy/mayhem.html"} +{"d:Title": "Negura Bunget", "d:Description": "Romanian black metal band. History, news, lyrics, discography, ideology, audio and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/N", "url": "http://www.negurabunget.com/"} +{"d:Title": "Nebel", "d:Description": "German one-man band fuses black metal, grindcore, and industrial. News and music to download.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/N", "url": "http://nebel.org/"} +{"d:Title": "Olc Sinnsir", "d:Description": "French band's site features news, biography, pictures, discography, MP3s, and upcoming shows and releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/O", "url": "http://olcsinnsir.free.fr/"} +{"d:Title": "Out of Ashes", "d:Description": "New Zealand female fronted band. Profile, video and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/O", "url": "http://www.outofashes.net/"} +{"d:Title": "Ragnarok", "d:Description": "Norwegian black metal band. Official site includes biography, profiles, discography, reviews, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/R", "url": "http://www.angelfire.com/band/ragnarok/"} +{"d:Title": "Rockmagic.net: Graveland", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/R", "url": "http://lyrics.rockmagic.net/lyrics/graveland/"} +{"d:Title": "Sonic Reign", "d:Description": "German band. News, biography, discography, photos and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/S", "url": "http://www.sonicreign.de/"} +{"d:Title": "Samedi", "d:Description": "Official site for the American band contains news, a history of the band, discography, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/S", "url": "http://samedionline.tripod.com/samedi.html"} +{"d:Title": "Sedate", "d:Description": "News, biography, pictures, discography, and MP3s from the satanic Boston, Massachusetts band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/super2/32/"} +{"d:Title": "Secrets She Kept", "d:Description": "Atmosphereic, aggressive, black metal from Florida. Site features news and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/S", "url": "http://www.secretsshekept.com/"} +{"d:Title": "Satyricon", "d:Description": "Official site includes music and video clips, news, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/S/Satyricon", "url": "http://www.satyricon.no/"} +{"d:Title": "Tanist", "d:Description": "Melodic Italian band's site features news, discography, pictures, MP3s, upcoming concerts, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/T", "url": "http://www.tanist.net/"} +{"d:Title": "Total Breakdown", "d:Description": "American band's site includes biographies of each member, lyrics, sound files, and concert dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/T", "url": "http://totalbreakdown.tripod.com/totalbreakdown/"} +{"d:Title": "Tvangeste", "d:Description": "Prussian symphonic metal band's site features news, history, member profiles, reviews, MP3s, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/T", "url": "http://tvangeste.musica.mustdie.ru/"} +{"d:Title": "Thorondor", "d:Description": "Dutch band. News, biography, MP3s and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/T", "url": "http://members.chello.nl/fjboo/home.html"} +{"d:Title": "Vrolok", "d:Description": "News updates about the one-man project.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/V", "url": "http://www.metal-archives.com/band.php?id=5622"} +{"d:Title": "Winter's Mourn", "d:Description": "Black metal band from Richmond, Virginia featuring news, biographies, pictures and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/W", "url": "http://www.angelfire.com/band/wintermourn/"} +{"d:Title": "Weeping Birth", "d:Description": "Black metal from Switzerland, including MP3s, biography, discography, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Black_Metal/Bands_and_Artists/W", "url": "http://www.weepingbirth.com/"} +{"d:Title": "Ultimate Metal", "d:Description": "Huge metal forum talking about the music and life, featuring many official artist forums.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Chats_and_Forums", "url": "http://www.ultimatemetal.com/forum/"} +{"d:Title": "PM:X2", "d:Description": "A message board dedicated to power metal.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Chats_and_Forums", "url": "http://pmx2.krose.org/"} +{"d:Title": "Metal Mayhem", "d:Description": "Metal music talk; with guitarist, drummer and bassist sections.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Chats_and_Forums", "url": "http://anubiszyklon.proboards.com/"} +{"d:Title": "Metal Stench", "d:Description": "Tablatures, lyrics, photos, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal", "url": "http://www.angelfire.com/pq/deathmetal/"} +{"d:Title": "Absolute Death Metal", "d:Description": "A collection of links, contact information, tour dates and interviews with death metal bands such as Cannibal Corpse and Centurian.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal", "url": "http://brutal.links.free.fr/"} +{"d:Title": "Eternal Obscurity", "d:Description": "Death metal band biographies, discographies, news, reviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal", "url": "http://www.angelfire.com/zine2/cosmicmetal/index.html"} +{"d:Title": "Art Of Discipline", "d:Description": "Official site for this industrial death metal project.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://artofdiscipline.free.fr/"} +{"d:Title": "Altar of Flesh", "d:Description": "North Florida death metal band's official website. Includes pics, biographies, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://www.angelfire.com/goth/AOF/"} +{"d:Title": "Amuq", "d:Description": "News, biography, discography, lyrics, MP3s, and pictures for the death metal band from Tasmania.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://www.angelfire.com/darkside/verahta/amuq_home.html"} +{"d:Title": "Awkward", "d:Description": "Italian thrash band's site features news, biography, discography, MP3s, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://www.awkward.20m.com/"} +{"d:Title": "Avskild", "d:Description": "News, biography, MP3s, lyrics, pictures, and merchandise from the Romanian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://www.avskild.com/"} +{"d:Title": "Arghoslent", "d:Description": "Interview with the nihilistic California band from Tartarean Desire Webzine.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://www.tartareandesire.com/interviews/arghoslent.html"} +{"d:Title": "Anthrofuge", "d:Description": "Death metal band. Biography, photos, shows and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://www.anthrofuge.com/"} +{"d:Title": "Anachronaeon", "d:Description": "Official website for Swedish Dark Metal band Anachronaeon.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/A", "url": "http://www.anachronaeon.com/"} +{"d:Title": "Black Obsession", "d:Description": "Official website for the Italian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/B", "url": "http://www.blackobsession.net/"} +{"d:Title": "Cremation", "d:Description": "Official site of Switzerland based band, with concert information, MP3 downloads, wallpapers news and links. In German and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/C", "url": "http://www.cremation.ch/"} +{"d:Title": "Corpsodomy - Death metal band from Washington", "d:Description": "Death metal band from Montesano Washington", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/extreme2/corpsodomy/"} +{"d:Title": "Coexist", "d:Description": "Brutal metalcore from Tennessee. Site features song downloads and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/tn/coexist/"} +{"d:Title": "Crisis", "d:Description": "Unofficial website for the metal band with biography, discography, images, videos, lyrics and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/mi2/crisis/"} +{"d:Title": "Chainletter", "d:Description": "News, biography, lyrics, MP3s, shows, and merchandise for the Pennsylvania band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/pokemon2/chainletter/"} +{"d:Title": "Castigate", "d:Description": "Official site for this death metal band from New York City. Features mailing list, sounds, and biographies.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/C", "url": "http://www.angelfire.com/ny5/castigate"} +{"d:Title": "C\u00fcmshott\u00e9", "d:Description": "The official site of Florida's grindcore band, news, biography, pictures, MP3 samples and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/C/C\u00fcmshott\u00e9", "url": "http://www.cumshotte.com/"} +{"d:Title": "Doomfarmer", "d:Description": "Official home of the Florida-based powergrind pioneer featuring streaming audio and downloadable tracks.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://www.angelfire.com/realm/doomfarmer/"} +{"d:Title": "Decimation", "d:Description": "A late 80's/early 90's death/thrash metal band from Long Island.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://www.angelfire.com/rock/decimation/"} +{"d:Title": "Drunken Orgy of Destruction", "d:Description": "Fast grind/noise band from Buffalo, New York. Photos, and information on shows and releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://drorgyofdestrux.fws1.com/"} +{"d:Title": "Downpoint", "d:Description": "Official site for this death metal band from Pittsburgh, PA, whose Influences include Sepultura. Site features information, pics, and biographies.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://downpoint.tripod.com/"} +{"d:Title": "Dark Reign", "d:Description": "American heavy metal solo project official site.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://www.angelfire.com/wv2/darkreign/"} +{"d:Title": "Dichotic", "d:Description": "Official site for the death metal band includes reviews, discography, news, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://www.dichotic.com/"} +{"d:Title": "Dying Embrace", "d:Description": "South Texas death metal. Biography, shows, lyrics, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://www.angelfire.com/band2/shove/"} +{"d:Title": "Dehester", "d:Description": "Los Gatos, California female death metal. News, MP3s and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://www.angelfire.com/rebellion/dehester/"} +{"d:Title": "Demilich", "d:Description": "Features members list, discography, audio clips, image gallery and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://www.anentity.com/demilich/"} +{"d:Title": "Dismal", "d:Description": "Includes discography, history, and pictures for the atmospheric death metal band from France.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D", "url": "http://sites.estvideo.net/dismal/"} +{"d:Title": "Dark Tranquility", "d:Description": "Official site with biography, discography, tour dates, lyrics, photos, tablature, audio, video, forum and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D/Dark_Tranquility", "url": "http://www.darktranquillity.com/"} +{"d:Title": "Rock Magic: Deicide", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D/Deicide", "url": "http://lyrics.rockmagic.net/lyrics/deicide/"} +{"d:Title": "Dismember", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/D/Dismember", "url": "http://lyrics.rockmagic.net/lyrics/dismember/"} +{"d:Title": "Earth", "d:Description": "Official home for this death rock band from Melbourne, Australia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/E", "url": "http://earthmetal.20m.com/"} +{"d:Title": "Eros", "d:Description": "Homepage for this metal band which features information, merchandise and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/E", "url": "http://eros20000.tripod.com/"} +{"d:Title": "Enemy Inside", "d:Description": "Austrian death metal band. News, biography, MP3s, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/E", "url": "http://enemyinside.ra-soft.com/"} +{"d:Title": "Freek", "d:Description": "Pennsylvania band's site features news, discography, lyrics, reviews, interviews, MP3s, merchandise, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/F", "url": "http://www.angelfire.com/extreme2/freek/"} +{"d:Title": "Hirax", "d:Description": "California four piece band. Site features band's history, discography, MP3s, photos, catalogue, and a QuickTime movie.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/H", "url": "http://www.blackdevilrecords.com/"} +{"d:Title": "Habeas Corpus", "d:Description": "Northern California thrash/death metal band. Includes news, history, releases, merchandise, photos, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/H", "url": "http://www.angelfire.com/tx4/habeas/"} +{"d:Title": "In Flames", "d:Description": "Official site from the Swedish band features news, biography, discography, merchandise, audio downloads, pictures, and an interactive section.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/I", "url": "http://www.inflames.com/"} +{"d:Title": "Impaled", "d:Description": "Californian goremetal band. News, biography, history, lyrics, Mp3s, pictures, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/I", "url": "http://www.impaled.info/"} +{"d:Title": "Kurb Saatus", "d:Description": "A melodic Dutch doom/death metal band, also with grindcore, black metal and other influences.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/K", "url": "http://www.kurbsaatus.com/"} +{"d:Title": "KraratH", "d:Description": "Technical melodic death metal from Paris, France. News, MP3s, pictures, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/K", "url": "http://krarath.free.fr/"} +{"d:Title": "Leprosy", "d:Description": "News, discography, lyrics, pictures, tour dates, MP3s, interviews, and reviews for the Pittsburgh, Pennsylvania splattergore band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/L", "url": "http://www.angelfire.com/extreme2/freek/"} +{"d:Title": "Life In Vain", "d:Description": "Features show dates, band biography, sound samples, MP3s, and pictures for this melodic death metal band from Massachusetts.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/L", "url": "http://www.angelfire.com/mn/lifeinvain/"} +{"d:Title": "Legion of Divine Punishment", "d:Description": "News, photos, MP3s, message board and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/L", "url": "http://www.angelfire.com/pro/ldp/"} +{"d:Title": "Lamb Of God", "d:Description": "Official site, with biography, discography, tour dates, weblog, gallery, merchandise and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/L/Lamb_of_God", "url": "http://www.lamb-of-god.com/"} +{"d:Title": "All Music Guide: Lamb of God", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/L/Lamb_of_God", "url": "http://www.allmusic.com/artist/lamb-of-god-p442465"} +{"d:Title": "BNR Metal Pages -- Lamb Of God", "d:Description": "Biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/L/Lamb_of_God", "url": "http://www.bnrmetal.com/v3/band/band/LOG"} +{"d:Title": "Malamor", "d:Description": "The official website for this Hudson Valley (NY) based Brutal Death Metal band .", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M", "url": "http://www.malamor.com/"} +{"d:Title": "Mental Crypt", "d:Description": "Mental Crypt - Swedish Thrash/Death Metal Band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M", "url": "http://hem.bredband.net/kjeelm/"} +{"d:Title": "Mord", "d:Description": "Swedish band's official site featuring news, biography, pictures, lyrics, MP3s, and horror movies.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M", "url": "http://www.mord.8k.com/"} +{"d:Title": "Morningrise", "d:Description": "A French melodic death metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M", "url": "http://pwafoo.chez-alice.fr/"} +{"d:Title": "Rockmagic: Malevolent Creation", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M/Malevolent_Creation", "url": "http://lyrics.rockmagic.net/lyrics/malevolent_creation/"} +{"d:Title": "Angemorbide", "d:Description": "Morbid Angel fan site includes MP3 samples, tablatures, lyrics, covers, photos, clips and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M/Morbid_Angel", "url": "http://angemorbide.free.fr/"} +{"d:Title": "MorbidAngel.com", "d:Description": "Official site with discography, biography and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M/Morbid_Angel", "url": "http://www.morbidangel.com/"} +{"d:Title": "Rockmagic.net: Morbid Angel Lyrics", "d:Description": "Lyrics indexed both by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/M/Morbid_Angel", "url": "http://lyrics.rockmagic.net/lyrics/morbid_angel/"} +{"d:Title": "Necrophobic", "d:Description": "Official site for this Swedish death metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/N", "url": "http://www.necrophobic.net/"} +{"d:Title": "Narcosis", "d:Description": "Official site includes photos, MP3s, biography, discography, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/N", "url": "http://www.angelfire.com/extreme3/narcosis/index.html"} +{"d:Title": "Orchrist", "d:Description": "Official site for this European death metal band. Site includes screensaver and MP3 audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/O", "url": "http://www.orchrist.net/"} +{"d:Title": "One Last Torture", "d:Description": "News, history, profiles, shows, MP3s, pictures, and discography for the Texas band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/O", "url": "http://www.angelfire.com/clone/olt/"} +{"d:Title": "P.I.T.T.", "d:Description": "South African hardcore thrash/death metal band. Site features background and members information, sounds, photos, gig schedule and reviews, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/P", "url": "http://www.pitt.za.org/"} +{"d:Title": "Pathos", "d:Description": "Biography, pictures, MP3s, tour dates, and merchandise for the West Coast band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/P", "url": "http://www.angelfire.com/band2/pathos/"} +{"d:Title": "Pestilence", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/P/Pestilence", "url": "http://lyrics.rockmagic.net/lyrics/pestilence/"} +{"d:Title": "Requiem", "d:Description": "Swiss death metal band. Site contains news, biography, MP3s, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/R", "url": "http://www.requiem-net.com/"} +{"d:Title": "Sistrum Metal", "d:Description": "Official site for this death metal band from Berlin.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/ab5/ab5999/"} +{"d:Title": "Scarab", "d:Description": "Official site for this death metal band. Site features news, biography, sound samples, lyrics and tablature.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/S", "url": "http://www.angelfire.com/sc2/scarabmetal/"} +{"d:Title": "Solifugia", "d:Description": "Belgian death metal band. News, discography, biography, lyrics, audio and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/S", "url": "http://www.solifugia.be/"} +{"d:Title": "Sapiens End", "d:Description": "Dutch death metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/S", "url": "http://www.sapiensend.com/"} +{"d:Title": "Tombe", "d:Description": "Official website for this death metal band from the Netherlands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/T", "url": "http://members.tripod.com/tomb_band/"} +{"d:Title": "Temporary Insanity", "d:Description": "Hard-hitting, organized, anti-trend metal with haunting gothic undertones. Official website features merchandise, album details, biographies, and photos from this St. Louis based hallucinogenic/metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/T", "url": "http://www.angelfire.com/nt/temporaryinsanity/"} +{"d:Title": "Thanatos", "d:Description": "Dutch ban'ds website features news, biography, MP3s, shows, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/T", "url": "http://www.thanatos.info/"} +{"d:Title": "Utopia Banished", "d:Description": "Official site for the New York band features new, biography, pictures, upcoming shows, MP3s and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/U", "url": "http://www.angelfire.com/ny5/bymourning/"} +{"d:Title": "Unsanctified", "d:Description": "Official Website of LA's fast and brutal Death Metal band including information, biography, photos, discography and soundfiles.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/U", "url": "http://www.unsanctified.com/"} +{"d:Title": "Victim Of Fate", "d:Description": "Official site for the metal band from Melbourne, Australia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/V", "url": "http://victimoffate.8m.com/"} +{"d:Title": "Vomit The Soul", "d:Description": "Italian brutal-grind-death metal band's official homepage.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/V", "url": "http://web.tiscali.it/vomithesoul/"} +{"d:Title": "Warface", "d:Description": "Warfacemetal.com is the online site of the heavy, death metal band, Warface. It has show dates, message board, links, merchandise and music information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/W", "url": "http://www.warfacemetal.com/"} +{"d:Title": "Warchild", "d:Description": "Official site for bombastic and melodic metal band from Nebraska. Includes MP3s, news, biography, photos, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Death_Metal/Bands_and_Artists/W", "url": "http://www.angelfire.com/band/Warchild/"} +{"d:Title": "Loudside Of The Web", "d:Description": "Directory of rock, industrial and metal bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Directories", "url": "http://www.loudside.com/"} +{"d:Title": "Metal Storm", "d:Description": "Encyclopedia of metal bands, unsigned bands, metal news, release dates, tour dates, reviews, forum, polls, MP3s, videos and some other stuff.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Directories", "url": "http://www.metalstorm.ee/"} +{"d:Title": "The Metal Travel Guide", "d:Description": "Address listings of metal bars, pubs, clubs, festivals and venues around the world.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Directories", "url": "http://www.metaltravelguide.com/"} +{"d:Title": "Doom-metal.com", "d:Description": "The meeting place on the net for all doom-metal fans and fans of dark music.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal", "url": "http://www.doom-metal.com/"} +{"d:Title": "Children Of Doom", "d:Description": "Doom, gothic and dark metal magazine.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal", "url": "http://www.children-of-doom.com/"} +{"d:Title": "The Dreams of the Greasy Stoner Weasel", "d:Description": "Stoner and doom fanzine including Kyuss, Black Sabbath, Charger, Orange Goblin, Sea of Green, Slow Horse, Raging Speedhorn,Electric Wizard, Acrimony and dope.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal", "url": "http://stonerchickonacid666.tripod.com/grid1.html"} +{"d:Title": "Avrigus", "d:Description": "Official site of this Australian band. Featuring news, reviews, interviews, weblog and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.avrigus.com/"} +{"d:Title": "November's Doom", "d:Description": "Official homepage for the American doom band. News, biography, mp3s, reviews, message board, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.novembersdoom.com/"} +{"d:Title": "Elizium", "d:Description": "The band's official site which contains pictures, MP3, lyrics, artwork, forum, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.elizium.nu/"} +{"d:Title": "Krommodon", "d:Description": "News, history, member profiles, and MP3s for the Texas band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.angelfire.com/tx/bleedingharness/"} +{"d:Title": "Officium Triste", "d:Description": "Official site of the Dutch doom metal band includes news, tour dates, profile, discography, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.officiumtriste.com/"} +{"d:Title": "Lahar", "d:Description": "Doom-metal band from Groningen, the Netherlands. Biography, MP3s, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.circlesix.com/"} +{"d:Title": "Fall of Empyrean", "d:Description": "Death/doom metal from the U.S.A. Official site which contains news, discography, MP3s, photos, lyrics and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.fallofempyrean.com/"} +{"d:Title": "Eternal, The", "d:Description": "Official site. Melodic death/doom metal from Australia. Includes biography, news, live dates, photos, audio, video and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.the-eternal.com/"} +{"d:Title": "Mourning Beloveth", "d:Description": "Official site of this Irish death/doom metal band. Site contains news, biography, information, discussion board, merchandise, image gallery.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.mourningbeloveth.com/"} +{"d:Title": "Draconian", "d:Description": "Official site of this Swedish doom/Gothic metal band. Contains news, biography, mp3s, lyrics, interviews, reviews, image gallery and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.draconian.se/"} +{"d:Title": "Forest of Shadows", "d:Description": "Official site of this Swedish death/doom metal band. Contains news, biography, information, lyrics, reviews, interviews, and image gallery.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.forestofshadows.com/"} +{"d:Title": "Aarni", "d:Description": "The official site for this one man Finnish band. Site contains news, information, mp3s, lyrics, gallery, reviews, interviews, and newsletter.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.aarni.info/"} +{"d:Title": "Abysmal Darkening", "d:Description": "The Official site which includes, news, biography, discography, samples, gigs and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.saurondeathsquad.com/abysmaldarkening/"} +{"d:Title": "Abysmal Grief", "d:Description": "Official site of this Italian doom metal band. Site includes news, biography, discography, gallery, reviews, tour dates and merchandise. Site content available in English and in Italian.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.abysmalgrief.com/"} +{"d:Title": "Even Vast", "d:Description": "Melodic doom metal with female vocals from Italy. Official site that contains news, biography, discography, gallery, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.even-vast.com/"} +{"d:Title": "3rd and the Mortal, The", "d:Description": "Official site for this melodic doom metal band from Norway. Contains pictures, discography and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://thomasr.nvg.org/mortal.info/"} +{"d:Title": "As Autumn Calls", "d:Description": "Official website. This site features news, biography, music samples, links and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://asautumncalls.com/"} +{"d:Title": "Aardtmann op Vuurtopberg", "d:Description": "Official website. Drone/Ambient doom. Site contains downloads and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://wwwhome.cs.utwente.nl/~akkerh/aardtmann/"} +{"d:Title": "Candlemass", "d:Description": "Official site. Contents include band news, merchandise, forums, tour dates, biography, discography, image gallery, and media downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.candlemass.se/"} +{"d:Title": "Swallow the Sun", "d:Description": "Official homepage. Death/doom from Finland. Site contains news, media, merchandise, tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.swallowthesun.net/"} +{"d:Title": "Bastardized", "d:Description": "Official website. You will find news, lyrics, song downloads and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://www.bastardized.org/"} +{"d:Title": "Keltgar", "d:Description": "Belgian doom band's site features news, biography, discography, MP3s, and upcoming performances.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists", "url": "http://keltgar.tripod.com/"} +{"d:Title": "Rockmagic.net: Anathema", "d:Description": "Anathema song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists/Anathema", "url": "http://lyrics.rockmagic.net/lyrics/anathema/"} +{"d:Title": "Anathema - Official website", "d:Description": "News, discography, photo galleries, reviews, tour dates, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists/Anathema", "url": "http://www.anathema.ws/"} +{"d:Title": "Musicfolio: Anathema", "d:Description": "Synopsis, discography, album reviews and ratings, with links to similar artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists/Anathema", "url": "http://musicfolio.com/modernrock/anathema.html"} +{"d:Title": "Anathema Fansite", "d:Description": "News, band history, discography, lyrics, tablatures, reviews and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists/Anathema", "url": "http://www.anathema.art.pl/"} +{"d:Title": "Satan Stole My Teddybear: Anathema", "d:Description": "Discography with album reviews and links to similar artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists/Anathema", "url": "http://www.ssmt-reviews.com/artist/ana.html"} +{"d:Title": "Katatonia", "d:Description": "The Katatonia Shrine (official website).", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists/Katatonia", "url": "http://www.katatonia.com/"} +{"d:Title": "Rockmagic.net: Katatonia", "d:Description": "Lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Doom_Metal/Bands_and_Artists/Katatonia", "url": "http://lyrics.rockmagic.net/lyrics/katatonia/"} +{"d:Title": "Lacrimosa", "d:Description": "Official site. Features news, biography, music, tour dates, merchandise, and fan art.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.lacrimosa.ch/"} +{"d:Title": "Theatre of Tragedy", "d:Description": "The official website with information including, news, images, audio/video samples, tour dates and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.theatreoftragedy.com/"} +{"d:Title": "Tristania", "d:Description": "Official site featuring message board, news, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.tristania.com/"} +{"d:Title": "Poisonblack", "d:Description": "Official site of this Finnish band. Contains, message board, news, tour dates, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.poisonblack.com/"} +{"d:Title": "Sentenced", "d:Description": "Official homepage of this Finnish metal band. Features band information, discography, biography, resent news, picture gallery, press releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.sentenced.org/"} +{"d:Title": "Lacuna Coil", "d:Description": "Official Lacuna Coil website, includes videos, news, tour schedule, endorsements links, merchandising and links", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.lacunacoil.it/"} +{"d:Title": "Leaves Eyes", "d:Description": "Official Homepage with lots of information including, samples, videos, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.leaveseyes.de/"} +{"d:Title": "My Life's Despair", "d:Description": "Official blog from this solo project. Contains news and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://mylifesdespair.blogspot.com/"} +{"d:Title": "A New Dawn", "d:Description": "Official site featuring news, bio, music, merchandise and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Goth_Metal/Bands_and_Artists", "url": "http://www.anewdawnband.nl/"} +{"d:Title": "Grindcore", "d:Description": "Community with links to videos, mp3 samples, news and grindcore bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore", "url": "http://www.reddit.com/r/grindcore"} +{"d:Title": "Grindcore", "d:Description": "Profile of the heavy metal subgenre grindcore, including musical and vocal style, history, genre pioneers and recommended albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore", "url": "http://heavymetal.about.com/od/heavymetal101/p/grindcore.htm"} +{"d:Title": "Grindcore", "d:Description": "Google+ community for grindcore where bands and fans post links to videos, tour flyers, updates and bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore", "url": "https://plus.google.com/u/0/communities/108321154821561459131"} +{"d:Title": "Bathtub Shitter", "d:Description": "Japanese band featuring news, biography, tour dates, discography, merchandise, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.bathtubshitter.com/"} +{"d:Title": "Doomfarmer", "d:Description": "Official home of the Florida-based powergrind pioneer featuring streaming audio and downloadable tracks.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.angelfire.com/realm/doomfarmer/"} +{"d:Title": "Godflesh", "d:Description": "Fansite for UK band includes FAQ, history, biography, discography, sound samples, live sets and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.godflesh.com/"} +{"d:Title": "Nasum", "d:Description": "Swedish band's site with news, biography, discography, tour history and band contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.nasum.com/"} +{"d:Title": "Hutt", "d:Description": "Grindcore band from Brazil. MP3s and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://gerbe.voila.net/HUTT/hutt.htm"} +{"d:Title": "Slaxxmaal", "d:Description": "Norwegian grindcore band's website with mp3 samples, biography, merchandise and band contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.spolmask.net/slaxxmaal/"} +{"d:Title": "Gefilte Fist", "d:Description": "Tampa, Florida grindcore band's site with music, lyrics, video and band contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.gefiltefist.com/"} +{"d:Title": "Terrorizer", "d:Description": "US band's site includes links to MP3 samples, label page with merchandise, Facebook page with biography, and band contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.terrorizergrindcore.net/"} +{"d:Title": "Repulsion", "d:Description": "US band's homesite including biography, videos, MP3 song samples, band contact and history.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/Repulsion"} +{"d:Title": "Brutal Truth", "d:Description": "US band's homepage including tour dates, biography, video, legal audio samples and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/brutaltruth"} +{"d:Title": "Napalm Death", "d:Description": "UK band site including news, discography, bootlegs, pictures, lyrics, tablature, videography, video clips, grindcore history, and memorabilia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://napalmdeath.org/"} +{"d:Title": "Rotten Sound", "d:Description": "Finnish grindcore band's official site includes biography, tour dates, discography, media contact, merchandise and band contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.rottensound.com/"} +{"d:Title": "Machetazo", "d:Description": "Mexican grindcore band's site with news, discography, pictures, live dates, contact and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.machetazo.org/"} +{"d:Title": "Exit-13", "d:Description": "Pennsylvania band's home site including biography, releases and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.relapse.com/label/artist/exit-13.html"} +{"d:Title": "Blood", "d:Description": "Germany grindcore band's homesite including discography, band history, biography, videos, interviews and band contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.bloood.de/"} +{"d:Title": "Discordance Axis", "d:Description": "Band homepage with lyrics, studio report, sound, band blog and graphic imagery.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.studio-grey.com/da/"} +{"d:Title": "Carbonized", "d:Description": "Swedish band's site including discography, biography, images, history and updates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://carbonized-grindcore.blogspot.com/"} +{"d:Title": "Dead Infection", "d:Description": "Grindcore band's official site with sound files, images, recording updates, tour dates and biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/deadinfection"} +{"d:Title": "Exhumed", "d:Description": "American Carcass-inspired grind band's page with MP3s, videos, tour dates, updates, biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.gorefuckingmetal.blogspot.com/"} +{"d:Title": "Transient", "d:Description": "Grindcore band site with samples, biography and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.transientbrutality.com/"} +{"d:Title": "Watchmaker", "d:Description": "Boston grindcore band's site featuring sound samples, pictures, reviews and label links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.willowtip.com/bands/details/watchmaker.aspx"} +{"d:Title": "Grossty", "d:Description": "Grindcore band from India's web page with full EP download, videos, news, biography and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/Grossty?directed_target_id=0"} +{"d:Title": "Zeitgeist", "d:Description": "Italian grindcore band's site includes samples, biography, contact and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "http://www.reverbnation.com/zeitgeistgrindcore"} +{"d:Title": "Squash Bowels", "d:Description": "Polish band's site with videos, news, biography and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/squashgrind"} +{"d:Title": "Drogheda", "d:Description": "Midwest grindcore band's page with videos, audio, history, news, contact and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/DroghedaGrindcore"} +{"d:Title": "Plutocracy", "d:Description": "Redwood, CA grindcore band page with videos, links, biography, band contact, audio samples and memorabilia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/Plutocracy-155667007819063/"} +{"d:Title": "Agathocles", "d:Description": "Belgian band's homesite including biography, news, videos, audio, release information and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Bands_and_Artists", "url": "https://www.facebook.com/Agathocles-110986205589727/"} +{"d:Title": "Grindfest Productions", "d:Description": "Grindcore label&distribution site has news, releases, video and contact.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Labels", "url": "http://grindfestproductions.blogspot.com/"} +{"d:Title": "Mulligore Records", "d:Description": "Independent grindcore death metal DIY label from Quebec, Canada Made in July 2007, releasing brutal underground music.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Labels", "url": "http://www.mulligore.com/"} +{"d:Title": "Life Is Abuse", "d:Description": "Based in California. Featuring MP3s, videos, band information and merchandise for Dystopia, Teen Cthulu, Skaven, and Nigel Peppercock.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Grindcore/Labels", "url": "http://lifeisabuse.blogspot.com/"} +{"d:Title": "Melodic Rock", "d:Description": "Australian hair band and AOR site. News, interviews, audio, photos, message board, classifieds, and guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://www.melodicrock.com/"} +{"d:Title": "GlamDaddy", "d:Description": "Streaming videos of hair band songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://www.angelfire.com/ar2/glamdaddy/"} +{"d:Title": "Metal and Madness", "d:Description": "Rock name generator, metal mad libs, news, interviews, photos, rants, quiz, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://www.angelfire.com/ny/MetalBabe/index.html"} +{"d:Title": "Heavy Harmonies", "d:Description": "A discography reference site for AOR, hard rock, melodic metal, and heavy metal music. Band lineups, track listings, and cover art for albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://www.heavyharmonies.com/"} +{"d:Title": "Short Wave Metal", "d:Description": "Dedicated to the preservation and appreciation of 80s metal. News, tour dates, guestbook, trivia, album of the month, chatroom, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://www2.wcoil.com/~taylorb/"} +{"d:Title": "Mona's Glam Paradise", "d:Description": "Guestbook, links, and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://www.angelfire.com/mo2/glam/"} +{"d:Title": "Digital Glam", "d:Description": "Biographies, photos, tour dates, games, audio clips, survey, guestbook, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://yfbeter.tripod.com/"} +{"d:Title": "Sleazeroxx", "d:Description": "News, biographies, audio, reviews, upcoming releases and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal", "url": "http://www.sleazeroxx.com/"} +{"d:Title": "Deadgirl", "d:Description": "Glam rock band from Hollywood with biography, news, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists", "url": "http://www.angelfire.com/band/deadgirl/"} +{"d:Title": "Tokyo Blade", "d:Description": "Unofficial site features news, history, reviews, discography, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists", "url": "http://www.angelfire.com/rock3/tokyoblade/home.htm"} +{"d:Title": "MTV: Autograph", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Autograph", "url": "http://www.mtv.com/artists/autograph-00/"} +{"d:Title": "Babylon A.D.", "d:Description": "Official site. News, discography, biography, and audio clips.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Babylon_A.D.", "url": "http://www.babylonad.com/"} +{"d:Title": "All Music Guide: Bang Tango", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Bang_Tango", "url": "http://www.allmusic.com/artist/p12298"} +{"d:Title": "MTV: Bang Tango", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Bang_Tango", "url": "http://www.mtv.com/artists/bang-tango/"} +{"d:Title": "All Music Guide: Black 'n' Blue", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Black_'N_Blue", "url": "http://www.allmusic.com/artist/p26190"} +{"d:Title": "USAToday.com: Britny Fox", "d:Description": "Transcript of February 2001 live chat with Michael Kelly Smith.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Britny_Fox", "url": "http://www.usatoday.com/community/chat/2001-02-19-britny.htm"} +{"d:Title": "MTV: Britny Fox", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Britny_Fox", "url": "http://www.mtv.com/artists/britny-fox/"} +{"d:Title": "The Pure Rock Shop: Britny Fox Interview", "d:Description": "February 2001 interview with Michael Kelly Smith.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Britny_Fox", "url": "http://www.tprs.com/interviews/britny-fox-michael-kelly-smith-interview/"} +{"d:Title": "All Music Guide: Britny Fox", "d:Description": "Discography and biographical information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Britny_Fox", "url": "http://www.allmusic.com/artist/britny-fox-mn0000625203"} +{"d:Title": "Bulletboys", "d:Description": "Discography, news, biography, images, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Bulletboys", "url": "http://www.angelfire.com/rock/bulletboys/"} +{"d:Title": "All Music Guide: Bulletboys", "d:Description": "Discography and biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Bulletboys", "url": "http://www.allmusic.com/artist/p3800"} +{"d:Title": "MTV: Bulletboys", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Bulletboys", "url": "http://www.mtv.com/artists/bulletboys/"} +{"d:Title": "Billy McCarthy", "d:Description": "Official site for the drummer (aka Billy Dior) of D'Molls. Biography, and information about his novel which includes a CD single. Flash required.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/D'Molls", "url": "http://www.billymccarthy.com/"} +{"d:Title": "MTV: Dangerous Toys", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Dangerous_Toys", "url": "http://www.mtv.com/artists/dangerous-toys/"} +{"d:Title": "AMG: Electric Boys", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Electric_Boys", "url": "http://www.allmusic.com/artist/electric-boys-p12865"} +{"d:Title": "Greg's Green Room", "d:Description": "Dedicated to Greg Steele, the guitar player of Faster Pussycat. Biography, pictures, tour dates, news, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Faster_Pussycat", "url": "http://greg_steele1.tripod.com/"} +{"d:Title": "All Music Guide: Faster Pussycat", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Faster_Pussycat", "url": "http://www.allmusic.com/artist/p4236"} +{"d:Title": "MTV: Faster Pussycat", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Faster_Pussycat", "url": "http://www.mtv.com/artists/faster-pussycat/"} +{"d:Title": "Firehouse", "d:Description": "Official site. News, biography, discography, message board, and tour date information.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Firehouse", "url": "http://www.firehousemusic.com/"} +{"d:Title": "MTV: Firehouse", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Firehouse", "url": "http://www.mtv.com/artists/firehouse/"} +{"d:Title": "Girlschool", "d:Description": "Official site. News, biography, discography, photos, press, videos, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Girlschool", "url": "http://www.angelfire.com/zine/girlschoolonline/index.html"} +{"d:Title": "MTV: Girlschool", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Girlschool", "url": "http://www.mtv.com/artists/girlschool/"} +{"d:Title": "All Music Guide: Girlschool", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Girlschool", "url": "http://www.allmusic.com/artist/girlschool-mn0000553810"} +{"d:Title": "MTV: Giuffria", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Giuffria", "url": "http://www.mtv.com/artists/giuffria/"} +{"d:Title": "All Music Guide: Giuffria", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Giuffria", "url": "http://www.allmusic.com/artist/giuffria-mn0000949980"} +{"d:Title": "Helix", "d:Description": "Official site. News, history, press clippings, discography, videography, trivia, store, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Helix", "url": "http://www.planethelix.com/"} +{"d:Title": "Helix", "d:Description": "Discography, history, lyrics, polls, articles, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Helix", "url": "http://www.wtp.net/helix/"} +{"d:Title": "MTV: Helix", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Helix", "url": "http://www.mtv.com/artists/helix/"} +{"d:Title": "MTV: House of Lords", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/House_of_Lords", "url": "http://www.mtv.com/artists/house-of-lords/"} +{"d:Title": "AMG: Hurricane", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Hurricane", "url": "http://www.allmusic.com/artist/hurricane-p4531"} +{"d:Title": "All Music Guide: Junkyard", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Junkyard", "url": "http://www.allmusic.com/artist/p4652"} +{"d:Title": "MTV.com: Junkyard", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Junkyard", "url": "http://www.mtv.com/artists/junkyard/"} +{"d:Title": "MTV: Keel", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Keel", "url": "http://www.mtv.com/artists/keel/"} +{"d:Title": "Kingdom Come", "d:Description": "Official site. Biography, photos, discography, audio samples, lyrics, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Kingdom_Come", "url": "http://www.lennywolf.com/"} +{"d:Title": "MTV: Kingdom Come", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Kingdom_Come", "url": "http://www.mtv.com/artists/kingdom-come/"} +{"d:Title": "All Music Guide: Kix", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Kix", "url": "http://www.allmusic.com/artist/p4693"} +{"d:Title": "MTV.com: Kix", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Kix", "url": "http://www.mtv.com/artists/kix/"} +{"d:Title": "MTV: Leatherwolf", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Leatherwolf", "url": "http://www.mtv.com/artists/leatherwolf/"} +{"d:Title": "MTV: Liege Lord", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Liege_Lord", "url": "http://www.mtv.com/artists/liege-lord/"} +{"d:Title": "Pretty Boy Floyd", "d:Description": "News, photos, tour dates, links, and online store.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Pretty_Boy_Floyd", "url": "http://www.pbffanclub.com/"} +{"d:Title": "All Music Guide: Pretty Boy Floyd", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Pretty_Boy_Floyd", "url": "http://www.allmusic.com/artist/p13980"} +{"d:Title": "Pretty Boy Floyd", "d:Description": "Official site. News, biography, pictures, tour dates, discography, tablature, articles, forum, links, and online store.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Pretty_Boy_Floyd", "url": "http://pbfonline.tripod.com/"} +{"d:Title": "MTV: Rock City Angels", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Rock_City_Angels", "url": "http://www.mtv.com/artists/rock-city-angels/"} +{"d:Title": "All Music Guide: Roxx Gang", "d:Description": "Discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Roxx_Gang", "url": "http://www.allmusic.com/artist/p14119"} +{"d:Title": "MTV: Roxx Gang", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Roxx_Gang", "url": "http://www.mtv.com/artists/roxx-gang/"} +{"d:Title": "All Music Guide: Sea Hags", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Sea_Hags", "url": "http://www.allmusic.com/artist/sea-hags-mn0000259380"} +{"d:Title": "Stage Dolls", "d:Description": "Biography, discography, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Stage_Dolls", "url": "http://www.mts.net/~crea/bands/stagedolls.html"} +{"d:Title": "All Music Guide: Stage Dolls", "d:Description": "Discography and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Stage_Dolls", "url": "http://www.allmusic.com/artist/stage-dolls-p14370"} +{"d:Title": "All Music Guide: Steelheart", "d:Description": "Discography and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Steelheart", "url": "http://www.allmusic.com/artist/p14388"} +{"d:Title": "MTV: Steelheart", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Steelheart", "url": "http://www.mtv.com/artists/steelheart/"} +{"d:Title": "TNT", "d:Description": "Official site. News, biography, discography, tablature, audio, video, tour dates, merchandise, reviews, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/T.N.T.", "url": "http://www.tnttheband.com/"} +{"d:Title": "MTV: T.N.T.", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/T.N.T.", "url": "http://www.mtv.com/artists/tnt-15/"} +{"d:Title": "All Music Guide: Tora Tora", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Tora_Tora", "url": "http://www.allmusic.com/artist/p5671"} +{"d:Title": "Mark Gus Scott", "d:Description": "Drummer's official site. Biography, audio, video, photos, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Trixter", "url": "http://www.markscottonline.com/"} +{"d:Title": "All Music Guide: Trixter", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Trixter", "url": "http://www.allmusic.com/artist/p5700"} +{"d:Title": "MTV: Trixter", "d:Description": "News, links, music videos, audio samples, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Trixter", "url": "http://www.mtv.com/artists/trixter/"} +{"d:Title": "Tuff", "d:Description": "News, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Tuff", "url": "http://www.angelfire.com/fl/decadeofdisrespect/index.html"} +{"d:Title": "Tuffcds", "d:Description": "Official site. News, biography, discography, guestbook, and online store.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Tuff", "url": "http://www.tuffcds.com/"} +{"d:Title": "Tyketto - A Tribute to Legends", "d:Description": "Photos, discography and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Tyketto", "url": "http://www.tyketto.com/"} +{"d:Title": "All Music Guide: Tyketto", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Bands_and_Artists/Tyketto", "url": "http://www.allmusic.com/artist/tyketto-p14581"} +{"d:Title": "Blackroses 80s Rockbands", "d:Description": "Personal site created by an 80s rock band fan. With band pictures, sound clips, and personal information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Personal_Pages", "url": "http://www.angelfire.com/80s/rockbands00/index.html"} +{"d:Title": "80's Rock Page", "d:Description": "Links, message board, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Personal_Pages", "url": "http://www.angelfire.com/music4/angiegann/index.html"} +{"d:Title": "80s Metal Scene", "d:Description": "Band bios, news, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Personal_Pages", "url": "http://www.angelfire.com/80s/metalscene/"} +{"d:Title": "Run, Scream, Hide, Die: The Cryptic Void Tribute Site", "d:Description": "New Jersey 80's thrash band fan site. Band biography, pictures, and scanned memorabilia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Personal_Pages", "url": "http://richweb.allpar.net/crypticvoid.htm"} +{"d:Title": "Sleazycomes Lipstick Page", "d:Description": "Personal view of the Glam metal scene in Newcastle.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hair_Metal/Personal_Pages", "url": "http://darkglam.tripod.com/"} +{"d:Title": "Bludgeon", "d:Description": "A hardcore heavy metal band out of Ft. Worth, Texas.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/rock2/bludgeon/"} +{"d:Title": "BuSS", "d:Description": "Underground hardcore band from Pennsylvania. Includes news, shows and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/myband/BuSS/"} +{"d:Title": "Forebode", "d:Description": "Band from Fort McMurray, Alberta, Canada. Includes news, show dates, biographies and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/indie/forebode/home.html"} +{"d:Title": "Kill Factor", "d:Description": "Southern California band's site features pictures, biography and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/band/killfactor/"} +{"d:Title": "Object of Aggression", "d:Description": "Features news, tour dates, pictures, MP3s, and a biography for the Georgia band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/ga3/object/"} +{"d:Title": "Shiftsystem", "d:Description": "Dallas band's site features news, pictures, lyrics, and band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.shiftsystem.iwarp.com/"} +{"d:Title": "Temperd", "d:Description": "Band from Bakersfield, California. Includes news, shows, music on MP3.com, photos, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://temperd.tripod.com/"} +{"d:Title": "Voodoo Cadillac", "d:Description": "Atlanta band's site contains news, pictures, tour dates, lyrics, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/band2/voodoo/"} +{"d:Title": "Lights Out", "d:Description": "News, gigs, MP3s, and discography for the Long Island, New York band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://lordj57.tripod.com/"} +{"d:Title": "Wireneck", "d:Description": "Official site for the Georgia band features news and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.stormpages.com/wireneck/main.html"} +{"d:Title": "Rev's Sanctuary", "d:Description": "Fansite for the vocalist of Into Darkness, including pictures, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/rock/revisgod/"} +{"d:Title": "Scarred For Life", "d:Description": "York, Pennsylvania based metalcore similar to Slipknot, Pantera, and Fear Factory.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/pa4/scarredforlife/"} +{"d:Title": "Resinate", "d:Description": "New Hampshire hardcore/metalcore band. Biography, photos and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://resinatecore.tripod.com/"} +{"d:Title": "Kamikaze", "d:Description": "Hardcore/metalcore from Utrecht. MP3s, lyrics, news and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.kamikazehq.com/"} +{"d:Title": "Pole", "d:Description": "Hardcore from Karlsruhe, Germany. News, shows, photos and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.polemusic.net/"} +{"d:Title": "Ultracide", "d:Description": "Hardcore band from central Florida. Biography, pictures and audio.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/gundam/ultracide/"} +{"d:Title": "Profane", "d:Description": "Fort McMurray Alberta band. Biography, shows and video.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/ak5/profane0/index.html"} +{"d:Title": "SwitchSetSyndicate", "d:Description": "Frederick, Maryland band. Biography, shows, lyrics and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/band2/switchsetsyndicate/"} +{"d:Title": "No It All", "d:Description": "Putnam County, New York band. News, biography, shows, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/extreme4/noitallband/main.html"} +{"d:Title": "Tribaldust", "d:Description": "Hardcore band from Cape Girardeau, MO. Includes history, news, MP3s, lyrics, photos, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://tribaldustweb.tripod.com/"} +{"d:Title": "Triumph The Will", "d:Description": "Long Island, New York band. Biography, photos and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.angelfire.com/ny5/triumphthewill/"} +{"d:Title": "Combat", "d:Description": "New York hardcore band's site features news, biography, MP3s, reviews, discography and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Hardcore/Bands_and_Artists", "url": "http://www.deadfoot.com/"} +{"d:Title": "CryingPuppet Darkzine", "d:Description": "Band biographies, discographies, CD reviews, samples, interviews, cover art, metal forum, visitor reviews, polls, lessons etc.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.cryingpuppet.com/"} +{"d:Title": "Snail Of Satan", "d:Description": "E-zine including albums reviews, interviews, and forums.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.snailofsatan.com/"} +{"d:Title": "Firegoat", "d:Description": "Slovenian metal webzine. News, reviews, interviews, gallery, competitions, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.firegoat.com/eng/"} +{"d:Title": "SiN's Metal News", "d:Description": "CD and video reviews, interviews, and current metal news. Also includes contests and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.smnnews.com/"} +{"d:Title": "Hardrock Haven", "d:Description": "News, reviews, MP3s, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.hardrockhaven.net/"} +{"d:Title": "RockUnited", "d:Description": "Classic Hardrock and Metal webzine. Reviews, interviews, news, mp3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.rockunited.com/"} +{"d:Title": "Chronicles of Chaos", "d:Description": "Extreme music webzine.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.chroniclesofchaos.com/"} +{"d:Title": "Deadwebzine", "d:Description": "Ukrainian death metal, grindcore, brutal and underground zine. Reviews, interviews, photos, message board and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.angelfire.com/zine/dead/enter.html"} +{"d:Title": "Rough Edge: The Hard Rock / Heavy Metal Report", "d:Description": "Online 'zine featuring reviews, interviews, features, photos, downloads, tour dates and guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.roughedge.com/"} +{"d:Title": "I Will Be Heard", "d:Description": "Ezine that concentrates on emerging talent from the rock and metal underground with a slant on the UK scene.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.iwillbeheard.co.uk/"} +{"d:Title": "Lykos Zine", "d:Description": "Underground and mainstream metal reviews, interviews, MP3 downloads and radio, articles and forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.lykoszine.co.uk/"} +{"d:Title": "Hellfire Pictures", "d:Description": "Pictures, interviews and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.hellfire-pictures.com/"} +{"d:Title": "The Nooks Of The Darkness", "d:Description": "Polish death and black metal ezine. Album reviews, artwork and information, visitor ratings, forum and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://dying.art.pl/"} +{"d:Title": "Metallian.com", "d:Description": "Features news, reviews, and interviews. Includes a metal encyclopedia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.metallian.com/"} +{"d:Title": "Metal Zone Prod.666", "d:Description": "Underground metal magazine. Interviews, video and information on the printed version.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.metalzoneprod666.com/"} +{"d:Title": "Shock Online", "d:Description": "UK webzine. News, interviews, reviews and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.shockonline.net/"} +{"d:Title": "Mk Magazine", "d:Description": "Chicago based magazine, with news, reviews, interviews, features, subscription information and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.mk-magazine.com/"} +{"d:Title": "Tartarean Desire", "d:Description": "Metal / extreme music webzine with reviews, interviews, band features, links, show dates, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.tartareandesire.com/"} +{"d:Title": "Metal Temple", "d:Description": "Greek based e-zine featuring reviews, interviews, links, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.metal-temple.com/"} +{"d:Title": "Nocturnal Horde", "d:Description": "Frequently updated reviews and interviews, together with features and links. Hosting of official band forums as well as a general forum for discussions.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.nocturnalhorde.com/"} +{"d:Title": "Decibel Magazine", "d:Description": "A monthly, full-color, full-gloss magazine billed as \"America's first real extreme music magazine.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.decibelmagazine.com/"} +{"d:Title": "The Adversary", "d:Description": "Web-zine specializing in extreme metal chaos, features reviews, interviews, downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.theadversary.org/"} +{"d:Title": "Rock Eyez", "d:Description": "Webzine featuring artist and band interviews, CD and demo reviews, exclusive giveaways, live concert reviews, news, band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.rockeyez.com/"} +{"d:Title": "Brave Words&Bloody Knuckles", "d:Description": "Official website of the magazine. Features news and reviews, subscription and back issue ordering.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.bravewords.com/"} +{"d:Title": "Hawk-Metal", "d:Description": "News from metal scene, community forum, metal topsite list, promo metal mp3 and video, hundreds of metal links, free metal winamp/bsplayer skins, photo gallery, interviews with bands, reviews of albums and concerts, articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.hawk-metal.org/"} +{"d:Title": "Harm Magazine", "d:Description": "Free CD contests, hard to find band info, full discographies, CD reviews, samples, interviews, cover art, metal forum, visitor reviews, polls.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.harm.us/"} +{"d:Title": "Apeshit", "d:Description": "News, reviews, interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.apeshit.org/"} +{"d:Title": "RockNet Webzine", "d:Description": "Interviews, CD reviews, show reviews, news, and photos. Updated monthly.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.rocknetwebzine.com/"} +{"d:Title": "Stalker", "d:Description": "Rock&metal magazine in German and English. Features interviews, stories, cd-reviews, concert reviews, discussion boards..", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.stalker.cd/index.php?content=0&lang=2&pid="} +{"d:Title": "The Iron Boot", "d:Description": "Provides Huntsville area music information, links, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://atothetapi77.blogspot.com/"} +{"d:Title": "Rock Reviews", "d:Description": "Features CD/DVD reviews related to rock and metal music. Occasionally interviews are organized and contests held. Also music software/plug-ins are reviewed at times.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.rockreviews.org/"} +{"d:Title": "Topix: Heavy Metal", "d:Description": "News about heavy metal, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/metal.xml"} +{"d:Title": "Copyleft and Roll", "d:Description": "Suggestions on rock, hard rock and metal albums distributed for free under copyleft license. Elinks and streaming audio files to all albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://copyleftandroll.blogspot.com/"} +{"d:Title": "Infernal Scream Magazine", "d:Description": "Webzine with reviews, interviews, articles and polls.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://www.infernalscream.com/"} +{"d:Title": "Nihilistic Holocaust", "d:Description": "Extreme metal reviews and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Magazines_and_E-zines", "url": "http://nihilistic.voila.net/cadre2.htm"} +{"d:Title": "Queer Metal Militia", "d:Description": "This is an email list-serve for homosexuals involved or interested in extreme metal music, scenes and subculture.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Mailing_Lists", "url": "http://groups.yahoo.com/group/queerMETAL"} +{"d:Title": "100% Heavy Metal Drawings", "d:Description": "Offers hand drawings of heavy metal bands and artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/1", "url": "http://suicyco.free.fr/"} +{"d:Title": "The Abandoned Hope Project-By Trevor Hay", "d:Description": "Site covering Trevor's project to produce his own hardcore metal album including lyrics, news, equipment, biography and some of his other writing.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/A", "url": "http://www.angelfire.com/myband/TrevorHay/opening_page.htm"} +{"d:Title": "the alternative site!", "d:Description": "we all met in mIRC and chatted in the #alternative channel, check out this page about us and for Metal music links", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/A", "url": "http://www.angelfire.com/ia/alternative/"} +{"d:Title": "Benzoleene", "d:Description": "With band pictures, sound files, messageboard and general information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/B", "url": "http://bigfish4769.tripod.com/benzoleene/"} +{"d:Title": "The 'Best Metal' page", "d:Description": "Personal site with links to several metal bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/B", "url": "http://www.angelfire.com/in3/heavymetalmusic/"} +{"d:Title": "Brothers of Metal", "d:Description": "Offers heavy metal and concert reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/B", "url": "http://thebrothersofmetal.tripod.com/"} +{"d:Title": "Brian-Griffin.com", "d:Description": "Personal page containing information on Brian's recording studio, bands, and touring.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/B", "url": "http://www.brian-griffin.com/"} +{"d:Title": "Bloodstained Skies", "d:Description": "News, tour dates, journal, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/B", "url": "http://www.angelfire.com/music5/blood/"} +{"d:Title": "Devil's Asylum", "d:Description": "Music and movie focused personal site with pictures, mailing list, and movie reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://www.devilsasylum.20m.com/"} +{"d:Title": "Death by Metal", "d:Description": "Contains links, sound files, a metal radio station, guitar tabs.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://www.angelfire.com/rock3/deathbymetal13/"} +{"d:Title": "Deadboy", "d:Description": "Site features a biography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://deadboy.4mg.com/"} +{"d:Title": "Doug's Music", "d:Description": "Site highlights some of the local bands in the Ohio area, plus concert dates, concert reviews of both local and national acts, and links to other heavy metal sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://www.angelfire.com/extreme3/local_metal/"} +{"d:Title": "Diego's Metal Heaven", "d:Description": "A site dedicated to over 70 metal bands, from Black Sabbath to RATM, featuring biographies, discographies, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://homepage.eircom.net/~onehellofaperson/"} +{"d:Title": "Dark Funeral", "d:Description": "Fan site about Dark Funeral and Slayer.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://www.darkfuneral.de/"} +{"d:Title": "death8699", "d:Description": "Personal site dedicated to metal music, featuring album reviews, biographies of selected artists, tablatures, band list, playlist.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://withoutjudgement.tripod.com/"} +{"d:Title": "DrowningMud, StereoPool", "d:Description": "Fansite dedicated to Drowning Pool and Stereomud. Site contains photographs, news, and multimedia.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/D", "url": "http://sarah45251.tripod.com/"} +{"d:Title": "FOS", "d:Description": "A South Florida-based band. With background, pictures, profiles, MP3s, lyrics, booking information, and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/F", "url": "http://www.angelfire.com/fl4/fos/"} +{"d:Title": "Falling Through This Pattern Stained Glass", "d:Description": "Dedicated to hardcore and emo music. Includes photos, interviews, jokes, articles, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/F", "url": "http://www.angelfire.com/emo/xheliotropex/"} +{"d:Title": "The Eagle's Nest.", "d:Description": "Contains heavy metal band lyrics, rants, hate mail, and recommendations.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/F", "url": "http://austinpowers28.tripod.com/site/index.html"} +{"d:Title": "Gothic Glass Images", "d:Description": "Debut heavy metal album by Gavin Jay, from Hampshire in the UK, with samples of each track in MP3 format.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/G", "url": "http://www.angelfire.com/myband2/gavinjay/"} +{"d:Title": "Gods of Heavy Metal", "d:Description": "Pictures, tabs, lyrics, biographies, and discographies for over 15 heavy metal bands. Bands like Slipknot, Pantera, Slayer, Kittie, and Ozzy.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/G", "url": "http://www.angelfire.com/rock2/metalhellz/index.html"} +{"d:Title": "Goldschlager Flakes", "d:Description": "A site for band links such as Korn, Tool, and D.R.I.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/G", "url": "http://www.angelfire.com/darkside/bobwick30/"} +{"d:Title": "Gravilax", "d:Description": "Information on band Pitchshifter, Black Candy, and Miocene, with pictures and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/G", "url": "http://betterlie.tripod.com/"} +{"d:Title": "Hard to find rock&metal videos", "d:Description": "Videos, magazines and music books for trade.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/H", "url": "http://www.bootlegvideos.com/"} +{"d:Title": "Havok", "d:Description": "Official pages for the Ohio valley metal band, influenced by bands such as Acid Bath, Slipknot, and Coal Chamber. With news, show dates, and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/H", "url": "http://www.members.tripod.com/~havok_dead0/"} +{"d:Title": "Heavy Metal Universe.", "d:Description": "Heavy metal site with news, information, pictures, concert reviews, and links to some of the best heavy metal bands out there today.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/H", "url": "http://heavy_metal11.tripod.com/ScottsHeavyMetalPage"} +{"d:Title": "Highway To Hell - Headquarters of Metal", "d:Description": "Contains pictures and MP3s for bands such as Metallica, Halford, Judas Priest, Iron Maiden, and Blue Oyster Cult.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/H", "url": "http://sad_life_philosophy.tripod.com/HighwayToHell/"} +{"d:Title": "Infernal Legions", "d:Description": "A site dedicated to bands like Satanic black, Iron Maiden, Slayer and Cradle of Filth.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/I", "url": "http://www.angelfire.com/zine/InfernalLegions/"} +{"d:Title": "KiddyCadavers", "d:Description": "Georgia based industrial/metal band with extreme stage shows and loud grinding music. Includes MP3s, lyrics, gigs, photos, and history.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/K", "url": "http://www.angelfire.com/band/kiddycadavers/"} +{"d:Title": "LEDGE Doomed Society", "d:Description": "Metal band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/L", "url": "http://www.angelfire.com/tx4/ledge/"} +{"d:Title": "Linea 77", "d:Description": "Fan site dedicated to this nu-metal popcore band from Italy. With band pictures, message board, discography, tour dates, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/L", "url": "http://kornillingus.tripod.com/Linea77/"} +{"d:Title": "LMS79's Metal Tribute", "d:Description": "Site dedicated to metal bands. Contains live streaming radio, media downloads, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/L", "url": "http://www.angelfire.com/droid/lms79/index.html"} +{"d:Title": "metalones homepage", "d:Description": "personal website with links to a ton of some of the best heavymetal bands on the planet. Also links to online games, and online music.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/M", "url": "http://metalone.tripod.com/"} +{"d:Title": "Metal Zone, The", "d:Description": "Contains biographies and lyrics for the following bands: KoRn, Limp Bizkit, Slipknot, and Staind. It has the edited section and the parental advisory section.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/M", "url": "http://www.angelfire.com/pa/psycho1spage/index.html"} +{"d:Title": "Metal Hangar, The", "d:Description": "Metal news and rumors, history, and Megadeth and Metallica equipment.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/M", "url": "http://drum_boy10.tripod.com/metalhangar/"} +{"d:Title": "My World of Heavy Metal", "d:Description": "Short reviews of a personal metal album collection and some pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/M", "url": "http://www.angelfire.com/pe/killer123/pic1/CDList.html"} +{"d:Title": "Metal Head", "d:Description": "An Atlanta based group. Contains pictures of the band, sound clips and general band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/M", "url": "http://www.angelfire.com/band/metalhead/"} +{"d:Title": "Music MJ's Site", "d:Description": "MUsic, polls, jokes, pictures, and chat.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/M", "url": "http://www.musicmj.50megs.com/"} +{"d:Title": "Metal Basement, The", "d:Description": "Metal music resource, for fans of Metallica, Megadeth, Pantera and similar bands. Includes tablatures, lyrics, pictures, news and tour information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/M", "url": "http://home.iprimus.com.au/mccormac/"} +{"d:Title": "Nedroj's Home Page", "d:Description": "This site contains information, music and videos on metal bands like Pantera, KoRn, Slipknot and System Of A Down.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/N", "url": "http://www.angelfire.com/band/Nedroj/"} +{"d:Title": "OzzMans Heavy Metal Chamber", "d:Description": "Tribute to heavy metal bands such as AC/DC, Motley Crue Metallica and Saliva.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/O", "url": "http://www.angelfire.com/music5/ozzman_69.html/"} +{"d:Title": "Princess Kitten's Inane Levity", "d:Description": "Trent Reznor picture page.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/P", "url": "http://www.angelfire.com/mi2/PrincessKitten/"} +{"d:Title": "Planet Rock", "d:Description": "Features information and news on several rock bands and interaction for people to request or suggest other bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/P", "url": "http://www.planetrock.8k.com/"} +{"d:Title": "Rezinus", "d:Description": "A metal band from Morgantown, WV. Including news, dates, band information, pictures, message board and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/R", "url": "http://www.angelfire.com/band/rezinus/"} +{"d:Title": "Ramzeus", "d:Description": "A hard rock power trio. With show dates, pictures, biography, news, song samples, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/R", "url": "http://www.angelfire.com/rock/ramzeus/"} +{"d:Title": "Ripper's Metal Page", "d:Description": "Contains photos from Metalfest XV along with links to other heavy metal websites.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/R", "url": "http://www.angelfire.com/realm/metalfestxv/index.htm"} +{"d:Title": "Sleipnir", "d:Description": "Information on some old and new Metal and Hard Rock bands. Including links and pics.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://members.tripod.com/thornbush_0/"} +{"d:Title": "Subzid", "d:Description": "A hardcore/metal band from Rockville, Indiana, influenced by bands such as Metallica, Deftones, Korn, Limp Bizkit, and Creed.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.angelfire.com/band/subzid/"} +{"d:Title": "Stem", "d:Description": "A hardcore/thrash band from Omaha, Nebraska. With history, pictures, and biographies.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.angelfire.com/band/officialstempage/"} +{"d:Title": "Strych-Nine", "d:Description": "Heavy metal music.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.strych-nine.com/"} +{"d:Title": "Stangeland", "d:Description": "A heavy metal website containing discography, pictures and tour information for bands such as Slipknot, Cold, Saliva and others.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.angelfire.com/realm/strangeland/"} +{"d:Title": "Strain", "d:Description": "Offers heavy metal concert and cd reviews, pictures, and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.strain.nl/"} +{"d:Title": "Stare Into The Void", "d:Description": "Fansite dedicated to Rev, vocalist of Into Darkness.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.angelfire.com/freak/revrocks/"} +{"d:Title": "San Diego's Local Metal Music", "d:Description": "Offers information about San Diego local heavy metal bands and shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.sdmetal.com/"} +{"d:Title": "San Diego Metal", "d:Description": "A site dedicated to the San Diego metal scene, including concert dates, news and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/S", "url": "http://www.sdmetal.org/"} +{"d:Title": "Tusa", "d:Description": "Metal groove band from Dublin. Gigs, MP3/RealAudio clips, photos, and information on the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/T", "url": "http://gofree.indigo.ie/~robertx/"} +{"d:Title": "Under The Surface", "d:Description": "Official site for the heavy band from Wisconsin. With history, news, show dates, pictures, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/U", "url": "http://www.angelfire.com/band/underthesurface/"} +{"d:Title": "Virral's Homepage of Metal", "d:Description": "New bands, music, and album release dates. Also includes a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/V", "url": "http://www.angelfire.com/music2/virral83/"} +{"d:Title": "World of StiLL", "d:Description": "Personal home page of leader of Indiana band, StiLL.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/W", "url": "http://www.angelfire.com/in3/stillband/"} +{"d:Title": "Webrocker Radio", "d:Description": "Offers a live broadcast 24 hours a day, news, bios, and chat.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/W", "url": "http://webrocker2.tripod.com/index.html"} +{"d:Title": "Wallaceworld", "d:Description": "Listings and reviews of black, death, doom, and related genres of metal.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Personal_Pages/W", "url": "http://www.angelfire.com/tx5/wallaceworld/"} +{"d:Title": "The Pyramid of Metal", "d:Description": "Power metal site featuring selected information about several bands, MP3s, forum, chat room, and greeting cards.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal", "url": "http://www.angelfire.com/80s/pharao/"} +{"d:Title": "FaST Metal Pages", "d:Description": "Lyrics, band lineups, discographies, interviews, and photos for many power metal bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal", "url": "http://www.speed-n-power.com/"} +{"d:Title": "Metal CD Ratings", "d:Description": "Ratings of mainly power metal albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal", "url": "http://www.angelfire.com/music5/metalcdratings/"} +{"d:Title": "Thy Majesty", "d:Description": "Italian power metal band. Biography, discography, reviews, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.thymajestie.com/"} +{"d:Title": "Elvenking", "d:Description": "Official site includes news, biography, member profiles, discography, pictures, MP3s, and a forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.elvenking.net/"} +{"d:Title": "Ravendark", "d:Description": "Maine band's site features news, history, member biographies, discography, and a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://ravendark5.tripod.com/"} +{"d:Title": "Powers Court", "d:Description": "Official site for the aggressive power metal band includes news, MP3s, reviews, interviews, lyrics, biographies and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.powers-court.com/"} +{"d:Title": "Pell, Axel Rudi", "d:Description": "Official site for the legendary German guitarist features news, biography, discography, merchandise, playlists, tourdates, forum, and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.axel-rudi-pell.de/"} +{"d:Title": "Spinedown", "d:Description": "Colorado band's site features news, biographies, MP3s, pictures, and upcoming events.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.angelfire.com/freak/spinedown/"} +{"d:Title": "GoatBlower", "d:Description": "British band's site features news, biography, upcoming shows, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.goatblower.com/"} +{"d:Title": "Gallows Pole", "d:Description": "German power metal band's site features news, history, pictures, shows, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.gallows-pole.de/"} +{"d:Title": "Age of Death, The", "d:Description": "Long Island band's site contains news, biography, discography, shows, practice dates, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.angelfire.com/empire/ad/"} +{"d:Title": "Battlesnake", "d:Description": "Heavy metal warriors from Wigan, England. News, reviews, pictures, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.angelfire.com/rock3/battlesnake/Index.htm"} +{"d:Title": "Wyvern", "d:Description": "Italian power metal band. Biography, discography, lyrics, MP3s, events and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.wyvern.it/"} +{"d:Title": "Rhapsody of Fire", "d:Description": "Musical project featuring ex-Rhapsody members Luca Turilli and Alex Staropoli. Biographies, discography, news, downloads and images.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.rhapsodyoffire.com/"} +{"d:Title": "Lost Horizon", "d:Description": "Swedish power metal band. Site features bio, forums, member info, and a media section.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://www.oncelosthorizon.com/"} +{"d:Title": "Red October", "d:Description": "New York band's official site features news, biography, MP3s, and upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists", "url": "http://redoctobernyc.tripod.com/home.htm"} +{"d:Title": "Rock Lyrics: Rhapsody", "d:Description": "Song lyrics listed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists/Rhapsody", "url": "http://lyrics.rockmagic.net/lyrics/rhapsody/"} +{"d:Title": "Fabio Lione", "d:Description": "Official site of the legendary Rhapsody vocalist.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Power_Metal/Bands_and_Artists/Rhapsody/Fabio_Lione", "url": "http://www.exawatt.it/fabiolione/"} +{"d:Title": "HardRadio", "d:Description": "Heavy Metal and Hard Rock Radio", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.hardradio.com/"} +{"d:Title": "KNAC.COM Live Pure Rock and Heavy Metal", "d:Description": "Live rock and metal broadcast 24/7. Chat , make requests, win contests, watch in-studio celebrities, check out exclusive news and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.knac.com/"} +{"d:Title": "The Tour Bus", "d:Description": "A radio show geared to the party rock of the late 80/early 90s era, also streamed live on the net. Past guests include Ratt, Cinderella, Great White, and Dokken.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.thetourbus.com/"} +{"d:Title": "KRZR - The Wild Hare", "d:Description": "A radio station in Sacramento that plays Heavy Metal rock music.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://krzr.com/"} +{"d:Title": "The Sinister Plan", "d:Description": "Extreme music and opinion radio, playing grindcore, death, doom, stoner, industrial and dark ambient music. See site for broadcast schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://chrlyt.tripod.com/"} +{"d:Title": "TotalRock.com", "d:Description": "Rock and metal music available in through Windows Media Player. Also with related links and features.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.totalrock.com/"} +{"d:Title": "SnakeNet Heavy Metal Radio", "d:Description": "Hardcore heavy metal radio in streaming MP3 and RealAudio.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.snakenetmetalradio.com/"} +{"d:Title": "Extreme Radio", "d:Description": "UK based internet radio station broadcasting LIVE every Sunday from 12pm Eastern US- 5pm GMT.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.extremeradio.co.uk/"} +{"d:Title": "4U-Rock N Metal", "d:Description": "Hard rock, heavy metal, progressive metal and guitar heroes.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.4urocknmetal.com/"} +{"d:Title": "97 Underground", "d:Description": "Baltimore based radio station broadcasting locally on AM channels and internationally over the Internet.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Radio", "url": "http://www.97underground.com/"} +{"d:Title": "melodicrock.com", "d:Description": "Full featured Zine on popular and underground melodic rock and metal, includes internet radio.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.melodicrock.com/"} +{"d:Title": "Hardradio", "d:Description": "Hard rock and heavy metal radio, video, news, reviews, interviews, cd premieres, chat, and concerts.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.hardradio.com/"} +{"d:Title": "MetalReviews", "d:Description": "contains reviews, interviews, band profiles, metal news and songs download.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.metalreviews.com/"} +{"d:Title": "Lambgoat Hardcore and Metal", "d:Description": "Metal, hardcore, and heavy music reviews by staff and readers, audio samples, news, and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.lambgoat.com/"} +{"d:Title": "Metal and Hard Rock Area", "d:Description": "Album and concert reviews, interviews, and news from a worldwide staff.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.rock-area.de/"} +{"d:Title": "Undertow Heavy Metal Web 'Zine", "d:Description": "A Belgian based zine covering underground power / progressive metal reviews and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.angelfire.com/on/spincity/"} +{"d:Title": "Satan Stole My Teddybear", "d:Description": "Heavy metal/punk/industrial record reviews archive.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.chedsey.com/"} +{"d:Title": "Snakepit - Heavy Metal Magazine", "d:Description": "Online zine and magazine print, message board, interviews", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://truemetal.org/snakepit/"} +{"d:Title": "OUAS - Online Music Magazine", "d:Description": "Covers all styles under rock with reviews, news, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.openupandsay.com/"} +{"d:Title": "Andy's Metal Corner", "d:Description": "Essays and live reviews about all genres of metal music.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://postman.ru/~james/amc/"} +{"d:Title": "Vulgardemon", "d:Description": "brief reviews from an odd fellow", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.angelfire.com/ny3/Vulgardemon/"} +{"d:Title": "PilgrimWorld", "d:Description": "Japanese site for progressive metal fans. In English as well.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.hamanaka.com/pilgrim2/front.htm"} +{"d:Title": "Metal Millennium", "d:Description": "CD and metal video reviews, MP3s, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://metalmillennium.tripod.com/"} +{"d:Title": "Metal Review", "d:Description": "Reviews written by the fans.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://metalreview.stormloader.com/"} +{"d:Title": "Great Cthulu's Metal Reviews", "d:Description": "Growing archive of reviewed albums, with ratings and band links.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.angelfire.com/music3/greatcthulu/"} +{"d:Title": "Krillions Metal Reviews", "d:Description": "Hard rock and heavy metal reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.krill.demon.co.uk/"} +{"d:Title": "Metal Medley", "d:Description": "Heavy Metal album and singles reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://thomascapone.tripod.com/MetalMedley.html"} +{"d:Title": "Harvest Moon Music", "d:Description": "Reviews of hard rock and heavy metal albums past and present as well as an encyclopedia of metal bands from around the globe.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.harvestmoonmusic.com/"} +{"d:Title": "Classic Thrash", "d:Description": "Contains reviews of classic albums sorted by band name with album art and track listings.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.classicthrash.com/"} +{"d:Title": "Grox Music Reviews", "d:Description": "Reviews of recent heavy metal releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://www.groxmusicreviews.blogspot.com/"} +{"d:Title": "Utter Dark webzine", "d:Description": "Utter Dark is a webzine dealing with every style of metal: thrash, death, doom, heavy, and speed. [English and French]", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://utterdark.free.fr/"} +{"d:Title": "Metalheads", "d:Description": "Reviews of new and old heavy metal albums, as well as reviews of local shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Heavy_Metal/Reviews", "url": "http://wearemetalheads.com/"} +{"d:Title": "The History of Rock Music", "d:Description": "An independent database of rock musicians, record reviews, and discographies.", "topic": "Top/Arts/Music/Styles/R/Rock/History", "url": "http://www.scaruffi.com/music.html"} +{"d:Title": "The Rock 'n' Roll Zone", "d:Description": "Features the past five decades and the home of web-radio station RNRZ.", "topic": "Top/Arts/Music/Styles/R/Rock/History", "url": "http://rocknrollzone.com/"} +{"d:Title": "Sun Studio", "d:Description": "Birthplace of Rock N Roll. Features an audio/visual tour of the legendary studio where Elvis, Jerry Lee Lewis, Roy Orbison and Johnny Cash began their careers.", "topic": "Top/Arts/Music/Styles/R/Rock/History", "url": "http://www.sunstudio.com/"} +{"d:Title": "The Album Era", "d:Description": "Brief synopsis of the time when LPs were \"listening events.\" Chronological discussion of key releases from Rubber Soul through the death of the album experience in the 1980s due to CDs and MTV.", "topic": "Top/Arts/Music/Styles/R/Rock/History", "url": "http://butifnot.tripod.com/albumera.html"} +{"d:Title": "industrial.org", "d:Description": "A news portal and home of numerous experimental, electronic, extreme and industrial resources including a release database, DJ list, equipment database, industrial meta-site, DIY tour guide, zine list, and forums.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial", "url": "http://industrial.org/"} +{"d:Title": "Brainwashed", "d:Description": "Record label containing articles and contacts for several experimental bands, including Nurse With Wound, Coil, and The Legendary Pink Dots.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial", "url": "http://www.brainwashed.com/"} +{"d:Title": "Music Database", "d:Description": "Industrial and Gothic music index including full discographies and related links.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial", "url": "http://kzsu.stanford.edu/eklein/"} +{"d:Title": "Hamburg Industrial Index", "d:Description": "With information on electronic projects such as Das Kombinat and Notstandskomitee, the H\u00f6rbar industrialbar of Hamburg, and a mailorder catalog.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial", "url": "http://www.block4.com/"} +{"d:Title": "CyberDen", "d:Description": "Industrial, Gothic, darkwave, and ambient music news and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial", "url": "http://www.cyberden.com/"} +{"d:Title": "Industrial 101:Lyrics", "d:Description": "A humorous discussion on properly writing lyrics in an industrial style.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial", "url": "http://www.sonic-boom.com/industrial/lyrics-howto.html"} +{"d:Title": "Discogs: 23 Skidoo", "d:Description": "Complete discography of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/2/23_Skidoo", "url": "http://www.discogs.com/artist/23+Skidoo"} +{"d:Title": "Azoic, The", "d:Description": "Female fronted industrial dance. Site contains news, biography, discography, show schedule, interviews, reviews, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/A", "url": "http://www.nilaihah.com/theazoic.htm"} +{"d:Title": "AntiQuark", "d:Description": "Goth-industrial band from San Diego.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/A", "url": "http://www.antiquark.net/"} +{"d:Title": "Ann Hell", "d:Description": "Site features MP3s, discography, and lyrics, in both English and Spanish.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/A", "url": "http://www.triptico.com/annhell/"} +{"d:Title": "Blank", "d:Description": "Italian band's site features news, discography, pictures, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/B", "url": "http://www.mechanoid.it/"} +{"d:Title": "Birmingham 6", "d:Description": "Official website for the Danish band. News, biography, discography, pictures, and an MPEG video.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/B", "url": "http://www.birmingham6.com/"} +{"d:Title": "Contagion", "d:Description": "Features news, discography, MP3s, videos, lyrics, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/C", "url": "http://www.pcbproductions.com/contagion/"} +{"d:Title": "Cyanotic", "d:Description": "Official site features information, media, concert listings, a forum and a store.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/C", "url": "http://www.cyanotic-online.com/"} +{"d:Title": "Chaotica", "d:Description": "Indiana band's site features a biography, discography, MP3s, merchandise, pictures, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/C", "url": "http://www.chaoticamusic.com/"} +{"d:Title": "Album Reviews at Musicfolio", "d:Description": "Covenant discography, album reviews and ratings, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/C/Covenant", "url": "http://musicfolio.com/modernrock/covenant.html"} +{"d:Title": "Device", "d:Description": "Features MP3 samples, history of the band, and member profiles.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/D", "url": "http://www.angelfire.com/music/device/index.html"} +{"d:Title": "Doomsday Virus", "d:Description": "Official site includes MP3 samples, biography, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/D", "url": "http://www.doomsdayvirus.com/"} +{"d:Title": "Discogs: Die Warzau", "d:Description": "Discography for the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/D/Die_Warzau", "url": "http://www.discogs.com/artist/Die+Warzau"} +{"d:Title": "All Music Guide: Die Warzau", "d:Description": "Profile, and discography with review.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/D/Die_Warzau", "url": "http://www.allmusic.com/artist/die-warzau-p12757"} +{"d:Title": "Fallen Man", "d:Description": "Sacramento band's site features news, biography, discography, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F", "url": "http://www.angelfire.com/ca/fallenmanmusic/"} +{"d:Title": "Front 242", "d:Description": "Last Sigh Magazine's tribute site to the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_242", "url": "http://www.waste.org/front242/"} +{"d:Title": "Evil E 's FRONT 242 site", "d:Description": "Bootleg and guest appearance information, and remix and side project.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_242", "url": "http://users.skynet.be/Evil.E/"} +{"d:Title": "Front 242 Live Review", "d:Description": "La Plume Noire e-zine review of live show at Galaxy Theatre in Santa Ana, California on October 2nd, 2000.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_242", "url": "http://www.plume-noire.com/music/live/front242.html"} +{"d:Title": "Front 242", "d:Description": "The official website for the fathers of Electronic Body Music.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_242", "url": "http://www.front242.com/"} +{"d:Title": "Front 242: Musicfolio album reviews", "d:Description": "discography, album reviews and ratings.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_242", "url": "http://musicfolio.com/modernrock/front242.html"} +{"d:Title": "Front Line Assembly", "d:Description": "Has news, pictures, side project information for this Canadian industrial group.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_Line_Assembly", "url": "http://www.mindphaser.com/"} +{"d:Title": "Rockmagic.net: FLA Lyrics", "d:Description": "Song lyrics for 38 tracks, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_Line_Assembly", "url": "http://lyrics.rockmagic.net/lyrics/front_line_assembly/"} +{"d:Title": "Metropolis Records: Front Line Assembly", "d:Description": "Biography, sound files, and information about the band's releases on the label.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Front_Line_Assembly", "url": "http://www.metropolis-records.com/?artists/frontlin.html"} +{"d:Title": "Funker Vogt (German)", "d:Description": "Official site features news, lyrics, discography, merchandise, and multimedia.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Funker_Vogt", "url": "http://www.funkervogt.de/"} +{"d:Title": "Metropolis Records: Funker Vogt", "d:Description": "Profile and partial discography from their USA label.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Funker_Vogt", "url": "http://www.metropolis-records.com/?/artists/?artist=funker"} +{"d:Title": "Yahoo! Groups: Funker Vogt", "d:Description": "Discussion group for Funker Vogt fans. [Yahoo! registration required.]", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Funker_Vogt", "url": "http://groups.yahoo.com/group/funkervogt/"} +{"d:Title": "Elektrobank Presents: Funker Vogt", "d:Description": "Fan created site with audio samples, exclusive pictures, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/F/Funker_Vogt", "url": "http://elecktrobank.tripod.com/funker/"} +{"d:Title": "God Project, The", "d:Description": "Aggressive, intelligent, industrial-electronic music from northeast Kansas.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/G", "url": "http://www.godproject.net/"} +{"d:Title": "Hate in the Box Hinged with Abuse", "d:Description": "Includes a messageboard, photos, chat, calendar, links and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/H/Hate_in_the_Box", "url": "http://groups.yahoo.com/group/hateinthebox/"} +{"d:Title": "Hocico German Fanbase", "d:Description": "Fan site features news, pictures, discography, tour dates, and press clippings.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/H/Hocico", "url": "http://www.hocico.de/"} +{"d:Title": "Infovein", "d:Description": "Music, biography and contact information for this Industrial Texas-based recording artist.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/I", "url": "http://www.infovein.org/"} +{"d:Title": "Ken Gage Web Family, The", "d:Description": "Site dedicated to the works of extremist writer Ken Gage, including surreal art, industrial music and horror.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/K", "url": "http://www.angelfire.com/music/kengage/kengage.htm"} +{"d:Title": "Katscan", "d:Description": "Electronic-punk industrial band's official site.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/K", "url": "http://www.katscan.net/"} +{"d:Title": "low distortion unit", "d:Description": "The official website of the French industrial rock band that composed the music of \"Alone in the dark 4\".", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/L", "url": "http://www.ldu-music.com/"} +{"d:Title": "Life-X-Zero", "d:Description": "Industrial music witch technoid influences.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/L", "url": "http://www.life-x-zero.de/"} +{"d:Title": "Laibach", "d:Description": "The art and music of Laibach plus the Neue Slowenische Kunst.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/L/Laibach", "url": "http://www.gla.ac.uk/~dc4w/laibach/laibach.html"} +{"d:Title": "Laibach", "d:Description": "Official homepage includes biography, discography, bibleography, manifesto, interviews, poster images, and information about side projects and NSK.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/L/Laibach", "url": "http://www.laibach.nsk.si/"} +{"d:Title": "The Unofficial Laibach Web Site", "d:Description": "Includes news, history, links, concert information, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/L/Laibach", "url": "http://www.gla.ac.uk/~dc4w/laibach/laibach1.html"} +{"d:Title": "Laibach", "d:Description": "Fan site includes audio, discography, interviews, pictures, video, tour dates and history.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/L/Laibach", "url": "http://members.tripod.com/NSKunst/"} +{"d:Title": "Metropolis Records - Leaether Strip", "d:Description": "Official page featuring history, discography, and audio clips from the record label.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/L/Leaether_Strip", "url": "http://www.metropolis-records.com/?/artists/?artist=leaether"} +{"d:Title": "Meurs et Ressuscite", "d:Description": "Discography, press-book, MP3 and RealAudio samples for the punk industrial band.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/M", "url": "http://meurs.free.fr/"} +{"d:Title": "Manufactured Soul", "d:Description": "Futuristic electro-industrial based in Norfolk.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/M", "url": "http://www.manufacturedsoul.com/"} +{"d:Title": "No Name Desire", "d:Description": "Official site of the EBM band from Prague, Czech Republic.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/N", "url": "http://www.nnd.cz/"} +{"d:Title": "Netherfabrik", "d:Description": "The official site for electro-industrial music project. Site is run by the artist, and contains pertinent news, a conceptual description of the band, and contact info.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/N", "url": "http://www.netherfabrik.com/"} +{"d:Title": "Overbeyond", "d:Description": "French electro industrial duet. Influenced by bands such as Suicide Commando, Dive, Velvet Acid Christ or Kirlian Camera.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/O", "url": "http://overbeyond.free.fr/"} +{"d:Title": "platEAU", "d:Description": "Minimalist techno sounds of cEvin Key and Co.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/P", "url": "http://subconsciousstudios.com/plateau/"} +{"d:Title": "Park, Remo", "d:Description": "German rock/industrial/electronica/progressive musician; includes history, lyrics, and song downloads. [German and English.]", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/P", "url": "http://www.remopark.com/"} +{"d:Title": "Official Project Pitchfork Homepage", "d:Description": "A fansite: with news, biography, discography, pictures, song samples, lyrics, and other band-related content.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/P/Project_Pitchfork", "url": "http://www.pitchfork.de/"} +{"d:Title": "Symbiot", "d:Description": "A techno-thrash industrial band. With background, news, gig dates, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/S", "url": "http://www.angelfire.com/myband2/GALERITUS/"} +{"d:Title": "Six Past Seven", "d:Description": "An industrial/ambient project based in Germany. With news, downloads, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/S", "url": "http://www.sixpastseven.com/"} +{"d:Title": "Synthetic Dream Foundation, The", "d:Description": "Experimental industrial and classical music composer from Tampa, Florida; includes news, biography, MP3s, images and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/S", "url": "http://tsdf.net/"} +{"d:Title": "Syrynge", "d:Description": "Cleveland, Ohio band's site features news, a biography, MP3s, pictures, concert schedule, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/S", "url": "http://www.syrynge.8m.com/"} +{"d:Title": "Savage Republic", "d:Description": "1980s Los Angeles seminal industrial/rock/experimental band. This official site hosts audio and video downloads and a historical archive.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/S", "url": "http://www.mobilization.com/artists/savage.html"} +{"d:Title": "Slick Idiot", "d:Description": "Official site includes release information, tour dates, pictures, lyrics, store and media clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/S/Slick_Idiot", "url": "http://slickidiot.de/"} +{"d:Title": "Taunt", "d:Description": "Goth/Industrial band based in Glasgow, Scotland. Includes news, tour dates, and samples in MP3 format.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/T", "url": "http://www.taunt.co.uk/"} +{"d:Title": "Ink Nineteen: Test Dept.", "d:Description": "Review of \"Tactics for Evolution.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/T/Test_Dept", "url": "http://www.ink19.com/issues_F/98_06/wet_ink/music_tz/081_test_dept_nf.html"} +{"d:Title": "The Mick Sinclair Archive: Test Dept", "d:Description": "Feature article/interview from Zigzag Magazine.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/T/Test_Dept", "url": "http://micksinclair.com/zigzag/testdept.html"} +{"d:Title": "Official Test Dept site 2004", "d:Description": "Official Test Dept site featuring archive material. Former members current activities including sonic art and multi media installation, event, performance, soundtrack and video work.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/T/Test_Dept", "url": "http://www.testdept.org.uk/"} +{"d:Title": "Us Electric, The", "d:Description": "Official site for the band. With photos, downloads, and biographical information, as well as links to some of its favorite artists and sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/U", "url": "http://www.theuselectric.com/"} +{"d:Title": "Radio Shutdown", "d:Description": "Fan site for Uranium 235. Includes pictures, biography, show dates, lyrics, discography, radio help, press page, merchandise ordering, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/U/Uranium_235", "url": "http://www.angelfire.com/il2/uranium/main.html"} +{"d:Title": "Yahoo Groups: Uranium 235", "d:Description": "Forum for discussing the industrial alternative band from NYC.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/U/Uranium_235", "url": "http://groups.yahoo.com/group/Uranium235/"} +{"d:Title": "Viktim", "d:Description": "Official homepage for the band based out of south Jersey. News, downloads and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/V", "url": "http://www.viktim.net/"} +{"d:Title": "Vigoramity", "d:Description": "Homepage of Winnipeg based industrial band, Vigoramity.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/V", "url": "http://mandais.tripod.com/"} +{"d:Title": "Musicfolio: VNV Nation", "d:Description": "Discography, album reviews and ratings, with links to similar future-pop/EBM artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/V/VNV_Nation", "url": "http://www.musicfolio.com/modernrock/vnvnation.html"} +{"d:Title": "Ink 19: VNV Nation", "d:Description": "Concert review by Jorge C. Galban. Saint Nightclub in Ft. Lauderdale, Florida.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/V/VNV_Nation", "url": "http://www.ink19.com/issues/november2000/liveInk/vnvNation.html"} +{"d:Title": "Sordid: VNV Nation: Being a Little Bit Productive", "d:Description": "Interview with Ronan Harris by Girl.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/V/VNV_Nation", "url": "http://www.sortedmagazine.com/Sordid.php3?nID=261"} +{"d:Title": "VNV Nation", "d:Description": "Official site, with biography, album information, tour details, photos and MP3 samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/V/VNV_Nation", "url": "http://www.anachronsounds.de/"} +{"d:Title": "All Music Guide: VNV Nation", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/V/VNV_Nation", "url": "http://www.allmusic.com/artist/vnv-nation-mn0000308447"} +{"d:Title": "Y-luk-O", "d:Description": "Experimental electronic emotion industrial/EBM music.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Bands_and_Artists/Y", "url": "http://www.yluko.com/"} +{"d:Title": "Side-Line", "d:Description": "Covering industrial, gothic, darkwave, and related electronic music. Features reviews, interviews, news, forum and a weekly newsletter.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Magazines_and_E-zines", "url": "http://www.side-line.com/"} +{"d:Title": "Reflections of Darkness", "d:Description": "Offers news, concert reviews, interviews, and an events calendar.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Magazines_and_E-zines", "url": "http://www.reflectionsofdarkness.com/"} +{"d:Title": "Sorted Magazine", "d:Description": "Includes interviews, reviews, specialist sections and the Irish music industry guide.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Magazines_and_E-zines", "url": "http://www.sortedmagazine.com/"} +{"d:Title": "Sonic-Boom Magazine", "d:Description": "Portland based music resources including Doppler Effect Records and the now defunct Sonic-Boom Magazine.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Magazines_and_E-zines", "url": "http://www.sonic-boom.com/"} +{"d:Title": "Alien ezine", "d:Description": "Provides news, reviews, interviews, band profiles and upcoming events.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Magazines_and_E-zines", "url": "http://www.aliens.sk/"} +{"d:Title": "Aphetica", "d:Description": "Industrial artwork, dark poetry, and photography.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Personal_Pages", "url": "http://www.angelfire.com/ny5/westbound/Aphetica/Aphetica.html"} +{"d:Title": "God's Wil", "d:Description": "Gothic, electro, industrial, noise, dark ambient and EBM show on the independent Radio 100 from Amsterdam, The Netherlands. Also features a live webcast.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Radio", "url": "http://www.godswil.com/"} +{"d:Title": "Black Channel", "d:Description": "Radio show also broadcast through RealAudio presenting the world of gothic, industrial, dark techno, electro, ritual, experimental, ambient, and avant garde.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Radio", "url": "http://www.blackchannel.de/"} +{"d:Title": "Audio Assault", "d:Description": "A weekly broadcast of industrial and post-industrial music from 90.5 WUSC in South Carolina. Online listening available through RealPlayer.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Radio", "url": "http://www.angelfire.com/electronic/audioassault/"} +{"d:Title": "Ripe With Decay", "d:Description": "A weekly radio program featured every Wednesday on 93.5 CHMR-FM in Newfoundland, showcasing all varieties of industrial music. Online stream available through RealPlayer.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Radio", "url": "http://ripewithdecay2000.tripod.com/"} +{"d:Title": "EBM Radio", "d:Description": "Free streaming EBM, electro-industrial, synthpop, dark, electronic, and futurepop.", "topic": "Top/Arts/Music/Styles/R/Rock/Industrial/Radio", "url": "http://ebm-radio.com/"} +{"d:Title": "JamBase.Com - The Jam Database", "d:Description": "Jam bands tour dates, links, contact information, and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Jam", "url": "http://www.jambase.com/"} +{"d:Title": "Kindweb.com", "d:Description": "Music resources, and band links.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam", "url": "http://www.kindweb.com/"} +{"d:Title": "JamBands.Com", "d:Description": "Resource for fans of jam bands, by fans. New issues are published on the 15th of every month.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Jam", "url": "http://www.jambands.com/"} +{"d:Title": "Setlist.com For all your setlist needs!", "d:Description": "A large database of concert setlists of many bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam", "url": "http://setlist.com/"} +{"d:Title": "Relix Magazine", "d:Description": "Music for the Mind", "topic": "Top/Arts/Music/Styles/R/Rock/Jam", "url": "http://www.relix.com/"} +{"d:Title": "Home Grown Music Network", "d:Description": "A network of people, independent jam bands, venues, and businesses working together to make the scene stronger.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam", "url": "http://homegrownmusic.net/"} +{"d:Title": "TheJamZone", "d:Description": "Resource for new bands, music and band photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam", "url": "http://thejamzone.com/"} +{"d:Title": "Agents of KAOS", "d:Description": "The rock and Roll spectacle of the AOK infiltrates the internet, featuring music interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/A", "url": "http://angelfire.com/on2/agentsofkaos"} +{"d:Title": "Club d'Elf", "d:Description": "Official site with news, show and band information, press, links, gallery, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/C", "url": "http://www.clubdelf.com/"} +{"d:Title": "the Captain T band", "d:Description": "Heavy grunge mixed with funk and psychedelic sounds. \"Visions of Pink Floyd and Rush with the edge of Zeppelin.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/C", "url": "http://www.captaint.com/"} +{"d:Title": "Prawn Song Records", "d:Description": "Official site of Les Claypool's label Prawn Song Records.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/C/Claypool,_Les", "url": "http://www.prawnsong.com/"} +{"d:Title": "Cousin Fungus", "d:Description": "A jam band from New York. With member profiles, album information, messageboard, MP3s, press, and performance schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/C/Cousin_Fungus", "url": "http://www.cousinfungus.com/"} +{"d:Title": "David Grisman", "d:Description": "Online home of mandolinist David \"Dawg\" Grisman and his independent record label, Acoustic Disc.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/D", "url": "http://www.dawgnet.com/"} +{"d:Title": "David Nelson Band", "d:Description": "Current project of the New Riders of the Purple Sage founder. Show dates, set lists, photo gallery, and tapers forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/D", "url": "http://www.nelsonband.com/"} +{"d:Title": "DJ Logic", "d:Description": "Official site with news, tour dates, information, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/D", "url": "http://www.djlogic.com/"} +{"d:Title": "Derek Trucks Band Setlists", "d:Description": "Setlists and links to news and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/D/Derek_Trucks_Band", "url": "http://dtbsetlists.com/"} +{"d:Title": "The Disco Biscuits", "d:Description": "Official Disco Biscuit site for Philadelphia-based electronica-jam fusion sounds. Tour dates, a message board, and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/D/Disco_Biscuits", "url": "http://www.discobiscuits.com/"} +{"d:Title": "Disco Biscuits", "d:Description": "A fan based site with set lists, lyrics, tour dates, tape trading information, as well as other information relating to this Philadelphia-based jamband.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/D/Disco_Biscuits", "url": "http://www.discobiscuits.net/"} +{"d:Title": "Fantasy Bisco", "d:Description": "Setlist prediction game includes tour dates, news, links, and message boards.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/D/Disco_Biscuits", "url": "http://www.phantasytour.com/bisco"} +{"d:Title": "Guy Malone", "d:Description": "Jam band blending rock, jazz, reggae, latin music. Site offers tour dates, mp3 downloads, and band info.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/G", "url": "http://www.guymalone.net/"} +{"d:Title": "Galactic", "d:Description": "Official site with news, gigs, biographies, setlists, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/G/Galactic", "url": "http://www.galacticfunk.com/"} +{"d:Title": "Hunter, Charlie", "d:Description": "Official site, has news, tour dates, biography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/H", "url": "http://www.charliehunter.com/"} +{"d:Title": "Jacob Fred Jazz Odyssey", "d:Description": "Tour dates, photos, musical samples, discography, and merchandise for this Tulsa jazzy freestyle jam band.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/J", "url": "http://www.jfjo.com/"} +{"d:Title": "Jah Spirit", "d:Description": "Boston-based reggae / rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/J", "url": "http://www.jahspirit.com/"} +{"d:Title": "Juggling Suns", "d:Description": "Features members of Solar Circus and Splintered Sunlight. Includes show dates, sound files, and biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/J", "url": "http://www.jugglingsuns.com/"} +{"d:Title": "Jalapeno Brothers", "d:Description": "Official site for Burlington, VT, recording artists the Jalapeno Brothers.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/J", "url": "http://jalapenobros.tripod.com/"} +{"d:Title": "Joe's Pet Project", "d:Description": "A high energy jam-rock band focusing on a new style of experimental brainstorming writing sessions. Show schedule and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/J", "url": "http://www.joespetproject.com/"} +{"d:Title": "Moses Guest", "d:Description": "Houston-based 4-piece. Site features tour dates, discography, lyrics and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/M", "url": "http://www.mosesguest.com/"} +{"d:Title": "Matthew Moon and the Matthew Moon Band", "d:Description": "The official website of Matthew Moon, an energetic, entertaining band from Denver, CO. Matt's been thrilling rock audiences in Denver and the West for years with his original sound and thoughtful lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/M", "url": "http://www.matthewmoon.com/"} +{"d:Title": "Marmoset Tom", "d:Description": "An Altoona-based blues/jazz/rock band playing extended jams. With live dates, pictures, news, background, song samples, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/M", "url": "http://marmosettom.tripod.com/"} +{"d:Title": "Marqi", "d:Description": "The official band webpage. Find information on Marqi concerts and other events, download Marqi's music, or read about the band's background and members.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/M", "url": "http://musicofmarqi.tripod.com/"} +{"d:Title": "Mike MacAllister", "d:Description": "The web page of guitarist and composer. Includes biography and schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/M", "url": "http://www.michaelmacallister.com/"} +{"d:Title": "Stanton Moore", "d:Description": "Official page for Stanton Moore, drummer for jazz and funk band Galactic, with news and information on solo projects and tours, and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/M/Moore,_Stanton", "url": "http://fogworld.com/stanton/"} +{"d:Title": "Stanton Moore - Blue Thumb Records", "d:Description": "The official site for the drummer with tour dates, soundclips, and label discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/M/Moore,_Stanton", "url": "http://www.vervemusicgroup.com/stantonmoore"} +{"d:Title": "Ozomatli Rocks the House!", "d:Description": "Fan website with news, band and tour information, music, pictures, reviews, lyrics, chat, message board, store, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/O/Ozomatli", "url": "http://ozomatli.funkpickle.com/archive/"} +{"d:Title": "Ozomatli", "d:Description": "Official site with band information, news, activism, tour dates, media, fan section, downloads, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/O/Ozomatli", "url": "http://www.ozomatli.com/"} +{"d:Title": "The Peach Truck Republic", "d:Description": "Homepage of the progressive-folk-blues band with biography, RealAudio samples and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/P", "url": "http://www.peachtruck.com/"} +{"d:Title": "Pailface Boogie", "d:Description": "Psychedelic Groove, come feel the Golden Child Vibe! links, images free music, pro hemp culture.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/P", "url": "http://www.angelfire.com/indie/goldnchild50/"} +{"d:Title": "ParticleFlux", "d:Description": "The Particle Yahoo group. Membership, chat, messaging, and photographs.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/P/Particle", "url": "http://groups.yahoo.com/group/ParticleFlux/"} +{"d:Title": "Red Giant", "d:Description": "Features biography, tour information, news and MP3s for this Cleveland, Ohio band.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/R", "url": "http://www.angelfire.com/id/redgiant/"} +{"d:Title": "Robert Randolph and The Family Band", "d:Description": "Official site with news, gigs, biography, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/R/Randolph,_Robert", "url": "http://www.robertrandolph.net/"} +{"d:Title": "Strangefolk", "d:Description": "Vermont-based acoustic-guitar driven 5-piece. Site has tour dates,show photos, sound clips, taping policy, and CD and memorabilia sales.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/S", "url": "http://www.strangefolk.com/"} +{"d:Title": "Stirred Up", "d:Description": "A local Jam band from Rome, GA", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/S", "url": "http://www.angelfire.com/jazz/stirredup/index.html"} +{"d:Title": "Sound Tribe Sector 9", "d:Description": "Santa Cruz-based, 5-piece electronic jam band fusing live instruments with \"electronica\".", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/S/Sound_Tribe_Sector_9", "url": "http://www.sts9.com/"} +{"d:Title": "STS9 Fan Site", "d:Description": "Band info, setlists, tape information...", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/S/Sound_Tribe_Sector_9", "url": "http://www.angelfire.com/indie/sts9/"} +{"d:Title": "Tidal Flood review on aural innovations.com", "d:Description": "Review of Tidal Flood's \"7Tide Demo,\" a self-released multimedia CD.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/T/Tidal_Flood", "url": "http://www.aural-innovations.com/issues/issue17/tideflod.html"} +{"d:Title": "Unicron", "d:Description": "Jam band from South Jersey. Includes news and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/U", "url": "http://members.tripod.com/bastardtoadflax/unicron/index.html"} +{"d:Title": "Urth", "d:Description": "California's delta funk/jazz/groove band. Includes a biography, audio files and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/U", "url": "http://www.urth.ws/"} +{"d:Title": "Umphrey's McGee", "d:Description": "Contains biographies, reviews, photos, and set-lists.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/U/Umphrey's_McGee", "url": "http://www.umphreys.com/"} +{"d:Title": "Williams, Keller", "d:Description": "The official site with tour dates, news, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/W", "url": "http://www.kellerwilliams.net/"} +{"d:Title": "Woodshed", "d:Description": "Electric blues jam band; news, information, discography, pictures, videos.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/W", "url": "http://www.woodshed.net/"} +{"d:Title": "Will Bernard&Otherbug NYC Show Info", "d:Description": "Official show site for Will Bernard projects in the NYC area. Including complete lineup, ticket and venue information.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/W", "url": "http://showinfo.freewebspace.com/index.html"} +{"d:Title": "Xtra Ticket", "d:Description": "Tempe-based 6-piece with a diverse sound. Tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/X", "url": "http://www.xtraticket.com/"} +{"d:Title": "Yonder Mountain String Band", "d:Description": "Official site with band information, tour dates, news, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/Y/Yonder_Mountain_String_Band", "url": "http://www.yondermountain.com/"} +{"d:Title": "Zen Tricksters", "d:Description": "Includes history, pictures, schedule, sound files, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Bands_and_Artists/Z", "url": "http://www.zentricksters.com/"} +{"d:Title": "MerleFest", "d:Description": "Committed to producing a high quality diversified American roots based musical experience, Wilkesboro, NC.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.merlefest.org/"} +{"d:Title": "Planet Bluegrass Home", "d:Description": "Home page for the Telluride Bluegrass Festival, Rocky Mountain Bluegrass Festival (RockyGrass), Rocky Mountain Folks Festival, and Song School (a songwriters' summit), all in Colorado.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.bluegrass.com/"} +{"d:Title": "New Orleans JazzFest", "d:Description": "The New Orleans Jazz and Heritage Festival, a.k.a Jazz Fest, is a 10-day cultural feast. The music encompasses every style associated with the city and the state: blues, rhythm and blues, gospel, Cajun, zydeco, Afro-Caribbean, folk, Latin, rock, rap, country, bluegrass and everything in between. And of course there is lots of jazz, both contemporary and traditional. April 26 - May 5, 2002.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.nojazzfest.com/"} +{"d:Title": "Jerry Garcia Birthday Festival", "d:Description": "This is an annual festival in Austin, Aug 3-5, celebrating the life and music of Jerry Garcia. Festival features bands, crafts, camping, swimming, and food.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.jerrygarciabdayfestival.moonfruit.com/"} +{"d:Title": "Hookahville", "d:Description": "Bi-annual festival; general information, dates, ticket details, and directions.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.hookahville.com/"} +{"d:Title": "Gathering Of The Vibes", "d:Description": "Information on bands, camping, crafts, fun, news, tapers, communitiea and vendors. Held July 4-7 about 20 miles northwest of Albany, NY.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.gatheringofthevibes.com/"} +{"d:Title": "Bonnaroo Music Festival", "d:Description": "Four-day, multi-stage camping festival held on a 700-acre farm in Manchester, Tennessee.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.bonnaroo.com/"} +{"d:Title": "High Sierra Music Festival", "d:Description": "Summer music festival in the Sierra, other shows in various northern California locations. Music ranges from blues to bluegrass, rock to folk.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Festivals_and_Events", "url": "http://www.highsierramusic.com/"} +{"d:Title": "Kindweb.com", "d:Description": "Music resources, band, and scene links.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.kindweb.com/"} +{"d:Title": "Walfredo.com", "d:Description": "Fan site with music and scene news, photographs, links, and show dates in the Bay area.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.walfredo.com/"} +{"d:Title": "Phantasytour.com", "d:Description": "The setlist game site- Disco Biscuits, moe., Phish, String Cheese Incident, Widespread Panic", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.phantasytour.com/"} +{"d:Title": "Written By the Great and Knowledgable Nicholas", "d:Description": "A place to come check out some great photos of some of the best jam bands around.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.angelfire.com/va/legato/"} +{"d:Title": "Venice Beach Drum Circle", "d:Description": "Web page for the drumcircles and Venice Beach and local information.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.venicebeachdrumcircle.com/"} +{"d:Title": "Andy Gadiel's Phish Page", "d:Description": "Includes news, tour information, message board, chat, rumors and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.gadiel.com/phish/"} +{"d:Title": "phans.com", "d:Description": "Set lists, lyrics, pictures, tabs, chords, reviews, news, message board, chat, tour dates, rumors, tape lists, jcards, tape covers, and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.phans.com/"} +{"d:Title": "The Phunky Bitches", "d:Description": "The Phish Women's group (though men are welcome). Everything about Phish plus what women need to be safe on tour.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://phunky.com/"} +{"d:Title": "Mind Space", "d:Description": "Virtual community formed to discuss a sustainable future, reality selection, surrounding philosophies, cyber culture, literature, alternative lifestyles and viewpoints, freedom of information, music, and psychedelics", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/Heads_and_Scene_Sites", "url": "http://www.mindspace.org/"} +{"d:Title": "nugs.net", "d:Description": "Streaming audio and concert photos of Grateful Dead, Phish, and other jambands.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/MP3", "url": "http://nugs.net/"} +{"d:Title": "Sugarmegs.org", "d:Description": "Audio streams of the Grateful Dead, Phish and other jam bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/MP3", "url": "http://www.sugarmegs.org/"} +{"d:Title": "darkfunk.com", "d:Description": "Audio streams of live Miles Davis, Medeski Martin and Wood, John Scofield, and Karl Denson's Tiny Universe.", "topic": "Top/Arts/Music/Styles/R/Rock/Jam/MP3", "url": "http://www.darkfunk.com/"} +{"d:Title": "MusicWebExpress3000", "d:Description": "CD reviews, interviews, and stories featuring new and classic rock, pop, progressive and instrumental music.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://mwe3.com/"} +{"d:Title": "RockaZine.com", "d:Description": "RealAudio rock music website featuring exclusive interviews, photographs, and sound samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://rockazine.com/"} +{"d:Title": "Drive Magazine", "d:Description": "Online zine dedicated to rock culture. Features The Rock and Horror Encyclopedia, a guide to help one discover the dark side of rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.drivemagazine.net/"} +{"d:Title": "Hoochy", "d:Description": "Glam/punk/pop/indie/rock e-zine with demo and gig reviews, news, interviews, and band photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.angelfire.com/music3/hoochy/"} +{"d:Title": "Richie Unterberger's Books and Reviews", "d:Description": "Excerpts from this author's books on cult rock artists from all eras. Includes musician interviews, album and book reviews, and links to cult and folk-rock artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.richieunterberger.com/"} +{"d:Title": "A Different Kind of Greatness", "d:Description": "Featuring alternative, indie, punk music reviews, interviews, and features.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.adkg.com/"} +{"d:Title": "usounds", "d:Description": "International underground music e-zine published by a worldwide journalists collective. With interviews, reviews, and music downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.usounds.com/"} +{"d:Title": "DJ Martian's Page", "d:Description": "Diverse music weblog covering jungle, industrial, dark metal, gothic, electro-rock, techno, epic rock, post rock, experimental hardcore, ambient, tech house and progressive trance.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://djmartian.blogspot.com/"} +{"d:Title": "Rocknet Music Magazine", "d:Description": "Live show and album reviews, interviews, articles, news and biographies!", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.rocknet.nl/"} +{"d:Title": "Freq E-Zine", "d:Description": "A e-zine covering electronic, experimental, rock, post-rock, noise, reggae, psychedelic, underground and sometimes overground music. Record and gig reviews, interviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.freq.org.uk/"} +{"d:Title": "Fast 'n' Bulbous Music Webzine", "d:Description": "Online zine featuring top picks by year, album reviews and rants, a history of punk, a Funkadelic feature, and show poster gallery.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.fastnbulbous.com/"} +{"d:Title": "Black Velvet", "d:Description": "Paper and online zine devoted to glam, rock, alternative and pop punk music. With news, interviews, pictures, band details, reviews, and messageboard.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.blackvelvetmagazine.com/"} +{"d:Title": "MusicMaker Online", "d:Description": "Entertainment news, reviews, interviews, top lists and \"Babe of the Month\". Updated daily.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://musicmaker17.tripod.com/musicmaker/"} +{"d:Title": "Guitar Chef", "d:Description": "The American version of the Italian zine by the same name. With news, reviews, release information, lessons, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://guitar_chef.tripod.com/"} +{"d:Title": "Strutter", "d:Description": "A print magazine from Holland covering melodic and related rock styles. With news, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.angelfire.com/ma/strutteraor/"} +{"d:Title": "Your Radio Station - The Magazine", "d:Description": "A fledgling e-zine with a picture gallery, a few brief reviews, and music-related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://radiojockey0.tripod.com/WYMS.html"} +{"d:Title": "Soundgear", "d:Description": "Music reviews covering many styles of music, but focused mostly on new rock releases. Also with interviews, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://soundgear_1.tripod.com/soundgearcom/index.html"} +{"d:Title": "The Rock Hole", "d:Description": "Articles, features, and reviews of classic rock, hard rock, and hair metal bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://rockhole.bravepages.com/"} +{"d:Title": "Rockin' 50s Magazine", "d:Description": "Covering the entire spectrum of the Rock'n Roll era of the 50s and early 60s with stories, interviews, pictures, and news. Published 6 times per year.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.rockin50s.com/"} +{"d:Title": "Re-gen Magazine", "d:Description": "Covers international electronic music and culture and advocates social change through art. Features band interviews, music news, and album reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Magazines_and_E-zines", "url": "http://www.regenmag.com/"} +{"d:Title": "New Wave Outpost", "d:Description": "A tribute to the 1980s new wave era.", "topic": "Top/Arts/Music/Styles/R/Rock/New_Wave", "url": "http://www.nwoutpost.com/"} +{"d:Title": "The New Wave Webring", "d:Description": "A webring for sites devoted to new wave and new romantic music of the early eighties.", "topic": "Top/Arts/Music/Styles/R/Rock/New_Wave", "url": "http://www.webring.org/hub?ring=newavering"} +{"d:Title": "The Judy's", "d:Description": "News, photos, interview and songs in real video format, history, release information, bootlegs, rare recordings, discussion, and a FAQ.", "topic": "Top/Arts/Music/Styles/R/Rock/New_Wave/Bands_and_Artists/Judy's,_The", "url": "http://www.thejudys.com/"} +{"d:Title": "Infest Your Mind", "d:Description": "Covering rock, metal and alternative news, album reviews and latest rumors along with select lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.members.tripod.com/jeff1324021/"} +{"d:Title": "LeMec Music Net", "d:Description": "One person's list of greatest albums and artists of the last three decades, plus artist reviews, polls, shopping links, news, and general links.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.lemec.net/"} +{"d:Title": "VoodooLord7's Webpage", "d:Description": "Rock music information, lyrics, tabs, news, links, and Pink Floyd and Aerosmith pages.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.angelfire.com/ok2/metalchat/index1.html"} +{"d:Title": "Radio Free Red Bluff", "d:Description": "A music resource covering mostly indie music for the Red Bluff, California area. Includes Listening Series tape information and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://radiofreeredbluff.tripod.com/"} +{"d:Title": "Boys in Makeup", "d:Description": "Fan site for bands Deadsy and Orgy. With member profiles, pictures, discographies, show dates, and wallpaper artwork.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.angelfire.com/goth/boysinmakeup/"} +{"d:Title": "Outdoorrocker Essential Albums", "d:Description": "Personal list of blues, rock, jazz, and country rock music collection.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://members.tripod.com/~outdoorrocker/index-2.html"} +{"d:Title": "The Best Bands", "d:Description": "Devoted to Korn, Rage Against The Machine, Nine Inch Nails, Slipknot, System Of A Down, Beastie Boys, and Godsmack. Includes band histories, audio, video, images, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.angelfire.com/wy/rockrap/"} +{"d:Title": "Steve Starz Kool Shit", "d:Description": "Personal site of a music fan, with stories, information on Aussie rock bands, travels to Japan, tattoos, reviews, and cars.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://stevestarz.tripod.com/"} +{"d:Title": "Pooter's Psychedelic Shack", "d:Description": "Varied site dedicated to psychedelia, acid rock, progressive rock, garage bands, 60s punk, freakbeat, rarities, books, posters and lightshows.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.pooterland.com/"} +{"d:Title": "451 Rock", "d:Description": "A rock fan site where you could find the latest bands, guitar licks, and other great rock information.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.angelfire.com/music/451/"} +{"d:Title": "Roofdog", "d:Description": "Music reviews, interviews, and a singles bar.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.angelfire.com/ab/roofdog/"} +{"d:Title": "All Things Classic Rock", "d:Description": "Links to the official websites of band and music news.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.angelfire.com/in2/gregcrouse/"} +{"d:Title": "Top 100 Albums", "d:Description": "One person's list of the 100 greatest albums and 200 greatest songs of all-time, plus lists sent by visitors.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.angelfire.com/ma3/rmcma/"} +{"d:Title": "Vamp Chastity's Site", "d:Description": "Lyrics, pictures, discography, fonts for some bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://members.tripod.com/Vamp_Chastity/ENTER.htm"} +{"d:Title": "NewMuzik", "d:Description": "Guide to relatively unheard bands by Mandi, a pop/rock fan from Canada. Artist information, photos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://mandi_timmons.tripod.com/newmuzik/"} +{"d:Title": "Detroit Rock and Roll", "d:Description": "Links to area rock and roll bands, websites, recordings, and related information.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.leisureclass.net/detroitrock/"} +{"d:Title": "DooWop Denny", "d:Description": "Florida-based entertainer who plays guitar and sings vocals. Performs a hit-parade of 50's/60's rockin' oldies, island tunes, ballads and country classics.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://www.doowopdenny.com/"} +{"d:Title": "Neufeld, Greg", "d:Description": "Includes videos, news articles, show listings, downloads, and blog entries.", "topic": "Top/Arts/Music/Styles/R/Rock/Personal_Pages", "url": "http://gregneufeld.blogspot.com/"} +{"d:Title": "Tales of Wonder", "d:Description": "Includes news articles, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.talesofwonder.it/"} +{"d:Title": "DURP.com", "d:Description": "Includes reviews, interviews, news, forums, and CDs for purchase.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.durp.com/"} +{"d:Title": "Gibraltar Encyclopedia of Progressive Rock", "d:Description": "Information about progressive rock bands, reviews, and a webzine.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.gepr.net/"} +{"d:Title": "ProgressoR", "d:Description": "Uzbekistan progressive rock pages deal with three genres: symphonic rock, progressive metal, and jazz fusion. Contains news, interviews, photo galleries, articles, reviews, and band lists.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.progressor.net/"} +{"d:Title": "Italian Prog", "d:Description": "Historical notes and discographies of Italian progressive rock artists and labels of the 1970's.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.italianprog.com/"} +{"d:Title": "Ytsejam", "d:Description": "Progressive rock chat, news, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://ytsejam.com/"} +{"d:Title": "ProGGnosis", "d:Description": "Progressive rock and fusion reviews, database, forum, interviews, essays, concert reports, and links. [Javascript required]", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.proggnosis.com/"} +{"d:Title": "New England Art Rock Society (NewEARS)", "d:Description": "Dedicated to promoting progressive rock in the New England area; events, links, news and e-mail group.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.newears.org/"} +{"d:Title": "Ectagon Progressive Rock Links", "d:Description": "Annotated links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.ectagon.com/"} +{"d:Title": "e-Prog - Keys to Progressive Rock", "d:Description": "Dedicated to progressive rock with the emphasis on keyboards, portal for mailing list, reviews, links, books and magazines with worldwide coverage.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.e-prog.net/"} +{"d:Title": "Dutch Progressive Rock Page", "d:Description": "European site includes a news page, concert and CD reviews, upcoming tour information, sound clips, and details on new releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.dprp.net/"} +{"d:Title": "Prog Archives", "d:Description": "Extensive progressive rock resource with discographies and reviews (over 2000 bands, 9600 titles), descriptions of different subgenres, mp3 streams, forums, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.progarchives.com/"} +{"d:Title": "OzProg", "d:Description": "Aims to be the headquarters for Australian prog fans. News, interviews, reviews, articles, gigs, classifieds, store, and forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.ozprog.com/"} +{"d:Title": "The Spanish Progressive Rock Page", "d:Description": "Includes concert and album reviews and news on Spanish progressive artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.dlsi.ua.es/~inesta/Prog/"} +{"d:Title": "Bay Prog", "d:Description": "Resources for progressive rock musicians and fans in the San Francisco Bay area. Site contains events, band listings and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.till.com/BayProg/"} +{"d:Title": "The Progressive Rock Ring", "d:Description": "Webring of prog-related sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive", "url": "http://www.webring.org/hub/progrock"} +{"d:Title": "Agitation Free", "d:Description": "Official site for the progressive band from Berlin, Germany, biography, news, gigs and soundclips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.agitation-free.de/"} +{"d:Title": "Alias Eye", "d:Description": "German progressive band, news, releases, gigs, pictures and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.aliaseye.com/"} +{"d:Title": "Atomic Opera", "d:Description": "Progressive band from Texas, USA. News, shows, biography, merchandise, message board, fan club and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.atomicopera.com/"} +{"d:Title": "Ambrosia", "d:Description": "Official site for this US progressive band, with news, tour schedule, video and audio files, biography, discography, reviews, and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://ambrosiaweb.com/"} +{"d:Title": "Aether", "d:Description": "Brazilian band, history, photos and discography. Available in English and Portuguese.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.rockprogressivo.com.br/aether"} +{"d:Title": "Advent", "d:Description": "US progressive rock band, releases, lyrics, biographies, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.angelfire.com/nj/adventmusic/advent.html"} +{"d:Title": "Aurora Lunare", "d:Description": "Official site of the Italian band from the seventies in English and Italian. Gig listings, band history, reviews and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://digilander.libero.it/luctonal/AURORA_LUNARE/"} +{"d:Title": "The Aurora Project", "d:Description": "Atmospheric symfo with a bit of goth and metal.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.theauroraproject.com/"} +{"d:Title": "Anglagard", "d:Description": "Fan site for progressive rock band from Sweden. News, tour dates, sounds, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.anglagard.net/"} +{"d:Title": "Alaska", "d:Description": "Official site of progressive rock duo from Pennsylvania (USA), with biographies, reviews, and sounds clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A", "url": "http://www.alaskasongs.com/"} +{"d:Title": "Flying Cat Record's US site", "d:Description": "Steve Adams audio samples, equipment details, news and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Adams,_Steve", "url": "http://www.steveadams.net/"} +{"d:Title": "Akkernet: The Jan Akkerman Website", "d:Description": "Site for the former Focus guitarist including an editorial, headlines, an archive, photos, a discography, sound samples, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Akkerman,_Jan", "url": "http://www.janakkerman.com/"} +{"d:Title": "Jon Anderson Online", "d:Description": "The official site including a mailing list and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Anderson,_Jon", "url": "http://jonanderson.com/"} +{"d:Title": "Rockmagic.net: Jon Anderson", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Anderson,_Jon", "url": "http://lyrics.rockmagic.net/lyrics/anderson_jon/"} +{"d:Title": "The Official Anekdoten Homepage", "d:Description": "Comprehensive site including news, information, discography, trivia, sound clips, chat room and reviews.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Anekdoten", "url": "http://www.anekdoten.se/"} +{"d:Title": "Bruno's Tribute to Anekdoten", "d:Description": "Fan site including some gig reviews, background and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Anekdoten", "url": "http://mrbruno.org/Anekdoten/"} +{"d:Title": "Ayreon", "d:Description": "Official site of Arjen Lucassen's project featuring a discography, lyrics, sound samples, and information on joining the fan club and mailing list. Also has information on other projects Ambeon and Star One.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Ayreon", "url": "http://www.ayreon.com/"} +{"d:Title": "Ayreon", "d:Description": "The Yahoo group for discussion of Ayreon and Arjen Anthony Lucassen's other projects.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/A/Ayreon", "url": "http://groups.yahoo.com/group/ayreon/"} +{"d:Title": "BMS", "d:Description": "Banco Del Mutuo Soccorso, Italian progressive rock band formed in 1971, news, history, shows, pictures, discography, biographies, lyrics and multimedia. Site is in English and Italian.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.bancodelmutuosoccorso.it/"} +{"d:Title": "Bergamini, Joe", "d:Description": "Homepage of international drum artist and educator, featuring music, online ordering, educational material, news, photos, and updates.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.joebergamini.com/"} +{"d:Title": "Blue Flux", "d:Description": "Progressive, atmospehric band, CDs, mp3 files, realaudio clips, studio and recording tips, screensavers, picture library, WAP enabled.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.blueflux.com/"} +{"d:Title": "Blake, Tim", "d:Description": "Official site for the synthesizer player, news, releases, biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://moonweed.free.fr/"} +{"d:Title": "Berry, Robert", "d:Description": "Official site for the musician from the San Francisco Bay area including news, tour dates, discography, pictures and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.robertberry.com/"} +{"d:Title": "Brannon, Rick", "d:Description": "A site about the man known as GuitarFreak, Rick Brannon, and his music.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.guitarfreak.com/"} +{"d:Title": "Bigelf", "d:Description": "US prog rockers, news, tour dates and albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.bigelf.com/"} +{"d:Title": "Barclay James Harvest", "d:Description": "Official site for the British folk / progressive rock band, news, biographies, worldwide discographies, concert listings, pictures and sound clips. Site available in English, French and German.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.bjharvest.co.uk/"} +{"d:Title": "Bezar, Emily", "d:Description": "Singer, keyboardist and songrwiter from San Francisco, California. News, biography, MP3s, RealAudio, concerts and a mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.emilybezar.com/"} +{"d:Title": "Birth Control", "d:Description": "German Krautrock Band Includes tour dates, history, discography, press, merchandise, links and fan-club.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.birth-control.de/"} +{"d:Title": "Bakula, James Michael", "d:Description": "Home of the progressive/instrumental/new age metal guitarist/composer.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.angelfire.com/band2/jmbakula/"} +{"d:Title": "Bigger Than A Breadbox", "d:Description": "A trio from the US, news, gigs, biography, music downloads and a general music forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.biggerthanabreadbox.com/"} +{"d:Title": "Big Big Train", "d:Description": "Five piece band from Bournemouth, England, news, biography, discography and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.bigbigtrain.com/"} +{"d:Title": "Birdsongs of the Mesozoic", "d:Description": "Official site for this US band, with news, history, articles, bio, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.birdsongsofthemesozoic.org/"} +{"d:Title": "Brainwashaudio", "d:Description": "California based electronic rock band with music samples, bio, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.brainwashaudio.com/"} +{"d:Title": "Brainstatik", "d:Description": "A New Jersey based instrumental improvisational band, combining elements of ambient, world, progressive rock, and space music.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://www.brainstatik.com/"} +{"d:Title": "Ballif, Christian", "d:Description": "Information and music samples for this artist from Switzerland. In French and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B", "url": "http://chrisballif.tripod.com/"} +{"d:Title": "One for the Web", "d:Description": "E-mail group to discuss the music of the Genesis keyboardist.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/B/Banks,_Tony", "url": "http://groups.yahoo.com/group/onefortheweb/"} +{"d:Title": "Calliope", "d:Description": "History, MP3 music files, and information about this band from Turin, Italy.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.calliopeonline.it/"} +{"d:Title": "C\u00fc\u00fcl&the shr\u00e4nks", "d:Description": "Official homepage for this Swiss band, located in Zurich; biographies, discography, and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.cuul.ch/"} +{"d:Title": "Can", "d:Description": "Information about the German progressive band active in the sixties and seventies. Includes current news about the band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.spoonrecords.com/"} +{"d:Title": "Cobweb Strange", "d:Description": "Official site for the US progressive band, news, reviews, biography, merchandise, photos and audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.angelfire.com/ga/cobwebstrange/"} +{"d:Title": "Crack The Sky", "d:Description": "US progressive band, news, releases, biography, bulletin board and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.crackthesky.com/"} +{"d:Title": "Curved Air", "d:Description": "Official site for the British band, news, history, members and sound samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.curvedair.com/"} +{"d:Title": "Chalice", "d:Description": "UK based progressive rock/new world music band, background, member profiles, song descriptions, pictures and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://chalicemusic.tripod.com/"} +{"d:Title": "Cloudscape", "d:Description": "Progressive metal band from Sweden. Includes news, bio, pictures, and mp3 downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://cloudscape.se/"} +{"d:Title": "Campese, Mike", "d:Description": "Official site of the guitarist. Includes a biography, tour dates, MP3 samples and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.mikecampese.com/"} +{"d:Title": "Conscience", "d:Description": "Concert information, news, and audio downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C", "url": "http://www.cyberconscience.com/"} +{"d:Title": "CIS Online - The Caravan Information Service", "d:Description": "Comprehensive site about the UK progressive rock band with official backing from the band. News, gigs, background, discography and a fanzine.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/C/Caravan", "url": "http://www.caravan-info.co.uk/"} +{"d:Title": "Daedalus", "d:Description": "Official site of Italian experimental progressive metal band. Bio, music, lyrics, news, and photos. In English and Italian.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://www.progdaedalus.it/"} +{"d:Title": "D'Virgilio, Nick", "d:Description": "Official website for drummer Nick D'Virgilio. Best known for his work with Spock's Beard, news, bio, interviews, discography and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://ndvmusic.com/"} +{"d:Title": "Dark Aether Project, The", "d:Description": "Baltimore prog band's web site. The page has information about the band, biographies of the performers, sound clips from their premiere CD, along with reviews and touring details.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://www.darkaether.net/"} +{"d:Title": "Djam Karet", "d:Description": "Reflections From The Cyberpool, official site for the instrumental band from California, USA. News, discography, biography, sound clips, merchandise, mailing list and equipment.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://www.djamkaret.com/"} +{"d:Title": "Discipline", "d:Description": "Includes news, touring information, where to pick up their CDs (no ability to buy them direct), sound clips, a few reviews, and a links page.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://www.strungoutrecords.com/"} +{"d:Title": "Dynamic Lights", "d:Description": "Official site of progressive metal band from Italy. Includes biographies, gallery, sound clips, and video clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://www.dynamiclights.net/"} +{"d:Title": "Deimos", "d:Description": "Russian progressive doom metal band formed in 1999. Includes lyrics and mp3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://www.deimos-band.com/"} +{"d:Title": "Daudelin, Sean", "d:Description": "Progressive metal drummer from the Boston area. Biography, drum set configuration, photos, and music.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D", "url": "http://www.seandaudelin.com/"} +{"d:Title": "Dream Theater", "d:Description": "Official site for the band and some of their side projects. Information includes tour dates, discography, album reviews, tablatures, sound clips, photos, links.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://www.dreamtheater.net/"} +{"d:Title": "James LaBrie", "d:Description": "Official website with biography, discography, interviews, sound files and pictures of lead vocalist.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://www.jameslabrie.com/"} +{"d:Title": "Under Argentinian Skies", "d:Description": "Argentina fan site with music samples, tabs and midi, forum, and images.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://argentinianskies.tripod.com/"} +{"d:Title": "Chroma Key", "d:Description": "Solo project by Kevin Moore, former keyboardist and songwriter of Dream Theater. History, discography, reviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://www.chromakey.com/"} +{"d:Title": "Dream Theater Information Network", "d:Description": "Discography, tour dates, information about the Ytsejam mailing list, fan clubs, IRC chat network, and downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://www.dreamt.org/"} +{"d:Title": "Trial of Tears", "d:Description": "Fan site with Dream Theater wallpapers, pictures, and sounds.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://www.trialoftears.net/"} +{"d:Title": "Dream Theater - The Dance Of Eternity", "d:Description": "A French fan site with information about the band and its music. In French and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://sfam.free.fr/"} +{"d:Title": "MTV: Dream Theater", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links and bulletin boards.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/D/Dream_Theater", "url": "http://www.mtv.com/artists/dream-theater/"} +{"d:Title": "Ezekiel's Wheel", "d:Description": "Retro-Progressive Christian Rock. RealAudio, MP3s, t-shirts, and a raytraced virtual club.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/E", "url": "http://www.untiedmusic.com/ezekiel"} +{"d:Title": "Everon", "d:Description": "Official site for the progressive rock band featuring both Flash and HTML versions. News, tour dates, pictures, sound clips and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/E", "url": "http://www.everon.de/"} +{"d:Title": "ESITU", "d:Description": "Information, music samples, lyrics, photos, and tour schedule for this progressive metal band in Seattle, Washington, USA.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/E", "url": "http://www.angelfire.com/band2/esitu/esitu.html"} +{"d:Title": "Edoff, Larry", "d:Description": "Lyrics, sound files, photos, biography, and projects of New York area singer/songwriter, composer, and pianist.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/E", "url": "http://larryedoff.com/"} +{"d:Title": "Enid, The", "d:Description": "Includes band history, news, information on joining The Stand (Enid's fan club), a discography, sound samples, and CD information for the classical prog band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/E", "url": "http://www.theenid.com/"} +{"d:Title": "fIVE pOST fENCE", "d:Description": "Progressive band from Bristow, VA. News, information about the band members and MP3 files of their songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F", "url": "http://fpf.baked.net/"} +{"d:Title": "Forever Twelve", "d:Description": "Band based in Los Angeles, with mp3 samples, lyrics, CD ordering, and reviews of \"Remembrance Branch\".", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F", "url": "http://www.forevertwelve.com/"} +{"d:Title": "Fivelsdal, Eivind", "d:Description": "Norwegian composer influenced by Genesis, Pink Floyd, Peter Gabriel, and Yes. Music samples, discography, information about equipment, forum, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F", "url": "http://www.fivelsdal.no/"} +{"d:Title": "Fates Warning", "d:Description": "Official website, featuring band news, discography, members' profiles, lyrics, merchandise and mailing lists.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F/Fates_Warning", "url": "http://www.fateswarning.com/"} +{"d:Title": "Rockmagic.net: Fates Warning", "d:Description": "Song lyrics, indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F/Fates_Warning", "url": "http://lyrics.rockmagic.net/lyrics/fate_s_warning/"} +{"d:Title": "Sea of Tranquility: Fates Warning", "d:Description": "Review of the FWX album.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F/Fates_Warning", "url": "http://www.seaoftranquility.org/reviews.php?op=showcontent&id=1754"} +{"d:Title": "MTV: Fates Warning", "d:Description": "Complete artist information including news, band bio, message boards and song clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F/Fates_Warning", "url": "http://www.mtv.com/artists/fates-warning/"} +{"d:Title": "Focus The Band", "d:Description": "Official site of the Dutch progressive rock group Focus and its founders Thijs Van Leer and Jan Akkerman. Contains their history, news items, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F/Focus", "url": "http://www.focustheband.com/"} +{"d:Title": "Elephant Talk: The Robert Fripp and King Crimson Web Site", "d:Description": "Site devoted to Robert Fripp and King Crimson, with a lesser focus on the other solo artists who have been with Crimson. It is home to the mailing list of the same name, and includes concert reviews, discographies, interviews with band members, archived posts to the list by Fripp.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F/Fripp,_Robert", "url": "http://www.elephant-talk.com/"} +{"d:Title": "Frippertronics", "d:Description": "An article on the system used by Robert Fripp in his pioneering forays into looping.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/F/Fripp,_Robert", "url": "http://www.annihilist.com/loop/tools/frippertronics/frippertronics.html"} +{"d:Title": "Grey Eye Glances", "d:Description": "US progressive band, news, discography, MP3s, pictures, reviews, answers to fan questions and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://www.greyeyeglances.com/"} +{"d:Title": "Grey Owl", "d:Description": "Italian band formed in 1996, news, discography and history.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://beifaust.tripod.com/greyowl.htm"} +{"d:Title": "Gunn, Trey", "d:Description": "The official site for the touch guitarist.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://www.treygunn.com/"} +{"d:Title": "Glass Hammer", "d:Description": "Homepage of the US prog rockers with a tendency towards Tolkien's Middle Earth. MP3 and RealAudio files.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://www.glasshammer.com/"} +{"d:Title": "Gentle Giant", "d:Description": "Official site for the seventies progressive band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://www.blazemonger.com/GG/"} +{"d:Title": "Gormenghast", "d:Description": "Official site for the band from Germany, lyrics, pictures, MP3 samples, and biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://www.gormenghast.de/"} +{"d:Title": "Gauthier, Daniel", "d:Description": "Symphonic progressive rock artist from Quebec, Canada. News, biography, discography, reviews and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://www.danielgauthier.com/"} +{"d:Title": "The Gak Omek", "d:Description": "The music of Gak and brainstatik - electronic, fusion, instrumental post space-rock, metal, and ambient with a dash of world and jungle.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G", "url": "http://thegakomek.com/"} +{"d:Title": "Genesis - The Movement", "d:Description": "Genesis information, memorabilia, and an archive of album cover artwork.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://www.genesis-movement.co.uk/"} +{"d:Title": "M3W Genesis Site", "d:Description": "The former official Genesis site. Features some exclusive live footage and tracks from the Genesis Archives #2 compilation.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://genesis.m3w.com/"} +{"d:Title": "World of Genesis", "d:Description": "Fan site featuring up-to-date news, tour dates, a discography, and interviews with artists associated with the rock music band Genesis.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://www.worldofgenesis.com/"} +{"d:Title": "Dancing with the Moonlit Knight's Genesis Lyric Server", "d:Description": "Lyrics to all of Genesis' songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://members.tripod.com/~genesisetc/"} +{"d:Title": "Genesis Lyrics at Rockmagic.net", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://lyrics.rockmagic.net/lyrics/genesis/"} +{"d:Title": "Genesis", "d:Description": "The official website for the rock band Genesis. Includes Phil Collins, Mike Rutherford, Tony Banks, Peter Gabriel, and Steve Hackett information.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://www.genesis-music.com/"} +{"d:Title": "The Genesis Jukebox", "d:Description": "Genesis songs in MIDI format, lyrics, album art wallpaper, icons and fonts.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://genesisjukebox.20m.com/"} +{"d:Title": "Genesis Classic Rock Concert Photos by Ken Jackson", "d:Description": "Live concert photos for sale of Genesis, Peter Gabriel, Phil Collins and Steve Hackett.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://www.classicrockconcertphotos.com/genesis.htm"} +{"d:Title": "Vision of Angel", "d:Description": "An illustrated worldwide discography of Genesis from 1967-1975.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://digilander.libero.it/visionofangel/"} +{"d:Title": "Genesis - www.femino.it", "d:Description": "News, trivia quiz, lyrics, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://www.femino.it/en/music/genesis/"} +{"d:Title": "RollingStone.com: Genesis", "d:Description": "Includes band biography, discography, photos, RealAudio clips, a message board, and album guide.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://www.rollingstone.com/music/artists/genesis"} +{"d:Title": "MTV: Genesis", "d:Description": "Genesis album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis", "url": "http://www.mtv.com/artists/genesis/"} +{"d:Title": "Ray Wilson", "d:Description": "The official Ray Wilson site features news, tour dates and information on his current projects and his career with Guaranteed Pure, Stiltskin, Genesis, and Cut.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Ray_Wilson", "url": "http://www.raywilson.co.uk/"} +{"d:Title": "Revelation", "d:Description": "An Italian Genesis cover band specializing in Genesis' early period.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://www.revelation.it/"} +{"d:Title": "Anyway - a Genesis Experience", "d:Description": "Official site of the Italian Genesis Tribute Band, based in Torin. Band, repertoire, events, pictures and sound, next gigs and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://www.anyway-genesis.com/"} +{"d:Title": "Cinema Show", "d:Description": "(Formerly The Supernatural Anaesthetists) California based Genesis tribute band; biography, pictures, song list and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://www.angelfire.com/id/rael/"} +{"d:Title": "Rael Lives", "d:Description": "Home page of Shaun Guerin, vocalist and drummer in the Los Angeles-based Genesis tribute band Cinema Show.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://shaunguerin.tripod.com/"} +{"d:Title": "Supper's Ready", "d:Description": "European Genesis tribute band. Features gigs, repertoire, reviews and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://www.pns.it/sready"} +{"d:Title": "G2 Definitive Genesis", "d:Description": "UK Genesis tribute band specialising in the \"Seconds Out\" tour of 1978. News, personnel and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://www.g2online.co.uk/"} +{"d:Title": "The Musical Box", "d:Description": "Canadian band that recreates Genesis shows from the 1972-1975 period.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://www.themusicalbox.net/"} +{"d:Title": "Slippermen", "d:Description": "Genesis tribute band from Montreal, Canada. Includes biography, setlist, show dates and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Genesis/Tribute_Bands", "url": "http://www.slippermen.ca/"} +{"d:Title": "Planet Gong", "d:Description": "Official band website includes latest news, band background, gigs, an online shop, forum, chat room and information about GAS and Gliss.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/G/Gong", "url": "http://www.planetgong.co.uk/"} +{"d:Title": "Happy The Man", "d:Description": "Official site including biography, discography, pictures, tour dates and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H", "url": "http://www.happytheman.com/"} +{"d:Title": "Hammill, Peter", "d:Description": "News, pictures, tour dates and RealAudio files.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H", "url": "http://www.angelfire.com/biz/pjah/"} +{"d:Title": "Home of Midnight Specter", "d:Description": "Official homepage of Midnight Specter from Cleveland, biographies, music and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H", "url": "http://midnightspecter.tripod.com/MidnightSpecterHome/index.html"} +{"d:Title": "Hentschel, David", "d:Description": "Includes a biography, discography, links, and information on current projects.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H", "url": "http://www.thekeyboard.co.uk/"} +{"d:Title": "Steve Hackett", "d:Description": "Official web page including a note from Steve, information on his latest projects, discography, biography, reviews, online CD ordering and even e-mail to the former Genesis guitarist.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H/Hackett,_Steve", "url": "http://www.stevehackett.com/"} +{"d:Title": "Tapping Telegram, The", "d:Description": "An unofficial Steve Hackett mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H/Hackett,_Steve", "url": "http://groups.yahoo.com/group/shackett/"} +{"d:Title": "Walking away from rainbows", "d:Description": "Lengthy Steve Hackett interview from 1992.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H/Hackett,_Steve", "url": "http://www.innerviews.org/inner/hackett.html"} +{"d:Title": "Hammer In The Sand", "d:Description": "Steve Hackett bio and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H/Hackett,_Steve", "url": "http://www.geocities.co.jp/Hollywood/1709/"} +{"d:Title": "Steve Hackett", "d:Description": "Biography, discography and videos from a booking agency", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H/Hackett,_Steve", "url": "http://www.novaconcerts.com/stevehackett.html"} +{"d:Title": "Annie Haslam", "d:Description": "Features news section, biography, discography (which includes her Renaissance work), a sounds and images page, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/H/Haslam,_Annie", "url": "http://www.anniehaslam.com/"} +{"d:Title": "Ion Vein", "d:Description": "Chicago progressive rock band. Includes biographies, reviews, photos, sound clips, and CD information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/I", "url": "http://www.ionvein.com/"} +{"d:Title": "Ice Age", "d:Description": "US progressive hard rock band, news, lyrics, images, audio, video and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/I", "url": "http://www.ice-age.com/"} +{"d:Title": "Inman, John", "d:Description": "Contains soundclips, biography, photos, reviews, and MP3s from current CD, as well as new material from this progressive/fusion guitarist.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/I", "url": "http://johninman.nstemp.com/"} +{"d:Title": "Subterranea", "d:Description": "IQ fan site including pictures, biographies, lyrics, discography, and downloadable wallpapers.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/I/IQ", "url": "http://www.angelfire.com/rock3/subterranea/"} +{"d:Title": "Kamelot", "d:Description": "Kamelot's Official Cyberkingdom - American progressive metal band includes biographies, news, concert dates and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/K", "url": "http://www.kamelot.com/"} +{"d:Title": "Kopecky", "d:Description": "US progressive rock band, news, biography, discography, pictures, reviews, tour dates and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/K", "url": "http://kopecky.8m.com/"} +{"d:Title": "Karda Estra", "d:Description": "English progressive, classical, gothic crossover. News, reviews, MP3s, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/K", "url": "http://www.kardaestra.co.uk/"} +{"d:Title": "Kaizen", "d:Description": "Bios, discography, photos of this Brazilian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/K", "url": "http://www.progressiverockbr.com/kaizen.htm"} +{"d:Title": "Keneally, Mike", "d:Description": "Official site for Mike and his band, Beer for Dolphins. Includes band information, discography, links, and tour details.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/K", "url": "http://www.keneally.com/"} +{"d:Title": "Lake, Greg", "d:Description": "Official site for the former King Crimson and Emerson Lake and Palmer founder. Features news, photos, soundclips, links and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/L", "url": "http://www.greglake.com/"} +{"d:Title": "Lane, Lana", "d:Description": "Official site for the solo artist from the US, news, biography, discography, pictures and CD ordering.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/L", "url": "http://www.lanalane.com/"} +{"d:Title": "Landmarq", "d:Description": "The Spiral Staircase is the official site for this five-member band from the UK. News, discography, biography, photos, audio, lyrics, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/L", "url": "http://www.landmarq.net/"} +{"d:Title": "Lion's Share Official Site", "d:Description": "Official homepage including news, a discography, sounds in MP3 and RealAudio formats, tour dates and merchandise.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/L/Lion's_Share", "url": "http://www.lionsshare.org/"} +{"d:Title": "Morgenstein, Rod", "d:Description": "Ex-The Dixie Dregs/Steve Morse Band/Winger drummer, now pursuing a solo career. Includes artist's profile, discography, MP3s, concert schedule, news, merchandise, photos, and drum tips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.rodmorgenstein.com/"} +{"d:Title": "Mindscape", "d:Description": "Official website for the band Mindscape from Clev. Biographies, tour schedule and MP3 sample audio.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.mindscape.ws/"} +{"d:Title": "Money For The Toll", "d:Description": "Progressive rock act based in northern New Jersey. News, sound files, reviews, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.angelfire.com/music/MoneyForTheToll/"} +{"d:Title": "Mystic 7", "d:Description": "US rock trio, news, sound clips, biography, pictures and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.mystic7.com/"} +{"d:Title": "Medicine Man", "d:Description": "Web site for the British prog rock band, news, reviews, discography, lyrics and gigs.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.orsonsweb.co.uk/MedMan/body.htm"} +{"d:Title": "Magic Elf, The", "d:Description": "Official site for instrumental progressive band from the US. News, biography, mailing list, reviews, sound clips, equipment, and images.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.magicelf.com/"} +{"d:Title": "Mangala Vallis", "d:Description": "Italian group formed in 1998, influenced by the sound of the early 1970s. In Italian and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.mangalavallis.it/"} +{"d:Title": "Mindgames", "d:Description": "Official site of Belgian band composing symphonic and progressive rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.mindgames.be/"} +{"d:Title": "Mastermind", "d:Description": "Official site for the US progressive rock band, news, reviews, discography, various sound files, concerts, interviews and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://mastermindband.com/"} +{"d:Title": "Magic Pie", "d:Description": "Six-member band from Norway combining 70's prog with modern influences. Biography, concerts, mp3s, gallery, reviews, and information about their debut album \"Motions Of Desire\" (2005).", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.magicpie.net/"} +{"d:Title": "Maze of Time", "d:Description": "Official site of the Stockholm, Sweden band. Includes free music sampler, desktop art and a biography of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M", "url": "http://www.mazeoftime.com/"} +{"d:Title": "Mostly Autumn", "d:Description": "Official site. Includes news, biography, discography, reviews, fan section, interviews, merchandise, gig list, and photos.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M/Mostly_Autumn", "url": "http://www.mostly-autumn.com/"} +{"d:Title": "Mostly Autumn at Musical Discoveries", "d:Description": "Reviews of all recordings by this progressive Celtic band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M/Mostly_Autumn", "url": "http://www.musicaldiscoveries.com/reviews/mstlyatm.htm"} +{"d:Title": "Mostly Autumn Holland", "d:Description": "Dutch fan site, with information about the band and its music in Dutch and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M/Mostly_Autumn", "url": "http://www.moreheep.com/mostlyautumn"} +{"d:Title": "Mostlyautumn", "d:Description": "E-mail group for discussion of the band and its music.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M/Mostly_Autumn", "url": "http://groups.yahoo.com/group/mostlyautumn/"} +{"d:Title": "Heather Findlay Online", "d:Description": "Fan site dedicated to Heather Findlay of Mostly Autumn.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M/Mostly_Autumn", "url": "http://www.angelfire.com/rock3/heatherfindlayfan/"} +{"d:Title": "Mostly Autumn", "d:Description": "News about Mostly Autumn, progressive rock with Celtic influences.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/M/Mostly_Autumn", "url": "http://home.kpn.nl/nickgielkens/who_mostly.htm"} +{"d:Title": "Nude", "d:Description": "Big Fat Circle, home of US band Nude and their recording studio Magic Garden. MP3, AIFF and Quicktime downloads of the band's work and a brief history.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/N", "url": "http://www.bigfatcircle.com/index.html"} +{"d:Title": "Neuts", "d:Description": "Official site of this Italian band, including bio, lyrics, and a sample song.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/N", "url": "http://www.neuts.com/"} +{"d:Title": "No-Man", "d:Description": "Official site of duo composed of Steven Wilson (of Porcupine Tree) and Tim Bowness. Includes news, biography, discography, downloads, press, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/N", "url": "http://www.no-man.co.uk/"} +{"d:Title": "Nice Beaver", "d:Description": "Dutch progressive rock band. Official site includes lyrics, biography, discography, reviews, tour dates, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/N", "url": "http://www.nicebeaver.nl/"} +{"d:Title": "No U-Turn", "d:Description": "CD information with sound clips, biography, and interview for progressive rock band from Belgium.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/N", "url": "http://home.scarlet.be/jplhoir/"} +{"d:Title": "The Oz Factor", "d:Description": "Information about this band based in the north of England.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/O", "url": "http://www.oz-factor.4t.com/"} +{"d:Title": "Portnoy, Mike", "d:Description": "Official site for Mike Portnoy, drummer for Dream Theater detailing his other projects and interests.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.mikeportnoy.com/"} +{"d:Title": "Procol Harum", "d:Description": "Fan-run page devoted to the blues-minded prog band. Includes news articles, information on band members, polling data, performance information including set lists, reviews, a discography and other album information, and interactive links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.procolharum.com/"} +{"d:Title": "Phoenix Project, The", "d:Description": "Band information and music from this band from Hawaii.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/phoenixproject/"} +{"d:Title": "Plutonian Shore", "d:Description": "Instrumental band from California, USA, biography, releases, photos, MP3s and artwork.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.laughingsage.com/"} +{"d:Title": "Prymary", "d:Description": "Three-piece band from Southern California, USA. News biography, lyrics and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.prymary.com/"} +{"d:Title": "Periferia del Mondo", "d:Description": "Italian band featuring background information, releases, photos, reviews, and gig schedule. In English and Italian.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://web.tiscali.it/periferiadelmondo/"} +{"d:Title": "Paradox", "d:Description": "Information and updates concerning the Michigan Progressive rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.angelfire.com/mi3/paradox/"} +{"d:Title": "Peculiar Sound Studio", "d:Description": "Sample music from a Missouri duo.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://eriador.tripod.com/"} +{"d:Title": "Pere Ubu", "d:Description": "The Avant Garage Online. Information about the band, including FAQs, history, and tech support.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://users.rcn.com/obo/ubu/ubu_garage.html"} +{"d:Title": "Project: Storm", "d:Description": "Neo-progressive, instrumental, heavy metal three person band. Tour dates, photos, audio clips, biographies, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.projectstorm.com/"} +{"d:Title": "Planet, Marcus", "d:Description": "Official site of the American progressive \"mental\" solo artist; music downloads, pictures, commentary, lyrics, mental health art links, a mental illness quiz and quotations.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.marcusplanet.com/"} +{"d:Title": "Project", "d:Description": "Finnish progressive rock band. Artwork, band members and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.ppry.org/"} +{"d:Title": "Protos", "d:Description": "Official site of the UK-based rock group that originated in the 1970s. Site includes news articles, discography, and an image gallery.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.protosmusic.net/"} +{"d:Title": "PhoenixEye", "d:Description": "Progressive rock band from Montreal. Includes news, biographies, audio samples, and CD information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P", "url": "http://www.phoenixeye.net/"} +{"d:Title": "Pain of Salvation", "d:Description": "Official band site, news, photos, tour dates and an archive of band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Pain_of_Salvation", "url": "http://www.painofsalvation.com/"} +{"d:Title": "Yahoo Groups: Pallas", "d:Description": "Official group for the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Pallas", "url": "http://groups.yahoo.com/group/pallas/"} +{"d:Title": "Yahoo! Groups - Dark Matter", "d:Description": "Active forum devoted to on-topic discussion of Porcupine Tree and closely associated projects.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://launch.groups.yahoo.com/group/pt-darkmatter/"} +{"d:Title": "Silver Pills", "d:Description": "A compendium of available Porcupine Tree live recordings, enjoying semi-official status.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://torregro.tripod.com/silverpills/silverpills.htm"} +{"d:Title": "Carbon Nation", "d:Description": "Independent Porcupine Tree magazine, featuring in-depth interviews with band members, reviews and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://www.carbon-nation.co.uk/"} +{"d:Title": "Burning Shed", "d:Description": "The band's own web store, often offering items unavailable elsewhere.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://www.burningshed.com/ptshop.asp"} +{"d:Title": "Complete SW Discography", "d:Description": "Regularly updated, featuring every known release to which Steven Wilson has contributed, with cover scans, track listings and notes.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://www.voyage-pt.de/swdisco.html"} +{"d:Title": "Chemical Harvest", "d:Description": "French-language fan site, notable for an archive of concert setlists (in English).", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://www.chemicalharvest.com/"} +{"d:Title": "SWHQ", "d:Description": "Steven Wilson's official site, offering the latest news and information his non-PT projects: No-Man, Bass Communion, Blackfield and work with other artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://www.swhq.co.uk/"} +{"d:Title": "Porcupine Tree at MySpace", "d:Description": "The band's official MySpace presence, providing news and exclusive content.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "https://myspace.com/porcupinetree"} +{"d:Title": "Porcupine Tree", "d:Description": "Reviews of 7 albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://www.ssmt-reviews.com/artist/porcupine.html"} +{"d:Title": "Porcupine Tree", "d:Description": "Official site with news, concert listing, discography, biography, music samples, and multimedia.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://www.porcupinetree.com/"} +{"d:Title": "Porcupine Tree Forum", "d:Description": "Active, threaded forum devoted to discussion of Porcupine Tree, associated projects and other topics of interest to P-Tree fans. Replaces the forum once at the official site.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/P/Porcupine_Tree", "url": "http://porcupinetreeforum.com/"} +{"d:Title": "Quarkspace", "d:Description": "A site about the American spacerock band and their label, Eternity's Jest Records.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/Q", "url": "http://quarkspace.com/"} +{"d:Title": "Qwestion", "d:Description": "Official site of band from the USA with MP3s, bio, and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/Q", "url": "http://www.qwestion.net/"} +{"d:Title": "RPWL", "d:Description": "German progressive band. News, biography, line-up, pictures, MP3s and concert dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R", "url": "http://www.rpwl.de/"} +{"d:Title": "Red Masque, The", "d:Description": "Philadelphia-area band, news, biography, MP3s, lyrics, pictures and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R", "url": "http://www.theredmasque.com/"} +{"d:Title": "Romislokus", "d:Description": "Official site for this Russian group, with audio clips, lyrics, biography, photos, articles, and news updates.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R", "url": "http://www.romislokus.com/"} +{"d:Title": "Rousseau", "d:Description": "History, discography, news about this German band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R", "url": "http://www.progressiverockbr.com/rousseau.htm"} +{"d:Title": "Renaissance - Northern Lights", "d:Description": "Fan site dedicated to the band Renaissance, including band information, midi files, guitar chords, bulletin boards, and a chatroom.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R", "url": "http://www.nlightsweb.com/"} +{"d:Title": "Recordando o Vale da Ma\u00e7\u00e3s", "d:Description": "Discography, pictures, biography and RealAudio samples of Brazilian band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R", "url": "http://www.progressiverockbr.com/recvale.htm"} +{"d:Title": "Riverside", "d:Description": "Four member group from Poland formed in 2001, whose sound has been compared to that of Porcupine Tree, Pink Floyd, and Opeth. Site features bios, discography, pictures, media, and shop.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R", "url": "http://www.riverside.art.pl/"} +{"d:Title": "MTV: Trevor Rabin", "d:Description": "News, biography, www links, real audio clips, and music videos in real video", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/R/Rabin,_Trevor", "url": "http://www.mtv.com/artists/trevor-rabin/"} +{"d:Title": "Shamall", "d:Description": "Experimental electronic music, news, discography, CD ordering, reviews, pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.shamall.com/"} +{"d:Title": "Sunblaze", "d:Description": "This is the official homepage of Sunblaze, a German progressive metal band. On this site you can find current informationrmation, band photos, MP3 sample files, reviews of the CD 'Illuminating Heights' and CD ordering information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.sunblaze.de/"} +{"d:Title": "Shades of Dawn", "d:Description": "Official site of art rock band from D\u00fcsseldorf, Germany. News, bios, MP3s, and reviews. In German and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.shades-of-dawn.de/"} +{"d:Title": "Symphony X - The Edge of Forever", "d:Description": "Official site for progressive metal band, with news, biography, pictures, lyrics, merchandise, chat, mailing list, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.symphonyx.com/"} +{"d:Title": "Soundscape", "d:Description": "Musical vehicle for the music of Brad Bansner. Includes a mailing list and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.bbarts.com/"} +{"d:Title": "Superior Olive", "d:Description": "The official site; band news, CDs, cover art, T-shirts, and other merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.superiorolive.com/"} +{"d:Title": "Synema", "d:Description": "Information and MP3 files of this group that describes its music as a cross between symphonic, electronic, and neo prog rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.synema.com/"} +{"d:Title": "Speer, Paul", "d:Description": "Information about the artist and the duo Rockenfield/Speer, including biography, merchandise, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.paulspeer.com/"} +{"d:Title": "Sphere3", "d:Description": "British progressive rock/jazz fusion band. News, reviews, music samples, merchandise, biography, gig dates, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.sphere3.co.uk/"} +{"d:Title": "Shakary", "d:Description": "Official site for the progressive band, news, albums, lyrics, and a RealAudio song.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.shakary.com/"} +{"d:Title": "Stream of Passion", "d:Description": "A multinational progressive/art/metal group formed in 2005. The six members include Arjen Lucassen (Ayreon) and Marcela Bovio (Elfonia). News, tour dates, biographies, mp3 track, video clips, and forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S", "url": "http://www.streamofpassion.com/"} +{"d:Title": "Ryuichi Sakamoto", "d:Description": "Official site for composer and musician Ryuichi Sakamoto.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Sakamoto,_Ryuichi", "url": "http://www.sitesakamoto.com/"} +{"d:Title": "Spock's Beard - The Official Site", "d:Description": "Features the latest news, messages from bandleader Neal Morse, tour dates, a newsletter, discography, lyrics, tablatures, MIDI files, articles, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Spock's_Beard", "url": "http://www.spocksbeard.com/"} +{"d:Title": "D'Virgilio, Nick", "d:Description": "Official website for drummer Nick D'Virgilio. Best known for his work with Spock's Beard, news, bio, interviews, discography and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Spock's_Beard", "url": "http://ndvmusic.com/"} +{"d:Title": "Snow.Net", "d:Description": "Unofficial site with news, audio samples, and midi files.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Spock's_Beard", "url": "http://digilander.libero.it/AERONEWS/spock.html"} +{"d:Title": "Chris Squire", "d:Description": "Official site containing news, information, equipment, forecast, discography and a scrapbook of photos and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Squire,_Chris", "url": "http://chrissquire.com/"} +{"d:Title": "MTV: Chris Squire", "d:Description": "Biography, bulletin board and a mailing list.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Squire,_Chris", "url": "http://www.mtv.com/artists/chris-squire/"} +{"d:Title": "Witchwood Records Official Site", "d:Description": "All Strawbs records are now released by Witchwood Records and are available directly from this site. Includes news of Strawbs shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Strawbs,_The", "url": "http://www.witchwoodrecords.co.uk/"} +{"d:Title": "Wholenote.com: Strawbs Guitar Tablature", "d:Description": "A selection of guitar tablature for some of the Strawbs most popular tracks.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Strawbs,_The", "url": "http://www.wholenote.com/tab/artist.asp?i=2729"} +{"d:Title": "Acoustic Strawbs", "d:Description": "Official site of the trio of Cousins, Willoughby and Lambert who tour as Acoustic Strawbs", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Strawbs,_The", "url": "http://www.acousticstrawbs.co.uk/"} +{"d:Title": "Strawbs Web", "d:Description": "Official homepage for the progressive/folk-rock band. Contains discographies, lyrics, fans' stories, biography, family tree, gig reviews, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/S/Strawbs,_The", "url": "http://www.strawbsweb.co.uk/"} +{"d:Title": "A Triggering Myth", "d:Description": "From Florida, USA. Introduction to the band, audio files in MP3 format, discography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T", "url": "http://www.atriggeringmyth.com/"} +{"d:Title": "Thirsty Moon", "d:Description": "Official website of the German progressive rock band. MP3 sound clips, articles, interviews, pictures, news and a fan shop.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T", "url": "http://www.thirstymoon.de/"} +{"d:Title": "Thermal and a Quarter", "d:Description": "Official homepage produced by the band including biographies, downloads, dates, pictures, news and the charities they support.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T", "url": "http://www.thermalandaquarter.com/"} +{"d:Title": "Tautologic", "d:Description": "Official site for the Chicago-based band, with band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T", "url": "http://www.tautologic.com/"} +{"d:Title": "Time Will Tell", "d:Description": "Progressive band from Essex, UK, news, biographies, sound samples and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T", "url": "http://www.timewilltell.org.uk/"} +{"d:Title": "Two Sheds", "d:Description": "Official site for the three-piece progressive rock band from Austin, Texas.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T", "url": "http://www.angelfire.com/art/twosheds/twosheds.html"} +{"d:Title": "Threshold", "d:Description": "Official site for the UK progressive metal band, with news, biography, photos, releases, MP3s, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T/Threshold", "url": "http://www.thresh.net/"} +{"d:Title": "All Music Guide: Threshold", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T/Threshold", "url": "http://www.allmusic.com/artist/threshold-p200396"} +{"d:Title": "TransAtlantic", "d:Description": "Official site including news, tour dates, discography, information, message board, newsletter, midi files and tablatures, music samples, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T/TransAtlantic", "url": "http://www.transatlanticweb.com/"} +{"d:Title": "TransAtlantic Fans", "d:Description": "Forum for fans to discuss the group.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T/TransAtlantic", "url": "http://groups.yahoo.com/group/transatlanticfans/"} +{"d:Title": "All Music Guide: Transatlantic", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T/TransAtlantic", "url": "http://www.allmusic.com/artist/transatlantic-p416233"} +{"d:Title": "Mann, Geoff", "d:Description": "Singer of Twelfth Night; biography, discography, photos, merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T/Twelfth_Night", "url": "http://www.geoffmann.co.uk/"} +{"d:Title": "Twelfth Night - The Collector", "d:Description": "Official site with news, history, discography, biographies, songs, lyrics, merchandise, and the fan magazine Night Moves.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/T/Twelfth_Night", "url": "http://www.twelfthnight.info/"} +{"d:Title": "Unoma", "d:Description": "Symphonic progressive rock from Spain, with bio and sound samples. In English, Spanish, and Catalan.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/U", "url": "http://www.unoma.net/"} +{"d:Title": "Unruh, Steve", "d:Description": "Personal site of progressive folk rock artist, with mp3 downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/U", "url": "http://www.steveunruh.com/"} +{"d:Title": "Ulysses", "d:Description": "Netherlands-based symphonic progressive metal band. Includes reviews, bio, photos, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/U", "url": "http://www.ulysses-online.nl/"} +{"d:Title": "Visible Wind", "d:Description": "The French Canadian band's web site.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/V", "url": "http://www.aei.ca/~vwind/"} +{"d:Title": "Voices in the Wilderness", "d:Description": "MP3 downloads and touring information for this Christian progressive rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/V", "url": "http://www.angelfire.com/on/viwband/"} +{"d:Title": "Wolverine", "d:Description": "Progressive metal band from Sweden. News, pictures, releases, members, discography, lyrics, concerts, mailing list, MP3s, and desktop wallpapers.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/W", "url": "http://www.wolverine-overdose.com/"} +{"d:Title": "Damian Wilson", "d:Description": "Official website of the artist featuring news, biography, video and photo gallery, tour dates, discography and online store.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/W/Wilson,_Damian", "url": "http://www.damian-wilson.net/"} +{"d:Title": "Yleclipse", "d:Description": "Official site of this Italian band, with bios, pictures, and mp3 samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/Y", "url": "http://www.yleclipse.com/"} +{"d:Title": "Yak", "d:Description": "Instrumental progressive rock band from England, founded in 1982. MP3s, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Bands_and_Artists/Y", "url": "http://www.yaksongs.com/"} +{"d:Title": "Band of Gypsies", "d:Description": "An open forum and message board dedicated to the preservation of Classic and Progressive Rock of the '60s and '70s, as well as the promotion of newer bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Chats_and_Forums", "url": "http://forums.delphiforums.com/gypsymusic"} +{"d:Title": "Progressive Rock Forum", "d:Description": "An active forum, with over 6200 members, for discussion of any progressive rock-related topic.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Chats_and_Forums", "url": "http://www.progarchives.com/forum/"} +{"d:Title": "Melo's Prog Bazaar", "d:Description": "A forum for progressive rock news, reviews, bands, and the ProGGnosis web site. Over 860 members.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Chats_and_Forums", "url": "http://melosprogbazaar.com/"} +{"d:Title": "Arlequins Newsletter", "d:Description": "Publication in both English and Italian, with news, reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.arlequins.it/"} +{"d:Title": "Prog-R\u00e9siste", "d:Description": "A Belgian magazine dedicated to progressive rock. More than 100 CDs are reviewed each quarter. In English and French.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.progresiste.com/"} +{"d:Title": "Colossus: The Finnish Progressive Music Association", "d:Description": "Answers questions about the association, offers information about their magazine, and some links. In English and Finnish.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.colossus.fi/"} +{"d:Title": "Expos\u00e9 Magazine", "d:Description": "Quarterly publication dedicated to \"Expanding the Boundaries of Rock.\" Progressive, experimental, avant garde, and other music outside the mainstream.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.expose.org/"} +{"d:Title": "Progression Magazine", "d:Description": "Contains subscription information, a few teaser details about the current issue, previews for the next issue, information on back issues, article index, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://progressionmagazine.com/"} +{"d:Title": "Progressive Rock and Progressive Metal", "d:Description": "E-zine with reviews, interviews, pictures gallery, news, and over 6000 links from prog bands around the world.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.progressiverockbr.com/"} +{"d:Title": "ProgSheet", "d:Description": "An \"Online Newsletter For Adventurous Music\", featuring editorials, reviews and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://progsheet1.hypermart.net/"} +{"d:Title": "Sea of Tranquility", "d:Description": "Web zine with news and reviews of heavy metal, progressive metal, and progressive rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.seaoftranquility.org/"} +{"d:Title": "Music Street Journal", "d:Description": "Reviews, interviews and news focusing on many types of music, mostly rock, with the primary emphasis on progressive rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.musicstreetjournal.com/"} +{"d:Title": "Prog-Nose", "d:Description": "Belgian progressive, symphonic, gothic and classic rock e-zine, with CD reviews, news, and concert information. In Dutch and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.prog-nose.org/"} +{"d:Title": "Hairless Heart Herald", "d:Description": "News, reviews, gig information, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.hairlessheartherald.co.uk/"} +{"d:Title": "Topix: Progressive Rock", "d:Description": "News about progressive rock, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/progressive-rock.xml"} +{"d:Title": "Acid Dragon Magazine", "d:Description": "Information about this French publication. In English and French.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Magazines_and_E-zines", "url": "http://tsportouche.perso.sfr.fr/ad2.htm"} +{"d:Title": "Tales of Wonder", "d:Description": "Melodic and progressive rock reviews, interviews, news, and images. In Italian and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://www.talesofwonder.it/"} +{"d:Title": "Zoltan's Progressive Rock Page", "d:Description": "Reviews of both classic and new progressive rock CDs, sorted by country of origin and featuring many lesser-known bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://stevehegede.tripod.com/"} +{"d:Title": "The Axiom of Choice", "d:Description": "Netherlands site with news, interviews, pictures, music samples, reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://www.cs.uu.nl/people/jur/progrock.html"} +{"d:Title": "Progressive Rock Bibliography", "d:Description": "Annotated bibliography of books and articles on progressive rock or by prog musicians themselves. Includes songbooks and a list of books that inspired prog musicians.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://www.progbibliography.de/"} +{"d:Title": "Gnosis", "d:Description": "Database containing rating and reviews of major records from progressive artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://gnosis2000.net/"} +{"d:Title": "Music and Related", "d:Description": "Cover art images from progressive rock groups. Links.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://members.chello.nl/m.stratervdtak/"} +{"d:Title": "Mostlypink.nl", "d:Description": "Personal site with reviews and news of Pink Floyd, Mostly Autumn, Magenta, RPWL, and related artists by Nick in the Netherlands.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://home.kpn.nl/nickgielkens/who_symfo1.htm"} +{"d:Title": "Progressive Rock Tablature", "d:Description": "This site is attempting to serve as an archive for prog rock guitar tablatures.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Personal_Pages", "url": "http://fabriano.tripod.com/prog.htm"} +{"d:Title": "Delicious Agony Progressive Rock Radio", "d:Description": "Webcasts of streaming progressive rock, plus news, reviews, chat, editorials, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Radio", "url": "http://www.deliciousagony.com/"} +{"d:Title": "The Dividing Line", "d:Description": "Progressive rock Internet radio station with 10 weekly shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Radio", "url": "http://www.thedividingline.com/"} +{"d:Title": "ProgRock.com", "d:Description": "Progressive rock news, chat, and Internet radio webcast (subscription required).", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Radio", "url": "http://www.progrock.com/"} +{"d:Title": "Studio M", "d:Description": "Online concert broadcast series for progressive rock bands playing the Mid-Atlantic region, USA.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Radio", "url": "http://www.studiomlive.com/"} +{"d:Title": "Aural Moon", "d:Description": "Live streaming webcast featuring progressive rock music from late 1960s to the present.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Radio", "url": "http://www.auralmoon.com/"} +{"d:Title": "Stellar Attraction", "d:Description": "Progressive rock internet radio station broadcasting continuously. Free stream, special programming, and website discussion forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Radio", "url": "http://www.stellar-attraction.com/"} +{"d:Title": "Strawberry Bricks Guide to Progressive Rock", "d:Description": "Timeline of significant albums from 1967-1979 with reviews; A to Zed section presents group discographies and biographies.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Reviews", "url": "http://www.progressiverock.com/"} +{"d:Title": "Through Different Eyes", "d:Description": "Swedish site with reviews of CDs from the progressive genre. Not updated since 1999.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Reviews", "url": "http://home.swipnet.se/tde/"} +{"d:Title": "Electric Freedom", "d:Description": "Reviews, news, and links. Database includes over 130 progressive rock artists and 2060 albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Progressive/Reviews", "url": "http://electricfreedom.org/"} +{"d:Title": "Punk Island", "d:Description": "A punk site with biographies, pictures, links, news and downloads. Showcasing a lot of bands such as Anti-Flag, Green Day, MXPX, Mr. T Experience, The Living End, Rancid, Homegrown, Blink-182 and The Ataris.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://punkisland.faithweb.com/"} +{"d:Title": "CompHELLation", "d:Description": "Created to guide you through the murky waters of punk reissue compilations. With a searchable and continually-updated database of old and rare punk reissues.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.lipstickkillers.com/comphell/"} +{"d:Title": "Punktastic.com", "d:Description": "Punk rock reviews, interviews and tour dates for both the UK and US.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.punktastic.com/"} +{"d:Title": "Break My Face", "d:Description": "An archive site dedicated to early punk rock bands (like Bad Brains, AK-47, The Huns, Really Red and The Weirdos) and music.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.breakmyface.com/"} +{"d:Title": "Art of the State Archive", "d:Description": "Punk rock resource with lyrics, photographs, flyers, profiles on Icons of Filth and Subhumans as well as links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.artofthestate.co.uk/index.html"} +{"d:Title": "PunkRock.org", "d:Description": "Offers information on punk bands, fans, show listings, lyrics and related blogs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.punkrock.org/"} +{"d:Title": "Punk Rock Finder", "d:Description": "Source to find bands, labels, MP3s, discographies and other punk information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://guntario.tripod.com/"} +{"d:Title": "Punknews.org", "d:Description": "News, reviews and album release dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.punknews.org/"} +{"d:Title": "The International Discography of the American New Wave by Henry Weld", "d:Description": "From the introduction, \"The aim of this project is to compile concise, correct information about punk records from America in the years 1976 to 1983.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.collectorscum.com/volume3/"} +{"d:Title": "Punk Rock 007", "d:Description": "Pages on some old school bands like Black Flag, The Exploited, Subhumans (UK) and Dead Kennedys. Site has MP3s, discographies, sound clips and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.angelfire.com/ak3/PUNKROCK007/"} +{"d:Title": "Punkrockers.com", "d:Description": "Free video and MP3 downloads of: Weirdos, Vandals, Adolescents, Screamers, Ramones, Dickies, Sex Pistols, Dead Boys, Germs, Minor Threat and Monster X. Also features a flyer gallery and a guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://punkrockers.com/"} +{"d:Title": "Hammer Productions", "d:Description": "Owned by Terry Hammer, who specializes in working with hardcore punk and reggae bands. A longtime member of the punk community, this site has some historical perspective.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.angelfire.com/oh/liveperformances/"} +{"d:Title": "Punk, Ska and Hardcore", "d:Description": "This site provides pictures, tabs and MP3s of Pennywise, Dead Kennedys and Ataris.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.angelfire.com/punk2/punkskahardcore/"} +{"d:Title": "Old School Punk", "d:Description": "Features \"Screams from the Gutter\" punk radio, old flyers, and hard to find live and demo tapes.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.screamsfromthegutter.com/"} +{"d:Title": "Absolute Punk", "d:Description": "Includes tour dates, news, and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk", "url": "http://www.absolutepunk.net/"} +{"d:Title": "12 Step Program", "d:Description": "A four man punk band from Las Vegas, NV. News, show dates, photos and song list.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/1", "url": "http://www.angelfire.com/punk/12sp/"} +{"d:Title": "#1 Poser", "d:Description": "This is a punk band from Wisconsin. Site has lyrics, pictures and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/1", "url": "http://www.members.tripod.com/Numberoneposer/"} +{"d:Title": "1080", "d:Description": "Long Island political skate punk. Photos, tour dates, lyrics, merchandise, lyrics,", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/1", "url": "http://www.angelfire.com/pe/1080/"} +{"d:Title": "1932 Thickness", "d:Description": "Member profiles, images and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/1", "url": "http://www.angelfire.com/punk4/1932thickness/"} +{"d:Title": "28 Days", "d:Description": "Australian punk/rap/hiphop/metal band. News, history, discography and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/2", "url": "http://www.angelfire.com/band/28days/"} +{"d:Title": "20SecondBomb", "d:Description": "Official band site, featuring music downloads, pictures, news and gig updates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/2", "url": "http://20secondbomb.tripod.com/"} +{"d:Title": "5 Cent Deposit", "d:Description": "Pop-punk band from Long Island, NY. Includes news, reviews, sound files, show dates, biography and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/5", "url": "http://www.5centdeposit.com/"} +{"d:Title": "5Fingerpole", "d:Description": "Official website features pictures and sound clips of the band. Site has band and member biographies, the latest news and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/5", "url": "http://5fingerpole.freeservers.com/"} +{"d:Title": "667", "d:Description": "A Berlin-based punk rock/hardcore band. With biography, MP3s, show schedule, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/6", "url": "http://www.sixsixseven.de/"} +{"d:Title": "7 Foot Midget", "d:Description": "Official site for the Las Vegas punk band includes bio, news, show dates, pictures, sound files, lyrics, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/7", "url": "http://www.7footmidget.com/"} +{"d:Title": "OutTheShizzy", "d:Description": "Fan site that includes band members, discography, lyrics and photos of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/7/7_Seconds", "url": "http://www.freewebs.com/outtheshizzy/"} +{"d:Title": "MTV: 7 Seconds", "d:Description": "Including album reviews, music news, audio downloads, a biography, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/7/7_Seconds", "url": "http://www.mtv.com/artists/7-seconds/"} +{"d:Title": "All Music Guide - 7 Seconds", "d:Description": "With a biography, discography, album cover images and related artist links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/7/7_Seconds", "url": "http://www.allmusic.com/artist/7-seconds-mn0000573241"} +{"d:Title": "8ponser", "d:Description": "A young punk band site, with pictures, news, show dates and song lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/8", "url": "http://www.angelfire.com/punk2/8ponser/mas.html"} +{"d:Title": "A.D.D.", "d:Description": "A punk band from Humboldt County, California, that cares about something other than weed. Band information, pictures, tablature, lyrics, audio, and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/indie/add/"} +{"d:Title": "Ask Harry", "d:Description": "A site for this pop punk Las Vegas band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/myband/askharry/"} +{"d:Title": "AmberDexter", "d:Description": "A Peoria, Illinois-based punk/pop band, making music similar to Blink 182 and Goldfinger.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/myband/amberdexter/index.html"} +{"d:Title": "All Charges Dropped", "d:Description": "Punk rock band from the Chicago area including band profile, show dates, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://allchargesdropped.tripod.com/"} +{"d:Title": "Angryfish", "d:Description": "A three piece indie punk band. They are big on the disability arts scene as two of the band are wheelchair users and I write a mixture of regular and political type songs", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angryfish.co.uk/"} +{"d:Title": "Anemia", "d:Description": "Band from Rochester, NY whose site features pictures and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/band/anemia/"} +{"d:Title": "Act Fast", "d:Description": "New Jersey punk band, show dates, profiles, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/ne/actfast/"} +{"d:Title": "Addiction 64", "d:Description": "Band from Melbourne, Australia. Pictures, concert information, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/ok2/addiction64/"} +{"d:Title": "Abandon Stage", "d:Description": "Pictures, concert information, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/apes/abandonstage/"} +{"d:Title": "Ass Arrived, Beautiful and Most Brave, The", "d:Description": "Connecticut thrash/crust/screamo band. Upcoming shows, MP3s and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/ct3/theassarrived/"} +{"d:Title": "Abners, The", "d:Description": "Fast, hard, loud punk rock since 2001. Features pictures, mp3s and band news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A", "url": "http://www.angelfire.com/punk3/abners/index.html"} +{"d:Title": "A Fire Inside - A.F.I.", "d:Description": "Band-approved site, with news, tour dates, pictures, tablature, biography, discography, merchandise and discussion areas.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://www.afireinside.net/"} +{"d:Title": "Through The Valley Of Dreams", "d:Description": "Tabs from the bands AFI and Tiger Army.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://thevalleyofdreams.tripod.com/"} +{"d:Title": "AFI", "d:Description": "A fan site with pictures, lyrics, interviews, biography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://www.afisite.4t.com/"} +{"d:Title": "The Last Kiss - AFI", "d:Description": "Fan site for the band featuring what equipment AFI use, lyrics, news, pictures and tablature.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://www.angelfire.com/punk3/fallchildren/"} +{"d:Title": "Afi pictures", "d:Description": "pictures from AFI show on 10/02/01 at the Crystal Ballroom in Portland,OR.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://jarongriffin.tripod.com/afi.html"} +{"d:Title": "JadeXCore", "d:Description": "Sister site to AdamXCore, the unofficial fan club for Jade Puget.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://jadexcore.tripod.com/"} +{"d:Title": "A.F.I. Song Meanings", "d:Description": "\"Art of Drowning\" and \"Black Sails\" lyric interpretation from fans.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://afisongmeanings.co.uk/"} +{"d:Title": "MTV: A.F.I.", "d:Description": "Includes album reviews, audio downloads, discography, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/A.F.I.", "url": "http://www.mtv.com/artists/afi/"} +{"d:Title": "Agent Orange", "d:Description": "Punk/surf power trio. Official site includes lyrics, photos, show dates, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Agent_Orange", "url": "http://www.agentorange.net/"} +{"d:Title": "TrouserPress.com - Agent Orange", "d:Description": "Features commentary on the bands recorded output and a brief discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Agent_Orange", "url": "http://www.trouserpress.com/entry.php?a=agent_orange"} +{"d:Title": "Satan Stole My Teddybear", "d:Description": "Reviews of Agent Orange records.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Agent_Orange", "url": "http://www.ssmt-reviews.com/artist/agent.html"} +{"d:Title": "MTV.com: Agent Orange", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Agent_Orange", "url": "http://www.mtv.com/artists/agent-orange/"} +{"d:Title": "Anti-Flag", "d:Description": "Official site for the band and their label, Anti-Flag Records.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Anti-Flag", "url": "http://www.anti-flag.com/"} +{"d:Title": "TrouserPress.com - Articles of Faith", "d:Description": "Commentary about the recorded output of the band and an incomplete discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Articles_of_Faith", "url": "http://www.trouserpress.com/entry.php?a=articles_of_faith"} +{"d:Title": "Alternative Tentacles - Articles Of Faith", "d:Description": "Alternative Tentacles page for Articles of Faith's discography. mp3s and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Articles_of_Faith", "url": "http://www.alternativetentacles.com/bandinfo.php?band=articlesoffaith"} +{"d:Title": "Hell Paso", "d:Description": "Fansite with information about the follow up bands (Mars Volta and Sparta) from the members of At The Drive In.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/At_The_Drive_In", "url": "http://hellpaso.tripod.com/"} +{"d:Title": "Metacritic: Relationship of Command", "d:Description": "Multiple critic and user reviews for Relationship Of Command by At The Drive-In.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/At_The_Drive_In/Reviews/Relationship_of_Command", "url": "http://www.metacritic.com/music/relationship-of-command/at-the-drive-in"} +{"d:Title": "Tribute to Avail", "d:Description": "Photos and artwork about the band as well as news updates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Avail", "url": "http://www.angelfire.com/punk/AVAIL/"} +{"d:Title": "TrouserPress.com - Avengers", "d:Description": "Features a discography and commentary on the recorded output of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/A/Avengers", "url": "http://www.trouserpress.com/entry.php?a=avengers"} +{"d:Title": "Brainless Wankers", "d:Description": "Punk band from Berlin, Germany. Official site includes news, gig dates, history, discography, sound files, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.brainlesswankers.de/"} +{"d:Title": "Backside", "d:Description": "Website of the Inland Empire's primo punk band. Band pictures, information and MP3s of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.backside.org/"} +{"d:Title": "Bizotic Epileptics, The", "d:Description": "Young and self-depreciating punk band. With biographies and online polls.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.stoopid.50megs.com/"} +{"d:Title": "Bladder Bladder Bladder", "d:Description": "British 77 style punk band now based in Hollywood, California. Contains news, pictures, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.bladderbladderbladder.com/"} +{"d:Title": "B.Ranzyk", "d:Description": "Punkrock from South-Central-EL. Four punkrock dudes from good ol' Germany with their very own style of Skate-Punk'n'Roll.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.b-ranzyk.de/"} +{"d:Title": "Bips, The", "d:Description": "A punk rock and roll band from Holland. Site contains sound, pictures, lyrics, links, and a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.thebips.nl/"} +{"d:Title": "Borderguards", "d:Description": "A four piece punk band from Calgary, Canada. Site features sounds, lyrics, pictures and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.borderguards.com/"} +{"d:Title": "Blackmarket Saint", "d:Description": "Detroit, Michigan band with show dates, contact information, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://www.angelfire.com/rebellion/willingdead/"} +{"d:Title": "Bloody Mannequin Orchestra (BMO)", "d:Description": "A history and guide to Washington, DC's art-punk group circa 1982 - 1984.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://bloodymannequinorchestra.blogspot.com/"} +{"d:Title": "Blindshot", "d:Description": "A ska-punk band from Michigan. Sounds, pictures, a history of the band and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B", "url": "http://blindshot1.tripod.com/blindshot.html"} +{"d:Title": "Bad Religion", "d:Description": "Official site. History, newsletter, tour dates, news, photos, web cam, merchandise, and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://www.badreligion.com/"} +{"d:Title": "Rockmagic.net: Bad Religion Lyrics", "d:Description": "142 song lyrics transcribed spanning the entire Bad Religion discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://lyrics.rockmagic.net/lyrics/bad_religion/"} +{"d:Title": "Defining Bad Religion", "d:Description": "A site that contains lyrics and the interpretations of their meaning.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://definingbadreligion.tripod.com/"} +{"d:Title": "Bad Religion - Lyrics", "d:Description": "Words to the songs on No Control, Recipe For Hate and Suffer.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://www.davemcnally.com/Lyrics/BadReligion/"} +{"d:Title": "Sorted magAZine - Bad Religion", "d:Description": "Brian Baker interviewed with links to other Sorted articles on Bad Religion.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://sortedmagazine.com/Features.php3?nID=222"} +{"d:Title": "TrouserPress.com - Bad Religion", "d:Description": "Reviews of the band's recorded output and a discography broken up into two parts, divided by the year 1990.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://www.trouserpress.com/entry.php?a=bad_religion"} +{"d:Title": "Matt's Bad Religion Page", "d:Description": "Includes lyrics, tablature, tour dates, discography, Realaudio, biography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://www.mattsmusicpage.com/nbadreligion.htm"} +{"d:Title": "Bad Religion Tabs", "d:Description": "An alphebetic listing of Bad Religion tabs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://www.guitaretab.com/b/bad-religion/"} +{"d:Title": "Launch", "d:Description": "Biography, discography, videos, news, reviews, and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://new.music.yahoo.com/bad-religion/"} +{"d:Title": "The Bad Religion Page", "d:Description": "News, forum, band information, discography, tours&shows, bootlegs, reviews, pictures, articles and song interpretations.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bad_Religion", "url": "http://www.thebrpage.net/"} +{"d:Title": "Prindle Record Reviews", "d:Description": "Reviews of most of the available Big Black records as well as reader feedback.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Black", "url": "http://www.markprindle.com/bigblaca.htm"} +{"d:Title": "TrouserPress.com - Big Black", "d:Description": "Commentary and reviews of the band's recorded output with a brief discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Black", "url": "http://www.trouserpress.com/entry.php?a=big_black"} +{"d:Title": "Sound of Impact", "d:Description": "Fan website featuring interviews, an accurate discography as well as photographs and a band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Black", "url": "http://www.dementlieu.com/users/obik/bigblack/"} +{"d:Title": "Action Park: The Big Black / Rapeman / Shellac pages", "d:Description": "Fan site for Steve Albini's bands includes discographies, lyrics, and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Black/Albini,_Steve", "url": "http://www.petdance.com/actionpark/"} +{"d:Title": "Steve Albini Talks of Food", "d:Description": "He responds to some questions about food and gives a recipe for Sauce Mayonnaise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Black/Albini,_Steve", "url": "http://www.gourmandizer.com/ezine/albini/"} +{"d:Title": "The Problem With Music", "d:Description": "Article by Steve Albini about how standard accounting and contracts have made the music industry so bloated and unproductive.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Black/Albini,_Steve", "url": "http://www.negativland.com/albini.html"} +{"d:Title": "TrouserPress.com - Big Boys", "d:Description": "Commentary about the band's recordings as well as an incomplete discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Boys", "url": "http://www.trouserpress.com/entry.php?a=big_boys-dicks"} +{"d:Title": "Big Boys", "d:Description": "Skate punk band from Austin, Texas. Site features pictures, news, history, articles, shows and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Big_Boys", "url": "http://www.soundonsound.org/"} +{"d:Title": "TrouserPress.com - Black Flag", "d:Description": "Discography and commentary about the band's recordings from 1978 to 1989.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Black_Flag", "url": "http://www.trouserpress.com/entry.php?a=black_flag"} +{"d:Title": "RollingStone.com: Black Flag", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Black_Flag", "url": "http://www.rollingstone.com/music/artists/black-flag"} +{"d:Title": "The Mighty Black Flag!", "d:Description": "Personal recollections, discography, lineup, memories, history, pictures, and information on bootlegs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Black_Flag", "url": "http://www.ipass.net/jthrush/rollflag.htm"} +{"d:Title": "Rollins Band Lyrics @ Rockmagic.net", "d:Description": "Lyrics to many songs, indexed by album and song title.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Black_Flag/Rollins,_Henry", "url": "http://lyrics.rockmagic.net/lyrics/rollins_band/"} +{"d:Title": "Nardwuar vs Henry Rollins", "d:Description": "Nardwuar interviews Rollins in the way only Nardwuar can. Available in text, real audio and video.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Black_Flag/Rollins,_Henry", "url": "http://nardwuar.com/vs/henry_rollins/"} +{"d:Title": "TrouserPress.com - Henry Rollins", "d:Description": "Commentary and reviews of Rollins' recordings. Categorized into pre and post 1990.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Black_Flag/Rollins,_Henry", "url": "http://www.trouserpress.com/entry.php?a=henry_rollins"} +{"d:Title": "Henry Rollins", "d:Description": "Official site with news items, tour details, merchandise, and videos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Black_Flag/Rollins,_Henry", "url": "http://henryrollins.com/"} +{"d:Title": "Blitz - Voice Of A Generation", "d:Description": "Unofficial website dedicated to early 80's Oi/punk band Blitz. Lyrics, Real Audio files, pictures and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Blitz", "url": "http://voiceofageneration.tripod.com/index.html"} +{"d:Title": "Born Against", "d:Description": "Reviews of three of Born Against's releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Born_Against", "url": "http://www.markprindle.com/born.htm"} +{"d:Title": "Born Against - Reviews", "d:Description": "A review of the split 7\" with Universal Order Of Armageddon.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Born_Against", "url": "http://www.punkrockacademy.com/stm/rev/b/bornag.html"} +{"d:Title": "Born Against", "d:Description": "A fan page for this defunct NYC hardcore band includes a member list by instrument, discography, lyrics, interviews, and the much coveted guitar tabs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Born_Against", "url": "http://xjwalkx.tripod.com/"} +{"d:Title": "Bouncing Souls", "d:Description": "Biography, news, pictures, discography, sounds, merchandise lyrics, tablature, and official tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bouncing_Souls", "url": "http://www.bouncingsouls.com/"} +{"d:Title": "Bouncing Souls - E.C.F.U.", "d:Description": "Discography, sounds, lyrics, news, pictures, and links to other pages.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/B/Bouncing_Souls", "url": "http://www.angelfire.com/nj/thebouncingsouls/"} +{"d:Title": "Citizen Fish", "d:Description": "Official site includes profile, gig dates, news, and pictures. Also includes information on the band, Subhumans.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.citizenfish.com/"} +{"d:Title": "Class Dissmissed", "d:Description": "Powerful melodic punk band from New Jersey. Songs in WAV and MP3 formats.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/nj/classdissmissed/a.html"} +{"d:Title": "Crawlspace", "d:Description": "Four-piece old school punk band from Sacramento. Official site includes news, history, upcoming shows, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/band/crawlspace/"} +{"d:Title": "Commercial Blackout", "d:Description": "An alterna-punk band from Union County, NJ. Featuring news, biographies, lyrics, pictures, and show schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband/commercialblackout/"} +{"d:Title": "Close Range Mafia Kids", "d:Description": "Three piece band from Litchfield, New Hampshire.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband/CLOSERANGEMAFIAKIDS/"} +{"d:Title": "Catholics Play Bingo", "d:Description": "History, discography, pictures, downloads and lyrics of young band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/punk3/catholics/"} +{"d:Title": "Chapter 19", "d:Description": "Based in Charleston, SC. this punk rock band's site features pictures and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/in/amoat/main.html"} +{"d:Title": "California Redemption", "d:Description": "Fast punk rock from Ventura County. Features lyrics, mp3s and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.caredemption.com/"} +{"d:Title": "Croquet Killers", "d:Description": "Official site of Colorado punk band featuring information about the band as well as the players.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://croquetkillers.tripod.com/"} +{"d:Title": "Commitment Perseverance Attitude", "d:Description": "Official site includes pictures, music samples and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/punk3/cpa/"} +{"d:Title": "Cluster Bomb Unit", "d:Description": "Crusty hardcore punk from Germany. Site comes with pictures, MP3s and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.clusterbombunit.com/"} +{"d:Title": "Calvin", "d:Description": "Official site all about the French pop-punk game-core band. Site is in French and English.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://calvinsite.free.fr/"} +{"d:Title": "Clearly Blurred", "d:Description": "From St. Pete, Florida. Inside you will find show dates, pictures and mp3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C", "url": "http://www.angelfire.com/myband/clearlyblurred/"} +{"d:Title": "TrouserPress.com - Conflict", "d:Description": "Reviews of the band's output with a brief discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C/Conflict", "url": "http://www.trouserpress.com/entry.php?a=conflict"} +{"d:Title": "Crass Interviews", "d:Description": "Interviews with G Sus and Penny Rimbaud by Richie Unterberger.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C/Crass", "url": "http://www.furious.com/perfect/crass.html"} +{"d:Title": "TrouserPress.com - Crass", "d:Description": "Commentary and reviews of the band's recorded output along with a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C/Crass", "url": "http://www.trouserpress.com/entry.php?a=crass"} +{"d:Title": "A Crass Tribute", "d:Description": "A fan site with the various artwork of Crass and a band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C/Crass", "url": "http://crasspunker.tripod.com/index.html"} +{"d:Title": "The Unofficial Crucifucks and Dead Kennedys Homepage", "d:Description": "Dedicated to the outlandish punk band from the mid-80s, who in the mid 90s reformed and put out another record. Profile, images, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C/Crucifucks,_The", "url": "http://www.angelfire.com/ca/ebr/index.html"} +{"d:Title": "Mark Prindle: The Crucifucks", "d:Description": "Reviews of their albums, including Doc Corbin Dart's solo album.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C/Crucifucks,_The", "url": "http://www.markprindle.com/crucif.htm"} +{"d:Title": "TrouserPress.com: Crucifucks", "d:Description": "Review of their career and recordings through 1990.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/C/Crucifucks,_The", "url": "http://www.trouserpress.com/entry.php?a=crucifucks"} +{"d:Title": "Die Strafe", "d:Description": "Dark punk band, minimalistic, neurotic. In German mostly.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.die-strafe.de/"} +{"d:Title": "Dead Set Against", "d:Description": "Ska/punk/reggae band from Stoke-on-Trent. Biography, pictures, and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.angelfire.com/punk/dsa/index.html"} +{"d:Title": "Defex", "d:Description": "An east coast punk band from New York City. Their mix of hardcore, poppy punk, grunge, and metal makes a unique sound.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.angelfire.com/de2/DEFEX/"} +{"d:Title": "Diabollocks, The", "d:Description": "Official site of this punk band from Chicago.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.angelfire.com/punk/diabollocks/"} +{"d:Title": "Disappointments, The", "d:Description": "Three piece punk band from Clearwater, FL. Official site includes news, pictures, and sound file.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://punkrockjimmy.tripod.com/"} +{"d:Title": "Dummy", "d:Description": "The official site of this Chicago punk rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.underwhelm.com/"} +{"d:Title": "DV8", "d:Description": "The official site of the band. Includes information, lyrics, MP3s, pictures of the band and their scene and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.angelfire.com/punk/dv8goatcore/"} +{"d:Title": "Dollyrots, The", "d:Description": "Show dates, pictures, songs and information on Bubblegum punk from Los Angeles, CA with a spunky chick on lead vocals.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.dollyrots.com/"} +{"d:Title": "Ded Bugs, The", "d:Description": "Gig dates, profiles, biography, and merchandise for the St. Louis punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://dedbugs.com/"} +{"d:Title": "Drowning Fish", "d:Description": "Pop-punk band from Orange County, California.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.drowningfish.com/"} +{"d:Title": "Destination Unknown", "d:Description": "A South Bay punk band. The site has pictures, show dates, biographies.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.destinationunknown.8k.com/"} +{"d:Title": "D.I.", "d:Description": "Site for the long running Orange County, CA band. Site has photos (including stills from the bands appearance in Suburbia), discography with album covers and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://teamgoon.com/"} +{"d:Title": "Dorks, The", "d:Description": "Chicago punk rock band site featuring picture, MP3s, band biography, upcoming shows and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.angelfire.com/music3/thedorks/index.html"} +{"d:Title": "Devotchkas", "d:Description": "All female NYC punk band with a '77 style sound combined with oi!. Unofficial page.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.angelfire.com/fl2/puncrocker/devotchkas.html"} +{"d:Title": "Depantsing Goats, The", "d:Description": "Punk band from Chicago, Illinois. Pictures, music samples, lyrics, show information, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://ilovedpg3.tripod.com/thedepantsinggoats/"} +{"d:Title": "Delayed Response", "d:Description": "Official site for the band features news, pictures and a list of upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.angelfire.com/rebellion/delayedresponse/"} +{"d:Title": "Droogs Don't Run", "d:Description": "Old school punk from southern New Jersey. Official site has MP3s, a band history and member profiles.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://ddr14.tripod.com/"} +{"d:Title": "Dalkon Shield", "d:Description": "The only band named after a defective birth control device. Band slogan - modern love is a corporate crime.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://www.dalkonshield.com/"} +{"d:Title": "Double Standard", "d:Description": "Punk/ska/core band from Irvington, NY. News, profiles, sound files, pictures, show dates, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D", "url": "http://doublestandard.tripod.com/"} +{"d:Title": "DRI Lyrics at Rockmagic.net", "d:Description": "105 songs from albums \"Dirty Rotten LP\", \"Violent Pacification\", \"Dealing With It!\", \"Crossover\", \"Four Of A Kind\", \"Thrash Zone\", \"Definition\", \"Full Speed Ahead\".", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/D.R.I.", "url": "http://lyrics.rockmagic.net/lyrics/dirty_rotten_imbeciles/"} +{"d:Title": "TrouserPress.com - D.R.I.", "d:Description": "Discography, commentary and reviews about the band's recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/D.R.I.", "url": "http://www.trouserpress.com/entry.php?a=dri"} +{"d:Title": "TrouserPress.com - Dag Nasty", "d:Description": "A discography, commentary and reviews of the band's recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dag_Nasty", "url": "http://www.trouserpress.com/entry.php?a=dag_nasty"} +{"d:Title": "Official Damned.com", "d:Description": "Official web site of legendary psychedelic punk group.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Damned,_The", "url": "http://www.officialdamned.com/"} +{"d:Title": "The Damned", "d:Description": "Information about the British punk band, including tour dates, discography of The Damned and Captain Sensible plus song lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Damned,_The", "url": "http://homepages.nildram.co.uk/~culttv/dam1.htm"} +{"d:Title": "TrouserPress.com - Damned", "d:Description": "Commentary, reviews of the band's recordings as well as a brief discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Damned,_The", "url": "http://www.trouserpress.com/entry.php?a=damned"} +{"d:Title": "All Music Guide: The Damned", "d:Description": "Band biography, discography, select cover art and links to related artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Damned,_The", "url": "http://www.allmusic.com/artist/the-damned-mn0000138520"} +{"d:Title": "ClePunk: Dead Boys", "d:Description": "Lineup, photos, and submitted stories.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Boys,_The", "url": "http://www.clepunk.com/bands/deadboys/index.htm"} +{"d:Title": "TrouserPress.com: Dead Boys", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Boys,_The", "url": "http://www.trouserpress.com/entry.php?a=dead_boys"} +{"d:Title": "Stiv Bators", "d:Description": "Biography, news and information about the Dead Boys' frontman.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Boys,_The", "url": "http://www.stivbators.com/"} +{"d:Title": "The Unofficial Crucifucks and Dead Kennedys Homepage", "d:Description": "Band history, tabs and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys", "url": "http://www.angelfire.com/ca/ebr/index.html"} +{"d:Title": "The Official Dead Kennedys website", "d:Description": "Official site for the reformed band with information, tour dates, reviews and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys", "url": "http://www.deadkennedys.com/"} +{"d:Title": "Dead Kennedys News", "d:Description": "The latest news and information on the Dead Kennedys vs. Alternative Tentacles dispute from the reformed band's point of view.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys", "url": "http://www.deadkennedysnews.com/"} +{"d:Title": "TrouserPress.com - Dead Kennedys", "d:Description": "Commentary, a discography and reviews of the band's recorded output.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys", "url": "http://www.trouserpress.com/entry.php?a=dead_kennedys"} +{"d:Title": "All Music Guide: Dead Kennedys", "d:Description": "Band biography, discography, cover art and links to related artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys", "url": "http://www.allmusic.com/artist/dead-kennedys-mn0000786613"} +{"d:Title": "Vote Jello in 2000", "d:Description": "A past effort to draft the former Dead Kennedys singer as a Green Party candidate. Includes a statement and platform from Biafra.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys/Biafra,_Jello", "url": "http://www.angelfire.com/punk/jello2000/"} +{"d:Title": "Jello Biafra Keynote Address", "d:Description": "Biafra addresses the H2K Hacker Convention.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys/Biafra,_Jello", "url": "http://www.h2k.net/post/panels.html"} +{"d:Title": "Nardwuar vs. Jello Biafra", "d:Description": "An interview with Jello Biafra conducted by Nardwuar the Human Serviette.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys/Biafra,_Jello", "url": "http://nardwuar.com/vs/jello_biafra/"} +{"d:Title": "TrouserPress.com: Jello Biafra", "d:Description": "Review of his solo career and side projects, including Lard.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dead_Kennedys/Biafra,_Jello", "url": "http://www.trouserpress.com/entry.php?a=jello_biafra"} +{"d:Title": "Anthony's Descendents Page", "d:Description": "Profile, images, tabs, and song samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Descendents", "url": "http://descend.4mg.com/"} +{"d:Title": "TrouserPress.com - Descendents", "d:Description": "A brief history, commentary and reviews of the band, as well as a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Descendents", "url": "http://www.trouserpress.com/entry.php?a=descendents"} +{"d:Title": "Dropdead Lyrics", "d:Description": "Dropdead song lyrics indexed by album and alphabetically. 60 songs from albums \"7\" EP with no title\", \"Dropdead/Rupture-split 8\" - 1992\", \"Dropdead/Crossed Out-split 5\" - 1993\", \"2nd LP with no title\".", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/D/Dropdead", "url": "http://lyrics.rockmagic.net/lyrics/dropdead/"} +{"d:Title": "EZF", "d:Description": "Information compiled by the sound tech about this four piece fast paced punk band from Amherst and Boston Massachusetts.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E", "url": "http://ezf.8k.com/"} +{"d:Title": "Enemy of Society", "d:Description": "Site for this punk band has a biography, pictures and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E", "url": "http://www.angelfire.com/punk/united1/"} +{"d:Title": "Exit 46", "d:Description": "Punk rock band from Reno, Nevada. Member profiles, show dates, lyrics, song clips, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E", "url": "http://www.angelfire.com/punk/exit46/"} +{"d:Title": "Endless Myke", "d:Description": "Four piece emo-punk from San Antonio, TX. Site has pictures, sounds and additional information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E", "url": "http://members.tripod.com/endlessmyke/index.htm"} +{"d:Title": "Eastfield", "d:Description": "Urban rail trainspotting punk band from Birmingham, England.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E", "url": "http://www.eastfieldrailpunk.co.uk"} +{"d:Title": "Spoiled Whine-Interviews - Ensign", "d:Description": "Interview of Tim Shaw by Terry Spoiled, with show photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E/Ensign", "url": "http://www.angelfire.com/ca/SpoiledInterviews/ensign.html"} +{"d:Title": "Eskimo Joe", "d:Description": "Official band website with news, pictures, lyrics, history, biographies, tour information, a message board and links to some fan sites about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E/Eskimo_Joe", "url": "http://eskimojoe0.tripod.com/"} +{"d:Title": "TrouserPress.com - Exploited", "d:Description": "Reviews, discography and commentary on the band and its output prior to 1991.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/E/Exploited,_The", "url": "http://www.trouserpress.com/entry.php?a=exploited"} +{"d:Title": "Farrago", "d:Description": "A punk rock band from Upstate New York, Warped Tour participants, with pictures, audio, show dates, merchandise and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://farragofun.tripod.com/"} +{"d:Title": "Fifteen", "d:Description": "Messageboard, lyrics and tabs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.skatedork.org/fifteen/"} +{"d:Title": "Floodbar", "d:Description": "Official site of this band from Mishawaka, Indiana. Includes biography, show dates, sound files, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://floodbar.iwarp.com/"} +{"d:Title": "Forgotten Rebels", "d:Description": "Canadian punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.forgottenrebels.com/"} +{"d:Title": "Fratricide", "d:Description": "Australian punk band. News, gig dates, history, lyrics, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://fratricide.8m.com/"} +{"d:Title": "Factor Max", "d:Description": "San Antonio, Texas punk influenced by both old school and new school. Site features pictures, lyrics and member information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk2/FactorMax/"} +{"d:Title": "Fighting Fifth, The", "d:Description": "Positive and intense straight edge band from Minnesota, with show schedule and merchandise information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://tf5.20m.com/"} +{"d:Title": "For All It's Worth", "d:Description": "Photographs, merchandise, biography, lyrics, and show dates of this melodic hardcore band from Connecticut.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.angelfire.com/band/forallitsworth/"} +{"d:Title": "Fried Green", "d:Description": "Las Vegas and Henderson, Nevada punk band from 1989-1994 with pictures, flyer art and record label information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk/friedgreen/"} +{"d:Title": "Fc12", "d:Description": "Lyrics, tour dates, and photographs of this emo, skate punk band from Omaha, Nebraska.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://groups.yahoo.com/group/fc12/"} +{"d:Title": "First Impression", "d:Description": "Montreal based punk band. Links to mp3s, upcoming shows and more about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk3/firstimpression/"} +{"d:Title": "Fidjits, The", "d:Description": "Official site for the Chicago based punk band. Site has lyrics and band news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk3/fidjits/"} +{"d:Title": "First Class Nuisance", "d:Description": "An Indiana based band with street punk/hardcore influences. MP3s and more available on the site.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.angelfire.com/punk3/firstclassnuisance/"} +{"d:Title": "Fourth Grade Nothing", "d:Description": "Tribute site to this pop punk band from Austin, TX.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://fourth_grade_nothing.tripod.com/"} +{"d:Title": "Fourbanger", "d:Description": "Mesa, Arizona melodic punk band that self-produced their album \"Start Your Engines.\" Site has band profiles, MP3s and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://www.fourbanger.com/"} +{"d:Title": "Falling Down", "d:Description": "Punk/grunge band from Savannah, GA. Profiles, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://fallingdown13.tripod.com/index.html"} +{"d:Title": "F2", "d:Description": "Official site. Influenced by Big Black. Site features band news and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/F", "url": "http://buskethead.tripod.com/F2/frames.htm"} +{"d:Title": "Government Issue", "d:Description": "Discography, MP3s, and other band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G", "url": "http://teenwarn.tripod.com/government-issue/"} +{"d:Title": "Goons, The", "d:Description": "Punks from Washington D.C. featuring streaming shockwave audio, flyer art, show listings and opinions.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G", "url": "http://www.thegoons.com/"} +{"d:Title": "G.I.S.M.", "d:Description": "The original Japanese cult hardcore band. Site is in English and has a discography and a gallery.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G", "url": "http://www.globaldarkness.com/cult/gism/"} +{"d:Title": "G.I. Jesus", "d:Description": "Site dedicated to the punk band with lyrics and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G", "url": "http://www.angelfire.com/punk2/gijesus/"} +{"d:Title": "Guyana Punch Line", "d:Description": "Official site featuring upcoming shows and band related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G", "url": "http://www.angelfire.com/sc2/smashism/index.html"} +{"d:Title": "Grotto", "d:Description": "Punk rock from St. Paul, Minnesota. Download MP3s, view photographs and discover more about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G", "url": "http://www.angelfire.com/mn3/grotto/index.html"} +{"d:Title": "Getaway Drivers, The", "d:Description": "This site has gig dates, band information and pictures .", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G", "url": "http://thegetawaydrivers.tripod.com/"} +{"d:Title": "Punk 77: Generation X", "d:Description": "History with pictures, vintage quotes, and an audio clip.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Generation_X", "url": "http://www.punk77.co.uk/groups/generationx.htm"} +{"d:Title": "TrouserPress.com - Generation X", "d:Description": "Reviews and commentary on the band and their recorded output as well as a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Generation_X", "url": "http://www.trouserpress.com/entry.php?a=generation_x"} +{"d:Title": "TrouserPress.com - Germs", "d:Description": "Discography, commentary about the band and record reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Germs,_The", "url": "http://www.trouserpress.com/entry.php?a=germs"} +{"d:Title": "Germs Manimal Page", "d:Description": "This fanpage has lyrics, pictures, tablature, member biographies, reviews and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Germs,_The", "url": "http://www.stormpages.com/germs/"} +{"d:Title": "MTV: The Germs", "d:Description": "Listen, look, discuss and learn about Pat, Darby, Lorna and Don.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Germs,_The", "url": "http://www.mtv.com/artists/the-germs/"} +{"d:Title": "Steve's Germ Page", "d:Description": "History and pictures of the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Germs,_The", "url": "http://punkcrash.tripod.com/"} +{"d:Title": "All Music Guide: The Germs", "d:Description": "Artist biography, discography and links to related artists.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Germs,_The", "url": "http://www.allmusic.com/artist/the-germs-mn0000076055"} +{"d:Title": "An Evening with the Get Up Kids", "d:Description": "Story from a fan's perspective of a 1997 concert.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Get_Up_Kids,_The", "url": "http://annie.newdream.net/getupkids.htm"} +{"d:Title": "Decapolis: Rob Pope of the Get Up Kids", "d:Description": "Interview by Luke Harlow with the band's bass player.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Get_Up_Kids,_The", "url": "http://www.decapolis.com/musicreviews/interviews/getupkid.shtml"} +{"d:Title": "In Music We Trust: Get Up Kids, The", "d:Description": "Review by Alex Steininger of \"Something to Write Home About.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Get_Up_Kids,_The", "url": "http://www.inmusicwetrust.com/articles/24r12.html"} +{"d:Title": "Ink Nineteen: The Get Up Kids", "d:Description": "Brief review by Andrew Chadwick of \"Four Minute Mile.\"", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Get_Up_Kids,_The", "url": "http://www.ink19.com/issues_F/98_03/wet_ink/music_fh/050_the_get_up_kids_nf.html"} +{"d:Title": "GOBster", "d:Description": "Dedicated to the punk band from Langely, British Columbia, includes news, pictures, information, tour dates and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://www.angelfire.com/punk2/gob/"} +{"d:Title": "Mark's Gob Page", "d:Description": "Tabs, lyrics, links, tour dates, multimedia and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://www.angelfire.com/nf/gobkickass/"} +{"d:Title": "Get Gob'd On", "d:Description": "Fan site with a picture gallery, discography and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://members.tripod.com/cole_slaw/gob.html"} +{"d:Title": "Atlyrics.com - Gob", "d:Description": "Lyrics for the Canadian punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://www.atlyrics.com/g/gob/index.html"} +{"d:Title": "The Un-official Gob Page", "d:Description": "Includes biographies, pictures, discography, news and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://www.angelfire.com/punk3/gob0/"} +{"d:Title": "Gob Fan Site", "d:Description": "Site that encourages fan participation. Has exclusive pictures and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://www.angelfire.com/punk2/staypunkstayfree/gob.html"} +{"d:Title": "Yahoo Group", "d:Description": "Offers chat and message board for fans.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://groups.yahoo.com/group/gob3/"} +{"d:Title": "The Online Music Source", "d:Description": "Information, pictures and lyrics. Some exclusive content.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Gob", "url": "http://www.theonlinemusicsource.com/gob.html"} +{"d:Title": "MTV: Good Riddance", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, bulletin boards and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Good_Riddance", "url": "http://www.mtv.com/artists/good-riddance/"} +{"d:Title": "Groovie Ghoulies", "d:Description": "News, photos, discography, tablature, photos, audio and show information straight from the band.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Groovie_Ghoulies", "url": "http://www.groovie-ghoulies.com/"} +{"d:Title": "Groovie Ghoulies: rockzone.com", "d:Description": "Interview of Kepi, by Samuel Barker.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Groovie_Ghoulies/Articles_and_Interviews", "url": "http://www.rockzone.com/interviews/gg.shtml"} +{"d:Title": "Groovie Ghoulies: Re-Animation Festival", "d:Description": "Review of Re-Animation Festival by Scott Hefflon.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Groovie_Ghoulies/Articles_and_Interviews/Reviews", "url": "http://www.lollipop.com/issue41/41-4c-03.html"} +{"d:Title": "The Groovie Ghoulies: Ink Nineteen", "d:Description": "Review of their show at The Covered Dish, in Gainesville, Florida.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Groovie_Ghoulies/Articles_and_Interviews/Reviews", "url": "http://www.ink19.com/issues_F/99_06/live/024_the_groovie_ghoulies.shtml"} +{"d:Title": "Groovie Ghoulies", "d:Description": "Yaz reviews a gig.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/G/Groovie_Ghoulies/Articles_and_Interviews/Reviews", "url": "http://www.yaz-zine.co.uk/NARS/Vol9/groovy.html"} +{"d:Title": "Hiding Remington", "d:Description": "Punk band straight outta Chicago. Hardcore/Old School/Oi and no Pop-punk at all.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H", "url": "http://www.angelfire.com/hi2/hidingremington/"} +{"d:Title": "Holden", "d:Description": "Philadelphia based emo band. Official site includes news, show dates, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H", "url": "http://www.angelfire.com/indie/holden/"} +{"d:Title": "Helen", "d:Description": "Punk rock band from Albuquerque, New Mexico. Includes pictures, music samples, lyrics, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H", "url": "http://www.samstoybox.com/helen/"} +{"d:Title": "Hippo Metropolis, The", "d:Description": "Punk band site from Stratford, Connecticut.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H", "url": "http://thehippometropolis.freeservers.com/"} +{"d:Title": "Humanoids, The", "d:Description": "A heavy hitting horror band from Bainbridge Island, Washington. Features pictures, mp3s and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H", "url": "http://www.angelfire.com/sd/humanoids/"} +{"d:Title": "Heideroosjes", "d:Description": "Dutch punk band site, with merchandise, RealAudio and RealVideo as well as tour journals. [Dutch/French/English]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H", "url": "http://www.heideroosjes.nl/"} +{"d:Title": "Nina Hagen Photographs", "d:Description": "A small collection of photographs of Nina Hagen taken in 1980 by photographer Jorgen Angel.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Hagen,_Nina", "url": "http://www.angel.dk/hagen/"} +{"d:Title": "Nina Hagen Electronic Shrine", "d:Description": "A fan site featuring song clips, photos, lyrics, videos, stories of Nina sightings, links and mailing list information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Hagen,_Nina", "url": "http://www.totalobscurity.com/nina/"} +{"d:Title": "Zarah Discussion", "d:Description": "Members-only Yahoo Group discussion dedicated to discussing Nina Hagen and related information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Hagen,_Nina", "url": "http://groups.yahoo.com/group/zarah/"} +{"d:Title": "ArtistsDirect: Nina Hagen", "d:Description": "Bulletin board and links to discography, biography and other related artists and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Hagen,_Nina", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,439922,00.html?src=search&artist=Nina+Hagen"} +{"d:Title": "All Music Guide: Nina Hagen", "d:Description": "Short biography and full discography with album track listings, cover art, recording credits, and related albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Hagen,_Nina", "url": "http://www.allmusic.com/artist/nina-hagen-mn0000414016"} +{"d:Title": "Photo Gallery of Husker Du", "d:Description": "Photographs of the Warehouse tour.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Husker_Du", "url": "http://www.artrocity.com/rockshots/husker_du.htm"} +{"d:Title": "TrouserPress.com: H\u00fcsker D\u00fc", "d:Description": "A discography as well as commentary and reviews about the band and their recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Husker_Du", "url": "http://www.trouserpress.com/entry.php?a=husker_du"} +{"d:Title": "Scott's Rock and Soul Album Reviews: Husker Du", "d:Description": "Reviews and analysis of the band's albums.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Husker_Du", "url": "http://sfloman.com/huskerdu.html"} +{"d:Title": "Husker Du Database", "d:Description": "Paul Hilcoff's database of all things Husker Du including an exhaustive discography and images.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/H/Husker_Du", "url": "http://www.thirdav.com/hddb.shtml"} +{"d:Title": "Idiots Running Society", "d:Description": "Includes news and audio/video files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://www.fearless-inc.8m.com/IRS.html"} +{"d:Title": "I Know Mike Neumann", "d:Description": "Punk band from Illinois. News, show dates, profiles, pictures, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://www.angelfire.com/il2/iknowmiken/"} +{"d:Title": "Inept", "d:Description": "Punk rock band from Toronto, Canada. Official site includes news, history, show dates, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://www.angelfire.com/in2/inept/"} +{"d:Title": "Irish Hot Dogs", "d:Description": "Original style of Punk Rock, with band information, and multimedia.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://ihd.8m.com/"} +{"d:Title": "Inspectors, The", "d:Description": "Punk rock band from Bradford, England. Site has upcoming gig list, news and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://www.angelfire.com/id/Rockshock/"} +{"d:Title": "Insubordinates, The", "d:Description": "Show dates, news, merchandise, lyrics, history, pictures and information on the band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://www.angelfire.com/nj2/veganoi/theinsubordinates/main.html"} +{"d:Title": "If All Else Fails", "d:Description": "official website of the punk rock band from Lancashire, UK. Lyrics, upcoming gigs and more band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://www.angelfire.com/band2/chip2/"} +{"d:Title": "International Businessmen, The", "d:Description": "Band located in Seattle, WA. Site has flyers, band member profiles and band news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://intlbmen.tripod.com/"} +{"d:Title": "Inadequates, The", "d:Description": "Punk rock from Liverpool UK. Heavily influenced by the Ramones.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://inadequates.tripod.com/"} +{"d:Title": "In These Days", "d:Description": "In These Days are a Birmingham-based band formed in the early summer of 2001 who play melodic hardcore punk.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://www.b13.co.uk/itd"} +{"d:Title": "Il Lust", "d:Description": "Progressive screamo band from Sioux City, Iowa. News, biography, contact information, photos, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://thelust.tripod.com/"} +{"d:Title": "Interrobang Cartel", "d:Description": "Usenet band with member profiles, lyrics, MP3s, and release information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/I", "url": "http://interrobang.jwgh.org/"} +{"d:Title": "Jesuschmesus", "d:Description": "Band site with album cover art, news, song lyrics and a link to MP3 downloads from their mp3.com.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://www.angelfire.com/band/jesuschmesus/"} +{"d:Title": "John's Gross Finger", "d:Description": "Unsigned DIY power-punkers from Central New York. Show dates, pictures, and songs in MP3s and Real Audio.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://www.angelfire.com/ny/johnsgrossfinger/"} +{"d:Title": "JFA", "d:Description": "Home turf for the skatepunk pioneers. Site has JFA art, discography, band stories, skateboarding spots and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://home.earthlink.net/~brianjfa/"} +{"d:Title": "Jerk Alert", "d:Description": "An Iowa based hardcore pop band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://www.angelfire.com/punk/jerkalert/"} +{"d:Title": "Just For Today", "d:Description": "Official site of the melodic punk trio from South Florida.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://www.angelfire.com/punk2/JustForToday/"} +{"d:Title": "Jackass-85", "d:Description": "\"A perfect example of a Winchester, KY punk band with no talent.\" - Jackass-85", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://www.angelfire.com/punk2/jackass85/"} +{"d:Title": "John Q.Public", "d:Description": "Hardcore/punk band in the vein of Kid Dynamite or Propagandhi with a habit of breaking equipment and each other. Site has news, show information, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://www.angelfire.com/in/jqpublic/johnqpublic.html"} +{"d:Title": "Just Another Name", "d:Description": "A three piece skate punk/hardcore band from New Jersey.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://www.bcecp.8k.com/"} +{"d:Title": "Jitterbug Perfume", "d:Description": "An all-girl punk/indie band hailing from northeastern PA. Site has band member biographies as well as lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/J", "url": "http://jitterbugperfume.deep-ice.com/"} +{"d:Title": "Kansas City Stars", "d:Description": "Includes show dates, pictures and lyrics for the band from Redding, CA.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/K", "url": "http://www.angelfire.com/punk/kansascitystars/index.html"} +{"d:Title": "Knutzak", "d:Description": "The home page for the Olympia, WA funk/punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/K", "url": "http://www.angelfire.com/wa/knutzak/"} +{"d:Title": "Kommunity FK", "d:Description": "A punk-goth crossover band that appeared in the wake of the LA punk explosion, around the early-80's. Site has links and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/K", "url": "http://www.deathrock.com/kommunityfk/"} +{"d:Title": "Knowledge", "d:Description": "Includes lyrics, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/K", "url": "http://www.angelfire.com/punk/link80knowledge/Knowledge.html"} +{"d:Title": "KBH", "d:Description": "Old school punk music from Orange County. Site has pictures, MP3s and band news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/K", "url": "http://www.angelfire.com/ca7/kbh/"} +{"d:Title": "Krush", "d:Description": "Information about the Dutch grind/crustcore band. News, shows, downloads and links to other related Dutch punk bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/K", "url": "http://www.xs4all.nl/~row/krush/"} +{"d:Title": "Letterbomb", "d:Description": "A powerpunk group from Humboldt County, California, includes show dates, pictures, and audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://letterbomb.iwarp.com/"} +{"d:Title": "Little Albert", "d:Description": "A site for this Philly based pop-punk band with information on upcoming shows, news, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.angelfire.com/pa/bulemic/"} +{"d:Title": "Lose Money", "d:Description": "Punk rock 'n roll from Hawaii. Site has pictures, news and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.angelfire.com/hi2/losemoney/"} +{"d:Title": "Life After Bed", "d:Description": "The official site for the lazy band from Anderson, Indiana. Melodic punk rock. Site features MP3s, a band history and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.angelfire.com/in3/lifeafterbed/"} +{"d:Title": "Later Days", "d:Description": "The site features a discography, upcoming events for the pop punk band and a band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://laterdaystheband.tripod.com/"} +{"d:Title": "Later Daze", "d:Description": "An emo punk band from Charlottetown, PEI Canada.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.angelfire.com/punk2/laterdaze/"} +{"d:Title": "Last Left", "d:Description": "A three piece pop punk band from New Jersey. Site features photographs, contact information and band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.angelfire.com/nj3/lastleft/"} +{"d:Title": "Last In Line", "d:Description": "A punk band from Franklin Park, IL. Site features a biography, pictures and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.angelfire.com/punk3/lastinline3eva/"} +{"d:Title": "Larger Than Life", "d:Description": "Fansite for the pop-punk band from San Diego, CA.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://largerthenlifefan.tripod.com/"} +{"d:Title": "Love Songs", "d:Description": "Punk band from Northern California. News, mp3s and a show list.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.yourmother.com/lovesongs"} +{"d:Title": "List, The", "d:Description": "Homepage for the three piece ska punk emo band based in Davis, CA.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://thelistmusic.tripod.com/"} +{"d:Title": "Lidix", "d:Description": "Discography, lyrics, MP3s, tour dates, guestbook and biography about the pop punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.angelfire.com/or3/lidix/"} +{"d:Title": "Lil Bunnies", "d:Description": "Sacramento band with discography, images, tour dates, press, reviews, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L", "url": "http://www.nokilli.com/Lilbunnies/"} +{"d:Title": "Ink Nineteen: Lower Class Brats", "d:Description": "A review of \"Rather Be Hated Than Ignored\".", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/L/Lower_Class_Brats", "url": "http://www.ink19.com/issues_F/98_08/wet_ink/music_km/074_lower_class_brats_nf.html"} +{"d:Title": "Moral Threat", "d:Description": "Includes show dates, pictures, lyrics, and sound files for the punk band from La Mesa, CA.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://moralthreat.tripod.com/"} +{"d:Title": "Mr. Firley", "d:Description": "Mr. Firley is a melodic punk band. Check out their sound clips and tour dates. Official Site.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.mrfirley.com/"} +{"d:Title": "Mr. Zippy", "d:Description": "Skate punk from North Somerset UK. Site features profiles, discography, multimedia and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.mrzippy.co.uk/"} +{"d:Title": "Milfshake", "d:Description": "A punk band, with music that is a combination of the Blink-182 and Deftones sounds.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.angelfire.com/myband/milfshake/"} +{"d:Title": "Much The Same", "d:Description": "Fast, aggressive, melodic punk rock from Chicago. For fans of Fat Wreck and Epitaph bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.muchthesame.com/"} +{"d:Title": "Miniwatt", "d:Description": "A three piece indie, new wave, no wave, punk band Providence, Rhode Island, with audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.miniwatt.com/"} +{"d:Title": "Melted Cat", "d:Description": "Official site for theis Atherton Manchester band. With news, gig details, biographies, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.angelfire.com/band/meltedcat/"} +{"d:Title": "Mob, The", "d:Description": "Early 80's UK punk from the Crass scene.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.deathrock.com/mob/main.html"} +{"d:Title": "Meridien", "d:Description": "Photos, show list, interview, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.angelfire.com/band/meridian/"} +{"d:Title": "Mittageisen", "d:Description": "Includes news, sound files, discography, lyrics and reviews for the Swiss new wave/punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.mital-u.ch/mittageisen/"} +{"d:Title": "Milford", "d:Description": "A Florida-based indie/emo band site, with a biography and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.angelfire.com/myband/milford/index.html"} +{"d:Title": "Mindside 19", "d:Description": "Site for a Baltimore area punk band with mp3s, pictures, links and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://mindside19.iwarp.com/"} +{"d:Title": "Mad Caddies", "d:Description": "Fan site for the ska-punk band with a band history, discography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M", "url": "http://www.madcaddies.net/"} +{"d:Title": "Me First and the Gimme Gimmes", "d:Description": "Unofficial site with a biography and history of the band, song lyrics, interviews, and photographs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Me_First_and_the_Gimme_Gimmes", "url": "http://home.kpn.nl/boeradje/mfatgg.html"} +{"d:Title": "Misfits", "d:Description": "Back after 13 years (the band, not the site).", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.angelfire.com/mi/misfits420/index.html"} +{"d:Title": "Horror Business", "d:Description": "Not your average Misfits fan page...or is it?", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://members.tripod.com/~horror_business/"} +{"d:Title": "The Ultimate Michale Graves Fan Site", "d:Description": "Dedicated to the Misfits' lead singer. Includes news, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.angelfire.com/punk/michalegraves/"} +{"d:Title": "The Misfits", "d:Description": "The official website.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.misfits.com/"} +{"d:Title": "TVCasualty.co", "d:Description": "Information on the Misfits and related bands Samhain and Danzig.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.tvcasualty.com/"} +{"d:Title": "Misfits Central", "d:Description": "Collector's news, lyrics, tablature, discography and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.misfitscentral.com/"} +{"d:Title": "Misfits Chamber, The", "d:Description": "A fan site with latest news, pictures and links dedicated to The Misfits.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.angelfire.com/celeb/jennypics/"} +{"d:Title": "Norms Fiend Site", "d:Description": "Contains pictures and graphics, information about the author and local bands including the South Jersey Misfits Tribute Band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.angelfire.com/punk/normisinsane/"} +{"d:Title": "One Last Caress", "d:Description": "Misfits multimedia source for MP3s, lyrics, photos, and a bio.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.angelfire.com/punk3/1lastcaress/"} +{"d:Title": "TrouserPress.com - Misfits", "d:Description": "Commentary and reviews of the band's recordings along with a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.trouserpress.com/entry.php?a=misfits"} +{"d:Title": "Misfits Songbook, The", "d:Description": "Lyrics, chords and tabs for guitar and bass to all songs the Misfits recorded between 1977 and 1983.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://hem.bredband.net/misfitssongbook/"} +{"d:Title": "MTV: The Misfits", "d:Description": "Including album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://www.mtv.com/artists/misfits-1/"} +{"d:Title": "Misfits", "d:Description": "Includes news, biography, and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/M/Misfits", "url": "http://misfits.stormloader.com/"} +{"d:Title": "Nuns, The", "d:Description": "Having endured the wasteland of post-punk decadence, this band now lives in dark, deep purple velvet prison in the dungeons of Manhattan.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.nyrock.com/the_nuns/"} +{"d:Title": "Nerve", "d:Description": "Official band site, with biographies, show dates and other information, pictures, a discography, audio samples and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.nerve.freeservers.com/"} +{"d:Title": "No Sink", "d:Description": "Punk band from Northern Kentucky, influenced by MxPx, Blink 182, and Offspring.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/punk/nosink/"} +{"d:Title": "No Such Luck", "d:Description": "An unsigned, Orange County-based band playing emo-style punk music. With biography, lyrics, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/ca6/commonenemy/"} +{"d:Title": "N.G.I.", "d:Description": "Surf/punk group from Australia, pictures of the band, lyrics, and sounds.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/al/ngipage/"} +{"d:Title": "Nothing Famous", "d:Description": "A punk band from Niskayuna, NY. News and band information as well as some pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/indie/nothingfamous/"} +{"d:Title": "Nailgun Lobotomy", "d:Description": "Band member information, biography, interviews, discography and show dates of this punk-metalcore band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/punk2/nailgunnightmare/"} +{"d:Title": "Negative American", "d:Description": "Site features pictures and band member information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/band/negativeamerican/index.html"} +{"d:Title": "New Low Price", "d:Description": "A site for a Las Vegas punk band featuring band information, lyrics and photographs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://newlowprice.tripod.com/"} +{"d:Title": "No Talent Show, The", "d:Description": "California pop-punk/ska band features the musicians' information, lyrics, upcoming gigs, FAQs, photos, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/indie/thenotalentshow/"} +{"d:Title": "No Deficiency", "d:Description": "An anti-discrimination band from Miami, Florida influenced by Rancid, Pennywise and NOFX. Site features upcoming shows, pictures and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.angelfire.com/punk/nodeficiency/"} +{"d:Title": "NRA", "d:Description": "Punk band from Amsterdam, Holland. With news, member biographies, song samples, lyrics and CD information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N", "url": "http://www.nratheband.com/"} +{"d:Title": "Dischord Records: Nation of Ulysses", "d:Description": "Specific information about each of the bands' releases on Dischord as well as a brief band biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/Nation_of_Ulysses", "url": "http://www.dischord.com/band/nation-of-ulysses"} +{"d:Title": "All Music Guide: Nation of Ulysses", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/Nation_of_Ulysses", "url": "http://www.allmusic.com/artist/the-nation-of-ulysses-mn0000381483"} +{"d:Title": "Fat Wreck Chords", "d:Description": "Home of Fat Mike, the singer/bass player of NoFX. Also, features Good Riddance, Lagwagon, Strung Out, Snuff, and No Use For A Name.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX", "url": "http://www.fatwreck.com/"} +{"d:Title": "NOFX Official Website", "d:Description": "Rare tour photographs, band history and family tree, an accurate discography, merchandise, and the opportunity to ask questions of the band.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX", "url": "http://www.nofxofficialwebsite.com/"} +{"d:Title": "NoFX", "d:Description": "Fan site featuring news, photos, videos, interviews, links and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX", "url": "http://nofx370.tripod.com/nofx"} +{"d:Title": "MTV: NOFX", "d:Description": "Presents news, a biography, RA clips, and music videos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX", "url": "http://www.mtv.com/artists/nofx/"} +{"d:Title": "James' NoFX Page", "d:Description": "Includes pictures, tabs, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX/Fan_Pages", "url": "http://www.angelfire.com/punk/nofxband/"} +{"d:Title": "Jeff's NoFX Page", "d:Description": "Includes a profile of the band and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX/Fan_Pages", "url": "http://www.angelfire.com/band/nofxl/"} +{"d:Title": "NoFX Kingdom", "d:Description": "Lyrics, photos, band information, discography, concert listings, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX/Fan_Pages", "url": "http://diakun69.tripod.com/nofx.htm"} +{"d:Title": "Best for the Best, The", "d:Description": "Extensive NoFX-site with many pictures, MP3s, lyrics, and tabs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX/Fan_Pages", "url": "http://bruintje.tripod.com/bruntje.htm"} +{"d:Title": "NoFX Dumpster, The", "d:Description": "Includes band information, albums, lyrics, tabs, sounds, FAQ, tour dates, message board and chat.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX/Fan_Pages", "url": "http://camsbrain.tripod.com/index.htm"} +{"d:Title": "Lyrics at Rockmagic.net", "d:Description": "NoFX song lyrics indexed by album and alphabetically. 41 songs from albums \"White Trash Two Heebs And A Bean\", \"Punk In Drublic\", \"Heavy Petting Zoo\".", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX/Lyrics", "url": "http://lyrics.rockmagic.net/lyrics/nofx/"} +{"d:Title": "LyricsOnDemand: NoFX", "d:Description": "Lyrics to many songs spanning the band's career.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/N/NoFX/Lyrics", "url": "http://www.lyricsondemand.com/n/nofxlyrics/index.html"} +{"d:Title": "Offset, The", "d:Description": "All you need to know about this three piece pop/emo punk band from central Minnesota.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/O", "url": "http://www.theoffset.com/"} +{"d:Title": "One Size Fits All", "d:Description": "Official site of the French band. Site has photos, MP3s and a video in AVI format. Some pages have English translations.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/O", "url": "http://osfa.free.fr/"} +{"d:Title": "Other Brother Darryl", "d:Description": "AA San Diego Punk band, formerly known as No Time. Featuring news, show dates, song samples, lyrics and band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/O", "url": "http://otherbrotherdarryl.4t.com/"} +{"d:Title": "One Day Life", "d:Description": "UK Pop-punk three-piece. News, biography, show dates, MP3s, lyrics, photos, merchandise, and forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/O", "url": "http://www.onedaylife.co.uk/"} +{"d:Title": "One White Whisker", "d:Description": "Surrey, UK band. Photos, biography, show dates, photos, forum, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/O", "url": "http://joeywhitewhisker.freeservers.com/"} +{"d:Title": "One Handed Readers", "d:Description": "South Bay band with photos, news, links, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/O", "url": "http://www.onehandedreaders.com/"} +{"d:Title": "Operation Ivy and Rancid Pictures", "d:Description": "Multiple galleries, plus lyrics, show dates and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/O/Operation_Ivy", "url": "http://www.angelfire.com/punk/operationrancid/"} +{"d:Title": "Pastrami Bodyslam", "d:Description": "The official website of the punk rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://nesnate216.tripod.com/"} +{"d:Title": "Pointy Boss", "d:Description": "Big Thing Point with official information on this Nottingham punk band, includes RealAudio demo tracks, live photos and up to date news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.pointyboss.co.uk/"} +{"d:Title": "Phantom Limbs, The", "d:Description": "Official home of this Oakland-based punk/deathrock/new wave/rock band. With biography, show schedule, photographs, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.thephantomlimbs.com/"} +{"d:Title": "Psychotic Reaction", "d:Description": "A band from Connecticut. Including news, biography, links, MP3s, show listings, pictures, lyrics, discography, and merchandise information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.psychoticreaction.net/"} +{"d:Title": "Pretty and Brainwashed", "d:Description": "Syracuse, New York political punk rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.angelfire.com/geek/pab/"} +{"d:Title": "Peggy Dale and Helen PDH", "d:Description": "Montreal, Canada three piece punk rock band.Site has band information, photographs and sounds.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk2/pdh/"} +{"d:Title": "Possessive Drive", "d:Description": "New school punk band from Pennsylavania.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk/osa/"} +{"d:Title": "Pulley", "d:Description": "Official site features information, sounds, photographs, videos and a fan forum.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.x-members.com/"} +{"d:Title": "Petulas 4 Favourite Things", "d:Description": "Pop punk band based in Bristol, UK. Site features a band diary, MP3s and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.p4ft.co.uk/"} +{"d:Title": "Paul Went Home", "d:Description": "UK based pop punk ska trio. Includes member profiles, gig dates, discography, photos and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk3/pmt/"} +{"d:Title": "Pantsless Ed", "d:Description": "Punk Band From Belleville Michigan. Site features band history and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk3/pantslessedsux/main.html"} +{"d:Title": "Pro-T76", "d:Description": "Emo and punk band from West Tennessee. Includes audio, band biography, and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P", "url": "http://frayser.tripod.com/boards.html"} +{"d:Title": "TrouserPress.com - Poison Girls", "d:Description": "A brief discography, commentary about the band as well as reviews of their musical recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P/Poison_Girls", "url": "http://www.trouserpress.com/entry.php?a=poison_girls"} +{"d:Title": "Propagandhi", "d:Description": "Official site includes lyrics, songs, essays, and politics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P/Propagandhi", "url": "http://propagandhi.com/"} +{"d:Title": "Grunnen Rocks: Pussy Galore", "d:Description": "Membership history and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P/Pussy_Galore", "url": "http://www.grunnenrocks.nl/index.html?bands/p/pussygal.htm"} +{"d:Title": "Ink Nineteen: Pussy Galore", "d:Description": "Review of the live album \"Live: In the Red\" recorded in 1989.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P/Pussy_Galore", "url": "http://www.ink19.com/issues_F/98_06/wet_ink/music_ps/063_pussy_galore_nf.html"} +{"d:Title": "TrouserPress.com: Pussy Galore", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P/Pussy_Galore", "url": "http://www.trouserpress.com/entry.php?a=pussy_galore"} +{"d:Title": "All Music Guide: Pussy Galore", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/P/Pussy_Galore", "url": "http://www.allmusic.com/artist/pussy-galore-mn0000858705"} +{"d:Title": "Quitters, The", "d:Description": "Rochester, NY based band. Official site includes history, pictures and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/Q", "url": "http://www.angelfire.com/de/quitters/"} +{"d:Title": "Quarterspent", "d:Description": "Three-piece pop punk from Portland. Biography, images, show dates, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/Q", "url": "http://www.angelfire.com/or3/quarterspent/"} +{"d:Title": "TrouserPress.com: Queers", "d:Description": "Review of the band's career and recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/Q/Queers,_The", "url": "http://trouserpress.com/entry.php?a=queers"} +{"d:Title": "Rasta Knast", "d:Description": "The website of the German-Swedish punk band. Includes news, audio samples and concert schedule.[English/German]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.rasta-knast.de/"} +{"d:Title": "Ranks", "d:Description": "The homepage for an Italian punk band with ska, reggae and hardcore influences.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.angelfire.com/punk/ranks/"} +{"d:Title": "Repose", "d:Description": "Hardcore punk band from the Seattle, WA area. Biographies, lyrics, show dates, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.angelfire.com/punk/REPOSE/index.html"} +{"d:Title": "Rubberneck", "d:Description": "Southern California punk rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.rubberneck-la.com/"} +{"d:Title": "Real McKenzies", "d:Description": "Grand defenders of the Scottish realm, with Scottish-pride filled pub-punk rock, featuring photos, tour dates, news, and mp3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.realmckenzies.com/"} +{"d:Title": "Rebellious Band, The", "d:Description": "Cincinnati based punk band. Site has tour dates, CD reviews and merchandise information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.angelfire.com/punk2/trb/"} +{"d:Title": "Really Smelly Socks", "d:Description": "Official band site, with pictures, album information, band history and flyers.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.angelfire.com/punk2/reallysmellysocks/"} +{"d:Title": "Rejected, The", "d:Description": "D.C. punk band site with a biography, contact information and a list of upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.angelfire.com/punk2/therejected/"} +{"d:Title": "Randal Grave", "d:Description": "Ska-punk from Brazil. Pictures, MP3 samples and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.randalgrave.com/"} +{"d:Title": "Restrung", "d:Description": "This is a band out of Farmington, CT with reggae/ska/punk influences. Site features MP3 downloads, an image gallery and a band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www.angelfire.com/super/restrung/index.html"} +{"d:Title": "Ruined By Reason", "d:Description": "Mississauga, Ontario based punk rock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://ruinedbyreason.tripod.com/"} +{"d:Title": "Riff Randells, The", "d:Description": "Three piece girl band from Vancouver, Canada. Containing pictures, tour dates, merchandise and biography, including tour diaries and juicy gossip.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R", "url": "http://www3.telus.net/riffrandells"} +{"d:Title": "All Music Guide: Refused", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R/Refused", "url": "http://www.allmusic.com/artist/refused-mn0000885375"} +{"d:Title": "TrouserPress.com - Rites of Spring", "d:Description": "Discography, reviews and commentary about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R/Rites_of_Spring", "url": "http://www.trouserpress.com/entry.php?a=rites_of_spring"} +{"d:Title": "Dischord - Bands - Rites of Spring", "d:Description": "A band site from the label that released all of the band's records.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R/Rites_of_Spring", "url": "http://www.dischord.com/band/rites-of-spring"} +{"d:Title": "Trouser Press: Rudimentary Peni", "d:Description": "Commentary about the band, a brief discography and reviews of the band's work.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/R/Rudimentary_Peni", "url": "http://www.trouserpress.com/entry.php?a=rudimentary_peni"} +{"d:Title": "Sumpfpaepste", "d:Description": "Punk rock from Reutlingen, Germany.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.sumpfpaepste.de/"} +{"d:Title": "Some Like It Hot", "d:Description": "Now defunct Thunder Bay punk band. Band history, show dates, pictures and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/boybands/slih/"} +{"d:Title": "Sham 69", "d:Description": "Information Libre - The official Sham 69 website. All relevant news about Sham 69 and related bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.sham69.com/"} +{"d:Title": "Sick On The Bus", "d:Description": "Includes news, lyrics, photos and discography. UK.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.sickonthebus.com/"} +{"d:Title": "Skowl", "d:Description": "Oklahoma hardcore punk band. Includes pictures, lyrics and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/fl/thefallofeden/skowl.html"} +{"d:Title": "Sick Of Tired", "d:Description": "Punk trio from Canada, with biographies, news, discography, interviews, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/la/evercody/"} +{"d:Title": "State of Mind", "d:Description": "Profiles, lyrics and pictures of the Florida band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/punk/stateofmind/"} +{"d:Title": "Stink and Linger", "d:Description": "All female punk trio from Portland, OR. Biography, gig dates, lyrics and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/punk/stinkandlinger/"} +{"d:Title": "Screws, The", "d:Description": "Pictures, biography, MP3s and show dates for this D.C. area band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://thescrews.tripod.com/"} +{"d:Title": "Son of Sam", "d:Description": "Fansite for the deathrock band composed of members of Samhain, AFI, and Danzig.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/band2/sonofsam/"} +{"d:Title": "Sweet Acidophilus", "d:Description": "Ska/punk band from South Bend, IN. Includes MP3s and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://sweetacidophilus.8k.com/"} +{"d:Title": "Special Guest", "d:Description": "A German wild, crazy, and fast, ska / old school punk band, playing their own material and cover songs. [English, German]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.specialguest.de/"} +{"d:Title": "Sluggo", "d:Description": "A hardcore band active in the 80s from Cincinnati, OH. With biography, pictures, music, flyers and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.sluggocincinnati.com/"} +{"d:Title": "Steadfast", "d:Description": "Now defunct, old school hardcore band from Kansas City, MO. Includes pictures, MP3s, lyrics and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/mo2/steadfast/"} +{"d:Title": "Suburban Flu", "d:Description": "Now defunct punk band from Kentucky. Contains biography, shows, lyrics, pictures and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/journal/suburbanflu/"} +{"d:Title": "Squirts, The", "d:Description": "Cleveland, OH punk band. Biographies, news, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/punk2/thesquirts/"} +{"d:Title": "Small Brown Bike", "d:Description": "Now defunct band from Michigan. Contains band information, pictures, upcoming shows and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.smallbrownbike.com/"} +{"d:Title": "Scrotum", "d:Description": "Photographs, lyrics, news and mp3s of Danish hardcore/punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.scrotum.dk/"} +{"d:Title": "Social Unrest", "d:Description": "A fan site for the early 80's Southern California band. Contains discography, band history, pictures and articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://gug65.free.fr/"} +{"d:Title": "ShitStorm", "d:Description": "Band from Edmonton Alberta, Canada. Features MP3s, biographies and shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.shitstorm.net/"} +{"d:Title": "Sinister Revolution", "d:Description": "Includes shows, news, songs and band biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/punk3/sinisterrevolution/"} +{"d:Title": "Second Rate", "d:Description": "MP3s, band information, lyrics, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/music4/secondrate/"} +{"d:Title": "Strike Call, The", "d:Description": "Punk band from Brazil. History, lyrics, MP3 and news. [Portuguese/English]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://strikecall.tripod.com/"} +{"d:Title": "Sear", "d:Description": "Chicago based indie hardcore band. Includes MP3s, show dates and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.1927.org/sear/"} +{"d:Title": "Sea Monkey Stew", "d:Description": "Band from Southeast Massachusetts, with news, show dates, pictures, sound samples and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/80s/seamonkeystew/main.htm"} +{"d:Title": "Son of Alf", "d:Description": "Now defunct punk/ska band from southern New Jersey. News, band information, pictures and show dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.angelfire.com/band2/sonofalf/sonofalf.html"} +{"d:Title": "Sweatervest", "d:Description": "Punk rock band formed by 4 Canadians.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://sweatervestrock.tripod.com/"} +{"d:Title": "SICK56", "d:Description": "Band from England. Includes news, history, pictures, MP3s and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.sick56.org/"} +{"d:Title": "Shanghai Valentine", "d:Description": "Boston based hardcore band. Includes news, show dates, MP3s and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.shanghaivalentine.net/"} +{"d:Title": "Snot Puppies", "d:Description": "Biography of the defunct band from the late 1970's.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://www.invisiblemachines.com/snotpuppies1.htm"} +{"d:Title": "Stiffs Inc.", "d:Description": "An official page with a discography, lyrics, band history and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S", "url": "http://stiffsinc.blogspot.com/"} +{"d:Title": "Kick Down The Doors", "d:Description": "Information on Sex Pistol members Paul Cook and Steve Jones.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.cookandjones.co.uk/"} +{"d:Title": "Paint the Sex Pistols Online", "d:Description": "Artwork inspired by the Sex Pistols. Also features member profile, discography, lyrics, chronology, and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://paintthesexpistols.tripod.com/"} +{"d:Title": "TrouserPress.com: Sex Pistols", "d:Description": "Commentary, discography, and reviews of the band's recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.trouserpress.com/entry.php?a=sex_pistols"} +{"d:Title": "Sex Pistols In The Flesh", "d:Description": "Fan site offering a biography, picture gallery, lyrics and mp3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.angelfire.com/rock3/pistols_in_flesh/"} +{"d:Title": "God Save The Sex Pistols", "d:Description": "Includes discography, reviews, classic gigs, pictures, and information on band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.philjens.plus.com/"} +{"d:Title": "The Sex Pistols Official Website", "d:Description": "Produced by the Sex Pistols in association with Signatures Network. This official site contains band history, news and tour information as well as a multimedia section and store.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.sexpistolsofficial.com/"} +{"d:Title": "Never mind the other sites heres the..Sex Pistols", "d:Description": "A site dedicated to the Sex Pistols. Featuring: lyrics, interviews, and pictures. [May not work in all browsers].", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.angelfire.com/punk2/sexpistolstribute/sex"} +{"d:Title": "RollingStone.com: The Sex Pistols", "d:Description": "Includes a biography, discography with reviews, message board, photo gallery, and archived articles.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.rollingstone.com/music/artists/the-sex-pistols"} +{"d:Title": "All Music Guide: Sex Pistols", "d:Description": "Includes a lengthy biography, related artists, and discography with reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.allmusic.com/artist/sex-pistols-mn0000418740"} +{"d:Title": "MTV: Sex Pistols", "d:Description": "Recent news on the band, links to fan sites and a biography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols", "url": "http://www.mtv.com/artists/the-sex-pistols/"} +{"d:Title": "Sex Pistols Experience", "d:Description": "Tribute band's website includes news, tour dates, biography and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sex_Pistols/Tribute_Bands", "url": "http://www.sexpistolsexperience.co.uk/"} +{"d:Title": "Sicko.com", "d:Description": "Official page with introduction, discography, lyrics, song samples, photos, merchandise, news, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sicko", "url": "http://www.sicko.com/"} +{"d:Title": "Sicko Interview", "d:Description": "A lengthy interview with, Sicko member, Ean.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Sicko", "url": "http://www.kieranchapman.net/ewire/music/sicko/"} +{"d:Title": "Animal Space - Slits, The", "d:Description": "Contains a bio and lyrics for the 1970's girl punk group.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Slits,_The", "url": "http://lola_gazi.tripod.com/animalspace/"} +{"d:Title": "The Slits Club", "d:Description": "Fan club for the 70's girl punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Slits,_The", "url": "http://launch.groups.yahoo.com/group/theslits/"} +{"d:Title": "Slits, The", "d:Description": "MTV site with profile, discography, audio clips and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Slits,_The", "url": "http://www.mtv.com/artists/the-slits/"} +{"d:Title": "Soreazis", "d:Description": "Official band site with news, pictures, merchandise, MP3s, information and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Soreazis", "url": "http://www.soreazis.dk/"} +{"d:Title": "Strung Out", "d:Description": "A fan page with lyrics, photographs and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Strung_Out", "url": "http://www.angelfire.com/punk/strungout/"} +{"d:Title": "Strung Out - Official Site", "d:Description": "California punk band with a melodic and powerful sound since 1992. Band biography, discography, tour dates, merchandise, guitar tabs, multimedia, contact information, and message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/S/Strung_Out", "url": "http://www.strungout.com/"} +{"d:Title": "Toxic Crusaders", "d:Description": "A four piece Dirt/Pop/Punk band. Links, news, and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://www.angelfire.com/punk/toxiccrusaders/"} +{"d:Title": "Terminus City", "d:Description": "Atlanta streetpunk/Oi! band. Pictures, discography, and contacts for merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://www.angelfire.com/ga2/terminuscity/"} +{"d:Title": "Three Day Sell Out", "d:Description": "From the local punk rock 'n roll scene in Raleigh, NC.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://www.angelfire.com/nc2/tdso/index.html"} +{"d:Title": "Turnpike", "d:Description": "Punk band from Edinburgh, Scotland. Official site includes profiles, song list, pictures, and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://www.angelfire.com/punk/turnpike/"} +{"d:Title": "Three Piece Sweet", "d:Description": "Members biographies, band news and a chatroom about this Irish punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://threepiecesweet.8m.net/"} +{"d:Title": "Trash Monkeys", "d:Description": "Pictures, articles, reviews of music, and links to other South Florida punk bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://feehan.m.tripod.com/"} +{"d:Title": "Throttle", "d:Description": "A hard-rock/punk trio based out of Kingston, Ontario, Canada. Download Throttle MP3s, view pictures and read about upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://throttle.slavery.cx/"} +{"d:Title": "Three Foot One", "d:Description": "A pop-punk band from Bossier City, LA.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://punxilla.tripod.com/3pg.html"} +{"d:Title": "Teflon Dons, The", "d:Description": "A pop punk band from Illinois who are influenced by the Queers. Site features merchandise links, contact information and a band profile.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://www.angelfire.com/punk2/theteflondons/"} +{"d:Title": "Take A Number", "d:Description": "Provides member profiles, news, photos, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://www.angelfire.com/punk2/takeanumber/"} +{"d:Title": "Tragic Black", "d:Description": "This official site contain pictures, MP3s, lyrics, links and information about the political deathrock band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://www.tragicblack.com/"} +{"d:Title": "Team Emu", "d:Description": "Homepage for punk band from Atlanta, Georgia.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T", "url": "http://home.mindspring.com/~hoffmanp/"} +{"d:Title": "TrouserPress.com - True Sounds of Liberty (TSOL)", "d:Description": "Brief reviews, commentary about the band as well as a discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/T/TSOL", "url": "http://www.trouserpress.com/entry.php?a=true_sounds_of_liberty"} +{"d:Title": "Unflushables, The", "d:Description": "Band from Youngstown, Ohio. Contains band member and show date information, MP3s and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/punk/theunflushables/"} +{"d:Title": "Underneeth It All Its Just A Regular Pen", "d:Description": "A new band, claiming to be, \"a bunch of funny punx...who sk8 and have fun....come and see us..\".", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/ct/averagepen/"} +{"d:Title": "Uncle Scam", "d:Description": "Aberdeen and Marlboro, New Jersey socio-political punk band and its DIY record label Scam Records. Information on the band, music, lyrics, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/band/unclescam/"} +{"d:Title": "United 51", "d:Description": "Greensburg, Pennsylvania power punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/pe/united51/"} +{"d:Title": "United Waste", "d:Description": "A street punk/Oi band, with a show schedule, merchandise information and recommended links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/fl2/UWaste/index.html"} +{"d:Title": "UK Subs", "d:Description": "Official site with the latest recording and tour news. Also has a band biography, discography, interviews, reviews, guest book, message board and Real Audio formatted songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.uksubs.co.uk/"} +{"d:Title": "UK Decay", "d:Description": "One of the original bands to cross over from punk to what was then becoming gothic in the late-70's London scene.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.deathrock.com/ukdecay/"} +{"d:Title": "The Units", "d:Description": "American synthpunk band. People, discography, history, shows, pictures, articles, want list, lyrics, and other information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.synthpunk.org/units/"} +{"d:Title": "Unpaid Debt", "d:Description": "A punk, ska, anything band from Sydney Australia. MP3s available.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/tv/unpaiddebt/"} +{"d:Title": "Uit de Sloot", "d:Description": "An Amsterdam-based band with Dutch lyrics to 77-style punkrock. Includes full CD in MP3, lyrics, gigs and other information. [English/Dutch]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.cwi.nl/~jack/uds/nl/index.shtml"} +{"d:Title": "Uncle Scam", "d:Description": "Ohio punk band's official website features lyrics, MP3s, pictures and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/punk2/unclescam/index.html"} +{"d:Title": "The Underhills", "d:Description": "San Diego four-piece with news, image, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/U", "url": "http://www.angelfire.com/band2/the_underhills/"} +{"d:Title": "Vincent, Sonny", "d:Description": "Includes biography, discography, press clips, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/V", "url": "http://www.angelfire.com/ny/punkrocknroll/"} +{"d:Title": "Vendors, The", "d:Description": "Punk rock from northern Wisconsin. Pictures, biography, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/V", "url": "http://www.angelfire.com/punk/vendors/"} +{"d:Title": "VKTMS", "d:Description": "A punk band from the original days of the wild and crazy scene in San Francisco in the late 1970s, and also had a run in the '90s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/V", "url": "http://binkov.tripod.com/vktms.html"} +{"d:Title": "Vice Dolls, The", "d:Description": "Information, MP3s, pictures and upcoming shows featuring the hardcore punk band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/V", "url": "http://www.angelfire.com/punk2/vicedolls/thevicedolls.html"} +{"d:Title": "Victims, The", "d:Description": "Information on the former Perth, Australia punk band including a history and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/V", "url": "http://www.angelfire.com/retro/bailbonds/"} +{"d:Title": "Very Ape", "d:Description": "A Hong Kong based band who play a wide variety of punk styles. Site has information on upcoming shows and releases. [English/Japanese]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/V", "url": "http://www.mark-1music.com.hk/veryape/index_e.html"} +{"d:Title": "TrouserPress.com - Vice Squad", "d:Description": "Commentary, a brief discography and reviews of the band's recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/V/Vice_Squad", "url": "http://www.trouserpress.com/entry.php?a=vice_squad"} +{"d:Title": "What To Do With The Children", "d:Description": "Punk/geek rock/emo band from the Washington DC area.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/W", "url": "http://www.angelfire.com/md/Discobone/"} +{"d:Title": "Wizo", "d:Description": "Three-piece hyperkinetic punk rock band out of Stuttgart, Germany. Creating hymns for a whole generation of German punk rock youths.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/W", "url": "http://www.punk.de/wizo.html"} +{"d:Title": "WithOut Brad", "d:Description": "Ska/punk band from Huntsville, AL. Lyrics, pictures, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/W", "url": "http://www.angelfire.com/sk/sPUNKinyoureye/index.html"} +{"d:Title": "Winterbrief", "d:Description": "Punk band from Philadelphia, Pennsylvania. Pictures, a discography, information on shows, and information about the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/W", "url": "http://www.angelfire.com/ok3/winterbrief/"} +{"d:Title": "What Went Wrong", "d:Description": "A five member punk band from Manitoba, Canada. Site has MP3s, band news and video clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/W", "url": "http://www.angelfire.com/punk3/bmx182/"} +{"d:Title": "Billy Zoom Music", "d:Description": "Official website for X guitarist Billy Zoom who also does amplifier repair and modification.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/X/X", "url": "http://www.billyzoom.com/"} +{"d:Title": "X - The Band", "d:Description": "The official site for the legendary Los Angeles punk band. Includes discography, photographs and show dates, with a section for each member.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/X/X", "url": "http://www.xtheband.com/"} +{"d:Title": "TrouserPress.com - X", "d:Description": "Commentary, discography and reviews of the band's output.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/X/X", "url": "http://www.trouserpress.com/entry.php?a=x"} +{"d:Title": "X-Ray Spex Home Page", "d:Description": "Includes biography, new releases, audio, lyrics, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/X/X-Ray_Spex", "url": "http://www.terrapin.co.uk/xrayspex/"} +{"d:Title": "TrouserPress.com - X-Ray Spex", "d:Description": "A discography, commentary and reviews of the band's recordings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/X/X-Ray_Spex", "url": "http://www.trouserpress.com/entry.php?a=x-ray_spex"} +{"d:Title": "You and What Army", "d:Description": "San Diego based street punk band. Official site includes news, pictures, sound files, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/Y", "url": "http://members.tripod.com/Uncle_Scam/index.html"} +{"d:Title": "Yourmominators, The", "d:Description": "A punk/ska band from Bakersfield, CA. Download songs and find out about shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/Y", "url": "http://www.angelfire.com/band/theyourmominators/"} +{"d:Title": "Zombie Attack Cycle", "d:Description": "Discography, pictures, and gigs for this band that mixes rock, punk and surf.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Bands_and_Artists/Z", "url": "http://www.angelfire.com/band/zombieattackcycle/"} +{"d:Title": "Flyers - Las Vegas Punk Rock Artwork", "d:Description": "A flyer gallery for shows in Las Vegas featuring bands like FYP, Christ On Parade and Circle Jerks.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Flyers_and_Posters", "url": "http://www.angelfire.com/on/stardoom/flyer.html"} +{"d:Title": "Kill-O-Rama.de", "d:Description": "Information on bands like Immanuel Cunt, Destroyer, Alma Atta and The Omnipresent Penisknight with MP3s, videos, picture galleries, a guestbook and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore", "url": "http://www.killorama.de/"} +{"d:Title": "Hardcore Underground", "d:Description": "Free site for all DIY hardcore bands and their information, with release ads, mini homesites for bands, MP3 downloads and show listings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore", "url": "http://www.angelfire.com/band/lihc/home.html"} +{"d:Title": "HC Stuff", "d:Description": "Profiles, lyrics, discographies, photos, and links from The Used, Raised Fist, Sick of it All, Cave-in, Snapcase, and Song of Zarathustra.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore", "url": "http://hcstuff.altervista.org/"} +{"d:Title": "7 Second Delay", "d:Description": "Site for this hardcore band from Nashville features MP3s, band photographs, song lyrics and art by the band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/7", "url": "http://www.angelfire.com/music/7seconddelay/index.html"} +{"d:Title": "BLOWBACK - Protest Punk", "d:Description": "A hardcore punk band from DC, Seattle and NYC. Includes MP3 downloads, lyrics, essays and more political information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/B", "url": "http://www.blowback.org/"} +{"d:Title": "Dir Yassin", "d:Description": "An Israeli hardcore band. Ultra-fast, aggressive music with lyrics dealing with socio-political issues. Site features a band history, lyrics and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/D", "url": "http://www.angelfire.com/il/deiryassin/"} +{"d:Title": "Disfixed", "d:Description": "A hardcore band from Bergen, Norway.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/D", "url": "http://www.angelfire.com/rock/disfixed/"} +{"d:Title": "Dead Locked", "d:Description": "Official site for the Vermont hardcore band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/D", "url": "http://www.angelfire.com/myband2/deadlocked/index.html"} +{"d:Title": "Dave Matthews Massacre", "d:Description": "Three piece from Iowa. Biography, press, discography, news, lyrics, images, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/D", "url": "http://www.angelfire.com/music5/themassacre/"} +{"d:Title": "Existi", "d:Description": "Innovative, experimental hardcore/metal band from Arizona", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/E", "url": "http://www.angelfire.com/scifi/existi/"} +{"d:Title": "Fast Times", "d:Description": "Official site for the NJ hardcore band. Lyrics, pictures, interviews, show information and flyers.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/F", "url": "http://www.angelfire.com/nj2/Fasttimes/"} +{"d:Title": "Freight 109", "d:Description": "A hardcore band from Chicago, IL who sound like Strife and VOD. Site features pictures, MP3s and upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/F", "url": "http://www.angelfire.com/band/freight109/"} +{"d:Title": "F.T.A.'s, The", "d:Description": "Three piece hardcore punk from southern Ontario.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/F", "url": "http://theftas.tripod.com/"} +{"d:Title": "Glass Joe", "d:Description": "Melodic hardcore from Knoxville TN. Profiles, news, tour dates, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/G", "url": "http://www.angelfire.com/az/glassjoe/"} +{"d:Title": "Hatebreed", "d:Description": "Betrayed By Life. Fansite featuring news, wideos, MP3s and photographs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/H", "url": "http://www.angelfire.com/biz6/hatebreed/new/enter.html"} +{"d:Title": "Hellbound", "d:Description": "Official site for the Montreal, Canada crust-core band. MP3s, pictures, tour dates and band information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/H", "url": "http://hellbound.4t.com/"} +{"d:Title": "Lights Out", "d:Description": "Official homepage for the Long Island based hardcore band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/L", "url": "http://lordj57.tripod.com/"} +{"d:Title": "Rupture", "d:Description": "Defunct band from Long Island who played a mixture of traditional hardcore and melodic rock. Links, photographs, show dates and free MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/R", "url": "http://www.angelfire.com/band/rupture1/index.html"} +{"d:Title": "Remedy", "d:Description": "Long Island, NY hardcore band mixing old and new school hardcore and other influences. Site features band biography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/R", "url": "http://www.angelfire.com/ny3/remedy/"} +{"d:Title": "Reversal Of Man", "d:Description": "Hardcore/screamo band from Florida.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/R", "url": "http://www.afn.org/~afn07614/"} +{"d:Title": "Slap Shot", "d:Description": "Hardcore band from Boston, Massachusetts, USA", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/S", "url": "http://oldtimehardcore.com/"} +{"d:Title": "Thimble", "d:Description": "Hardcore band site featuring band discography and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/T", "url": "http://thimble8.tripod.com/thimble/thimble.htm"} +{"d:Title": "Trouble Maker", "d:Description": "Bay area old school hardcore four-piece. Biography, photos, show dates, MP3s, merchandise, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/T", "url": "http://www.makesometrouble.com/"} +{"d:Title": "Waterdown", "d:Description": "Official website for the German anti-racist band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/W", "url": "http://www.waterdown.de/"} +{"d:Title": "Wolves", "d:Description": "A hardcore band from Western Massachusetts. Site features MP3s, contact information and band related news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/W", "url": "http://wolves.hampshire.edu/"} +{"d:Title": "X-Akto", "d:Description": "Weston, Connecticut band with lyrics, MP3s, pictures and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/X", "url": "http://www.angelfire.com/myband/xakto/"} +{"d:Title": "Yage", "d:Description": "A hardcore band from Cologne, Germany.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Hardcore/Bands_and_Artists/Y", "url": "http://www.yage5.de/"} +{"d:Title": "Punk's Word", "d:Description": "Lyrics to songs by bands like Minor Threat, DBS, Bad Brains, Anti Flag, B\u00e9rurier Noir as well as others. [French/English]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Lyrics", "url": "http://members.tripod.com/parolesdunpunk/"} +{"d:Title": "SkaPonk.com Lyrics", "d:Description": "A punk and ska lyrics searchable website.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Lyrics", "url": "http://www.skaponk.com/"} +{"d:Title": "Allschools Network Fanzine", "d:Description": "Dedicated to hardcore/punk from whatever school. Tourdates, reviews, photos, and links database.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/A", "url": "http://www.allschools.de/"} +{"d:Title": "Anarchy And Good Times", "d:Description": "Sydney-based punk zine, with news, reviews and band pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/A", "url": "http://anarchyandgoodtimes.tripod.com/"} +{"d:Title": "Awkward", "d:Description": "Album and concert reviews, humorous stories, interviews, comics, archives, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/A", "url": "http://www.angelfire.com/zine/awkward/"} +{"d:Title": "Boston Groupie News", "d:Description": "Online version of Boston's punk fanzine, originally published from 1975-1981. Re-prints of original articles, interviews and updates on old Boston punks.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/B", "url": "http://www.bostongroupienews.com/"} +{"d:Title": "Buddyhead", "d:Description": "Slick online punk 'zine, with news and gossip, MP3s, music videos, top 10 lists, tour listings and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/B", "url": "http://www.buddyhead.com/"} +{"d:Title": "Barbieonastick", "d:Description": "Images, contests, how to order, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/B", "url": "http://www.angelfire.com/zine/barbieonastick/"} +{"d:Title": "Bittersweet", "d:Description": "Interviews with bands like The Templars, The Service and No Redeeming Social Value, reviews and a list of upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/B", "url": "http://www.angelfire.com/il3/oi/"} +{"d:Title": "Banana Juice Fanzine", "d:Description": "Punk rock fanzine with opinionated articles on social topics. Also has local concert and band listings from Illinois.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/B", "url": "http://www.shoecandy.com/~cbrenz/bananajuice/"} +{"d:Title": "Counter Theory", "d:Description": "Covers the wild world of the independent punk/hardcore scene in columns, articles, fiction, reviews, comics and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/C", "url": "http://www.angelfire.com/zine/countertheory/index.html"} +{"d:Title": "Chaos Theory, The", "d:Description": "Hardcore zine with art, poetry, writing, polls, and lots of otherness. Emo, screamo, thrash, grind or other crazy styles of music featured.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/C", "url": "http://www.angelfire.com/geek/TheChaosTheory/"} +{"d:Title": "Corporate Deth Burger", "d:Description": "Order printed zine, get free tapes. Interviews with Rancid, MxPx, Five Iron Frenzy and Bad Religion.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/C", "url": "http://corporatedethburger.tripod.com/"} +{"d:Title": "Call It Five", "d:Description": "Covering the latest releases, news, reviews and sites coming from the underground, punk, emo, emo-core, hardcore and indie scene.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/C", "url": "http://lemonaid88.tripod.com/"} +{"d:Title": "Disjointed Thoughts, Paranoia?", "d:Description": "Punk webzine with some news, columns, interviews, stories, and a semi-daily rant.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/D", "url": "http://www.angelfire.com/oh2/dtp/index.html"} +{"d:Title": "The Filth and Fury", "d:Description": "Dedicated solely to the Sex Pistols, PIL and other Pistols related endeavors.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/F", "url": "http://www.thefilthandthefury.co.uk/"} +{"d:Title": "Four Star Flyer", "d:Description": "An online zine/news service for the punk scene.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/F", "url": "http://fourstarflyer.tripod.com/"} +{"d:Title": "Fifteen Dollar Christmas Tree", "d:Description": "A paper zine with some online content, featuring links and articles on punk and other topics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/F", "url": "http://xmastree.tripod.com/"} +{"d:Title": "HeartattaCk", "d:Description": "The on-line version of HeartattaCk.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/H", "url": "http://www.ebullition.com/hac.html"} +{"d:Title": "Interzone", "d:Description": "North Louisiana's guide to constructive subversion.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/I", "url": "http://www.angelfire.com/zine/interzone/index.html"} +{"d:Title": "Jersey Beat Fanzine", "d:Description": "Independently published fanzine covering punk, alternative, ska, techno and garage music, focusing on New Jersey and the Tri State area.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/J", "url": "http://www.jerseybeat.com/"} +{"d:Title": "The Meathook Page", "d:Description": "Zine for and about punks, Oi, hardcore and psychobilly. With news, links, reviews, and concert listings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/M", "url": "http://www.angelfire.com/zine/meathook/intro.htm"} +{"d:Title": "Moon Stomper", "d:Description": "Fanzine about punk, ska, psychobilly, surf, neobilly, hardcore, swing. [Spanish]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/M", "url": "http://www.cinefantastico.com/moonstomper/index.htm"} +{"d:Title": "Manna 4 the Brain", "d:Description": "A DIY paper zine about the underground music scene since 1998. With reviews, writings, and collage layouts. Featuring full-page scans on site.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/M", "url": "http://manna.iwarp.com/"} +{"d:Title": "Maximum Rock'n'Roll", "d:Description": "Official web site for the Maximum Rock'n'Roll print zine.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/M", "url": "http://www.maximumrocknroll.com/"} +{"d:Title": "Thee Official Nardwuar the Human Serviette Web Site", "d:Description": "Large archive of transcribed, video, and audio interviews with celebrities. Also Evaporators and Goblins information and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/N", "url": "http://www.nardwuar.com/"} +{"d:Title": "Not In My Backyard! zine", "d:Description": "A NY favorite since 1995, NIMBY's the webzine for punk and indie band interviews, reviews, funny stuff and the infamous 101 list.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/N", "url": "http://notinmybackyard.tripod.com/"} +{"d:Title": "Old Punks Web Zine", "d:Description": "Featuring current and archived music, book, movie and video reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/O", "url": "http://www.oldpunks.com/"} +{"d:Title": "Official At Our Expense Web Page", "d:Description": "Interviews with artists including Pietasters, Spring-Heeled Jack, Blue Meanies, and Furious George.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/O", "url": "http://www.angelfire.com/nc/aoezine/"} +{"d:Title": "Profane Existence", "d:Description": "The online version of this punk and political zine.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/P", "url": "http://www.profaneexistence.com/"} +{"d:Title": "Punk Magazine", "d:Description": "The on-line home of the original punk fanzine.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/P", "url": "http://www.punkmagazine.com/"} +{"d:Title": "Paste Punk", "d:Description": "Punk, hardcore, emo and ska webzine with writings and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/P", "url": "http://www.pastepunk.com/"} +{"d:Title": "PooPunk Web Zine", "d:Description": "An e-zine designed to thoroughly stimulate your eyes, ears, and mind.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/P", "url": "http://poopunk.tripod.com/"} +{"d:Title": "Real Rock N Roll Paper Zine", "d:Description": "Contains information on the paper fanzine from Florida by the same name, also includes ranked and regular links to other sites.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/R", "url": "http://www.angelfire.com/zine/REALrocknroll/index.html"} +{"d:Title": "Spoiled Whine", "d:Description": "A punk-oriented e-zine, with interviews, reviews, news and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/S", "url": "http://www.angelfire.com/ca/SpoiledWhine/"} +{"d:Title": "Subject To Change", "d:Description": "A D.I.Y. hardcore, punk rock, straight edge, vegan, BMX and skateboarding related fanzine.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/S", "url": "http://www.angelfire.com/punk2/stcfanzine/index.html"} +{"d:Title": "Shredding Paper", "d:Description": "Articles, reviews, interviews, radio show, and editorials. Back issues available.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/S", "url": "http://shreddingradio.com/sp.html"} +{"d:Title": "A Slice of Stale Pizza", "d:Description": "A punk rock zine from Melbourne, Australia focusing on all sorts of punk and alternative rock.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/S", "url": "http://members.ozemail.com.au/~crust/"} +{"d:Title": "Tron Distribution - zines", "d:Description": "List of punk and hardcore zines in the VA and MD area.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/T", "url": "http://members.tripod.com/~tron_distro/zines.html"} +{"d:Title": "Topix: Punk", "d:Description": "News about punk, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/T", "url": "http://www.topix.com/rss/music/punk.xml"} +{"d:Title": "United Punks of America", "d:Description": "A punk zine with band information and interviews, album reviews, articles, pictures, links and a contest.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/U", "url": "http://www.angelfire.com/punk2/unitedpunksofamerica/"} +{"d:Title": "Walked In Line", "d:Description": "Despite the entrance (you go through several pages focused on the label of the same name before you get to the zine) there is a zine in there.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Magazines_and_E-zines/W", "url": "http://www.wilrecords.com/"} +{"d:Title": "Wikipedia: No Wave", "d:Description": "Introduction and brief history with links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave", "url": "http://en.wikipedia.org/wiki/No_wave"} +{"d:Title": "New York No Wave Archive", "d:Description": "Photos, discographies, histories, line-ups, and links sorted by band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave", "url": "http://nowave.pair.com/no_wave/"} +{"d:Title": "New York Metro: \"No Wave\" Rockers", "d:Description": "Reviews of releases by TV on the Radio, Tallboys, Japanther, Aa, and Liars.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave", "url": "http://nymag.com/nymetro/arts/columns/topfive/n_9878/"} +{"d:Title": "Weasel Walter", "d:Description": "Projects, discography, performances, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave/Bands_and_Artists", "url": "http://nowave.pair.com/weasel_walter/"} +{"d:Title": "Wikipedia: DNA", "d:Description": "Short history and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave/Bands_and_Artists/DNA", "url": "http://en.wikipedia.org/wiki/DNA_(band)"} +{"d:Title": "Dusted Reviews: DNA", "d:Description": "Image, history of the band\u3001and review.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave/Bands_and_Artists/DNA", "url": "http://www.dustedmagazine.com/reviews/1420"} +{"d:Title": "Dusted Reviews: Theoretical Girls", "d:Description": "Introduction and review.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave/Bands_and_Artists/Theoretical_Girls,_The", "url": "http://www.dustedmagazine.com/reviews/448"} +{"d:Title": "Ink 19: Theoretical Girls", "d:Description": "Brief history and review.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave/Bands_and_Artists/Theoretical_Girls,_The", "url": "http://www.ink19.com/issues/september2002/musicReviews/musicT/theoreticalGirls.html"} +{"d:Title": "Sonic Curiosity: Von Lmo", "d:Description": "Introduction and record reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave/Bands_and_Artists/Von_Lmo", "url": "http://www.soniccuriosity.com/sc032.htm"} +{"d:Title": "Unsung Reviews: Von Lmo", "d:Description": "Review of Future Languages.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/No_Wave/Bands_and_Artists/Von_Lmo", "url": "http://www.headheritage.co.uk/unsung/reviews/index.php?review_id=338"} +{"d:Title": "Garry Bushell", "d:Description": "Garry Bushell, ex-Sounds reporter and record producer.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk", "url": "http://www.garry-bushell.co.uk/"} +{"d:Title": "Skinhead Moonstomp", "d:Description": "MP3 music downloads: Oi! and punk-77, ska, rocksteady and reggae.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk", "url": "http://oioioi.ru/mp3/skinhead/moonstomp.html"} +{"d:Title": "oioimusic.com", "d:Description": "Webzine about Oi!, punk, ska and reggae. News, interviews and videos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk", "url": "http://oioimusicblog.wordpress.com/"} +{"d:Title": "Menace", "d:Description": "Talks about the London's band history in two sections - 1977-1979 and the current version. Also has news, discography, gig reviews, and Real Audio songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.menace77.co.uk/"} +{"d:Title": "Attila The Stockbroker", "d:Description": "Official site contains biography, discography, news and photo sections. Also has an on-line catalog for merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.attilathestockbroker.com/"} +{"d:Title": "Vibrators, The", "d:Description": "Official homepage for this classic UK band with upcoming gigs, a band biography and history, discography, frequently asked questions, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.thevibrators.com/"} +{"d:Title": "Basan\u00e9s 75", "d:Description": "Official site. Includes biography, discography, photos, and videos of the band. [French/English]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.b75.eu/"} +{"d:Title": "Oiz II Men", "d:Description": "Songs, pictures, event details, contact and videos from East London's oi band OIZ II MEN.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://myspace.com/oiziimen"} +{"d:Title": "Oldfashioned Ideas", "d:Description": "Swedish streetpunk band. Music, video clips, event dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://myspace.com/oldfashionedideas"} +{"d:Title": "Fuori Controllo", "d:Description": "An Oi! street punk band from Italy.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://web.tiscali.it/fuoricontrollo/"} +{"d:Title": "Klasse Kriminale", "d:Description": "The official site for this Italian Skinhead band. Site has a discography, band's gigs, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.klassekriminale.com/"} +{"d:Title": "Drongos For Europe", "d:Description": "Have existed in the UK off and on since 1979. Has pictures, interviews, audio files, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://myspace.com/drongosforeurope"} +{"d:Title": "Lowlife UK", "d:Description": "An English band. Discography, biography, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://myspace.com/lowlifeuk"} +{"d:Title": "Toy Dolls, The", "d:Description": "Official website. Band biography, interviews, photos and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.thetoydolls.com/"} +{"d:Title": "Vendetta", "d:Description": "A band from Germany with male and female vocals. Features a list of upcoming gigs, photos, lyrics and biography. [German/English]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://myspace.com/vendettapunk"} +{"d:Title": "4Skins, The", "d:Description": "History of the band, interviews, pictures, discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://the4skins.tripod.com/"} +{"d:Title": "Business, The", "d:Description": "Oi! band from London. Music, lyrics, discography and videos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://myspace.com/businessoi"} +{"d:Title": "Mister X", "d:Description": "Belorussian Oi! band. Music, videos and tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://myspace.com/mxband69"} +{"d:Title": "Swindells, The", "d:Description": "Punk band from Leeds, UK. Gigs, Media, CD's and T-Shirts, booking information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://myspace.com/theswindells"} +{"d:Title": "Oppressed, The", "d:Description": "Facebook profile of the band with music and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "https://www.facebook.com/The-Oppressed-sharp-attitude-108930239188615/"} +{"d:Title": "Razzapparte", "d:Description": "Skinheads and punks from Italy playing Oi! music. MP3s, interviews, pictures, lyrics, discography. [Italian/English]", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://razzapparte.skinhead.it/"} +{"d:Title": "Argy Bargy", "d:Description": "A band from London. Biography, discography, interviews and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.argybargyuk.co.uk/"} +{"d:Title": "Perkele", "d:Description": "Swedish Oi! band. Members, history, pictures and releases.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Oi_and_Streetpunk/Bands_and_Artists", "url": "http://www.sots-records.de/perkele/"} +{"d:Title": "Holidays in the Sun Photos", "d:Description": "Punk Photos from the annual Holidays in the sun punk festival in the uk and usa", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.iolfree.ie/~punk/HITS/"} +{"d:Title": "What The Fuck Have You Done?", "d:Description": "Glen E. Friedman's website documenting the early 80's Los Angeles scene as well as the early hip hop and skateboard scenes.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.burningflags.com/"} +{"d:Title": "Pictures Of Punk Bands And Punk Rockers", "d:Description": "Plenty of pictures of punks, not all in bands. Also a poll to pick your favorite band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.angelfire.com/ca5/PUNKROCK/index.html"} +{"d:Title": "Punk and Hardcore Band Photos", "d:Description": "Includes such bands as 2 Line Filler, Agnostic Front, Dahlia Seed, Ink And Dagger and Weston. All from 1993 to the present.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.annoyances.com/bandphotos.html"} +{"d:Title": "Walking Distance Photography", "d:Description": "A photo e-zine by Josh Sutton. The subjects of the photographs are bands, people and skateboarding.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://walkingdistance.8k.com/"} +{"d:Title": "Old Punks from Hamburg Gallery", "d:Description": "Pictures from shows, people and venues.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.oldpunks.de/"} +{"d:Title": "SmimP Concert Photographs", "d:Description": "All styles of punk bands are displayed here, taken from performances in Holland.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.angelfire.com/punk3/smimp/"} +{"d:Title": "The Spell of Maya", "d:Description": "Photography gallery of bands like Dillinger Escape Plan, Drowningman, The Locust, Murder City Devils and Some Still Believe.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://spellofmaya.tripod.com/"} +{"d:Title": "Trenthead.Com", "d:Description": "Punk rock photographs (of bands like Youth Of Today and Bad Brains) by photojournalist Trent Nelson.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.trenthead.com/punk/index.html"} +{"d:Title": "Flash Bang Wallop", "d:Description": "Ian Dickson's photos from his book Flash Bang Wallop documenting the late 70's punk scene in the UK.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.late20thcenturyboy.com/flashbang.html"} +{"d:Title": "bandphotography.com", "d:Description": "Photographs of bands like Jejune, Poison The Well, Le Shok, Cave In and Turmoil. Quick Time format video of bands as well.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.bandphotography.com/"} +{"d:Title": "DC and Beyond Photozine", "d:Description": "Photographs of 7 Seconds, The Business, Sick Of It All and Kid Dynamite.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://xgufx.tripod.com/"} +{"d:Title": "Hellfest / Another Victim Reunion", "d:Description": "Pictures from Hellfest 2K and the Another Victim reunion show.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://hellfest.freeservers.com/"} +{"d:Title": "Shawn Scallen", "d:Description": "Shooting punks for fifteen years and counting.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.scallen.com/"} +{"d:Title": "Punk Rock Photo Site", "d:Description": "Photographs of Piebald, Small Brown Bike, punk related graffiti and Limpwrist.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://punkrockphotos.tripod.com/"} +{"d:Title": "Punk Rock Till You Puke", "d:Description": "Punk rock band photography by Gary Gutter. Featuring Anti-Nowhere League, GBH, Dr. Know, Urinals and One Man Army.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.angelfire.com/punk/Gary/index.html"} +{"d:Title": "Concert Photographs", "d:Description": "Live photos of Bad Brains (circa 1980), Discharge, Dead Kennedys and The Cramps.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.phillipkerman.com/"} +{"d:Title": "No Such Thing As Too Fast", "d:Description": "Photos and Flyers from shows of hardcore, grindcore, thrash and other subgenres of punk. Toxic Narcotic, Leftover Crack, Dropdead and The Oath are among the photographed.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.angelfire.com/ny5/hcz/"} +{"d:Title": "Alison Braun photography", "d:Description": "Los Angeles 1989-1992, featuring subjects like Mike Ness, Jello Biafra and Henry Rollins in the portfolio section.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.alisonbraun.com/"} +{"d:Title": "Outrageous Photography", "d:Description": "Bands, live shots and portraits.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.outrageousphotography.nl/"} +{"d:Title": "C Bands Play", "d:Description": "Many photographs from Ladyfest 2000 and Ladyfest Scotland plus pictures of individual bands (mostly grrl rock) including Bratmobile, V for Vendetta, Errase Errata and Le Tigre.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Photographs", "url": "http://www.cbandsplay.com/"} +{"d:Title": "Wikipedia: Post Punk", "d:Description": "Introduction to the genre and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk", "url": "http://en.wikipedia.org/wiki/Post_punk"} +{"d:Title": "Free Williamsburg: Minding Their Own Business", "d:Description": "Article with images and interviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk", "url": "http://www.freewilliamsburg.com/june_2002/post-punk.html"} +{"d:Title": "The Stranger: A-Frames", "d:Description": "Interview and show review.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/A-Frames", "url": "http://www.thestranger.com/seattle/hanging-on-the-art-punk-edge/Content?oid=13271"} +{"d:Title": "Neumu: Erase Errata", "d:Description": "Show review, interview, and image.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/Erase_Errata", "url": "http://www.neumu.net/inquisitive/erase_errata/erase_errata_02.shtml"} +{"d:Title": "SF Weekly: Erase Errata", "d:Description": "Introduction and interview.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/Erase_Errata", "url": "http://www.sfweekly.com/2001-07-11/music/gang-of-four/"} +{"d:Title": "Aural Innovations: Lightning Bolt", "d:Description": "Review and ordering information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/Lightning_Bolt", "url": "http://www.aural-innovations.com/issues/issue19/litebolt.html"} +{"d:Title": "Lightning Bolt", "d:Description": "Official site with tour dates, reviews, contact information, photos, multimedia, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/Lightning_Bolt", "url": "http://laserbeast.com/"} +{"d:Title": "Neumu: The Rapture", "d:Description": "Interview and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/Rapture,_The", "url": "http://www.neumu.net/datastream/2003/2003-00057/2003-00057_datastream.shtml"} +{"d:Title": "Pitchfork: Album Reviews: Young Marble Giants: Colossal Youth and Collected Works", "d:Description": "Review of the 2007 expanded reissue of the album, by Douglas Wolk. Rated 9.3 out of 10.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/Young_Marble_Giants", "url": "http://pitchfork.com/reviews/albums/10527-colossal-youth-and-collected-works/"} +{"d:Title": "BBC: Young Marble Giants", "d:Description": "Introduction and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Post-Punk/Bands_and_Artists/Young_Marble_Giants", "url": "http://www.bbc.co.uk/wales/music/sites/young-marble-giants/"} +{"d:Title": "Music To Break Things By", "d:Description": "A punk rock radio program heard weekly in the Cleveland, Ohio area on 88.7 FM. Online broadcast available through Windows Media Player.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Radio", "url": "http://www.angelfire.com/punk/887/"} +{"d:Title": "Punk Rock Radio - 1976 -1979", "d:Description": "Punk FM is an internet Radio Station dedicated to the Punk Rock music from the years 1976 - 1979.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Radio", "url": "http://www.punkfm.co.uk/"} +{"d:Title": "DIY-Punk", "d:Description": "Provides links to the DIY punk communities all over the globe.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional", "url": "http://www.diy-punk.org/"} +{"d:Title": "Punk in Derry", "d:Description": "A history of the punk scene in Derry, Northern Ireland.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/Europe", "url": "http://www.punkinderry.bravepages.com/"} +{"d:Title": "Oi! Walsall", "d:Description": "To keep people up to date with the latest news regarding up and coming Oi gigs in the Walsall area.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/Europe", "url": "http://oiwalsall.tripod.com/"} +{"d:Title": "Punkottawa.com", "d:Description": "Band, venue, promoter, store and show information for Ottawa, Ontario and the surrounding area.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/Canada", "url": "http://www.punkottawa.com/"} +{"d:Title": "Kingston Bands", "d:Description": "Site featuring punk bands in the Kingston, ON area. Also has a forum and upcoming show listings.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/Canada", "url": "http://www.angelfire.com/az/kingstonbandz/"} +{"d:Title": "Saskatchewan Punk and Hardcore", "d:Description": "Information on bands, venues, stores, zines and the scene in general in the province of Saskatchewan .", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/Canada", "url": "http://saskpunk.tripod.com/"} +{"d:Title": "Joplin's Little Punk Children", "d:Description": "A site dedicated to the harder music of Joplin, Missouri including Bastard Wrench, Back Seat Girls, Last Call and others.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.angelfire.com/mo/wirzberg/"} +{"d:Title": "Famous Last Words", "d:Description": "A calendar of Iowa hardcore, emo, indie and punk shows, with many band links, message board, pictures, and other scene information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.angelfire.com/ia/robot/"} +{"d:Title": "PA Hardcore", "d:Description": "Hardcore, punk, emo, and ska scene reviews, forums, events, and bands covering the entire East Coast.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.pahardcore.com/"} +{"d:Title": "Indiana Punk Listing", "d:Description": "A resource of all Indiana punk, ska, rockabilly, surf, and garage bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.angelfire.com/in/SayCheese/Indianapunk.html"} +{"d:Title": "Midwest Show List", "d:Description": "Punk, hardcore, indie rock and ska show listings for Indiana, Ohio, Missouri, Kentucky, Minnesota, Wisconsin, Michigan, Iowa and Illinois.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.angelfire.com/in2/showlist/"} +{"d:Title": "Fredericksburg Punk Central", "d:Description": "Information about shows in the VA/DC/MD area. Also hosts The giantic Punk Bands Link Page (Over 400 Bands).", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.angelfire.com/va2/PunkCentral/"} +{"d:Title": "West Virginia Punks Page", "d:Description": "A site with upcoming shows, local band pages, show reviews, pictures and a message board.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.angelfire.com/wv/wvpunxpage/mainpage.html"} +{"d:Title": "AZ Punk", "d:Description": "This site is about and for the Arizona punk rockers. Site has local information about punk events in Arizona.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.azpunk.com/"} +{"d:Title": "Boston Groupie News", "d:Description": "Online version of Boston's punk fanzine, originally published from 1975-1981. Re-prints of original articles, interviews and updates on old Boston punks.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States", "url": "http://www.bostongroupienews.com/"} +{"d:Title": "Nightflying: The Entertainment Guide", "d:Description": "Arkansas music show dates, forums, classifieds, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Arkansas", "url": "http://www.nightflying.com/"} +{"d:Title": "Chico Underground Show Information", "d:Description": "Guide to underground/independent punk, indie, hardcore, emo, music shows in Chico, Redding and surrounding areas.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://www.chicolist.com/"} +{"d:Title": "SacPunx - Sacto Punk Rock", "d:Description": "Upcoming shows and venue listings for Sacramento.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://www.angelfire.com/ca/sacpunx/"} +{"d:Title": "Bay Area Punk", "d:Description": "San Francisco and the surrounding area covered by a list of upcoming shows, links to local bands and other punks' homepages.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://xsouthboundx.tripod.com/main.html"} +{"d:Title": "Billy Eye and Judy Zee", "d:Description": "This series of articles chronicle punk and new wave bands coming up through the Los Angeles club scene during a three year period starting in 1980.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://www.tvparty.com/homeroom1/eyezee.html"} +{"d:Title": "Forming: The Early Days Of L.A. Punk", "d:Description": "An exhibit that will run at Track 16 Gallery in LA.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://www.track16.com/exhibitions/punk/punk.html"} +{"d:Title": "Floor Spazz-A Punk Resource", "d:Description": "California and out of state show listings for punk rock, hardcore, and other independent music. Band and record label listings. News, reviews, classifieds, zine and mail order listings as well.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://www.angelfire.com/ca2/punk6/"} +{"d:Title": "The Che Cafe", "d:Description": "A San Diego cafe where punk rock and activists congregate.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://thechecafe.blogspot.com/"} +{"d:Title": "Weirdotronix", "d:Description": "Relics from 70's/80's So-Cal punk scenes.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/California", "url": "http://weirdotronix.tripod.com/"} +{"d:Title": "Asylum Coffeehouse", "d:Description": "Serving the youth of Springfield, Illinois as a coffee house and a concert venue for punk, ska, hardcore and emo bands.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Illinois", "url": "http://asylumcoffee.tripod.com/Asylum/"} +{"d:Title": "Tri-Cities Bands", "d:Description": "Site containing information and photos of bands from the tri-cities Illinois area. Shows, news, and scene updates included.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Illinois", "url": "http://www.angelfire.com/punk/tricitiesbands/"} +{"d:Title": "Illinois' Punk Rock Access", "d:Description": "Listing of punk rock bands and upcoming shows in Illinois.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Illinois", "url": "http://www.angelfire.com/il3/punkrockbands/"} +{"d:Title": "Nonsvch", "d:Description": "Forum, show listing, and resources for Maine punk scene.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Maine", "url": "http://www.angelfire.com/me4/nonsvch/"} +{"d:Title": "ABC No Rio", "d:Description": "ABC No Rio is a community-based arts center, performance space for emerging artists and gallery. It has been home to the DIY punk community in NYC.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/New_York", "url": "http://www.abcnorio.org/"} +{"d:Title": "CBGB's", "d:Description": "The original New York City hardcore and punk rock club.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/New_York", "url": "http://www.cbgb.com/"} +{"d:Title": "Rochester Punk Rock", "d:Description": "An archive and resource for punk and indie bands in the Rochester, NY area including an MP3 archive of bands past and present.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/New_York", "url": "http://www.rochesterpunk.com/"} +{"d:Title": "914 Productions", "d:Description": "Westchester, New York Ska/Punk/Hardcore production company.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/New_York", "url": "http://ny914.tripod.com/"} +{"d:Title": "ClePunk.com", "d:Description": "A site dedicated to the history of the Cleveland punk scene, as well as documenting the current Cleveland scene.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Ohio", "url": "http://www.clepunk.com/"} +{"d:Title": "City of Romance", "d:Description": "An independent rock music collective based in Cleveland, Ohio. Although the collective strives to avoid pigeonholing and aesthetic straitjackets, the bands in the collective are influenced by punk, post-hardcore, new wave, pop and emo.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Ohio", "url": "http://cityofromance.tripod.com/"} +{"d:Title": "More Than Music Festival 1999", "d:Description": "A listing of bands and themes for the 1999 festival.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Ohio", "url": "http://morethanmusic.tripod.com/"} +{"d:Title": "Portland Punk Events", "d:Description": "Listing of upcoming and ongoing, mostly all-ages, DIY punk events in Portland.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Oregon", "url": "http://punkpdx.tripod.com/"} +{"d:Title": "The Philadelphia Scene - Page for News and Shows", "d:Description": "Philadelphia Skinhead/Punk/Hardcore and Ska Show Listings with news about Philadelphia, PA.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Pennsylvania", "url": "http://groups.yahoo.com/group/ThePhiladelphiaShowList/"} +{"d:Title": "Emo Pop", "d:Description": "All about Houston and Lake Jackson emo, punk and indie scenes. Concert dates, news and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Texas", "url": "http://emopop.com/"} +{"d:Title": "San Anto Punk", "d:Description": "Devoted to San Antonio punk rock bands, and local club and show information.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Texas", "url": "http://www.angelfire.com/tx4/sapunk/"} +{"d:Title": "Discography of Texas Punk", "d:Description": "A very detailed history of Texas punk in records until around 1982.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Texas", "url": "http://www.collectorscum.com/volume3/texas/"} +{"d:Title": "Wisconsin Punk And Hardcore", "d:Description": "Has upcoming shows and events listings, interviews, classifieds and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Wisconsin", "url": "http://www.angelfire.com/wi/punkandhardcore/"} +{"d:Title": "Wisconsin Punk Online", "d:Description": "Wisconsin D.I.Y. punk/hardcore site. Also has some information on the scenes in Minnesota and Michigan.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Regional/North_America/United_States/Wisconsin", "url": "http://www.wiscpunk.org/"} +{"d:Title": "NotSoPop", "d:Description": "Punk, indie, and metal music reviews, links, radio, chat, and musings from the authors.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Reviews", "url": "http://www.notsopop.com/reviews/music/index.html"} +{"d:Title": "Punk Rock Reviews.com", "d:Description": "Reviews of all things punk including books, magazines and music.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Reviews", "url": "http://www.punkrockreviews.com/"} +{"d:Title": "Driven Far Off", "d:Description": "Punk, Emo, Pop-Punk, and Alternative album reviews, band interviews and multimedia downloads.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Reviews", "url": "http://www.drivenfaroff.com/"} +{"d:Title": "Upstarter.com", "d:Description": "focuses on CD reviews covering the genres of ska, punk and hardcore. Upstarter also showcases occasional commentary by the upstarter writers and a punkrock newsfeed provided by punknews.org.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Reviews", "url": "http://www.upstarter.com/"} +{"d:Title": "Kill Your Tv", "d:Description": "Grrl punk/hardcore resource. Bands, interviews, and distros.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Riot_Grrl", "url": "http://www.angelfire.com/nj3/killyourtv/index.html"} +{"d:Title": "Grrl", "d:Description": "Reviews, art, a streaming Riot Grrl channel, Bettie Page and fun.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Riot_Grrl", "url": "http://www.grrl.com/"} +{"d:Title": "PunkGirl", "d:Description": "Large photo collection of grrrl musicians from all over the world.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Riot_Grrl", "url": "http://www.panx.net/punkgirl/index.html"} +{"d:Title": "Ladyfest Europe Tour 2002", "d:Description": "Various projects (gigs, galleries, online art...) continuing the Ladyfest tradition within Europe.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Riot_Grrl", "url": "http://www.angelfire.com/grrl/ladyfest2002/"} +{"d:Title": "Malibu Selina and Barbara's Mambo Mania! Site", "d:Description": "An original site with comics and cartoons, plus funny pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Riot_Grrl", "url": "http://www.angelfire.com/grrl/malibu_selina/"} +{"d:Title": "Mary Nowhere", "d:Description": "Two grrrls and a guy playing Riot Grrl inspired punk rock. Site has lyrics, band member information and upcoming events for the band.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Riot_Grrl/Bands_and_Artists", "url": "http://www.angelfire.com/pa4/marynowhere/main.html"} +{"d:Title": "The Fakers", "d:Description": "An all-punk, all-girl band from Orange Park, Florida.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Riot_Grrl/Bands_and_Artists", "url": "http://www.angelfire.com/emo/thefakers/"} +{"d:Title": "Verbal Assault", "d:Description": "Official site features photos, lyrics, flyers and merchandising.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Straight_Edge", "url": "http://www.verbalassault.com/"} +{"d:Title": "Mutiny, The", "d:Description": "News, merchandise, shows, discography and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Straight_Edge", "url": "http://www.angelfire.com/band/mutiny/"} +{"d:Title": "Champion", "d:Description": "Straight Edge hardcore band from Seattle. Biography, pictures, shows and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Punk/Straight_Edge", "url": "http://xchampionx.tripod.com/home1.htm"} +{"d:Title": "KWFM.net", "d:Description": "On-line rock radio station playing a rock to metal mix.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.kwfm.net/"} +{"d:Title": "ProgRock.com", "d:Description": "Progressive Rock in Mp3 Shoutcast.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.progrock.com/"} +{"d:Title": "Rock 101 - Vancouver", "d:Description": "Classic rock radio station in Vancouver, B.C.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.rock101.com/"} +{"d:Title": "KMTT The Mountain", "d:Description": "Eclectic rock format from Seattle. Live feed through the StreamAudio.com player.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.kmtt.com/"} +{"d:Title": "3WK - Underground Radio", "d:Description": "An internet-only alternative radio station", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.3wk.com/"} +{"d:Title": "LV Rocks", "d:Description": "Live Internet uncensored music/radio station playing hard rock, alternative heavy metal, allows requests and chat. Requires RealPlayer or WinAmp.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.lvrocks.com/"} +{"d:Title": "Streaming Audio Jukebox", "d:Description": "Streaming music from bands such as No Doubt, A Perfect Circle, Ramones, Run Lola Run, and others. Listen using Macromedia Flash.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://web2.airmail.net/mattj/jukebox/index.html"} +{"d:Title": "Rock Around The World", "d:Description": "Archive of the nationally syndicated hit radio show from the 1970s. Features interviews, photos, articles and audio clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.ratw.com/"} +{"d:Title": "BaconBitz Radio", "d:Description": "Online alternative rock station. Also includes rock music news and reviews.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://baconbitzradio.tripod.com/"} +{"d:Title": "93.3 The Eagle KJEM-FM", "d:Description": "A classic rock radio station serving Northwest Arkansas and broadcasting live on the Internet.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.933theeagle.com/"} +{"d:Title": "Hard Drive Radio", "d:Description": "A companion web site to the weekly extreme rock series Harddrive. Archived show available through RealPlayer.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.harddriveradio.com/"} +{"d:Title": "Standing Room Only", "d:Description": "A radio show on KSPI-FM in Stillwater, Oklahoma, spotlighting local bands from 7:00 to 8:00 pm, Sundays. Hosted by Josh Evans.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://standingroom.tripod.com/"} +{"d:Title": "RockRoll.AM&FM Online Radio", "d:Description": "Broadcating rock and roll music online through RealPlayer, WIndows Media Player, and DestinyPlayer. Also features links to other online radio sites and sources.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.rockandroll.fm/"} +{"d:Title": "Garage Rock Radio", "d:Description": "Links to and reviews of garage rock radio shows available on the net.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.garagerockradio.com/"} +{"d:Title": "Rock Radio Scrapbook", "d:Description": "Features aircheck archives in the form of text, sounds and images. Focuses on Canada but also includes non-Canadian stations and information.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.rockradioscrapbook.ca/"} +{"d:Title": "The Rock Radio", "d:Description": "Broadcasting mainstream and classic rock. Listen online via windows media player, real one, winamp and iTunes.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.therockradio.com/"} +{"d:Title": "Pure Rock Lazer 103", "d:Description": "A station in Milwaukee, playing rock music all day, plus hosts Bob and Brian in the morning.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.1029thehog.com/"} +{"d:Title": "Howard's Free Radio Website", "d:Description": "The latest happenings on this London-based rock and pop station, broadcasting live over the Internet on Wednesdays, 7pm-midnight GMT. RealPlayer required for listening.", "topic": "Top/Arts/Music/Styles/R/Rock/Radio", "url": "http://www.freeradio.co.uk/"} +{"d:Title": "Rockabilly Hall of Fame", "d:Description": "A large rockabilly site, featuring information on over 5,000 artists, news, feature columns, show dates, talent profiles, links, photo scrapbook, and its own CD label and e-mail discussion group.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly", "url": "http://www.rockabillyhall.com/"} +{"d:Title": "Rockabilly Central", "d:Description": "Links to almost every rockabilly and swing band, and has all tour dates in one place. It also has a list of what's going on in Chicago.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly", "url": "http://www.rockabilly.net/"} +{"d:Title": "BlackCat Rockabilly Europe", "d:Description": "Hall of Fame, reviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly", "url": "http://www.rockabilly.nl/"} +{"d:Title": "WebRing: Rockabilly", "d:Description": "Ring for sites dedicated to rockabilly music and culture.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly", "url": "http://www.webring.org/hub/rockabilly"} +{"d:Title": "Hasil Adkins Hazequarters, The", "d:Description": "Dedicated to the madman pioneer of rockabilly, Hasil \"The Haze\" Adkins, who started rocking out in the 1950s and continues to rock out today. With interviews and related links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.grandrapidsrocks.com/haze/"} +{"d:Title": "Burnette, Billy", "d:Description": "Biography, discography, and photos of the rockabilly/country artist.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://rock-a-billy.tripod.com/"} +{"d:Title": "15 Megatones", "d:Description": "Russian band's discography, news, lyrics, photos, MP3 clips, and concerts.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://home.onego.ru/~megatones/"} +{"d:Title": "Chop Tops, The", "d:Description": "Revved-up rockabilly band from Santa Cruz California.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.thechoptops.com/"} +{"d:Title": "Hillman, Mike and the Latin Hillbillies", "d:Description": "Rockabilly trio from the south of Spain. Biography and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/hi/MikeLatinHillbillies/index.html"} +{"d:Title": "Little Neal&the Blue Flames", "d:Description": "50's rockabilly style Rock'n'Roll from Berlin, Germany", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.littleneal.de/"} +{"d:Title": "Lipponen, Jimi and Kummitusjuna", "d:Description": "History, news, discography, sound files, and pictures of the Finnish group.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.nettilinja.fi/~perttups/"} +{"d:Title": "Cadillac Dream", "d:Description": "Italian rockabilly band. Pictures and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://web.tiscali.it/cadillacdream/"} +{"d:Title": "Rich Meaty Taste", "d:Description": "Rock/country/blues band from Columbus, Ohio. Biography and gig dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://richmeatytaste.tripod.com/rmt.html"} +{"d:Title": "Rockin' Ricky", "d:Description": "Biography, photos, and sound files for the New York based musician.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/ny3/rockabilly1/index.html"} +{"d:Title": "Steve Harris Band, The", "d:Description": "Official site for the Wabash Valley/Illiana area band. With brief background, pictures and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://bcr3.tripod.com/"} +{"d:Title": "Shackshakers, The", "d:Description": "Retro rock and roll from Lubbock, TX. Official site includes bio, gig dates, sound files, reviews, and CD information.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.shackshakers.com/"} +{"d:Title": "Tweed", "d:Description": "Plays a combination of rockabilly, western swing, folk, pop, and alternative. History, tour dates, lyrics, pictures, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/la/tweed/"} +{"d:Title": "Twistin' Tarantulas, The", "d:Description": "Retro-rooted rock 'n' roll from Detroit. Includes photos, reviews, tour dates, song samples, and CDs.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.twistintarantulas.com/"} +{"d:Title": "Hot'n'Cold", "d:Description": "Rockabilly, hillbilly, and western swing from Hamburg, Germany. Official site includes gig dates, profile, sound files, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.hotncold.de/"} +{"d:Title": "Razorbacks, The", "d:Description": "Rockabilly band based in the tri-state area. Profiles, photos, schedule, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.razorbacksmusic.com/"} +{"d:Title": "Washboard Wilma and the Unkool Hillbillies", "d:Description": "News, music, reviews, discography and tour information for this Rockabilly band.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://members.tripod.com/umegard/"} +{"d:Title": "Lee Rocker", "d:Description": "Official web site, featuring photos, music, biography, tour dates.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.leerocker.com/"} +{"d:Title": "Black Raven", "d:Description": "Official site for the band from Germany, biography, discography, pictures, mp3s and an online game.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.blackraven.de/"} +{"d:Title": "Honeybees, The", "d:Description": "Group playing a mix of rockabilly, country swing, hillibilly, and jump blues, with double female vocals from Barb and Lisa.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.rockabilly.net/honeybees/"} +{"d:Title": "Flame Cats, The", "d:Description": "A punk/rockabilly band from Kernersville, North Carolina. With biographies and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/nc2/theflamecats/"} +{"d:Title": "Darrin Stout and the Starlighters", "d:Description": "A roots rockabilly trio based in Los Angeles. Featuring biography, booking and release information.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://home.earthlink.net/~kyskim/"} +{"d:Title": "Reverend Wado Texas", "d:Description": "Musician's site, featuring biography, pictures, lyrics, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/band/wadotexas/"} +{"d:Title": "Megatones, The", "d:Description": "A Spanish rock and roll/rockabilly band. With biography, discography, news, pictures, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/band/themegatones/"} +{"d:Title": "Nob Hill Billys, The", "d:Description": "Band playing a mixture of rockabilly, alternative, folk, blues and western music. With background, show dates, merchandise, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/nh/billys/"} +{"d:Title": "Ray Condo and His Ricochets", "d:Description": "This Rockabilly Hall of Fame page includes a bio and contact information for the veteran Canadian rockers.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.rockabillyhall.com/RayCondo.html"} +{"d:Title": "Rocket Fins", "d:Description": "Vancouver band. Profile, photos, schedule, news, reviews, links, contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://rocket-fins.tripod.com/rockabilly/"} +{"d:Title": "Blohm, Johan", "d:Description": "Biography, schedule, reviews, and sound clips of the piano player and singer from Sweden.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.johanblohm.com/"} +{"d:Title": "Crimmen, Dave", "d:Description": "San Francisco Bay area rockabilly artist. News, discography, reviews, interviews, show dates, sound files, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.davecrimmen.com/"} +{"d:Title": "Harry Hepcat", "d:Description": "Performer of 1950s rock music, provides samples of music, a history of rock and roll and segments of his and other radio shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.harryhepcat.com/"} +{"d:Title": "Hollywood Joe", "d:Description": "Music for all ages", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.hollywoodjoe.com/"} +{"d:Title": "Park Bench Massacre", "d:Description": "American psychobilly band from San Diego, California. Founded in 2002 by Lorenzo T. as singer/songwriter, Jeff on drums, and Jason at guitar.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.angelfire.com/sd2/pbmassacre/"} +{"d:Title": "Straight 8's, The", "d:Description": "A rockabilly trio from central North Carolina. Photographs, dates, merchandise, and a couple of projects.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.straight8s.com/"} +{"d:Title": "Billy Adams", "d:Description": "Rockabilly Hall of Fame. Includes pictures and promotions.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.rockabillyhall.com/BillyAdams.html"} +{"d:Title": "Red Rivers Official Site", "d:Description": "Hillbilly boogie with a touch of country troubadour.Written songs with Dale Watson, Don Walker. Songs recorded by Ian Moss, Charlie Musselwhite.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.redrivers.com/"} +{"d:Title": "Wild Cats", "d:Description": "Fanpage of estonian rockabilyl band \"Wild Cats\"", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.rockinwildcats.narod.ru/"} +{"d:Title": "Don Woody", "d:Description": "Site dedicated to Don Woody", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.donwoody.narod.ru/"} +{"d:Title": "Roy Kay Trio", "d:Description": "Latest tour and record release information.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.roykaytrio.com/"} +{"d:Title": "Truly Lover Trio", "d:Description": "Band of Rockabilly and Rock and Roll located in Los Angeles, California. Includes biography, schedule and videos.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.trulylovertrio.com/"} +{"d:Title": "MacLeod, Ian B.", "d:Description": "Rockabilly musician, based in Australia. Biography, gig dates, and discography.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://ianbmac.pinewoodrecords.com.au/"} +{"d:Title": "Slip and the Spinouts", "d:Description": "Rockabilly band from Fort Lauderdale, Florida. Biography, schedule, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists", "url": "http://www.slipandthespinouts.com/"} +{"d:Title": "RAB Hall of Fame: Dale Hawkins", "d:Description": "Biography, photos, and related links from the Rockabilly Hall of Fame.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists/Hawkins,_Dale", "url": "http://www.rockabillyhall.com/DaleHawkins.html"} +{"d:Title": "Dale Hawkins", "d:Description": "Dale Hawkins discography with sessionography.", "topic": "Top/Arts/Music/Styles/R/Rock/Rockabilly/Bands_and_Artists/Hawkins,_Dale", "url": "http://pcuf.fi/~tapiov/discographies/dalehawkins.htm"} +{"d:Title": "The Attempted Ska Page", "d:Description": "A popular source for Ska skanking.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska", "url": "http://www.billtanner.net/ska/"} +{"d:Title": "Skaville.de", "d:Description": "Lists cover versions in Jamaican 60's music which influenced the development of ska, early reggae, rhythm and blues and rocksteady.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska", "url": "http://www.skaville.de/"} +{"d:Title": "Tabs Galore", "d:Description": "Tablature for trumpet and instructions for ska and punk songs.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska", "url": "http://tabsgalore.4t.com/"} +{"d:Title": "SKA Spot", "d:Description": "A comprehensive ska music portal and mashup with a large directory of bands, podcasts, videos and links to other ska resources.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska", "url": "http://www.skaspot.com/"} +{"d:Title": "11th Hour Rush!", "d:Description": "The Official 11th Hour Rush Home Page", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/1", "url": "http://members.tripod.com/Drumchuk/11hourrush.html"} +{"d:Title": "Ink Nineteen: Bim Skala Bim", "d:Description": "Positive review of \"The One That Got Away,\" an odds-and-ends compilation.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/B/Bim_Skala_Bim", "url": "http://www.ink19.com/issues_F/98_08/wet_ink/music_ab/013_bim_skala_bim_nf.html"} +{"d:Title": "Bim Skala Bim", "d:Description": "Award-winning ska band based in Boston, MA. With show dates, photos, and merchandise information.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/B/Bim_Skala_Bim", "url": "http://www.bimska.com/"} +{"d:Title": "Blue Meanies Fan Site", "d:Description": "An unofficial band site, featuring news, biography, discography, and tour information.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/B/Blue_Meanies", "url": "http://www.angelfire.com/punk2/bluemeanies/main.html"} +{"d:Title": "Chinese Finger Cuffs", "d:Description": "Albany, NY-based experimental ska band. Band information, discography, news, show dates and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/C", "url": "http://www.angelfire.com/ny3/cfc/start.html"} +{"d:Title": "Crooked Beat, The", "d:Description": "Swedish ska/reggae group formed in Sundbyberg outside Stockholm in 1995. Band information, photos, a MP3 file and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/C", "url": "http://home.swipnet.se/~w-76579/ettan2.html"} +{"d:Title": "Dubfreque Sound System", "d:Description": "Vancouver-based dub/ska/reggae band. Includes upcoming show dates, photos, member profiles and mission statement.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/D", "url": "http://www.dubfreque.net/"} +{"d:Title": "Double Standard", "d:Description": "Punk/ska/core band from Irvington, NY. News, profiles, sound files, pictures, show dates, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/D", "url": "http://doublestandard.tripod.com/"} +{"d:Title": "FC Groove", "d:Description": "Official site includes biography, news, show dates, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/F", "url": "http://www.angelfire.com/sk/fcgroove/"} +{"d:Title": "Fink's Constant", "d:Description": "Band site, with biography, show schedule, pictures, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/F", "url": "http://finktpt.tripod.com/index2.htm"} +{"d:Title": "Hello Bert", "d:Description": "History and lyrics for the ska/rock/punk band from the suburbs of Chicago.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/H", "url": "http://www.angelfire.com/il2/hellobert/"} +{"d:Title": "Hepcat", "d:Description": "Los Angeles ska band, formed in '89. Offers news, band history, members' biographies, press reviews, photos, discography, and lyrics.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/H", "url": "http://www.iration.com/hepcat/"} +{"d:Title": "My Hippos Place", "d:Description": "Fan site with links, biographies, tour dates, pictures and little known facts.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/H/Hippos,_The", "url": "http://www.angelfire.com/sk2/hipposrock/"} +{"d:Title": "Hippos - Here to Rock Your Ass", "d:Description": "Fan site with tabs, lyrics, discography, biographies, tour dates, articles, reviews, and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/H/Hippos,_The", "url": "http://www.angelfire.com/sk2/hippos/"} +{"d:Title": "Janitors Against Apartheid", "d:Description": "Includes discography, lyrics, pictures, and interview.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/J", "url": "http://members.tripod.com/~JanitorsAgainst/"} +{"d:Title": "The unofficial Johnny Socko website", "d:Description": "A fan-site for the midwest ska band, Johnny Socko", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/J/Johnny_Socko", "url": "http://jsocko.8m.com/"} +{"d:Title": "The Kiltlifters", "d:Description": "Weblog written by various band members.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/K/Kiltlifters", "url": "http://kiltlifters.blogspot.com/"} +{"d:Title": "MU330", "d:Description": "Official site includes news, discography, lyrics, profiles, tour dates, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/M", "url": "http://www.mu330.com/"} +{"d:Title": "Murray, Chris", "d:Description": "One man ska band, ex leader of King Apparatus. Biography, news, tour dates, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/M", "url": "http://www.chrismurray.net/"} +{"d:Title": "Mustard Plug", "d:Description": "Ska band from Grand Rapids, Michigan.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/M", "url": "http://www.mustardplug.com/"} +{"d:Title": "2000mad", "d:Description": "A guide to the Madness spin off band The Fink Brothers and the 2000ad record Mutants in Mega City One.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/M/Madness", "url": "http://www.2000mad.20m.com/"} +{"d:Title": "Madness", "d:Description": "Official homepage. Includes news, discography, member profiles, and lyrics. Requires Flash.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/M/Madness", "url": "http://www.madness.co.uk/"} +{"d:Title": "One Step Behind", "d:Description": "UK Madness tribute band. Features tour dates, testimonial quotes, photos, and band history.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/M/Madness/Tribute_Bands", "url": "http://www.mis-online.net/osb/"} +{"d:Title": "Los Palmas 6", "d:Description": "Madness tribute band from the UK. Includes sound files, biography, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/M/Madness/Tribute_Bands", "url": "http://www.lp6.co.uk/"} +{"d:Title": "Nine Millimeter", "d:Description": "A band from Kalamazoo, Michigan. With show dates, biographies, pictures, lyrics, MP3s, and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/N", "url": "http://nynemillimeter.tripod.com/"} +{"d:Title": "Orion", "d:Description": "A band based in Ohio. With history, member profiles and updated news.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/O", "url": "http://www.angelfire.com/rant/orion/"} +{"d:Title": "Paradox", "d:Description": "Band from Connecticut, USA. Biographies, links, pictures, discography and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/P", "url": "http://www.angelfire.com/band/ParadoxPS/"} +{"d:Title": "Punch the Clown", "d:Description": "Includes news, profiles, discography, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/P", "url": "http://www.sonic.net/~raj/ptc/"} +{"d:Title": "Push 90", "d:Description": "Ska/punk band from Virginia Beach, Virginia.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/P", "url": "http://www.angelfire.com/punk/push90/"} +{"d:Title": "Ska Trek", "d:Description": "A German ska and rocksteady band. News, gig dates, discography, song clips, and contact information.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://www.skatrek.de/"} +{"d:Title": "SharkleBerry Fin and The Skaco Bell All-Stars", "d:Description": "Official website of the ska band from Ann Arbor, Michigan.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://www.angelfire.com/pe/2ToneArmy/sharkleberry.html"} +{"d:Title": "Solar Flare", "d:Description": "A ska/punk band from Council Bluffs, Iowa. With MP3s, WAVs, pictures, fan reviews and artwork.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://the_shun.tripod.com/solarflare/index.html"} +{"d:Title": "Spitfire", "d:Description": "A punk/ska band from St. Petersburg, Russia. With background, discography, photographs, interviews, merchandise and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://www.spitfire.spb.ru/"} +{"d:Title": "Spunge", "d:Description": "British ska/punk band. History, tour dates, discography, reviews, interviews, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://spunge.co.uk/"} +{"d:Title": "Straighter Than Pete", "d:Description": "Third-wave ska band from Central New York, site features pictures, biographies, lyrics, and a calendar.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://www.straighterthanpete.8m.com/"} +{"d:Title": "Subsist", "d:Description": "Official site of the ska band from Maryland.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://www.angelfire.com/md/subsist/"} +{"d:Title": "The Suspects", "d:Description": "Home of Houston's best known ska band, The Suspects.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S", "url": "http://suspects.billgrady.com/"} +{"d:Title": "Entertainment Ave: The Suicide Machines", "d:Description": "Review of a concert at the Q101 Jamboree 2000 in Tinley Park, Illinois.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/S/Suicide_Machines,_The", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/q/q101/jamboree_2000/tsm.htm"} +{"d:Title": "Troy's Bucket", "d:Description": "A ska/punk band from the Southwest. Includes biography, show dates, lyrics, news, and merchandise information.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/T", "url": "http://www.troysbucket.com/"} +{"d:Title": "Tubbies, The", "d:Description": "Ska/Punk band from Northern New Jersey. Member profiles, news, show dates, and pictures.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/T", "url": "http://thetubbies.tripod.com/"} +{"d:Title": "Venus Hill", "d:Description": "Ska \"crossover\" band from Amsterdam. Features news, show dates, pictures, and sound files.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Bands_and_Artists/V", "url": "http://members.ams.chello.nl/slemaire/"} +{"d:Title": "HARS Mag", "d:Description": "Online ska, punk and hardcore magazine with reviews, interviews, articles, audio clips, photos and postcards.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Magazines_and_E-zines", "url": "http://www.happyasrawsewage.com/"} +{"d:Title": "Topix: Ska", "d:Description": "News about ska, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Magazines_and_E-zines", "url": "http://www.topix.com/rss/music/ska.xml"} +{"d:Title": "Ska Jerk", "d:Description": "Dedicated to Jamaican, rocksteady and neo-traditional ska music. Featuring a streaming MP3 broadcast and background genre information.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Personal_Pages", "url": "http://members.tripod.com/rytradska/"} +{"d:Title": "The DC Ska Resource", "d:Description": "News, advice column, show list, reviews, interviews, FAQ, local directory, forum, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Regional", "url": "http://www.dcska.com/"} +{"d:Title": "Stay Rude in Munich", "d:Description": "Introduction, events, reviews, MP3s, and links. [German and English]", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Regional", "url": "http://www.2-tone.de/"} +{"d:Title": "Pennsylvania Ska", "d:Description": "Covering all aspects of the PA scene including local bands, radio, shows, zines, and news.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Regional", "url": "http://paska.kozlek.com/ska.html"} +{"d:Title": "Syracuse Ska Scene", "d:Description": "Guide to local ska in the Syracuse, New York area. Scene history, band information, upcoming shows, and forums.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Regional", "url": "http://www.syracuseska.com/"} +{"d:Title": "Boston Ska", "d:Description": "Latest info on shows and bands from the Boston ska scene. Includes reviews, venue directions, forums, and live MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Regional", "url": "http://www.bostonska.com/"} +{"d:Title": "WestSka - Western Australian Ska Online", "d:Description": "Show, CD, and fashion reviews, local band MP3s, mailing list, and photos.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Regional", "url": "http://westska.iinet.net.au/"} +{"d:Title": "Skaville Festival Croatia", "d:Description": "Annual international ska music festival in Croatia.", "topic": "Top/Arts/Music/Styles/R/Rock/Ska/Regional", "url": "http://www.skaville.org/"} +{"d:Title": "Ryan's Surf Music", "d:Description": "History of surf music, with an emphasis on four of the most prominent bands, Dick Dale, the Beach Boys, the Chantays and the Ventures.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf", "url": "http://members.tripod.com/~rubberneck55/"} +{"d:Title": "Devlar Surf Sessions", "d:Description": "Surf instrumental radio stations playing surf music 24 hours a day, seven days a week.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf", "url": "http://raydukes_1.tripod.com/"} +{"d:Title": "Surf Instrumental Groups", "d:Description": "A brief history of four surf music pioneers from the '60s, the Bel-Airs, the Chantays, the Pyramids and the Surfaris.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf", "url": "http://www.history-of-rock.com/surfinstrumentals.htm"} +{"d:Title": "Gem Buzz Records", "d:Description": "German indie label releasing U.S. surf rock music amongst other styles. Artists and sound files are featured.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf", "url": "http://www.gembuzz.com/"} +{"d:Title": "Tremolo Records", "d:Description": "UK psychedelic surf music label.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf", "url": "http://www.tremolorecords.com/"} +{"d:Title": "Agent Orange", "d:Description": "Encino, California surf/punk power trio. Photographs, show dates, music, lyrics and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.agentorange.net/"} +{"d:Title": "Los Straitjackets", "d:Description": "The surf rockers behind the wrestling masks, from Nashville, Tennessee. Features a band history, photo gallery, tour dates, links and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.straitjackets.com/"} +{"d:Title": "Fankhauser, Merrell", "d:Description": "The official site of this surf guitar legend includes a biography, photo gallery, sound clips, news and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://merrellfankhauser.com/"} +{"d:Title": "Torpedoes, The", "d:Description": "Instrumental surf rock from the San Francisco Bay Area. Band information, news, photos, show dates, audio files, press clippings, reviews, awards and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.thetorpedoes.com/"} +{"d:Title": "Drifting Sand", "d:Description": "Surf band named after a road sign near Santa Cruz, California. Band information, photos, FAQ, news and MP3 files.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.driftingsand.com/"} +{"d:Title": "Cocktail Preachers, The", "d:Description": "Surf music from Indiana. Includes band information, photographs, discography, MP3s, reviews, news, gig schedule and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.cocktailpreachers.com/"} +{"d:Title": "9th Wave", "d:Description": "East Coast original instrumental surf rock combo, playing surf, hot rod, sci-fi, twang, spy, lounge, rockabilly and exotica. Biography, gig information, Real Audio and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.9thwavesurf.com/"} +{"d:Title": "Coffin Daggers, The", "d:Description": "An instrumental surf/punk/sci-fi band from New York City. With show dates, song samples, pictures, flyer/poster art, and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.coffindaggers.com/"} +{"d:Title": "Papa Doo Run Run", "d:Description": "More than three decades of surf and classic rock from this legendary California band. Biography, photos, concert dates, news, song list and dozens of audio files.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.papadoo.com/"} +{"d:Title": "Lost Lake", "d:Description": "Instrumental surf music from San Francisco. Band information, photos, sound clips and upcoming shows.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.lostlake-music.com/"} +{"d:Title": "Pyronauts, The", "d:Description": "An instrumental surf band from Northern California. Includes group information, photographs, gig schedule and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.thepyronauts.com/"} +{"d:Title": "Insect Surfers, The", "d:Description": "A modern Southern California surf band with a hard rocking edge. Includes audio files, reviews, discography and information about studio sessions and live performances.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://members.tripod.com/~eqcitybbs/insect.htm"} +{"d:Title": "Closet Surfers, The", "d:Description": "Official website of the Huntington Beach, California surf group. Band information, photo gallery, audio/video files, discography and gig information.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.surfband.com/"} +{"d:Title": "Surfaris, The", "d:Description": "Current band led by original guitarist, Bob Berryhill, co-writer and performer of Wipe Out. With pictures, biography, history, updates, mission, and contacts.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://thesurfaris.com/"} +{"d:Title": "Plan 9 From Outer Space", "d:Description": "Surf music from Berlin, Germany. Features member profiles, photographs, audio files, gig schedule, contact page and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.plan9.tv/"} +{"d:Title": "Glamour Puss", "d:Description": "An instrumental surf band hailing from the Midwest. Includes a biography, photographs, sound clips, reviews and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.gpuss.com/"} +{"d:Title": "Cleare, Mike", "d:Description": "Offers news and audio samples.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.mickcleare.20m.com/"} +{"d:Title": "Fifty Foot Combo", "d:Description": "Surf and drag music from Belgium. Includes band information, photographs, discography, audio files, gig schedule and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.angelfire.com/de/FiftyFootCombo/"} +{"d:Title": "Surf Sluts", "d:Description": "A York, UK, based surfabilly band. With song samples, pictures, background, member profiles, show dates and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.surfsluts.co.uk/"} +{"d:Title": "Vara-tones, The", "d:Description": "This classic 60s surf band has reformed and cut a new CD. The site features MP3 clips from the CD, photos and ordering information.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.speakerrepair.com/varatones/"} +{"d:Title": "California Girls, The", "d:Description": "An all girl band singing their own brand of surf, beach and contemporary music. Features biographies, photographs, song list, recent events, endorsements, cartoons, contact and booking information.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.thecaliforniagirls.bizland.com/"} +{"d:Title": "Atomic Mosquitos", "d:Description": "Instrumental surf and garage rock. Includes photographs and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://atomicmosquitos.homestead.com/am.html"} +{"d:Title": "Susan&The SurfTones", "d:Description": "Instrumental surf and garage band from Portland, Oregon. Includes group information, biographies, photographs, discography with track listings, events calendar and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.angelfire.com/or3/surftones/"} +{"d:Title": "Breakers, The", "d:Description": "Instrumental surf music group from Orange County in Southern California. Features a biography, performance schedule, photographs, a guest book and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.breakersband.com/"} +{"d:Title": "Still Surfin'", "d:Description": "A Washington, DC based band playing the music of The Beach Boys, Jan and Dean, The Ventures, Dick Dale and other great '60s surf groups.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.stillsurfin.com/"} +{"d:Title": "Bullets, The", "d:Description": "Rockabilly/surf trio from Thessaloniki, Greece.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://clubs.pathfinder.gr/the_bullets"} +{"d:Title": "Monsters From Mars", "d:Description": "Instrumental surf band from San Diego, California. Includes personnel information, photographs, news, gig schedule, MP3s, merchandise and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.monstersfrommars.com/"} +{"d:Title": "Shadowy Site On A Shadowy Web, The", "d:Description": "Unofficial website for the Canadian instrumental band. Includes information about the members' later bands, Phono-Comb, Atomic 7 and Greek Buck.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://shadowy.brainiac.com/"} +{"d:Title": "Los High Tops", "d:Description": "Surfabilly music from Northern California. Band information, schedule, multimedia, contact and booking information.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.loshightops.com/"} +{"d:Title": "Breakaways, The", "d:Description": "Classic, traditional surf rock band from Orange County, CA. Band information, photo gallery, music samples, listing of shows and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.thebreakaways.com/"} +{"d:Title": "Los Rauncheros", "d:Description": "Texas based surf and blues band performing classic favorites and original material. Includes photographs, scheduled appearances, contact information and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.losrauncheros.com/"} +{"d:Title": "Surfin' Tones, The", "d:Description": "A South Florida surf band playing the old favorites from 1958 to 1964. Band information, photographs, multimedia, surf forum and show schedule.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.surfintones.com/"} +{"d:Title": "Monsters from the Surf", "d:Description": "A Washington, D.C. based surf, punk, garage and rockabilly band. Group information, photographs and MP3s.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.monstersfromthesurf.com/"} +{"d:Title": "Verbtones, The", "d:Description": "Pacific Northwest surf. Music, band information, photographs, shows and updates.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.theverbtones.iwarp.com/"} +{"d:Title": "Hydrosonics, The", "d:Description": "Instrumental surf from Belgium. Band information, photographs, gig details, demos and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://users.telenet.be/lbo/thehydrosonics/"} +{"d:Title": "Love Preachers, The", "d:Description": "Surf and soul from D\u00fcsseldorf. Band information, news, events, media and guestbook.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.thelovepreachers.com/"} +{"d:Title": "Reventlos, The", "d:Description": "A staple in the Southern California surf scene. Band information and sound clips.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.reventlos.com/"} +{"d:Title": "Surf Trio", "d:Description": "From Portland, Oregon, they combine 60s garage and surf with 70s punk. Features band information, photographs, discography, merchandise and links.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.bloodred.com/surftrio.html"} +{"d:Title": "Lunatics, The", "d:Description": "Gigs, CD store, photos, and audio clips for this surf instrumental combo from Oulu, Finland.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://tukio.pp.fi/sites/thelunatics/"} +{"d:Title": "Mermen, The", "d:Description": "A psychedelic surf trio from San Francisco. Features a band profile, photos, audio and video samples, poster gallery, message board and fan comments.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists", "url": "http://www.mermen.net/"} +{"d:Title": "Dale, Dick", "d:Description": "The official site for the original king of the surf guitar includes a biography, photos, discography, multimedia, personal information, news, tour dates, merchandise and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Dale,_Dick", "url": "http://www.dickdale.com/"} +{"d:Title": "Entertainment Ave: Dick Dale", "d:Description": "Review of a concert at The Cubby Bear in Chicago, Illinois.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Dale,_Dick", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/d/dick_dale/dd053096.htm"} +{"d:Title": "Jan and Dean", "d:Description": "Official site of the surf and hot rod music legends. Biography, discography, tour schedule and booking information.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Jan_and_Dean", "url": "http://www.jananddean.com/"} +{"d:Title": "Jan&Dean", "d:Description": "The official web site for Jan Berry.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Jan_and_Dean", "url": "http://www.jananddean-janberry.com/"} +{"d:Title": "Dimension of Sound in Hi-Fi Stereo, The", "d:Description": "Fan site with poetry, lyrics, links and merchandise.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Man_or_Astro-Man", "url": "http://www.13d.org/music/astroman.shtml"} +{"d:Title": "Astro-List, The", "d:Description": "A discussion group for fans of Man or Astro-Man.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Man_or_Astro-Man", "url": "http://groups.yahoo.com/group/astro-list/"} +{"d:Title": "Man or Astro-Man Intergalactic Interface", "d:Description": "Their official site features up-to-the-minute Astro-News, mail order, discography, tour dates, photographs and studio information.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Man_or_Astro-Man", "url": "http://www.astroman.com/"} +{"d:Title": "Metacritic", "d:Description": "Multiple critic and user reviews for A Spectrum of Infinite Scale.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Man_or_Astro-Man", "url": "http://www.metacritic.com/music/spectrum-of-infinite-scale/man-or-astroman"} +{"d:Title": "The Ventures", "d:Description": "Their official website includes biographies, news, reviews, merchandise, tour dates, facts and links.", "priority": "1", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The", "url": "http://www.theventures.com/"} +{"d:Title": "Go With The Ventures", "d:Description": "A fan site providing information about the band. Includes many sound samples, a discography and cover art.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The", "url": "http://ventures.pl.ru/"} +{"d:Title": "Underground Fire", "d:Description": "An e-mail discussion group that enables Ventures fans to share information.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The", "url": "http://groups.yahoo.com/group/undergroundfire"} +{"d:Title": "Mosrite Ventures Model Owner List", "d:Description": "A list the Mosrite owners, with guitar information and comments.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The", "url": "http://www.ne.jp/asahi/mochizuki/kiyoshi/kiyoshi/mosriteowner/ownerlist.html"} +{"d:Title": "Mosrite and The Ventures", "d:Description": "Talk about Mosrite and the Ventures.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The", "url": "http://www.ne.jp/asahi/mochizuki/kiyoshi/kiyoshi/kiyoshi.html"} +{"d:Title": "Edwards, Nokie", "d:Description": "Lead guitarist for the Ventures in the 1960s, he occasionally plays and records with them today. Biography, newsletter, appearance schedule and feedback.", "topic": "Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The", "url": "http://www.nokieedwards.com/"} +{"d:Title": "Craig's BigBands and BigNames", "d:Description": "Historic reviews and photos from performers of the 1940s, 50s, and 60s. Includes old time radio broadcast, quiz, and message board.", "topic": "Top/Arts/Music/Styles/V/Vocal", "url": "http://www.bigbandsandbignames.com/"} +{"d:Title": "Ian Whitcomb's Literary Corner", "d:Description": "Includes articles on Rudy Vallee, Duke Ellington, Irving Berlin, and the first crooners.", "topic": "Top/Arts/Music/Styles/V/Vocal", "url": "http://www.picklehead.com/ian/literary.htm"} +{"d:Title": "JD Hay's Crooners", "d:Description": "Library of songs in WAV format, including those by several pop artists and a 1950s collection.", "topic": "Top/Arts/Music/Styles/V/Vocal", "url": "http://www.angelfire.com/retro2/croonerjd/index.html"} +{"d:Title": "Jukebox: American Popular Music in the 1930s", "d:Description": "Includes 40 audio files, with background and commentary.", "topic": "Top/Arts/Music/Styles/V/Vocal", "url": "http://xroads.virginia.edu/~UG03/Jukebox/front.html"} +{"d:Title": "Wikipedia: Melodic Music", "d:Description": "Defines \"melodic\" genre as the vocal-oriented popular American music style that came after big band music but before rock and roll, as exemplified by singers like Perry Como and Margaret Whiting.", "topic": "Top/Arts/Music/Styles/V/Vocal", "url": "http://en.wikipedia.org/wiki/Musical_genre#Melodic_music"} +{"d:Title": "Topix: Vocal", "d:Description": "News about vocal, collected from various sources on the web.", "topic": "Top/Arts/Music/Styles/V/Vocal", "url": "http://www.topix.com/rss/music/vocal.xml"} +{"d:Title": "Vocal Group Harmony", "d:Description": "Photos and audio of rhythm and blues vocal group harmony from the 1930s, 1940s and 1950s.", "topic": "Top/Arts/Music/Styles/V/Vocal/Harmony_Vocal_Group", "url": "http://www.group-harmony.com/"} +{"d:Title": "Doo-Wop Society of Southern California", "d:Description": "Dedicated to the preservation of pre-rock group harmony vocal music. Photos and stories about leading figures of the genre.", "topic": "Top/Arts/Music/Styles/V/Vocal/Harmony_Vocal_Group", "url": "http://www.electricearl.com/dws/"} +{"d:Title": "Eklipsis", "d:Description": "Professional mixed vocal group from Greece who perform classical and modern songs in several languages. [Greek/English]", "topic": "Top/Arts/Music/Styles/V/Vocal/Harmony_Vocal_Group/Singers", "url": "http://www.eklipsis.gr/"} +{"d:Title": "American Treasures of the Library of Congress: Baseball Songs", "d:Description": "Includes sheet music covers of several baseball songs, the first of which was written in 1858.", "topic": "Top/Arts/Music/Styles/V/Vocal/Nostalgia", "url": "http://www.loc.gov/exhibits/treasures/tri045.html"} +{"d:Title": "Slate: The Worst Songwriter of All Time", "d:Description": "Mark Steyn's article on Bob Merrill and novelty songs in general.", "topic": "Top/Arts/Music/Styles/V/Vocal/Nostalgia", "url": "http://slate.com/id/2898/"} +{"d:Title": "Classic Railroad Songs", "d:Description": "Collections of songs since 1930, including historical profiles of song and CD ordering.", "topic": "Top/Arts/Music/Styles/V/Vocal/Nostalgia", "url": "http://www.michael-hyatt.com/railroadsongs/index_rail.php"} +{"d:Title": "Strong, Frank", "d:Description": "Performer of traditional American railroad songs and harmonica instructor. Includes profile, audio samples, CD ordering, and links.", "topic": "Top/Arts/Music/Styles/V/Vocal/Nostalgia/Singers", "url": "http://www.rrsongs.com/"} +{"d:Title": "Schindel, Greg", "d:Description": "Known as Train Singer on the California Western Railroad Skunk Train. Includes biography, reviews, audio samples, and ordering of CDs of traditional train songs.", "topic": "Top/Arts/Music/Styles/V/Vocal/Nostalgia/Singers", "url": "http://www.trainsinger.com/"} +{"d:Title": "David Kenney's Everything Old Is New Again", "d:Description": "WBAI 99.5 FM radio show simulcast on the net that includes big band jazz, swing, standards, showtunes, contemporary cabaret and celebrity interviews. Upcoming show details, history, host's profile, message board, and links.", "topic": "Top/Arts/Music/Styles/V/Vocal/Radio", "url": "http://www.oldisnew.org/"} +{"d:Title": "KLAC 570 AM", "d:Description": "Los Angeles station broadcasting standards live and in streaming audio. Includes news, contests, photo gallery, and music videos.", "topic": "Top/Arts/Music/Styles/V/Vocal/Radio", "url": "http://www.570klac.com/main.html"} +{"d:Title": "KMRY AM 1450", "d:Description": "Station in Cedar Rapids, Iowa. Includes streaming audio, contests, and schedule.", "topic": "Top/Arts/Music/Styles/V/Vocal/Radio", "url": "http://www.kmryradio.com/"} +{"d:Title": "NewsLink: Nostalgia Radio Stations", "d:Description": "Directory of stations in the US.", "topic": "Top/Arts/Music/Styles/V/Vocal/Radio", "url": "http://newslink.org/rnoradi.html"} +{"d:Title": "XM 73 - Frank's Place", "d:Description": "American standard songs broadcast via satellite to the continental US. List of typical cuts, program schedule, song request form.", "topic": "Top/Arts/Music/Styles/V/Vocal/Radio", "url": "http://www.xmradio.com/programming/channel_page.jsp?ch=73"} +{"d:Title": "Whitcomb, Ian", "d:Description": "Performer of Tin Pan Alley, novelty, and ragtime music. Includes biography, songbooks, discography, audio samples, and CD ordering.", "topic": "Top/Arts/Music/Styles/V/Vocal/Singers", "url": "http://www.picklehead.com/ian.html"} +{"d:Title": "PBS American Masters: Women of Tin Pan Alley", "d:Description": "Brief profiles of Dorothy Fields, Kay Swift, Dana Suesse, and Ann Ronell.", "topic": "Top/Arts/Music/Styles/V/Vocal/Tin_Pan_Alley_Pop", "url": "http://www.pbs.org/wnet/americanmasters/database/tin_pan_alley.html"} +{"d:Title": "Pro-Culture Editions: Tin Pan Alley and Its Publishing Houses", "d:Description": "History with a focus on the publishing house M. Witmark and Sons.", "topic": "Top/Arts/Music/Styles/V/Vocal/Tin_Pan_Alley_Pop", "url": "http://www.proculture.com/ragtime1.htm"} +{"d:Title": "Golden Music Memories of Yesteryear", "d:Description": "A nostalgic website loaded with pictures, articles of the 1940s and 1950s.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop", "url": "http://www.gmmy.com/"} +{"d:Title": "Scott, Marilyn", "d:Description": "Official website for the jazz/pop vocalist includes discography, sound files, reviews, biography, tour dates, photos, and news.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists", "url": "http://www.marilynscott.com/"} +{"d:Title": "March, Peggy", "d:Description": "Official site celebrating the career of the vocalist \"Little\" Peggy March, best known for her 1963 hit \"I Will Follow Him.\"", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists", "url": "http://www.peggymarch.net/"} +{"d:Title": "Martin, Johnny", "d:Description": "Male vocalist in the spirit of Frank Sinatra and Louis Prima, based in Portland, Oregon. Includes sound files, biography, play list, and show dates.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists", "url": "http://www.johnnymartin.com/"} +{"d:Title": "Christine, Maureen", "d:Description": "Grammy-nominated recording artist, performer of American standards and her own original songs. Reviews, online compact disc sales, schedule of big band show dates.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists", "url": "http://www.maureenchristine.com/"} +{"d:Title": "Patterson and Associates: Ed Ames", "d:Description": "Profile from his booking agency.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists/Ames_Brothers,_The", "url": "http://www.pattersonandassociates.com/bios/Ed_Ames/"} +{"d:Title": "The Ames Brothers", "d:Description": "Profile with reviews of two in-print CD compilations.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists/Ames_Brothers,_The", "url": "http://www.singers.com/jazz/vintage/ames.html"} +{"d:Title": "The Four Lads", "d:Description": "Official site of the vocal quartet, signed to Columbia in 1950 and still performing with one original member. History, show schedule, and profiles of present-day members.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists/Four_Lads,_The", "url": "http://www.thefourlads.com/"} +{"d:Title": "Johnnie Ray Society (UK)", "d:Description": "British fan club site includes discography and photos.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists/Ray,_Johnnie", "url": "http://www.johnnieraysocietyuk.communityarchitect.com/"} +{"d:Title": "Johnnie Ray", "d:Description": "Biography.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists/Ray,_Johnnie", "url": "http://www.tsimon.com/ray.htm"} +{"d:Title": "Johnnie Ray at the Copa", "d:Description": "Profile and a vintage review by Robert W. Dana from 1953.", "topic": "Top/Arts/Music/Styles/V/Vocal/Traditional_Pop/Bands_and_Artists/Ray,_Johnnie", "url": "http://www.bigbandsandbignames.com/JohnnieRay.html"} +{"d:Title": "Acme Sound Bass Speaker Cabinets", "d:Description": "Andy Lewis' flat-response extended-range \"Low B\" line of 3-way bass speakers. Direct sales.", "topic": "Top/Arts/Music/Technology/Amplification", "url": "http://www.acmebass.com/"} +{"d:Title": "Compact Bass Guitar Speaker Bottom", "d:Description": "Len Moskowitz' famous compact bass cab plans. Gonzo sound for the do-it-yourselfer.", "topic": "Top/Arts/Music/Technology/Amplification", "url": "http://www.core-sound.com/bottom-article.html"} +{"d:Title": "Bass Gear Review Page", "d:Description": "Huge archive of bass-related user reviews including preamps, power amps, amp heads, speaker cabinets, combo amps, and effects.", "topic": "Top/Arts/Music/Technology/Amplification", "url": "http://www.bgra.net/"} +{"d:Title": "Fuchs Audio Technology", "d:Description": "Design and hand-build tube amplifiers; includes model speficications and dealer network. Also offer a custom-build service.", "topic": "Top/Arts/Music/Technology/Amplification", "url": "http://www.fuchsaudiotechnology.com/"} +{"d:Title": "Dana Music", "d:Description": "Site from luthier Dana Sutcliffe offers a guide to match Ampeg amps and speakers correctly, as well as downloadable Ampeg manuals.", "topic": "Top/Arts/Music/Technology/Amplification", "url": "http://danamusic.com/"} +{"d:Title": "Plexi Palace", "d:Description": "Vintage guitar amplifier sales and service. Apple Valley, California.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.vintageamps.com/"} +{"d:Title": "Aguilar Amplifiers", "d:Description": "Makers of handmade bass amps.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.aguilaramp.com/"} +{"d:Title": "Demeter Amplification", "d:Description": "Products listed by category and includes: pro audio, guitar, bass, and accessories sections with detailed specifications and cabinet descriptions. Company history and contact information are also available as well as an artist list.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.demeteramps.com/"} +{"d:Title": "Crate Amps", "d:Description": "Company background information and product overviews, as well as a dealer list, and a support section containing FAQs, and downloadable manuals. Also features a list of users and the gear they selected.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.crateamps.com/"} +{"d:Title": "Dr Z Amplifiers", "d:Description": "Professional quality amps with sound clips and reviews.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.drzamps.com/"} +{"d:Title": "Eden Electronics", "d:Description": "Bass amplification products.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.eden-electronics.com/"} +{"d:Title": "Gallien-Krueger", "d:Description": "Bass cabinets, heads, combos, and accessories.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.gallien.com/"} +{"d:Title": "Hoffman Amplifiers", "d:Description": "Tube amp parts, tube amplifier building supplies, books/videos about tube amps.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.hoffmanamps.com/"} +{"d:Title": "Kustom", "d:Description": "Guitar and bass amplifiers, as well as P.A. systems, stage monitors, microphones, and speakers.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.kustom.com/"} +{"d:Title": "Hughes and Kettner", "d:Description": "Product specifications and detailed descriptions, as well as an image gallery. Also features an artist list, dealer information, and downloadable user manuals. Available in English and Dutch.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.hughes-and-kettner.com/"} +{"d:Title": "Marshall Amplification", "d:Description": "Product images and specifications, information on 'rock kits' as well as free instructional material and desktop themes. Also includes a player feedback section, handbooks for download, and an archive of past products.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.marshallamps.com/"} +{"d:Title": "Mesa Boogie", "d:Description": "Manufacturers located in Petaluma, California. Features a tour through the construction process, a dealer list, and a new product release section.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.mesaboogie.com/"} +{"d:Title": "Naylor Amps", "d:Description": "Product features and details pertaining to tone and response. Also contains speakers and padded covers, a distributor list, and contact information.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.naylorengineering.com/"} +{"d:Title": "Soldano Custom Amplification", "d:Description": "Information regarding reverbs, heads, preamps, and special effect equipment as well as a list of retails and famous users. Also features a FAQ section and contact information.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.soldano.com/"} +{"d:Title": "Victoria Amp Company", "d:Description": "Detailed descriptions of the various models offered as well as the components used. Also includes a dealers list by state and links to parts suppliers and reference material.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.victoriaamp.com/"} +{"d:Title": "Electric Amp Innovations", "d:Description": "Green Amps: tube amplifiers and speaker cabs for guitar and bass.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.greenamps.com/"} +{"d:Title": "Garnet Guitar Amplifiers", "d:Description": "Facts, photos, specifications and details of Garnet amps, as well as a serial number registry.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.garnetamps.com/"} +{"d:Title": "Tone King Amplifier Company", "d:Description": "Manufacturer of vacuum-tube guitar amplifiers.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.toneking.com/"} +{"d:Title": "Roccaforte Amps", "d:Description": "Custom, hand-built tube guitar amplifiers. EL34 tubes, English transformes, turret board construction. Amp prototypes, repairs and modifications.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.roccaforteamps.com/"} +{"d:Title": "Juke Amplification", "d:Description": "Hand-built vacuum tube amps. Models, specifications, purchase information.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.jukeamps.com/"} +{"d:Title": "Amp Tone", "d:Description": "Information about distortion voicing, effects placement, and cranked-tube-amp tone at any volume.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.amptone.com/"} +{"d:Title": "Trance Audio, Inc.", "d:Description": "Amplification systems for acoustic guitar. Product information; downloadable .pdf manuals.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.tranceaudio.com/"} +{"d:Title": "Kendrick Amplifiers", "d:Description": "Manufacturer of tube amps, guitars, and related accessories. Parts, repairs and restoration of vintage tube amps. Site features a demo room with MP3 clips, a company history section, and ordering information.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.kendrick-amplifiers.com/"} +{"d:Title": "Line 6", "d:Description": "Digital guitar amps and equipment.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.line6.com/"} +{"d:Title": "Aiken Amplification", "d:Description": "Manufacturer of tube guitar amps and attenuaters. In-depth technical database.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.aikenamps.com/"} +{"d:Title": "Gerhart Amplification", "d:Description": "Handmade tube guitar amps and kits.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.gerhartamps.com/"} +{"d:Title": "Laney", "d:Description": "UK manufacturer of guitar and bass amplifiers.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.laney.co.uk/"} +{"d:Title": "Cornford Amplification", "d:Description": "UK maker of valve guitar amplifiers. Includes sound samples [requires Flash 5].", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.cornfordamps.com/"} +{"d:Title": "Metaltronix Amplifiers", "d:Description": "Information on Metaltronix amplifiers including manuals, settings, schematics, history, ads, and message board.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.metaltronix.net/"} +{"d:Title": "Hiwatt Amplification", "d:Description": "Model and tech information, catalog, and dealers.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.hiwatt.com/"} +{"d:Title": "L.R. Baggs", "d:Description": "Manufacturer of advanced pickups and electronics for guitar and other stringed instruments. Includes product details, manuals, and reviews.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.lrbaggs.com/"} +{"d:Title": "Rocktron", "d:Description": "Manufacturer of amplification and effects technology; includes product details, dealer listing and technical support.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.rocktron.com/"} +{"d:Title": "Z.Vex Effects", "d:Description": "Manufacturer of amplifiers and effects pedals; includes product details, manuals, sound samples and ordering instructions.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.zvex.com/"} +{"d:Title": "Singlecoil.com", "d:Description": "A library of do-it-yourself projects to modify stompboxes and effects, amps and guitars; includes a components shop.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.singlecoil.com/"} +{"d:Title": "Euphonic Audio", "d:Description": "High end bass cabinets and combo amps.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.eaamps.com/"} +{"d:Title": "Vox Amplification", "d:Description": "Manufacturers of Vox Guitar Amplifiers and Effects Pedals. Valve state and digital guitar amps, online product registration, spare parts and official merchandise.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.voxamps.co.uk/"} +{"d:Title": "Steve's Amp Revamps", "d:Description": "Amplifier repairer and modifier's site based in Surrey, UK. Includes amp modification faq.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.stevesamps.co.uk/"} +{"d:Title": "Rick-Tone", "d:Description": "Parts, schematics, and technical information for Rick-Tone and other vacuum tube guitar amplifiers by Rick Campbell.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.ricktone.com/"} +{"d:Title": "Savage Audio, Inc.", "d:Description": "High-end guitar amplifiers handmade in Savage, Minnesota. Includes photo gallery and audio clips.", "topic": "Top/Arts/Music/Technology/Amplification/Guitar", "url": "http://www.savageamps.com/"} +{"d:Title": "Angela Instruments", "d:Description": "Tubes, speakers, transformers, and other parts for vintage guitar and bass amplifiers. Also instrument parts.", "topic": "Top/Arts/Music/Technology/Amplification/Repair_and_Modification", "url": "http://www.angela.com/"} +{"d:Title": "Nashville Amplifier Service", "d:Description": "Repair, restoration and modification of solid state and tube amps.", "topic": "Top/Arts/Music/Technology/Amplification/Repair_and_Modification", "url": "http://www.amprepair.com/"} +{"d:Title": "Plexi Palace", "d:Description": "Repair and restoration of vintage amplifiers. Sales of amplifier parts. Additional information and photos of vintage amps.", "topic": "Top/Arts/Music/Technology/Amplification/Repair_and_Modification", "url": "http://www.vintageamps.com/"} +{"d:Title": "Ambisonic.net", "d:Description": "An alternative method of surround sound encoding, with technical articles, discography of ambisonic recordings, equipment listing, FAQ, and the Sursound mailing list.", "topic": "Top/Arts/Music/Technology/Audio_Playback", "url": "http://www.ambisonic.net/"} +{"d:Title": "Microphone University", "d:Description": "A thorough and detailed overview of microphone technology. It also provides an audio terminology dictionary, a foundation section on microphone technology and techniques applicable to all brands of microphones.", "topic": "Top/Arts/Music/Technology/Audio_Recording", "url": "http://www.dpamicrophones.com/"} +{"d:Title": "Music and Technology", "d:Description": "Resources for recording musicians compiled by Stephen Sherrard of DBAR Productions in Seattle, including technology tutorials, message forums, book reviews, and free classifieds.", "topic": "Top/Arts/Music/Technology/Audio_Recording", "url": "http://www.music-and-technology.com/"} +{"d:Title": "Giant Pygmy Audio", "d:Description": "High quality digital audio for the Windows platform", "topic": "Top/Arts/Music/Technology/Audio_Recording", "url": "http://www.giantpygmy.net/"} +{"d:Title": "The Official KSAND Kent Sandvik Web Site", "d:Description": "Music, music production, Stuff Like That Blog (Ableton Live, Logic Pro, Audio)", "topic": "Top/Arts/Music/Technology/Audio_Recording", "url": "http://www.kentsandvik.com/"} +{"d:Title": "Good Ear", "d:Description": "Online ear training site.", "topic": "Top/Arts/Music/Theory", "url": "http://www.good-ear.com/"} +{"d:Title": "Teoria", "d:Description": "Includes software, books, exercises, and links.", "topic": "Top/Arts/Music/Theory", "url": "http://www.teoria.com/"} +{"d:Title": "Ricci Adams' Musictheory.net", "d:Description": "Includes introductory and intermediate music theory lessons, ear trainers, and books.", "topic": "Top/Arts/Music/Theory", "url": "http://www.musictheory.net/"} +{"d:Title": "Star Theory", "d:Description": "Free preparatory syllabus in music theory and orchestration.", "topic": "Top/Arts/Music/Theory", "url": "http://www.musicarrangers.com/star-theory/"} +{"d:Title": "Bimodalism", "d:Description": "A contemporary alternative to atonal styles of composition.", "topic": "Top/Arts/Music/Theory", "url": "http://www.ubieta.com/bimodalism/"} +{"d:Title": "Harmony.org.uk", "d:Description": "By Tom Sutcliffe. Aims to help students of music theory understand the role of chord progressions in musical structures. Site includes animated demos.", "topic": "Top/Arts/Music/Theory", "url": "http://www.harmony.org.uk/"} +{"d:Title": "Technical Committee on Musical Acoustics", "d:Description": "A group within the Acoustical Society of America, that concerns itself with the application of science and technology to the field of music. Contains members, a list of papers, acousticians and links.", "topic": "Top/Arts/Music/Theory", "url": "http://www.public.coe.edu/~jcotting/tcmu/"} +{"d:Title": "SchenkerGuide", "d:Description": "An introduction to Schenkerian analysis for undergraduate music students. Includes background, working method, glossary and bibliography.", "topic": "Top/Arts/Music/Theory", "url": "http://www.schenkerguide.com/"} +{"d:Title": "Dolmetsch: Music Theory&History Online", "d:Description": "Offers a musical dictionary, recorder lessons, instrument information and a composers listing.", "topic": "Top/Arts/Music/Theory", "url": "http://www.dolmetsch.com/theoryintro.htm"} +{"d:Title": "Auto-Transposer", "d:Description": "Transposes all twelve major keys of chord progressions.", "topic": "Top/Arts/Music/Theory", "url": "http://www.autotransposer.com/"} +{"d:Title": "Dynamic Spectrograms of Music", "d:Description": "Provides a type of spectrogram suitable for understanding the structure of music.", "topic": "Top/Arts/Music/Theory", "url": "http://nastechservices.com/Spectrograms.html"} +{"d:Title": "Pattern Thinking in Music", "d:Description": "Offers visual aid to recognizing musical patterns occurring in melody, harmony and rhythm. Provides online demonstration; requires download.", "topic": "Top/Arts/Music/Theory", "url": "http://www.seepatts.com/"} +{"d:Title": "Skytopia: Music and Art Aesthetics", "d:Description": "Author's overview of how every piece of music, every sound, and every picture can be rated on its own merits outside (as well as inside) human opinion.", "topic": "Top/Arts/Music/Theory", "url": "http://www.skytopia.com/project/rating.html"} +{"d:Title": "The Ancient Musical Modes", "d:Description": "Ideas regarding the \"classical modes\" described by Plato and Aristotle.", "topic": "Top/Arts/Music/Theory", "url": "http://www.pathguy.com/modes.htm"} +{"d:Title": "What is Music - Solving a Scientific Mystery", "d:Description": "Provide information on the book by Philip Dorrell which explains a new scientific theory about music: the super-stimulus theory.", "topic": "Top/Arts/Music/Theory", "url": "http://whatismusic.info/"} +{"d:Title": "Music Theory Instruction", "d:Description": "Online instruction for all musicians beginner or advanced. Covers scales, chordal theory, progression theory, modes and foreign scales.", "topic": "Top/Arts/Music/Theory", "url": "http://www.angelfire.com/music5/theory/"} +{"d:Title": "Solomon's Music Resources", "d:Description": "Resources for composers, music theorists, and researchers of music, with sound files, papers and compositions.", "topic": "Top/Arts/Music/Theory", "url": "http://solomonsmusic.net/"} +{"d:Title": "eMusicTheory.com", "d:Description": "Java applets designed to help students of music improve their basic music reading skills.", "topic": "Top/Arts/Music/Theory", "url": "http://www.emusictheory.com/"} +{"d:Title": "Simplified Music Chord Theory", "d:Description": "Explains scales and building chords from them.", "topic": "Top/Arts/Music/Theory", "url": "http://www.rpsoft2000.com/rps_chordtheory.htm"} +{"d:Title": "Fugue No. 17: A-Flat Major", "d:Description": "Introduction to the essential concepts of Schenkerian analysis applied to the Ab Major fugue of Bach's Well-Tempered Clavier, Book I", "topic": "Top/Arts/Music/Theory", "url": "http://jan.ucc.nau.edu/~tas3/wtc/i17.html"} +{"d:Title": "A Beginner's Guide to Modal Harmony", "d:Description": "A concise explanation of the Gregorian and Renaissance modes and their development in the Common Practice era.", "topic": "Top/Arts/Music/Theory", "url": "http://www.standingstones.com/modeharm.html"} +{"d:Title": "Music Acoustics", "d:Description": "The science of music. Explanations of how musical instruments work via waves and frequency modulation.", "topic": "Top/Arts/Music/Theory", "url": "http://www.phys.unsw.edu.au/music/"} +{"d:Title": "Analysis of Masterpieces", "d:Description": "Analysis of full-music 20th Century atonal pieces.", "topic": "Top/Arts/Music/Theory", "url": "http://www.geocities.jp/musikanalyse/eng/text/textE.html"} +{"d:Title": "The Music Theory Minute", "d:Description": "Online music theory tutorials for beginning students.", "topic": "Top/Arts/Music/Theory", "url": "http://www.musictheoryminute.com/"} +{"d:Title": "Fugue Treatises, Analyses and Tools", "d:Description": "Bibliography of fugue analysis research, writings and analysis.", "topic": "Top/Arts/Music/Theory", "url": "http://www.kunstderfuge.com/theory.htm"} +{"d:Title": "A-Natural Atonality", "d:Description": "Claims that atonality is unnatural while tonality is acoustically and historically natural.", "topic": "Top/Arts/Music/Theory", "url": "http://www.greenwych.ca/atonal.htm"} +{"d:Title": "Modes and Scales in Indian Music", "d:Description": "A historical look at concepts of Indian scales and modes comparing North and South Indian approaches.", "topic": "Top/Arts/Music/Theory", "url": "http://chandrakantha.com/articles/scales.html"} +{"d:Title": "Essentials of Music Theory", "d:Description": "Summarizes entry-level music theory through advanced topics. Includes beginners' drills.", "topic": "Top/Arts/Music/Theory", "url": "http://musictheory.redzeppelin.org/"} +{"d:Title": "VCU Music Theory Resources", "d:Description": "Music theory and ear training resources from Virginia Commonwealth University.", "topic": "Top/Arts/Music/Theory", "url": "http://www.people.vcu.edu/~bhammel/theory/resources/index.html"} +{"d:Title": "Music Worksheets", "d:Description": "Downloadable worksheets for elementary and middle school students.", "topic": "Top/Arts/Music/Theory", "url": "http://www.musicatschool.co.uk/worksheets.htm"} +{"d:Title": "Music Theory Help Site", "d:Description": "Intermediate music theory help covering basics through beginning formal analysis and counterpoint.", "topic": "Top/Arts/Music/Theory", "url": "http://www.wmich.edu/mus-history/TheoryHelp/TheoryHelp.html"} +{"d:Title": "Sight-Reading Rhythmic Patterns", "d:Description": "Rhythmic exercises with accompanying MIDI files.", "topic": "Top/Arts/Music/Theory", "url": "http://www.rhythm-patterns.narod.ru/"} +{"d:Title": "Eric Weisstein's Treasure Trove of Music", "d:Description": "A reference resource on music theory, covering in brief a vast array of topics.", "topic": "Top/Arts/Music/Theory", "url": "http://www.ericweisstein.com/encyclopedias/music/"} +{"d:Title": "The Tonal Centre", "d:Description": "Interactive site for music composers and theorists which explains and demonstrates some of the key concepts of tonality; including chords, scales, cadences, and modulation.", "topic": "Top/Arts/Music/Theory", "url": "http://www.tonalcentre.org/"} +{"d:Title": "Music Theory Society of New York State", "d:Description": "Provides a forum for the exchange of information and to promote music theory as a scholarly and pedagogical discipline. Site also contains membership and scholarship information as well as open calls for papers.", "topic": "Top/Arts/Music/Theory", "url": "http://www.ithaca.edu/music/mtsnys/"} +{"d:Title": "Music Theory", "d:Description": "A guide to music theory that including chords, scales, music notation and other music theory topics. Has weblog format; includes links as well.", "topic": "Top/Arts/Music/Theory", "url": "http://www.musictheoryblog.blogspot.com/"} +{"d:Title": "Interactive Circle of Fifths", "d:Description": "A free music theory tool designed to help musicians interpret chord progressions, easily transpose music to a different key, compose new music, and understand key signatures, scales, and modes.", "topic": "Top/Arts/Music/Theory", "url": "http://randscullard.com/CircleOfFifths/"} +{"d:Title": "Harmonic Bindings", "d:Description": "A paper about the unification of Janecek's theory of imaginary tones with the two Risinger's principles of functional relations.", "topic": "Top/Arts/Music/Theory", "url": "http://vladimir_ladma.sweb.cz/english/music/articles/ifsa97.htm"} +{"d:Title": "Integral Journal", "d:Description": "Int\u00e9gral is a peer-reviewed music theory publication from the Eastman School of Music.", "topic": "Top/Arts/Music/Theory/Magazines_and_E-zines", "url": "http://theory.esm.rochester.edu/integral/"} +{"d:Title": "Music Theory Online", "d:Description": "The online journal of the Society for Music Theory.", "topic": "Top/Arts/Music/Theory/Magazines_and_E-zines", "url": "http://mto.societymusictheory.org/"} +{"d:Title": "Theory and Practice", "d:Description": "Annual publication by the Music Theory Society of New York State.", "topic": "Top/Arts/Music/Theory/Magazines_and_E-zines", "url": "http://www.ithaca.edu/music/mtsnys/t&p.html"} +{"d:Title": "Music Theory Spectrum", "d:Description": "Official print journal of the Society for Music Theory. Features articles and book reviews on a range of topics in music theory and analysis, including aesthetics, the history of theory, linear analysis, post-tonal theory, and narratology.", "topic": "Top/Arts/Music/Theory/Magazines_and_E-zines", "url": "http://mts.oxfordjournals.org/"} +{"d:Title": "Chernov, Eric B.", "d:Description": "Includes biography, Classical Clip of the Month, Schenker Symposia Archives, and Ear Training e-list.", "topic": "Top/Arts/Music/Theory/Theorists", "url": "http://www.ursatz.com/"} +{"d:Title": "Kelley, Robert T.", "d:Description": "Biographical information, academic works including essays and papers, pedagogical material, photograph, compositions, and Java programming.", "topic": "Top/Arts/Music/Theory/Theorists", "url": "http://www.robertkelleyphd.com/"} +{"d:Title": "Levy, Sharon", "d:Description": "Faculty listing at the Peabody Institute with links to course descriptions and class syllabi.", "topic": "Top/Arts/Music/Theory/Theorists", "url": "http://www.peabody.jhu.edu/slevy"} +{"d:Title": "Zarlino, Gioseffo", "d:Description": "Brief biography of Gioseffo Zarlino (1517-1590), Italian music theorist. Details authorship of 16th century counterpoint works and compositions.", "topic": "Top/Arts/Music/Theory/Theorists", "url": "http://www.answers.com/topic/gioseffo-zarlino"} +{"d:Title": "Schenker, Heinrich", "d:Description": "German music theorist, (1868-1935). Developed linear melodic analysis technique.", "topic": "Top/Arts/Music/Theory/Theorists", "url": "http://en.wikipedia.org/wiki/Heinrich_Schenker"} +{"d:Title": "Morris, Robert", "d:Description": "Biography of Professor Robert Morris at the Eastman School of Music. Includes works, performance publications and research interests.", "topic": "Top/Arts/Music/Theory/Theorists", "url": "http://ecmc.rochester.edu/rdm/morris.content.html"} +{"d:Title": "London, Justin", "d:Description": "Teaching materials, papers and biography. Articles covering meter and rhythmic gesture.", "topic": "Top/Arts/Music/Theory/Theorists", "url": "http://people.carleton.edu/~jlondon/"} +{"d:Title": "World Scale Depository", "d:Description": "Construction of a reference center of scale measurements throughout the world.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.anaphoria.com/depos.html"} +{"d:Title": "An Introduction to Historical Tunings", "d:Description": "Discusses the development of tuning systems in Medieval Europe.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.kylegann.com/histune.html"} +{"d:Title": "Johann Sebastian Bach's tuning", "d:Description": "Previously unknown temperament found on the autograph title page of the \"Well-Tempered Clavier\"; published 2005. Includes explanations and links to scholarly articles.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.larips.com/"} +{"d:Title": "Alternate Temperaments: Theory and Philosophy", "d:Description": "Introduction to tuning systems, based on the Western cents system. Written by Terry Blackburn.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.terryblackburn.us/music/temperament/index.html"} +{"d:Title": "Historical Tunings on the Modern Concert Grand", "d:Description": "Traditional tunings of the grand piano and Beethoven's specific tunings.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.piano-tuners.org/edfoote/"} +{"d:Title": "Historical Tuning", "d:Description": "Includes information on the differences between equal temperament and well temperament and why Bach rejected equal temperament and celebrated well temperament.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://historicaltuning.com/"} +{"d:Title": "Understanding Temperaments", "d:Description": "Temperaments and tunings used on harpsichord and organ.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://leware.net/temper/temper.htm"} +{"d:Title": "2.500 Years of Musical Temperaments", "d:Description": "An essay by Jos\u00e9 Rodr\u00edguez Alvira, describes tuning systems since Bronze Age.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.teoria.com/en/articles/temperaments/index.php"} +{"d:Title": "Mean-tone Temperament for Lute", "d:Description": "An article by David van Ooijen about equal and mean-tone temperaments on stringed instruments.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://home.planet.nl/~ooije006/david/writings/meantone_f.html"} +{"d:Title": "The Development of Musical Tuning Systems", "d:Description": "The historical development of musical tuning systems from ancient Greek origins to the development of equal temperament.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.peterfrazer.co.uk/music/tunings.html"} +{"d:Title": "Pitch and Scale of the Great Highland Bagpipe", "d:Description": "Describes proper tunings and scale construction for the Great Highland Bagpipe.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://publish.uwo.ca/~emacphe3/pipes/acoustics/pipescale.html"} +{"d:Title": "A Beginner's Guide To Temperament", "d:Description": "Written for the electronic mailing list Piporg-l by Stephen Bicknell.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://www.albany.edu/piporg-l/tmprment.html"} +{"d:Title": "The Circle of Fifths", "d:Description": "An introduction to equal temperament for guitarists who have problems tuning their guitars.", "topic": "Top/Arts/Music/Theory/Tuning_Systems", "url": "http://hubpages.com/entertainment/Cycle-of-Fifths"} +{"d:Title": "Pythagorean Tuning and Medieval Polyphony", "d:Description": "Article by Margo Schulter written in 1998.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Just_Intonation", "url": "http://www.medieval.org/emfaq/harmony/pyth.html"} +{"d:Title": "Just Intonation Explained", "d:Description": "Technical introduction to just-intonation from the leading music writer of the Village Voice. Also, a selected just-intonation discography.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Just_Intonation", "url": "http://www.kylegann.com/tuning.html"} +{"d:Title": "Musical Temperament", "d:Description": "A book by Daniel A. Steck with much of the material suited for a college-level.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Just_Intonation", "url": "http://atomoptics-nas.uoregon.edu/~dsteck/teaching/temperament/"} +{"d:Title": "Tuning by Ratios", "d:Description": "This paper explores the use of simple ratios to tune musical intervals and chords. Included are a tutorial, a set of computer programs, and a re-tuned two-part invention by J.S. Bach.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Just_Intonation", "url": "http://www.leeds.ac.uk/music/studio/rproj_swss/tuning/httoc.htm"} +{"d:Title": "Interval", "d:Description": "Journal of musical research and development. (Published: 1978-1987)", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Magazines_and_E-zines", "url": "http://interval.xentonic.org/"} +{"d:Title": "1/1", "d:Description": "The journal of The Just Intonation Network.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Magazines_and_E-zines", "url": "http://www.dbdoty.com/Words/One_One.html"} +{"d:Title": "LucyScale Developments", "d:Description": "LucyTuning is a microtonal system derived from pi, and the writings of John 'Longitude' Harrison.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.harmonics.com/lucy/"} +{"d:Title": "R. L. Reid's Notes on Microtonal Composition", "d:Description": "Sound files and an introduction to the 43 tone scale.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.rreid.net/tuning/tuning.html"} +{"d:Title": "Lucy Tuning", "d:Description": "Lucy Tuning is a microtonal music system derived from pi and the writings of John Harrison.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.lucytune.com/"} +{"d:Title": "American Festival of Microtonal Music", "d:Description": "The AFMM produces and commissions works which use pitches and musical scales which are not organized in the standard twelve tone to the octave equally tempered way.", "priority": "1", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.afmm.org/"} +{"d:Title": "Dictionary of Microtonal Music Theory", "d:Description": "Dictionary of common terms used in just tuning and equal temperament style tunings.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.tonalsoft.com/enc/encyclopedia.aspx"} +{"d:Title": "FreeNote Music: Microtonal Guitars&Recordings", "d:Description": "Microtonal instruments, recordings and books.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://freenotemusic.com/"} +{"d:Title": "Julian Carrillo and the Thirteenth Sound", "d:Description": "Mexican music creator of microtonal system called the 13th Sound.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://en.wikipedia.org/wiki/Sonido_13"} +{"d:Title": "Huygens-Fokker Foundation", "d:Description": "Center for microtonal music. Promotes microtonal music in all its forms. In English and Dutch.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.huygens-fokker.org/index_en.html"} +{"d:Title": "World Harmony Project", "d:Description": "A website about microtonal tuning and related musical instruments.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.worldharmonyproject.com/"} +{"d:Title": "Micotronalismo", "d:Description": "Classical Guitar music in 17-Tone Equal Temperament composed by Charles Loli.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Microtonal", "url": "http://www.youtube.com/channel/UCDiiypQxpJGqc98beS5hQOA"} +{"d:Title": "Keyboard temperament analyzer/calculator", "d:Description": "Excel spreadsheet that analyzes keyboard temperaments: gives beat rates for tuning, and harmonic-tension charts in all keys.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Software", "url": "http://www-personal.umich.edu/~bpl/temper.html"} +{"d:Title": "Tantrum", "d:Description": "Tool for the study of temperament anomalies.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Software", "url": "http://www.musanim.com/mam/tantrum.html"} +{"d:Title": "Microtonal Synthesizer", "d:Description": "A lightweight microtonal synthesizer, written in JavaScript, capable of a variety of tunings and user-created scales.", "topic": "Top/Arts/Music/Theory/Tuning_Systems/Software", "url": "http://offtonic.com/synth"} +{"d:Title": "Sonic Studios: Taping Tips", "d:Description": "Taping tips for DAT and MiniDisc recording, deck maintenance, stereo microphone recording methods, and equipment reviews.", "topic": "Top/Arts/Music/Trading", "url": "http://www.sonicstudios.com/tips.htm"} +{"d:Title": "The Tape Trade Centre", "d:Description": "For people who want to swap music with each other.", "topic": "Top/Arts/Music/Trading", "url": "http://ttc.gnarf.org/"} +{"d:Title": "Top of the pops", "d:Description": "Collects information and recordings of the BBC Radio show.", "topic": "Top/Arts/Music/Trading", "url": "http://totp.torbenskott.dk/"} +{"d:Title": "HyperRust: Sharing The Music on Rust", "d:Description": "Information about Neil Young tape trading from the Rust mailing list.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://www.hyperrust.org/Rust/Sharing.html"} +{"d:Title": "Manic Street Preachers ~ Music Is Redundant", "d:Description": "Trade cds and videos. ANews, quotes and slogans sections are available and updated often.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://music-is-redundant.tripod.com/musicisredundant/id1.html"} +{"d:Title": "Heaths Trading Page", "d:Description": "Trade Neil Young CD bootlegs dating back to 1970. Other bands avavilable for trade, too.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://rustedshut.50megs.com/"} +{"d:Title": "Low Tech Nirvana", "d:Description": "Trade Nirvana CD-Rs as well as other bands.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://scoe70.tripod.com/lowtechnirvana/"} +{"d:Title": "Pink Floyd RoIOs", "d:Description": "Live recordings for trade.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://pinkroios.100freemb.com/"} +{"d:Title": "The Ministry of Information", "d:Description": "Information for Jethro Tull live show collectors. Setlists for almost every Jethro Tull concert in history. Also offers a variety of other artists are also represented to a lesser extent.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://www.ministry-of-information.co.uk/"} +{"d:Title": "First Polish Page Of Alice Cooper", "d:Description": "Live audio recordings, sound clips, videos and collectibles. Includes photographs.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://www.alicecooper.pl/"} +{"d:Title": "Stevie Nicks Collectors", "d:Description": "For Stevie Nicks and Fleetwood Mac fans that love to Trade their tapes. Also includes a mailing list for fans and various contests.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://pub39.bravenet.com/sitering/show.php?usernum=3307449509"} +{"d:Title": "Perfect Purple", "d:Description": "Trading site for Deep Purple&Family wich offers high quality audio and video bootlegs mainly shared via torrent and some filehosting services.", "topic": "Top/Arts/Music/Trading/Bands_and_Artists", "url": "http://perfect-purple.com/"} +{"d:Title": "Jay's Boots", "d:Description": "Growing tape list (including DMB, Ben Harper, and Bob Marley) for trade.", "topic": "Top/Arts/Music/Trading/Cassette_Tape/Lists", "url": "http://www.angelfire.com/ny4/dubthis/index.html"} +{"d:Title": "Ray's Tape Trading Page", "d:Description": "A listing of all of his tapes available for trade, including Matchbox 20 and Goo Goo Dolls.", "topic": "Top/Arts/Music/Trading/Cassette_Tape/Lists", "url": "http://www.angelfire.com/pa3/tapetrade/index.html"} +{"d:Title": "Tape Trading Station", "d:Description": "Live audio list plus other good things. Many female artists.", "topic": "Top/Arts/Music/Trading/Cassette_Tape/Lists", "url": "http://www.angelfire.com/mi2/perished/index.html"} +{"d:Title": "Words at Ransom", "d:Description": "Traders of Phish and Grateful Dead tapes, as well as other jam bands. Will help new traders.", "topic": "Top/Arts/Music/Trading/Cassette_Tape/Lists", "url": "http://www.angelfire.com/co2/tapes/"} +{"d:Title": "Patrick's Tapedtrading list", "d:Description": "Death-Thrash-Black Metal (demo and Live stuff). Over 15000 titles.", "topic": "Top/Arts/Music/Trading/Cassette_Tape/Lists", "url": "http://members.ams.chello.nl/p.soppe/"} +{"d:Title": "Andy McFadden's CD-Recordable FAQ", "d:Description": "CD-Recordable FAQ, including CD-R and CD-RW, updated monthly.", "topic": "Top/Arts/Music/Trading/CD-R", "url": "http://www.cdrfaq.org/"} +{"d:Title": "db.etree.org - The Traders Database", "d:Description": "Huge database of music traders' .shn lists. Search by artist, date, trader, or add your own list. For lossless .shn format recordings only.", "topic": "Top/Arts/Music/Trading/CD-R", "url": "http://db.etree.org/"} +{"d:Title": "CD-R B&P Instructions", "d:Description": "Beginners guide has detailed instructions, with photos, on how to get started participating in blanks and postage offers for CD shows.", "topic": "Top/Arts/Music/Trading/CD-R", "url": "http://www.mcnichol.com/bnp/"} +{"d:Title": "CD-R Trading Bootleg Cover Art Links", "d:Description": "A good place to start searching for hard-to-find CDR covers. Features links to many artists who create covers for all kinds of music.", "topic": "Top/Arts/Music/Trading/CD-R/Covers", "url": "http://members.tripod.com/~DaveML/trade-art.htm"} +{"d:Title": "Em's CD Covers", "d:Description": "CD jewel case covers for bands such as Grateful Dead, String Cheese Incident, Keller Williams, and Dr. Didg.", "topic": "Top/Arts/Music/Trading/CD-R/Covers", "url": "http://www.bristor.net/coverlist.htm"} +{"d:Title": "Phish CDR Covers", "d:Description": "Jeff's database of covers searchable by date or artist.", "priority": "1", "topic": "Top/Arts/Music/Trading/CD-R/Covers", "url": "http://www.thebusstop.net/covers/"} +{"d:Title": "CD Trading Page", "d:Description": "List of live recordings from Dave Matthews Band, Widespread Panic, and others.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/il2/cdtrade/index.html"} +{"d:Title": "CDR-Trader", "d:Description": "Various live recordings for trade. Lots of Janes Addiction, but interested in other bands as well.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.cdr-trader.8k.com/"} +{"d:Title": "Cleveis' CD-R Trader Site", "d:Description": "Trading Black Crowes, Gov't Mule, Dave Matthews Band, and other similar bands.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://cleveis.tripod.com/homepage/"} +{"d:Title": "Rare Metal Trade Page", "d:Description": "Van Halen, Ozzy Osbourne, Motley Crue, Def Leppard, Quiet Riot for trade on CD.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/music/themetaltrade/"} +{"d:Title": "Kiss Live Recordings", "d:Description": "Live recordings for trade of Kiss, Bon Jovi, Bryan Adams and other artists.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/ks2/ckisscollect/home.html"} +{"d:Title": "Unauthorized Audio", "d:Description": "Taping and trading Radiohead, 311, and Incubus.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/mo/bootlegs/"} +{"d:Title": "Pronoblem CDR Trade List", "d:Description": "Free Jazz, avant garde and indie rock live recordings on CDR for trade.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.pronoblem.com/bootlist.html"} +{"d:Title": "Satisfied-My Panic Linc", "d:Description": "Widespread Panic CDR list plus links to many sites regarding Widespread Panic, Hiking, Backpacking, Travel, and Music.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/or2/satisfied/mycdrlist.html"} +{"d:Title": "Charlie's CDR list", "d:Description": "The Doors, Led Zeppelin and others on CDR.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/band/sanchocdrlist/"} +{"d:Title": "The Trade Houze", "d:Description": "Dave Matthews Band, 311, and Radiohead CDR trading.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.311boots.50megs.com/"} +{"d:Title": "GamehendgeGnome CDR Trading Page", "d:Description": "Includes String Cheese Incident, Grateful Dead, Phish, STS9, and Widespread Panic plus links to setlists.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://gamehendgegnome.tripod.com/"} +{"d:Title": "Badreputation.de", "d:Description": "Live recordings from such artists as Lou Reed, The Smashing Pumpkins, Radiohead, Tom Waits, Van Morrison, and Thin Lizzy. Includes cover scans.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.badreputation.de/"} +{"d:Title": "CDR's", "d:Description": "Burning Spear, Widespread Panic, JGB, Reggae", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.phishhook.com/lists/RupertPicante"} +{"d:Title": "Josh's live booleg cdr trading page", "d:Description": "live cdr bootleg trading page, jeff buckley, radiohead, rem, elliott smith, smashing pumpkins, low, mogwai, pedro the lion", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/indie/rhjosh/"} +{"d:Title": "Eric Clapton CDR Trading", "d:Description": "Trading live recording cdrs of Eric Clapton and some other bluesmen.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.eonet.ne.jp/~nbtb/bootleg_trade_room2.html"} +{"d:Title": "Got Shows ?", "d:Description": "Online cdr trading site featuring shows by DMB, Grateful Dead, and Ben Harper. Also music memorabilia, shirts, and stickers.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.gotshows.freewebspace.com/"} +{"d:Title": "Ed's CDR trade list", "d:Description": "Trading Bob Dylan, Wilco, Son Volt, Beatles and Thin Lizzy.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://mypeoplepc.com/members/edible/edscdrtradelist/"} +{"d:Title": "Trade List -CDR", "d:Description": "Trade all forms of metal bootlegs, especially Black Metal.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/empire/hades1/index.htm"} +{"d:Title": "My Trading Page", "d:Description": "Trade Dave Matthews Band, Tenacious D, Deftones, Sublime, Ben Harper, Counting Crows, Rage Against the Machine, Jack Johnson, and Tool.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://tenacious_dmb.tripod.com/"} +{"d:Title": "Colin's Tape's and CD's (Jethro Tull)", "d:Description": "List of live concerts available for trade including Jethro Tull, Led Zeppelin, early Genesis, The Who and others.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://tulltrades.tripod.com/"} +{"d:Title": "Ollio's CDR collection", "d:Description": "Classic blues-rock shows featuring Eric Clapton. Also includes Led Zepplin, Neil Young, and Bob Dylan.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://koti.mbnet.fi/ollio/cdrs"} +{"d:Title": "Kesterbeek avenue recordings", "d:Description": "Trading live CD-Rs including Neal Casal, Ryan Adams and belgian concerts from different artists", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.phishhook.com/lists/Kesterbeek"} +{"d:Title": "The RAVAZ KISS CDR Trade Page", "d:Description": "Rare live audio and demos from KISS and other hard rock bands.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.ravaz.net/cd%20trade%20page/index.htm"} +{"d:Title": "Battle of the Dragon", "d:Description": "Trading bootlegs of Stevie Nicks, Fleetwood Mac, Sheryl Crow, Bruce Springsteen, Marillion, Fish and The Beatles.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://members.tripod.com/battle_of_the_dragon/bootlegs.htm"} +{"d:Title": "Jam Bands and Classic Rock", "d:Description": "Trading The Beatles, Jimi Hendrix, Tom Petty, Bob Marley, Phish, DMB and Ben Harper", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.phishhook.com/lists/natteedread"} +{"d:Title": "Mainline Trading", "d:Description": "Trading live recordings from Spiritualized, Verve, Prml Scrm, Pavement, Beck, Oasis, and Mercury Rev.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://turner.alphalink.com.au/"} +{"d:Title": "My music for trade", "d:Description": "Trading CD-Rs of Led Zeppelin ,Genesis ,Rush,Pink Floyd, and other classic rock groups.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://zephouse.tripod.com/"} +{"d:Title": "Eric's Hoobastank CD-R Trading Site", "d:Description": "CD-R Trading Site for live shows.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://ericshoobastank.tripod.com/"} +{"d:Title": "Melissa Etheridge Live bootleg trade list", "d:Description": "Melissa Etheridge Bootleg Trade List", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.xs4all.nl/~misha"} +{"d:Title": "Sykerast Music Trading Page", "d:Description": "I will trade live Kiss shows and demos. Also will trade out-of-print CDs. HUGE Collection!", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/nv/sykerast/KISS.html"} +{"d:Title": "Midnight Oil Trade Site", "d:Description": "Trading rare Midnight Oil bootlegs, live performances, and anything unreleased.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://webpages.charter.net/wmgould4/MidnightOil/Home.html"} +{"d:Title": "Tom Waits Trading Page of Greg Grant", "d:Description": "Trading non-commercially available Tom Waits shows and videos.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.greg.grant.org/tom_waits_trades.html"} +{"d:Title": "World of the Beatles novelty songs", "d:Description": "This site offers you several compilations of songs ABOUT the Beatles or songs about John, Paul, George and Ringo (and related) for trading. I'm interested in songs about the Beatles (any language, any country)", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://monstr66.narod.ru/"} +{"d:Title": "Peter's trading page", "d:Description": "A site for trading live CDRs of rock artists such as Led Zeppelin, The Rolling Stones, Van Morrison, Counting Crows, Bob Dylan etc", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/band2/peterspage/"} +{"d:Title": "Classic Rock CDR Trading Site", "d:Description": "Trade classic rock and progressive bootlegs: Genesis, Yes, and Supertramp.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://members.shaw.ca/cdrtrader/"} +{"d:Title": "Pinkpop and other Boots", "d:Description": "Trading Site for Pinkpop recordings, Anouk, HIM, Nightwish, The Gathering, and Evanescence.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.pinkpop.greatnow.com/"} +{"d:Title": "Midnight Oil", "d:Description": "Information site offers latest band news, biography, discography, song lyrics, guitar tabs and photo gallery.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.midnight-oil.info/"} +{"d:Title": "CD-Rs and Stuff", "d:Description": "CDR bootlegs to trade of hard rock groups, Metallica, VH, Ozzy, AIC. Also original screenplays and songs.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://olafmetal.freeservers.com/"} +{"d:Title": "Ed's CDR Trading Page", "d:Description": "This page has The Doors, Led Zepplin. The Who, Pink Floyd and much for trading. Want list includes Oysterhead, Long Beach Dub Allstars and Sublime. All trades considered.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/band2/cdr/"} +{"d:Title": "Jeff Mewbourn's CDR Trade List", "d:Description": "Blanks and postage friendly. Dead, Phish, Reggae, Funk, Prog, Jazz, Talking Heads, Hendrix, OSP, DMB, and Zappa.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://jeffscdrlist.tripod.com/jeffscdrtradelist"} +{"d:Title": "Robb's CD-R Trading Page", "d:Description": "Includes list of live and rare CD-R's for trade. Trading bands such as: Kiss, AC/DC, and Cheap Trick.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.angelfire.com/rock2/cdr/"} +{"d:Title": "Joffa's Trade List", "d:Description": "A link to a large list of Church shows owned by a trader on Hotel Womb. Click his name in the upper left to get his email address.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://hotelwomb.yuku.com/topic/4291/t/Joffa-s-Trade-List.html"} +{"d:Title": "The Collective Unconscious", "d:Description": "Live Tool, A Perfect Circle and CAD shows.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.collectiveunconscious.org/"} +{"d:Title": "Steve Griff's CDR Bootlegs Trading Page", "d:Description": "Trading Beck, The Grateful Dead, Neil Young, and Bob Dylan.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.stevegriff.com/music/liveshows/"} +{"d:Title": "Mostly Marillion and prog bootlegs", "d:Description": "Trading Marillion, Fish, Mostly Autumn, Flower Kings,Arena, Riverside, Iq and Pendragon.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://www.script1964-thresia.webs.com/"} +{"d:Title": "This Is Live Clash", "d:Description": "Dedicated to trading live Clash CDRs plus artwork gallery.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://daredevil218.tripod.com/liveclash/"} +{"d:Title": "Yamna's Trading Page", "d:Description": "Trading list of Keith Jarrett and other unofficial jazz sources.", "topic": "Top/Arts/Music/Trading/CD-R/Lists", "url": "http://yamstrading.at-ninja.jp/index.html"} +{"d:Title": "Dat-Heads", "d:Description": "Mailing list and archives for live recording, specifically with dat.", "topic": "Top/Arts/Music/Trading/DAT", "url": "http://www.solorb.com/dat-heads/"} +{"d:Title": "DVD Bootleg Trading", "d:Description": "Mostly interested in Hard Rock, Blues Rock, Heavy and Hair Metal.", "topic": "Top/Arts/Music/Trading/DVD/Lists", "url": "http://www.jr-mp3xchange.narod.ru/bootlegs/index.html"} +{"d:Title": "Julie's Tape Trading", "d:Description": "Has Stevie Nicks and Tori Amos audios, videos listed by artist and category (live shows, demos, outtakes).", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/bc/trades/"} +{"d:Title": "Inner City Sound", "d:Description": "Resourcing and trading Australian indie / alternative music from 1975 - 1984.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.innercitysound.com.au/"} +{"d:Title": "Outlaw Art", "d:Description": "Audio and video live recordings for trade, as well as original artwork.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/punk2/theOutlaw/"} +{"d:Title": "PhunkEdomain", "d:Description": "Analog and CD-R trading of Phish, Bob Marley, DMB, moe., and Grateful Dead.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://asf0410.tripod.com/phunkedomain/"} +{"d:Title": "My Orbit", "d:Description": "CD-R/MP3 trading for Essential mixes, live sets, as well as rare/OOP trance, progressive, house and other electronica tracks.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://myorbit.h1.ru/"} +{"d:Title": "Hitman Brent's Dungeon", "d:Description": "Trader with a list of Motley Crue, as well as Def Leppard, Van Halen and others such bands. Cassette, VHS and CD-ROM.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www3.50megs.com/hitmanspage"} +{"d:Title": "Mike's Tape Trading Site", "d:Description": "Trading Phish, Dave Matthews Band, Bob Dylan, The Grateful Dead and others. CD-R, SHN, and analog tape.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/ga2/wakefield/"} +{"d:Title": "AK 'n the Sky", "d:Description": "Live music CD-Rs and videos. Dream Theater, Smashing Pumpkins, Steve Vai, Pink Floyd, and Queensryche.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/az2/musicboots/index.html"} +{"d:Title": "Kim's CDR&Video Trading Post", "d:Description": "Trading CDRs of The Doors, Led Zeppelin, Jimi Hendrix, Lynyrd Skynyrd, and Alice Cooper. Want list includes Alice Copper, Ted Nugent, Aerosmith, and Lynyrd Skynyrd.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://angelbaby5kn.tripod.com/kimscdrvideotradingpost/"} +{"d:Title": "Dave's Bootlegs", "d:Description": "CDRs, audio and video tapes; Smashing Pumpkins, Radiohead, Pearl Jam, Counting Crows, Nirvana, Oasis, and others.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://daveheineman.tripod.com/"} +{"d:Title": "The Butcher and His sCara's Virtual Video Crypt", "d:Description": "Collectors recordings on bands like the Misfits, Samhain, Danzig, Cradle of Filth, and Skinny Puppy. Also OOP/HTF movies and documentaries.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/punk/spookygirl138List/"} +{"d:Title": "Andrea's Trade List", "d:Description": "Seeking trades for Fleetwood Mac (and solo members), Tori Amos, Dido, Grateful Dead, Aerosmith, Tom Petty, Heart, and others. Prefer CDRs, will sometimes trade videos and cassettes too. 2 for 1 trades considered as well.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/oh3/fmfanatic/"} +{"d:Title": "The Gentle Art Of Making Enemies", "d:Description": "Archive of audio and video recordings by Mr. Bungle and related projects.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/nf/crybaby/"} +{"d:Title": "Liz Berlin Trees 4 U", "d:Description": "Dedicated to tape trading/trees for the spreading of music by Liz Berlin, Rusted Root and others.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/wv/hippiehikerandhorde/LizTrees.html"} +{"d:Title": "Nothing Bootlegs (A CDR Trading Post)", "d:Description": "A video and CDR trading site that specializes in bands such as The Smashing Pumpkins, Incubus, Vent, Taproot, NIN, Tool, Korn, and 311.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/ne2/cdboots/"} +{"d:Title": "Black Crowes Tape and CD-R Trading Page", "d:Description": "Black Crowes and Neil Young trading.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://members.tripod.com/~Richpp/index.html"} +{"d:Title": "Simpledumbpilot", "d:Description": "Trading Sparks, Depeche Mode, Alphaville and Pink Floyd audio and video recordings.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://simpledumbpilot.freeservers.com/"} +{"d:Title": "Enter The Pit", "d:Description": "Trading heavy metal unauthorized DVDs and CDRs from bands such as Nevermore, Amorphis, Iced Earth, Dio, KISS, WASP and Mercyful Fate.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.enterthepit.com/"} +{"d:Title": "Mister Wonka's Bootleg Page", "d:Description": "Trading live, demo or rare CDs, CD-Rs, memorabilia and videos of the following bands: Tool, A Perfect Circle, Nine Inch Nails, and Marilyn Manson.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/biz6/bootlegs/"} +{"d:Title": "Harleyguys Mental Asylum", "d:Description": "Trading site for the band Savatage. Also offers a variety of videos and audio recordings for trade.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://nadude1.tripod.com/harleyguysmentalasylum"} +{"d:Title": "Stormrider Tape Trading", "d:Description": "Trading metal music: video and a little audio.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/rock/blackwinterday/"} +{"d:Title": "Vince's Live Bands", "d:Description": "Lists of live music on CD-R and cassette. Features Bob Dylan, Grateful Dead, and Neil Young.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://members.tripod.com/~wilbury7/index.html"} +{"d:Title": "Where the Bands Are", "d:Description": "Trading of Bruce Springsteen and Neil Young. CD-Rs and tapes.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://wherethebandsare.freeservers.com/index2.htm"} +{"d:Title": "Echoes.com", "d:Description": "CD-R, audio tape, and TV/video trading. Mainly Pink Floyd, Springsteen, and lots of new wave/electronica.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.echoes.com/trading.html"} +{"d:Title": "Return of Real Rock", "d:Description": "MP3 and CD-R trading for Rock music, mainly music from the 80s hair metal band scene.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://returnofrealrock.homestead.com/REALROCK.html"} +{"d:Title": "Trade Bootlegs in CDR or MP3", "d:Description": "Trade bootlegs in CDR or MP3 of bands like Tool, NIN, Smashing Pumpkins, Zwan, Nirvana, OhGr, Radiohead, Sigur ros, and Ministry.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/darkside/bootlegs/"} +{"d:Title": "Black Metal Trade List", "d:Description": "Trades underground black metal demos, promos and other limited releases.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/ns/blackmetal/albums.txt"} +{"d:Title": "ebcast bootlegs", "d:Description": "Traders website for Pearl Jam and Dave Matthews Band. Audio/video shows (CDR and VHS), pictures, biographies, setlists, and trading lists.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://webpages.charter.net/pearljam1011"} +{"d:Title": "The Monkee's House o Stuff", "d:Description": "Includes bands such as Phish, Nirvana, RHCP, STP, Fountains of Wayne, and Pink Floyd. Cassette and CD-R.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.angelfire.com/rock3/deechmonkee/bootmain.html"} +{"d:Title": "My Bootlegs", "d:Description": "Trading 311, Radiohead, Bright Eyes, Ben Gibbard, and Postal Service DVDs and CDs.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://db.etree.org/down4311"} +{"d:Title": "Alexey Andreychuk Bootlegs", "d:Description": "Trading Blur, R.E.M., Sheryl Crow, U2, Fun Lovin' Criminals, Smashing Pumpkins and other bands' live shows on CD and DVD.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://andreychuk.narod.ru/"} +{"d:Title": "Master List, The", "d:Description": "CD-R, DAT + minidisc traders. Includes an extensive list of artists&shows.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.hifalls.net/list/"} +{"d:Title": "And Bootlegs for All", "d:Description": "Huge collection of metal bootlegs.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.bootlegs.ws/"} +{"d:Title": "Bishop Danced", "d:Description": "Roberto Scudeletti's Bruce Springsteen collection of rare tickets, memorabilia and vinyls.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.bishopdanced.com/"} +{"d:Title": "Pete's video archives", "d:Description": "Huge archive of all types of rock music videos and audio.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://videopetes.ca/"} +{"d:Title": "Kent's Top 40 Radio Shows", "d:Description": "This a radio show collector's trading site featuring the Top 40 countdown shows hosted by Casey Kasem and Rick Dees.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://top40radioshows.com/"} +{"d:Title": "The Crowing Collection", "d:Description": "Resource for Sheryl Crow bootleggers around the world.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://thecrowingcollection.pagespro-orange.fr/"} +{"d:Title": "Jajco's trading page", "d:Description": "List of audio and video metal bootlegs.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://www.jajcoironmaiden.republika.pl/"} +{"d:Title": "DarkChild Bootlegs Trading Site", "d:Description": "Trading site with list of various bands with the concerts offer only for trade, all non-official releases.", "topic": "Top/Arts/Music/Trading/Lists", "url": "http://darkchild-bootlegs.blogspot.com/"} +{"d:Title": "Minidisc Trade", "d:Description": "Trading music: rock, punk, metal, alternative on mini disc. Lists for two different traders.", "topic": "Top/Arts/Music/Trading/MiniDisc/Lists", "url": "http://www.angelfire.com/md/MDtrade/index.html"} +{"d:Title": "Etree", "d:Description": "A community of FTP servers that host and distribute lossless digital audio files across the Internet using Shorten (SHN) lossless audio compression.", "topic": "Top/Arts/Music/Trading/Sound_Files", "url": "http://www.etree.org/"} +{"d:Title": "Ez Torrent", "d:Description": "A BitTorrent tracker for DVDs and CDs in flac-format.", "topic": "Top/Arts/Music/Trading/Sound_Files", "url": "http://www.dimeadozen.org/"} +{"d:Title": "Archive.org - Audio Archive", "d:Description": "Library of over two hundred thousand free digital audio and mp3 recordings.", "topic": "Top/Arts/Music/Trading/Sound_Files", "url": "http://www.archive.org/details/audio"} +{"d:Title": "The Traders' Den", "d:Description": "A team of avid music collectors dedicated to the free trading of lossless unofficial (aka bootleg) musical audio and video recordings.", "topic": "Top/Arts/Music/Trading/Sound_Files", "url": "http://www.thetradersden.org/"} +{"d:Title": "Club music", "d:Description": "Dance music for download in mp3 format.", "topic": "Top/Arts/Music/Trading/Sound_Files", "url": "http://megaclubmusic.com/"} +{"d:Title": "MP3/WMA Music List", "d:Description": "List of music for trade.", "topic": "Top/Arts/Music/Trading/Sound_Files/Lists", "url": "http://bugsy_list.tripod.com/"} +{"d:Title": "Matt's Metal Videos", "d:Description": "Trading Heavy Metal videos; mostly thrash and speed metal.", "topic": "Top/Arts/Music/Trading/Videotape/Lists", "url": "http://members.tripod.com/~xicedearth66x/index.html"} +{"d:Title": "MJG Bootlegs", "d:Description": "Videotapes of concerts, specializing in Smashing Pumpkins.", "topic": "Top/Arts/Music/Trading/Videotape/Lists", "url": "http://mjgbootlegs.tripod.com/mjgbootlegs/"} +{"d:Title": "Morbid Visions", "d:Description": "Trading music videos, especially Alice Cooper.", "topic": "Top/Arts/Music/Trading/Videotape/Lists", "url": "http://www.angelfire.com/movies/morbidvisions/index.html"} +{"d:Title": "Rare Rock Concerts", "d:Description": "List of rock videos for trade. Features Jimi Hendrix and Black Sabbath.", "topic": "Top/Arts/Music/Trading/Videotape/Lists", "url": "http://members.tripod.com/rbuk/"} +{"d:Title": "Fountain Of Decay's Trade Page", "d:Description": "Over 1500 different shows all on VHS. Includes amateur-shot masters.", "topic": "Top/Arts/Music/Trading/Videotape/Lists", "url": "http://www.angelfire.com/co4/nineinchnails/"} +{"d:Title": "Metal, Metal, Metal! Concert Videos", "d:Description": "Trade metal and hardcore concert videos. Poison the Well, Glassjaw, and Factory 81.", "topic": "Top/Arts/Music/Trading/Videotape/Lists", "url": "http://www.angelfire.com/d20/concertsvideo8101/"} +{"d:Title": "Kisspimp ltd Live Concert Videos", "d:Description": "Trading VHS NTSC concert videos with setlists and preview clips; Kiss and other hard rock and metal bands.", "topic": "Top/Arts/Music/Trading/Videotape/Lists", "url": "http://www.angelfire.com/rock2/bootleg/home.html"} +{"d:Title": "Gayaki - Indian Vocal Music", "d:Description": "Discussion of Indian Vocal Music", "topic": "Top/Arts/Music/Vocal", "url": "http://chandrakantha.com/articles/indian_music/gayaki.html"} +{"d:Title": "Voice Studio Webring", "d:Description": "Resources and information for singers and vocalists of all styles. Includes sites for voice teachers and professional singers worldwide.", "topic": "Top/Arts/Music/Vocal", "url": "http://hub.webring.org/hub/voicering"} +{"d:Title": "A Cappella Almanac", "d:Description": "Official site of CASA, the Contemporary A Cappella Society of America, a worldwide clearinghouse for all-vocal music. News, events, groups, albums, tips and advice, reviews, classifieds, web directory, and library of available song arrangements.", "priority": "1", "topic": "Top/Arts/Music/Vocal/A_Cappella", "url": "http://www.casa.org/"} +{"d:Title": "RARB - Recorded A Cappella Review Board", "d:Description": "Independent reviewers rate recent CDs according to criteria such as tuning, blend, production quality and soloists. Submissions.", "topic": "Top/Arts/Music/Vocal/A_Cappella", "url": "http://www.rarb.org/"} +{"d:Title": "The Beginning", "d:Description": "All-vocal hip-hop CD from artist Sane CHUK Cristianwits includes rap covers and Christian originals.", "topic": "Top/Arts/Music/Vocal/A_Cappella", "url": "http://sanechuk.tripod.com/scc.html"} +{"d:Title": "Primarily A Cappella Radio", "d:Description": "Web-based streaming RealPlayer radio featuring a variety of programs playing different styles of a cappella music.", "topic": "Top/Arts/Music/Vocal/A_Cappella", "url": "http://www.singers.com/radio/"} +{"d:Title": "Collegiate A Cappella", "d:Description": "Directory of college a cappella groups and secure online ordering of selected a cappella groups' recordings.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate", "url": "http://www.collegiate-acappella.com/"} +{"d:Title": "Varsity Vocals", "d:Description": "Information on the International Championship of Collegiate A Cappella (ICCA, formerly NCCA), the Best of College A Cappella (BOCA) compilation series, and the Best of High School A Cappella (BOHSA) series.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate", "url": "http://www.varsityvocals.com/"} +{"d:Title": "Terras Irradient", "d:Description": "Coed Christian group. Photos, no audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Amherst_College", "url": "http://www.amherst.edu/~tirradient/"} +{"d:Title": "DQ", "d:Description": "Originally an all-male double quartet (hence the name), now a coed group with a pop and standards repertoire. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Amherst_College", "url": "http://www.thedq.org/"} +{"d:Title": "Zumbyes", "d:Description": "All-male group with a heavy jazz and standards influence. Many sound clips in .au format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Amherst_College", "url": "http://www3.amherst.edu/~zumbyes/"} +{"d:Title": "Bluestockings", "d:Description": "All-female group with a traditional and pop repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Amherst_College", "url": "http://www3.amherst.edu/~bluesox/"} +{"d:Title": "Sabrinas", "d:Description": "All-female group with a pop repertoire. No sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Amherst_College", "url": "https://www.facebook.com/acsabrinas"} +{"d:Title": "Heightsmen", "d:Description": "All male group sings doo-wop to current pop. On-line album orders and song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Boston_College", "url": "http://bc.orgsync.com/org/heightsmen/"} +{"d:Title": "Acoustics", "d:Description": "Coed group with a contemporary pop repertoire. Song samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Boston_College", "url": "http://www.thebostoncollegeacoustics.com/"} +{"d:Title": "The Dear Abbeys", "d:Description": "All-male a cappella group founded in 1992. In 2005 they won the finals of the International Championship of Collegiate CA Cappella (ICCA) in New York City.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Boston_University", "url": "http://www.dearabbeys.com/"} +{"d:Title": "In Achord", "d:Description": "Boston University's oldest co-ed group, founded in 1990. Site includes photos and MP3 clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Boston_University", "url": "https://www.facebook.com/inachordfan"} +{"d:Title": "Meddiebempsters", "d:Description": "The second-oldest collegiate a cappella group in the nation, the Meddies perform a wide variety of music from old standards to current pop and rock.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Bowdoin_College", "url": "https://www.facebook.com/Bowdoin-College-Meddiebempsters-211872995217/"} +{"d:Title": "Brandeis VoiceMale", "d:Description": "All-male group with repertoire that includes classics, pop, and Broadway. Song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brandeis_University", "url": "http://www.brandeisvoicemale.com/"} +{"d:Title": "Company B", "d:Description": "Coed group with a \"pre-80s\" repertoire. Many photos, audio promised but not available at review time.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brandeis_University", "url": "http://people.brandeis.edu/~cob/"} +{"d:Title": "Up the Octave", "d:Description": "All-female group with a contemporary pop repertoire. Photos, no audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brandeis_University", "url": "https://www.facebook.com/Up-the-Octave-140400839342331/"} +{"d:Title": "InsideOut", "d:Description": "Male sextet of BYU students with Blenders/Rockapella influenced repertoire including original songs. Guestbook, contact information, and a dozen MP3s.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brigham_Young_University", "url": "http://www.insideout-acappella.com/"} +{"d:Title": "Vocal Point", "d:Description": "All-male group with pop and standards repertoire. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brigham_Young_University", "url": "http://www.byuvocalpoint.com/"} +{"d:Title": "Bear Necessities", "d:Description": "All-male group at Brown with a repertoire of classic and contemporary pop songs. On-line album ordering, but no sound samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brown_University", "url": "https://sites.google.com/a/brown.edu/the-bear-necessities/home/"} +{"d:Title": "The Chattertocks", "d:Description": "News, history, and concert photos and reports for the all-female group, as well as description of repertoire and CDs. Alumnae resources also provided.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brown_University", "url": "http://chattertocks.com/"} +{"d:Title": "Harmonic Motion", "d:Description": "A fairly new coed pop group at Brown. Sound clips in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brown_University", "url": "https://harmonicmotionacappella.wordpress.com/"} +{"d:Title": "Higher Keys", "d:Description": "Coed group with a \"half jazz, half pop\" repertoire. Order albums on-line, or listen to sound clips in .wav, AIFF, and MP3 formats.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brown_University", "url": "http://thehigherkeys.com/"} +{"d:Title": "Ursa Minors", "d:Description": "All-female group with varied pop repertoire. No sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brown_University", "url": "http://theursaminors.weebly.com/"} +{"d:Title": "Brown'sTones", "d:Description": "Female a capella singing group. Provides pictures, news items, membership details, and upcoming events.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Brown_University", "url": "http://brownstonesacappella.com/"} +{"d:Title": "Looney Tunes", "d:Description": "Coed group with members from both Haverford and Bryn Mawr. Repertoire: \"pop, doo-wop, rhythm and blues, jazz, originals.\" Song samples in AIFF format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Bryn_Mawr_College", "url": "http://looney-tunes.wixsite.com/looney-tunes"} +{"d:Title": "Silhouettes", "d:Description": "All-female group with a pop repertoire. Photos, no audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Bucknell_University", "url": "http://www.orgs.bucknell.edu/silhouettes/"} +{"d:Title": "Two Past Midnight", "d:Description": "Coed group with a pop and jazz repertoire. No audio clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Bucknell_University", "url": "https://www.facebook.com/twopastmidnight"} +{"d:Title": "Treble Makers", "d:Description": "All-female group with \"pop, jazz, and classical\" repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/California_Institute_of_Technology", "url": "http://www.its.caltech.edu/~treble/"} +{"d:Title": "Out Of Context", "d:Description": "Mixed group with a pop repertoire. No sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/California_Institute_of_Technology", "url": "http://ooc.caltech.edu/"} +{"d:Title": "Cambridge Madrigal Singers", "d:Description": "Cambridge-based ensemble performing a cappella and accompanied works from all musical periods.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cambridge_University", "url": "http://www.cambridgemadrigals.org/"} +{"d:Title": "St Margaret Society of Queens', Cambridge", "d:Description": "Alias MagSoc, 200 singers non-auditioning chorus in the University of Cambridge.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cambridge_University", "url": "http://magsoc.soc.srcf.net/"} +{"d:Title": "Accidentals", "d:Description": "All-female group with a contemporary pop repertoire. Sound samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Carleton_College", "url": "http://orgs.carleton.edu/accidentals/dentals.html"} +{"d:Title": "Joyful Noise", "d:Description": "Coed Christian group with members from Carnegie Mellon and University of Pittsburgh. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Carnegie_Mellon_University", "url": "http://www.andrew.cmu.edu/user/jn/"} +{"d:Title": "The Originals", "d:Description": "All-male a cappella group at Carnegie Mellon University in Pittsburgh Pennsylvania. Includes sound clips, history, newsletter and contact details.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Carnegie_Mellon_University", "url": "http://www.cmuoriginals.org/"} +{"d:Title": "Sound Bytes", "d:Description": "Mixed group with a pop repertoire. Provides news and information about their albums.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Carnegie_Mellon_University", "url": "https://www.facebook.com/cmusoundbytes"} +{"d:Title": "The Claremont Shades", "d:Description": "Coed group with a contemporary pop focus. No sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Claremont_Colleges", "url": "http://www.claremontshades.com/"} +{"d:Title": "Men's Blue and White", "d:Description": "All-male a cappella group who perform contemporary, comedy, and barbershop-style music.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Claremont_Colleges", "url": "https://www.facebook.com/MensBlueAndWhite"} +{"d:Title": "Clark Bars", "d:Description": "Coed group with a contemporary repertoire. Sound clips in RealAudio format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Clark_University", "url": "https://www.facebook.com/TheClarkBars"} +{"d:Title": "Takenote", "d:Description": "All female group offering news, photos, repertoire details, and a calendar.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Clemson_University", "url": "https://www.facebook.com/ClemsonTakeNote"} +{"d:Title": "Tigeroar", "d:Description": "All-male group specializing in doo-wop, barbershop, and \"raunchy pop.\" Site contains audio and member profiles.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Clemson_University", "url": "https://www.facebook.com/Tigeroar-22193336346/"} +{"d:Title": "Blue Lights", "d:Description": "All-male group with a modern and classic pop repertoire. No song samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Colby_College", "url": "http://www.colby.edu/blue.lights/"} +{"d:Title": "Colby Eight", "d:Description": "All-male a cappella group singing various genres of music since 1947. Song samples in .mp3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Colby_College", "url": "https://www.sites.google.com/site/thecolby8/"} +{"d:Title": "Resolutions", "d:Description": "Coed group with a standards and pop repertoire. Audio clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Colgate_University", "url": "http://groups.colgate.edu/resolutions/"} +{"d:Title": "Colgate Thirteen", "d:Description": "All-male group with a standards and pop repertoire. Song samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Colgate_University", "url": "http://www.colgate13.org/"} +{"d:Title": "Swinging 'Gates", "d:Description": "All-female group with an emphasis on jazz and standards.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Colgate_University", "url": "https://www.facebook.com/swinginggates"} +{"d:Title": "The Gentlemen of the College", "d:Description": "The website of William and Mary's oldest all-male a cappella group. Includes recording info, history, and member profiles.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/College_of_William_and_Mary", "url": "http://www.gentlemenofthecollege.com/"} +{"d:Title": "Cleftomaniacs", "d:Description": "A coed collegiate a cappella group at the College of William and Mary, Williamsburg, VA. Founded in 1999, usually 15 members and singing a little bit of everything.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/College_of_William_and_Mary", "url": "http://www.wmcleftomaniacs.com/"} +{"d:Title": "The Accidentals", "d:Description": "An elite all-female a cappella group that has entertained the William and Mary campus for over ten years.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/College_of_William_and_Mary", "url": "http://www.wmaccidentals.org/"} +{"d:Title": "Pizmon", "d:Description": "Coed Jewish group. Repertoire includes both traditional and contemporary Jewish and Israeli music. Soundclips in RealAudio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Columbia_University", "url": "http://www.pizmon.org/"} +{"d:Title": "Uptown Vocal", "d:Description": "Mixed Columbia group with a standards/pop repertoire. Many photos, .wav samples. Formerly the Cat-A-Tonics", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Columbia_University", "url": "http://www.columbia.edu/cu/uptownvocal/"} +{"d:Title": "Kingsmen", "d:Description": "All-male group at Columbia. Song samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Columbia_University", "url": "http://www.columbia.edu/cu/kingsmen/"} +{"d:Title": "Clefhangers", "d:Description": "Mixed group with a pop repertoire. Pictures and sound clips in AIFF and RealAudio formats.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Columbia_University", "url": "http://www.columbia.edu/cu/clefs/index.html"} +{"d:Title": "Nonsequitur", "d:Description": "Coed a cappella group's photos, history, concert reports, and repertoire. Includes member profiles and discography.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Columbia_University", "url": "http://www.columbianonseq.com/"} +{"d:Title": "Jubilation!", "d:Description": "Christian group at Columbia. Photos, events, and MP3s.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Columbia_University", "url": "http://jube.org/"} +{"d:Title": "The Shwiffs", "d:Description": "Based at Connecticut College and offering traditional to contemporary songs.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Connecticut_College", "url": "http://www.shwiffs.com/"} +{"d:Title": "ConnChords", "d:Description": "All-female group with standards and pop repertoire. Song samples in .wav and AIFF formats.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Connecticut_College", "url": "http://www.facebook.com/conncoll.connchords"} +{"d:Title": "Vox Cameli", "d:Description": "Co-ed group with contemporary repertoire. History, members, events, and FAQs.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Connecticut_College", "url": "http://www.voxcameli.com/"} +{"d:Title": "Cayuga's Waiters", "d:Description": "Cornell's oldest group, all-male with a pop flavor. MP3s sound bytes, on-line album orders, song requests, lyrics, and photos.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cornell_University", "url": "http://cayugaswaiters.com/"} +{"d:Title": "Last Call", "d:Description": "All-male group singing \"Sting to swing.\" Member and album info on the site.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cornell_University", "url": "http://www.menoflastcall.com/"} +{"d:Title": "The Hangovers", "d:Description": "All-male a cappella group subset of the Cornell Glee Club. Site has MP3s, photos from world-wide tours, and online store.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cornell_University", "url": "http://hangovers.com/"} +{"d:Title": "Class Notes", "d:Description": "A coed contemporary group at Cornell. Site contains member profiles, album information, and performance details.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cornell_University", "url": "http://theclassnotes.com/"} +{"d:Title": "Chordials", "d:Description": "Co-ed a cappella from Cornell University with class and spunk - site contains song clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cornell_University", "url": "http://chordials.net/"} +{"d:Title": "After Eight", "d:Description": "An all-female subset of the Cornell University Chorus. Repertoire ranges from Gershwin to Madonna, including classical, barbershop, nostalgia, and pop selections.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Cornell_University", "url": "http://cuaftereight.com/"} +{"d:Title": "The Dartmouth Cords", "d:Description": "Audio clips, album purchasing, member photos and audition information for Dartmouth's award-winning all-male a cappella group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Dartmouth_College", "url": "http://www.dartmouth.edu/~cords/"} +{"d:Title": "Dodecaphonics", "d:Description": "Dartmouth's only co-ed group sings a contemporary repertoire. No audio clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Dartmouth_College", "url": "http://www.dartmouth.edu/~dodecs/"} +{"d:Title": "X.ado", "d:Description": "Coed Christian group. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Dartmouth_College", "url": "http://www.dartmouth.edu/~xado/"} +{"d:Title": "Aires", "d:Description": "The men of Dartmouth College's oldest a cappella group perform a \"mix of contemporary favorites, traditional Dartmouth tunes, sketch comedy, and the occasional piece of opera.\" Song samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Dartmouth_College", "url": "http://www.dartmouthaires.com/"} +{"d:Title": "Decibelles", "d:Description": "The college's oldest all female a cappella singing and performing group. Member profiles, repertoire, photos, schedule, and news.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Dartmouth_College", "url": "http://www.dartmouthdecibelles.com/"} +{"d:Title": "Rhythm&Blue", "d:Description": "Coed group with a pop repertoire. Sound clips in .au format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Duke_University", "url": "http://www.dukerhythmnblue.com/"} +{"d:Title": "Speak of the Devil", "d:Description": "All-male pop-oriented group. Sound samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Duke_University", "url": "http://sites.duke.edu/dukespeak/"} +{"d:Title": "Lady Blue", "d:Description": "All-female group with a pop repertoire. Links to photos, repertoire, but no audio clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Duke_University", "url": "http://www.dukeladyblue.com/"} +{"d:Title": "Pitchforks", "d:Description": "All-male group with a traditional and pop repertoire. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Duke_University", "url": "http://www.dukepitchforks.com/"} +{"d:Title": "Out of the Blue (Duke)", "d:Description": "All-female group at Duke with a contemporary repertoire. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Duke_University", "url": "http://www.dukeoutoftheblue.org/"} +{"d:Title": "Chessmen", "d:Description": "All-male group with classic pop repertoire.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Franklin_and_Marshall_College", "url": "https://www.facebook.com/thechessmen"} +{"d:Title": "Moral Hazard", "d:Description": "Coed group at Georgetown Law. Mostly pop and holiday repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Georgetown_University", "url": "http://www.angelfire.com/md/moralhazard/"} +{"d:Title": "Chimes", "d:Description": "All-male group with a repertoire of 50's and 60's classics, hymns, and pop hits. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Georgetown_University", "url": "http://www.georgetownchimes.org/"} +{"d:Title": "Sons of Pitch", "d:Description": "Award-winning all-male collegiate group from George Washington University in Washington, D.C.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/George_Washington_University", "url": "http://www.gwu.edu/~sop/"} +{"d:Title": "GW Vibes", "d:Description": "Co-ed group's members, alumni, discography, set list, schedule, mailing list, photos, links and contact information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/George_Washington_University", "url": "http://studentorgs.gwu.edu/vibes/"} +{"d:Title": "Sympathetic Vibrations", "d:Description": "All-male group with 50s-90s pop repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Georgia_Institute_of_Technology", "url": "http://sympvibes.com/"} +{"d:Title": "Krokodiloes", "d:Description": "All-male group at Harvard specializing in pop music from the 20s-50s. Site includes a few .wav samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Harvard_University", "url": "http://www.kroks.com/"} +{"d:Title": "Under Construction", "d:Description": "Christian coed a cappella group at Harvard.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Harvard_University", "url": "http://hcs.harvard.edu/~undercon/"} +{"d:Title": "Callbacks", "d:Description": "Coed contemporary group at Harvard. Site contains photos and repertoire.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Harvard_University", "url": "http://hcs.harvard.edu/~c-backs/"} +{"d:Title": "Heard on the Street", "d:Description": "All-male group at Harvard Business School. Sound clips in AIFF and RealAudio formats.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Harvard_University", "url": "http://www.hbs.edu/mba/studentlife/clubs/heardonthestreet.html"} +{"d:Title": "Radcliffe Pitches", "d:Description": "All-female contemporary group. Site contains MP3 samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Harvard_University", "url": "http://www.hcs.harvard.edu/~pitches/"} +{"d:Title": "Din&Tonics", "d:Description": "All-male jazz group at Harvard.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Harvard_University", "url": "http://dins.com/"} +{"d:Title": "Veritones", "d:Description": "Coed group with diverse repertoire. RealAudio and .wav samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Harvard_University", "url": "http://veritones.com/"} +{"d:Title": "Humtones", "d:Description": "All-male group with traditional and pop repertoire. Song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Haverford_College", "url": "https://humtones.wordpress.com/"} +{"d:Title": "'Ford S-Chords", "d:Description": "Overall-clad all-male group with a pop repertoire. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Haverford_College", "url": "http://www.i-m.mx/Davywhite/fordschords/"} +{"d:Title": "Looney Tunes", "d:Description": "Coed group with members from both Haverford and Bryn Mawr. Repertoire: \"pop, doo-wop, rhythm and blues, jazz, originals.\" Song samples in AIFF format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Haverford_College", "url": "http://looney-tunes.wixsite.com/looney-tunes"} +{"d:Title": "Soulstice", "d:Description": "Coed Christian group. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Indiana_University", "url": "http://www.indiana.edu/~soulstic/"} +{"d:Title": "Koleinu", "d:Description": "Coed Princeton group devoted to Jewish music. RealAudio samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://www.princeton.edu/~koleinu/"} +{"d:Title": "Kol Sasson", "d:Description": "Jewish a cappella group at the University of Maryland. Sings a pop and Jewish repertoire, including originals.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://www.kolsasson.com/"} +{"d:Title": "Pizmon", "d:Description": "Coed group at Columbia. Repertoire includes both traditional and contemporary Jewish and Israeli music. Soundclips in RealAudio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://www.pizmon.org/"} +{"d:Title": "Shir Appeal", "d:Description": "A co-ed Jewish a cappella group from Tufts University, located in Boston, Massachusetts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://www.shirappeal.com/"} +{"d:Title": "Ketzev", "d:Description": "Ketzev is a coed Jewish a cappella group at Johns Hopkins that features songs in a variety of languages including Hebrew, Yiddish, and English.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://ketzev.johnshopkins.edu/"} +{"d:Title": "Magevet", "d:Description": "Song samples (.wav) from Yale University's coed Jewish a cappella group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://magevet.weebly.com/"} +{"d:Title": "Techiya", "d:Description": "Jewish coed group at MIT sings a variety of Jewish and Israeli music, including original songs. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://techiya.mit.edu/"} +{"d:Title": "Kaskeset", "d:Description": "Coed Jewish group at the State University of New York-Binghamton with a traditional, religious, and pop repertoire. Many sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Jewish", "url": "http://www.hillelatbinghamton.org/kaskeset/about-us/"} +{"d:Title": "Ketzev", "d:Description": "Ketzev is a coed Jewish a cappella group that features songs in a variety of languages including Hebrew, Yiddish, and English.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Johns_Hopkins_University", "url": "http://ketzev.johnshopkins.edu/"} +{"d:Title": "Vocal Chords", "d:Description": "Coed group with a varied repertoire of pop and traditional songs. Lots of photos and biographies.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Johns_Hopkins_University", "url": "http://pages.jh.edu/~vchords/"} +{"d:Title": "Sirens", "d:Description": "All-female group with a pop repertoire stretching from 60s to 90s.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Johns_Hopkins_University", "url": "https://www.facebook.com/jhusirens"} +{"d:Title": "Octopodes", "d:Description": "Mixed a cappella group of Johns Hopkins University specializing in arrangements of current pop tunes. Upcoming events, repertoire, members and alumni, discography, photos and contact information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Johns_Hopkins_University", "url": "http://octopodes.johnshopkins.edu/"} +{"d:Title": "Muses", "d:Description": "All-female group with contemporary repertoire. Photos and tour information. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Massachusetts_Institute_of_Technology", "url": "http://muses.mit.edu/"} +{"d:Title": "Techiya", "d:Description": "Jewish coed group at MIT sings a variety of Jewish and Israeli music, including original songs. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Massachusetts_Institute_of_Technology", "url": "http://techiya.mit.edu/"} +{"d:Title": "Cross Products", "d:Description": "Christian coed group at MIT. Links to members and constitution, but no audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Massachusetts_Institute_of_Technology", "url": "http://xprod.mit.edu/"} +{"d:Title": "Soulstice", "d:Description": "McGill students group that covers a wide range of a cappella and choral music.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/McGill_University", "url": "http://soulsticeacappella.com/"} +{"d:Title": "Dissipated Eight", "d:Description": "Male group with contemporary pop repertoire. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Middlebury_College", "url": "http://www.middlebury.edu/~eight/"} +{"d:Title": "Mountain Ayres", "d:Description": "Coed group with a classical repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Middlebury_College", "url": "http://community.middlebury.edu/~ayres/"} +{"d:Title": "The Middlebury College Bobolinks", "d:Description": "A popular co-ed a cappella group, singing music from U2, KT Tunstall and everything in between.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Middlebury_College", "url": "http://community.middlebury.edu/~bobolink/"} +{"d:Title": "Mischords", "d:Description": "All-female group with contemporary pop repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Middlebury_College", "url": "http://mischord.wixsite.com/mischords"} +{"d:Title": "Mass Transit", "d:Description": "All-male group with a varied pop repertoire. Sound clips in .wav and MP3 formats.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/New_York_University", "url": "http://www.masstransitnyu.net/"} +{"d:Title": "Kelloggarhythms", "d:Description": "All-female group at Northwestern's Kellogg Business School. No audio or repertoire information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Northwestern_University", "url": "http://kellogg.campusgroups.com/krhythms"} +{"d:Title": "Bottom Line", "d:Description": "Group featuring students at Northwestern's Kellogg Business School. Bare bones site with contact information only.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Northwestern_University", "url": "http://kellogg.campusgroups.com/bottomline"} +{"d:Title": "Asterik", "d:Description": "All-male group with a pop repertoire. Sound clips in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Northwestern_University", "url": "http://asteriknu.com/"} +{"d:Title": "Arcadian Singers", "d:Description": "One of Oxford's best choirs. Founded in 1976 to specialise in unaccompanied works, the choir now sings a vast range of music, from Byrd to Britten.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Oxford_University", "url": "http://groupspaces.com/arcadiansingers"} +{"d:Title": "Out Of The Blue", "d:Description": "Oxford's internationally acclaimed all male a cappella. Photos, gig guide and sound samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Oxford_University", "url": "http://www.ootboxford.com/"} +{"d:Title": "The Oxford Gargoyles", "d:Description": "Performs jazz a cappella at Oxford, includes the group's history, appearances and CD details.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Oxford_University", "url": "http://www.theoxfordgargoyles.com/"} +{"d:Title": "Katzenjammers", "d:Description": "A coed group at Princeton, the KJs are the oldest mixed group in the Ivy League. Album and repertoire information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~pukatz/"} +{"d:Title": "Culturally Yours", "d:Description": "All-female group at Princeton, est.1992 and dedicated to songs originated by persons of African descent.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~cy/"} +{"d:Title": "Kindred Spirit", "d:Description": "Coed Christian group at Princeton.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~kspirit/"} +{"d:Title": "Nassoons", "d:Description": "All-male group at Princeton.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~nassoons/"} +{"d:Title": "Tigerlilies", "d:Description": "All-female group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~tigerlil/"} +{"d:Title": "Tigressions", "d:Description": "All-female group at Princeton. Repertoire information and .wav sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~tigress/"} +{"d:Title": "Wildcats", "d:Description": "All-female group at Princeton. Site contains information on the Cats' diverse repertoire, as well as numerous .wav song samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~wildcats/"} +{"d:Title": "Koleinu", "d:Description": "Coed Princeton group devoted to Jewish music. RealAudio samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princeton.edu/~koleinu/"} +{"d:Title": "Tigertones", "d:Description": "All-male group at Princeton. Site contains MP3 song clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.tigertones.com/"} +{"d:Title": "Roaring 20", "d:Description": "Coed group at Princeton with a diverse repertoire. RealAudio and .wav samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://www.princetonroaring20.com/"} +{"d:Title": "Footnotes", "d:Description": "All-male group at Princeton. Song samples in MP3 and .wav formats.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Princeton_University", "url": "http://princetonfootnotes.com/"} +{"d:Title": "The Bandersnatchers", "d:Description": "Male a cappella group: Repertoire; concert calendar; group and contact information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Skidmore_College", "url": "https://www.facebook.com/bandersnatchers"} +{"d:Title": "Smiffenpoofs", "d:Description": "The oldest all-female group in the nation, named after the Yale Whiffenpoofs. Photos and sound samples from their pop repertoire in .wav and MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Smith_College", "url": "http://thesmiffenpoofs.wixsite.com/the-smiffenpoofs"} +{"d:Title": "Smithereens", "d:Description": "All-female group with a traditional and modern repertoire. Photos, no audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Smith_College", "url": "http://smithereen.wixsite.com/smithereens"} +{"d:Title": "Mixed Company (Stanford)", "d:Description": "Coed group with an 80s and 90s repertoire. Extensive list of sound clips in RealAudio and .wav formats, and Quicktime and RealVideo clips. Not to be confused with the Yale group of the same name.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Stanford_University", "url": "http://www.mixedco.com/"} +{"d:Title": "Counterpoint", "d:Description": "All-female group with contemporary repertoire. Photos, no audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Stanford_University", "url": "http://www.stanford.edu/group/counterpoint/"} +{"d:Title": "Harmonics", "d:Description": "Coed group with pop and classic repertoire. Sound clips in MP3.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Stanford_University", "url": "http://www.stanfordharmonics.com/"} +{"d:Title": "Mendicants", "d:Description": "All-male group with diverse pop and classic repertoire. Sound clips in RealAudio and AIFF formats.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Stanford_University", "url": "http://www.stanford.edu/group/mendicants/"} +{"d:Title": "Everyday People", "d:Description": "Coed multicultural group with soul and R&B repertoire. Sound clips in MP3 and RealAudio format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Stanford_University", "url": "http://www.everydaypeople.org/"} +{"d:Title": "Stanford Talisman A Cappella", "d:Description": "A coed group of singers from Stanford University in California, dedicated to sharing stories through music.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Stanford_University", "url": "http://www.stanfordtalisman.com/"} +{"d:Title": "Earth Tones", "d:Description": "Formed in Fall '98, the University at Albany's first male a cappella group in over 30 years.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/State_University_of_New_York/Albany", "url": "https://www.facebook.com/EarthTonesUA"} +{"d:Title": "Kaskeset", "d:Description": "Coed Jewish group with a traditional, religious, and pop repertoire. Many sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/State_University_of_New_York/Binghamton", "url": "http://www.kaskeset.com/"} +{"d:Title": "Binghamtonics", "d:Description": "Coed group with a pop repertoire. Deep site features lots of photos and history, and sound files.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/State_University_of_New_York/Binghamton", "url": "http://www.thebinghamtonics.com/"} +{"d:Title": "The Binghamton Crosbys", "d:Description": "All-male group with a diverse pop and classic repertoire. Song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/State_University_of_New_York/Binghamton", "url": "http://www.binghamtoncrosbys.com/"} +{"d:Title": "Pointercounts", "d:Description": "All-male group with a traditional and pop repertoire. Song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/State_University_of_New_York/Potsdam", "url": "http://www.pointercounts.com/"} +{"d:Title": "Stonehill College Chieftones", "d:Description": "All male group specializing in top 40, 80's, and oldies a cappella.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Stonehill_College", "url": "http://www.angelfire.com/music4/chieftones/"} +{"d:Title": "Tone Def", "d:Description": "All-male group with pop repertoire. Song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Swarthmore_College", "url": "http://www.sccs.swarthmore.edu/~murphy/tonedef/"} +{"d:Title": "Black Coffee", "d:Description": "Coed African-American group with repertoire including spirituals, rhythm and blues, and hip-hop. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Swarthmore_College", "url": "http://www.sccs.swarthmore.edu/org/blackcoffee/"} +{"d:Title": "Doppler Gang", "d:Description": "Coed group with an all-rock repertoire. Audio samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Swarthmore_College", "url": "http://www.sccs.swarthmore.edu/org/doppler/"} +{"d:Title": "Mixed Company (Swarthmore)", "d:Description": "Coed group with eclectic pop and humor repertoire. Song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Swarthmore_College", "url": "http://www.sccs.swarthmore.edu/org/mixedco/"} +{"d:Title": "Accidentals", "d:Description": "All-male group with a classic pop repertoire. No sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Trinity_College", "url": "http://trinityaccidentals.com/"} +{"d:Title": "Beelzebubs", "d:Description": "All-male Tufts group and winner of many awards. Links to current and old albums with MP3 clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Tufts_University", "url": "http://www.bubs.com/"} +{"d:Title": "Jackson Jills", "d:Description": "All-female group at Tufts with contemporary repertoire. 1999 CARA winners. AIFF sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Tufts_University", "url": "http://www.jacksonjills.com/"} +{"d:Title": "Shir Appeal", "d:Description": "Co-ed Jewish a cappella group from Tufts University.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Tufts_University", "url": "http://www.shirappeal.com/"} +{"d:Title": "Amalgamates", "d:Description": "Mixed Tufts group with a pop repertoire. Album information and many MP3s.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Tufts_University", "url": "https://amalgamates.wordpress.com/"} +{"d:Title": "SQ", "d:Description": "Coed pop group at Tufts. No sound clips, but site does feature recipes.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Tufts_University", "url": "http://tuftssq.com/"} +{"d:Title": "Idlers", "d:Description": "All-male group with a unique repertoire of sea chanteys. Sound samples in AIFF format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/U.S._Coast_Guard_Academy", "url": "http://www.rezonate.com/idlers/"} +{"d:Title": "Artists in Resonance", "d:Description": "Coed group with self-described alternative repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_California/Berkeley", "url": "http://www.artistsinresonance.com/"} +{"d:Title": "Men's Octet", "d:Description": "Eight men with a doo-wop, jazz, and classics repertoire. Includes links to youtube videos of their performances.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_California/Berkeley", "url": "http://www.ocf.berkeley.edu/~octet"} +{"d:Title": "Perfect Fifth", "d:Description": "A co-ed madrigal group, formerly known as UC Madrigal Singers. Includes photos, mp3s, and video.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_California/Berkeley", "url": "http://www.ocf.berkeley.edu/~pfifth"} +{"d:Title": "Golden Overtones", "d:Description": "All-female group singing \"80's pop, doo-wop, jazz, contemporary tunes, and Cal Spirit songs.\" No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_California/Berkeley", "url": "http://overtones.berkeley.edu/"} +{"d:Title": "DeCadence", "d:Description": "Coed group with varied contemporary and standards repertoire. MIDI files of arrangements, photos, no sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_California/Berkeley", "url": "http://decadence.berkeley.edu/"} +{"d:Title": "Cal Jazz Choir", "d:Description": "Coed group with a repertoire of classic and contemporary jazz. Includes photos and video clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_California/Berkeley", "url": "http://caljazzchoir.berkeley.edu/"} +{"d:Title": "Golos\u00e1", "d:Description": "Mixed group with a traditional Russian folk song repertoire. One MP3 clip.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Chicago", "url": "http://www.golosa.org/"} +{"d:Title": "Buffoons", "d:Description": "The University of Colorado's all male a cappella singing group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Colorado", "url": "http://www.colorado.edu/StudentGroups/Buffoons/start.htm"} +{"d:Title": "All Rights Reserved", "d:Description": "All-female group with a pop repertoire. History, repertoire, and photos; no audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Colorado/Boulder", "url": "http://www.colorado.edu/StudentGroups/allrights/"} +{"d:Title": "DSharps", "d:Description": "All-female group. Sound samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Delaware", "url": "http://udel.edu/stu-org/dsharps/"} +{"d:Title": "DelTones", "d:Description": "Coed group with a pop repertoire. Photos, sound.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Delaware", "url": "http://www.deltones.com/"} +{"d:Title": "Ychromes", "d:Description": "All-male group with a pop repertoire. Sound clips in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Delaware", "url": "https://www.facebook.com/The-YChromes-87444147585/"} +{"d:Title": "Other Guys", "d:Description": "All-male octet with pop repertoire. Includes videos and upcoming shows.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Illinois", "url": "http://www.otherguys.org/"} +{"d:Title": "Xtension Chords", "d:Description": "All-male group. Listen to sample songs from albums, buy merchandise, and read about past and upcoming events.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Illinois", "url": "http://xtensionchords.com/"} +{"d:Title": "Rip Chords", "d:Description": "All-female group with pop repertoire. Includes videos and photo gallery.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Illinois", "url": "http://www.theillinoisripchords.com/"} +{"d:Title": "Generics", "d:Description": "All-male group with a varied pop repertoire, heavy on the 70s and 80s. Generous selection of song samples in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Maryland", "url": "http://marylandgenerics.com/"} +{"d:Title": "Amazin' Blue", "d:Description": "Official home of University of Michigan's nationally-renowned a cappella coed contemporary group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Michigan", "url": "http://www.amazinblue.org/"} +{"d:Title": "Gentlemen", "d:Description": "All-male group with a pop repertoire. Four MP3 samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Michigan", "url": "http://www.thegmen.org/"} +{"d:Title": "Friars", "d:Description": "All-male octet, a subset of the UM glee club. Varied repertoire, mainly pop. Sound samples in RealAudio format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Michigan", "url": "http://ummgc.org/friars/"} +{"d:Title": "58 Greene", "d:Description": "A coed and multicultural group with a diverse modern repertoire. Includes photos and information about CD releases.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Michigan", "url": "https://www.facebook.com/58Greene"} +{"d:Title": "Harmonettes", "d:Description": "All-female group, a subset of the UM Women's Glee Club. Includes a partial repertoire with audio clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Michigan", "url": "http://www.harmonettesacappella.com/"} +{"d:Title": "Gimble", "d:Description": "Coed group with a repertoire ranging from pop to doo-wop, soul, and madrigal. Sound clips in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Michigan", "url": "http://www.gimbleacappella.com/"} +{"d:Title": "Dicks and Janes", "d:Description": "Coed group covering blues and jazz, pop and rock. Audio files and pictures provided; all albums are for sale online.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Michigan", "url": "http://dicksandjanes.com/"} +{"d:Title": "Masala", "d:Description": "An all-male Hindi group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "http://www.pennmasala.com/"} +{"d:Title": "Penny Loafers", "d:Description": "Mixed contemporary group and 1999 BOCA winners. Site contains repertoire and a few .wav files.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "http://www.thepennyloafers.com/"} +{"d:Title": "Quaker Notes", "d:Description": "Penn's only all-female group. Repertoire ranges from \"80's to Modern Rock to Motown to Jazz Standards.\"", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "http://www.quakernotes.com/"} +{"d:Title": "Full Measure", "d:Description": "Christian coed group at Penn.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "http://www.full-measure.org/"} +{"d:Title": "Counterparts", "d:Description": "Award-winning coed group at Penn. Samples of their contemporary repertoire in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "http://penncounterparts.com/"} +{"d:Title": "Pennchants", "d:Description": "12-man group at Penn with diverse pop repertoire.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "http://pennchants.com/"} +{"d:Title": "Pipers", "d:Description": "All-male group featuring barbershop and other traditional and pop close-harmony arrangements.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "http://www.pennpipers.com/"} +{"d:Title": "Pennsylvania Six", "d:Description": "All-male group featuring pop and parody arrangements.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pennsylvania", "url": "https://www.facebook.com/PennSix5000/"} +{"d:Title": "Joyful Noise", "d:Description": "Coed Christian group with members from Carnegie Mellon and University of Pittsburgh. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Pittsburgh", "url": "http://www.andrew.cmu.edu/~jn/"} +{"d:Title": "Top Cats", "d:Description": "All-male group with a varied pop repertoire. No audio clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Vermont", "url": "http://www.uvm.edu/~topcats"} +{"d:Title": "Virginia Gentlemen", "d:Description": "All male group with a mix of traditional and pop repertoire. No sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Virginia", "url": "http://scs.student.virginia.edu/~vagent/"} +{"d:Title": "Academical Village People", "d:Description": "All-male UVA pop group. Sound samples in .wav format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Virginia", "url": "http://www.student.virginia.edu/~acadvil/"} +{"d:Title": "New Dominions", "d:Description": "Coed group sings a wide range of 70s-90s pop. No sound files.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Virginia", "url": "http://scs.student.virginia.edu/~newdoms/"} +{"d:Title": "CHoosE -- Christian Hoos Exalt", "d:Description": "Christian coed group with a Christian pop repertoire. MP3 sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Virginia", "url": "http://scs.student.virginia.edu/~choose/"} +{"d:Title": "Sil'hooettes", "d:Description": "All-female pop group at UVA. Provides member profiles, news, sound clips and photo galleries.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Virginia", "url": "http://www.silhooettes.com/"} +{"d:Title": "Hullabahoos", "d:Description": "All-male acappella group from the University of Virginia. Includes album information and photos.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/University_of_Virginia", "url": "http://www.hullabahoos.com/"} +{"d:Title": "VU Choral Ensembles", "d:Description": "List of choral groups at Vanderbilt.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Vanderbilt_University", "url": "http://blair.vanderbilt.edu/ensembles/choirs-info.php"} +{"d:Title": "Accidentals", "d:Description": "All-male group with a primarily pop repertoire. Many sound clips in .wav and MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Vassar_College", "url": "http://vsa.vassar.edu/orgs/theaxies/"} +{"d:Title": "Measure 4 Measure", "d:Description": "All-female group with pop repertoire. No audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Vassar_College", "url": "https://www.facebook.com/vassarm4m/"} +{"d:Title": "Night Owls", "d:Description": "All-female group with a repertoire of standards. Sound clips in .au format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Vassar_College", "url": "https://www.facebook.com/Night-Owls-106990346049866/"} +{"d:Title": "The Wesleyan Spirits", "d:Description": "All-male singing group, with information on history, discography and performances.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Wesleyan_University", "url": "https://www.facebook.com/wesleyanspirits"} +{"d:Title": "Alley Cats", "d:Description": "All-male traditional group. RealAudio song samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://www.yalealleycats.com/"} +{"d:Title": "Magevet", "d:Description": "Song samples (.wav) from Yale University's coed Jewish a cappella group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://magevet.weebly.com/"} +{"d:Title": "Yale Slavic Chorus", "d:Description": "A women's Cappella group performing Eastern European folk songs.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://yaleslavicchorus.com/"} +{"d:Title": "Spizzwinks(?)", "d:Description": "All-male group at Yale with a diverse repertoire.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://www.spizzwinks.com/"} +{"d:Title": "Whiffenpoofs", "d:Description": "America's first and oldest collegiate a cappella group, the Whiffenpoofs are male seniors of Yale College. Site contains MP3s and much history.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://www.whiffenpoofs.com/"} +{"d:Title": "Proof of the Pudding", "d:Description": "All-female group at Yale, specializing in jazz and swing music.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://www.yaleproofofthepudding.com/"} +{"d:Title": "Yale University Russian Chorus", "d:Description": "A tenor-bass a cappella choral ensemble specializing in sacred and secular Slavic choral music. Yale, Connecticut, USA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "https://www.facebook.com/yalerussianchorus"} +{"d:Title": "Duke's Men", "d:Description": "Traditional all-male group. .wav song samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://dukesmen.com/"} +{"d:Title": "Bakers Dozen", "d:Description": "Traditional all-male group at Yale University. Site has quicktime video song samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Collegiate/Yale_University", "url": "http://www.bakersdozenyale.com/"} +{"d:Title": "Voices R Us", "d:Description": "Gospel, Soul, Jazz, and fun are the topics of the German vocal band around jazz pianist Joe Voelker. With demos in Real Audio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.voicerus.de/"} +{"d:Title": "Riltons V\u00e4nner", "d:Description": "A Cappella group based in Stockholm, Sweden. Includes photo galleries, videos, and album information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.riltonsvanner.com/"} +{"d:Title": "The Magnets", "d:Description": "Pop a cappella band based in London, England. Includes news, tour dates, and discography.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.themagnets.com/"} +{"d:Title": "The Bobs", "d:Description": "Official page of the a capella humor and jazz quartet. Fan club, CDs and merchandise ordering, online lyrics, concert schedules, and sample recordings.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.bobs.com/"} +{"d:Title": "Five O'Clock Shadow", "d:Description": "All-vocal modern rock band from Boston.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.focs.com/"} +{"d:Title": "Man Sound", "d:Description": "Ukrainian 6-male a cappella jazz group with an amazing sound. In Russian and English.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.mansound.com.ua/"} +{"d:Title": "Blenders", "d:Description": "RealAudio and MP3 sound clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.theblenders.com/"} +{"d:Title": "House Jacks", "d:Description": "Rock band", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.housejacks.com/"} +{"d:Title": "Tonic Sol Fa", "d:Description": "Official site of a four-man group based in Minnesota. Sound clips in RealAudio format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.tonicsolfa.com/"} +{"d:Title": "Octappella", "d:Description": "Vocal ensemble from Salt Lake that sings acappella arrangements of modern favorites; including selections from Green Day, Blessed Union of Souls, and N'SYNC.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.octappella.com/"} +{"d:Title": "Chicago a cappella", "d:Description": "Chicago a cappella focuses the talents and power of nine solo voices into ensemble singing of exceptional beauty and impact. The ensemble continually seeks to break new ground in programming, repertoire, and the highest level of professional choral singing.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.chicagoacappella.org/"} +{"d:Title": "Stormy-Weather", "d:Description": "Music from Stormy-Weather, the a cappella quintet. Doo-Wop, and Rock and Roll music.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.stormy-weather.com/"} +{"d:Title": "Rudsambee", "d:Description": "Edinburgh, Scotland-based a cappella choir. Includes programme, pictures, RealAudio files, workshop, and reviews.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.rudsambee.org.uk/"} +{"d:Title": "Henry's Eight", "d:Description": "Formed in 1992 while its then members were choral scholars at Trinity College, Cambridge, and restructured in 1994 as a professional ensemble.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=henryseight"} +{"d:Title": "The Coats", "d:Description": "Based out of Seattle, Washington. Includes a list of appearances, sound slips, group biography, photo gallery, messages, and mailing list.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.thecoats.net/"} +{"d:Title": "The Expressions", "d:Description": "An a cappella group in Staten Island New York specializing in oldies and 'doo wop' songs. Includes photos, sound and video clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.theexpressionslive.com/"} +{"d:Title": "Clearly Vocal", "d:Description": "A five-member mixed a cappella vocal jazz group, based in east Texas, providing music for all occasions in a variety of musical styles.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.clearlyvocal.com/"} +{"d:Title": "Dick Van Dyke and The Vantastix", "d:Description": "An a cappella quartet formed in 2000 featuring Dick Van Dyke. Includes member profiles and audio clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.vantastix.com/"} +{"d:Title": "Rajaton", "d:Description": "A five-member a cappella group from Finland who have performed on four continents. Provides member profiles, concert listings and CD information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups", "url": "http://www.rajaton.net/"} +{"d:Title": "Concordia Recordings", "d:Description": "RealAudio enabled shopping location for recordings of The Concordia Choir, Band and Orchestra.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups/Concordia", "url": "http://www.concordiarecordings.com/"} +{"d:Title": "Four Shadow", "d:Description": "Mailing list with fan discussion and tour dates. [Requires free Yahoo registration.]", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups/Four_Shadow", "url": "http://groups.yahoo.com/group/fourshadow"} +{"d:Title": "Rockapella", "d:Description": "Official site. Provides history of the group, member profiles, tour dates, discography and photo galleries.", "priority": "1", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups/Rockapella", "url": "http://www.rockapella.com/"} +{"d:Title": "Sixty-Minute-Man: A Rockapella Fan", "d:Description": "Collection of Rockapella Mp3s. All sounds are created by the human voice, including the vocal percussion.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups/Rockapella", "url": "http://www.angelfire.com/music2/acappella/"} +{"d:Title": "PellaPusher.com", "d:Description": "For Rockapella Addicts and their Enablers. Includes concert pictures, and reports of adventures to Rockapella concerts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Professional_Groups/Rockapella", "url": "http://www.pellapusher.com/"} +{"d:Title": "Coral Santa Teresa", "d:Description": "A cappella choir from Zaragoza, Arag\u00f3n, Spain. With audio files, history, repertory, photographs, concerts, and links. Site in many languages.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://coralsantateresa.org/"} +{"d:Title": "baSix", "d:Description": "A Danish male a cappella sextet, singing pop, rock and jazz.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.basix.dk/"} +{"d:Title": "Akordo", "d:Description": "This eight-person choir sings four-part music in a variety of styles, ranging from medieval to modern and from folk to pop music. The entire repertoire is sung in the international language Esperanto. With some audio files.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.tekstoj.nl/akordo/"} +{"d:Title": "Voices of Africa", "d:Description": "African based, all female a cappella singers and percussionist in Philadelphia, PA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.voicesofafrica.net/"} +{"d:Title": "InsideOut", "d:Description": "Male sextet from Utah with Blenders/Rockapella influenced repertoire including original songs. Guestbook, contact information, and a dozen MP3s.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.insideout-acappella.com/"} +{"d:Title": "Ora*Cappella", "d:Description": "Oracle's all-employee a cappella singing group", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://members.tripod.com/oracappella/"} +{"d:Title": "Talk To The Hand", "d:Description": "Funky women's a cappella quartet.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.angelfire.com/ma/talktothehand/"} +{"d:Title": "Acappella Singers", "d:Description": "24-voice ensemble founded by Ronald Boender in 1994, as a group of semi-professional singers under his direction.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.acappellasingers.com/"} +{"d:Title": "Vineyard Sound", "d:Description": "All-male summer group comprised of current and former members of the Connecticut College CoCo Beaux, Skidmore Bandersnatchers, Wesleyan University Spirits, Dartmouth Final Cut, and Washington and Lee Southern Comfort. Sound clips in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://vineyardsound.org/"} +{"d:Title": "HELIOS Vocal Ensemble", "d:Description": "A London-based group specialising in challenging and rarely-heard works in the choral repertoire.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.wimbolt.demon.co.uk/helios/"} +{"d:Title": "Melodic Music", "d:Description": "A cappella choral composer, Jeff Flaster. Includes bios, RealAudio song samples, album reviews and ordering information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.melodic.com/"} +{"d:Title": "The Madrigal Choir of Binghamton", "d:Description": "Upcoming concerts and a look at the choir's rich history.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.madrigalchoir.com/"} +{"d:Title": "The Gents", "d:Description": "The Dutch semi professional vocal ensemble consists of about 15 male singers: countertenors, tenors, baritones and basses. An ensemble with a great variety of repertoire and styles.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.thegents.nl/"} +{"d:Title": "Peacock's Feather", "d:Description": "Ensemble from St.Petersburg, Russia. Choir information and MP3 samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.classicalmusic.spb.ru/peacock/"} +{"d:Title": "Ashburton Singers", "d:Description": "Ashburton, Devon, England. 20-30 people, singing mostly unaccompanied multi-part music of the 16th and 17th centuries, but also of other periods up to the present day.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.ashburtonsingers.co.uk/"} +{"d:Title": "The Waimea Consort", "d:Description": "A vocal ensemble performing medieval, Renaissance, Baroque, romantic and 20th century a capella vocal music. Hawaii, USA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.cfht.hawaii.edu/~veillet/choir/"} +{"d:Title": "Vox Nova", "d:Description": "A five member male vocal ensemble from Budapest, Hungary singing all genres of a cappella music. [Hungarian/English]", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.voxnova.hu/"} +{"d:Title": "Vocal Chaos", "d:Description": "An award-winning vocal band from Chicago. Includes sound clips, member profiles, news and booking information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.vocalchaos.com/"} +{"d:Title": "Larksong", "d:Description": "Mixed sextet located in Baltimore, MD area with a Renaissance, madrigal, and holiday repertoire. Sound clips available in MP3 format.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://www.larksongsings.com/"} +{"d:Title": "VoicePlay", "d:Description": "A 5-part cappella group in Orlando, Florida. Offers sound clips, booking information, photographs, and biography.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Bands_and_Artists/Semi-Pro_and_Recreational_Groups", "url": "http://thevoiceplay.com/"} +{"d:Title": "Barbershop Harmony FAQ and Pointers", "d:Description": "Answer to questions and links to other barbershop sites.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony", "url": "http://arneberg.com/harmonet/"} +{"d:Title": "Harmonize.com (HDC)", "d:Description": "Offers free web space for barbershop quartets. Includes services and FAQ.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony", "url": "http://www.harmonize.com/"} +{"d:Title": "Metropolis' Online Pitch Pipe", "d:Description": "MP3 recordings of chromatic pitches. A resource for Barbershop and other A Cappella singers and groups.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony", "url": "http://www.harmonize.com/metropolis/online_pitch_pipe.htm"} +{"d:Title": "BSMDB - Barbershop Music Database", "d:Description": "Barbershop Harmony Society quartet contest scores for District, Prelims and International starting with District of 1993.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony", "url": "http://www.bsmdb.com/"} +{"d:Title": "Barbershop Quartet Match", "d:Description": "Provides a means for barbershop quartets and choruses to find voices they need and for singers to find nearby groups.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony", "url": "http://www.quartetmatchup.com/"} +{"d:Title": "Barbershop Wiki Project", "d:Description": "A comprehensive barbershop encyclopedia. Information about quartets, choruses, a cappella music and harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony", "url": "http://www.barbershopwiki.com/wiki/Main_Page"} +{"d:Title": "Barbershop Web Guild", "d:Description": "A group for Internet professionals who also happen to sing barbershop harmony. This group is open to any barbershopper interested in webmastering and all that geeky stuff that makes the Internet works.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/BbshopWebGuild"} +{"d:Title": "The Harmonet", "d:Description": "Place for discussions of barbershop singing and the activities of those groups which promote it.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/bbshop"} +{"d:Title": "HarmonetLite", "d:Description": "A subset of the Harmonet discussion list without the flames, me toos and off topic posts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/HarmonetLite"} +{"d:Title": "azquartets", "d:Description": "A discussion list for Barbershop in Arizona", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/azquartets"} +{"d:Title": "Barbershoppers2nite", "d:Description": "The YahooGroups version of the AOL chat.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Barbershoppers2nite"} +{"d:Title": "BH-Singing", "d:Description": "Berkshire-Hudson Singing is a clearinghouse for all who are interested in group singing in our geographic area of Upstate New York and adjacent New England. While choral music is the prime focus, all forms including barbershopare welcome.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/BH-Singing"} +{"d:Title": "cbqc", "d:Description": "The MBNA America Collegiate Barbershop Quartet Contest discussion list. For CBQC participants and enthusiasts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/cbqc"} +{"d:Title": "choralireland", "d:Description": "Choral Ireland is an informal information, discussion and upcoming events publicity resource. It is aimed at enthusiasts throughout Ireland of choral singing of all genres - from opera to barbershop and oratorio to musicals.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/choralireland"} +{"d:Title": "Finale Users", "d:Description": "Discussion list so that Finale users can share information and ideas or get help with problems. Geared to barbershop arrangements, but others are welcome to join.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/FinaleUsers/"} +{"d:Title": "LOL-Music-VPs", "d:Description": "A mailing list for all the Chapter Music Vice Presidents in the Land O' Lakes District (LOL) of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/LOL-Music-VPs/"} +{"d:Title": "LOLnet", "d:Description": "Discussion list for barbershop harmony singers of the Land O' Lakes District of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/LOLnet"} +{"d:Title": "LOL-Presidents", "d:Description": "A mailing list for all the Chapter Presidents in the Land O' Lakes District (LOL) of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/LOL-Presidents"} +{"d:Title": "QCABBSHOP", "d:Description": "An open forum for sharing ideas and information among barbershop harmony devotees in/around the Quad City area. Includes members and friends of SPEBSQSA, Sweet Adelines, Harmony,Inc and AHSOW.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/QCABBSHOP"} +{"d:Title": "SA6Fans", "d:Description": "Fans of Sweet Adelines International Region 6 - Receive notices of performances by women's barbershop choruses and quartets throughout the upper Midwest USA and south central Canada. (Members should subscribe to the SA6Memb list instead.)", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SA6Fans"} +{"d:Title": "SA6Memb", "d:Description": "Members of Sweet Adelines International Region 6 - Receive notices of interest to members of women's barbershop choruses and quartets throughout the upper Midwest USA and south central Canada. (Non-members should subscribe to the SA6Fans list instead.)", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SA6Memb"} +{"d:Title": "SenecaLand", "d:Description": "Announcement List for the Seneca Land District chapters of SPEBSQSA. The purpose of this list is to provide a fast and easy way to send Information to all members who are online. It is not a discussion list and therefore is low traffic.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SenecaLand"} +{"d:Title": "SLD-CSLT", "d:Description": "A compilation of Coaches for Barbershop and a cappela singing groups.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SLD-CSLT"} +{"d:Title": "SPEBSQSA-MAD", "d:Description": "Email community of the Mid-Atlantic District of SPEBSQSA", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SPEBSQSA-MAD"} +{"d:Title": "SPEBSQSA_YMIH", "d:Description": "Barbershop Youth Outreach online discussion group. The purpose of this discussion group is to discuss topics related to the Young Men In Harmony (YMIH) program established by the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SPEBSQSA_YMIH"} +{"d:Title": "sunshinenet", "d:Description": "Barbershop Quartet Singing Discussion List for the Sunshine District of SPEBSQSA, Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sunshinenet"} +{"d:Title": "Sweet Adelines International", "d:Description": "A discussion list for members and fans of Sweet Adelines International, an organization for women who sing four-part acappella music in the barbershop style.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SweetAdelinesInt"} +{"d:Title": "The Perfect Gentlemen", "d:Description": "Information on upcoming shows and events for The Perfect Gentlemen, a barbershop quartet.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ThePerfectGentlemen/"} +{"d:Title": "virginians-announce", "d:Description": "News and announcements about the Richmond chapter of SPEBSQSA, the international barbershop harmony society, and about their award-winning chorus, the Virginians.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/virginians-announce"} +{"d:Title": "The Evernet", "d:Description": "Discussion relating to the Evergreen district of the barbershop harmony society and regions 13, 24, and 26 of Sweet Adelines International. Topics range from contests to gigs to latest district happenings to shows.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Chats_and_Forums", "url": "http://groups.yahoo.com/group/the_evernet"} +{"d:Title": "Rivar's Custom Show Apparel", "d:Description": "Design and manufacture of custom performance apparel for individual performers, groups and choirs. Also, jewelry and garment accessories.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Costumes", "url": "http://www.rivars.com/"} +{"d:Title": "Harmony On Stage", "d:Description": "Musical uniforms, formal wear, choral groups, bands, chorus and big band uniforms.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Costumes", "url": "http://harmonyonstage.com/"} +{"d:Title": "Harmonet Central - Barbershop Harmony Online", "d:Description": "Barbershop Harmony reference site: SPEBSQSA, Sweet Adelines, Harmony Inc., foreign affiliates, arrangers, trivia, Champions, song searches, discussion groups, chat and recordings.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Directories", "url": "http://www.harmonize.com/bbshop/"} +{"d:Title": "Harmonet Reporter", "d:Description": "Information on international barbershop events including competition scores.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Events", "url": "http://www.harmonize.ws/HarmonetReporter/"} +{"d:Title": "A Cappella Showcase", "d:Description": "Harmony Inc. chorus from Milton, Ontario.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/A", "url": "http://acappellashowcase.atspace.com/"} +{"d:Title": "A Cappella West", "d:Description": "A chorus of Sweet Adelines in Kardinya, Western Australia. Includes upcoming performances, photos and profiles of the directors.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/A", "url": "http://www.acappellawest.info/"} +{"d:Title": "Aberdeen Chorus of Sweet Adelines", "d:Description": "Scottish chorus who sing in four part harmony barbershop style.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/A", "url": "http://www.aberdeenchorus.scot/"} +{"d:Title": "a cappella Joy", "d:Description": "Chorus from the greater Seattle area who are members of Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/A", "url": "http://acappellajoy.groupanizer.com/"} +{"d:Title": "Bay Area Showcase Chorus", "d:Description": "San Jose California chapter of Sweet Adelines International, has been entertaining the Bay Area with a cappella music, barbershop harmony style since 1956.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/B", "url": "http://www.singharmony.org/"} +{"d:Title": "The Belles of Three Spires", "d:Description": "Ladies close harmony chorus from Coventry, England who sing for fun and for their audiences, not for the glory.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/B", "url": "http://www.thebelles.org.uk/"} +{"d:Title": "Bowled Over", "d:Description": "A ladies barbershop quartet from the heart of England in Canley, Coventry.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/B", "url": "http://www.bowled-over.org.uk/"} +{"d:Title": "Bytown Beat", "d:Description": "A Sweet Adelines chorus located in Ottawa Ontario who sing a cappella harmony, also known as barbershop.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/B", "url": "http://www.harmonize.com/bytownbeat/"} +{"d:Title": "Crossroads of the South", "d:Description": "Jackson, Mississippi chapter of Sweet Adelines, International. Performs in the Jackson area and competes each spring with other choruses in Heart of Dixie Region 23.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://crossroadsouth.tripod.com/"} +{"d:Title": "Coastline Show Chorus", "d:Description": "Under the directorship of Gail Jencik offers Rhode Island and Massachusetts a unique form of entertainment. Blending four-part a cappella harmony with energetic choreography, they present a range of music from treasured American classics to broadway hits and modern jazz.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.coastlineshowchorus.org/"} +{"d:Title": "Channelaire Chorus", "d:Description": "A 100 member women's singing group specializing in four part barbershop harmony. Located in the Southern California oceanside community of Camarillo.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.channelaire.org/"} +{"d:Title": "Capital Connection", "d:Description": "West London ladies barbershop harmony chorus, 1998 gold medalists and semi-finalists of Sainsburys Choir of the Year competition.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.capitalconnection.org.uk/"} +{"d:Title": "Crosstown Harmony", "d:Description": "Milwaukee Wisconsin based Sweet Adelines chorus.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.crosstownharmony.org/"} +{"d:Title": "Carolina Harmony Chorus", "d:Description": "An organization of women singers from throughout the Triangle Area who enjoy acapella harmony, barbershop style. Members promote this musical art form through education and performance.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.carolinaharmony.org/"} +{"d:Title": "City of Gardens Chorus", "d:Description": "Victoria chapter of Sweet Adelines International founded in 1967.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://members.shaw.ca/sing/"} +{"d:Title": "Capital Accord Chorus", "d:Description": "Sweet Adeline chorus, based in Silver Spring, Maryland that performs four-part harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.capitalaccord.org/"} +{"d:Title": "Capital City Chorus", "d:Description": "This award-winning chorus from Sweet Adelines International Region 4 is the 2000 Buckeye Invitational Chorus Grand Champion.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.capitalcitychorus.net/"} +{"d:Title": "Cardiff Style", "d:Description": "Female Barbershop Chorus based in Cardiff, Wales that sings a wide variety of music including songs from shows, film, ballads, pop in a cappella 4 part harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://freewebs.com/cardiffstyle"} +{"d:Title": "Columbus Chorus", "d:Description": "Member chorus of Region 4 of Sweet Adelines International, a women's barbershop chorus organization.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.columbuschorus.org/"} +{"d:Title": "Circular Keys Chorus", "d:Description": "Sweet Adelines chorus from Sydney, Australia. Provides history, photographs and director's background.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.circularkeys.org/"} +{"d:Title": "Canadian Showtime Chorus", "d:Description": "A vocal group for women from Ottawa Ontario that specializes in the singing of a cappella four-part harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.canadianshowtimechorus.com/"} +{"d:Title": "Chinook Winds Show Chorus", "d:Description": "Member Chorus of Sweet Adelines International that is over 70 members strong. Located in Calgary, Alberta, Canada,it was founded in 1956.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.chinookwinds.net/"} +{"d:Title": "Christchurch City Chorus", "d:Description": "Sweet Adelines International chorus from Christchurch, New Zealand.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.ccchorus.co.nz/"} +{"d:Title": "Cascade Harmony Chorus", "d:Description": "A women's four-part harmony, a cappella chorus singing in the barbershop style from Canaby, Oregon.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://www.cascadeharmonychorus.com/"} +{"d:Title": "Choral-Aires", "d:Description": "Sweet Adelines chorus from Oak Brook Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/C", "url": "http://choral-aires.com/"} +{"d:Title": "Dutch Pride", "d:Description": "A national Sweet Adelines chorus from the Netherlands. Over half of its members are from IJsselstein, the remainder from other parts of the country.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/D", "url": "http://www.dutchpride.nl/"} +{"d:Title": "Cheshire Chord Company", "d:Description": "LABBS 2002 Gold Medal Chorus and 2003 European Champions from Cheshire, England.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/E", "url": "http://www.cheshirechord.co.uk/"} +{"d:Title": "Evergreen Chorus", "d:Description": "Women's Chorus of Sweet Adelines International located in Poughkeepsie, NY. Includes information about the chorus, chapter quartets, upcoming and past performances with photos.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/E", "url": "http://www.evergreenchorus.org/"} +{"d:Title": "Forth Valley Chorus", "d:Description": "Four-part harmony women's chorus, 60+ members strong. Edinburgh, Scotland.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/F", "url": "http://www.forthvalleychorus.org/"} +{"d:Title": "Fox Valley Chorus", "d:Description": "Based in the area of Appleton - Neenah - Menasha - Oshkosh, Wisconsin, and draws members from a 50 mile radius of the Fox Valley.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/F", "url": "http://www.angelfire.com/wi/foxvalleychorus/"} +{"d:Title": "Flagship City Show Chorus", "d:Description": "Chapter of Sweet Adelines International located in Erie, Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/F", "url": "http://shelovestosing.wikifoundry.com/"} +{"d:Title": "Grand Harmony", "d:Description": "Sweet Adelines Chorus from Kitchener,\u00a0Ontario.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.harmonize.com/grandharmony/"} +{"d:Title": "Greater Kingston Chorus", "d:Description": "Kingston,\u00a0Ontario Sweet Adelines chapter.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.greaterkingston.org/"} +{"d:Title": "Great Lakes Chorus", "d:Description": "An award-winning show chorus of Sweet Adelines International in the Metro-Detroit area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.glcsing.org/"} +{"d:Title": "Greater Richmond Chapter", "d:Description": "Richmond,\u00a0Virginia chapter of Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.grcsings.com/"} +{"d:Title": "Gainesville Sweet Adelines", "d:Description": "Women's barbershop chorus from Gainsville, Florida. Information on upcoming performances of the chorus and its affiliated quartets, contacts and how to join.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.gainesvillechorus.com/"} +{"d:Title": "Greater Nassau Chorus", "d:Description": "Sweet Adelines chorus from Franklin Square, New York.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.greaternassauchorus.org/"} +{"d:Title": "Greater Cleveland Chorus", "d:Description": "A women's barbershop chorus based in Cleveland, Ohio that competes internationally.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://gcchorus.com/"} +{"d:Title": "Greater Harrisburg Chorus", "d:Description": "Sweet Adelines chorus from Harrisburg,\u00a0Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.ghchorus.org/"} +{"d:Title": "Gem City Chorus", "d:Description": "Sweet Adelines chorus from Dayton, Ohio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/G", "url": "http://www.gemcitychorus.org/"} +{"d:Title": "Harmony in Paradise Chorus Inc.", "d:Description": "Australian Sweet Adelines chorus on Queensland's Gold Coast. History and description of Chorus, photographs, contact, rehearsal , membership and booking information, frequently asked questions, coming events and Director details.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.harmonyinparadisechorus.itgo.com/"} +{"d:Title": "Hickory Tree Chorus", "d:Description": "New Providence,\u00a0New Jersey based chorus with members from over 40 communities, Wantage to Barnegat and Hackettstown to Staten Island.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.angelfire.com/nj/hickorytree/"} +{"d:Title": "Houston Horizon", "d:Description": "Sweet Adelines chorus from Houston,\u00a0Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.houstonhorizon.org/"} +{"d:Title": "High Country Chorus", "d:Description": "Membership is comprised of more than 100 women living along the front range of Colorado's Rocky Mountains.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.highcountrychorus.org/"} +{"d:Title": "Harborlites Chorus - Huntington Beach, CA", "d:Description": "Harborlites Chorus, Sweet Adelines International Region 21. 1999 Buckeye Invitational gold medal chorus champions.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.harborliteschorus.org/"} +{"d:Title": "Heart of New Jersey Chorus", "d:Description": "Sweet Adelines group with Members from Monmouth, Mercer, Middlesex and Ocean Counties.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.honj.org/"} +{"d:Title": "Harmony Valley Chorus", "d:Description": "Women's a capella chorus based in Merced, California.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://harmonyvalleychorus.org/"} +{"d:Title": "Harbor City Music Company", "d:Description": "Chorus based in Brooklyn Park, Maryland, whose members are from the Baltimore and Washington area. Lists news, history, performance schedule and member profiles.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.harborcitymusiccompany.org/"} +{"d:Title": "Harmony in Motion", "d:Description": "A women's chorus of more than 40 members based in Sussex County, New Jersey. They are a members chorus of Harmony Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.harmonyinmotion.net/"} +{"d:Title": "Heart of Dallas Chorus", "d:Description": "A women's a cappella chorus in Richardson Texas. Provides membership information, photos and song samples.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/H", "url": "http://www.heartofdallas.org/"} +{"d:Title": "North Metro Chorus", "d:Description": "1997, 2000 and 2003 International Chorus Champions. Based in Toronto, Ontario. Directed by June Dale.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://northmetrochorus.com/"} +{"d:Title": "Harborlites Chorus", "d:Description": "2005 International Chorus Champions of Sweet Adelines International from Anaheim, California.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://www.harborliteschorus.org/"} +{"d:Title": "Rich-Tones", "d:Description": "Three-time World Champions of Sweet Adelines International. The chorus won the title in 1992, 1996 and 1999.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://www.richtones.org/"} +{"d:Title": "San Diego Sweet Adelines", "d:Description": "2002 International Champions who established the Marvin Yerkey Memorial Scholarship for music majors at San Diego State University.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://www.sdchorus.org/"} +{"d:Title": "Valley Forge Chorus", "d:Description": "1983 Sweet Adelines International champion chorus from Valley Forge, Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://www.valleyforgechorus.com/"} +{"d:Title": "Toast of Tampa", "d:Description": "The 1994 International Chorus Champtions, these 120 women have performed with Skitch Henderson and the Florida Pops, as well as for conventions, corporate functions and many other special events.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://www.toastoftampa.org/"} +{"d:Title": "Scottsdale", "d:Description": "2006 International Champion chorus of Sweet Adelines", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://www.scottsdalechorus.org/"} +{"d:Title": "Ramapo Valley Spotlight Chorus", "d:Description": "Three time International Champion chorus - 1979, 1986 and 1990", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://www.singers.com/barbershop/ramapochorus.html"} +{"d:Title": "Melodeers Chorus", "d:Description": "1995, 1998, 2001 and 2004 Sweet Adelines International champion chorus from Northbrook Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/International_Champions", "url": "http://melodeers.org/"} +{"d:Title": "Jet Cities Chorus", "d:Description": "This group of women sings a wide range of music from Broadway classics to ballads to today's popular hits in four-part, a cappella harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/J", "url": "http://jetcities.org/"} +{"d:Title": "Jersey Sound", "d:Description": "A non-profit all women's barbershop chorus with more than 60 vocal musicians from all parts of the Delaware Valley.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/J", "url": "http://www.jerseysound.00song.com/"} +{"d:Title": "Jersey Harmony Chorus", "d:Description": "Chapter of Sweet Adelines International based in Princeton, New Jersey, previously known as the Millstone Valley Chorus.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/J", "url": "http://www.harmonize.com/jerseyharmony/"} +{"d:Title": "Jacksonville Harmony Chorus", "d:Description": "Jacksonville,\u00a0Florida chapter of Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/J", "url": "http://www.jaxharmony.com/"} +{"d:Title": "Kansas City Chorus", "d:Description": "Sweet Adelines from Kansas City, Missouri. Includes director, chorus, and quartet information, coming events, recruitment, booking, CD sales, links, contacts, and member-only area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/K", "url": "http://www.kansascitychorus.com/"} +{"d:Title": "Lions Gate Chorus", "d:Description": "A community of diverse women dedicated to musical excellence and personal growth through education and public performance of four-part a capella harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/L", "url": "http://www.lionsgatechorus.ca/"} +{"d:Title": "Lake Country Chorus", "d:Description": "An award-winning small chorus dedicated to teaching and performing barbershop harmony, located in White Bear Lake, Minnesota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/L", "url": "http://www.lakecountrychorus.org/"} +{"d:Title": "London Chorus Sweet Adelines", "d:Description": "Founded in 1954 it is the oldest existing Canadian chapter.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/L", "url": "http://windmillwebworks.sytes.net/londonchorussweetadelines/"} +{"d:Title": "Lace City Singers, Nottingham, UK", "d:Description": "Information about the Lace City Singers women's barbershop chorus situated in Nottingham, UK.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/L", "url": "http://lacecity.net/"} +{"d:Title": "Mission Valley Chorus", "d:Description": "Applies its talents to virtually every style of music that can be called American: Jazz, Rhythm and Blues, Broadway, Big Band, Gospel, Swing, and even Rock 'n Roll. From Palo Alto, California.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/M", "url": "http://www.missionvalley.org/"} +{"d:Title": "Melodeers Chorus", "d:Description": "1995, 1998, 2001 and 2004 Sweet Adelines International champion chorus from Northbrook Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/M", "url": "http://melodeers.org/"} +{"d:Title": "North Metro Chorus", "d:Description": "1997, 2000 and 2003 International Chorus Champions. Based in Toronto, Ontario. Directed by June Dale.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/N", "url": "http://northmetrochorus.com/"} +{"d:Title": "Northern Blend Chorus", "d:Description": "Ladies Barbershop Chorus of Harmony Inc.,comprised of women who live in and around Watertown, New York.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/N", "url": "http://northernblendchorus.homestead.com/"} +{"d:Title": "O.K. City Chorus", "d:Description": "Oklahoma City, Oklahoma Sweet Adelines chorus.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/O", "url": "http://www.okcity.org/"} +{"d:Title": "Ozark Showcase", "d:Description": "Women's barbershop chorus located in Springfield, Missouri", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/O", "url": "http://www.ozarkshowcase.org/"} +{"d:Title": "Pacific Empire Chorus", "d:Description": "An award-winning, 120-women acappella chorus based in Petaluma, CA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://www.pacificempire.org/"} +{"d:Title": "Potomac Harmony Chorus", "d:Description": "Under the direction of master director Ozzi Mask, this award-winning barbershop chorus, established in 1976, is comprised of a diverse group of women from the Washington metropolitan area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://www.potomacharmony.org/"} +{"d:Title": "Pacific Sound Chorus", "d:Description": "150 talented women from all over the Puget Sound area who share the love of singing and who are always striving for musical excellence.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://www.pacificsound.org/"} +{"d:Title": "Prairie Winds Chorus", "d:Description": "Lubbock,\u00a0Texas chapter of Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://prairiewindschorus.tripod.com/"} +{"d:Title": "Profile Chorus", "d:Description": "A 30 member group from the southern New Hampshire area. Based in Bedford.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://www.profilechorus.org/"} +{"d:Title": "Palo Duro Metro Chorus", "d:Description": "Sings in Amarillo and the Texas Panhandle.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://www.harmonize.com/PaloDuroMetro/"} +{"d:Title": "Presque Isle Sweet Adelines", "d:Description": "Erie Pennsylvania Chapter.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://www.angelfire.com/pa/pislesweetadelines/"} +{"d:Title": "Pride of Baltimore", "d:Description": "Sweet Adelines chapter from Baltimore,\u00a0Maryland.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/P", "url": "http://www.prideofbaltimorechorus.com/"} +{"d:Title": "Rich-Tones", "d:Description": "Three-time World Champions of Sweet Adelines International. The chorus won the title in 1992, 1996 and 1999.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.richtones.org/"} +{"d:Title": "Ramapo Valley Spotlight Chorus", "d:Description": "Three time International Champion chorus from the New York City area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.singers.com/barbershop/ramapochorus.html"} +{"d:Title": "Riverport Chorus", "d:Description": "A women's group which sings four-part a capella harmony, barbershop style.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.riverportchorus.org/"} +{"d:Title": "Royal River Chorus", "d:Description": "Based in Yarmouth,\u00a0Maine with members from five counties in southern and mid-coast Maine.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.blazenetme.net/~michauds/"} +{"d:Title": "River Bend Chorus", "d:Description": "Sweet Adelines chorus from South Bend,\u00a0Indiana.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.riverbendchorus.org/"} +{"d:Title": "Rhythm of the Rockies Chorus", "d:Description": "An eclectic a cappella chorus of women who sing 4 part harmony in the pursuit of musical excellence through education and performance", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.rhythmoftherockies.org/"} +{"d:Title": "River Valley Chorus", "d:Description": "Sweet Adelines chorus from Scotia New York.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.rivervalleychorus.saregion16.com/"} +{"d:Title": "RiverSong Chorus", "d:Description": "Barbershop chorus for women, based in St. Joseph, Missouri.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.riversongchorus.com/"} +{"d:Title": "Rochester Chorus", "d:Description": "Sweet Adelines chorus based in Rochester New York.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.saregion16.com/rochesterchorus/"} +{"d:Title": "R\u00f6nninge Show Chorus", "d:Description": "The largest chorus in the Nordic Light Region (Sweden, Norway, Finland and Denmark) of Sweet Adelines. [Swedish/English]", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.ronningeshow.com/"} +{"d:Title": "River Blenders Chorus", "d:Description": "Chesterfield,\u00a0Missouri chapter of Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.riverblenders.org/"} +{"d:Title": "River City Chorus", "d:Description": "A ladies barbershop group from Exeter, Devon, UK who perform across Devon and southern Wales and England.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/R", "url": "http://www.rivercitychorus.co.uk/"} +{"d:Title": "San Diego Sweet Adelines", "d:Description": "2002 International Champions who established the Marvin Yerkey Memorial Scholarship for music majors at San Diego State University.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.sdchorus.org/"} +{"d:Title": "Scottsdale", "d:Description": "2006 International Champion chorus of Sweet Adelines", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.scottsdalechorus.org/"} +{"d:Title": "Song of Atlanta", "d:Description": "Comprised of over 140 talented women from all walks of life who come together each week to share their love for singing and barbershop harmony with one another.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.songofatlanta.com/"} +{"d:Title": "Scioto Valley Chorus", "d:Description": "Sweet Adelines chorus from Columbus,\u00a0Ohio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.harmonize.com/svc/"} +{"d:Title": "Sounds of Pittsburgh Chorus", "d:Description": "Sweet Adelines sing and perform in barbershop harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.soundsofpgh.org/"} +{"d:Title": "Skyline Chorus", "d:Description": "Sweet Adelines chapter from Denver,\u00a0Colorado.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://members.tripod.com/~SkylineChorus/"} +{"d:Title": "St. Louis Harmony Chorus", "d:Description": "Founded in Kirkwood, Missouri in 1961 with the name Kirkwood Chorus. Name changed in 1986.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.stlharmony.org/"} +{"d:Title": "Silver Lining", "d:Description": "A Ladies Barbershop Chorus based in Southern Coventry, West Midlands, UK.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.silver-lining.org.uk/"} +{"d:Title": "Spirit of the Lakes Chorus", "d:Description": "Sweet Adelines chapter formerly known as Chain O'Lakes Chorus. Meets Tuesdays at 7:00 PM in Lake Geneva Wisconsin.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.spiritofthelakeschorus.org/"} +{"d:Title": "Surrey Harmony", "d:Description": "A UK based chorus singing four part harmony in a barbershop style. Affiliated to Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.surreyharmony.com/"} +{"d:Title": "South Florida Jubilee Chorus", "d:Description": "A Fort Lauderdale area chorus, chartered with Sweet Adelines International in January 1997.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.southfloridajubilee.com/"} +{"d:Title": "Sounds of the Seacoast", "d:Description": "Harmony Inc. chorus from Portsmouth,\u00a0New Hampshire.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.soundsoftheseacoast.org/"} +{"d:Title": "Sparkling City Chorus", "d:Description": "A Sweet Adelines, International chorus from Corpus Christi Texas. One of two sites on this group.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://sweetadelines.net/"} +{"d:Title": "Sound Celebration - SAI", "d:Description": "A chapter of Sweet Adelines International from Springfield, Illinois that was formed in 1953.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.soundcelebrationchorus.org/"} +{"d:Title": "Sound Celebration - HI", "d:Description": "Harmony Inc. Chorus from Plymouth, WI that was formed in 1967", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.sound-celebration.com/"} +{"d:Title": "St. Lawrence Chorus", "d:Description": "Canton,\u00a0New York Chapter of Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.saregion16.com/stlawrencechorus/"} +{"d:Title": "The Seneca Soundwaves", "d:Description": "Background information, picture gallery and contacts for a Sweet Adelines chorus based in the Finger Lakes region of New York.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.senecasoundwaves.com/"} +{"d:Title": "Southern Accord Chorus", "d:Description": "A barbershop chorus for women based in Lethbridge, Alberta.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.southernaccord.org/"} +{"d:Title": "Southern Accent Show Chorus", "d:Description": "Sweet Adelines Chorus based in Monroe, Louisiana. Includes membership details, schedules and photos.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/S", "url": "http://www.southernaccent.org/"} +{"d:Title": "Toast of Tampa", "d:Description": "The 1994 International Chorus Champtions, these 120 women have performed with Skitch Henderson and the Florida Pops, as well as for conventions, corporate functions and many other special events.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/T", "url": "http://www.toastoftampa.org/"} +{"d:Title": "TuneTown Show Chorus", "d:Description": "Sings from pop to gospel, Broadway show tunes to country, traditional barbershop songs to swing and everything in between. Aims to be a musical asset to Nashville, to provide entertainment and to teach the art of barbershop singing.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/T", "url": "http://www.tunetownshowchorus.org/"} +{"d:Title": "Treblemakers", "d:Description": "A women's barbershop chorus from the South Bay area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/T", "url": "http://www.palosverdes.com/treblemakers/"} +{"d:Title": "The Tucson Horizon Chorus", "d:Description": "Women's barbershop chorus entertaining throughout Tucson and Southern Arizona. A wide variety of music for any occasion. Sing-a-Grams and holiday music are a speciality.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/T", "url": "http://www.angelfire.com/az/thc/"} +{"d:Title": "Texas Harmony Chorus", "d:Description": "Sweet Adelines chorus based in Irving Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/T", "url": "http://www.texasharmony.org/"} +{"d:Title": "Top of the Rock Chorus", "d:Description": "A barbershop chorus based in Little Rock, Arkansas affiliated with Sweet Adelines International.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/T", "url": "http://topoftherock.tripod.com/"} +{"d:Title": "Texas Tempo", "d:Description": "A Sweet Adeline's group out of Fort Worth, Texas made up of about 20 women who love to sing.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/T", "url": "http://webspace.webring.com/people/bu/um_2257/"} +{"d:Title": "Valley Forge Chorus", "d:Description": "1983 Sweet Adelines International champion chorus from Valley Forge, Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/V", "url": "http://www.valleyforgechorus.com/"} +{"d:Title": "Verdugo Hills Showtime Chorus", "d:Description": "A Southern California women's barbershop chorus.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/V", "url": "http://www.verdugoshowtimechorus.org/"} +{"d:Title": "Velvet Hills", "d:Description": "From Colorado Springs,\u00a0Colorado they are a chorus of dynamic women singing 4-part barbershop harmony, dedicated to enriching the lives of its members and the community through education, performance and musical excellence.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/V", "url": "http://www.velvethills.org/"} +{"d:Title": "Vienna-Falls Chorus", "d:Description": "Sweet Adelines chorus from Fairfax,\u00a0Virginia who recently had an octet sing at the White House.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/V", "url": "http://www.viennafalls.org/"} +{"d:Title": "Westcoast Harmony Chorus", "d:Description": "The 7 time Gold Medal Western Canadian Champions. If you love singing and are looking for an outlet for your vocal skills look no further.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/W", "url": "http://www.westcoastsings.com/"} +{"d:Title": "White Rossettes", "d:Description": "Ladies barbershop chorus based in Leeds, England. 2000 LABBS gold medalists and Sainsburys Single Voice choir of the year. Site about the chorus, how to join them, book them, buy CDs and general news.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/W", "url": "http://www.whiterosettes.org.uk/"} +{"d:Title": "Women of Note", "d:Description": "Palm Beach Florida barbershop chorus that performs a mix of old standards, country, fifties, gospel, patriotic and contemporary selections.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/W", "url": "http://www.womenofnote.com/"} +{"d:Title": "Whangarei Harmony Chorus", "d:Description": "A group of New Zealand women who love to sing barbershop or a cappella music.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/W", "url": "http://whangareiharmony.org.nz/"} +{"d:Title": "Wollongong Harmony Chorus", "d:Description": "Women's Barbershop Chorus is based in Wollongong, Australia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/W", "url": "http://www.wollongongharmony.org.au/"} +{"d:Title": "Sweet Georgia Sound", "d:Description": "Sweet Adelines chorus from Warner Robins, Georgia, formerly known as Wings of Harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/W", "url": "http://www.sweetgeorgiasound.org/"} +{"d:Title": "Westshore Chorus of Sweet Adelines", "d:Description": "Sweet Adelines chorus from Grand Haven Michigan.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Choruses/W", "url": "https://www.facebook.com/pages/Westshore-Chorus-of-Sweet-Adelines/157611577758327"} +{"d:Title": "Soundisfaction", "d:Description": "Quartet from Helsingborg, Sweden that sings and performs, in English and Swedish, everything from pop to old Swedish classics.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://www.angelfire.com/amiga/charlotte/soundisfaction.html"} +{"d:Title": "Zing!", "d:Description": "A women's barbershop quartet, registered with Harmony, Inc. from Framingham,\u00a0Massachusetts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://users.rcn.com/bbober/zing.html"} +{"d:Title": "4N1", "d:Description": "A Georgia Sweet Adeline quartet with a varied repertoire of Americana music, including swing ballads, uptunes, gospel, patriotic and jazz.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://ivyingram.tripod.com/4n1"} +{"d:Title": "After Hours Quartet", "d:Description": "Women's a cappella quartet from Poughkeepsie, NY. Members of Sweet Adelines International, Greater New York Region 15. Includes photos, upcoming performances, repertoire, audio and video clips.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://www.afterhoursquartet.com/"} +{"d:Title": "Change of Heart", "d:Description": "The 1999 Harmony Queens of Harmony, Inc from Indianapolis,\u00a0Indiana who also compete in Sweet Adelines.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://www.changeofheartquartet.org/"} +{"d:Title": "Fusion", "d:Description": "A women's a cappella quartet in the greater Rochester, New York area. Also offers singing Valentines.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://www.fusionqt.com/"} +{"d:Title": "Razzcals", "d:Description": "A high-energy contemporary quartet that sings a wide variety of music from Melancholy Baby to the Beach Boys and everything in between.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://www.singers.com/barbershop/razzcals.html"} +{"d:Title": "Showcase", "d:Description": "A female a cappella quartet in the Nashua, New Hampshire area. Provides member profiles, photos, and contact details.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Female/Quartets", "url": "http://www.showcasequartet.com/"} +{"d:Title": "Arlington Goodtimes Chorus", "d:Description": "Men's barbershop chorus from Arlington, Texas serving the central DFW Metroplex including Irving, Grand Prairie, HEB.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.harmonize.com/goodtimes/"} +{"d:Title": "Ambassadors of Harmony", "d:Description": "2004 International Champion Chorus from St. Charles, Missouri.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.aoh.org/"} +{"d:Title": "Algona Cornbelt Chorus", "d:Description": "Meeting details, members schedule, officers of the Algona, Iowa Chapter, SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://members.tripod.com/~tbuhr/acc1.html"} +{"d:Title": "Akron Derbytown Chorus", "d:Description": "An all male Barbershop style chorus located in Akron, Ohio. The chapter is a member of SPEBSQSA, the international organization of barbershop singers.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://akronderbytownchorus.tripod.com/"} +{"d:Title": "Alexandria Harmonizers", "d:Description": "1986, '89. '95, and '98 International Champions. Information on the Alexandria, Virginia chapter of SPEBSQSA, shows, schedule, quartets, recordings, plus audio clips and even a cookbook.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.harmonizers.org/"} +{"d:Title": "Air Capitol Chorus", "d:Description": "Barbershop harmony society chapter from Wichita, Kansas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.aircapitalchorus.org/"} +{"d:Title": "Aurora Lamplighters", "d:Description": "SPEBSQSA chapter from Aurora,\u00a0Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.harmonize.ws/lamplighters/"} +{"d:Title": "The Alliance of Greater Central Ohio", "d:Description": "An A-level championship men's a cappella four part harmony chorus in the Johnny Appleseed district of the SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.alliancechorus.org/"} +{"d:Title": "Arlingtones", "d:Description": "Barbershop chorus from Arlington Heights,\u00a0Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.arlingtones.net/"} +{"d:Title": "An-O-Chords", "d:Description": "A non-profit organization chartered in 1955 providing Barbershop Harmony and community service to the Skagit Valley in Washington state.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.anochords.org/"} +{"d:Title": "Anchormen Barbershop Chorus", "d:Description": "A group of more than 30 men in Newfoundland, Canada from the St. John's, Mount Pearl and surrounding areas who get together to sing Barbershop Harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.anchormen.harmonize.com/"} +{"d:Title": "A Cappella Texas", "d:Description": "Austin Texas affiliate chapter of SPEBSQSA. Includes news, photographs, history, and local contacts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/A", "url": "http://www.acappellatexas.org/"} +{"d:Title": "Big Chicken Chorus", "d:Description": "The Marietta, GA, chapter of SPEBSQSA known for their cutting edge parodies and humorous presentation.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.bigchickenchorus.org/"} +{"d:Title": "Big Apple Chorus", "d:Description": "SPEBSQSA chapter in New York city.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.bigapplechorus.org/"} +{"d:Title": "Boulder Timberliners", "d:Description": "The Boulder, Colorado chapter of the international barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.harmonize.com/timberliners/"} +{"d:Title": "Boonslick Chordbusters", "d:Description": "Unofficial site for this barbershop chorus from Columbia Missouri.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.chordbusters.com/"} +{"d:Title": "Bull Run Troubadors", "d:Description": "Prince William County SPEBSQSA chapter from Manassas, Virginia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.brtva.org/"} +{"d:Title": "Bay Tones", "d:Description": "The Barbershop Society chorus for Virginia's Northern Neck, Kilmarnock chapter.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://baytones.org/"} +{"d:Title": "The Blenders", "d:Description": "A barbershop chorus from the Gold Coast, Australia, who enjoy singing a large repertoire of A Cappella music. Information on Gig dates, membership, CD samples and a members only section", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.theblenders.com.au/"} +{"d:Title": "Beaufort Harbormasters", "d:Description": "Members of the International Barbershop Harmony Society, based in South Carolina. The chorus and its quartets are available to entertain at charitable and civic functions.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.beaufortharbormasters.org/"} +{"d:Title": "Blue Chip Chorus of Bergen County", "d:Description": "Background information on the Teaneck Chapter of SPEBSQSA, upcoming performances and events, singing valentines and directions to their rehearsal site. Located in New Jersey.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.bluechipchorus.com/"} +{"d:Title": "Singing Buckeyes Barbershop Chorus", "d:Description": "A competition chorus based in Upper Arlington, Ohio, near Columbus. Includes membership requirements, upcoming performances and related programs.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.harmonycamp.org/buckeyes/www/"} +{"d:Title": "The Bryn Mawr Mainliners", "d:Description": "Award-winning Philadelphia-based men's chorus. Upcoming performances, purchasing CDs, and hiring the chorus or quartets.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.mainliners.info/"} +{"d:Title": "The Brothers In Harmony", "d:Description": "Awards, performance schedules, ticket ordering, member emails, membership requirements. Hamilton Square, New Jersey.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/B", "url": "http://www.brothersinharmony.org/"} +{"d:Title": "Chorus of the Chesapeake", "d:Description": "1961 and '71 International Champions from Dundalk, Maryland. Member listing, calendar of events, show announcements and newsletter.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.dundalk.org/"} +{"d:Title": "The Coastal Chordsmen", "d:Description": "SPEBSQSA chapter in Bridgeport, CT --Fairfield County and thereabouts. Site has calendar of events, chorus information, directions to meetings, and pictures of guys having fun harmonizing the old-fashioned way.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.coastalchordsmen.org/"} +{"d:Title": "Capital City Chorus", "d:Description": "A Barbershop Chorus has been bringing four part harmony to the city of Topeka Kansas and surrounding area since its founding in 1946.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.harmonize.ws/capitalcitychorus/"} +{"d:Title": "Cottontown Chorus", "d:Description": "BABS chapter from Bolton, England.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.cottontownchorus.co.uk/"} +{"d:Title": "Concord Coachmen", "d:Description": "Barbershop chorus from Concord,\u00a0New Hampshire who sponsor a High School Barbershop Festival.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.harmonize.com/coachmen/index.html"} +{"d:Title": "Cambridge Chord Company", "d:Description": "A close harmony male a cappella chorus singing mainly in the barbershop style: Group and contact information, calendar, CD sales.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.cambridgechordco.org.uk/"} +{"d:Title": "Chorus of the Genesee", "d:Description": "Learn about the Rochester, New York chapter of SPEBSQSA. Find out about the chapter's quartets, upcoming events, and historic building (available for rent).", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.chorusofthegenesee.org/"} +{"d:Title": "Commodore Chorus", "d:Description": "Background on the Director, rehearsal information, scheduled performances, Quartets, chapter officers and general about the Norfolk Virginia chapter of SPEBSQSA, Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://commodorechorus.org/"} +{"d:Title": "The Chordlighter Chorus", "d:Description": "Columbus - Greenwood chapter of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America (and the world).", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.chordlighters.org/"} +{"d:Title": "Carbondale Illinois Barbershop Chorus", "d:Description": "General information about the Carbondale Illinois Little Egypt Barbershop Chorus", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.harmonize.com/LittleEgyptChorus/"} +{"d:Title": "Chelmsford Star Harmony", "d:Description": "BABS chorus from Chelmsford,\u00a0Essex,\u00a0UK formed in 1986, as an amalgamation of the Colchester and Harlow Clubs with members from the Chelmsford area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.chelmsfordstarharmony.co.uk/"} +{"d:Title": "Cascade Chorus", "d:Description": "Eugene,\u00a0Oregon chapter of SPEBSQSA. Rehearsal times, upcoming shows and their history.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.cascadechorus.org/"} +{"d:Title": "The Canberra Chordsmen", "d:Description": "Barbershop Chorus in Canberra, the capital of Australia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.canberrachordsmen.org.au/"} +{"d:Title": "Clwyd Clippers", "d:Description": "BABS chorus from Mold in north Wales.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.clwydclippers.com/"} +{"d:Title": "Croix Chordsmen Chorus", "d:Description": "Upcoming events, membership information, chapter quartets and background information on the St. Croix Valley Chapter of the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.stcroixvalleychapter.com/"} +{"d:Title": "Columbia Basin Basinaires", "d:Description": "Barbershop chorus that serves the Columbia River basin. Situated in Ephrata,\u00a0Washington.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://basinaires.org/"} +{"d:Title": "Capital City Chorus", "d:Description": "Ottawa, Ontario men's Barbershop chorus, with more than 75 active members.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.capitalcitychorus.org/"} +{"d:Title": "Cambridge Chord Company", "d:Description": "A close harmony men's chorus singing a capella and mainly in the barbershop style, based in Cambridge, England. Shows, club and contact information, CD sales.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.cambridgechordcompany.co.uk/"} +{"d:Title": "Ches-mont Jubiliares", "d:Description": "SPEBSQSA chapter from Pottstown,\u00a0Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://www.jubilaires.com/"} +{"d:Title": "Chorus of the Atlantic", "d:Description": "Main chorus of the Red Bank,\u00a0New Jersey chapter of SPEBSQSA. Also provides details on Matinee Idles the chapter's other chorus and on chapter quartets.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/C", "url": "http://redbankchorus.org/"} +{"d:Title": "Denver Tech", "d:Description": "Sound of the Rockies chorus from Denver Colorado.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://www.soundoftherockies.com/"} +{"d:Title": "Delta Kings Chorus", "d:Description": "The main performing unit of the Cincinnati chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://www.deltakings.org/"} +{"d:Title": "Diplomats of Harmony", "d:Description": "Eau Claire, Wisconsin chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://www.arneberg.com/bbshop/eauclaire/"} +{"d:Title": "Dunn County Chapter", "d:Description": "Singers from around the Chippewa Valley -- Dunn, Chippewa, and Eau Claire Counties.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://arneberg.com/bbshop/dunnco/"} +{"d:Title": "Downeasters", "d:Description": "The Portland, Maine chapter of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://www.downeasters.org/"} +{"d:Title": "Dubuque Music Men", "d:Description": "Barbershop chorus that provides a cappella entertainment all over the Dubuque area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://www.musicmen.org/"} +{"d:Title": "Duke City Sound", "d:Description": "The Bernalillo County chapter of SPEBSQSA based in Albuquerque, New Mexico.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://www.dukecitysound.org/"} +{"d:Title": "Detroit-Oakland Chapter - BHS", "d:Description": "Merger of the Detroit #1 chapter and the Oakland County chapter that formed the Gentlemen Songsters Chorus.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://detroitoakland.org/"} +{"d:Title": "Diamond State Chorus", "d:Description": "Barbershop Harmony Society chapter from Little Rock,\u00a0Arkansas", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/D", "url": "http://diamondstatechorus.com/"} +{"d:Title": "East Valley Lamplighters Barbershop Chorus", "d:Description": "Male chorus from the East Valley cities of the Phoenix metropolitan area dedicated to involvement in their communities.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/E", "url": "http://www.evbarbershop.com/"} +{"d:Title": "Emerald Coast", "d:Description": "Through their chorus and quartets they promote that unique barbershop harmony style in the Fort Walton Beach, Florida area at fairs, chapter shows and other singouts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/E", "url": "http://emeraldcoastchorus.com/"} +{"d:Title": "Elgin Minutemen of Harmony", "d:Description": "Elgin, Illinois chapter of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/E", "url": "http://www.foxvalleymenofharmony.com/"} +{"d:Title": "Fine City Chorus", "d:Description": "A men's barbershop chorus based in Norwich England. They are members of the British Association of Barbershop Singers.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/F", "url": "http://www.finecitychorus.org.uk/"} +{"d:Title": "The Fox Valleyaires", "d:Description": "Events, meeting times, photographs, sound clips, and general information on the Appleton Wisconsin Chapter, SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/F", "url": "http://www.foxvalleyaires.com/"} +{"d:Title": "Fort Sumter Harmonizers", "d:Description": "Charleston,South Carolina chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/F", "url": "http://chasbarbershop.tripod.com/"} +{"d:Title": "The Fun Center Chordsmen", "d:Description": "Barbershop chorus with members from Lexington, Mansfield, Shelby, Bellville, Marion, Galion, Bucyrus, Fredericktown and Ashland, Ohio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/F", "url": "http://www.funcenterchordsmen.com/"} +{"d:Title": "Golden Valley Chorus", "d:Description": "Central California Chapter of SPEBSQSA, Bringing Men Together in Harmony. Weekly meetings are Tuesdays in Turlock, CA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.gvc.org/"} +{"d:Title": "The Golden Chordsmen", "d:Description": "A group of men of the San Francisco bay area who enjoy singing acapella music in the Barbershop style.They are the chorus of the San Mateo County, California Chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.goldenchordsmen.org/"} +{"d:Title": "Goodtimes Chorus", "d:Description": "Men's barbershop chorus from Arlington,\u00a0Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.harmonize.com/goodtimes/"} +{"d:Title": "Gulf Coasters", "d:Description": "Lake Jackson,\u00a0Texas chapter of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.harmonize.com/gulfcoasters/"} +{"d:Title": "Granite Statesmen", "d:Description": "Chapter members travel to Nashua each week from 35 different cities and towns, 17 in New Hampshire and 18 in Massachusetts. Performing members travel from as far away as the Lakes Region of New Hampshire, the New Hampshire Seacoast, the Boston Metro area, the 495 Beltway and the North Shore of Boston to enjoy four part harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.granitestatesmen.org/"} +{"d:Title": "The Greensboro Tarheel Chorus", "d:Description": "An all male acapella chorus that promotes Barbershop singing, members of the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://s515.com/spebs.html"} +{"d:Title": "Great Land Sounds", "d:Description": "The chorus includes men of all ages and from all walks of life, who all have one thing in common... a love of four-part harmony in the barbershop style. From Fairbanks Alaska.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.mosquitonet.com/~flavell/"} +{"d:Title": "The Gold Standard Chorus", "d:Description": "Santa Cruz, CA chapter of the Barbershop Harmony Society (SPEBSQSA).", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.scbarbershop.org/"} +{"d:Title": "Golden Harvest Chorus", "d:Description": "Regina,\u00a0Saskatchewan chapter of the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.harmonize.com/regina"} +{"d:Title": "The Gold Coast Chorus", "d:Description": "San Luis Obispo, CA chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.slogold.org/"} +{"d:Title": "Great Plains Harmony", "d:Description": "A male a cappella chorus located in Fargo North Dakota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.gpharmony.org/"} +{"d:Title": "Garden City Chorus", "d:Description": "The Augusta, Georgia chapter of the Society for the Preservation and Encouragement of BarberShop Quartet Singing in America, Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.gardencitychorus.org/"} +{"d:Title": "Gentlemen Songsters", "d:Description": "Lowell,\u00a0Massachusetts SPEBSQSA chapter", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/G", "url": "http://www.harmonize.com/gentlemensongsters/"} +{"d:Title": "Heart of Texas", "d:Description": "An all male a cappella singing group from the central Texas area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.hotchorus.org/"} +{"d:Title": "Heart of Maryland", "d:Description": "The Patapsco Valley Chapter, SPEBSQSA, Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.heartofmaryland.org/"} +{"d:Title": "Harbour Capital Chorus", "d:Description": "Wellington's premier men's Barbershop Chorus (New Zealand). They enjoy singing in that special form of a-cappella music called \"Barbershop\".", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.hcc.org.nz/"} +{"d:Title": "The Hunterdon Harmonizers", "d:Description": "A male a capella chorus singing barbershop harmony in central New Jersey. Member of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.njharmonizers.org/"} +{"d:Title": "Hometowne USA", "d:Description": "SPEBSQSA chorus located in Rockville in the heart of Montgomery County,Maryland.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.hometowne.org/"} +{"d:Title": "Hi-Country Harmonaires", "d:Description": "A men's barbershop chorus and chapter of SPEBSQSA located in Victorville, CA, and serving the Victor Valley, Wrightwood and Barstow areas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.angelfire.com/ca/harmonaires/"} +{"d:Title": "The Hill Country Chorus", "d:Description": "The men's barbershop chorus of the New Braunfels, Texas chapter of the Barbershop Harmony Society. Scheduling, history, a roster, maps and links.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.hillcountrychorus.org/"} +{"d:Title": "Heartland Harmonizers", "d:Description": "Barbershop Harmony Chapter from Omaha Nebraska formed in the late 40's.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.omahabarbershop.com/"} +{"d:Title": "Harmony Kings", "d:Description": "A chapter of the Barbershop Harmony Society, rehearsing in Federal Way, WA and performing throughout the Sound Puget Sound area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://harmonykings.org/"} +{"d:Title": "Houston Tidelanders", "d:Description": "SPEBSQSA chapter located in Houston,\u00a0Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://houstontidelanders.org/"} +{"d:Title": "Hamilton Harbourtown Sound Chorus", "d:Description": "Hamilton Chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.harbourtownsound.ca/"} +{"d:Title": "Hobart Men\u2019s Barbershop Harmony Club", "d:Description": "They live and sing in Hobart, Tasmania the southernmost, island state of Australia. Established in 1994 they are members of AAMBS and affiliated with SPEBSQA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.hmbhc.intas.net/The_Club/Home.html"} +{"d:Title": "Harmony Exporters", "d:Description": "Men's barbershop chorus from Beaumont, Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.harmonyexporters.com/"} +{"d:Title": "Heart of Georgia", "d:Description": "Chapter was chartered in December of 1958. Throughout its history it has been composed of men from all over the middle Georgia area, with members living in Perry, Warner Robins, Byron, Fort Valley, Thomaston, Forsyth, Grey, and Milledgeville, as well as in Macon.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.hogchorus.org/"} +{"d:Title": "Holland Windmill Chorus", "d:Description": "A men's singing group based in Holland, Michigan.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/H", "url": "http://www.hollandwindmillchorus.org/"} +{"d:Title": "New Tradition Chorus", "d:Description": "2001 International Champions and 8-time international silver medalist chorus. Northbrook Illinois chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.newtradition.org/"} +{"d:Title": "Ambassadors of Harmony", "d:Description": "2004 International Champion Chorus from St. Charles, Missouri.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.aoh.org/"} +{"d:Title": "Alexandria Harmonizers", "d:Description": "1986, '89. '95, and '98 International Champions. Information on the Alexandria, Virginia chapter of SPEBSQSA, shows, schedule, quartets, recordings, plus audio clips and even a cookbook.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.harmonizers.org/"} +{"d:Title": "Masters of Harmony", "d:Description": "1990, '93, '96, '99 '02 and '05 International Champions from Santa Fe Springs,\u00a0California.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.mastersofharmony.org/"} +{"d:Title": "Chorus of the Chesapeake", "d:Description": "1961 and '71 International Champions from Dundalk, Maryland. Member listing, calendar of events, show announcements and newsletter.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.dundalk.org/"} +{"d:Title": "Southern Gateway Chorus", "d:Description": "1973 and 1992 International Champions from Cincinnati Ohio. Western Hills chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.southerngateway.org/"} +{"d:Title": "Vocal Majority", "d:Description": "1975, '79, '82, '85, '88, '91, '94, '97, '00, '03 and '06 International Champions from Dallas,\u00a0Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.vocalmajority.com/"} +{"d:Title": "The West Towns Chorus", "d:Description": "1987 International Champions from Lombard, Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.westtowns.org/"} +{"d:Title": "San Antonio Chordsmen", "d:Description": "1960 International Champions from San Antonio, Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.sachordsmen.org/"} +{"d:Title": "The Westminster Chorus", "d:Description": "2007 International Chorus Champions from Orange County California", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.westminsterchorus.org/"} +{"d:Title": "Spirit of Phoenix", "d:Description": "1972, '76 and '83 International Champions from Phoenix,\u00a0Arizona.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/International_Champions", "url": "http://www.sopchorus.org/"} +{"d:Title": "Jamestown Harmony Express", "d:Description": "Barbershop chorus located in Jamestown New York.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/J", "url": "http://www.harmonyexpress.com/"} +{"d:Title": "The Kings of Herts Chorus", "d:Description": "Harpenden Barbershop Harmony Club founded in 1984 in a pub in Harpenden in Hertfordshire, UK, by four guys who loved the sound of four part harmony created by the human voice. Today the club has around 50 members.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/K", "url": "http://www.kingsofherts.co.uk/"} +{"d:Title": "The Kingsmen", "d:Description": "The performance chorus which is part of the Kingsbridge Barbershop Harmony Club from Kingsbridge England.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/K", "url": "http://www.kingsmen.org.uk/"} +{"d:Title": "Keystone Capitol Chorus", "d:Description": "Harrisburg chapter of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/K", "url": "http://www.keystonecapitalchorus.org/"} +{"d:Title": "Knights of Harmony", "d:Description": "Chapter of barbershop harmony singers in Buckinghamshire, England.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/K", "url": "http://www.knightsofharmony.org.uk/"} +{"d:Title": "Kitsap Chordsmen", "d:Description": "Chapter of the Barbershop Harmony Society based in Kitsap County, Washington, USA. Includes schedule, group and director profile, multimedia, photo galleries and contacts.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/K", "url": "http://www.singkitsap.org/"} +{"d:Title": "Kanawha Kordsmen", "d:Description": "Performing unit of the Kanawha County chapter of SPEBSQSA from Charleston, West Virginia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/K", "url": "http://kordsmen.org/"} +{"d:Title": "Kokomo Men of Note", "d:Description": "Kokomo,\u00a0Indiana chapter of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/K", "url": "http://kokomomenofnote.org/"} +{"d:Title": "Little Egypt Barbershop Chorus", "d:Description": "Carbondale, Illinois Chapter of the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/L", "url": "http://www.harmonize.com/LittleEgyptChorus/"} +{"d:Title": "Land of the Sky Barbershop Chorus Web Site", "d:Description": "Asheville, North Carolina Chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/L", "url": "http://www.ashevillebarbershop.com/"} +{"d:Title": "London Men of Accord", "d:Description": "A Barbershop chorus from London,\u00a0Ontario,\u00a0Canada that is the oldest chapter in the Ontario District.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/L", "url": "http://www.menofaccord.com/"} +{"d:Title": "Lemon Bay Chord Company", "d:Description": "Englewood Florida's Chapter of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/L", "url": "http://www.lbcc-chord.org/"} +{"d:Title": "Lincoln Continentals Chorus", "d:Description": "An acappella men's chorus in Lincoln, Nebraska.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/L", "url": "http://www.lincolncontinentals.org/"} +{"d:Title": "Land of Lincoln", "d:Description": "Springfield, Illinois chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/L", "url": "http://www.landoflincolnchorus.org/"} +{"d:Title": "Masters of Harmony", "d:Description": "1990, '93, '96, '99 '02 and '05 International Champions from Santa Fe Springs,\u00a0California.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://www.mastersofharmony.org/"} +{"d:Title": "Morris Music Men", "d:Description": "Morris County New Jersey chapter of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://www.morrismusicmen.org/"} +{"d:Title": "Mount Baker Toppers", "d:Description": "Barbershop harmony society chapter in Bellingham,\u00a0Washington.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://topperschorus.org/"} +{"d:Title": "Mall City Chorus", "d:Description": "SPEBSQSA chapter from Kalamazoo,\u00a0Michigan.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://barbershopharmony.org/"} +{"d:Title": "Midnight Sons Chorus", "d:Description": "Anchorage, Alaska chapter of the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://www.midnightsons.com/"} +{"d:Title": "Melody Men Chorus", "d:Description": "Miami-Shelby Chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://www.melodymenchorus.org/"} +{"d:Title": "The Mardi Gras Chorus", "d:Description": "The Greater New Orleans Chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://mardigraschorus.org/"} +{"d:Title": "Matinee Idles", "d:Description": "The smaller performing chorus of the Red Bank,\u00a0New Jersey chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/M", "url": "http://www.harmonize.com/redbank/idlesn.htm"} +{"d:Title": "The Northern Stars Chorus", "d:Description": "SPEBSQSA chapter in Nepean, Ontario.They have members from Ottawa, Nepean, Kanata, Stittsville, and the surrounding areas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://www.harmonize.com/northernstars/"} +{"d:Title": "Northern Lights", "d:Description": "1998 Ontario District chorus champions and the fifth place medallists in Kansas City, Missouri at the 2000 International Convention.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://www.northernlightschorus.com/"} +{"d:Title": "New Tradition Chorus", "d:Description": "2001 International Champions and 8-time international silver medalist chorus. Northbrook Illinois chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://www.newtradition.org/"} +{"d:Title": "North Star Chorus", "d:Description": "The Greater St. Paul Area Chapter SPEBSQSA, Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://northstarchorus.org/"} +{"d:Title": "North Pennsmen", "d:Description": "Lansdale, Pennsylvania, chapter of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://www.northpennsmen.org/"} +{"d:Title": "New Mexichords", "d:Description": "The Albuquerque chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://www.newmexichords.com/"} +{"d:Title": "Nor'Easters Barbershop Chorus", "d:Description": "Members of the Brunswick (Maine) Chapter of the Barbershop Harmony Society. Provides photos and recent performances.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://www.noreasterschorus.org/"} +{"d:Title": "North Country Chordsmen", "d:Description": "The Hanover New Hampshire chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/N", "url": "http://www.ncchordsmen.harmonize.com/"} +{"d:Title": "The Oklahoma OK Chorale", "d:Description": "A men's barbershop chorus in Oklahoma City, Oklahoma.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/O", "url": "http://okchorale.org/"} +{"d:Title": "Overland Stage Chorus", "d:Description": "Male barbershop chorus from Overland Park,\u00a0Kansas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/O", "url": "http://www.harmonize.com/overlandstage/"} +{"d:Title": "Old Capitol Chorus", "d:Description": "Composed of male voices of all ages and interests who sing the a cappella style barbershop. From Iowa City, Iowa.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/O", "url": "http://www.oldcapitolchorus.com/"} +{"d:Title": "Poughkeepsie Newyorkers", "d:Description": "Information on the Poughkeepsie,\u00a0New York chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.angelfire.com/fl/gbnagy/"} +{"d:Title": "Pathfinder Chorus", "d:Description": "Fremont Nebraska chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.pathfinderchorus.org/"} +{"d:Title": "Pine Barons Chorus", "d:Description": "A cappella singing group from Cherry Hill,\u00a0New Jersey.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.harmonize.com/PineBarons/"} +{"d:Title": "The Pride of Indy Chorus", "d:Description": "An award-winning chorus from Indianapolis, and host to the 2006 SPEBSQSA International Convention.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.circlecitysound.org/"} +{"d:Title": "Peninsulaires", "d:Description": "Barbershop harmony in Palo Alto,\u00a0California since 1948.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.barbershop-harmony.org/index.php"} +{"d:Title": "Pride of Mobile", "d:Description": "Mobile,\u00a0Alabama chapter of SPEBSQSA founded in 1952.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.prideofmobile.com/"} +{"d:Title": "Poughkeepsie Newyorkers", "d:Description": "Poughkeepsie, NY, chapter of the Barbershop Harmony Society. Contains history, membership information, upcoming performances, practice schedule and location.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.newyorkerschorus.org/"} +{"d:Title": "Pride of Iowa", "d:Description": "The performing chorus of the Des Moines, Iowa Chapter of the SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.prideofiowa.com/"} +{"d:Title": "Princeton Garden Statesmen Barbershop Chorus", "d:Description": "Choir also providing quartets and singing valentines. Includes profile, events calendar, joining information, merchandise, and photograph gallery. Central New Jersey, USA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.menwhosing.org/"} +{"d:Title": "Pacific Sound", "d:Description": "Chorus in Ventura, California. Provides upcoming event dates, rehearsal details, and CD information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.rinconbeach.org/"} +{"d:Title": "Pittsburgh North Hills Harmony Line Chorus", "d:Description": "A men's barbershop chorus based in north Pittsburgh, PA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/P", "url": "http://www.harmonize.com/harmonyline/"} +{"d:Title": "Red Rose Chorus", "d:Description": "Lancaster,\u00a0Pennsylvania chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://www.redrosechorus.com/"} +{"d:Title": "The Royal Harmonics", "d:Description": "Windsor United Kingdom barbershop harmony club.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://www.windsoracappella.org.uk/"} +{"d:Title": "Racing City Chorus", "d:Description": "SPEBSQSA chapter in Saratoga Springs,\u00a0New York", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://www.racingcitychorus.org/"} +{"d:Title": "Riverblenders Chorus of Mankato, MN", "d:Description": "Barbershop chorus located in Mankato, MN.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://www.singmankato.com/"} +{"d:Title": "River City Chorus", "d:Description": "Mason City, Iowa chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://www.rivercitychorus.org/"} +{"d:Title": "River City Clippers", "d:Description": "A men's a capella chorus from Brisbane, Australia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://www.rivercityclippers.org.au/"} +{"d:Title": "Rahway Valley Jerseyaires", "d:Description": "Rahway Valley, New Jersey, chapter of SPEBSQSA. Learn about their upcoming shows, singing valentines or how to join them.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://www.jerseyaires.org/"} +{"d:Title": "Redwood Chordsmen", "d:Description": "Rehearsal times and location, upcoming events, youth outreach and general information on the Santa Rosa, California chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://chordsmen.groupanizer.com/"} +{"d:Title": "Rock River Valley Barbershop Chorus", "d:Description": "SPEBSQSA chapter from Sterling/Rock Falls,\u00a0Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/R", "url": "http://rrvbc.blogspot.com/"} +{"d:Title": "Southern Gateway Chorus", "d:Description": "1973 and 1992 International Champions from Cincinnati Ohio. Western Hills chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.southerngateway.org/"} +{"d:Title": "San Antonio Chordsmen", "d:Description": "1960 International Champions from San Antonio, Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.sachordsmen.org/"} +{"d:Title": "Stone Mountain Chorus", "d:Description": "The singing unit of the Stone Mountain, Georgia chapter of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America (SPEBSQSA), Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.stonemountainchorus.org/"} +{"d:Title": "Surfside Chorus", "d:Description": "Daytona Beach Chapter SPEBSQSA. Plateau AA Champions of Sunshine District for 2000.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.surfsidechorus.org/"} +{"d:Title": "Seattle Seachordsmen", "d:Description": "SPEBSQSA chapter in Seattle,\u00a0Washington.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://seachordsmen.org/"} +{"d:Title": "Singsation", "d:Description": "A male Barbershop chorus in Bremen, Germany.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.singsation.de/"} +{"d:Title": "SomerTones", "d:Description": "Barbershop Chorus based in Somerville, New Jersey", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://somertones.tripod.com/"} +{"d:Title": "South Bay Coastliners", "d:Description": "Barbershop chorus in Redondo Beach, California.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.coastliners.org/"} +{"d:Title": "The Southern Union Chorus", "d:Description": "The Bournemouth and East Dorset Barbershop Harmony Club of the British Association of Barbershop Singers.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.southernunionchorus.co.uk/"} +{"d:Title": "Sons of the Severn", "d:Description": "SPEBSQSA chapter located in Anne Arundel Co. Maryland.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.harmonize.com/sots/"} +{"d:Title": "Sound of the Rockies", "d:Description": "A merger of the Denver Tech and Mile High chapters of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.soundoftherockies.com/"} +{"d:Title": "Santa Monica Oceanaires", "d:Description": "SPEBSQSA chapter from Santa Monica, California. Information on membership, shows and events.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://oceanaires.org/"} +{"d:Title": "Shannon Express Chorus", "d:Description": "Barbershop Harmony Club from Potton that was formed in 1978", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://shannonexpress.org.uk/"} +{"d:Title": "Southern Comfort Barber Mates", "d:Description": "Male Barbershop chorus located in Eindhoven, Netherlands.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.scbm.nl/index.php?pi=1&lang=en"} +{"d:Title": "Shrine of Democracy Barbershop Chorus", "d:Description": "Rapid City, South Dakota chapter of the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://shrineofdemocracychorus.org/"} +{"d:Title": "Sounds of Aloha Chorus", "d:Description": "Barbershop Chorus located in Honolulu, Hawaii. They invite you to visit them if you are a Barbershopper on vacation to the islands.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://soundsofaloha.org/"} +{"d:Title": "Sho-Me Statesmen", "d:Description": "Springfield, Missouri chapter of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.shomestatesmen.org/"} +{"d:Title": "Sounds of Harmony", "d:Description": "Barbershop harmony singing troupe based in Belleville, Illinois who started out as a Moose glee club in 1946.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.soundsofharmony.org/"} +{"d:Title": "Spirit of St. Louis Chorus", "d:Description": "SPEBSQSA chapter from St. Louis,\u00a0Missouri.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.stl1.org/"} +{"d:Title": "Sydney Harmony", "d:Description": "A men's barbershop chorus from Sydney, Australia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.sydneyharmony.com.au/"} +{"d:Title": "Second City Chorus", "d:Description": "Rockford Illinois chapter of the barbershop harmony society. Includes background information, upcoming events and links.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://2ndcitychorus.com/"} +{"d:Title": "The Singing Plainsmen", "d:Description": "Lubbock, TX. SPEBSQSA member since 1945.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.harmonize.com/SingingPlainsmen/"} +{"d:Title": "The Seaway Commanders Chorus", "d:Description": "Maumee Valley chapter of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America, Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.harmonize.com/mvsc/"} +{"d:Title": "The Southerntiersmen Barbershop Chorus", "d:Description": "Binghamton, New York Chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://southerntiersmen.org/"} +{"d:Title": "Spirit of Phoenix", "d:Description": "1972, '76 and '83 International Champions from Phoenix,\u00a0Arizona.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://www.sopchorus.org/"} +{"d:Title": "The Sound of Illinois Barbershop Chorus", "d:Description": "Meeting Tuesdays in the Bloomington Consistory, they are one of Illinois' oldest chapters of SPEBSQSA having entertained Central Illinois Barbershop music lovers since 1942.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/S", "url": "http://soundofillinois.org/"} +{"d:Title": "13th Colony Sound", "d:Description": "SPEBSQSA Chorus from Savannah,\u00a0Georgia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "http://www.savannahbarbershoppers.org/"} +{"d:Title": "Thunderbirds Chorus", "d:Description": "A cappella men's chorus from Vancouver British Columbia. That sings a varied repertoire ranging from doo wop to Barbershop.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "http://www.thunderbirdchorus.ca/"} +{"d:Title": "Town North Men of Note", "d:Description": "Pictures, upcoming events, chapter roster and quartet information for this chorus from Plano Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "http://www.menofnote.org/"} +{"d:Title": "Thames Valley Chorus", "d:Description": "History, News pictures and sound clips of the Reading Barbershop Harmony Club.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "http://tvchorus.co.uk/"} +{"d:Title": "Three Spires Harmony", "d:Description": "A male singing club based in Coventry, England, involved in barbershop harmony singing.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "http://www.threespiresharmony.co.uk/"} +{"d:Title": "Tidesmen", "d:Description": "Nanaimo,\u00a0British Columbia chapter of SPEBSQSA", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "http://www.tidesmen.com/"} +{"d:Title": "Tacoma TotemAires Barbershop Chorus", "d:Description": "A men's a cappella chorus located in Tacoma, Washington that performs in the Barbershop style.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "https://www.facebook.com/totemaires"} +{"d:Title": "Triad Harmony Express", "d:Description": "A barbershop chorus located in Winston-Salem, North Carolina.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/T", "url": "http://www.triadharmonyexpress.com/"} +{"d:Title": "Vocal Majority", "d:Description": "1975, '79, '82, '85, '88, '91, '94, '97, '00, '03 and '06 International Champions from Dallas,\u00a0Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/V", "url": "http://www.vocalmajority.com/"} +{"d:Title": "Richmond Virginians", "d:Description": "The performing chorus of the Richmond Chapter that has been entertaining audiences in Central Virginia for over fifty years.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/V", "url": "http://www.virginians.org/"} +{"d:Title": "Voices of Gotham", "d:Description": "The Hell's Kitchen New York chapter of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/V", "url": "http://voicesofgotham.org/"} +{"d:Title": "The West Towns Chorus", "d:Description": "1987 International Champions from Lombard, Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/W", "url": "http://www.westtowns.org/"} +{"d:Title": "The Westminster Chorus", "d:Description": "2007 International Chorus Champions from Orange County California", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/W", "url": "http://www.westminsterchorus.org/"} +{"d:Title": "Wye Valley Chorus", "d:Description": "The Monmouth England barbershop harmony club.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/W", "url": "http://www.stormpages.com/wyevalley/chorus/"} +{"d:Title": "Winnipeg Golden Chordsmen", "d:Description": "A cappella singers of Winnipeg dedicated to raising money for charities including the St. Amant speech and hearing center.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/W", "url": "http://www.goldenchordsmen.com/"} +{"d:Title": "Westchester Chordsmen Chorus", "d:Description": "Men's four part harmony chorus serving Westchester County, NY and surrounding counties of NY and CT. Lists shows, schedules, recordings, booking and rehearsal information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/W", "url": "http://www.chordsmen.org/"} +{"d:Title": "The Worcester Men of Song", "d:Description": "Comprised of roughly 70 active members from Massachusetts, USA. Includes information about the group, chapter quartets, press kit and details of a youth program.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Choruses/W", "url": "https://sites.google.com/a/g.menofsong.org/public/"} +{"d:Title": "Attaboys Quartet", "d:Description": "A barbershop quartet from the Buffalo, New York Area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/A", "url": "http://friendsofharmony.freeservers.com/Attaboys/index.htm"} +{"d:Title": "The Allies", "d:Description": "A barbershop quartet who are all active members of the international medallist chorus, The Alliance.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/A", "url": "http://www.thealliesquartet.com/"} +{"d:Title": "Bluegrass Student Union", "d:Description": "1978 International Quartet Champions from Louisville, Kentucky.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/B", "url": "http://www.harmonize.com/bsu/"} +{"d:Title": "Bovines", "d:Description": "Quartet from Mount Blue High School in Farmington, Maine.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/B", "url": "http://members.tripod.com/~bovines/"} +{"d:Title": "Break Point", "d:Description": "1998 Land O' Lakes district quartet champions from Fargo,\u00a0North Dakota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/B", "url": "http://www.arneberg.com/bbshop/breakpoint/"} +{"d:Title": "Barcode Quartet", "d:Description": "Barcode (formerly Voice Male)1998 Dutch barbershop quartet champions.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/B", "url": "http://members.home.nl/l.guikers/"} +{"d:Title": "Bravo!", "d:Description": "The 2001 Land of Lakes District Quartet Champions. Links to its members chorus and associated organizations.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/B", "url": "http://arneberg.com/bbshop/bravo"} +{"d:Title": "Brothers 4 Tune", "d:Description": "An A Cappella quartet from the Netherlands which sings 4 part doo wop, close harmony and barbershop.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/B", "url": "http://home.casema.nl/peter.klut/Brothers4tune/"} +{"d:Title": "Chicago News", "d:Description": "1981 International Quartet Champions from Chicago,\u00a0Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/C", "url": "http://arneberg.com/bbshop/chicagonews/"} +{"d:Title": "Crossroads", "d:Description": "Barbershop quartet from Bryn Mawr,\u00a0Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/C", "url": "http://www.angelfire.com/music2/crossroads/"} +{"d:Title": "Classic Harmony", "d:Description": "A barbershop quartet based in Chattanooga, Tennessee. That offers quality family-orientated entertainment.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/C", "url": "http://members.tripod.com/~ClassicHarmony/"} +{"d:Title": "The Cutting Edge Barbershop Quartet", "d:Description": "2001 NED College Barbershop Quartet Champions from Western Connecticut State University in Danbury, Connecticut.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/C", "url": "http://cuttingedgeqrt.tripod.com/"} +{"d:Title": "CHIPS", "d:Description": "A barbershop quartet in the Eau Claire/Chippewa Falls area of west-central Wisconsin.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/C", "url": "http://chipsquartet.com/"} +{"d:Title": "Downstate Express", "d:Description": "The 1982 Land O' Lakes district quartet champions from Rochester, Minnesota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/D", "url": "http://www.arneberg.com/bbshop/downstate/"} +{"d:Title": "The Ditchfield Family Singers and My 3 Sons Quartet", "d:Description": "A cappella music, performances, shows, broadway music, tapes and CDs, Stephen Ditchfield, host and master of ceremonies.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/D", "url": "http://www.ditchfieldfamilysingers.com/"} +{"d:Title": "A Dapper Dans Tribute", "d:Description": "Singers at Disneyland and Disney World who have entertained for over 40 years. Dedicated to past and present Dapper Dans, with photo galleries and online scrapbook.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/D", "url": "http://www.harmonize.com/dapperdans/"} +{"d:Title": "Excalibur", "d:Description": "International finalist quartet from Hilltop,\u00a0Minnesota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/E", "url": "http://www.arneberg.com/bbshop/excalibur/"} +{"d:Title": "Expanded Sound", "d:Description": "Comedy quartet from St. Louis,\u00a0Mississouri who appeared on the Jerry Lewis Muscular Dystrophy Labor Day Telethon in 1997 and 1998.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/E", "url": "http://www.singers.com/barbershop/expsound.html"} +{"d:Title": "First Class", "d:Description": "A quartet from Ohio formed in the winter of 1997.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/F", "url": "http://members.tripod.com/~KevinMicmil/FC.html"} +{"d:Title": "Fourtified Sound", "d:Description": "High school quartet from DeSoto,\u00a0Kansas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/F", "url": "http://members.tripod.com/~hominy/"} +{"d:Title": "Favorite Sons", "d:Description": "A quartet from the Eau Claire Wisconsin chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/F", "url": "http://arneberg.com/bbshop/favsons/"} +{"d:Title": "4 Crying Out Loud", "d:Description": "High school quartet from Scottsbluff, Nebraska.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/F", "url": "http://members.tripod.com/SHSharmony/"} +{"d:Title": "4 Hearts of Harmony", "d:Description": "Quartet from Chatham, Ontario, Canada. Includes contact information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/F", "url": "http://www.angelfire.com/bc/barbershop/"} +{"d:Title": "FRED", "d:Description": "1999 International Quartet Champions from Marietta, Georgia well known for their parodies.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/F", "url": "http://www.fredquartet.com/"} +{"d:Title": "GQ4", "d:Description": "A barbershop quartet from northeast Wisconsin. Background information, photo gallery, scheduled performances and links.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/G", "url": "http://www.gq4.org/"} +{"d:Title": "Harmonious Rex", "d:Description": "A New York and New Jersey area barbershop quartet that sings traditional barbershop harmony and other a capella music.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/H", "url": "http://www.harmoniousrex.com/"} +{"d:Title": "Harmonyworks", "d:Description": "The 1985 LOL District Quartet champions from Minneapolis,\u00a0Minnesota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/H", "url": "http://www.arneberg.com/bbshop/harmonyworks/"} +{"d:Title": "Happiness Emporium", "d:Description": "1975 International Quartet Champions from Minneapolis,\u00a0Minnesota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/H", "url": "http://www.happinessemporium.com/"} +{"d:Title": "High Voltage", "d:Description": "An electrifying Vermont-based Barbershop Quartet featuring high-energy comedy and close 4-part harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/H", "url": "http://www.harmonize.com/highvoltage/"} +{"d:Title": "Hourglass", "d:Description": "A quartet from Butler, Pennsylvania who sang together from 1990 until the 1996. Includes information about their recordings and member profiles.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/H", "url": "http://rpjconsulting.com/hourglass/"} +{"d:Title": "The Ivy League", "d:Description": "1985 Pioneer District Champions. Two of the five members of this quartet are now part of Metropolis.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/I", "url": "http://www.harmonize.com/ivyleague/"} +{"d:Title": "Inclination - a Toronto Barbershop Quartet", "d:Description": "Four men with a yen for close harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/I", "url": "http://www3.sympatico.ca/reet.white/"} +{"d:Title": "Impulse", "d:Description": "An a cappella quartet from Columbus, Ohio who sing all types of a cappella music, including contemporary, gospel, sacred, doo-wop. Their specialty is Barbershop.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/I", "url": "http://www.impulsequartet.com/"} +{"d:Title": "InDEciSIon", "d:Description": "Winners of the 2001 Southeast Regional Harmony Sweepstakes from Marietta,\u00a0Georgia. Reviews of their CD.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/I", "url": "http://www.rarb.org/reviews/269.html"} +{"d:Title": "Fred", "d:Description": "1999 International Quartet Champions from Marietta, Georgia well known for their parodies.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/International_Champions", "url": "http://www.fredquartet.com/"} +{"d:Title": "Happiness Emporium", "d:Description": "1975 International Quartet Champions from Minneapolis,\u00a0Minnesota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/International_Champions", "url": "http://www.happinessemporium.com/"} +{"d:Title": "Acoustix", "d:Description": "1990 International Quartet Champions from Dallas Texas.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/International_Champions", "url": "http://www.acoustix.com/"} +{"d:Title": "Bluegrass Student Union", "d:Description": "1978 International Quartet Champions from Louisville, Kentucky.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/International_Champions", "url": "http://www.harmonize.com/bsu/"} +{"d:Title": "Chicago News", "d:Description": "1981 International Quartet Champions from Chicago,\u00a0Illinois.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/International_Champions", "url": "http://arneberg.com/bbshop/chicagonews/"} +{"d:Title": "Yesteryear", "d:Description": "1997 International Quartet Champions from Maumee Valley/Elyria,\u00a0Ohio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/International_Champions", "url": "http://www.harmonize.com/yesteryear/"} +{"d:Title": "Vocal Spectrum Quartet", "d:Description": "2006 International Quartet Champions. An a cappella vocal ensemble, who sing in the barbershop style, dedicated to a mission of excellence in a cappella singing.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/International_Champions", "url": "http://www.vocalspectrum.com/"} +{"d:Title": "The Musical Firm of Schwarzkopf, Anderson, Carney&Bray", "d:Description": "Comedy quartet from Shawnee Mission Kansas that boasts the longest name ever registered with SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/M", "url": "http://www.themusicalfirm.org/"} +{"d:Title": "Metropolis", "d:Description": "An entertaining, humorous and musical SPEBBSQSA quartet, ranked among the top ten quartets in the world and featured on television and film. 1998 national a cappella champions.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/M", "url": "http://www.harmonize.com/metropolis/"} +{"d:Title": "Midnite Watchmen", "d:Description": "A mail carrier, a treasurer, an auctioneer and a musical director make up this foursome from Wisconsin and Minnesota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/M", "url": "http://www.arneberg.com/bbshop/watchmen/"} +{"d:Title": "Moonglow", "d:Description": "A male a capella quartet singing close harmonies, mainly in the Barbershop style. Based in Edinburgh but travel throughout Scotland.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/M", "url": "http://moonglow.org.uk/"} +{"d:Title": "The Management", "d:Description": "Quartet who were all professional music educators and taught music at schools in New England.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/M", "url": "http://www.singers.com/barbershop/management.html"} +{"d:Title": "Most Happy Fellows", "d:Description": "1977 International Quartet Champions from Seattle Washington.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/M", "url": "http://www.singers.com/barbershop/mosthappyfellows.html"} +{"d:Title": "Marquis", "d:Description": "1995 International Quartet Champions from Cincinnati, Ohio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/M", "url": "http://www.singers.com/barbershop/marquis.html"} +{"d:Title": "Northland Gold", "d:Description": "A quartet from St. Paul,\u00a0Minnesota that began as a way to demonstrate that barbershop administrators can sing and ring chords too.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/N", "url": "http://www.arneberg.com/bbshop/nlgold"} +{"d:Title": "Night Shift", "d:Description": "A barbershop quartet from southeastern Michigan.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/N", "url": "http://www.harmonize.com/NightShift/"} +{"d:Title": "Outreach Quartet", "d:Description": "An a cappella conservative christian quartet featuring barbershop arrangements.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/O", "url": "http://outreachquartet.com/"} +{"d:Title": "One-A-Chord A Cappella Quartet", "d:Description": "An a cappella quartet from Barnesville, Ohio. Their repertoire includes barbershop, gospel, oldies, and patriotic songs.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/O", "url": "http://www.one-a-chord.com/"} +{"d:Title": "Prince William Sound", "d:Description": "From Manassas,\u00a0Virginia they are members of the Prince William County Chapter of the Society for the Preservation and Encouragement of BarberShop Quartet Singing in America.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/P", "url": "http://www.angelfire.com/bc/PrinceWmSound/"} +{"d:Title": "The Sensations", "d:Description": "The 2000 Cardinal District Quartet Champions", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/S", "url": "http://www.angelfire.com/ky2/sensations/index.html"} +{"d:Title": "Sound Judgment", "d:Description": "A quartet representing the San Mateo County California Chapter of SPEBSQSA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/S", "url": "http://www.soundjudgment.org/"} +{"d:Title": "Storm Front", "d:Description": "A quartet from Denver, Colorado that consists of previous district and International college champions.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/S", "url": "http://www.stormfrontquartet.com/"} +{"d:Title": "Streetwise", "d:Description": "Sings an all-American selection of cappella music, mostly in the barbershop style of four-part harmony. From Toledo Ohio", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/S", "url": "http://www.harmonize.com/streetwise/"} +{"d:Title": "Sterling Quartet", "d:Description": "The 2002 SPEBSQSA Southwestern District champion quartet.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/S", "url": "http://www.sterlingquartet.com/"} +{"d:Title": "TopCats Barbershop Quartet", "d:Description": "Sacramento area quartet, providing good music with good fun.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/T", "url": "http://www.topcats.net/"} +{"d:Title": "Trademark", "d:Description": "Quartet from Hilltop,\u00a0Minnesota who are also members the Great Northern Union and the 1999 LOL District Quartet Champions.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/T", "url": "http://arneberg.com/bbshop/trademark/"} +{"d:Title": "Uptown Sound", "d:Description": "1996 Johnny Appleseed District quartet champions from Columbus/Canton/Medina,\u00a0Ohio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/U", "url": "http://www.singers.com/barbershop/uptownsound.html"} +{"d:Title": "Vocal Spectrum Quartet", "d:Description": "2006 International Quartet Champions. An a cappella vocal ensemble, who sing in the barbershop style, dedicated to a mission of excellence in a cappella singing.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/V", "url": "http://www.vocalspectrum.com/"} +{"d:Title": "Yesteryear", "d:Description": "1997 International Quartet Champions from Maumee Valley/Elyria,\u00a0Ohio.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Male/Quartets/Y", "url": "http://www.harmonize.com/yesteryear/"} +{"d:Title": "A Cappella Pops", "d:Description": "A mixed-voice chorus in the Philadelphia area that sings exciting and unique arrangements of progressive music - mixing the sounds and styles of barbershop, jazz, folk, broadway and gospel.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Mixed", "url": "http://www.acappellapops.com/"} +{"d:Title": "The Honeymooners", "d:Description": "A mixed harmony a cappella quartet made up of 2 married couples entertaining with their own brand of doo wop and barbershop.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Mixed", "url": "http://www.hmquartet.com/"} +{"d:Title": "Sound Celebration", "d:Description": "A mixed quartet with two Sweet Adelines and two SPEBSQSA members from Brackney, Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Mixed", "url": "http://www.singers.com/barbershop/soundcelebration.html"} +{"d:Title": "Philharmonix", "d:Description": "Booking and background information for a quartet located in Philadelphia, PA.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Mixed", "url": "http://www.singers.com/barbershop/philharmonix.html"} +{"d:Title": "Anglesey Barbershop - Friends in Harmony", "d:Description": "Barbershop singing group who perform around Anglesey, North Wales and in National Barbershop competitions.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Groups/Mixed", "url": "http://www.freewebs.com/anglesey-barbershop/"} +{"d:Title": "Rechorder", "d:Description": "Newsletter of the Lincoln Nebraska chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.lincolncontinentals.org/"} +{"d:Title": "Borderlines", "d:Description": "Publication for Region 2 of Harmony Inc.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://area2harmony.tripod.com/borderlines.htm"} +{"d:Title": "InTune", "d:Description": "Online news letter for Region 13 of Sweet Adelines International", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.sairegion13.org/communications.html#InTune_Newsletter"} +{"d:Title": "SoD Chorus Newsletter", "d:Description": "Voice of Rushmore newsletter of the Shrine of Democracy Chorus of Rapid City, SD", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.shrineofdemocracychorus.org/newsletter.html"} +{"d:Title": "The Beat", "d:Description": "Monthly bulletin of Circle City Sound - the Greater Indianapolis Chapter of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.circlecitysound.org/index.asp?p=5&c=0"} +{"d:Title": "The Starting Gate", "d:Description": "Thoroughbred Chorus Newsletter", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.thoroughbredchorus.com/"} +{"d:Title": "Harmonotes", "d:Description": "Monthly news letter of Kansas City's Heart of America Chorus", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.hoachorus.com/harmonotes.htm"} +{"d:Title": "InCider Press", "d:Description": "Manhattan Kansas Chapter newsletter", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://littleapplechorus.org/generalpages/newsletters.htm"} +{"d:Title": "Pitchpiper", "d:Description": "Newsletter of the Land O' Lakes District of the Barbershop Harmony Society", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://loldistrict.org/aboutus/pitichpiper.html"} +{"d:Title": "Charivari", "d:Description": "Dundalk, MD chapter official monthly newsletter.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://dundalk.org/o/chvcurr.html"} +{"d:Title": "Atlantic Gazette", "d:Description": "The Monthly Newsletter of the Red Bank Area Chapter of the Mid-Atlantic District of the Barbershop Harmony Society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.harmonize.com/redbank/atlanticgazette/latestbulletin.pdf"} +{"d:Title": "The Tampa Herald", "d:Description": "Heralds of Harmony Newsletter", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://heraldsofharmony.org/newsletter_archive/"} +{"d:Title": "Troubadour", "d:Description": "Pioneer District's online publication.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.pioneerdistrict.org/troubadour/"} +{"d:Title": "Attacks and Releases", "d:Description": "Illinois District's Quarterly Publication", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Magazines_and_E-zines", "url": "http://www.illinoisdistrict.org/attacks-and-releases/"} +{"d:Title": "SNOBS - Society of Nordic Barbershop Singers", "d:Description": "Information on events, choruses and quartets related to Barbershop singing in Sweden, Norway and Denmark.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.snobs.org/"} +{"d:Title": "HI - Harmony, Inc.", "d:Description": "International organization of women barbershop singers.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.harmonyinc.org/"} +{"d:Title": "LABBS - Ladies Association of British Barbershop Singers", "d:Description": "Information on affiliated clubs and their details. Contacts and useful numbers of officials.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.labbs.org.uk/"} +{"d:Title": "SAI - Sweet Adelines International", "d:Description": "A worldwide organization of women singers committed to advancing the musical art form of barbershop harmony through education and performance.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sweetadelineintl.org/"} +{"d:Title": "BHS (JAD) - Johnny Appleseed District", "d:Description": "Johnny Appleseed district association of chapters of the Barbershop Harmony Society (formerly SPEBSQSA).", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.singjad.com/"} +{"d:Title": "SAI - Lake Michigan - Region 3", "d:Description": "The location of choruses, quartet contacts and events calendar for the female barbershop singers of the Sweet Adelines-Lake Michigan region.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.region3sweetadelines.org/"} +{"d:Title": "SAI - Southern Cross - Region 34 (AU)", "d:Description": "Here you can find information and links to Sweet Adelines in Australia. Individual chorus pages are also hosted here.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sweetadelines.org.au/"} +{"d:Title": "SAI - Greater New York - Region 15", "d:Description": "Sweet Adelines in New York City and New Jersey area.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sairegion15.org/"} +{"d:Title": "BHS (NED) - Northeastern District", "d:Description": "Covers barbershopping in Connecticut, Maine, Massachusetts, New Brunswick, New Hampshire, New York, Newfoundland, Nova Scotia, Quebec, Rhode Island and Vermont.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.nedistrict.org/"} +{"d:Title": "BHS (SWD) - Southwestern District", "d:Description": "The district of champions. Where it all began.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.swd.org/"} +{"d:Title": "SAI - North Atlantic - Region 1", "d:Description": "Women's Barbershop in Quebec, New Brunswick, Nova Scotia, Newfoundland, Connecticut, Maine, Massachusetts, New Hampshire, New York (Franklin, Clinton, Essex Counties), Rhode Island and Vermont.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sai-region1.org/"} +{"d:Title": "Harmony Foundation", "d:Description": "Official charity of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America (SPEBSQSA).", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.harmonyfoundation.org/"} +{"d:Title": "SAI - Sequoia Pacifica - Region 11", "d:Description": "Women's barbershop in California and Nevada.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sai-reg11.com/"} +{"d:Title": "SAI - Lake Erie - Region 17", "d:Description": "Includes choruses and quartets in western Pennsylvania, northern Ohio, southeastern Michigan and northeastern Indiana.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.region17online.com/"} +{"d:Title": "SAI - Atlantic Gulf - Region 9", "d:Description": "Provides music and leadership education for women through 4-part barbershop harmony in most of the state of Florida.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.harmonize.com/SAIRegion9/"} +{"d:Title": "SAI - Pacific Shores - Region 12", "d:Description": "Sweet Adelines in northern California. Calendar of events, chorus information, quartet information, LAW school, open coaching sessions, regional conventions, resources and help wanted.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sairegion12.org/"} +{"d:Title": "SAI - North Pacific - Region 13", "d:Description": "Includes Alaska, Washington, northern Idaho, and the far northwestern corner of Montana. There are 20 choruses and 2 prospective chapters.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sairegion13.org/"} +{"d:Title": "SAI - Midwest Gateway - Region 5", "d:Description": "Includes east and central Missouri, Illinois, and western Kentucky", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sairegion5.org/"} +{"d:Title": "SAI - Blue Ridge - Region 14", "d:Description": "Includes all chapters in Virginia, North and South Carolina, part of Maryland and the northeast corner of Tennessee. It has more than 1,100 members in 25 chartered choruses.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sairegion14.org/"} +{"d:Title": "BHS (CSD) - Central States District", "d:Description": "Barbershopping in Iowa, Kansas, Missouri, Nebraska and South Dakota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.centralstatesdistrict.com/"} +{"d:Title": "BHS (ONT)- Ontario District", "d:Description": "The only all Canadian district in the Barbershop Harmony Society (Formerly known as SPEBSQSA).", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.ontariodistrict.com/"} +{"d:Title": "BABS - British Association of Barbershop Singers", "d:Description": "Explains the background to the association, covers news and events and will help you find a barbershop chorus near you.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.singbarbershop.com/"} +{"d:Title": "SAI - New Zealand - Region 35", "d:Description": "New Zealand chorus contacts, coming events, past champions, regional competitions, young singers foundation and young women in harmony.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sweetadelines.co.nz/"} +{"d:Title": "BHS (DIX)- Dixie District", "d:Description": "District news, upcoming events, awards presented and information for barbershop in the states of Alabama, Georgia, Mississippi, North Carolina, South Carolina and Tennessee.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.dixiedistrict.org/"} +{"d:Title": "BHS (RMD) - Rocky Mountain District", "d:Description": "District association of chapters of the Society for the Preservation and Encouragement of Barbershop Quartet Singing in America (SPEBSQSA).", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.rmdsing.org/"} +{"d:Title": "SAI - Atlantic Bay Mountain - Region 19", "d:Description": "Finding a chorus, upcoming events, important announcements and deadlines.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.region19sai.org/"} +{"d:Title": "BHS (SUN) - Sunshine District", "d:Description": "Where to get your barbershop fix in the sunshine state.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sunshinedistrict.org/"} +{"d:Title": "SAI - Golden West - Region 21", "d:Description": "Choruses and quartets in southern California, western Texas, Arizona and New Mexico.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.region21.org/"} +{"d:Title": "SAI - Can-Am - Region 6", "d:Description": "Sweet Adelines in the Upper Midwest - Manitoba, Minnesota, Montana, North Dakota, North West Ontario and South Dakota.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.regionsix.org/"} +{"d:Title": "SAI - Great Gulf Coast- Region 10", "d:Description": "Sweet Adelines in the gulf coast region. Upcoming events, chorus and quartet listings.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.region10sai.org/"} +{"d:Title": "SAI - Quartet Of Nations - Region 31 (UK)", "d:Description": "Sweet Adeline's in England, Ireland, Scotland and Wales.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sweetadelines.org.uk/"} +{"d:Title": "SAI - Nordic Light - Region 32", "d:Description": "Women's Barbershop in Sweden. Several pages available in English", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.nordiclightregion.com/"} +{"d:Title": "BHS (LOL) - Land O' Lakes District", "d:Description": "One of the 16 districts of the barbershop harmony society. Geographically, this district covers Minnesota, Wisconsin, Manitoba, North Dakota, Saskatchewan, part of Michigan and part of Ontario.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://loldistrict.org/"} +{"d:Title": "SAI - Rocky Mountain - Region 8", "d:Description": "Sweet Adelines in Montana, South Dakota, Wyoming, Utah, Nebraska, Colorado and Kansas", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.rmr8.org/"} +{"d:Title": "BHS (CAR)- Cardinal District", "d:Description": "The Indiana/Kentucky district of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.cardinaldistrict.org/"} +{"d:Title": "BHS (SLD)- Seneca Land District", "d:Description": "Barbershop harmony society in parts of New York and Pennsylvania.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.senecaland.org/"} +{"d:Title": "HI - Area 3", "d:Description": "Women's Barbershop harmony include Chapters south of Highway 401 from Ajax, Ontario to Port Stanley, Ontario. Portions of Ontario, New York, Ohio, Pennsylvania, and West Virginia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.area3harmony.org/"} +{"d:Title": "BHS (PIO) - Pioneer District", "d:Description": "The first district in the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.pioneerdistrict.org/"} +{"d:Title": "Barbershop Harmony Chicagoland", "d:Description": "A listing of Chicago area barbershop shows, events and news of interest to the barbershop community.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.harmonize.ws/shoreliners/bbschicago.html"} +{"d:Title": "BHS - Barbershop Harmony Society (formerly SPEBSQSA)", "d:Description": "Umbrella organization for barbershop singing in America. Find the clubs servicing your local area, competition scores, event diary, contact details, purchasing, definition of barbershop as a form of a cappella singing. Formerly known as SPEBSQSA - Society for the Preservation and Encouragement of Barbershop Quartet Singing in America", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.barbershop.org/"} +{"d:Title": "HI - Area 4", "d:Description": "Women's Barbershop Four Part Harmony in Ontario west of 85 degree longitude,Illinois, Indiana, Iowa, Kentucky, Michigan, Missouri, and Wisconsin", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.area4harmonyinc.org/"} +{"d:Title": "HI - Area 2", "d:Description": "Barbershop harmony for women in Ontario East of 77.5 degrees longitude to Quebec 70 degrees longitude, Connecticut, Maine, Massachusetts, New Hampshire, New Jersey, Rhode Island, and Vermont.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.area2harmony.org/"} +{"d:Title": "AAMBS - Australian Association of Men's Barbershop Singers", "d:Description": "Links and contacts for all affiliated barbershop choruses and quartets in Australia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.aambs.org.au/"} +{"d:Title": "Atlantic Harmony Brigade", "d:Description": "An intensive annual participatory weekend convention for ambitious and experienced male barbershop quartet singers, for networking, singing, performing, competing and expanding repertory.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.atlanticharmonybrigade.com/"} +{"d:Title": "SAI - Lake Ontario - Region 16", "d:Description": "Women's barbershop groups in the region surrounding Lake Ontario.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.saregion16.com/"} +{"d:Title": "PROBE", "d:Description": "Public Relations Officers and Bulletin Editors association.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.harmonize.com/probe/"} +{"d:Title": "AHSOW", "d:Description": "Ancient Harmonious Society of Woodshedders - the act of three men creating Barbershop harmonies \"by ear\" to a given melodic line sung by a fourth man.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.ahsow.org/"} +{"d:Title": "Bank of America Collegiate Barbershop Quartet Contest", "d:Description": "Provides information about the contest, past champions, rules, dates, and other relevant information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://stellent.barbershop.org/web/groups/public/documents/pages/pub_cb_00077.hcsp#P-7_0"} +{"d:Title": "SAI - East Central - Region 4", "d:Description": "Choruses and quartets in Indiana, Kentucky, Ohio, Pennsylvania and West Virginia.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.sai-region4.org/"} +{"d:Title": "BHS (ILL)- Illinois District", "d:Description": "Illinois district of the barbershop harmony society.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Organizations", "url": "http://www.illinoisdistrict.org/"} +{"d:Title": "BABS Radio Station", "d:Description": "A barbershop music station playing a higher proportion of British barbershop.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Radio", "url": "http://www.babsradio.co.uk/"} +{"d:Title": "4-cast", "d:Description": "Barbershop Podcasts", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Radio", "url": "http://4-cast.tv/"} +{"d:Title": "Trailblazers, M-AD Barbershop Campers", "d:Description": "Mid Atlantic District Barbershopper's who camp twice a year for fun, relaxation and lots of singing", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Recreation", "url": "http://www.bayliss.com/trailblazers/"} +{"d:Title": "The Larivee Company", "d:Description": "The official travel agency of the Irish Association of Barbershop Singers. Specializing in barbershop harmony tours to Ireland", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Recreation", "url": "http://www.thelariveecompany.com/"} +{"d:Title": "Vocal Hall of Fame", "d:Description": "Museum in Sharon Pennsylvania that contains both a Barbershop Hall of Fame and a Vocal Group Hall of Fame.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Recreation", "url": "http://www.vocalhalloffame.com/"} +{"d:Title": "Harmony Ranch Recreation Club", "d:Description": "A campground in the heart of Ontario cottage country, built exclusively for barbershoppers, their families and friends.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Barbershop_Harmony/Recreation", "url": "http://myharmonyranch.com/"} +{"d:Title": "Yahoo! Groups : Acappella", "d:Description": "Discussing \"all kinds of a cappella music.\"", "topic": "Top/Arts/Music/Vocal/A_Cappella/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Acappella/"} +{"d:Title": "Yahoo! Groups : ba-acappella", "d:Description": "San Francisco Bay Area A Cappella Community.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ba-acappella/"} +{"d:Title": "The Box - Hip Hop Archive - Beatbox Audios", "d:Description": "Old school rap archive with photos, MP3 samples and video clips of beatboxing legends.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Vocal_Percussion", "url": "http://thebox2.free.fr/beatbox.html"} +{"d:Title": "Scat! Vocal Improvisation Techniques", "d:Description": "[changingtones.com] Instructional book and CD by Bob Stoloff, covering vocal drum articulation, bass lines and drum grooves. Page includes description, cover scan, audio samples and ordering information.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Vocal_Percussion", "url": "http://www.changingtones.com/gerandsz/scat00.html"} +{"d:Title": "Mouth Drumming", "d:Description": "Wes Carroll, the \"human beatbox\" with the San Francisco group, the House Jacks, offers video and private instruction as well as links to scheduled performances and instructional sessions. Biography, video sales.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Vocal_Percussion", "url": "http://www.mouthdrumming.com/"} +{"d:Title": "Benjamin Stevens - Vocal Percussion", "d:Description": "Educator and board reviewer offers small collection of MP3 vocal samples, link to homepage.", "topic": "Top/Arts/Music/Vocal/A_Cappella/Vocal_Percussion", "url": "http://www.rarb.org/people/benjamin-stevens.html"} +{"d:Title": "Magno Vocal Dynamics", "d:Description": "Introduces new concept of teaching singing based on developing the real person. Technically, the accent is on naturalness of muscle structure.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.gmagno.htmlplanet.com/"} +{"d:Title": "Tona de Brett", "d:Description": "Information and contact details for London singing teacher Tona de Brett.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.tonadebrett.co.uk/"} +{"d:Title": "Stephanie Samaras", "d:Description": "New York City-based Voice Teacher, Vocal Clinician, and Performer specializing in singing lessons for the Pop or Broadway performer.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.stephaniesamaras.com/"} +{"d:Title": "New York Singing Teachers' Association", "d:Description": "A non-profit professional organization. Annual activities include lectures, master classes, and performances by experts from the arts and sciences. Referral list of voice teachers.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.nyst.org/"} +{"d:Title": "Stimm-\u00dcbungen", "d:Description": "CD with piano accompaniments to 99 exercises for voice lessons and practicing at home. Site in German and English.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.stimmuebungen.de/eng.htm"} +{"d:Title": "Punk2Opera", "d:Description": "Vocal tuition with Sybil Esmore. All styles, all levels, from punk to opera. Based in London, England.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.punk2opera.com/"} +{"d:Title": "Touch Of Soul Productions", "d:Description": "A vocal coaching/songwriting instruction/record production company based in the Boston, Massachusetts area.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://hoeffmeir.org/TouchOfSoulProductions/Boston,MA/voicelessons.htm"} +{"d:Title": "Nikki Berry&Gary Easterbrook", "d:Description": "Singing lessons and musical arrangements for contemporary choirs. Based in New Zealand. No CD or tape available, private lessons.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.sing.co.nz/"} +{"d:Title": "Badiene Magaziner Vocal Studio", "d:Description": "Private lessons in speech level singing for the New York, New Jersey and the greater Philadelphia area.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.voice-teacher.com/"} +{"d:Title": "Patricia Shanks Voice Studio", "d:Description": "Foundation-based voice training for the serious student of singing. Classical, opera, musical theatre. Private lessons in Orange County and Los Angeles County, California.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.studioshanks.com/"} +{"d:Title": "Liz Caplan Vocal Studios", "d:Description": "New York Vocal coach offering University and college workshops, including Master Classes, performance, coachings and audition workshops as well as career counseling. Individual and Group Vocal Technique classes - all styles.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.lizcaplan.com/"} +{"d:Title": "Secrets Of Singing by Jeffrey Allen", "d:Description": "Books, and video lessons in singing styles.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.vocalsuccess.com/"} +{"d:Title": "Karen Grace", "d:Description": "London singer and alternative therapist practising Aura Soma Colour Therapy and Vibrational Sound Therapy in London.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.karen-grace.com/"} +{"d:Title": "Judy Rodman", "d:Description": "Private lessons, CD Vocal course, studio production and seminars in Nashville.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.judyrodman.com/"} +{"d:Title": "The Art of Body Singing", "d:Description": "Breck Alan, New York. Vocal instruction, book series and CDs incorporate both classical and contemporary techniques.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.bodysinging.com/"} +{"d:Title": "David Jaanz School of Singing", "d:Description": "Various locations in Australia. Emotion-centred singing with a focus on performance, as well as image, style, and attitude.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.jaanz.com.au/"} +{"d:Title": "The David Gordon Voice Studio", "d:Description": "Explore the mind/body/music connection with professional singer and vocal performance coach in Carmel, California", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.spiritsound.com/"} +{"d:Title": "Adrienne Angel", "d:Description": "New York based voice teacher whose students include Bernadette Peters, Cher, and Kenneth Brannagh. Includes biography, details on lessons, and related resources. Manhattan, NY.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.adrienneangel.com/"} +{"d:Title": "Starsong Vocal Coaching", "d:Description": "Build a strong singing voice. Artist career counseling.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.angelfire.com/biz2/starsong/"} +{"d:Title": "The Singing Studio", "d:Description": "Sydney based studio teaching contemporary singing techniques for students of all ages and abilities. Private lessons and group workshops available.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.thesingingstudio.com/"} +{"d:Title": "Chris Brooker Music", "d:Description": "Acapella, voice coaching and vocal harmony arrangements. Based in UK and Belgium.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.touch2move.co.uk/"} +{"d:Title": "Denise Williams Soprano", "d:Description": "Denise Williams teaches singing lessons in Toronto in all repertoires including opera, oratorio lieder, musical theatre and jazz.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.denisewilliamssoprano.com/"} +{"d:Title": "Schnurman Voice Studio", "d:Description": "All musical genres taught by Juilliard trained voice teacher in New Jersey.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.voicelessonsnj.com/"} +{"d:Title": "Voice by Amber", "d:Description": "Voice By Amber offers private vocal instruction in Orange County, CA.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.voicebyamber.com/"} +{"d:Title": "Katie Coffey Vocal Studio", "d:Description": "Katie Coffey is a vocal instructor and performance coach based in South Orange County, CA. She offers voice lessons to students of all ages and abilities.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.katiecoffey.com/"} +{"d:Title": "Singing Studio of Deborah Staiman", "d:Description": "Experienced Toronto singing teacher combines the best of musical theatre technique and classical \"bel canto\". Offers lessons, workshops and showcases.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.singingstudio.ca/"} +{"d:Title": "Vocal Coach", "d:Description": "Based near Nashville Tennessee, provides CDs, books, and videos for the singers of today and tomorrow.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.vocalcoach.com/"} +{"d:Title": "Singing lessons in London at Voxbox", "d:Description": "Singing studios in central London. All teachers are professional vocal instructors qualified to teach Seth Riggs \"Speech Level Singing.\"", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.singing-lessons-london.co.uk/"} +{"d:Title": "Brian Farrell", "d:Description": "Vocal coaching and mentoring for singers of all singing styles. Studio based in Calgary, Canada.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.brianfarrell.ca/"} +{"d:Title": "Karin Hochapfel", "d:Description": "Singer and voice coach offering singing courses for small groups, weekend workshops, and one-to-one sessions.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.karin-hochapfel.com/"} +{"d:Title": "Vocal Expressions", "d:Description": "Vocal tuition from an experienced and qualified tutor. Includes technique, performance and the opportunity to produce your own demo recording.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.freewebs.com/vocalexpression/"} +{"d:Title": "Toronto Singing Lessons&Vocal Coaching", "d:Description": "Singing instruction for aspiring beginners and professional level singers. Contemporary vocal sound, singing style and teaching approach.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://afreesingingvoice.com/"} +{"d:Title": "Melissa Ellen, Nashville Vocal Coach", "d:Description": "Private lessons with an experienced vocal coach, singer, performer, and consultant. All contemporary styles.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.melissaellen.com/"} +{"d:Title": "Donna Stewart, Soprano", "d:Description": "Vocal approach, voice tips and ideas.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.donnarosestewart.com/soprano/"} +{"d:Title": "The Bristow Voice Method", "d:Description": "Voice and performance training for singers and speakers by Los Angeles vocal and performance coach Per Bristow", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.bristowvoicemethod.com/"} +{"d:Title": "The Undiscovered Stars Academy", "d:Description": "The Undiscovered Stars singing school offers individual singing lessons, vocal workshops and voice coaching.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.theundiscoveredstars.co.uk/"} +{"d:Title": "Janna Kysilko Voice", "d:Description": "Studio website of a Saint Paul / Minneapolis based classical vocal artist offering private singing instruction for beginning through professional level singers.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.jannakysilko.com/voice/"} +{"d:Title": "Austin Vocal Lab", "d:Description": "Voice discovery, public speaking and vocal lessons. Monthly tele-conferences and webinars as well as in-house lessons available.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.austinvocallab.com/"} +{"d:Title": "Paticia Ivey, Teacher of Singing", "d:Description": "Private singing lessons in Dallas, Texas, 35 years teaching experience. Students include professionals, church singers, actors, and those who just want to sing because it's fun and feels good.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://patriciaivey.com/"} +{"d:Title": "Ryan Bunch", "d:Description": "Voice teacher in Philadelphia. Focus on musical theatre.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.ryanbunch.com/"} +{"d:Title": "Voice teacher Fay Victor, Brooklyn NY", "d:Description": "Develop your own vocal identity with proven vocal techniques&exercises. Techniques covered include breathing, resonance, diction, placement, improvisation and song development.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.fayvictor.com/"} +{"d:Title": "Vocal Process", "d:Description": "Vocal training and resources. Downloads, articles, voicebox videos, courses. Specialists in Musical Theatre and non-classical styles. Audition preparation.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://vocalprocess.co.uk/"} +{"d:Title": "Joel Katz Voice Studio", "d:Description": "Voice teacher in Toronto with international teaching and performing experience. Currently chairman of the Voice Department at the Glenn Gould School of the Royal Conservatory of Music. Specializing in teaching operatic technique to gifted students.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.voiceandoperatraining.com/"} +{"d:Title": "Helen Astrid Singing Academy, The", "d:Description": "Singing lessons, vocal workshops and resources based in London.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.harmonyworks.co.uk/"} +{"d:Title": "Own Your Voice", "d:Description": "Own Your Voice is a Certified Speech Level Singing studio located in Toronto.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://torontovoicelessons.com/"} +{"d:Title": "The Singer Symposium", "d:Description": "The Singer Symposium lists information for a comprehensive one day annual conference for singers of all non-classical styles.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://singersymposium.com/"} +{"d:Title": "Ballard Music Studio", "d:Description": "Ballard Music Studio is a professional singing studio in three distinct Indiana locations; Muncie, Indianapolis, and Anderson.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.ballardmusicstudio.com/"} +{"d:Title": "Chris Rowbury", "d:Description": "Harmony singing workshops, song arrangements, and director of community choirs in UK.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.chrisrowbury.com/"} +{"d:Title": "Daniel Jackson Voice Studio", "d:Description": "Certified Speech Level Singing Instruction, Sacramento, CA.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.jacksonvoice.com/"} +{"d:Title": "E-Minor Pop Academy", "d:Description": "Children between ages of 8 and 16 are taught singing, dance and general all round performance. Salibury and Southhampton, UK.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.eminor.org.uk/"} +{"d:Title": "The Centre for Voice", "d:Description": "Vocal training, professional singing and coaching at the Centre for Voice throughout the UK.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.centreforvoice.co.uk/"} +{"d:Title": "Samuel Nicholl", "d:Description": "Instruction in singing, songwriting, performance and music production with singing teacher Samuel Nicoll, tutor at The London Music School.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.singinglessons.org.uk/"} +{"d:Title": "Powervoice Schweiz", "d:Description": "Music Vocal", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://powervoice-schweiz.ch/"} +{"d:Title": "Vocal Arts Collective", "d:Description": "Vocal Arts Collective offers vocal lessons, vocal instruction and quality singing training in the Los Angeles, CA area.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.vocalartscollective.com/"} +{"d:Title": "Dynamic Voice Studio", "d:Description": "Certified Vocal Coach Suzanne Blanch offers private singing lessons for beginning and advanced vocalists in Kansas City, Missouri.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.dynamicvoicestudio.com/"} +{"d:Title": "Melody Vocal Academy", "d:Description": "Vocal training academy based in north London. Training in pop, r&b, and pop/rock.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.melodyvocal.co.uk/"} +{"d:Title": "Bay Area Singing Lessons", "d:Description": "Singing lessons, workshops and artist development. Learn how to increase your vocal range, power and confidence, and find your natural voice. San Francisco.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.bayareasinginglessons.com/"} +{"d:Title": "River Forest Voice Studio", "d:Description": "Vocal instruction specifically tailored to your voice and music style; opera, classical, cross-over, baroque, musical theater, or pop. Studio is in Chicago.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.riverforestvoicestudio.com/"} +{"d:Title": "More Than Singing", "d:Description": "Jocelyn Rasmussen is a voice teacher offering singing lessons in Manhattan. Her approach as a voice coach embodies personal spiritual growth for the student - and so her classes are More Than Singing.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.morethansinging.com/"} +{"d:Title": "Richard Robson", "d:Description": "Singing teacher, vocal coach offers training in Purley and London. All vocal styles for beginners to professional level.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.richardrobson.co.uk/"} +{"d:Title": "Voice Emergent", "d:Description": "Voice Emergent's founder, Barclay McMillan, offers a range of voice courses and informal singing gatherings in the Ottawa area.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.voiceemergent.com/"} +{"d:Title": "How to Sing With Singorama", "d:Description": "Learn to sing with the rhythm, vitality, and magic of a professional. Includes step by step training, a variety of software based bonuses and a course workbook.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.singorama.com/"} +{"d:Title": "Choral Music Services", "d:Description": "Professional Choir Fixing, Group Singing Workshops&Conducting and Arranging Services", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.jamesdavey.org/"} +{"d:Title": "JC Vocals", "d:Description": "JC Vocals provides private singing lessons in West Sussex, UK.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.jcvocals.co.uk/"} +{"d:Title": "My Vocal Studio", "d:Description": "My Vocal Studio in Florida offers voice lessons specializing in the Speech Level Singing (SLS) technique.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.myvocalstudio.com/"} +{"d:Title": "The Hollywood Vocal Coach", "d:Description": "Information about improving vocal abilities in a variety of styles, including singing tips, articles, and FAQs.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.hollywoodvocalcoach.com/"} +{"d:Title": "Roger Burnley Vocal Coach", "d:Description": "Singing instruction for all levels from beginners to professionals. Also list training video tape for singers and vocalists.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://rogerburnley.com/"} +{"d:Title": "Marc Alan Innes", "d:Description": "Marc Innes, professional singer, offers vocal lessons and voice training in Palmetto, Florida. Also written resources for singers.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://marcalaninnesandassociates.yolasite.com/"} +{"d:Title": "Jackie Presti", "d:Description": "Professional singer, voice teacher and voice therapist teaching from midtown Manhattan studio.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://jackiepresti.com/"} +{"d:Title": "Vincenzo Antonio Manno", "d:Description": "Teacher of the Italian school of singing, affiliated with the Teatro alla Scala and the Accademia Internazionale della Musica (International Music Academy) in Milan, Italy.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://vincenzomanno.blogspot.com/"} +{"d:Title": "Singing Lessons", "d:Description": "Vocal coach Ken Taylor offers voice lessons for singers in the Orlando area, and via Skype.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.vocalcoachkentaylor.com/"} +{"d:Title": "The Voice Club", "d:Description": "Heather Mair Thomas, London, UK voice coach. Teaches all styles and standards in a supportive, relaxed environment.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.thevoiceclub.blogspot.com/"} +{"d:Title": "Stacey Jane Vocal Studios", "d:Description": "Professional singer and voice instructor in Sydney, Australia. Lessons for all ages and levels in all musical styles.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.staceyjanevocals.com/"} +{"d:Title": "Singing for Larks", "d:Description": "Harmony singing workshops led by Faith Watson.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://www.singingforlarks.net/"} +{"d:Title": "Fabiana Katz", "d:Description": "Vocal coach and mezzo soprano in Vancouver, BC, Canada. Information about singing lessons and technical training, vocal coaching, language coaching, and technique for choirs and conductors.", "topic": "Top/Arts/Music/Vocal/Courses_and_Lessons", "url": "http://fabimezzo.wix.com/teachmetosing"} +{"d:Title": "Wigram, David", "d:Description": "Boychoir: Past, Present and Future presents a brief autobiography from the prizewinning soloist.", "topic": "Top/Arts/Music/Vocal/Singers/Classical", "url": "http://www.boychoirs.org/wigram/index.html"} +{"d:Title": "Meoni, Giovanni", "d:Description": "Baritone. Biography, repertoire, reviews, audio, photographs, and contacts. [English/Italian]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.giovannimeoni.com/"} +{"d:Title": "Massimo Cavalletti", "d:Description": "News, biography, images, audio and video files, contacts and guestbook. [Italian/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.massimocavalletti.com/"} +{"d:Title": "Ribis, Gabriele", "d:Description": "Information, photo and audio clips of this young Italian baritone.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.gabrieleribis.com/"} +{"d:Title": "Kupfer, Jochen", "d:Description": "German baritone. Biography, repertoire, photographs, reviews, and links. [English/German/Japanese]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.jochen-kupfer.de/"} +{"d:Title": "Allenby, Will", "d:Description": "Biography, show description and photo gallery about the British baritone.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.willallenby.com/"} +{"d:Title": "Ataneli, Lado", "d:Description": "Verdi and Verismo baritone; includes biography, reviews, repertoire, and sound files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.ataneli.com/"} +{"d:Title": "Doyle, Grant", "d:Description": "Australian Baritone. Biography, photographs, MP3s, reviews, roles, and concert experience.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.grantdoyle.com/"} +{"d:Title": "Edelmann, Paul Armin", "d:Description": "Young opera and operetta baritone from Austria. Biography, reviews, repertoire, discography, sound and video files, photos, and news.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.paul-armin-edelmann.com/"} +{"d:Title": "Egmond, Max van", "d:Description": "Personal website of the baritone including an interview, biography, discography, agenda and an invitation to discussion.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.maxvanegmond.com/"} +{"d:Title": "Allen, Thomas", "d:Description": "Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=allen"} +{"d:Title": "Finley, Gerald", "d:Description": "Canadian baritone. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=finley"} +{"d:Title": "Frater , Jamie", "d:Description": "The official website of New Zealand baritone opera singer. Includes biography, photos, articles and calendar events.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.frater.com/"} +{"d:Title": "Gilbert, Randall", "d:Description": "Biography, discography, and tour listing for this pop and classical baritone.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.randallgilbert.com/home.html"} +{"d:Title": "Gunn, Nathan", "d:Description": "Yahoo! Group for discussion about baritone Nathan Gunn.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://launch.groups.yahoo.com/group/fansofnathangunn/"} +{"d:Title": "Harvey, Peter", "d:Description": "English baritone. Contact details, biography, photographs, CDs, news, reviews, and sound samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.peterharvey.com/"} +{"d:Title": "Herford, Henry", "d:Description": "Scottish baritone. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=herford"} +{"d:Title": "Jackson, Richard", "d:Description": "English baritone. Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=rjackson"} +{"d:Title": "Jones, Paul Carey", "d:Description": "A professional classically-trained baritone working in opera, oratorio, concert, rectial, and recording. Biography, CV, appearances, photos, and news.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.paulcareyjones.com/"} +{"d:Title": "Nolte, Raimund", "d:Description": "Baritone. Includes reviews, repertoire and audio files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.raimund-nolte.de/"} +{"d:Title": "Redd, Fredrick", "d:Description": "American baritone. Repertoire, reviews, schedule, sound clips and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.fredredd.com/"} +{"d:Title": "Rucker, Mark", "d:Description": "Baritone international opera singer. Listen to audio clips and view his bio, repertoire, critical acclaim, and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.markrucker.com/"} +{"d:Title": "Salvi, John", "d:Description": "Young American baritone's site includes biography, reviews, resume, opera photographs, and song selections.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.johnsalvi.com/"} +{"d:Title": "Whelan, Paul", "d:Description": "Baritone's official site includes biography, recordings and sound clips, gallery and engagements.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.paulwhelan.co.uk/"} +{"d:Title": "Williams, Jeremy Huw", "d:Description": "Baritone from Wales with a growing international reputation. News, reviews, biography, photo gallery, and discography. In English and Welsh.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.jeremyhuwwilliams.com/"} +{"d:Title": "Bording, Peter", "d:Description": "Dutch baritone. Official site inclides biography, repertoire, calendar, reviews, pictures and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.peterbording.com/"} +{"d:Title": "Bastianini, Ettore", "d:Description": "The complete discography of the Italian baritone. [English/Italian]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://members.tripod.com/~davidmacchi/Bastianiniing.html"} +{"d:Title": "Berg, Nathan", "d:Description": "Canadian baritone. Biography, audio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=berg"} +{"d:Title": "Coleman-Wright, Peter", "d:Description": "Australian baritone. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=coleman-wright"} +{"d:Title": "Cross, Gregory", "d:Description": "Baritone. Appeared in opera, musicals, theatre, and television across Canada and Great Britain.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://webhome.idirect.com/~gcross/"} +{"d:Title": "Genz, Stephan", "d:Description": "German baritone. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=genz"} +{"d:Title": "Wade, Eddie", "d:Description": "Baritone based in England, includes his resume of roles, reviews and blog.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.eddiewade.co.uk/"} +{"d:Title": "Jesse, Dennis", "d:Description": "Biography, repertoire, resume, and reviews for the American baritone.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.dennisjesse.com/"} +{"d:Title": "Cummings, Andrew", "d:Description": "Includes profile, lists of recent and scheduled performances, repertoire with audio clips, and photographs. New York, USA.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.andrewcummings.com/"} +{"d:Title": "Womack, Mark", "d:Description": "American lyric baritone. Biography, schedule, resume, management and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://markwomack.com/"} +{"d:Title": "Wolfe, Nyle", "d:Description": "Irish operatic baritone, includes audio samples, video, photos, music and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.nylewolfe.com/"} +{"d:Title": "Potter, Thomas", "d:Description": "Biographical and repertoire information, production photographs and sound clips, and reviews of the American operatic baritone.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.thomaspotteronline.com/"} +{"d:Title": "Pomponi, Franco", "d:Description": "Provides his schedule, biography, C.V., management and contact information, photograph and media galleries.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.francopomponi.com/"} +{"d:Title": "Hollis, Zeffin Quinn", "d:Description": "American baritone, provides his biography, featured performances, photos and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.zeffin.com/"} +{"d:Title": "Di Felice, Marco", "d:Description": "Italian baritone, provides reviews, photos, a video gallery and discography. [Italian/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.marcodifelice.it/"} +{"d:Title": "Golder, Andrew", "d:Description": "Opera / concert baritone and narrator, provides biography, repertoire, press reviews, photographs, recordings and contact details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.andrewgolder.com/"} +{"d:Title": "Hynninen, Jorma", "d:Description": "Finnish baritone. Biography, select discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.bach-cantatas.com/Bio/Hynninen-Jorma.htm"} +{"d:Title": "Cemore, Alan", "d:Description": "Italian-American operatic baritone, provides his resume, repertoire lists, photos, press, performance dates, audio excerpts and contact details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.cemore.com/"} +{"d:Title": "Mascarenhas, Claudio", "d:Description": "Brazilian operatic baritone and actor, now based in New York. Includes repertoire and resume, schedule and multimedia content.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.claudiomascarenhas.com/"} +{"d:Title": "Michaels-Moore, Anthony", "d:Description": "British winner of the 1985 Luciano Pavarotti Competition. Provides his biography, engagements, list of recordings with audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.anthonymichaelsmoore.com/"} +{"d:Title": "Davies, Gavin", "d:Description": "Profile of the Welsh baritone with biography and pictures.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones", "url": "http://www.gavin-davies.com/"} +{"d:Title": "Russell Braun Encounters Don Giovanni", "d:Description": "Profile and interview by Joseph So, published in La Scena Musicale in 2002.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Braun,_Russell", "url": "http://www.scena.org/lsm/sm7-5/Braun-en.html"} +{"d:Title": "Cheryl North Interviews Russell Braun", "d:Description": "A profile of the baritone, from 2004.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Braun,_Russell", "url": "http://www.northworks.net/c_braun_russell.htm"} +{"d:Title": "Russell Braun", "d:Description": "Official site of the international lyric baritone. Biography, repertoire, schedule, photos, and music selections.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Braun,_Russell", "url": "http://www.russellbraun.com/"} +{"d:Title": "At the Balalaika", "d:Description": "Fan page with biography, filmography, pictures, information on his leading ladies, quotes, bibliography, messageboard, fan clubs, FAQs and news.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Eddy,_Nelson", "url": "http://marietta32.tripod.com/"} +{"d:Title": "Jeanette MacDonald and Nelson Eddy Home Page", "d:Description": "The Mac/Eddy Club's site with biography, filmography, books, news, meetings, membership information and chat.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Eddy,_Nelson", "url": "http://www.maceddy.com/"} +{"d:Title": "All Music Guide: Nelson Eddy", "d:Description": "Biography, discography, related artists and musical highlights.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Eddy,_Nelson", "url": "http://www.allmusic.com/artist/nelson-eddy-p12854"} +{"d:Title": "The Internet Movie Database (IMDb): Nelson Eddy", "d:Description": "Biography, list of film credits and pictures.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Eddy,_Nelson", "url": "http://www.imdb.com/name/nm0248904/"} +{"d:Title": "Fischer-Dieskau, Dietrich", "d:Description": "German baritone. All aspects of the career of the most recorded singer of all time. [German/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Fischer-Dieskau,_Dietrich", "url": "http://www.mwolf.de/start.html"} +{"d:Title": "Fischer-Dieskau, Dietrich", "d:Description": "German baritone. Opernwelt interview - \"On the enjoyment of challenges\".", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Fischer-Dieskau,_Dietrich", "url": "http://www.gopera.com/lieder/fidi_interview.html"} +{"d:Title": "Fischer-DieskauList", "d:Description": "Mailing list for the discussion of the life and works of the singer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Fischer-Dieskau,_Dietrich", "url": "http://groups.yahoo.com/group/Fischer-DieskauList/"} +{"d:Title": "MusicWeb: Interview with Matthias Goerne", "d:Description": "Covers his operatic and recital repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Goerne,_Matthias", "url": "http://www.musicweb-international.com/SandH/2002/Aug02/Goerne_interview.htm"} +{"d:Title": "Hyperion: Thomas Hampson", "d:Description": "Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Hampson,_Thomas", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=hampson"} +{"d:Title": "HampSong", "d:Description": "Official site of Thomas Hampson. Includes a performance diary, biography, discography, music to listen to, essays, and information about the Hampsong-Foundation.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Hampson,_Thomas", "url": "http://www.hampsong.com/"} +{"d:Title": "Thomas Hampson: I Hear America Singing", "d:Description": "A companion site to the PBS A Great Performances special on the American Concert Song. Includes a biography of Hampson as well as audio and video clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Hampson,_Thomas", "url": "http://www.pbs.org/wnet/ihas/"} +{"d:Title": "Song of America Tour", "d:Description": "Contains information about the Song of America tour and a biography of Thomas Hampson.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Hampson,_Thomas", "url": "http://www.loc.gov/creativity/hampson/"} +{"d:Title": "Telegraph Arts: Interview with Dmitri Hvorostovsky", "d:Description": "Covers cross-over projects, his upbringing in Siberia, roles, and voices he admires.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Hvorostovsky,_Dmitri", "url": "http://www.telegraph.co.uk/culture/3575780/I-wouldnt-sing-with-Madonna-for-1m.html"} +{"d:Title": "Dmitri Hvorostovsky", "d:Description": "Official site with news, schedule, press, pictures and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Hvorostovsky,_Dmitri", "url": "http://www.hvorostovsky.com/"} +{"d:Title": "Yahoo! Groups : Fans of Simon Keenlyside", "d:Description": "Discussion group.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Keenlyside,_Simon", "url": "http://launch.groups.yahoo.com/group/fansofsimonkeenlyside/"} +{"d:Title": "MusicWeb: Interview with Simon Keenlyside", "d:Description": "Discussion of his operatic and recital repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Keenlyside,_Simon", "url": "http://www.musicweb-international.com/SandH/2002/Mar02/Simon_Keenlyside.htm"} +{"d:Title": "Askonas Holt: Simon Keenlyside", "d:Description": "Management page. Profile, reviews quotes, and news.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Keenlyside,_Simon", "url": "http://www.askonasholt.co.uk/artists/singers/baritone-bass-baritone/simon-keenlyside"} +{"d:Title": "Classical Net Review - Bo Skovhus - Arias", "d:Description": "CD review.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Skovhus,_Bo", "url": "http://www.classical.net/music/recs/reviews/s/sny60035a.html"} +{"d:Title": "Sony Masterworks Artist: Skovhus, Bo", "d:Description": "Biography, discography and tour schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Baritones/Skovhus,_Bo", "url": "http://www.sonymasterworks.com/artists/boskovhus/"} +{"d:Title": "Cummings, Andrew", "d:Description": "Bass-Baritone. View a schedule of performances as well as a list of recent performances.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.andrewcummings.com/"} +{"d:Title": "Ramey, Samuel", "d:Description": "American bass-baritone. biography, discography, videography, schedule, bibliography. reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.samuelramey.com/"} +{"d:Title": "Scott, Russell", "d:Description": "Bass - Baritone. Specialising in Oratorio and Opera. Based in the UK. Provides biography, performances and repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.russellscott.me.uk/"} +{"d:Title": "Lemalu, Jonathan Fa'afetai", "d:Description": "Bass-baritone from New Zealand. Biographical information, engagements diary, news, sound clips, review quotes and press kit.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.jonathanlemalu.com/"} +{"d:Title": "B\u00e4r, Olaf", "d:Description": "German baritone, lieder specialist. Short biography and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.bach-cantatas.com/Bio/Bar-Olaf.htm"} +{"d:Title": "Bernstein, Richard", "d:Description": "American bass-baritone. Biography, repertoire, reviews, schedule and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.richardbernstein.com/"} +{"d:Title": "Cordes, George", "d:Description": "Includes his biography, photographs, roles performed, and performance schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.cordesbass.com/"} +{"d:Title": "May, Jonathan", "d:Description": "Information about this bass baritone including repertoire, contact information and booking details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.jonmay.co.uk/"} +{"d:Title": "Di Pasquale, Luciano", "d:Description": "Opera singer from Teramo performing with major companies in Europe. Provides his biography, repertoire, photos and discography. [Italian/French/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones", "url": "http://www.lucianodipasquale.it/"} +{"d:Title": "Thomas Quasthoff", "d:Description": "Official site. Biography, discography, interviews, reviews, schedule and sound samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones/Quasthoff,_Thomas", "url": "http://thomas-quasthoff.com/"} +{"d:Title": "The Lebrecht Weekly: Thomas Quasthoff - A Wonderfully Vocal Minority", "d:Description": "Thomas Quasthoff talks about his life.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones/Quasthoff,_Thomas", "url": "http://www.scena.org/columns/lebrecht/001018-NL-Quasthoff.html"} +{"d:Title": "Deutsche Grammophon", "d:Description": "News, biography, and label discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Bass-Baritones/Terfel,_Bryn", "url": "http://www.deutschegrammophon.com/us/artist/terfel/"} +{"d:Title": "Colombara, Carlo", "d:Description": "Information about the Italian bass available in various languages. Includes biography, discography, dates, reviews, photos, and audio.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.carlocolombara.com/"} +{"d:Title": "Dickerson, Christopher", "d:Description": "Bass opera singer. Schedule, contact, biography, resume, and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.chrisdickerson.com/"} +{"d:Title": "Kavrakos, Dimitri", "d:Description": "Bass. Biography, photographs, calendar, repertoire, reviews, and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.kavrakos.com/"} +{"d:Title": "Kruglov, Jurij", "d:Description": "Ukrainian bass opera singer. Photos, vitae, repertory, and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.jurijkruglov.com/"} +{"d:Title": "Pape, Rene", "d:Description": "Official website of the German Bass which includes biography, repertoire, discography and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.renepape.com/"} +{"d:Title": "Bilgili, Burak", "d:Description": "Official site includes biography, repertoire, and photo gallery of the Turkish bass.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.burakbilgili.com/"} +{"d:Title": "Chaliapin, Fyodor Ivanovich", "d:Description": "Russian basso, lived 1873 to 1938. Short biography and list of recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.abcgallery.com/bio/chaliapin.html"} +{"d:Title": "Magoulas, Petros", "d:Description": "An active opera and concert singer, born in Athens, Greece. Includes a discography, list of roles, photos and MP3 samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.magoulas.net/"} +{"d:Title": "Uloth, Michael", "d:Description": "Canadian Bass. Biography, engagements, repertoire, audio, reviews, and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.michaeluloth.com/"} +{"d:Title": "Wittmoser, Carsten", "d:Description": "German bass, includes a brief profile and repertoire. [German/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://carstenwittmoser.de/"} +{"d:Title": "Theule, Gerrit", "d:Description": "Canadian/American bass. Brief biography, resum\u00e9, photos, and upcoming performances.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.gerrittheule.com/"} +{"d:Title": "Kyropoulos, Dionysios", "d:Description": "Greek bass. Biography, upcoming performances and contact details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.kyropoulos.com/"} +{"d:Title": "Davies, Neal", "d:Description": "Welsh bass. Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.hyperion-records.co.uk/a.asp?a=A560&name=ndavies"} +{"d:Title": "Cornwell, Joseph", "d:Description": "English bass. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.hyperion-records.co.uk/a.asp?a=A1081&name=cornwell"} +{"d:Title": "Connell, John", "d:Description": "English bass. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.hyperion-records.co.uk/a.asp?a=A1416&name=jconnell"} +{"d:Title": "Howell, Gwynne", "d:Description": "Welsh bass. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.hyperion-records.co.uk/a.asp?a=A1297&name=howell"} +{"d:Title": "Kostopoulos, Vassilis", "d:Description": "Official site of the Athenian bass. Biography, C.V., future engagements, photograph gallery and contact.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://vassiliskostopoulos.com/"} +{"d:Title": "Reeves, Paul", "d:Description": "Details of the British bass opera and classical singer, including CV, biography, photographs, and press reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses", "url": "http://www.littlegables.co.uk/paul/Paul_Reeves_-_Bass_Concert_&_Opera_Singer"} +{"d:Title": "Paul Robeson Centennial Celebration", "d:Description": "The singer would have been 100 years old in 1998.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Basses/Robeson,_Paul", "url": "http://www.cpsr.cs.uchicago.edu/robeson/"} +{"d:Title": "Hoogklimmer, Wiebke", "d:Description": "German contralto and opera director from Berlin. Introduction to her vocal recital programmes (lieder) and to the sound of her voice (RealAudio and MP3).", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Contraltos", "url": "http://www.wiebkehoogklimmer.de/"} +{"d:Title": "Podles, Ewa", "d:Description": "Biography, discography, performance schedule and pictures of the Polish contralto. [English/Polish]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Contraltos", "url": "http://www.podles.pl/"} +{"d:Title": "Mercedes, Karen", "d:Description": "American contralto. Resume, performances and links to other interests.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Contraltos", "url": "http://artfuljesus.0catch.com/karenmercedes.html"} +{"d:Title": "Denley, Catherine", "d:Description": "English contralto. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Contraltos", "url": "http://www.hyperion-records.co.uk/a.asp?a=A379&name=denley"} +{"d:Title": "Afrocentric Voices: Marian Anderson", "d:Description": "Includes biography, collections, events, and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Contraltos/Anderson,_Marian", "url": "http://www.afrovoices.com/anderson.html"} +{"d:Title": "Penn Special Collections: Marian Anderson: A Life in Song", "d:Description": "Information about the exhibit, featuring a biography with photos, video clips, and sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Contraltos/Anderson,_Marian", "url": "http://www.library.upenn.edu/exhibits/rbm/anderson/"} +{"d:Title": "Cantabile-Subito: Ferrier, Kathleen", "d:Description": "Biographical notes, photos, selected discography and a recording.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Contraltos/Ferrier,_Kathleen", "url": "http://www.cantabile-subito.de/Contraltos/Ferrier__Kathleen/ferrier__kathleen.html"} +{"d:Title": "Oberlin, Russell", "d:Description": "American haute-contre. Sang music of various types but emphasized early music. He was a founding member of Greenberg's New York Pro Musica. Operatic roles include appearances at the Royal Opera House and Convent Garden.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.medieval.org/emfaq/performers/oberlin.html"} +{"d:Title": "Ogden, Robert", "d:Description": "English countertenor. Sings classical and baroque operas and oratorios. He was awarded the Curtis Gold Medal for singing. He studies with Michael Chance and Dinah Harris.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.robertogden.co.uk/"} +{"d:Title": "Scholl, Andreas", "d:Description": "German countertenor. Specializes in baroque music but is also a pop composer and singer. He was the first countertenor and German to sing at The Last Night of the Proms.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.andreasschollsociety.org/"} +{"d:Title": "Manzotti, Angelo", "d:Description": "Italian sopranist. He has dedicated himself to the rediscovery of the historic repertoire of castrati. Known for his special vocal technique where only the front parts of the vocal chords vibrate.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://manzotti.phpnet.us/"} +{"d:Title": "Raunig, Arno", "d:Description": "Austrian sopranist. Specializes in baroque opera but has also sung in romantic and contemporary operas. He has sung in various opera houses throughout Europe including the Paris Opera de la Bastille. [English and German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.arno-raunig.at/"} +{"d:Title": "Gall, Jeffrey", "d:Description": "American countertenor. Particularly known for solo roles in baroque and contemporary opera. In 1988, he was the first countertenor to sing a major role at the Metropolitan Opera. He's a founding member of the Italian vocal ensemble Il Terzo Suono.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.bach-cantatas.com/Bio/Gall-Jeffrey.htm"} +{"d:Title": "Yatsenko, Yevgen", "d:Description": "Ukrainian countertenor. Sang classical music and variety of other music as well.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.yevgen-yatsenko.com/"} +{"d:Title": "Waschinski, J\u00f6rg", "d:Description": "German sopranist. Specializes in baroque works written for castrati but has also sung romantic and contemporary work. [English and German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.joerg-waschinski.de/"} +{"d:Title": "Clapton, Nicholas", "d:Description": "English countertenor. Well-known for his performance of contemporary and early music.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.nicholasclapton.com/"} +{"d:Title": "Deller, Alfred", "d:Description": "English countertenor. Pioneer in countertenor singing and authentic early music performance.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://www.medieval.org/emfaq/performers/deller.html"} +{"d:Title": "Dumaux, Christophe", "d:Description": "French countertenor. Focuses on classical and baroque music. [English, French and German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors", "url": "http://imgartists.com/artist/christophe_dumaux"} +{"d:Title": "Asawa, Brian", "d:Description": "American countertenor. Specializes in baroque and classical opera. Has received numerous awards for his achievements as a countertenor. In 1991, he was the first countertenor to win the Metropolitan Opera National Council Auditions.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Asawa,_Brian", "url": "http://www.brianasawa.com/"} +{"d:Title": "Asawa, Brian - Interview", "d:Description": "Brian Asawa answers questions about his voice.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Asawa,_Brian", "url": "http://www.culturekiosque.com/opera/intervie/rheasawa.html"} +{"d:Title": "Bowman, James", "d:Description": "English countertenor. His career spans opera, oratorio, contemporary music and solo recitals. He was awarded the Medal of Honour of the city of Paris for his contribution to its musical life.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Bowman,_James", "url": "http://www.users.globalnet.co.uk/~pattle/bowman/"} +{"d:Title": "Bowman, James - Hypherion Records", "d:Description": "Hypherion discography of James Bowman with audio samples plus biography mentioning his world premieres of contemporary compositions by composers such as Benjamin Britten.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Bowman,_James", "url": "http://www.hyperion-records.co.uk/a.asp?a=A75&name=bowman"} +{"d:Title": "Chance, Michael - Hypherion Records", "d:Description": "Hyperion discography of Michael Chance with audio samples plus biography mentioning a Grammy award for participation in Handel's Semele for Deutsche Grammophon.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Chance,_Michael", "url": "http://www.hyperion-records.co.uk/a.asp?a=A390&name=chance"} +{"d:Title": "Daniels, David", "d:Description": "American countertenor. Specializes in baroque and classical music but has also sung more recent works. Well-known for his unusually powerful countertenor voice.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Daniels,_David", "url": "http://www.danielssings.com/"} +{"d:Title": "Daniels, David - Yahoo! Discussion Group", "d:Description": "Discussion group about David Daniels.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Daniels,_David", "url": "http://launch.groups.yahoo.com/group/DavidDanielsFans/"} +{"d:Title": "Daniels, David - Interview", "d:Description": "Daniels talks about his career, voice and shares some information about his personal life.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Countertenors/Daniels,_David", "url": "http://www.parterre.com/daniels.htm"} +{"d:Title": "Pinter, Brigitte", "d:Description": "Features biography, discography, press articles, repertoire and multimedia selections of the German Mezzo-Soprano. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.brigittepinter.com/"} +{"d:Title": "Wieben, Anne", "d:Description": "American mezzo-soprano now living in Austria. Provides her repertoire, news, photos and CD information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://annewieben.info/"} +{"d:Title": "Ko\u017een\u00e1, Magdalena", "d:Description": "Czech mezzo-soprano. Biography, discography, photo gallery, performance diary, press and forum.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.kozena.cz/"} +{"d:Title": "Alperyn, Graciela", "d:Description": "C.V. repertoire, photos and news about the mezzosoprano in English and German.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.gracielaalperyn.com/"} +{"d:Title": "Connolly, Sarah", "d:Description": "English mezzo soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=connolly"} +{"d:Title": "Duke, Cherry", "d:Description": "Biography, resume, repertoire, reviews, and photographs of the American mezzo soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.cherryduke.com/"} +{"d:Title": "Fassbaender, Brigitte", "d:Description": "German mezzo soprano and opera director. Biography, schedule, discography, bibliography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://sopranos.freeservers.com/brigitte.htm"} +{"d:Title": "Fink, Bernarda", "d:Description": "Argentinian mezzo soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=fink"} +{"d:Title": "Firestone, Adria", "d:Description": "Mezzo soprano; biography, discography, publications, reviews, and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.adriafirestone.com/"} +{"d:Title": "Fredette, Gis\u00e8le", "d:Description": "Mezzo-Soprano from Canada performs operas and operettas internationally. Well known for broadway, voiceovers, concerts, jingle singer, acting and commercials.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.giselefredette.com/"} +{"d:Title": "Graves, Denyce", "d:Description": "Official home page of the American mezzo-soprano, with pictures, calendar, discography and sound clips, and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.denycegraves.com/"} +{"d:Title": "Hatziano, Markella", "d:Description": "Greek mezzo soprano having appeared with most major orchestras and opera companies in the world.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.markella.com/"} +{"d:Title": "James, Buddug Verona", "d:Description": "Welsh mezzo soprano. Biography, discography, reviews, sound clips. (official site)", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.buddug.co.uk/"} +{"d:Title": "Kleeman, Sarah", "d:Description": "American mezzo-soprano; photos, resume, schedule, and an MP3 file.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://sarahkleeman.com/"} +{"d:Title": "Meyerson, Janice", "d:Description": "Mezzo-Soprano - biography, photos, repertoire", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://operastuff.com/janicemeyerson/"} +{"d:Title": "Mott, Louise", "d:Description": "British mezzo-soprano. Biography, repertoire list, review quotes, upcoming performances and agent contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.louisemott.net/"} +{"d:Title": "Obraztsova, Elena", "d:Description": "Russian mezzo soprano. Biography, discography, schedule, sound clips, bibliography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://sopranos.freeservers.com/elena.htm"} +{"d:Title": "Prewitt, Stephanie", "d:Description": "A mezzo-soprano singer of medieval, Renaissance, Baroque, classic, and twentieth century music.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://stephanieprewitt.com/"} +{"d:Title": "Rivera, Jennifer", "d:Description": "Mezzo-Soprano. Biography, photos, audio and contact.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.jenniferrivera.com/"} +{"d:Title": "Shaham, Rinat", "d:Description": "Israeli mezzo-soprano. Biography, reviews, pictures, discography, schedules and contacts.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.rinatshaham.com/"} +{"d:Title": "Stade, Frederica von", "d:Description": "American mezzo-soprano's official site. Latest news, biography, engagements, reviews, discography and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.fredericavonstade.com/"} +{"d:Title": "Theisen, Gisela", "d:Description": "Mezzo-soprano. Biography, repertoire, engagements, music.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.gisela-theisen.net/"} +{"d:Title": "Todd, Zoe", "d:Description": "Concerts, photos, recordings, and sound files for the Welsh mezzo soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.zoetodd.com/"} +{"d:Title": "Vizin, Viktoria", "d:Description": "Official site of the Hungarian mezzo-soprano. Includes photographs, reviews, her schedule, news, and downloads.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.viktoriavizin.com/"} +{"d:Title": "Wilcox, Ann Marie", "d:Description": "American mezzo-soprano: opera performance calendar, biography, photos, sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.classicalsinger.com/sites/index.php?pt=1&user_id=86815"} +{"d:Title": "Zabala, Adriana", "d:Description": "Mezzo-soprano. Biography, photos, audio, video, engagements, reviews, and curriculum. [English and Spanish versions]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://adrianazabala.com/"} +{"d:Title": "Kathryn Allyn, mezzo-soprano", "d:Description": "American opera singer's site includes reviews, repertoire, schedule, biography, photos and contact information. Roles include Carmen, Suzuki, Nicklausse.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://kathrynallyn.com/"} +{"d:Title": "Livengood, Victoria", "d:Description": "American mezzo-soprano's operas, recordings, discographies, reviews, and her role as Carmen.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.victorialivengood.com/"} +{"d:Title": "Baribeau, Jocelyne", "d:Description": "Mezzo-soprano from Winnipeg, Canada. Includes performance information, audio samples and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.members.shaw.ca/j.baribeau/"} +{"d:Title": "Berner, Regina", "d:Description": "German mezzo-soprano. Audio and stage pictures. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.regina-berner.de/"} +{"d:Title": "Bickley, Susan", "d:Description": "English mezzo-soprano. Biography, audio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=bickley"} +{"d:Title": "Blake, Elizabeth", "d:Description": "Biography, audio sample and photographs of the American mezzo-soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://primamezzo.homestead.com/"} +{"d:Title": "Sze, Melody", "d:Description": "Mezzo-soprano performing opera and concerts in her native Hong Kong and in Michigan where she is a music professor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.melodysze.com/"} +{"d:Title": "Meier, Waltraud", "d:Description": "Biography, photos, schedule, repertoire and news of the German mezzo.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.waltraud-meier.de/"} +{"d:Title": "Skok, Heidi", "d:Description": "American mezzo-soprano sings at the Metropolitan Opera and teaches at New England Conservatory. Biography, repertoire, recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://heidiskok.com/"} +{"d:Title": "Lewis, Anne", "d:Description": "Scottish mezzo-soprano with experience in performance, recording and teaching. Provides her biography and CD details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.annelewis.co.uk/"} +{"d:Title": "Ziegler, Meredith", "d:Description": "American mezzo-soprano. Includes biography, resume, photos, audio samples and upcoming engagements. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.meredithziegler.com/"} +{"d:Title": "Antonacci, Anna Caterina", "d:Description": "An appreciation of the Italian mezzo soprano/soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.parterre.com/annac.htm"} +{"d:Title": "Brister, Wanda", "d:Description": "An American lyric mezzo-soprano. Biography, repertoire, performance history and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.wandabrister.com/"} +{"d:Title": "Braunstein, Buenaventura", "d:Description": "American-born German mezzo-soprano, director, actress, designer, writer, dancer. Career, audio samples and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.buenaventurab.de/"} +{"d:Title": "Budai, Livia", "d:Description": "International mezzo-soprano. Biography, repertoire, recordings, photographs, paintings, discography, reviews and contact information. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.liviabudai.com/"} +{"d:Title": "Pracht, Katherine", "d:Description": "Includes biography, resume, repertoire, photos and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://katherinepracht.com/"} +{"d:Title": "Kalivodov\u00e1, Andrea", "d:Description": "Soloist of the State Opera Prague. Provides her studied works, photos, audio and video previews. [Czech/German/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.kalivodova.cz/"} +{"d:Title": "Gabouri , Elena", "d:Description": "Russian-French mezzo-soprano, provides her biography, repertoire, schedule, reviews and contact information.[English/French]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://gabouri.com/"} +{"d:Title": "Schreur, Mirjam", "d:Description": "Dutch mezzo-soprano, includes her biography, agenda, music files and pictures. [English/Dutch/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.mirjamschreur.nl/"} +{"d:Title": "Legg, Susan", "d:Description": "English mezzo-soprano specialising in recordings and performances of contemporary works. Features performance highlights, recordings and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://susanlegg.com/"} +{"d:Title": "Sproule, Jennifer", "d:Description": "Canadian mezzo-soprano performing in opera, oratorio, concerts and recitals. Includes sound files, calendar and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.jennifersproule.com/"} +{"d:Title": "Bush, Dorothy Jean", "d:Description": "American mezzo-soprano, provides her news, biography, engagements, reviews, discography and photos. Available as voice teacher/coach, performer, clinician and agent.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.classicalsinger.com/sites/index.php?user_id=109406"} +{"d:Title": "Kovago, Andrea", "d:Description": "Lyric Mezzo-soprano vocalist and opera classical performer, based in Montreal. Contains biography, upcoming performances, and recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://andreakovago.com/"} +{"d:Title": "Paasikivi, Lilli", "d:Description": "Principal soloist at the Finnish National Opera. Features her biography, photos and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.lillipaasikivi.com/"} +{"d:Title": "Huckle, Claudia", "d:Description": "British mezzo-soprano who has performed in Europe and the United States.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.claudiahuckle.com/"} +{"d:Title": "Senter, Lester", "d:Description": "American mezzo provides her resume, career highlights and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.lestersenter.com/"} +{"d:Title": "Barton, Jamie", "d:Description": "American mezzo-soprano provides her biography and resume, schedule, blog and audio files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.jamiebartonmezzo.com/"} +{"d:Title": "Arnold, Jennifer", "d:Description": "Biography, repertoire, schedule, reviews, and photographs of the American mezzosoprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.jenniferarnold.de/"} +{"d:Title": "Gottwald, Franziska", "d:Description": "German mezzo-soprano, provides her experience and repertoire with photos, audio samples and recordings. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos", "url": "http://www.franziskagottwald.de/"} +{"d:Title": "Opera Duets: Agnes Baltsa", "d:Description": "Information about roles, schedule, links and photos of Agnes Baltsa in duet.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Baltsa,_Agnes", "url": "http://agnesbaltsa.operaduets.com/"} +{"d:Title": "Wikipedia: Cecilia Bartoli", "d:Description": "Brief biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Bartoli,_Cecilia", "url": "http://en.wikipedia.org/wiki/Cecilia_Bartoli"} +{"d:Title": "Cecilia Bartoli", "d:Description": "Official site. Album information, news, biography, discography, audio and video, photos and concerts.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Bartoli,_Cecilia", "url": "http://www.ceciliabartolionline.com/"} +{"d:Title": "Decca: Cecilia Bartoli", "d:Description": "Web documentary compiled from personal photos and narration, biography, discography, tour dates, several video clips and a 1999 interview.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Bartoli,_Cecilia", "url": "http://www.deccaclassics.com/us/artist/bartoli/"} +{"d:Title": "Susan Graham", "d:Description": "News, performance schedule, discography, press quotes and a photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Graham,_Susan", "url": "http://www.susangraham.com/"} +{"d:Title": "Soprano Central: Marilyn Horne", "d:Description": "Biography, discography and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Horne,_Marilyn", "url": "http://sopranos.freeservers.com/horne.htm"} +{"d:Title": "Marilyn Horne&Friends", "d:Description": "A Saint Paul Sunday Feature of Marilyn Horne and friends discussing their experiences as singers.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Horne,_Marilyn", "url": "http://saintpaulsunday.publicradio.org/features/0201_horne/"} +{"d:Title": "Marilyn Horne (Mezzo-soprano) - Short Biography", "d:Description": "Biography, photos, discography of her Bach recordings, and links to other Horne sites.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Horne,_Marilyn", "url": "http://www.bach-cantatas.com/Bio/Horne-Marilyn.htm"} +{"d:Title": "Decca Music Group - Marilyn Horne", "d:Description": "Biography, selected recordings, complete discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Horne,_Marilyn", "url": "http://www.deccaclassics.com/us/artist/horne/whatsnew"} +{"d:Title": "Bach Cantatas Website: Angelika Kirchschlager", "d:Description": "Includes a short biography, photos, information about her recordings of Bach, and links to other sites.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Kirchschlager,_Angelika", "url": "http://www.bach-cantatas.com/Bio/Kirchschlager-Angelika.htm"} +{"d:Title": "The Accidental Diva", "d:Description": "Guardian interview in which Angelika Kirchschlager talks about her career and repertoire", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Kirchschlager,_Angelika", "url": "http://www.theguardian.com/lifeandstyle/2002/jan/18/shopping.artsfeatures"} +{"d:Title": "Christa Ludwig: Looking Back", "d:Description": "Interview by Philip Anson. Includes discography and audio clips. [English/French]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Ludwig,_Christa", "url": "http://www.scena.org/lsm/sm5-3/ChristaLudwig-en.htm"} +{"d:Title": "Cantabile-Subito: Ludwig, Christa", "d:Description": "German Mezzo-Soprano. Brief biography, photos, substantial discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Ludwig,_Christa", "url": "http://www.cantabile-subito.de/Mezzo-Sopranos/Ludwig__Christa/hauptteil_ludwig__christa.html"} +{"d:Title": "Otter, Anne Sofie von", "d:Description": "Biographical notes and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Otter,_Anne_Sofie_von", "url": "http://www.bach-cantatas.com/Bio/Otter-Anne-Sophie-von.htm"} +{"d:Title": "Otter, Anne Sofie von", "d:Description": "Biography, discography. Deutsche Grammophon Classics site.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Mezzo-Sopranos/Otter,_Anne_Sofie_von", "url": "http://www.deutschegrammophon.com/us/artist/von-otter/whatsnew"} +{"d:Title": "Bayo, Maria", "d:Description": "Spanish soprano. Official site includes biography, schedule, complete discography, gallery, audio samples and interviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.mariabayo.net/"} +{"d:Title": "Negri, Adelaide", "d:Description": "Biography, discography and pictures of this soprano born in Buenos Aires, Argentina.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.adelaidanegri.com/"} +{"d:Title": "Morigi, Gabriella", "d:Description": "Italian soprano; biography, discography, repertoire, discography, photos, and schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.gabriella-morigi.com/"} +{"d:Title": "Randall, Kerstin", "d:Description": "Lists her biography, repertoire, performance schedule, and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.kerstinrandall.com/"} +{"d:Title": "Johannsen, Sarah", "d:Description": "Soprano's biography, photographs, reviews and calendar of events. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.sarahjohannsen.com/"} +{"d:Title": "Fern\u00e1ndez Gonz\u00e1lez, Araceli", "d:Description": "Spanish soprano with a repertoire of Spanish songs, zarzuela, opera and chamber music from the Renaissance to the 21st century. [Spanish/German/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.araceli-fernandez.ch/"} +{"d:Title": "Culmer-Schellbach, Lona", "d:Description": "Opera singer at the State Theater Kassel, Germany, lists roles from Berg to Wagner, and concert repertoire from Bach to Verdi. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.lona-culmer-schellbach.de/"} +{"d:Title": "Hayashi, Masako", "d:Description": "Japanese soprano, Includes biography, discography and concert information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.masakohayashi.com/"} +{"d:Title": "Dadvar, Darya", "d:Description": "Iranian soprano soloist, living in Paris, France, who performs a blend of opera and traditional music of iran.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.daryadadvar.com/"} +{"d:Title": "Soprano Central", "d:Description": "Biographies, pictures, and schedules of sopranos (and mezzos).", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/sopranob.htm"} +{"d:Title": "Albani, Emma", "d:Description": "French-Canadian soprano. Biography, discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/albani.htm"} +{"d:Title": "Anthony, Susan", "d:Description": "Biography, repertoire, calendar, guestbook, press, photos, audio samples and discography for the soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.susan-anthony.com/"} +{"d:Title": "Asensio, Fides", "d:Description": "Philippine soprano. Biography, schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/asensio.htm"} +{"d:Title": "Caballero, Elizabeth", "d:Description": "American soprano; biography, resume, repertoire, schedule, photos, and sound files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.elizabethcaballero.com/"} +{"d:Title": "Capalbo, Michele", "d:Description": "Includes schedule, reviews, pictures and biography for this soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.michelecapalbo.com/"} +{"d:Title": "Cassello, Kathleen", "d:Description": "American soprano. Biography, schedule, reviews, sound clip.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/kathleen.htm"} +{"d:Title": "Castro, Celia", "d:Description": "Spinto soprano with a voice studio in New York City. Includes biography and sound files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.ladolcediva.com/"} +{"d:Title": "Cerquetti, Anita", "d:Description": "Soprano. Biography, comments, discography, filmography, interviews, and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://griffeaquitaine.free.fr/cerquetti/"} +{"d:Title": "Clark, Stacey", "d:Description": "Soprano. Information about recordings and appearances, interests and associations.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.staceyclark.ca/"} +{"d:Title": "Cobb, Rachel", "d:Description": "Biography, photographs and performances of the soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.rachelcobbsoprano.com/"} +{"d:Title": "Connell, Elizabeth", "d:Description": "English soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=econnell"} +{"d:Title": "Curtis, Nancy", "d:Description": "Soprano. Biography, repertoire, reviews, photos, CD information, and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.nancycurtis.com/"} +{"d:Title": "Daneman, Sophie", "d:Description": "English soprano. Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=daneman"} +{"d:Title": "Fattore, Maria", "d:Description": "Soprano, specializing in Italian heroines and contemporary repertoire. Performance schedule and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.mariafattore.com/diva.htm"} +{"d:Title": "Field, Helen", "d:Description": "Welsh soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=field"} +{"d:Title": "Gencer, Leyla", "d:Description": "Turkish soprano. Biography, discography, sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/gencer.htm"} +{"d:Title": "Gilmore, Gail", "d:Description": "American soprano. Biography, schedule, repertoire, discography, sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/gilmore.htm"} +{"d:Title": "Gonzalez, Ana Maria", "d:Description": "Soprano singer. Includes biography, MP3 files, reviews, repertoire, and contacts.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://idd030ti.eresmas.net/index4.html"} +{"d:Title": "Gooding, Julia", "d:Description": "English soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=gooding"} +{"d:Title": "Gritton, Susan", "d:Description": "English soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=gritton"} +{"d:Title": "Guccione, Rose", "d:Description": "Biography, reviews, and upcoming events for the soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.roseguccione.com/"} +{"d:Title": "Hayes, Catherine", "d:Description": "Irish soprano. Biography of the 19th century singer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.catherinehayes.com/"} +{"d:Title": "Hogue, Elizabeth", "d:Description": "American soprano. Includes resume, calendar, biography, photos, and press reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.elizabethhogue.com/"} +{"d:Title": "Kahn, Marjorie", "d:Description": "An American soprano based in New York. Biography, resume, repertoire, reviews, audio clips, photographs and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.marjoriekahn.com/"} +{"d:Title": "Karaferya, Cenk", "d:Description": "Sopranist. Contains biography, photo gallery, engagements, repertoire and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.cenk-karaferya.8m.com/"} +{"d:Title": "Kemp, Nicola-Jane", "d:Description": "English coloratura sopranos. Opera and concert repertoire, biography, reviews, and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.nicolajanekemp.co.uk/"} +{"d:Title": "Marc, Alessandra", "d:Description": "Soprano based in Washington, DC. Includes biography, schedule, discography, audio and video clips, press, photos and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.alessandramarc.com/"} +{"d:Title": "Marrocu, Paoletta", "d:Description": "Dramatic soprano. Includes repertoire, audio files, news and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.marrocu.com/"} +{"d:Title": "McGreevy, Geraldine", "d:Description": "English soprano. Biography, discography, reviews, details of future appearances. (official site)", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.geraldinemcgreevy.com/"} +{"d:Title": "McGuinness, Nanette", "d:Description": "American lyric soprano, official site. Reviews, engagements, resume, and repertory list.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.nanette.biz/"} +{"d:Title": "McKenna, Anne", "d:Description": "Provides biographical information, reviews and performance schedule for this American soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.davidkellett.com/AMframeSet.htm"} +{"d:Title": "Merbeth, Ricarda", "d:Description": "A German soprano. Includes biography, repertoire and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.ricardamerbeth.de/index.php?inhalt=biography&set_language=en"} +{"d:Title": "Millo, Aprile", "d:Description": "American soprano. Biography, schedule, discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/millo.htm"} +{"d:Title": "Moffo, Anna", "d:Description": "American soprano. Biography, discography, sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/moffo.htm"} +{"d:Title": "Nilsson, Birgit", "d:Description": "Swedish soprano. Biography, discography, bibliography, sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/birgitni.htm"} +{"d:Title": "Parcells, Elizabeth", "d:Description": "Official site providing biography, discography, articles, reviews, photographs and audio files of the American coloratura soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.elizabethparcells.com/"} +{"d:Title": "Parks, Karen", "d:Description": "Official site providing biography, resume, discography, and professional classes by the American soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://karenparks.com/"} +{"d:Title": "Pellegrini, Maria", "d:Description": "Soprano. Biography, pictures reviews, audio, video, and schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://maria_pellegrini.tripod.com/home.html"} +{"d:Title": "Pieczonka, Adrianne", "d:Description": "Official site of the Canadian soprano: Biography, reviews, articles and photographs", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.adriannepieczonka.com/"} +{"d:Title": "Plumley, Kristen", "d:Description": "American soprano sings opera, operetta and musical theater.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.kristenplumley.com/"} +{"d:Title": "Prado, Mayda", "d:Description": "Biography, Photo Gallery, and Scholarly works about the Cuban soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.maydaprado.com/"} +{"d:Title": "Rae-Walker, Gillian", "d:Description": "Soprano. Oratorio and concert soloist. Biography, repertoire, engagement history, and sound clip.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.gillianraewalker.com/"} +{"d:Title": "Rentowska, Renata", "d:Description": "Polish born soprano. Biography. Singing teacher and soloist singer extraordinaire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.angelfire.com/music/rentowska/"} +{"d:Title": "Sass, Sylvia", "d:Description": "Hungarian soprano. Biography, reviews, discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/sylsass.htm"} +{"d:Title": "Schwarzkopf, Elisabeth", "d:Description": "German soprano. Biography, discography, bibliography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/elisabet.htm"} +{"d:Title": "Scotto, Renata", "d:Description": "Italian soprano. Biography, schedule, discography, bibliography, sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/renatasc.htm"} +{"d:Title": "Sounds Sublime", "d:Description": "Soprano duo that perform a rich repertoire of classical vocal duets and solos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.soundssublime.com/"} +{"d:Title": "Vaness, Carol", "d:Description": "American soprano. Biography, schedule, discography, sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://sopranos.freeservers.com/vaness.htm"} +{"d:Title": "Wall, Erin Marie", "d:Description": "Photograph, resume, biography, upcoming concerts, reviews, and news for the lyric soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.erinwall.com/"} +{"d:Title": "Welting, Ruth", "d:Description": "Memorial tribute to American coloratura soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://members.tripod.com/rwelting/"} +{"d:Title": "Wyn-Davies, Catrin", "d:Description": "English soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=wyn-davies"} +{"d:Title": "Yang Temko, Wanda", "d:Description": "Atlanta-based soprano. Includes audio clips, concert photos, recital schedule, and private tuition information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.yangtemko.com/wanda/"} +{"d:Title": "Carlson, Elin", "d:Description": "American soprano details opera performances in the US and Europe including Mozart and Puccini as well as work with Los Angeles jazz vocal groups Sixth Wave and the L.A. Jazz Choir.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.elincarlson.com/"} +{"d:Title": "Claycomb, Laura", "d:Description": "Lyric coloratura soprano offers biography, schedule, repertoire, pictures and audio.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.lauraclaycomb.com/"} +{"d:Title": "Dessay, Natalie", "d:Description": "Culture Kiosque interview from 1998 in which the singer talks about acting and the roles she has played.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.culturekiosque.com/opera/intervie/rhedessay.html"} +{"d:Title": "Freni, Mirella", "d:Description": "Wikipedia article on the Italian soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://en.wikipedia.org/wiki/Mirella_Freni"} +{"d:Title": "Banse, Juliane", "d:Description": "German soprano. Biography, audio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=banse"} +{"d:Title": "Bogner, Anna-Maria", "d:Description": "German soprano. Official site includes resume and repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.bogner.net/"} +{"d:Title": "Bayrakdarian, Isabel", "d:Description": "Armenian-Canadian soprano. Official site includes biography, schedule, resume, reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.bayrakdarian.com/"} +{"d:Title": "Leigh, Denise", "d:Description": "English soprano. Includes appearances and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.deniseleigh.com/"} +{"d:Title": "Lehmann, Lotte", "d:Description": "German soprano. Biography, discography, news, archival information, photos and recommended CDs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.lottelehmann.org/"} +{"d:Title": "Bach, Sarah Elizabeth", "d:Description": "American lyric soprano. Biography, resume, repertoire, photography gallery, recitals and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.sarahbach.com/"} +{"d:Title": "Bodanya, Natalie", "d:Description": "American soprano. Page by one of her pupils. Performance list, musical background, press quotes, and a list of recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.angelfire.com/de3/viviandettbarn/bodanya.html"} +{"d:Title": "Biggs, Julie", "d:Description": "Canadian soprano. Official site includes biography, album information, coming events and fan club.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.juliebiggs.com/"} +{"d:Title": "Lott, Dame Felicity", "d:Description": "English soprano. Biography, discography, calendar, news, reviews and books. [English/French/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.felicitylott.de/"} +{"d:Title": "Bauer, Galina", "d:Description": "Moldovan dramatic soprano describes European performances after winning prize at second international opera singers competition.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.galina.fws1.com/"} +{"d:Title": "Beukman, Margit", "d:Description": "Dutch soprano's biography, agenda, press articles and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.margitbeukman.com/Biography.php?lang=en"} +{"d:Title": "Baird, Janice", "d:Description": "American dramatic soprano. Includes biography, repertoire, photograph galleries, schedule, reviews and audio clips. [English, German, Italian, French, Spanish]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.janicebaird.com/"} +{"d:Title": "Berger, Erna", "d:Description": "Well-known German coloratura soprano. Photographs and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.bach-cantatas.com/Bio/Berger-Erna.htm"} +{"d:Title": "Giordano, Flippa", "d:Description": "Italian soprano. Offers brief biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.femail.com.au/filippagiordano.htm"} +{"d:Title": "Marsh, Jane", "d:Description": "Information about this opera singer, including a biography, repertoire, publications and audio files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.janemarsh.com/"} +{"d:Title": "Moore, Grace", "d:Description": "(1898-1947) Soprano known as \"the Tennessee nightingale.\" Includes photos, sound clips, and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.gracemoore.net/"} +{"d:Title": "Northcutt, Stephanie", "d:Description": "Opera singer. Contains biography, photos, future engagements and resume.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://stephanienorthcutt.20m.com/"} +{"d:Title": "Riemer, Nili", "d:Description": "Israeli-born coloratura soprano working in the Midwestern U.S. lists operatic roles.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.niliriemer.com/"} +{"d:Title": "Schwanewilms, Anne", "d:Description": "German lyric soprano. Featuring streaming audio and video, photographs, news, biography and concert dates.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.anneschwanewilms.com/"} +{"d:Title": "Dawson, Anne", "d:Description": "English soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=adawson"} +{"d:Title": "Woerner, Danielle", "d:Description": "American soprano. Profile, discography. (official site)", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://daniellewoerner.com/"} +{"d:Title": "Wolfmann, Melissa", "d:Description": "Biography, resume, photographs, news, and sound files for the soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.melissawolfmann.com/"} +{"d:Title": "Rowley, Jennifer", "d:Description": "American operatic soprano internationally known for her interpretations of Verdi, Puccini, and the bel canto repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://jenniferrowley.com/"} +{"d:Title": "Alexander, Alicia", "d:Description": "American soprano. Performances, repertoire. Offers additional pages on opera. (Official site)", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.aliciaalexander.com/"} +{"d:Title": "Uliano, Ariella", "d:Description": "A singer of early and traditional music and a teacher of English History and Literature. [English/Italian]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://ariella-music.co.uk/"} +{"d:Title": "Moricca, Audra", "d:Description": "Soprano who performs song recitals in the New York/Tri-State area.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.amkhsong.com/"} +{"d:Title": "Bumbry, Grace", "d:Description": "American soprano/mezzo soprano. An interview with Operanet.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.culturekiosque.com/opera/intervie/rhebumb.htm"} +{"d:Title": "Bronhill, June", "d:Description": "Dedicated to the popular Australian coloratura soprano (1929 - 2005). Contains her discography, biography, photographs and sound files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://stevecutler.tripod.com/"} +{"d:Title": "Bradshaw, Sally", "d:Description": "British soprano and singing teacher. Pictures, lessons, audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.songful.net/"} +{"d:Title": "Rost, Andrea", "d:Description": "A Hungarian lyric soprano. Includes her biography, photos, partial repertoire and recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.andrearost.com/"} +{"d:Title": "Boyd, Amanda", "d:Description": "Audio tracks from the soprano's recent film 'Lucia', press reviews, curriculum vitae and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.amandaboyd.com/"} +{"d:Title": "Watson, Anita", "d:Description": "Australian soprano, includes her biography, pictures, reviews, news, audio and contact details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.anitawatson.net/"} +{"d:Title": "Daly, Colleen", "d:Description": "American soprano's biography, engagements, resume, repertoire, press and audio clips and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.colleendaly.com/"} +{"d:Title": "Coleman Clark, Sabrina", "d:Description": "African-American soprano presents lecture-recitals on Marian Anderson and the African-American experience.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://colemanclark.com/"} +{"d:Title": "Gifford, Camille", "d:Description": "American dramatic soprano. Biography, photos, sound clips, management, upcoming engagements.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://camillegifford.com/"} +{"d:Title": "Jones, Gwyneth", "d:Description": "Welsh soprano. Biography, discography, appreciations, critics.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.parterre.com/jonesbio.htm"} +{"d:Title": "Cabell, Nicole", "d:Description": "American soprano and 2005 Winner of the BBC Singer of the World Competition. Provides audio and video clips, biography, news, photos, and concerts dates.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.nicole-cabell.com/"} +{"d:Title": "Whisnant, Caroline", "d:Description": "American soprano singing leading lyrico-spinto roles in Germany, United States and around the world. Biography, schedule, repertoire, contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://carolinewhisnant.com/"} +{"d:Title": "Windsor, Lorna", "d:Description": "English soprano, lists her biography and repertoire with audio samples and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.lornawindsor.com/"} +{"d:Title": "Bridge, Debbie", "d:Description": "Soprano soloist and singing teacher from Brighton, Sussex, UK. Provides a CV, audio samples and details of her workshops and lessons.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.debbiebridge.com/"} +{"d:Title": "Baughman, Katie", "d:Description": "An Atlanta area soprano with experience in opera, oratorio, recital, and contemporary music.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.katiembaughman.com/"} +{"d:Title": "Nimereala, Karen", "d:Description": "Operatic soprano based in New York. Biography, photographs, video recordings and information about seminars and private voice lessons.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.karennimereala.com/"} +{"d:Title": "Foster Jenkins , Florence", "d:Description": "Liner notes from the CD \"The Glory (????) of the Human Voice\" and \"Florence Foster Jenkins The Diva of Din\".", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.maxbass.com/Florence-Foster-Jenkins.htm"} +{"d:Title": "Campbell, Cheryl", "d:Description": "Canadian soprano who regularly travels to the UK and Australia. Biography, repertoire and MP3 recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.cheryl-campbell.com/"} +{"d:Title": "Shoremount-Obra, Amy", "d:Description": "An American dramatic coloratura soprano, provides biography, news, reviews, photos and upcoming engagements.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.amyshoremount-obra.com/"} +{"d:Title": "Rice, Sarah", "d:Description": "American soprano. Biography, repertoire, performance schedule, photo gallery, sound clips, media clips and 'splash'.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.sarahrice.com/"} +{"d:Title": "Borget, Fabienne", "d:Description": "French soprano. Includes biography, corporate work, engagements, photos and CD audio samples. [English/French]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://fabienne-borget.co.uk/"} +{"d:Title": "Southworth, Mary Elizabeth", "d:Description": "Soloist in repertoires including opera, oratorio, art song, musical theatre, symphonic works and chamber music. Includes resume, upcoming performances, reviews and articles, photographs and audio clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.marysouthworth.com/"} +{"d:Title": "Owen, Siobhan", "d:Description": "A young soprano born in Wales and raised in Australia. Includes her biography, performances, photos, audio and video clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.siobhanowen.com/"} +{"d:Title": "Dunwoodie, Christina", "d:Description": "A Lirico Spinto from the UK. Features her biography, roles and repertoire, photographs and future performances.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.christinadunwoodie.com/"} +{"d:Title": "Soviero, Diana", "d:Description": "Biography, reviews, schedule, and sound clips for the American soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.parterre.com/soviero.htm"} +{"d:Title": "Massis, Annick", "d:Description": "French Soprano. Biography, news, repertoire, calendar, photo gallery, discography, audio and video samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.annickmassis.com/"} +{"d:Title": "Picard, Alexandra", "d:Description": "Dramatic coloratura soprano from the western USA. Includes performance schedule, news, photos and audio clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.alexandrapicard.com/"} +{"d:Title": "Zylis-Gara, Teresa", "d:Description": "Brief profile of Polish soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.bach-cantatas.com/Bio/Zylis-Gara-Teresa.htm"} +{"d:Title": "Ronge, Gabriele Maria", "d:Description": "Wagnerian soprano. Biography, schedule, and recordings. [English/German].", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.gmronge.com/"} +{"d:Title": "Adams Santilli, Krista", "d:Description": "American lyric soprano. Provides biography, repertoire, reviews, photos, audio clips and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.adams-santilli.com/"} +{"d:Title": "Meyer, Heather Michele", "d:Description": "American lyric soprano, provides her biography, performance dates, photos, audio and video clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.heathermichelemeyer.com/"} +{"d:Title": "Cullis, Rita", "d:Description": "English soprano, lists a partial repertoire, biography, photos, video and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.ritacullis.co.uk/"} +{"d:Title": "Areyzaga, Michelle", "d:Description": "Soprano living in the Chicago area. Reviews, performance listings and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.michelleareyzaga.com/"} +{"d:Title": "Davidov, Assia", "d:Description": "Soprano opera singer born in Usbekistan. Includes biography, music samples, repertoire, press reviews, and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://assiadavidov.com/"} +{"d:Title": "Ruhan, Jia", "d:Description": "Chinese soprano living in New York. Resume, photos, audio and video clips and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://jiaruhan.free.fr/"} +{"d:Title": "Sieben, Nadine", "d:Description": "German opera and concert singer. [German/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://nadinesieben.de/"} +{"d:Title": "Sleeman, D'Arne", "d:Description": "Classical soprano in Brisbane, Australia. Leader of \"In the Mood Four\" quartet and provides audio clips and brief profile.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.inthemoodfour.com.au/"} +{"d:Title": "Greene, Jennifer", "d:Description": "American lyric-coloratura soprano. Provides schedule of performances and a list of recent performances.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://jennifersgreene.com/"} +{"d:Title": "Selman, Sharon", "d:Description": "English concert and opera singer available for private recitals and concerts, includes photos and videos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.sharonselman.co.uk/"} +{"d:Title": "Ricci, Celine", "d:Description": "Lyric coloratura soprano living between Paris and San Francisco. Provides photo album, audio, discography and season highlights.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.celinericci.com/"} +{"d:Title": "MacPhie, Caroline", "d:Description": "English soprano, provides her CV, operatic experience and partial repertoire in oratorio, duo and chamber music, with upcoming performances.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.carolinemacphie.com/"} +{"d:Title": "LeBlanc, Suzie", "d:Description": "Canadian soprano performing internationally in repertory ranging from lute songs to chamber music to oratorio and early opera.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.suzieleblanc.com/"} +{"d:Title": "Brendish, Cheryl", "d:Description": "English soprano, much traveled. Includes biography, repertoire, photos and schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.cherylbrendish.com/"} +{"d:Title": "de Prelle, Magali", "d:Description": "Belgian soprano, lists her biography, repertoire, schedule, pictures gallery, press reviews and contact.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.magalideprelle.com/"} +{"d:Title": "Eversole, Bridgid", "d:Description": "American soprano. Biography, press, photos, repertoire, calendar, and contact details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.bridgideversole.com/"} +{"d:Title": "Nathan, Regina", "d:Description": "Irish soprano's biography, sound files, repertoire, performance dates and a CD purchase facility.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.reginanathan.com/"} +{"d:Title": "Borsi, Maria Luigia", "d:Description": "Young Italian lyric soprano, provides her biography, schedule and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.marialuigiaborsi.com/"} +{"d:Title": "Lee, Yunah", "d:Description": "Korean/American soprano. Biography, performance schedule, performance clips and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://yunahlee.com/"} +{"d:Title": "Mattila, Karita", "d:Description": "Finnish soprano. Biography and selected discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.johnrpierce.com/mattila.html"} +{"d:Title": "Cecilia", "d:Description": "Norwegian singer reputed for her healing voice and her rendition of Amazing Grace. Provides a brief biography and free music downloads.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.ceciliasings.com/"} +{"d:Title": "Wattelet, Desiree", "d:Description": "Soprano educated in Wisconsin and Texas. Provides audio samples, schedule, photo gallery and repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://desireewattelet.com/"} +{"d:Title": "Mueller-Heaslip, Kristin", "d:Description": "Soprano in Toronto, Canada with a wide-ranging repertoire. Offers voice lessons in home or in studio.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://kristinmh.com/"} +{"d:Title": "Bynum, Jennifer", "d:Description": "American soprano. Features biography and music clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.artfromthesoul.com/JenniferBynuminternationalsoloist.html"} +{"d:Title": "Barstow, Josephine", "d:Description": "English soprano. A brief biography with roles and recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.musichall.uk.com/artist.aspx?artist=6&name=Josephine+Barstow&category=Sopranos"} +{"d:Title": "Turner, Eva", "d:Description": "English soprano. Includes a short biography and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.well.com/~sunbear/eva_turner.htm"} +{"d:Title": "Lobo-D'Cunha, Deirdre", "d:Description": "Indian lirico-spinto soprano. Photograph, contact details, past performances, and press releases.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.deirdrelobodcunha.com/"} +{"d:Title": "Jo, Sumi", "d:Description": "Korean soprano. Profile, photos, discography, links, reviews, and schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.josumi.com/"} +{"d:Title": "Dupuis, Rayanne", "d:Description": "Canadian operatic soprano, living in Paris. Includes biography, repertoire, reviews, discography, audio and video excerpts.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.rayannedupuis.net/"} +{"d:Title": "White, Jacqueline", "d:Description": "A concert, oratorio, festival and proms soloist in the Midlands area of England. Includes her biography, photos and repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.jacquelinewhite.co.uk/"} +{"d:Title": "Curtin, Kelly", "d:Description": "A young lyric coloratura soprano from Wilmington, Delaware. Includes her biography, engagements, resume and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.kellycurtin.com/"} +{"d:Title": "Francis, Maureen", "d:Description": "American soprano, provides her biography, schedule, audio files, repertoire and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.maureenfrancis.net/"} +{"d:Title": "Bott, Catherine", "d:Description": "English soprano. Biography, audio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.hyperion-records.co.uk/a.asp?a=A885&name=bott"} +{"d:Title": "Scott Sendall, Shuna", "d:Description": "Scottish soprano, alumni of the Alexander Gibson Opera School at RSAMD. Includes a brief biography, upcoming performances, photos, repertoire and audio.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://www.shunasendall.co.uk/"} +{"d:Title": "Kunz, Michelle", "d:Description": "American soprano. Includes biography, recent and upcoming performances and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://michellekunz.com/"} +{"d:Title": "Winter, Martina", "d:Description": "German soprano. Information on her repertoire and current activities, biography and pictures from different roles.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://martinawinter.blogspot.de/"} +{"d:Title": "Behrens, Hildegard", "d:Description": "German Wagnerian soprano. Biography, slide shows of signature roles, photo gallery, discography with audio clips and performance calendar.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos", "url": "http://fanfaire.com/behrens/"} +{"d:Title": "Bonney, Barbara", "d:Description": "American soprano. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Bonney,_Barbara", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=bonney"} +{"d:Title": "The Internet Movie Database (IMDb)", "d:Description": "Filmography and message board.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Bradley,_Gwendolyn", "url": "http://www.imdb.com/name/nm0103246/"} +{"d:Title": "Christine Brewer", "d:Description": "Official website including a biography, performance schedule, photo gallery, review quotes, discography and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Brewer,_Christine", "url": "http://www.christinebrewer.com/"} +{"d:Title": "Hyperion: Christine Brewer", "d:Description": "Short biography, Hyperion discography, and an audio sample.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Brewer,_Christine", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=brewer"} +{"d:Title": "BBC: Radio 4: Christine Brewer", "d:Description": "RAM audio clip, and biography of the singer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Brewer,_Christine", "url": "http://www.bbc.co.uk/radio4/womanshour/2003_45_wed_02.shtml"} +{"d:Title": "Maria Callas - Ten Best CDs", "d:Description": "The ten best CDs by Maria Callas selected by Culturekiosque.com.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Callas,_Maria", "url": "http://www.culturekiosque.com/klassik/cdreview/rhemaria.htm"} +{"d:Title": "Soprano Central: Maria Callas", "d:Description": "Biography, discography and pictures of Maria Callas.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Callas,_Maria", "url": "http://sopranos.freeservers.com/callas.htm"} +{"d:Title": "Serendipidy: Maria Callas", "d:Description": "Features articles, and archived Usenet and mail list postings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Callas,_Maria", "url": "http://www.serendipity.li/callas.html"} +{"d:Title": "Phyllis Curtin", "d:Description": "Short biography. Includes links to recordings of Bach Cantatas.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Curtin,_Phyllis", "url": "http://www.bach-cantatas.com/Bio/Curtin-Phyllis.htm"} +{"d:Title": "Phyllis Curtin Reflects On the Art of Singing", "d:Description": "New York Times article by Will Crutchfield.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Curtin,_Phyllis", "url": "http://www.nytimes.com/1989/07/10/arts/phyllis-curtin-reflects-on-the-art-of-singing.html"} +{"d:Title": "Inger Dam-Jensen - Akademisk Kor", "d:Description": "Danish soprano with an impressive international career. Biography and Real Audio sample from the Danish Academic Choir, Copenhagen.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Dam-Jensen,_Inger", "url": "http://akademisk.kor.dk/s-idj-e.htm"} +{"d:Title": "Inger Dam-Jensen - Dacapo Records", "d:Description": "Information on the singer from the Danish label.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Dam-Jensen,_Inger", "url": "http://www.dacapo-records.dk/en/artist-inger-dam-jensen.aspx"} +{"d:Title": "Dawson, Lynne", "d:Description": "English soprano. Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Dawson,_Lynne", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=ldawson"} +{"d:Title": "BBC News: Soprano de Los Angeles, 81, Dies", "d:Description": "News of the death of Victoria de los Angeles, with a brief overview of her career.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/De_Los_Angeles,_Victoria", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/4178297.stm"} +{"d:Title": "Bach Cantatas Website: Victoria de Los Angeles", "d:Description": "Overview of her career, photos, information about her recordings of works by Bach, and link to related sites.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/De_Los_Angeles,_Victoria", "url": "http://www.bach-cantatas.com/Bio/Los-Angeles-Victoria-de.htm"} +{"d:Title": "Wikipedia: Victoria de los Angeles", "d:Description": "Professional biography and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/De_Los_Angeles,_Victoria", "url": "http://en.wikipedia.org/wiki/Victoria_de_los_Angeles"} +{"d:Title": "The Scotsman Obituaries: Victoria de Los Angeles", "d:Description": "Obituary covering her career and legacy.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/De_Los_Angeles,_Victoria", "url": "http://www.scotsman.com/news/obituaries/victoria-de-los-angeles-1-671769"} +{"d:Title": "Soprano Central: Kallen Esperian", "d:Description": "American soprano. Fan site includes biography, schedule, discography, reviews and sound clip.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Esperian,_Kallen", "url": "http://sopranos.freeservers.com/kallenes.htm"} +{"d:Title": "Kirsten Flagstad, The Voice of the Century", "d:Description": "Museum in Hamar, Norway houses a collection of costumes and photographs from the soprano, with online image gallery, discography, and operatic roles by year.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Flagstad,_Kirsten", "url": "http://www.kirsten-flagstad.no/"} +{"d:Title": "Wikipedia: Kirsten Flagstad", "d:Description": "Detailed biography including links to representative recordings and a biography published in 1982.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Flagstad,_Kirsten", "url": "http://en.wikipedia.org/wiki/Kirsten_Flagstad"} +{"d:Title": "Fleming, Ren\u00e9e", "d:Description": "American soprano. Biography, discography, performance schedule and photos.", "priority": "1", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Fleming,_Ren\u00e9e", "url": "http://www.renee-fleming.com/"} +{"d:Title": "Culturekiosque: Renee Fleming Interview", "d:Description": "Interview with Joel Kasow in Paris.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Fleming,_Ren\u00e9e", "url": "http://www.culturekiosque.com/opera/intervie/rheflem.htm"} +{"d:Title": "La Scena Musicale: Ren\u00e9e Fleming", "d:Description": "Interview and profile of Renee Fleming where she talks about her work ethic and challenges.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Fleming,_Ren\u00e9e", "url": "http://www.scena.org/lsm/sm6-3/fleming-en.html"} +{"d:Title": "Yahoo! Groups: The Beautiful Voice", "d:Description": "Fan club for Ren\u00e9e Fleming: message board, chat room, photos, news and calendar (some sections members-only).", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Fleming,_Ren\u00e9e", "url": "http://groups.yahoo.com/group/thebeautifulvoice/"} +{"d:Title": "Lesley Garrett Home Page", "d:Description": "Official website of the English soprano.", "priority": "1", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Garrett,_Lesley", "url": "http://www.lesleygarrett.co.uk/"} +{"d:Title": "Gheorghiu, Angela", "d:Description": "Official website of the soprano. Performance diary, biography, press releases, discography, sound samples, and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Gheorghiu,_Angela", "url": "http://www.angelagheorghiu.com/"} +{"d:Title": "Gheorghiu, Angela", "d:Description": "Information about the Romanian soprano. Includes biography, discography, filmography and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Gheorghiu,_Angela", "url": "http://angela-gheorghiu.tripod.com/"} +{"d:Title": "Edita Gruberova", "d:Description": "Fansite. Discography, roles, schedule, news, and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Gruberova,_Edita", "url": "http://www.gruberova.com/"} +{"d:Title": "Barbara Hendricks Official Website", "d:Description": "Biography, discography, information about her humanitarian work, photo gallery and videos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Hendricks,_Barbara", "url": "http://www.barbarahendricks.com/"} +{"d:Title": "Hyperion: Emma Kirkby", "d:Description": "Biography, sound clips, and recordings on the Hyperion record label.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Kirkby,_Emma", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=kirkby"} +{"d:Title": "Bach Cantatas Website: Emma Kirkby", "d:Description": "A short biography, photos, a list of recordings of Bach cantatas, extracts from online discussions and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Kirkby,_Emma", "url": "http://www.bach-cantatas.com/Bio/Kirkby-Emma.htm"} +{"d:Title": "Lawrence, Cynthia", "d:Description": "American lyric Soprano. Official site includes schedule, sound clips, reviews, biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Lawrence,_Cynthia", "url": "http://www.cynthialawrence.com/"} +{"d:Title": "Soprano Central: Cynthia Lawrence", "d:Description": "Canadian fan's web site, includes photographs and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Lawrence,_Cynthia", "url": "http://sopranos.freeservers.com/cynthial.htm"} +{"d:Title": "The Unofficial Web Page for Sylvia McNair", "d:Description": "Fan site includes biography, career, sound clips, and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/McNair,_Sylvia", "url": "http://www.angelfire.com/ny/albertwang/mcnair.html"} +{"d:Title": "Jacksonville.com: Soprano a voice for 20th century", "d:Description": "Interview by John Carter of the Times-Union.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/McNair,_Sylvia", "url": "http://www.jacksonville.com/tu-online/stories/021999/ent_S0219McN.html"} +{"d:Title": "Sony Classical Artist: Sylvia McNair", "d:Description": "Biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/McNair,_Sylvia", "url": "http://www.sonymasterworks.com/artists/sylviamcnair/"} +{"d:Title": "Jessye Norman", "d:Description": "Biography and discography from Sony Masterworks.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Norman,_Jessye", "url": "http://www.sonymasterworks.com/artists/jessyenorman/"} +{"d:Title": "Ponselle, Rosa", "d:Description": "(1897-1981) Wikipedia article details career timeline, discography, and biography of the Italian-American singer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Ponselle,_Rosa", "url": "http://en.wikipedia.org/wiki/Rosa_Ponselle"} +{"d:Title": "Afrocentric Voices: Leontyne Price", "d:Description": "Profile of the American born soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Price,_Leontyne", "url": "http://www.afrovoices.com/price.html"} +{"d:Title": "Wikipedia: Leontyne Price", "d:Description": "Encyclopedia provides hyper-linked biography, photo and external links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Price,_Leontyne", "url": "http://en.wikipedia.org/wiki/Leontyne_Price"} +{"d:Title": "IMDb - Leontyne Price", "d:Description": "Leontyne Price - Contains Full Filmography", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Price,_Leontyne", "url": "http://www.imdb.com/name/nm0697005/"} +{"d:Title": "Leontyne Price , A Mississippi Musician", "d:Description": "Tribute site offering a biography, bibliography, sound clip, time line, photo gallery and major works by the native Mississippian soprano.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Price,_Leontyne", "url": "http://www.mswritersandmusicians.com/musicians/leontyne-price.html"} +{"d:Title": "Bach Cantatas Website: Dorothea R\u00f6schmann", "d:Description": "Biography, photos and a list of her Bach recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/R\u00f6schmann,_Dorothea", "url": "http://www.bach-cantatas.com/Bio/Roschmann-Dorothea.htm"} +{"d:Title": "Askonas Holt: Dorothea R\u00f6schmann", "d:Description": "Management page. Profile, repertoire list, reviews and photo.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/R\u00f6schmann,_Dorothea", "url": "http://www.askonasholt.co.uk/artists/singers/soprano/dorothea-rschmann"} +{"d:Title": "Guardian Unlimited: The Whole Truth", "d:Description": "Interview covering singing Pamina and her musical education.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/R\u00f6schmann,_Dorothea", "url": "http://www.theguardian.com/music/2003/jan/24/classicalmusicandopera.artsfeatures"} +{"d:Title": "Elisabeth Schumann", "d:Description": "Includes biographical information, a collection of photos, discography, a facsimile of her book \"German Song\", and a list of roles played.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Schumann,_Elisabeth", "url": "http://www.elisabethschumann.org/"} +{"d:Title": "Bach Cantatas Website: Elisabeth Schumann", "d:Description": "Brief biography, photos, a list of her recordings of Bach, and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Schumann,_Elisabeth", "url": "http://www.bach-cantatas.com/Bio/Schumann-Elisabeth.htm"} +{"d:Title": "Soprano Central: Dame Joan Sutherland", "d:Description": "Australian soprano. Biography, discography, bibliography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Sutherland,_Joan", "url": "http://sopranos.freeservers.com/joansuth.htm"} +{"d:Title": "Decca Music Group - Joan Sutherland", "d:Description": "Includes a concise biography. Recommended recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Sutherland,_Joan", "url": "http://www.deccaclassics.com/artists/sutherland/biog.html#"} +{"d:Title": "Australian Performing Arts (PROMPT) Collection - Dame Joan Sutherland / Richard Bonynge", "d:Description": "Describes content contained in the various library collections. Lists every Sutherland or Bonynge performance both in Australia and abroad.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Sutherland,_Joan", "url": "http://www.nla.gov.au/collect/prompt/sutherland.html"} +{"d:Title": "Dame Joan Sutherland: Sunday Profile", "d:Description": "An in-depth interview with Joan Sutherland that aired Sunday, 27 March 2005 on Australia's ABC Radio program, Sunday Profile. Contains audio as well as a complete transcript.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Sutherland,_Joan", "url": "http://www.abc.net.au/sundayprofile/stories/s1331197.htm"} +{"d:Title": "The Infography about Joan Sutherland (1926- )", "d:Description": "Sources recommended by a librarian whose research specialty is opera singer Joan Sutherland.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Sutherland,_Joan", "url": "http://www.infography.com/content/390492905113.html"} +{"d:Title": "CBS News Joan Sutherland Article", "d:Description": "Includes an article when Sutherland became an honoree at The Kennedy Center. Also includes video of interview with Julie Chen of CBS' The Early Show.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Sutherland,_Joan", "url": "http://www.cbsnews.com/news/joan-sutherland-la-stupenda/"} +{"d:Title": "Soprano Central: Renata Tebaldi", "d:Description": "Biography, discography, bibliography and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Tebaldi,_Renata", "url": "http://sopranos.freeservers.com/tebaldi.htm"} +{"d:Title": "BBC News: Opera Singer Renata Tebaldi Dies", "d:Description": "News of her death and an overview of her achievements.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Tebaldi,_Renata", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/4108895.stm"} +{"d:Title": "Tribute to Renata Tebaldi", "d:Description": "Fan site includes repertory, bibliography, discography, photos, and articles.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Tebaldi,_Renata", "url": "http://www.renata-tebaldi.com/"} +{"d:Title": "Soprano Central: Dame Kiri Te Kanawa", "d:Description": "Biography, schedule, discography, and bibliography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Te_Kanawa,_Kiri", "url": "http://sopranos.freeservers.com/kiriteka.htm"} +{"d:Title": "Sony Masterworks: Dawn Upshaw", "d:Description": "Biography and discography from the record label.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Sopranos/Upshaw,_Dawn", "url": "http://www.sonymasterworks.com/artists/dawnupshaw/"} +{"d:Title": "Bastidas, Edgar", "d:Description": "Venezuelan lyric spinto tenor. Official site includes biography, repertoire, records and photographs. [English/French/Spanish/German/Italian/Russian]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.edgarbastidas.com/"} +{"d:Title": "Naglia, Sandro", "d:Description": "Italian tenor with a repertoire and discography ranging from XVIIth to XXth century music. Offers a database of his activity with audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.sandronaglia.com/"} +{"d:Title": "Sacca, Roberto", "d:Description": "Personal homepage all about the European leading lyric tenor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.roberto-sacca.com/"} +{"d:Title": "Casciarri, Giorgio", "d:Description": "Italian lyric tenor. Official site includes biography, reviews, discography, photographs, repertoire and audio clips. [Italian/English]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.giorgiocasciarri.com/"} +{"d:Title": "Randall, Edward", "d:Description": "Tenor. Biography, schedule, photo gallery, and audio clips. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.edwardrandall.com/"} +{"d:Title": "Pregardien, Christoph", "d:Description": "Site contains biography, discography, agenda, contact information for the German tenor. [English/German/French]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.pregardien.com/"} +{"d:Title": "Ruschman, Gary", "d:Description": "American tenor. Appears regularly in concert, opera, jazz, theatre, studio, and chamber music settings. Performances include principal light lyric tenor roles in thirty operas, musicals, and plays.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.ruschman.com/"} +{"d:Title": "Armiliato, Fabio", "d:Description": "Italian tenor. Official site includes biography, discography, performance schedule, reviews and audio and video samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.fabioarmiliato.com/"} +{"d:Title": "Clark, Thomas", "d:Description": "American dramatic tenor. Official site includes photographs, audio and video samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.thomasclarktenor.com/"} +{"d:Title": "Colvin, Michael", "d:Description": "Canadian lyric tenor. Official site includes biography, reviews, schedule, repertoire, audio samples and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.michaelcolvin.com/"} +{"d:Title": "Corelli, Franco", "d:Description": "Italian tenor. Tribute site includes short biography and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://gallery.passion4art.com/members/orpheusandlyra/home.html"} +{"d:Title": "Davies, Maldwyn", "d:Description": "English tenor. Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=davies"} +{"d:Title": "Edwardsen, Mathew", "d:Description": "Young American tenor. Official site includes biography, performance schedule, press quotes, photographs and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.mathewedwardsen.com/"} +{"d:Title": "Elliott, Paul", "d:Description": "English tenor. Short biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.bach-cantatas.com/Bio/Elliott-Paul.htm"} +{"d:Title": "Enqvist, Erik", "d:Description": "Young Swedish tenor. Official site includes biography, photographs, audio samples and performance diary. [English/Swedish]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.erikenqvist.com/"} +{"d:Title": "Fennell, Eric", "d:Description": "American lyric tenor. Official site includes biography, schedule, press, photographs and video clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.ericfennell.com/"} +{"d:Title": "Ferrante, Jason", "d:Description": "American character tenor. Official site includes biography, schedule, press, photographs and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://jasonferrante.com/"} +{"d:Title": "Gaines, Joseph", "d:Description": "American lyric tenor, based in New York. Official site includes calendar, photographs and repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.josephgaines.com/"} +{"d:Title": "Galliford, Brian", "d:Description": "English tenor, based in the Netherlands. Official site includes biography, photographs and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.briangalliford.com/"} +{"d:Title": "Gould, Stephen", "d:Description": "American heldentenor. Profile, repertoire, reviews, and audio clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.stephengould.org/"} +{"d:Title": "Hill, Martyn", "d:Description": "English tenor. Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=mhill"} +{"d:Title": "Hopwood, Paul", "d:Description": "British tenor. Biography, photos and audio files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.paulhopwood.com/"} +{"d:Title": "Hughes , David", "d:Description": "Began his career in the 1950s in pop music, then became a tenor opera singer in Britain and Europe. Biography, discography, and photos for the late singer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.davidhughestenor.co.uk/"} +{"d:Title": "Jenkins, Neil", "d:Description": "Tenor singer of Opera and Oratorio. Biography, discography, press reviews, and audio downloads.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.neiljenkins.com/"} +{"d:Title": "Kellett, David", "d:Description": "Biographical and repertoire information, photographs and press for this American lyric tenor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.davidkellett.com/"} +{"d:Title": "Kelly, Paul Austin", "d:Description": "Official site for American tenor. Photos, career history, repertoire, upcoming engagements, news updates and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.paulaustinkelly.com/"} +{"d:Title": "King, Christopher Leo", "d:Description": "American tenor. Photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.angelfire.com/music3/christopherleoking/"} +{"d:Title": "Krebs, Helmut", "d:Description": "Berlin tenor and countertenor, composer and music professor. Life biography, partial discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.angelfire.com/tx2/theorbo/HELMUT_KREBS.html"} +{"d:Title": "Kunde, Gregory", "d:Description": "Official site of opera tenor and bel canto specialist, conductor. Tour schedule, sound clips, news, interviews, and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.gregorykunde.com/"} +{"d:Title": "Lemmings, Christopher", "d:Description": "English Tenor. Includes biography, audio, video, photographs and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.christopherlemmings.com/"} +{"d:Title": "Licitra, Salvatore", "d:Description": "Italian Tenor. Official website includes biography, discography, message board and tour dates.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.salvatorelicitra.com/"} +{"d:Title": "Melo, Raul", "d:Description": "An operatic tenor. Includes a biography, schedule, reviews, photographs and repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.raulmelo.com/"} +{"d:Title": "Morris, Kenneth", "d:Description": "Biography, performances, repertoire, pictures, and RealAudio clips for this tenor with the Metropolitan Opera in New York.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.kennethmorris.com/"} +{"d:Title": "Neill, Stuart", "d:Description": "Metropolitan opera tenor singer. Includes his recordings and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.stuartneill.com/"} +{"d:Title": "Oberoi, Krishan", "d:Description": "Young New England tenor; 1996 honors graduate of New England Conservatory of Music; active with regional opera companies; singer and composer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.oberoi-net.com/krishan.html"} +{"d:Title": "O'Neill, Simon", "d:Description": "New Zealand tenor. Resume, biography, photographs, MP3 files, and calendar.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.simononeill.com/"} +{"d:Title": "Pa\u00e7uku, Bashkim", "d:Description": "Official site provides a biography, resume, discography and audio clips of the Albanian tenor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.bashkimpacuku.com/"} +{"d:Title": "Patzak, Julius", "d:Description": "Viennese operatic tenor, teacher and conductor, 1898-1974. Discography, biography, audio, video, articles, reviews, and quotes.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.wiu.edu/users/mfrls2/patzak/"} +{"d:Title": "Rivero, Etor", "d:Description": "Brazilian tenor. Biography, photos and MP3 files.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.genetica.com.br/tenorerivero/english/index.htm"} +{"d:Title": "Robinson, Laurence", "d:Description": "Tenor singer. Includes his biography, discography, photos and downloadable samples from his CD.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.laurencerobinson.com/"} +{"d:Title": "Rodelas, Pedro", "d:Description": "Lyric tenor. Biography, resume, and audio and video clips.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.pedrorodelas.com/"} +{"d:Title": "Tcvetkov, Tcvetan", "d:Description": "Photo gallery, curriculum vitae, audio and video clips, and contact details for the tenor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://tcvetkov.tripod.com/"} +{"d:Title": "Wilde, Mark", "d:Description": "Scottish tenor. Biography, repertoire, performance dates, and his original compositions and arrangements.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.markwilde.co.uk/"} +{"d:Title": "Wunderlich, Fritz", "d:Description": "German tenor. Biography, pictures, news, reminiscences, discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.andreas-praefcke.de/wunderlich/"} +{"d:Title": "Wolverton, Joseph", "d:Description": "Biography, repertoire, images, and press clips for the tenor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.josephwolverton.com/"} +{"d:Title": "Amerson, Steve", "d:Description": "California-based tenor sings in concert with symphony orchestras and also for many movies and commercials. CD sales.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.steveamerson.com/"} +{"d:Title": "Alagna, Roberto", "d:Description": "French-Sicilian tenor. Biography, reviews, photos, discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.jcarreras.homestead.com/Alagna1.html"} +{"d:Title": "Bomgardner, Stephen", "d:Description": "Buffo and character tenor. Also Associate Professor of Music at Drury University in Springfield, Missouri.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www2.drury.edu/sbomgardner/"} +{"d:Title": "Ballam, Michael", "d:Description": "American tenor offers biography, performances, photos, resume and recording sales.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.michaelballam.com/"} +{"d:Title": "Klein, Adam", "d:Description": "Contains his latest biography, contact information, reviews and production photos.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://adamcjklein.us/"} +{"d:Title": "Borja, Juan", "d:Description": "Argentinian tenor. Includes biography, resume, upcoming events, photo gallery and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://juanborja.tripod.com/english/"} +{"d:Title": "Bottone, Bonaventura", "d:Description": "British tenor of Italian descent. Includes biography, reviews, his schedule and a photograph gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.bonaventurabottone.com/"} +{"d:Title": "Boyd, Jonathan", "d:Description": "Biography, discography, photographs and sound clips of the American tenor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.jonathanboyd-tenor.com/"} +{"d:Title": "Breult, Bob", "d:Description": "American tenor. Official website includes biography, photographs, audio samples and press kit.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.bobbreault.com/"} +{"d:Title": "Burrows, Stuart", "d:Description": "Welsh tenor. Biography, discography, audio samples and interview.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.stuartburrows.f9.co.uk/"} +{"d:Title": "Padmore, Mark", "d:Description": "Official site. Biography, discography, upcoming concerts, his thoughts on aspects of music, review quotes, and a photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.markpadmore.com/"} +{"d:Title": "Pirgu, Saimir", "d:Description": "Official site of a promising young tenor from Albania. Discography, photos, reviews, calendar. [English/German/Italian]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.saimirpirgu.com/"} +{"d:Title": "Kryshak, James N", "d:Description": "Young American tenor. Graduated from Elmhurst College with a Bachelor of Arts degree in both Music (Applied Voice) and German, now based in Vienna, Austria. [English/German]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.jamesnkryshak.com/"} +{"d:Title": "Parry, William", "d:Description": "Young tenor from New Zealand. Also a flutist, singing teacher and website designer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.williamparry.com/"} +{"d:Title": "Tucker, Richard", "d:Description": "(1913-1975) History of the American tenor from Wikipedia.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://en.wikipedia.org/wiki/Richard_Tucker"} +{"d:Title": "Wiest, Gregory", "d:Description": "Tenor performs programs of modern American and English songs in classical style, listing the songs and their composers, with audio examples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.gregorywiest.de/"} +{"d:Title": "Aler, John", "d:Description": "American lyric tenor. Biography, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=aler"} +{"d:Title": "DuBois, Mark", "d:Description": "Canadian lyric tenor. Official site includes biography, shop, schedule, photographs and guestbook.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.markdubois-tenor.com/"} +{"d:Title": "Evans, Wynne", "d:Description": "Welsh tenor. Official site includes diary, news, photographs and biography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.wynneevans.co.uk/"} +{"d:Title": "Castronovo, Charles", "d:Description": "American lyric tenor. Official site includes biography, schedule, repertoire, discography, audio samples and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://charlescastronovo.com/"} +{"d:Title": "Treleaven, John", "d:Description": "Official site of heldentenor including biography and resume.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.treleaven.de/"} +{"d:Title": "Lewis, Richard", "d:Description": "British tenor. Official site includes biography and information about the Richard Lewis/Jean Shanks award at Glyndebourne.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.richardlewis-tenor.co.uk/"} +{"d:Title": "Villaz\u00f3n, Rolando", "d:Description": "Mexican lyric tenor. Official site includes biography, calendar, repertoire and a gallery with personal drawings and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.rolandovillazon.com/"} +{"d:Title": "Asciak, Paul", "d:Description": "Maltese tenor. Official site includes biography, photographs, audio and video samples and performance history.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.paulasciak.com/"} +{"d:Title": "Calleja, Joseph", "d:Description": "Maltese tenor. Official site includes news, calendar, press reviews, photographs and audio and video samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.josephcalleja.com/"} +{"d:Title": "Boe, Alfie", "d:Description": "English tenor. Official site includes a forum, video clips, photographs, news and tour dates.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.alfie-boe.com/"} +{"d:Title": "Hull, Bernard", "d:Description": "Australian tenor, composer and arranger. Official site includes biography, photographs, discography with audio clips and original song lyrics.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.bernardhull.com/"} +{"d:Title": "Murray, John Horton", "d:Description": "International tenor. Official Site includes biography, schedule, critical acclaim, audio and video clips, repertoire, recordings and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.johnhortonmurray.com/"} +{"d:Title": "Abrahams, Darren", "d:Description": "British tenor. Biography, repertoire, photographs, video and news about performances and appearances.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://darrenabrahams.com/"} +{"d:Title": "Palance, Nick", "d:Description": "American tenor who sings both opera and pop music. Official site includes biography, performance schedule, audio and video samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.nickpalance.com/"} +{"d:Title": "Schreier, Peter", "d:Description": "German tenor and conductor's Wikipedia entry.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://en.wikipedia.org/wiki/Peter_Schreier"} +{"d:Title": "Duncan, Adam Wade", "d:Description": "American full lyric/spinto tenor and singing teacher, based in Kansas City. Official site includes resume, photos and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.adamwadeduncan.com/"} +{"d:Title": "Jes\u00fas Le\u00f3n", "d:Description": "Tenor from Mexico. Website includes biography, photo gallery, audio, video and contact details.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.jesusleon.com/"} +{"d:Title": "Hudson, John", "d:Description": "English tenor. Official website includes biography, photographs, diary and CDs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.johnhudsontenor.com/"} +{"d:Title": "Cerrudo, Bo", "d:Description": "Filipino classical balladeer. Includes a review of his career, news and articles. [requires Flash]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.bocerrudo.com/"} +{"d:Title": "Sidden, Ian", "d:Description": "Young American tenor. Website includes biography and singing blog.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://iansidden.com/"} +{"d:Title": "Hayes, Michael", "d:Description": "American tenor. Official website includes biography, reviews and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://michaelhayes10or.com/"} +{"d:Title": "Eric Margiore, tenor", "d:Description": "Young American tenor. Official website includes biography, photographs, audio samples and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://ericmargiore.com/"} +{"d:Title": "Cortale, Mark", "d:Description": "Young Amercian tenor. Official site includes biography, repertoire, audio samples and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://markcortale.com/"} +{"d:Title": "Barrell, David", "d:Description": "English tenor and singing teacher. Reviews, repertoire and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://www.davidbarrell.com/"} +{"d:Title": "Mantuano, Dominic", "d:Description": "American Tenor. Includes biography, history, appearance schedule, photos and awards.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://theamericantenor.com/"} +{"d:Title": "Grave, Jon", "d:Description": "English tenor lists his biography, repertoire, photographs, video and performances.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors", "url": "http://jongrave.kk5.org/"} +{"d:Title": "Hyperion: John Mark Ainsley", "d:Description": "Biography, Real Audio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Ainsley,_John_Mark", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=ainsley"} +{"d:Title": "MusicWeb: Interview with John Mark Ainsley", "d:Description": "Discussion about his operatic and recital repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Ainsley,_John_Mark", "url": "http://www.musicweb-international.com/SandH/2002/Mar02/Ainsley.htm"} +{"d:Title": "Jussi Bj\u00f6rling S\u00e4llskapet", "d:Description": "The Scandinavian Jussi Bj\u00f6rling Society. Information about their activities, the life of Jussi Bj\u00f6rling, MP3 clips, photo gallery, and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bj\u00f6rling,_Jussi", "url": "http://www.jussibjorlingsallskapet.com/"} +{"d:Title": "Yahoo! Groups: Bj\u00f6rling", "d:Description": "Jussi Bj\u00f6rling discussion group.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bj\u00f6rling,_Jussi", "url": "http://groups.yahoo.com/group/bjorling/"} +{"d:Title": "Jussi Bj\u00f6rling Museum", "d:Description": "In Borl\u00e4nge, Sweden. Includes biography, performance statistics and sound clips from Bj\u00f6rling's career, as well as information about the museum and shop. [English/Swedish]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bj\u00f6rling,_Jussi", "url": "http://www.borlange.se/kommun/jussi/"} +{"d:Title": "The Artistry of Jussi Bj\u00f6rling", "d:Description": "The voice teacher David L Jones analyses a video recording of Bj\u00f6rling's singing and discusses his vocal techniques.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bj\u00f6rling,_Jussi", "url": "http://www.voiceteacher.com/bjoerling.html"} +{"d:Title": "Jussi Bj\u00f6rling Society - USA", "d:Description": "Includes biography, performance database, articles and audio samples of the Swedish tenor, as well as links to other Jussi Bj\u00f6rling appreciation societies around the world.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bj\u00f6rling,_Jussi", "url": "http://www.jussibjorlingsociety.org/"} +{"d:Title": "Andrea Bocelli", "d:Description": "Official site. Includes biography, fan site, news, schedule, and recordings.", "priority": "1", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bocelli,_Andrea", "url": "http://www.andreabocelli.com/"} +{"d:Title": "Bocelli.deutschland", "d:Description": "Press archive about the tenor from Italy. Also pictures, transcripts and stills from TV transmissions, concert and TV dates, chronicle, and concert photographs. Site is in English and German.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bocelli,_Andrea", "url": "http://www.bocelli.de/"} +{"d:Title": "The Andrea Bocelli Page", "d:Description": "Lots of images; sound clips; tour schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bocelli,_Andrea", "url": "http://bocelli.freehosting.net/"} +{"d:Title": "Andrea Bocelli Illustrations", "d:Description": "(hosted by Mary-Ann Myers) Fan page including illustrations of the artist, list of related sites, and chat room.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bocelli,_Andrea", "url": "http://www.angelfire.com/ma/myersimaging/Bocelli.html"} +{"d:Title": "Andrea Bocelli Web Links", "d:Description": "Annotated links to relevant sites on the web.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bocelli,_Andrea", "url": "http://www.angelfire.com/ma/myersimaging/links.html"} +{"d:Title": "MTV: Andrea Bocelli", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bocelli,_Andrea", "url": "http://www.mtv.com/artists/andrea-bocelli/"} +{"d:Title": "Andrea Bocelli Web Ring", "d:Description": "List of sites, with brief descriptions.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bocelli,_Andrea", "url": "http://www.webring.org/hub?ring=bocelliring"} +{"d:Title": "Hyperion: Ian Bostridge", "d:Description": "Biography, RealAudio samples, Hyperion discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Bostridge,_Ian", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=bostridge"} +{"d:Title": "Jos\u00e9 Carreras Voce di Tenore", "d:Description": "Articles, reviews, photographs, and links for him and other popular tenors.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Carreras,_Jos\u00e9", "url": "http://www.jcarreras.homestead.com/index.html"} +{"d:Title": "Carreras Captures", "d:Description": "Video captures, video, audio, slide shows, libretti, and lyrics.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Carreras,_Jos\u00e9", "url": "http://www.carrerascaptures.com/"} +{"d:Title": "The Jos\u00e9 Carreras Photo Collection", "d:Description": "Thousands of photos of the tenor.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Carreras,_Jos\u00e9", "url": "http://www.jcarrerasphotos.com/"} +{"d:Title": "Caruso, Enrico: Eyewitness Account", "d:Description": "Describes the 1906 San Francisco earthquake; from the Sketch in London.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Caruso,_Enrico", "url": "http://www.sfmuseum.org/1906/ew19.html"} +{"d:Title": "Domingo, Pl\u00e1cido", "d:Description": "Spanish tenor, conductor and administrator. Official site includes biography, discography, upcoming dates, sound clips, photo album, and press service.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Domingo,_Placido", "url": "http://www.placidodomingo.com/"} +{"d:Title": "Los Angeles Opera: Domingo", "d:Description": "Domingo's history with the L.A. Opera.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Domingo,_Placido", "url": "http://www.laopera.com/company/domingo.aspx"} +{"d:Title": "Voce di Tenore: Juan Diego Fl\u00f3rez", "d:Description": "Biography, press articles, reviews, photos, discography, schedule and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Fl\u00f3rez,_Juan_Diego", "url": "http://www.jcarreras.homestead.com/Florez1.html"} +{"d:Title": "Ernesto Palacio: Juan Diego Fl\u00f3rez", "d:Description": "Management site. Biography, repertoire and schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Fl\u00f3rez,_Juan_Diego", "url": "http://www.ernestopalacio.com/Florez_ing.htm"} +{"d:Title": "Mario Lanza - Voice of the Century", "d:Description": "Features filmography, articles, photos, LP and CD artwork, CD reviews, and information about a new reissue from the executive producer.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Lanza,_Mario", "url": "http://www.rense.com/excursions/lanza/"} +{"d:Title": "Lanza Legend", "d:Description": "Newsletter written with the involvement of Mario's son Damon, featuring material from Mario's personal archive. Biography, articles, photos, filmography, discography, and subscription information.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Lanza,_Mario", "url": "http://www.lanzalegend.com/"} +{"d:Title": "British Mario Lanza Society", "d:Description": "Society fan site for lovers of the singer. Publishers of the club magazine 'Golden Days', includes a biography, gallery, members' events and offers sponsorship of opera students.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Lanza,_Mario", "url": "http://www.bmls.co.uk/"} +{"d:Title": "Lee, Colin", "d:Description": "South African-born British bel canto tenor. Official site includes audio samples, photographs, biography, calendar and press kit.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Lee,_Colin", "url": "http://www.colinleetenor.com/"} +{"d:Title": "Richard Leech", "d:Description": "American Tenor. Official site includes audio and video clips, performance photographs, discography, biography and international schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Leech,_Richard", "url": "http://www.richardleech.com/"} +{"d:Title": "Wikipedia: John McCormack", "d:Description": "(1884-1945) Online biography of the great Irish tenor with links to honors and the 2003 biography by Gordon T. Ledbetter.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/McCormack,_John", "url": "http://en.wikipedia.org/wiki/John_McCormack"} +{"d:Title": "Hyperion Records: Ian Partridge", "d:Description": "Biography and audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Partridge,_Ian", "url": "http://www.hyperion-records.co.uk/artist_page.asp?name=partridge"} +{"d:Title": "Luciano Pavarotti", "d:Description": "Official site with biography and profile, discography, videography, downloadable opera and songs recordings, opera roles, pictures, media articles and reviews, and online store. [English/Italian]", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Pavarotti,_Luciano", "url": "http://www.lucianopavarotti.com/"} +{"d:Title": "IMDb - Luciano Pavarotti", "d:Description": "Contains full filmography, image gallery, biography, and message board.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Pavarotti,_Luciano", "url": "http://www.imdb.com/name/nm0667556/"} +{"d:Title": "Wikipedia: Luciano Pavarotti", "d:Description": "Encyclopedia provides a detailed overview of his career, photo and external links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Pavarotti,_Luciano", "url": "http://en.wikipedia.org/wiki/Luciano_Pavarotti"} +{"d:Title": "Yahoo Group: Luciano Pavarotti", "d:Description": "Email message group with photos, audio, news and information. Yahoo ID and registration required for some areas.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Pavarotti,_Luciano", "url": "http://launch.groups.yahoo.com/group/lucianopavarotti/"} +{"d:Title": "Topix: Luciano Pavarotti", "d:Description": "News about Luciano Pavarotti, collected from various sources on the web.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Pavarotti,_Luciano", "url": "http://www.topix.com/rss/who/luciano-pavarotti.xml"} +{"d:Title": "BBC: Opera Legend Pavarotti Dies at 71", "d:Description": "The Italian tenor, who was diagnosed with pancreatic cancer last year, has died. Includes photographs, video, career timeline, tributes, obituary, and links.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Pavarotti,_Luciano", "url": "http://news.bbc.co.uk/1/hi/entertainment/6981032.stm"} +{"d:Title": "Pavarotti Forever", "d:Description": "A definitive celebration to the operatic legend, Luciano Pavarotti.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Pavarotti,_Luciano", "url": "http://www.pavarottiforever.com/"} +{"d:Title": "Wikipedia: Paul Potts", "d:Description": "Features previous work and experience before and after his performance on 'Britain's Got Talent', personal life, and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Potts,_Paul", "url": "http://en.wikipedia.org/wiki/Paul_Potts"} +{"d:Title": "Michael Schade: Perpetual Motion", "d:Description": "Profile and interview by Joseph So, published in La Scena Musicale in 2002.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Schade,_Michael", "url": "http://www.scena.org/Tms/Tms1-1/Michael_Schade.htm"} +{"d:Title": "Wikipedia: The Three Tenors", "d:Description": "The story of how Placido Domingo, Jose Carreras and Luciano Pavarotti came to be presented together in concert.", "topic": "Top/Arts/Music/Vocal/Singers/Classical/Tenors/Three_Tenors,_The", "url": "http://en.wikipedia.org/wiki/The_Three_Tenors"} +{"d:Title": "Jazz: The Vocalists", "d:Description": "Dedicated to jazz singers of past and present. Vocalists homepages, resources and links.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz", "url": "http://www.angelfire.com/jazz/jazzartists/"} +{"d:Title": "Jazz Singers.com", "d:Description": "Dedicated to the promotion of jazz singers and their profession. Includes links to their websites, recordings, books, a message board, and related links.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz", "url": "http://www.jazzsingers.com/"} +{"d:Title": "The Vocalese Page", "d:Description": "Links to information on and biographies of jazz vocalese artists Eddie Jefferson, Lambert, Hendricks and Ross, Manhattan Transfer, Jon Hendricks and Kurt Elling, among others.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz", "url": "http://www.deathstar.org/~colomon/vocalese/vocalese.html"} +{"d:Title": "Di Giuseppe, Brian", "d:Description": "Writer and performer of old-style swing music.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz", "url": "http://www.briandswings.com/"} +{"d:Title": "Adamson, Barbara", "d:Description": "Biography, discography, sound samples about this San Francisco based jazz vocalist. \"Adamson's debut recording conveys the passionate intensity of long experienced vocalists...luminously lyrical.\" -JazzTimes", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.barbaraadamson.com/"} +{"d:Title": "Amapola", "d:Description": "Homepages of the vocalist, musician and TV-Radio personality from the Philippines, with links to fan sites and sound samples.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://pollytte.tripod.com/"} +{"d:Title": "Adams, Kris", "d:Description": "Boston area singer with debut CD-ROM release sings arrangements of jazz standards and Brazilian bossa novas. Reviews and CD-ROM information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.krisadams.com/"} +{"d:Title": "Allen, Jackie", "d:Description": "The official Allen website featuring free mp3 downloads, information on the artist, newsletter, and jazz links.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://jackieallen.com/"} +{"d:Title": "Akerson, Carol", "d:Description": "Jazz vocalist and voiceover artist, nominated for the Boston Music Awards as Outstanding Jazz Vocalist.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.carolakerson.com/"} +{"d:Title": "Adams , Patricia", "d:Description": "Biographical information and sound samples for jazz vocalist Adams, and her quartet: Doug Hammer on piano, Langston 'Skip' Smith on bass and Stanley C. Swann, III on drums.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.patriciaadams.com/"} +{"d:Title": "Anthony, Drew", "d:Description": "Links to MP3 samples by jazz singer Anthony.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.drewanthony.com/"} +{"d:Title": "Acu\u00f1a, Claudia", "d:Description": "Biography, debut cd information and concert review for this vocalist from Chile.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.cosmopolis.ch/english/cosmo11/acuna.htm"} +{"d:Title": "Anne, Julie", "d:Description": "Jazz vocalist based in Arizona. Provides her biography, reviews, audio samples, photos and CD information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.julieannesjazz.com/"} +{"d:Title": "Amber, Lian", "d:Description": "Vocalist-songwriter, formally of San Francisco and now based in New York. Reviews, news, and audio files.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/A", "url": "http://www.lotusloverslive.com/"} +{"d:Title": "Burns, Shelley", "d:Description": "Jazz singer Burns heads a trio and has performed as a special guest artist at the 1996 International Jazz and Blues Festival in Edinburgh, Scotland. CD samples and booking links.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://shelleyburns.net/"} +{"d:Title": "Brecher, Kayle", "d:Description": "Biography and reviews of the work of New York jazz vocalist, composer, arranger and lyricist Brecher. Sound samples, discography and links to other jazz sites.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://brecherjazz.com/"} +{"d:Title": "Bonanza, Rose", "d:Description": "Biography of New York area vocalist Bonanza, who sings her own originals as well as classic jazz. CD sound samples and performance information available on this site.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.rosebonanza.com/"} +{"d:Title": "Baker, Denise", "d:Description": "Brief biography, cd and performance information for vocalist Baker.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.denisebakergroup.com/"} +{"d:Title": "Byrne, Donna", "d:Description": "Biography, reviews, discography and sound samples from Byrne's new cd \"Don't Dream of Anybody But Me\".", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.aahome.com/donna/index.html"} +{"d:Title": "Brandes, Jeanie", "d:Description": "Oficial website of cabaret singer Brandes. Hear sound clips from her cd \"Love In The World I Remember\", view picture gallery and get purchase information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.jeaniebrandes.com/"} +{"d:Title": "Budd, Julie", "d:Description": "Vocalist providing news, upcoming schedule, message board and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.juliebudd.com/"} +{"d:Title": "BeeBee, Victoria", "d:Description": "Victoria BeeBee is a UK based singer who worked with Vanessa Mae and Steve Harley and Cockney Rebel. She's currently on Club Brasil's Jungle Kitten 12\", has a new self-penned album out entitled \"Sweet Dreams and Roses\" and Victoria caters for private parties and functions singing every style of music from jazz through to modern pop.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.victoriabeebee.com/"} +{"d:Title": "Banks, Monty", "d:Description": "Las Vegas casino entertainer leads Rat Pack style band called the High Rollers. With calendar, photographs, repertoire, audio and video.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.montybanks.com/"} +{"d:Title": "Boyd, Johnny", "d:Description": "This singer/song-writer and former front man for Indigo Swing has just released his first solo album. Real Audio samples, a biography, album reviews, and a newsletter subscription form are provided.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.johnnyboyd.com/"} +{"d:Title": "Buddy Blue", "d:Description": "San Diego singer, song writer, and guitarist who played jump blues, swing, rhythm and blues, and rockabilly before his death in 2006. Contains a biography, discography, MP3 samples, band member profiles, and guest book.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://www.buddyblue.com/"} +{"d:Title": "Banks, Monty", "d:Description": "New Orleans jazz singer, entertainer, bandleader, pianist, and saxophone player plays Rat Pack era swing. Site contains video, audio, bio, and calendar.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B", "url": "http://montybanks.net/"} +{"d:Title": "The Boswell Museum of Music", "d:Description": "Located in East Springfield, New York, the museum preserves and presents popular music, jazz, and social history of the 1920's and 1930's through live performance and exhibits. Includes profile of the sisters with audio sample, collections, and upcoming and past programs.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B/Boswell_Sisters,_The", "url": "http://www.boswellmuseum.org/"} +{"d:Title": "AMG: Connie Boswell", "d:Description": "Biography and discography of the lead singer of The Boswell Sisters.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B/Boswell_Sisters,_The", "url": "http://www.allmusic.com/artist/connee-boswell-p8123"} +{"d:Title": "AllMusic: Dee Dee Bridgewater", "d:Description": "Biography and discography", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/B/Bridgewater,_Dee_Dee", "url": "http://www.allmusic.com/artist/dee-dee-bridgewater-mn0000227415"} +{"d:Title": "Camerun, Romy", "d:Description": "Biography, discography, photo, and contact information for jazz songstress from Germany. In English or German", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.romy-camerun.de/"} +{"d:Title": "Cornelious, Eve", "d:Description": "Has toured Europe, Japan, and Cuba with Roy Hargrove, Jon Hendricks, Chucho Valdez, and recorded with Norman Conners and Ramsey Lewis. Biographical information on Cornelious and the Chip Crawford Trio, and sound samples in mp3 and Real Audio format.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://evecornelious.com/"} +{"d:Title": "Carol, Brenda", "d:Description": "The official site of contemporary jazz and progressive vocalist Carol. Audio clips, photos, vocal instruction, cds, tour, and review pages.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.brendacarol.com/"} +{"d:Title": "Collins, Bill", "d:Description": "Introduces his cd \"Footprints of Your Life\".", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.zahzah.com/catalog/zcd9812z.htm"} +{"d:Title": "Caldwell, Bobby", "d:Description": "The smooth jazz artists's official site includes discography with lyrics, tour dates, and fan club information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.bobbycaldwell.com/"} +{"d:Title": "Chamberlain, Judy", "d:Description": "Southern California based singer and leader of the band Swing Deluxe specializing in weddings. Includes biography, band information, reviews, repertoire list, and contact details.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.judychamberlain.com/"} +{"d:Title": "Clark, Buddy", "d:Description": "Tribute to the crooner who died in a plane crash in 1949. Features biography, discography, film credits, photographs, forum, and articles.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.gmmy.com/crooners/clark/"} +{"d:Title": "Calloway, Blanche", "d:Description": "Biography of older sister of jazz band leader Cab Calloway, with link to complete discography of rare recordings of her work as vocalist and band leader.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.heptune.com/blanche.html"} +{"d:Title": "Carbo, Claudia", "d:Description": "Sultry songstress born in Lima, Peru. Photos, news, audio, press.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.claudiacarbo.com/"} +{"d:Title": "Carter, Deborah J.", "d:Description": "Website of contemporary jazz singer with biography, pictures, music, and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C", "url": "http://www.deborahjcarter.com/"} +{"d:Title": "Betty Carter : You will be missed", "d:Description": "Tribute to the superb jazz singer who died on September 26, 1998.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C/Carter,_Betty", "url": "http://www.jazzsingers.com/BettyCarter/"} +{"d:Title": "Betty Carter", "d:Description": "Dead Musician Directory Celebrity Page, includes obituary, biography, and links.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C/Carter,_Betty", "url": "http://elvispelvis.com/bettycarter.htm"} +{"d:Title": "AMG: June Christy", "d:Description": "Includes biography, discography, and a list of collaborators.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/C/Christy,_June", "url": "http://www.allmusic.com/artist/june-christy-p3088"} +{"d:Title": "Dunbar, Ken", "d:Description": "Homepage of pianist, and vocalist from the Cincinnati, Columbus, Dayton Ohio area.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D", "url": "http://dunbar.ken.tripod.com/"} +{"d:Title": "Daria", "d:Description": "Jazz vocalist Daria is equally at home with jazz, latin jazz, straight ahead and improvisation. Information on her new cd, Just The Beginning, quotes, biography, and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D", "url": "http://www.dariajazz.com/"} +{"d:Title": "Daniels, Dee", "d:Description": "Scat singing, jazz, blues and gospel vocalist. Her biography, reviews, discography, tour itinerary, sample sound clips, media kit, and cds to order online.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D", "url": "http://www.deedaniels.com/"} +{"d:Title": "Decker, E. J.", "d:Description": "Official web site of NYC jazz baritone vocalist Decker. Pictures, biography, quotes, CD purchase and free MP3 download links are included on this site.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D", "url": "http://www.ejdecker.com/"} +{"d:Title": "Dalle, Sophia", "d:Description": "Performs the repertoire of Edith Piaf in French and English as well as 1930s and 1940s classic torch genre.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D", "url": "http://www.sophiadalle.com/"} +{"d:Title": "Dumais, Lafleche", "d:Description": "Crooner of music by Sinatra, Bennett, Dean Martin and Buble. Based in Quebec. [French/English]", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D", "url": "http://www.chanteurcrooner.com/"} +{"d:Title": "Diamondo, Tony", "d:Description": "Site has photograph and sound sample for jazz crooner Diamondo.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D", "url": "http://tonydiamond.online.fr/"} +{"d:Title": "A Hillbilly Bebopper on a Geezer Pass", "d:Description": "CutureKiosque JazzNet interview from the \"Sons of Miles\" series by Mike Zwerin.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D/Dorough,_Bob", "url": "http://www.culturekiosque.com/jazz/miles/rhemile39.htm"} +{"d:Title": "Bob Dorough", "d:Description": "Review of \"Too Much Coffee Man\" on Blue Note. Dorough is one of the standard bearers of the concept of \"heart and soul\" in jazz vocals. Referred to as a \"hipster saint\", though close to eighty, \"he still kicks it like he's twenty-five\".", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D/Dorough,_Bob", "url": "http://members.tripod.com/RndMdnit/reviews1.htm"} +{"d:Title": "Dorough, Bob", "d:Description": "Official site biography mentions his vocals with Miles Davis as well as work with Dave Frishberg, Blossom Dearie, and the \"Schoolhouse Rock\" TV series. With links to interviews and articles as well as calendar of appearances.", "priority": "1", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D/Dorough,_Bob", "url": "http://www.bobdorough.com/"} +{"d:Title": "NPR : Jazz Musician Bob Dorough", "d:Description": "Audio of Terry Gross interview for her \"Fresh Air\" program, as re-broadcast October 20, 2000.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D/Dorough,_Bob", "url": "http://www.npr.org/templates/story/story.php?storyId=1112792"} +{"d:Title": "AMG: Bob Dorough", "d:Description": "Artist biography from All Music Guide.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/D/Dorough,_Bob", "url": "http://www.allmusic.com/artist/p6417"} +{"d:Title": "Evans, Candace", "d:Description": "Pianist and jazz vocalist introduces her debut CD-ROM \"Interpretations\". Schedule of upcoming performances at Kansas City jazz venues.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/E", "url": "http://www.candaceevans.com/"} +{"d:Title": "Ekdahl, Lisa", "d:Description": "Swedish jazz vocalist. Site features her biography, photos and recording samples.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/E", "url": "http://www.lisaekdahl.com/"} +{"d:Title": "Ellis, Sydney", "d:Description": "Photos, biography and discography for jazz, blues and gospel songstress.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/E", "url": "http://www.sydneyellis.com/"} +{"d:Title": "Kurt Elling", "d:Description": "Official site of three-time Grammy nominated male jazz vocalist based in Chicago.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/E/Elling,_Kurt", "url": "http://www.kurtelling.com/"} +{"d:Title": "Floyd, Ruth Naomi", "d:Description": "The official website for vocalist-composer-recording artist Floyd. Site features her biography, interviews, recording samples, and online cd orders.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F", "url": "http://www.contourrecords.com/"} +{"d:Title": "Fleurine", "d:Description": "Vocalist Fleurine has recorded a duo album with pianist Brad Mehldau. Reviews, tour dates, discography and information on associated musicians.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F", "url": "http://www.fleurine.com/"} +{"d:Title": "Fields, Victor", "d:Description": "Rhythm and blues, jazz vocalist and songwriter Fields' new CD-ROM information, and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F", "url": "http://www.victorfields.com/"} +{"d:Title": "Ella Fitzgerald, 1917 - 1996", "d:Description": "Ella Fitzgerald: First Lady of Song. Essays, discography and links.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://museum.media.org/ella/"} +{"d:Title": "Ella!", "d:Description": "Biography, song list, pictures, and references.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.angelfire.com/jazz/janelleolmer/"} +{"d:Title": "Todd Peach's Ella Fitzgerald page", "d:Description": "Includes a lot of Ella lyrics.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.thepeaches.com/music/ella/"} +{"d:Title": "Ella Fitzgerald - First Lady of Song", "d:Description": "Library of Congress article.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.loc.gov/loc/lcib/9708/ella.html"} +{"d:Title": "Ella Fitzgerald", "d:Description": "Official website including biography, quotes, awards, screensavers and recordings.", "priority": "1", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.ellafitzgerald.com/"} +{"d:Title": "Ella Fitzgerald Fan Page", "d:Description": "Flash based photos and samples in English and Italian.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://web.tiscali.it/ellafitzgerald/"} +{"d:Title": "Ella Swings Gently - The Ella Fitzgerald Pages", "d:Description": "Includes biographies of Ella as well as collaborators Norman Granz and Nelson Riddle.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.spingal.plus.com/ella/"} +{"d:Title": "Topix: Ella Fitzgerald", "d:Description": "News about Ella Fitzgerald, collected from various sources on the web.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.topix.com/rss/who/ella-fitzgerald.xml"} +{"d:Title": "Redsugar's Ella Fitzgerald Page", "d:Description": "An essay in tribute.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.redsugar.com/ella.html"} +{"d:Title": "Verve Records: Ella Fitzgerald", "d:Description": "Soundclips for her entire label discography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/F/Fitzgerald,_Ella", "url": "http://www.vervemusicgroup.com/ellafitzgerald"} +{"d:Title": "Grillo, Jerry", "d:Description": "Review of his first cd, \"This Funny World\", co-produced by Chicago jazz vocalist Jackie Allen, featuring trio of Dean Rolando on piano, Stu Miller on bass, and Charles McFarlan on drums.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/G", "url": "http://jerrygrillo.com/"} +{"d:Title": "Gallinger, Karen", "d:Description": "Official site includes sound clips from her CDs, biography, photos, and performance schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/G", "url": "http://www.jazzgal.com/"} +{"d:Title": "Grant, Kelli", "d:Description": "Jazz and swing vocalist. Contains profile, discography, audio samples, and merchandise.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/G", "url": "http://www.kelligrant.com/"} +{"d:Title": "The Babs Gonzales Recordings", "d:Description": "List of song titles and recording years.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/G/Gonzales,_Babs", "url": "http://www.geocities.co.jp/Hollywood-Kouen/5953/babsgonzales.html"} +{"d:Title": "Hatch, Monica", "d:Description": "Recognized in diverse musical circles for her ability to sing in a variety of styles; from Baroque to Be-bop to Bossa Nova; biographical information and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H", "url": "http://www.monicahatch.com/"} +{"d:Title": "Harris, Allan", "d:Description": "Reviews, performance schedule, new recording information and music downloads for this vocalist who keeps the Johnny Hartman tradition alive.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H", "url": "http://www.allanharris.com/"} +{"d:Title": "Hodge, Cheryl", "d:Description": "The official site of jazz vocalist Hodges offers free vocalist training manual online, samples of her music. portfolio, tour schedule, and cds for sale.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H", "url": "http://www.jazzboulevard.com/"} +{"d:Title": "Hughes, Gwen", "d:Description": "Atlanta swing and jazz music vocalist. Biography, photos, calendar, repertoire, mailing list, song samples, contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H", "url": "http://www.gwenhughes.com/"} +{"d:Title": "Red Hot Jazz Archive: Annette Hanshaw", "d:Description": "Profile and discography with audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H/Hanshaw,_Annette", "url": "http://www.redhotjazz.com/Hanshaw.html"} +{"d:Title": "Hartman, Johnny", "d:Description": "National Public Radio profile offers audio comments from Billy Taylor, Tony Monte and Will Friedwald.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H/Hartman,_Johnny", "url": "http://www.npr.org/programs/jazzprofiles/archive/hartman.html"} +{"d:Title": "The Unofficial Billie Holiday Website", "d:Description": "Biography, discography, bibliography, videography, lyrics, and sound clips.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H/Holiday,_Billie", "url": "http://www.ladyday.net/"} +{"d:Title": "Official Web Site of Billie Holiday", "d:Description": "A brief biography, photo gallery, career summary, and the artwork from the Billie Holiday U.S. postal stamp.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H/Holiday,_Billie", "url": "http://www.billieholiday.com/"} +{"d:Title": "Verve and Decca Records: Billie Holiday", "d:Description": "Official label site showcases her discography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/H/Holiday,_Billie", "url": "http://www.vervemusicgroup.com/billieholiday"} +{"d:Title": "Jazz by 5", "d:Description": "Presentation of the acapella band including history, repertoire, members, acapella links, guestbook, and cd information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/J", "url": "http://www.jazzby5.de/"} +{"d:Title": "The Eddie Jefferson Recordings", "d:Description": "Jefferson was one of the creators of vocalese, and sang be-bop. Includes discography from 1950 through 1979.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/J/Jefferson,_Eddie", "url": "http://www.geocities.co.jp/Hollywood-Kouen/5953/eddiejefferson.html"} +{"d:Title": "Vocalese: Eddie Jefferson", "d:Description": "The first vocalese performer to get anywhere at all. His lyrics for \"Moody's Mood For Love\" became a hit for King Pleasure in 1952, and got the whole vocalese thing moving.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/J/Jefferson,_Eddie", "url": "http://www.ralf.org/~colomon/vocalese/jefferson.html"} +{"d:Title": "Gallery 41 Presents Eddie Jefferson", "d:Description": "Sound bites and brief biography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/J/Jefferson,_Eddie", "url": "http://www.gallery41.com/JazzArtists/EddieJefferson.htm"} +{"d:Title": "Kaplan, Ron", "d:Description": "Website of singer Kaplan offers audio samples of his cd \"High Standards\", contact and booking information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.ronkaplan.com/"} +{"d:Title": "Kay, Judith", "d:Description": "A blend of Brazilian bossa nova and American swing-era jazz Kay performs with her ChamberJazz Ensemble. Site offers cds and mp3s and jazzlink library.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.judithkay.com/"} +{"d:Title": "Kilgore, Rebecca", "d:Description": "Jazz and swing singer and guitarist from Oregon, jazz educator.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.rebeccakilgore.com/"} +{"d:Title": "Kenevey, Cormac", "d:Description": "Jazz Quartet led by Dublin vocalist Kenevey, the site has biographical information, upcoming gig list and sound samples.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.kenevey.com/"} +{"d:Title": "Kelly, Nancy", "d:Description": "Singer performing in New York and the Caribbean. Contains biography, recordings, and tour schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.nancykelly.com/"} +{"d:Title": "Kane, Candye", "d:Description": "San Diego swing and blues singer. Sample music, guest book, biography, tour schedule, news, photo gallery, lyrics, list of links, merchandise.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.candyekane.com/"} +{"d:Title": "Kelly, Juliet", "d:Description": "London-based British jazz singer and songwriter. Biography, reviews, photos, audio and CD information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.julietkelly.com/"} +{"d:Title": "Kelly, Val", "d:Description": "Cool and sophisticated jazz and soul vocalist, performs in a duo in the London area. Provides audio and video samples.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/K", "url": "http://www.londonjazzduo.com/"} +{"d:Title": "Livingstone, Kory", "d:Description": "Singing pianist. Photos, press, CD sales.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L", "url": "http://www.korylivingstone.com/"} +{"d:Title": "Laine, Cleo", "d:Description": "Songstress Laine's home pages include biography, discography, and tour information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L", "url": "http://www.quarternotes.com/Cleo.htm"} +{"d:Title": "Lucia, Elaine", "d:Description": "Jazz vocalist and singer/songwriter from San Francisco Bay Area with a unique voice and eclectic repertoire. Includes biography, song samples, performance calendar and blog.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L", "url": "http://www.elainelucia.com/"} +{"d:Title": "Lawson, Janet", "d:Description": "Official Website of Grammy-nominated jazz vocalist and vocal teacher. Includes booking information, master classes, music, and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L", "url": "http://www.janetlawsonscats.com/"} +{"d:Title": "Hendricks and Ross Sing the LHR Songbook", "d:Description": "Concert Review of Jon Hendricks and Annie Ross Sing The Lambert, Hendricks and Ross Songbook. Orchestra Hall, Detroit, MI October 19th, 2000.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L/Lambert,_Hendricks_and_Ross", "url": "http://www.deathstar.org/~colomon/vocalese/oh00_show.html"} +{"d:Title": "Lambert, Hendricks and Ross", "d:Description": "Certainly one of the premier jazz vocal acts of all time, Lambert, Hendricks and Ross revolutionized vocal music during the late '50s and early '60s by turning away from the increasingly crossover slant of the pop world to embrace the sheer musicianship inherent in vocal jazz. Applying the concepts of bop harmonies to swinging vocal music, the trio transformed dozens of instrumental jazz classics into their own songs, taking scat solos and trading off licks and riffs in precisely the same fashion of their favorite improvising musicians.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L/Lambert,_Hendricks_and_Ross", "url": "http://www.singers.com/jazz/lambert.html"} +{"d:Title": "Vocalese: Lambert, Hendricks and Ross", "d:Description": "Biography, links and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L/Lambert,_Hendricks_and_Ross", "url": "http://www.deathstar.org/~colomon/vocalese/lhr.html"} +{"d:Title": "Vocalese: Lambert, Hendricks&Bavan", "d:Description": "After Annie Ross left LHR, Yolande Bavan joined the group, hence the name change.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L/Lambert,_Hendricks_and_Ross", "url": "http://www.deathstar.org/~colomon/vocalese/lhb.html"} +{"d:Title": "Swingle, Ward", "d:Description": "Background on the founder of The Double Six of Paris and the Swingle Singers.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L/Les_Double_Six_of_Paris", "url": "http://www.singers.com/arrangers/wardswingle.html"} +{"d:Title": "Les Double Six", "d:Description": "CD review and brief biography of this French vocalese jazz group.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/L/Les_Double_Six_of_Paris", "url": "http://www.singers.com/jazz/vintage/lesdoublesix.html"} +{"d:Title": "Margolis, Kitty", "d:Description": "San Francisco jazz vocalist Margolis' site contains sound and video samples, touring schedule, reviews, cd, booking information, and a photo gallery of many jazz greats.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M", "url": "http://www.kittymargolis.com/"} +{"d:Title": "Montana, Denise", "d:Description": "Profile of singer. Audio clips, photographs and performance schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M", "url": "http://www.denisemontana.com/"} +{"d:Title": "Malino, John", "d:Description": "Playing nightclubs, weddings and corporate events throughout the country with his swing band. Information for corporate, private events, schedule of public shows, biography, MP3 samples, booking and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M", "url": "http://www.malino.com/"} +{"d:Title": "Michelle, Charmin", "d:Description": "Minnesota based swing vocalist. Biography, CDs, Real Audio clips, reviews, contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M", "url": "http://www.charmsongs.com/"} +{"d:Title": "Me'Na", "d:Description": "A soulful jazz vocalist based in northeast Ohio. Includes biography, photos and MP3 files.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M", "url": "http://www.menasvision.com/"} +{"d:Title": "Martell, Veronica", "d:Description": "Swing vocalist from New York City. Audio samples, CDs, reviews, swing and jazz links, contact and booking information, tour dates, guest book.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M", "url": "http://www.veronicamartellentertainment.com/"} +{"d:Title": "Gallery 41 Presents Carmen McRae", "d:Description": "Photographs of jazz legend McRae.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M/McRae,_Carmen", "url": "http://www.gallery41.com/JazzArtists/CarmenMcRae.htm"} +{"d:Title": "All Music Guide: Carmen McRae", "d:Description": "Short biography of McRae by Scott Yanow.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/M/McRae,_Carmen", "url": "http://allmusic.com/artist/carmen-mcrae-p103651"} +{"d:Title": "Neville, Charmaine", "d:Description": "The daughter of saxophonist Charles Neville has carved her own distinctive niche in the New Orleans musical community, backed by her own band.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/N", "url": "http://charmainenevilleband.com/"} +{"d:Title": "Night, Sally", "d:Description": "Jazz vocalist presents her albums and biography. Includes audio clips, gallery and dates.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/N", "url": "http://www.sallynight.com/"} +{"d:Title": "NPR's Jazz Profiles: Anita O'Day", "d:Description": "A short biography of the singer, including audio excerpts from an interview.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/O/O'Day,_Anita", "url": "http://www.npr.org/programs/jazzprofiles/archive/o'day_a.html"} +{"d:Title": "Parlato, Gretchen", "d:Description": "Vocalist sings a blend of African and Brazilian jazz.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P", "url": "http://www.gretchenparlato.com/"} +{"d:Title": "Pangman, Alex", "d:Description": "A young Canadian female vocalist with a penchant for the music of the 20s, 30s and 40s. Pictures, biography, sound samples from her CD-ROM and gig listing.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P", "url": "http://www.alexpangman.com/"} +{"d:Title": "Paul, Lori", "d:Description": "Official site of jazz vocalist, Lori Paul.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P", "url": "http://loripaul.com/"} +{"d:Title": "Plato, Karin", "d:Description": "Official site of Vancouver, Canada jazz vocalist Plato includes her biography, tour dates, press reviews, guestbook and information on her new cd.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P", "url": "http://karinplato.com/"} +{"d:Title": "Patrone, David", "d:Description": "Official site for big band frontman, crooner, saloon singer Patrone. Site offers sound files, MP3, information and tour dates.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P", "url": "http://www.davidpatrone.com/"} +{"d:Title": "Parra, Kat", "d:Description": "A multi-lingual vocalist of Latin jazz and world music, including a unique style of Spanish Sephardic music.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P", "url": "http://www.katparra.com/"} +{"d:Title": "Phillips, Tina", "d:Description": "Professional jazz vocalist and songwriter based in Colorado. Lists upcoming gigs and reviews, with photos and CD information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P", "url": "http://tinaphillipsjazz.com/"} +{"d:Title": "Edith Piaf", "d:Description": "Detailed photographic history of the singer.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P/Piaf,_Edith", "url": "http://www.little-sparrow.co.uk/"} +{"d:Title": "Soundprint: The Nights of Edith Piaf", "d:Description": "Radio show about the singer, with audio.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/P/Piaf,_Edith", "url": "http://soundprint.org/radio/display_show/ID/222/name/The+Nights+of+Edith+Piaf"} +{"d:Title": "Robinson, Ellen", "d:Description": "Bay area vocalist's site announces her new jazz CD \"On My Way to You.\" Robinson is a member of acappella women's group Vocolot, and teaches at the Community Music Center in San Francisco.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R", "url": "http://www.ellenrobinson.com/"} +{"d:Title": "Riviera, Sara", "d:Description": "Jazz singer Riviera presents a blend of jazz, blues, and world music, with MP3 samples, and details on her debut CD, \"Tangerine Blue.\"", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R", "url": "http://www.sarariviera.com/"} +{"d:Title": "Rose, Pamela", "d:Description": "San Francisco jazz and blues vocalist and songwriter Rose. Concert, CD purchasing and booking information available, as well as biography and reviews.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R", "url": "http://www.pamelarose.com/"} +{"d:Title": "Roberts, Judy", "d:Description": "Chicago-based pianist and vocalist. Newsletter, booking information, appearance schedule, press clippings and links.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R", "url": "http://www.judyroberts.com/"} +{"d:Title": "Reeves, Dianne", "d:Description": "Reeves has been heralded as one of jazz' preminant vocalists. Biography, discography, photos, and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R", "url": "http://diannereeves.com/"} +{"d:Title": "Ross, Briar", "d:Description": "New Zealand jazz vocalist singing standards and ballads in the style of Billie Holiday, Julie London and Dianna Krall. CD-ROM information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R", "url": "http://www.briarross.com/"} +{"d:Title": "Reed, Ed", "d:Description": "Biography and performance schedule of singer based in Berkeley, California. Ed's newest CD \"Love Stories\" was produced by Bud Spangler and features Peck Allmond.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R", "url": "http://www.edreedsings.com/"} +{"d:Title": "The New York Observer: Raney Does Doris", "d:Description": "Review by Rex Reed of \"Heart\u2019s Desire\", with readers' commentaries.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R/Raney,_Sue", "url": "http://www.observer.com/2007/raney-does-doris"} +{"d:Title": "YouTube: Sue Raney", "d:Description": "Bill Reed provides a 1962 video of Sue performing \"I've Got it Bad\" and a Bill Holman arrangement of \"Let There be Love\", with the Stan Kenton band.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R/Raney,_Sue", "url": "http://www.youtube.com/watch?v=IWJOu483GrA"} +{"d:Title": "The New York Sun: Aging Gracefully With Sue Raney", "d:Description": "Will Friedwald's profile of the singer and review of her 2007 album \"A Tribute to Doris Day: Heart's Desire\".", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R/Raney,_Sue", "url": "http://www.nysun.com/arts/aging-gracefully-with-sue-raney/63697/"} +{"d:Title": "Sue Raney", "d:Description": "Official website provides the jazz singer's biography, discography, a photo gallery, news, and performance schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/R/Raney,_Sue", "url": "http://sueraneysro.com/"} +{"d:Title": "Saint Paul, Lara", "d:Description": "The official website of Italian singer and producer, in English and Italian includes highlights of her career singing with Louis Armstrong, and Quincy Jones, a guestbook and video clip.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S", "url": "http://www.larasaintpaul.com/"} +{"d:Title": "Sanjana, Sandhya", "d:Description": "An Indian vocalist who integrates Indian classical vocals with jazz, world music and western contemporary styles. Recently relocated from Bombay, India to the Netherlands. Indian music MP3 files, pictures, information on her projects, performances and background.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S", "url": "http://www.sandhyasanjana.com/"} +{"d:Title": "Shane, Karen", "d:Description": "Jazz vocalist shares music from her debut cd \"It's Anybody's Spring\", reviews, background information and performance schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S", "url": "http://www.karenshane.com/"} +{"d:Title": "Sutton, Tierney", "d:Description": "Information for Telarc records vocalist Sutton, featuring reviews, concert dates, biography, video, music, band information and photographs of artists she has performed with.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S", "url": "http://www.tierneysutton.com/"} +{"d:Title": "Scott, Jennifer", "d:Description": "Homepage of Jazz vocalist, pianist, arranger and composer. from Canada includes biography, discography, sound samples and booking information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S", "url": "http://www.jenniferscott.ca/"} +{"d:Title": "Schuur, Diane", "d:Description": "Fan club site providing biography, discography, upcoming schedule, news and photo gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S", "url": "http://www.dianeschuur.com/"} +{"d:Title": "Swingle Singers", "d:Description": "Vocal group homepage with group history, photos and sound samples.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S/Swingle_Singers,_The", "url": "http://www.swinglesingers.com/"} +{"d:Title": "Primarily A Cappella: Swingle Singers", "d:Description": "Biography and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S/Swingle_Singers,_The", "url": "http://www.singers.com/jazz/swingles.html"} +{"d:Title": "Ward Swingle", "d:Description": "Biography of founder of jazz vocalese group The Swingle Singers.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/S/Swingle_Singers,_The", "url": "http://www.wardswingle.com/"} +{"d:Title": "Tucker, Sue", "d:Description": "Music, biography, cd purchase, reviews, appearances and contact information for this vocalist.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://www.suetucker.com/"} +{"d:Title": "Torme, Steve March", "d:Description": "Biography and review of Torme's new cd \"Swingin At The Blue Moon Bar and Grille\", featuring a duet with his father Mel Torme.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://stevemarchtorme.com/"} +{"d:Title": "Trizonna", "d:Description": "Chicago-based jazz, soul, and rhythm and blues vocalist. Music, biography, CD-ROM purchase, reviews, appearances and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://members.tripod.com/trizonna/"} +{"d:Title": "Thomas, Richard \"Cookie\"", "d:Description": "Sings jazz ballads and standards. His singing style is compared to Nat King Cole, Lou Rawls, Frank Sinatra, and Johnny Hartman. Biography, recordings, schedule, and booking.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://www.cookiethomas.com/"} +{"d:Title": "Tuinen, Francien van", "d:Description": "Artist from the Netherlands, a graduate of the conservatory at Groningen.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://www.francienvantuinen.com/"} +{"d:Title": "Testani, Grace", "d:Description": "Vocalist, arranger, composer, keyboardist, music educator Testani is also a co-writer with Chick Corea, Kenny Barron.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://www.gracenotesmusic.com/"} +{"d:Title": "Taylor, Laura", "d:Description": "Official site of singer, songwriter Taylor, featuring an eclectic discography of standards, torch songs, ballads and original compositions. Provides online audio samples and CD sales.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://www.laurataylor.com/"} +{"d:Title": "Tajiri, Midori", "d:Description": "Swing vocalist and model. Information about her CD, profile, contact information, interview, reviews, photo gallery, upcoming shows, model portfolio, contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T", "url": "http://www.midoritajiri.com/"} +{"d:Title": "Mel Torme", "d:Description": "Biography of vocalist Torme, including his early work with the Mel-Tones, and discography.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T/Torme,_Mel", "url": "http://www.spaceagepop.com/torme.htm"} +{"d:Title": "Gallery 41 Presents Mel Torme", "d:Description": "Jazz photo gallery - portraits of Mel Torme.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T/Torme,_Mel", "url": "http://www.gallery41.com/JazzArtists/MelTorme.htm"} +{"d:Title": "Mel Torme and the Meltones", "d:Description": "Information on Torme's tenure as the arranger and lead soloist with the group.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/T/Torme,_Mel", "url": "http://www.singers.com/group/Mel-Torme/"} +{"d:Title": "Vucinic, Alma", "d:Description": "Webpage of East Coast vocalist contains music, performance information and updates.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/V", "url": "http://almajazz.com/"} +{"d:Title": "Viskant, Joan", "d:Description": "Official homepage for this US jazz singer, currently residing in the UK contains information, reviews, biography, discography, and image gallery.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/V", "url": "http://www.joanviskant.f9.co.uk/"} +{"d:Title": "Valentino, Bobby", "d:Description": "British singer, songwriter, musician and actor, former member of the Fabulous Poodles.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/V", "url": "http://www.bobbyvalentino.co.uk/"} +{"d:Title": "Vitro, Roseanna", "d:Description": "Biography, discography, sound files for vocalist who performed with Jon Hendricks in concert at New Jersey City University, where she is the Director of the Vocal Jazz Department.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/V", "url": "http://www.roseannavitro.com/"} +{"d:Title": "Sarah Vaughn", "d:Description": "Biography and tribute to the artist, with a photograph.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/V/Vaughan,_Sarah", "url": "http://www.parsec-santa.com/music/Sass2.html"} +{"d:Title": "Gallery 41 Presents Sarah Vaughan", "d:Description": "Photos.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/V/Vaughan,_Sarah", "url": "http://www.gallery41.com/JazzArtists/SarahVaughan.htm"} +{"d:Title": "White, Diane", "d:Description": "Canadian jazz singer White and her new album \"Pure and Simple\" celebrate the likes of Horace Silver and Sarah Vaughn. Sound samples can be heard using quicktime or MP3 formats.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W", "url": "http://www.fulltrackshun.com/"} +{"d:Title": "Williams, Ronnie", "d:Description": "Contains a biography, pictures and streaming audio clips of jazz vocalist Williams along with news of recordings and appearances.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W", "url": "http://www.ronniewilliams.com/"} +{"d:Title": "Wright, Priscilla", "d:Description": "Biography and links for this Canadian vocalist.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W", "url": "http://www.priscillawright.com/"} +{"d:Title": "Winkler, Mark", "d:Description": "Los Angeles based jazz singer and songwriter. Biography, schedule, reviews, photos, RealAudio, links, and 6 CD-ROMs.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W", "url": "http://www.markwinklermusic.com/"} +{"d:Title": "Wilson, Cassandra", "d:Description": "Official site, with biography, discography and tour dates.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W", "url": "http://www.cassandrawilson.com/"} +{"d:Title": "Washington , Jacque Tara", "d:Description": "Homepage of jazz singer and actress with music samples, videos, photos, reviews, biography, and personal messages.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W", "url": "http://www.jacquetara.com/"} +{"d:Title": "Watson-Jones, Joan", "d:Description": "A swinging singer in the northeastern USA with a sultry way of expressing herself in the tradition of the jazz vocalist.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W", "url": "http://www.joanwatsonjones.com/"} +{"d:Title": "Gallery 41 Presents Joe Williams", "d:Description": "Photos, biography and Real Audio samples.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W/Williams,_Joe", "url": "http://www.gallery41.com/JazzArtists/JoeWilliams.htm"} +{"d:Title": "Jazz Profiles", "d:Description": "Nancy Wilson is host to this NPR radio series, a weekly one-hour documentary series profiling the people, places and things in jazz.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/W/Wilson,_Nancy", "url": "http://www.npr.org/programs/jazzprofiles/"} +{"d:Title": "Yousha, Gloria", "d:Description": "Jazz vocalist and former singer with the Jimmy Dorsey Orchestra. Samples from her recordings, photos with friends and past performances are featured.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/Y", "url": "http://www.gloriayousha.com/"} +{"d:Title": "York, Libby", "d:Description": "Chicago-based jazz vocalist sings the standards. Live dates, mp3s, CD-ROM, and newsletter.", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/Y", "url": "http://libbyyork.com/"} +{"d:Title": "Young, Daniel A.", "d:Description": "Indianapolis Jazz singer Young's independent label site with performance schedule, biography, photographs, and information on CD release, \"A Place to Dream.\"", "topic": "Top/Arts/Music/Vocal/Singers/Jazz/Y", "url": "http://www.dreamwalkermedia.com/"} +{"d:Title": "Jowers, Fletcher", "d:Description": "A cowboy singer and songwriter. Includes schedule, booking and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.fletcherjowers.com/"} +{"d:Title": "Meyn, Tracee", "d:Description": "Information includes music, links, biography, and vocal lessons.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://tlm.no/"} +{"d:Title": "Lemos, Jose", "d:Description": "Brazilian countertenor. Includes resume, photographs, schedule, reviews and repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.joselemos.com/"} +{"d:Title": "Valet, Brett", "d:Description": "A Northwest, high-energy blues musician. Includes information on recording contracts and show schedule.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://brettvalet.tripod.com/"} +{"d:Title": "O'Connell, Kenny", "d:Description": "A musician, singer and songwriter from Liverpool, UK. Biography, music samples and news clippings.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.oconnellmusic.co.uk/"} +{"d:Title": "Freddy", "d:Description": "Canadian singers offers a blend of rock, pop, and soul. Includes biography, sample tracks from CD and photographs.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.freddynet.com/"} +{"d:Title": "KLN Music", "d:Description": "Features KaEllen and provides a sample of her \"Christian Country\" and \"Christian Soft Contemporary\" music.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://jetjock99.tripod.com/"} +{"d:Title": "Anselmo, Andy", "d:Description": "Includes biography, information on forthcoming book, and autobiographical CD \"Welcome to My World.\"", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.andyanselmo.com/"} +{"d:Title": "Laura, Angey", "d:Description": "Wallpapers and photographs of the singer. Includes songs, videos, and photos from TV shows and Karaoke Superstar.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.musical-palace.com/"} +{"d:Title": "Reyes, TiJonne", "d:Description": "Louisiana Music Hall of Fame recording artist for Blue Chip Records and American Sound Label. Biography, live performances, calendar and bookings.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.tijonnereyes.com/"} +{"d:Title": "XinWei", "d:Description": "Aspiring Singaporean singer-songwriter. Site showcases some of his original works.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.cosytunes.blogspot.com/"} +{"d:Title": "Cummins, Richard", "d:Description": "Singer, songwriter and multi instrumentalist influenced by The Beatles and Phil Keaggy.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.richardcummins.com/"} +{"d:Title": "Meyer , Freddie", "d:Description": "Biography, releases, samples, and videos.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.freddiemeyer.net/"} +{"d:Title": "Heflin, Josiah", "d:Description": "Music of the multi-genre singer, songwriter and producer. Includes biography and news.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.josiahheflin.com/"} +{"d:Title": "St. John, Laura", "d:Description": "Singer and entertainer sings romantic ballads, available for studio work and live shows. Includes audio clips and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.laurastjohn.com/"} +{"d:Title": "Poveda, Donato", "d:Description": "Miami singer/songwriter. News, discography, lyrics, history, pictures, events, store and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.donatopoveda.com/"} +{"d:Title": "Goold, Barry", "d:Description": "Singer and songwriter. Includes pictures and music.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.barrygoold.com/"} +{"d:Title": "Mercer, Bonita", "d:Description": "Brief biography of country music singer from Ontario, Canada.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.bonitamercer.com/"} +{"d:Title": "Brinegar, Terri", "d:Description": "Juilliard-trained singer's site with information on performances and personal studio.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.jukejoint.com/"} +{"d:Title": "Era, David", "d:Description": "A folk/pop singer/songwriter from Pittsboro, North Carolina.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.freewebs.com/curiousgeorge66nbz/index.htm"} +{"d:Title": "Richards, Lisa", "d:Description": "Australian born singer-songwriter. Includes biography, discography and press information.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.lisarichardsmusic.com/"} +{"d:Title": "Larson, Julie", "d:Description": "Vocalist in Ohio who provides sophisticated musical additions for special events.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.vocalfluidity.com/"} +{"d:Title": "Lively, Anna", "d:Description": "Sings the music of Tin Pan Alley, Broadway, and Movie Musicals. Performs for private parties in the Dallas area, also provides voiceovers and CD details.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.annalively.com/"} +{"d:Title": "Martin Croones", "d:Description": "Vocalist in Kent, England, providing entetainment for restaurants, weddings, outdoor events and parties. Specialises in swing, ballads and romantic songs.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.freewebs.com/thecrooner/"} +{"d:Title": "Loegowskij, Andrei", "d:Description": "Contestant on Belgium's Idool 2007, who finished third. Provides his biography and photos.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.freewebs.com/andreiloegowskij/"} +{"d:Title": "Kelly, Nick", "d:Description": "Singer, songwriter, artist and writer with multiple bands in the Washington DC Metro area.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.nickkelly.com/"} +{"d:Title": "Rozenthuler, Guillermo", "d:Description": "London-based Argentine vocalist and voice teacher. Singing lessons for individuals and group workshops. Corporate entertainment. Jazz, tango, contemporary Latin American music.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.guillermo.co.uk/"} +{"d:Title": "Lewellyn, Linda", "d:Description": "Singer and vocal teacher in Colorado whose repertoire includes jazz and who fronts retro dance bands. Provides biography, promotional material and voice lesson details.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://lindalewellyn.com/"} +{"d:Title": "Main, Joe", "d:Description": "Singer, actor and dancer from Australia who performs in cover bands, on cruise ships, commercials and short feature films.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.joemain.com.au/"} +{"d:Title": "Wiffen, Simon", "d:Description": "A solo acoustic singer and songwriter from Leeds. Includes a blog, gig listing and details of his EP and other recordings.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.simonwiffen.co.uk/"} +{"d:Title": "Villano, Lou", "d:Description": "Male vocalist who entertains at dinner clubs, private events, condo associations, and luncheons in Florida.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.louvillano.com/"} +{"d:Title": "Carey, Tony", "d:Description": "American singer. Biography, discography, tour date details and contact information.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.tonycarey.com/"} +{"d:Title": "Nassiri, Fred", "d:Description": "Singer and songwriter who has launched a world peace movement and delivering his message through music. Includes video, photo galleries and philanthropic projects.", "topic": "Top/Arts/Music/Vocal/Singers/Personal_Pages", "url": "http://www.nassiri.com/"} +{"d:Title": "Wikipedia: Soprano", "d:Description": "Lengthy article describes vocal quality and typical range for subtypes from coloratura to dramatic, with a list of soprano roles in the operatic and musical theater repertoire.", "topic": "Top/Arts/Music/Vocal/Singers/Voice_Types", "url": "http://en.wikipedia.org/wiki/Soprano"} +{"d:Title": "Wikipedia: Baritone", "d:Description": "Defines the singing range as lower than tenor but higher than bass, with subclassifications including lyric, bel canto and dramatic.", "topic": "Top/Arts/Music/Vocal/Singers/Voice_Types", "url": "http://en.wikipedia.org/wiki/Baritone"} +{"d:Title": "Voice Definitions and Ranges", "d:Description": "Explains how the names of polyphonic parts were adapted to identify voice types, with emphasis on the tenor and countertenor.", "topic": "Top/Arts/Music/Vocal/Singers/Voice_Types", "url": "http://www.medieval.org/emfaq/misc/voices.html"} +{"d:Title": "Special Considerations in the Training of the Bass Singer", "d:Description": "Page from VoiceTeacher.com defines differences between basso profundo, basso cantablie (or lyrical bass), and bass-baritone.", "topic": "Top/Arts/Music/Vocal/Singers/Voice_Types", "url": "http://www.voiceteacher.com/bass_voice.html"} +{"d:Title": "Urban Dictionary: Contralto", "d:Description": "Offers several informal definitions contributed by submitters.", "topic": "Top/Arts/Music/Vocal/Singers/Voice_Types", "url": "http://www.urbandictionary.com/define.php?term=contralto"} +{"d:Title": "(Un)official Countertenor Homepage, The", "d:Description": "Directory of mini biographies of countertenors. Other music links.", "topic": "Top/Arts/Music/Vocal/Singers/Voice_Types", "url": "http://www.medieval.org/emfaq/performers/countertenors.html"} +{"d:Title": "Purce, Jill: The Healing Voice", "d:Description": "Mongolian overtone chanting, breathing and chanting techniques.", "topic": "Top/Arts/Music/Vocal/Singing/Harmonic_Overtone_Singing", "url": "http://www.jillpurce.com/"} +{"d:Title": "Cole, Jim and Spectral Voices", "d:Description": "Blendings in vast reverberant spaces to create vocal spacemusic. Includes sound clips, performance updates, and ordering information.", "topic": "Top/Arts/Music/Vocal/Singing/Harmonic_Overtone_Singing", "url": "http://www.spectralvoices.com/"} +{"d:Title": "Rees, Ariane", "d:Description": "Singing training and vocal coaching with professional singer. Overtone chant, singing in pregnancy and breath control workshops for personal growth and development.", "topic": "Top/Arts/Music/Vocal/Singing/Harmonic_Overtone_Singing", "url": "http://www.arianerees.com/"} +{"d:Title": "The Universe of Harmonic Chant", "d:Description": "The official site of David Hykes and the Harmonic Choir, whose music is derived from Asian overtone singing, includes biographies, CD shop, news, reviews, and calendar of concerts and teaching events.", "topic": "Top/Arts/Music/Vocal/Singing/Harmonic_Overtone_Singing", "url": "http://www.harmonicworld.com/"} +{"d:Title": "The Throat Singers of Tuva", "d:Description": "Scientific American's feature article of September 1999.", "topic": "Top/Arts/Music/Vocal/Singing/Harmonic_Overtone_Singing", "url": "https://www.scientificamerican.com/article/the-throat-singers-of-tuv/"} +{"d:Title": "Classical Singer", "d:Description": "Formerly known as The New York Opera Newsletter. Includes event guides, forums, directories and magazine archives.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.classicalsinger.com/"} +{"d:Title": "Afrocentric Voices in Classical Music", "d:Description": "Biographies, bibliographies, and other resources regarding African American performers and composers of Classical vocal music", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.afrovoices.com/"} +{"d:Title": "National Association of Singing Teachers", "d:Description": "International organization, dedicated to encouraging the highest standards of singing through excellence in teaching and the promotion of vocal education and research.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.nats.org/"} +{"d:Title": "Big Ears", "d:Description": "Web-based ear training software written in Java. Includes instructions and FAQ.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.ossmann.com/bigears"} +{"d:Title": "Hoarseness Prevention&Treatment Tips", "d:Description": "Ear, nose, and throat doctor's recommendations for hoarseness prevention and treatment.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.entassociates.com/hoarseness.htm"} +{"d:Title": "Vocalist", "d:Description": "Mailing list and resource for singers and voice teachers. Includes printable sheet music, regional music guide, and information about perfect pitch and self hypnosis.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.vocalist.org/"} +{"d:Title": "SingersHealth", "d:Description": "An online resource for singers and voice teachers seeking information on vocal health and wellness.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.singershealth.com/"} +{"d:Title": "Singing Hypnotherapy", "d:Description": "Vocal training CD with hypnotherapy, posture and breathing exercises.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.singingtuition.co.uk/"} +{"d:Title": "Riffstar", "d:Description": "USA rock music contest site for singing, bands,poetry, etc.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.riffstar.com/"} +{"d:Title": "MusicalSingers.com", "d:Description": "Vocal training and technique, audition advice from directors.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.musicalsingers.com/"} +{"d:Title": "Vocal Splendor", "d:Description": "Articles, resources, repertoire for the voice teacher and/or student.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.vocalsplendor.com/"} +{"d:Title": "Tune97", "d:Description": "Matches singers with producers. Online singing contest. Upload your song and get feed back from others.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.tune97.com/"} +{"d:Title": "Your Acompanist", "d:Description": "A growing library of popular classical, operetta and traditional backing tracks, as well as songs by little known composers, played on real piano. Some free songs and scales, instant access to pay-for MP3s.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.youraccompanist.com/"} +{"d:Title": "Chord&Scale Generator", "d:Description": "Listen to any scale or chord being played. Includes a lot of unusual scales.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.gootar.com/scaler.html"} +{"d:Title": "Personal Singing Guide", "d:Description": "Free singing tips, vocal exercises and voice care information for all singers!", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.your-personal-singing-guide.com/"} +{"d:Title": "Singing Tips Blog", "d:Description": "Free vocal advice for singers of ages and talent levels. Written by vocal coach Ken Taylor.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://singingtipsblog.com/"} +{"d:Title": "Songtaneous Blog", "d:Description": "Writings and ruminations on spontaneous singing.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.songtaneous.com/blog/"} +{"d:Title": "Vocalist", "d:Description": "Resources for singers of all standards and styles. Includes lessons, articles, advice on singing, vocal health, songwriting and working in the music industry.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://www.vocalist.org.uk/"} +{"d:Title": "Complete Vocal Institute", "d:Description": "Information about techniques used by Danish singer Cathrine Sadolin. Includes FAQs, biography, and information about educational courses, books and CDs.", "topic": "Top/Arts/Music/Vocal/Singing/Resources", "url": "http://completevocalinstitute.com/"} +{"d:Title": "The Singer's Workshop", "d:Description": "The Singer's Workshop offers physical, breathing and vocal exercises, articles on vocal technique, a bibliography, a glossary, a mailing list and other useful resources to singers, voice students and teachers.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://chanteur.net/workshop.htm"} +{"d:Title": "Singers, Singing Teachers and the Alexander Technique", "d:Description": "One singer's experience with the Alexander Technique, and how it helped her singing and her teaching.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://alexandertechnique.com/singers/"} +{"d:Title": "Perfect Voice", "d:Description": "A systematic and scientificially proven method for developing the vocal organ.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://www.perfect-voice.com/"} +{"d:Title": "BriVocals School of Voice Production", "d:Description": "Advice about vocal technique and the singers lifestyle from Brian Evans, who has sung with opera companies and rock bands.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://www.voicetuition.co.uk/"} +{"d:Title": "Speech Level Singing", "d:Description": "A method for teaching stable-larynx, strongly resonated voice production, taught a worldwide network of teachers.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://www.speechlevelsinging.com/"} +{"d:Title": "Vocal Freedom: Singer's Guide", "d:Description": "Articles about singing properly, overcoming vocal difficulties, singing careers, and singing history by Frank Merriman, a teacher in Ireland.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://www.frankmerriman.blogspot.com/"} +{"d:Title": "Natural Voice Network", "d:Description": "An organisation for practitioners who share a common philosophy and approach to voice work.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://www.naturalvoice.net/"} +{"d:Title": "Jeannie Deva Voice Studios", "d:Description": "Information for singers about vocal technique and performance issues. The site features The Deva Method, a non-classical approach for singers, that achieves range and confidence. Self-study courses available.", "topic": "Top/Arts/Music/Vocal/Singing/Techniques", "url": "http://www.jeanniedeva.com/"} +{"d:Title": "Orawhistle", "d:Description": "International whistler discussion forum concerning whistling-related techniques, issues and events. Includes sound samples, how-to database, and a large database of popular songs that use whistling.", "topic": "Top/Arts/Music/Vocal/Whistling", "url": "http://groups.yahoo.com/group/Orawhistle/"} +{"d:Title": "Indian Whistlers' Association (IWA)", "d:Description": "Group aimed at promoting musical whistling in India. Includes Whistlearth Live eMagazine, sound samples, videos, photos, and a link to their Internet-based discussion forum.", "topic": "Top/Arts/Music/Vocal/Whistling", "url": "http://www.whistleindia.org/"} +{"d:Title": "International Whistlers Convention", "d:Description": "Convention and contest for whistlers held in Louisburg, North Carolina.", "topic": "Top/Arts/Music/Vocal/Whistling", "url": "http://www.whistlingiwc.com/"} +{"d:Title": "Japan Whistlers' Federation (JWF)", "d:Description": "Federation that promotes whistling throughout Japan. Host of the 2008 International Whistling Convention (IWC). Includes IWC 2008 information and winners, links page, and message area.", "topic": "Top/Arts/Music/Vocal/Whistling", "url": "http://whistlers.jp/federation/en/index.shtml"} +{"d:Title": "The Online Guide to Whistling Records", "d:Description": "The largest collection of whistling albums and 78RPM recordings online. Streaming audio and MP3 downloads of whistling greats Fred Lowery, Brother Bones and many more.", "topic": "Top/Arts/Music/Vocal/Whistling", "url": "http://www.whistlingrecords.net/"} +{"d:Title": "Handwhistling", "d:Description": "Sound samples, photos, and brief resume of an accomplished handwhistler.", "topic": "Top/Arts/Music/Vocal/Whistling/Hand_Whistling", "url": "http://www.barf.cc/handwhistling.html"} +{"d:Title": "Finger Flute", "d:Description": "Sound samples, links, lesson, photos, and history.", "topic": "Top/Arts/Music/Vocal/Whistling/Hand_Whistling", "url": "http://yubibue.net/en/"} +{"d:Title": "How to Hand Whistle", "d:Description": "Step-by-step instructions plus video", "topic": "Top/Arts/Music/Vocal/Whistling/Hand_Whistling", "url": "http://www.wikihow.com/Hand-Whistle"} +{"d:Title": "Stemmons, Robert", "d:Description": "Oklahoma-based whistler who worked with Cirque du Soleil as \"The Loyal Whistler\" in their show \"Corteo\" touring the United States, Canada, Japan, Russia and Europe. Includes biography, samples, regular whistling CDs and bird-training CDs.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.thewhistler.com/"} +{"d:Title": "Ronalde, Ronnie", "d:Description": "Almost 70 years of whistling, singing, and yodeling performances around the world. Site includes information on autobiography, Around The World On A Whistle, biography, sound samples, and CDs.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://homepages.ihug.co.nz/~rronalde/"} +{"d:Title": "Bryant, Tom", "d:Description": "Performing whistler from St. Petersburg, Florida. Whistling Entertainer of the Year, 2007, who was featured in the featured in the documentary, \"Pucker Up: The Fine Art of Whistling.\" Includes biography, samples, CDs, and whistle-gram services.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.whistlingtom.com/"} +{"d:Title": "Herbst, Steve", "d:Description": "Award winning whistler and member of the Whistlers' Hall of Fame. Includes biography, samples and sales of recordings.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://stevethewhistler.com/"} +{"d:Title": "Jageman, George", "d:Description": "Biography, sound samples, photos, fan letters, press releases, and schedule.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.heroeswest.com/georgewjageman/"} +{"d:Title": "Hamilton, Linda Parker", "d:Description": "International Whistlers Hall of Fame winner and 2011 Whistling Entertainer of the Year, the Northern Nightingale is a Canadian whistler who accompanies herself on celtic harp and autoharp. Owner/moderator of the Orawhistle Global Whistling Forum. Site includes biography, sound samples and whistling lessons.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.northernnightingale.com/"} +{"d:Title": "Heil, Phyllis", "d:Description": "\"The Whistling Woman\" - Six-time International Whistling Entertainer of the Year with a repertoire of gospel, patriotic, big band, classical, love songs, and \"oldies.\" Available to perform for church services, conferences, banquets, and other events.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.thewhistlingwoman.com/"} +{"d:Title": "Bird, Andrew", "d:Description": "Chicago-based multi-instrumentalist, lyricist and whistler. Includes biography, news, schedule, and sound and video samples.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.andrewbird.net/"} +{"d:Title": "Bonifazi, Francesco", "d:Description": "Jazz musician and whistler won first place in the 2003 International Whistling Convention's popular music category. Includes information about his whistling, awards, musical compositions, performances, collaborations, and CDs.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.thejazzwhistler.com/"} +{"d:Title": "Briggs, Milt", "d:Description": "International champion whistler and family folk entertainer. Includes biography, sound samples, photos, and press information.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://miltbriggs.com/"} +{"d:Title": "Frierson, Cartter", "d:Description": "The Chattanooga Whistler whistles popular and classical music and bluegrass gospel songs. Includes biography, sound samples, and CD information.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.tcfgroup.com/whistling.html"} +{"d:Title": "Kaufman, Carole Anne", "d:Description": "California-based champion whistler, singer, and hair stylist. Includes sound and video samples, photos, event calendar, and biography.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.caroleannekaufman.com/"} +{"d:Title": "Li, Lizhong", "d:Description": "WhistlerLi has a performing license for whistling music issued by the Culture Department of The Peoples Republic Of China. Includes contact information and video and sound samples.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.cnwhistler.com/"} +{"d:Title": "Serinus, Jason Victor", "d:Description": "The whistling \"Voice of Woodstock\" with photo, credits and bio.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.jasonserinus.com/"} +{"d:Title": "Morris, David", "d:Description": "Professional whistling artist and international whistling champion performs as a soloist with orchestra, concert and brass band. Includes biography, news, sound and video samples, and CD information.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://davidmorris-whistler.com/"} +{"d:Title": "Prasad, K. Siva", "d:Description": "Carnatic and Hindustanic whistler. Includes sound samples, photos, achievements, and recording information.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.whistlewizard.com/"} +{"d:Title": "Rappold, Terry", "d:Description": "Champion whistler from New Orleans. He and his jazz group are available to entertain at festivals, concerts, weddings and other events. Includes biography, sound samples, and booking and CD information.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.thewhistlingman.com/"} +{"d:Title": "Savoy, Curro (Kurt)", "d:Description": "Whistler, singer, and guitarist whose whistling is heard on Ennio Morricone and Sergio Leone soundtracks. Includes biography, sound samples, movie information, and CDs.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.currosavoy.com/"} +{"d:Title": "Ulman, Chris", "d:Description": "Four-time national and international whistling champion who has appeared with major symphony orchestras and serenaded President Bush in the Oval Office. Includes sound and video samples, biography, and CD.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.happywhistler.com/"} +{"d:Title": "Zwit-Frantzen, Sue", "d:Description": "Florida-based \"Byrdie Sue\" uses her teeth to whistle and has performed on local radio and TV, at many Folk Festivals, and other functions. Includes biography, photos, and links.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.byrdiesue.com/"} +{"d:Title": "Brown, Hylton \"The Whistler\"", "d:Description": "Jamaica reggae whistler/entertainer in a conscious roots reggae mix style. Includes biography and streaming links to his bi-monthly television series and reggae radio show based in Europe/Germany.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.reggaewhistler.com/"} +{"d:Title": "Lomax, Sean Alan", "d:Description": "2012 international whistling grand champion who works with Cirque du Soleil as \"The Loyal Whistler\" in their show \"Corteo\" which is currently touring South America. Biography, sound samples, photos, styles, venues and credits.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.whistleon.com/"} +{"d:Title": "Chatrou, Geert", "d:Description": "International whistling champion, 2004, 2005, and 2008 and featured in the documentary, \"Pucker Up: The Fine Art of Whistling.\" Includes agenda, biography, photos, samples, and CDs. Site requires Flash.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.geertchatrou.com/"} +{"d:Title": "Thielemans, Toots", "d:Description": "Harmonica and whistling soloist and winner of the NEA 2009 Jazz Master Award. Includes biography, photos, news, and CD information.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.tootsthielemans.com/"} +{"d:Title": "Neufeld, Dan", "d:Description": "Instrumentalist, composer, arranger, and whistler who has enjoyed a career as a violist in the Hollywood studios. Includes biography, samples, photos, and CD information.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.whistlerecords.com/"} +{"d:Title": "Hacki, Tamas", "d:Description": "Whistling virtuoso and the Ex Antiquis ensemble have performed more than 3000 full night programs, concerts, festivals and TV-shows in three decades. Includes biography, sound samples, video, press, discography, and concert listings.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.hackitamas.com/"} +{"d:Title": "Halsey, Martyn", "d:Description": "London whistler has played and recorded with numerous artists and performed in gala performances for Elton John and Diana, Princess of Wales. Includes biography, CDs, and sound and video samples.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.martynhalsey.co.uk/"} +{"d:Title": "Lowery, Fred", "d:Description": "Biography and discography of the late famous whistler.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,547480,00.html"} +{"d:Title": "Marcellino, Muzzy", "d:Description": "Biography and discography of the late whistler and bandleader.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.artistdirect.com/nad/music/artist/card/0,,646804,00.html"} +{"d:Title": "Amos, Jeffrey", "d:Description": "The Toronto Whistler. Jeffrey Amos of Toronto is a professional palate whistler who combines classical music with musical favourites and contemporary songs. Jeffrey won Second Place Grand Championship at the 40th International Whistlers Convention competition in Louisburg, North Carolina. Includes photos, sound/video samples, and palate whistling lesson.", "topic": "Top/Arts/Music/Vocal/Whistling/Performers", "url": "http://www.jeffreyamos.com/"} +{"d:Title": "Jazz and Blues Music Reviews", "d:Description": "A completely subjective weblog of music reviews covering mostly jazz and blues but branching out into other genres as well.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.jazzandblues.blogspot.com/"} +{"d:Title": "Largehearted Boy", "d:Description": "Keeps track of new releases in music and books with new mp3s every day.", "topic": "Top/Arts/Music/Weblogs", "url": "http://blog.largeheartedboy.com/"} +{"d:Title": "PhreshWater", "d:Description": "Music editorial weblog. Music topics are discussed regardless of genre. Questions and comments are accepted and answered.", "topic": "Top/Arts/Music/Weblogs", "url": "http://phreshwater.blogspot.com/"} +{"d:Title": "Music for Robots", "d:Description": "Features testimonials about unsigned and independent bands. Weblog includes a compilation CD which showcases selected artists.", "topic": "Top/Arts/Music/Weblogs", "url": "http://music.for-robots.com/"} +{"d:Title": "Live Music Blog", "d:Description": "An online publication covering live music, jambands, indie bands, concert reviews, CD reviews, DVD reviews, tour dates, news, and music downloads.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.livemusicblog.com/"} +{"d:Title": "The Lonely Note", "d:Description": "Music reviews and news by contributors with an eclectic appetite for all things rock and pop.", "topic": "Top/Arts/Music/Weblogs", "url": "http://lonelynote.blogspot.com/"} +{"d:Title": "Grooveeffect", "d:Description": "A guide to urban style, music, and events, featuring men's and women's fashion guides, and artist pages.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.grooveeffect.com/"} +{"d:Title": "GulfCoastBands.Com", "d:Description": "Local gulf coast bands networking site with local and national music news.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.gulfcoastbands.com/"} +{"d:Title": "Fan Of The Band", "d:Description": "Music news weblog posts are mostly about bands, with a section on American Idol.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.fanoftheband.com/"} +{"d:Title": "Obscure Sound", "d:Description": "Indie music weblog offering reviews, news and streaming audio of bands primarily in the US and UK.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.obscuresound.com/"} +{"d:Title": "Born by the River", "d:Description": "A weblog discussing indie music and includes audio samples.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.bornbytheriver.blogspot.com/"} +{"d:Title": "For the Love of Polyphony", "d:Description": "Covers grunge, rock and indie music. Features album reviews as well.", "topic": "Top/Arts/Music/Weblogs", "url": "http://iheartpolyphony.blogspot.com/"} +{"d:Title": "Hollow Tree", "d:Description": "Blogging on experimental music; accepts user submissions both online and via postal mail.", "topic": "Top/Arts/Music/Weblogs", "url": "http://hollowtreestudios.blogspot.com/"} +{"d:Title": "The Soul of Rock and Roll", "d:Description": "Promotes and discusses music I like with the focus being on rock n' roll, blues, folk, and classic rock. Promotes unknown artists to help them gain some recognition for their music.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.soulofrocknroll.com/"} +{"d:Title": "Punk Rockers Unite", "d:Description": "Directory-style weblog covering over 130 bands in many styles but focusing heavily on punk music.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.punkrockersunite.blogspot.com/"} +{"d:Title": "Guitar-Werks", "d:Description": "Beginning guitar courses, guitar resources and regular updates on all aspects of guitar performance. Written in Singapore.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.guitar-werks.blogspot.com/"} +{"d:Title": "Daytrotter", "d:Description": "Site offers free downloads of live studio recordings of many up-and-coming indie artists/bands. Bands stop into the Futureappletree Studio in Rock Island, IL to record four of their favorite songs. We publish a couple of sessions every week.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.daytrotter.com/"} +{"d:Title": "The Collaborative Piano Blog", "d:Description": "Lists resources for the collaborative piano field as well as musical activities by Royal Conservatory of Music faculty member Christopher Foley.", "topic": "Top/Arts/Music/Weblogs", "url": "http://collaborativepiano.blogspot.com/"} +{"d:Title": "Country Radio Classics", "d:Description": "Covers traditional and classic country western music and artists.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.countryradioclassics.com/"} +{"d:Title": "The Rest Is Noise", "d:Description": "Articles and weblog kept by New Yorker music critic Alex Ross.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.therestisnoise.com/"} +{"d:Title": "Clicky Clicky", "d:Description": "Based in Cambridge, Massachusetts, offers reviews, news and album reviews.", "topic": "Top/Arts/Music/Weblogs", "url": "http://jbreitling.blogspot.com/"} +{"d:Title": "Black Sweater, White Cat", "d:Description": "Companion weblog for radio show of same name. Contains information on advances in music technology and music reviews.", "topic": "Top/Arts/Music/Weblogs", "url": "http://biotic.blogspot.com/"} +{"d:Title": "Real Cool Time", "d:Description": "Dio Bach selects music, videos and mp3s. Site also has album reviews and newsletter.", "topic": "Top/Arts/Music/Weblogs", "url": "http://realcooltime.com/"} +{"d:Title": "Stereogum", "d:Description": "Mp3s, music videos, concert reviews, tour dates and contests. Updated regularly.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.stereogum.com/"} +{"d:Title": "Boston Globe: Sound Effects", "d:Description": "Weblog kept at the Boston Globe covering music news, concert reviews and other ventures in popular music.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.boston.com/ae/music/blog/"} +{"d:Title": "Hear Ya", "d:Description": "Indie music weblog offering song reviews, audio files and label coverage.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.hearya.com/"} +{"d:Title": "Night After Night", "d:Description": "Conspicuous consumption of music, live and otherwise, in New York City.", "topic": "Top/Arts/Music/Weblogs", "url": "http://nightafternight.blogs.com/"} +{"d:Title": "Soho the Dog", "d:Description": "Boston music weblog kept by composer, pianist and conductor Matthew Guerrieri.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.sohothedog.blogspot.com/"} +{"d:Title": "I Am Sitting In A Room", "d:Description": "Entries on (mostly) classical music kept by a Ph.D student at the University of Cincinnati, College-Conservatory of Music.", "topic": "Top/Arts/Music/Weblogs", "url": "http://iamsittinginaroom.blogspot.com/"} +{"d:Title": "ROCK n POP cast", "d:Description": "Mp3 weblog with streaming playlists for each entry.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.rocknpopcast.com/"} +{"d:Title": "The Devil Has The Best Tuna", "d:Description": "Interesting pop and rock groups, new and old, that have not yet hit the radar of the music papers, magazines or the British public.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.besttuna.blogspot.com/"} +{"d:Title": "SFScene", "d:Description": "Coverage of the San Francisco dance music and gay club scenes. Including event and bar reviews, event listings, local artist downloads and occasional essays.", "topic": "Top/Arts/Music/Weblogs", "url": "http://sfscene.blogspot.com/"} +{"d:Title": "Songs of Jo", "d:Description": "Kept in Indonesia, the site offers reviews of popular Indonesian music with accompanying streaming audio files.", "topic": "Top/Arts/Music/Weblogs", "url": "http://songsofjo.blogspot.com/"} +{"d:Title": "Killed By Death Records", "d:Description": "Kept in Sweden, entries cover American and European punk music with candid album reviews, track listings, cover art and MP3 files.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.kbdrecords.com/"} +{"d:Title": "Crud Crud", "d:Description": "Scott Soriano visits various record stores searching for interesting for unusual material on LP. The entries detail the music from the album as well as brief backgrounds on the often-obscure artists.", "topic": "Top/Arts/Music/Weblogs", "url": "http://crudcrud.blogspot.com/"} +{"d:Title": "oboeinsight", "d:Description": "Double reed weblog kept by professional oboist and educator Patricia Emerson Mitchell. Entries discuss repertoire, concerts and job openings in the oboe community.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.oboeinsight.com/"} +{"d:Title": "Musical Assumptions", "d:Description": "Kept by composer and violist Elaine Fine the weblog is focused around classical and jazz music. Features include musical lineage, rare instrument descriptions, and occasionally cooking.", "topic": "Top/Arts/Music/Weblogs", "url": "http://musicalassumptions.blogspot.com/"} +{"d:Title": "Discobelle.net", "d:Description": "Based out of Sweden, the weblog receives music from DJs around the world and posts reviews and recommendations from those songs. The entries are based mostly around indie electronic music with journeys into the mainstream.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.discobelle.net/"} +{"d:Title": "Headphone Sex", "d:Description": "British MP3 weblog features weekly music contests, reviews, cover art, recommendations and links to other music blogs.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.headphonesex.co.uk/"} +{"d:Title": "No Rock And Roll Fun", "d:Description": "Popular music weblog offers commentary on current music news, upcoming major concerts and a list of sites offering MP3s for downloading. Entries date back to 2001.", "topic": "Top/Arts/Music/Weblogs", "url": "http://xrrf.blogspot.com/"} +{"d:Title": "ZMEmusic", "d:Description": "ZMEmusic offers mainstream news, reviews, and videos. Covers primarily pop and rock music. Also contains cover art.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.zmemusic.com/"} +{"d:Title": "RealRockNews", "d:Description": "Covers classic rock news and gossip. Site also includes classic rock search and links section.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.realrocknews.com/"} +{"d:Title": "Long Live Emo Rap", "d:Description": "Weblog covering electoral politics from a hip-hop perspective. Site also includes videos, clips and links.", "topic": "Top/Arts/Music/Weblogs", "url": "http://politicalish.blogspot.com/"} +{"d:Title": "Hip-Hop Fanatic", "d:Description": "Weblog about the hip hop culture and rappers, and DJs. Also includes reviews and new releases.", "topic": "Top/Arts/Music/Weblogs", "url": "http://rapwithanattitude.blogspot.com/"} +{"d:Title": "Awesome Tapes From Africa", "d:Description": "Free MP3s for download from around the continent.", "topic": "Top/Arts/Music/Weblogs", "url": "http://awesometapesfromafrica.blogspot.com/"} +{"d:Title": "Mr Mass", "d:Description": "Offers audio samples, mixes, playlists, concert reviews, and events for the DJ audience.", "topic": "Top/Arts/Music/Weblogs", "url": "http://masscorporation.blogspot.com/"} +{"d:Title": "Bridging the Atlantic", "d:Description": "Indie music weblog with contributors based in Canada and the UK. Includes samples, playlists, and videos of new bands.", "topic": "Top/Arts/Music/Weblogs", "url": "http://bridgingtheatlantic.blogspot.com/"} +{"d:Title": "YoRapper", "d:Description": "Rap/Urban news, album reviews with editorials and commentary.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.yorapper.com/"} +{"d:Title": "Germaniac", "d:Description": "Features news about German bands, album releases, tour dates, and other related information.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.germaniac.com/blog/"} +{"d:Title": "From the Front of the Choir", "d:Description": "Thoughts and views from a freelance community choir leader in the UK updated every Sunday.", "topic": "Top/Arts/Music/Weblogs", "url": "http://blog.chrisrowbury.com/"} +{"d:Title": "Classical Convert", "d:Description": "A beginners guide to classical music, blogged by someone who switched at age 23.", "topic": "Top/Arts/Music/Weblogs", "url": "http://classicalconvert.com/"} +{"d:Title": "Chris Picks", "d:Description": "Entries and recommendations focusing primarily on rhythm and blues and hip-hop.", "topic": "Top/Arts/Music/Weblogs", "url": "http://musicology-101.com/"} +{"d:Title": "Sequenza21", "d:Description": "A weblog kept for the contemporary classical music community. Geared mostly towards composers, the site features a forum, listening room and CD reviews of classical music.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.sequenza21.com/"} +{"d:Title": "Tikun Olam", "d:Description": "Mp3 weblog devoted to world music specifically focusing on Jewish and folk music.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.richardsilverstein.com/category/folk-world-music/"} +{"d:Title": "Indie Rock Cafe", "d:Description": "Get daily music and reviews of indie and alternative rock, pop, folk and electronic artists and bands, new albums releases and festival coverage.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.indierockcafe.com/"} +{"d:Title": "Piano Street", "d:Description": "Classical piano blog with interesting video picks, piano sheet music and piano related news and articles.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.pianostreet.com/blog/"} +{"d:Title": "BryanStars Interviews", "d:Description": "Exclusive interviews, acoustic performances, music news.", "topic": "Top/Arts/Music/Weblogs", "url": "http://bryanstars.com/"} +{"d:Title": "CMT Edge", "d:Description": "News and commentary about country music by musicians and journalists. Maintained by Country Music Television.", "topic": "Top/Arts/Music/Weblogs", "url": "http://www.cmtedge.com/"} +{"d:Title": "Women in Harmony", "d:Description": "Resource for the female vocal harmony singer featuring essays, recordings, arrangements and message board.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://www.singers.com/women"} +{"d:Title": "BellaOnline Country Music News", "d:Description": "Discussion board for women about country music.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://www.bellaonline.com/site.asp?name=countrymusic"} +{"d:Title": "Women at the Piano", "d:Description": "List of concert pianists, past and present, with timeline and links.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://www.pianowomen.com/"} +{"d:Title": "Church of Girl", "d:Description": "Encourage the creation of culture. An online radio station as a free resource for female artists to promote their work. Art gallery, play list, and links.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://www.churchofgirl.com/"} +{"d:Title": "AOL Music - Women Who Rock", "d:Description": "See a photo countdown of the top 20 women in rock today.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://music.aol.com/photo-galleries/women-who-rock-morningwood-chantal"} +{"d:Title": "Absolute Divas", "d:Description": "Featuring biographies, discographies, lyrics and pictures for today's women 'divas' in the music industry.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://www.absolutedivas.com/"} +{"d:Title": "Girl Groups", "d:Description": "Songs, styles and history of pop music girl groups of the 1960s.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://www.girl-groups.com/"} +{"d:Title": "Duke University Library: Women in Music By Musical Genre", "d:Description": "Text lists and links to library resources such as books, periodicals and recordings on women performers and composers by musical genre.", "topic": "Top/Arts/Music/Women_in_Music", "url": "http://library.duke.edu/rubenstein/bingham/guides/music/"} +{"d:Title": "Alice in Dixieland", "d:Description": "All-female dixieland band. Site offers background and booking information, member profiles, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.aliceindixieland.nl/"} +{"d:Title": "Adam, Margie", "d:Description": "Pop, jazz and folk singer/songwriter. Concert schedule, discography, song samples, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.margieadam.com/"} +{"d:Title": "Atlas, Nancy", "d:Description": "East coast indie singer/songwriter. Site offers news, pictures, CD, gig and order information, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.nancyatlas.com/"} +{"d:Title": "Archer, Katherine", "d:Description": "Singer/songwriter from New York. Biography, quotes, album information, and song clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.katherinearcher.com/"} +{"d:Title": "Ashburn, Aubrey", "d:Description": "Recording artist from Cleveland, Ohio. Biography, audio and video clips, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.aubreyashburn.com/"} +{"d:Title": "Atherton, Paula", "d:Description": "Singer, saxophone player, and composer. Biography, images, reviews, audio clips, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.paulaatherton.com/"} +{"d:Title": "Arbo, Rani", "d:Description": "Folk singer/songwriter and fiddler from Middletown, CT. Biography, band information, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.raniarbo.com/"} +{"d:Title": "Adams, Jenn", "d:Description": "Singer/Songwriter and guitarist. MP3 clips, CD-ROM information, images, schedule, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.jennadams.com/"} +{"d:Title": "Atkinson, Sandy", "d:Description": "Independent blues singer/songwriter from Florida. Audio clips, reviews, and schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.sandyatkinson.net/"} +{"d:Title": "Alexander, Wynne", "d:Description": "International singer-songwriter and pianist performs 'Cosmopolitan Rock'. Includes biography, audio samples, performance dates, reviews, photos, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.wynnealexander.com/"} +{"d:Title": "Angelique", "d:Description": "Official site includes message board, pictures, biography, lyrics, fan club, interviews, sound and video clips, performance dates, and street team.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.angeliquemusic.com/"} +{"d:Title": "Albano, Michelle", "d:Description": "New York based singer, songwriter, and pianist. Includes biography, gallery, audio and video, appearance dates, and message board.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.michellealbano.com/"} +{"d:Title": "Abrams, Deborah", "d:Description": "Jazz and Blues vocalist based in San Diego. Includes biography, photos, MP3 samples, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.deborahabrams.com/"} +{"d:Title": "Abyale", "d:Description": "Includes biography, discography, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://abyaleworld.free.fr/"} +{"d:Title": "Anet", "d:Description": "Singer/songwriter also known as Annette Ducharme. Includes biography, discography, photos, discography, lyrics, tour dates, audio, video, message board, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.anetmusic.com/"} +{"d:Title": "Arsenault, Jeanette", "d:Description": "Canadian singer/songwriter. MP3 clips, lyrics, career information, biography, and news.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://jeanettearsenault.ca/"} +{"d:Title": "Andrews, Lori", "d:Description": "An electronic jazz harpist. MP3 song samples, order information, and performance schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.jazharprecords.com/"} +{"d:Title": "Alden, Nichole", "d:Description": "Singer/songwriter from Los Angeles. Audio samples, lyrics, biography, and CD-ROM information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://www.aldenmusic.com/"} +{"d:Title": "Avalon, Jennifer", "d:Description": "Official site with album and song information, essays, quotes, interviews, and a free newsletter.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/A", "url": "http://jenniferavalon.net/"} +{"d:Title": "Bass, Kimberly", "d:Description": "Singer and songwriter based in Northern California. Site has Real Audio samples, show dates, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.kimberlybass.com/"} +{"d:Title": "Berryhill, Cindy Lee", "d:Description": "Singer/songwriter from San Diego. Site has news, chat, photos, discography, interviews, and tour dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.mwpsoft.com/clb/"} +{"d:Title": "Bramlett, Bekka", "d:Description": "Singer who worked with Fleetwood Mac, Faith Hill, and others. Site has biography, audio clips, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.bekka-bramlett.com/"} +{"d:Title": "Bishop, Bonnie", "d:Description": "A big band vocalist who also sings swing, rock, and oldies. Site has photos, links, and an MP3 audio sample.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.angelfire.com/ca3/bigbandbon/"} +{"d:Title": "Brody, Lane", "d:Description": "Country and jazz vocalist. Site has biography, audio samples, photos, recipes, and fan club information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.lanebrody.com/"} +{"d:Title": "B, Lori", "d:Description": "Singer/songwriter from the Bay Area. Biography, song samples, quotes and reviews, and show dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.lorib.net/"} +{"d:Title": "Bonet, Deni", "d:Description": "Singer/songwriter and violinist. Biography, news, gig dates, music clips, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.denibonet.com/"} +{"d:Title": "Bunyan, Vashti", "d:Description": "Singer/songwriter from the 1960s. Timeline, CD-ROM information, lyrics, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://anotherday.co.uk/"} +{"d:Title": "Bergeron, Debbie", "d:Description": "Canadian country music artist. Biography, picture gallery, schedule, and song samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://mypage.uniserve.ca/~musicdb/dBProductions/"} +{"d:Title": "Brown, Kofy", "d:Description": "Singer from Northern California. Biography, discography, images, audio clips, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.kofybrown.com/"} +{"d:Title": "Bushwhackers, The", "d:Description": "All girl country band from Pittsburgh, PA. Page includes an image, contact information, and show dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://members.tripod.com/~Deliberate_Strangers/bushwhackers.htm"} +{"d:Title": "Barnard, Kara", "d:Description": "Singer/songwriter and multi-instrumentalist. Biography, song samples, lyrics, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.karabarnard.com/"} +{"d:Title": "Black, Kthulah", "d:Description": "Gothic-hip-hop-Asian hybrid music, composed by a housewife. Includes poetry, biography, journal, and forum.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.kthulah.com/"} +{"d:Title": "Berry, Robin Lee", "d:Description": "Singer, songwriter, and recording artist from northern Michigan. Includes biography, discography, MP3 downloads, reviews, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.robinleeberry.com/"} +{"d:Title": "Bryant, Molly", "d:Description": "Includes biography, resume, news, show dates, audio samples, diary, and gallery. [Flash required]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.mollybryant.com/"} +{"d:Title": "Burns, Shelley", "d:Description": "Website for the \"Shelley Burns Trio\", \"Shelley and Bill\", and other projects. Includes a concert schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://shelleyburns.net/"} +{"d:Title": "Bernard, Mary Ellen", "d:Description": "Official site for the singer/songwriter. Includes biography, discography, MP3 samples, reviews and tour/contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.maryellenbernard.com/"} +{"d:Title": "Blue Mountain Myst", "d:Description": "Mixes gospel, blue grass, country, and pop. Includes member biographies, contact information, tour dates, an audio sample, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://bluemountainmyst.tripod.com/"} +{"d:Title": "Brown, Natalie", "d:Description": "Rhythm and blues singer/songwriter. Images, ordering information, audio clips, and biography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.natalie-brown.com/"} +{"d:Title": "Bruce, Jennifer", "d:Description": "Country singer from Nashville. Album information, MP3 clips, pictures, and biography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://jenniferbrucemusic.com/"} +{"d:Title": "Branche, Stacye", "d:Description": "Alternative soul artist. Biography, image gallery, audio clips, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.stacyebranche.com/"} +{"d:Title": "Blaze, Niccole", "d:Description": "Folk-rock singer/songwriter and guitarist. Biography, MP3 clips, and album information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://blazeandkelly.com/"} +{"d:Title": "Beat Divas, The", "d:Description": "A trio of female jazz vocalists. Biographies, performance dates, reviews, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://madykaye.com/beat-divas/"} +{"d:Title": "Brigantino, Lisa", "d:Description": "Singer/songwriter from New York City. Offers tour dates, CD information, pictures, and sound bytes.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://lisabrigantino.com/"} +{"d:Title": "Brisebois, Danielle", "d:Description": "All Music Guide includes biography, discography, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/B", "url": "http://www.allmusic.com/artist/danielle-brisebois-mn0000670912"} +{"d:Title": "Chacin, Rosa Virginia", "d:Description": "Venezuelan romantic music singer. Information about her music, career, and upcoming presentations. [English and Spanish.]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.rosavirginiachacin.com/"} +{"d:Title": "Christine Moll Band", "d:Description": "Acoustic rock band from Philadelphia. Site has photos, show schedule, biography, and audio samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.christinemoll.com/"} +{"d:Title": "Conway, Deborah", "d:Description": "Australian singer and actress. Site has biography, discography, reviews, chords, interviews, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.deborahconway.com/"} +{"d:Title": "Coyle, Laura", "d:Description": "Singer/songwriter currently living in Los Angeles. Biography, sound files, and gig schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.lauracoyle.com/"} +{"d:Title": "Curtis, Jayme Kelly", "d:Description": "Singer/songwriter/guitarist from Northern California. Site has biography, discography, lyrics, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.purrgirl.com/"} +{"d:Title": "Courtney, Chandrakantha", "d:Description": "A vocalist who performs North Indian classical music. Site has biography, interview, and samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://chandrakantha.com/biodata/chandra.html"} +{"d:Title": "Claxton, Christy", "d:Description": "Singer/songwriter from Austin, Texas. Photos, biography, music clips, and booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://christyclaxton.com/"} +{"d:Title": "Ces\u00e1n, Francesca", "d:Description": "Singer from Spain. Pictures and album information as well as RA and MP3 song samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.francescacezan.com/"} +{"d:Title": "Cleveland, Kitty", "d:Description": "A singer of liturgical music, musical theater, and opera. Includes a biography and song clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.kittycleveland.com/"} +{"d:Title": "Charles, Benita", "d:Description": "Singer from News York City. Biography, Real Audio clips, and appearance schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.benitacharles.com/"} +{"d:Title": "Coppola, Lisa", "d:Description": "Pop/rock vocalist and songwriter. Biography, music clips, pictures, news, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.lisacoppola.com/"} +{"d:Title": "Costa, Leslie", "d:Description": "Modern folk rock singer/songwriter. Biography, gig dates, video clips, news, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.lesliecosta.com/"} +{"d:Title": "Crystals, The", "d:Description": "Oldies girl group from the 60s. Background information, audio clips, images, and their schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.thecrystals.net/"} +{"d:Title": "Crowe, Allison", "d:Description": "Rock and jazz pianist/vocalist from Nanaimo, Canada. Biography, lyrics, images, and MP3 clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.allisoncrowe.com/"} +{"d:Title": "Catholic Girls", "d:Description": "An all female pop-rock band with a modernized 80's feel. All Girls, All Original, All Rock.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.thecatholicgirls.net/"} +{"d:Title": "Coppin, Mary", "d:Description": "Pop-rock singer/songwriter from California. Gig schedule, lyrics, MP3 clips, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://marycoppin.com/"} +{"d:Title": "Cocktaillica", "d:Description": "A punk/metal/rockabilly trio from New York City. Background information, lyrics, and press quotes.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/indie/cocktaillica/index.html"} +{"d:Title": "CeLeste", "d:Description": "Sound clips, pictures, biography, press and club dates for the Florida-based singer.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/music4/lesty/"} +{"d:Title": "Chmiel, Dawn Marie", "d:Description": "Singer, pianist, and organist from Rochester, New York. Includes biography, CD information, MP3 downloads, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.dawnchmiel.com/"} +{"d:Title": "Clarke, Jennifer", "d:Description": "Prairie Canadian Celtic singer. Includes biography, discography, lyrics, performance dates, photos, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.wildmountainthyme.ca/"} +{"d:Title": "Chester, Katrina", "d:Description": "Official fan site includes biography, show dates, news, show dates, interviews, pictures, and discography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.angelfire.com/music5/katrinachester/"} +{"d:Title": "Carpenter, Kate", "d:Description": "Motivational folk singer, songwriter, and storyteller. Includes biography, photos, discography, concert and promotional information, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.mrskate.com/"} +{"d:Title": "Cook, Christin", "d:Description": "Official site of the guitar playing singer songwriter. Includes biography, news, audio and video, message board, tour dates, reviews, and photos. [Flash required]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/C", "url": "http://www.cthemakeupartist.com/"} +{"d:Title": "Dane, Barbara", "d:Description": "Folk, blues and jazz singer/songwriter. Site has biography, discography, concert photos, and interview.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.zipcon.net/~highroad/dane.htm"} +{"d:Title": "Dunn, Robyne", "d:Description": "Singer/songwriter from Sydney, Australia. Site has gig information, a CD shop, song samples, and artwork.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.robynedunn.com.au/"} +{"d:Title": "Danie Cortese and the Dejablues", "d:Description": "A vocalist and songwriter. Biography, photos, news and events.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://dejablues.homestead.com/"} +{"d:Title": "Drost, Frances", "d:Description": "Singer/songwriter and pianist from Cumberland County. Biography, audio clips, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.francesdrost.com/"} +{"d:Title": "Drown, Charlie", "d:Description": "Industrial-metal-goth singer/songwriter and musician. Pictures, lyrics, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.charliedrown.com/"} +{"d:Title": "Dark Nebula", "d:Description": "Five high-school girls from Clarks Summit, PA. Profiles, band journal, pictures, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.angelfire.com/music/darknebula/"} +{"d:Title": "Daniels, Jill", "d:Description": "Singer and entertainer from the UK. Photos, MP3 clips, lyrics, CD-ROM information, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.jilldaniels.com/"} +{"d:Title": "David, Brenda", "d:Description": "A pop, rock, folk and urban country singer/songwriter. News, order information, and clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.brendadavid.com/"} +{"d:Title": "Desmarais, Lorraine", "d:Description": "Jazz pianist and composer from Montr\u00e9al. Includes biography, discography, concert dates, and reviews. [English and French]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.lorrainedesmarais.com/"} +{"d:Title": "Deviant", "d:Description": "Official site of Denver, Colorado industrial band. Includes news, photos, audio, show dates, merchandise, and forum. [May not work in older browsers]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.deviantmuse.com/"} +{"d:Title": "Donatelli, Denise", "d:Description": "Los Angeles based jazz improvisation singer who sings jazz standards and ballads incorporating bebop and scat. Includes news, biography, performance information, photos, audio samples, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://denisedonatelli.com/"} +{"d:Title": "Darling, Tanya", "d:Description": "Pop singer/songwriter and pianist from Boston, Massachusetts, and Manchester, New Hampshire. Includes profile, discography, audio samples, merchandise, and booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.tanyadarling.com/"} +{"d:Title": "Drach, Tracy", "d:Description": "A Pittsburgh based singer/songwriter. Site has her show schedule, contact information, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://drachndroll.tripod.com/"} +{"d:Title": "Dalton, Lacy J.", "d:Description": "A Pennsylvania country musician. Site offers biography, discography, fan club information, lyrics, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/D", "url": "http://www.lacyjdalton.org/"} +{"d:Title": "Easton, Amanda", "d:Description": "Guitar pop/rock musician and actress from Australia. Site offers photos, biography, and MP3 files.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.amandaeaston.com/"} +{"d:Title": "Elaine K", "d:Description": "Writer and performer living in New York City. Biography, album information, news, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.elainek.com/"} +{"d:Title": "Espresso Jazz", "d:Description": "Sandi Russell's classic jazz combo. Biography, event calendar, CD-ROM information, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.espressojazz.net/"} +{"d:Title": "Envision", "d:Description": "Rhythm-and-blues/pop trio from Canada. Group history, member profiles, audio clips, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/rnb/envision/index.html"} +{"d:Title": "Edge, Mandy", "d:Description": "British singer-songwriter's site features biography, MP3s and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.mandy-edge.co.uk/"} +{"d:Title": "Ellis, Karyn", "d:Description": "Official site of acoustic folk-pop singer/songwriter from Ontario, Canada. Contains biography, lyrics, MP3 downloads, photo album, tour dates, reviews, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.karynellis.com/"} +{"d:Title": "Echo Janes", "d:Description": "A rock, pop, folk, and blues band from Connecticut. Band history, profiles, gig dates, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.angelfire.com/indie/echojanes/"} +{"d:Title": "Escalante, Mireya", "d:Description": "Cuban singer/songwriter and the band Latin Street sing a blend of Latin rhythms, jazz and blues. Includes biography, discography, photos, and contact information", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://mireyaescalante.bizhosting.com/"} +{"d:Title": "England, Kyler", "d:Description": "Official site includes news, profile, discography, tour dates, online journal, and gallery.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.kylerengland.com/"} +{"d:Title": "Ella Blame", "d:Description": "Official site offers biography, news, image gallery, wallpapers, audio samples, reviews, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/E", "url": "http://www.ella-blame.com/"} +{"d:Title": "Flower and McLaren", "d:Description": "Robin Flower and Libby McLaren perform original songs influenced by country, Cajun, and bluegrass.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/F", "url": "http://flowerandmclaren.com/"} +{"d:Title": "Fabulous Disaster", "d:Description": "All female punk/pop band from San Francisco. Site has biography, photos, audio clips, and a mailing list.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/F", "url": "http://www.evileyerecords.com/fab.html"} +{"d:Title": "Falcon, Pamela", "d:Description": "Rock and soul vocalist/songwriter from New York. Site has biography, photos, CD information, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/F", "url": "http://www.pamelafalcon.com/"} +{"d:Title": "Fleming, Ellyn", "d:Description": "Female fronted melodic pop/rock band. Site offers biography, photos, gig dates, lyrics, and sound clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/F", "url": "http://www.feistyb.com/"} +{"d:Title": "Fisher, Rebekka", "d:Description": "Official site of Minneapolis, Minnesota-based independent songwriter. Includes profile, audio samples, photos, show dates, and news.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/F", "url": "http://www.rebekkafisher.com/"} +{"d:Title": "Fraser, Norma", "d:Description": "Reggae artist from Jamaica. Biography, career highlights, MP3 clips, and album information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/F", "url": "http://www.normafraser.net/"} +{"d:Title": "Glenn-Copeland, Beverly", "d:Description": "Singer, songwriter, and composer. Includes news, CD-ROM information, song clips, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.songcycles.com/"} +{"d:Title": "Gerson, Ruth", "d:Description": "Independent singer/songwriter. Site has reviews, multimedia clips, pictures, and CD/tour/booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.ruthgerson.com/"} +{"d:Title": "Giroux, Julie Ann", "d:Description": "Personal home page of Julie Giroux, a composer and accomplished performer on piano and horn.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://juliegiroux.8m.com/"} +{"d:Title": "Glamazon", "d:Description": "Female cyberrock band. Site has CD information, biographies, lyrics, show dates, photos, and sound clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.glamazonrocks.com/"} +{"d:Title": "Garrett, Jan", "d:Description": "Singer/songwriter and workshop leader from Colorado. Biography, career information, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.jangarrett.com/"} +{"d:Title": "Grayson, Donna", "d:Description": "Independent pop singer/songwriter. Site offers background information, lyrics, music bites, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.donnagrayson.com/music.html"} +{"d:Title": "Grace, Janice", "d:Description": "Singer/songwriter and producer from New York. Site has pictures, biography, song clips, lyrics, and remixes.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://janicegrace.com/"} +{"d:Title": "Grant, Alicia", "d:Description": "Alternative pop/rock musician. Offers music downloads, fan club and CD-ROM information, and show dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://aliciagrant.com/"} +{"d:Title": "Gertrude", "d:Description": "Punk band from London, UK. Band profiles, discography, articles, MP3 clips, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.gertruderock.com/"} +{"d:Title": "Grasmere, Louise", "d:Description": "Jazz and blues vocalist. Real Audio clips, biography, gig dates, reviews, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.louisegrasmere.com/"} +{"d:Title": "Germain, Jane", "d:Description": "Independent artist from Australia. Picture, background and album information, and track lists.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.angelfire.com/rock/janegermain/"} +{"d:Title": "Getz, Susan", "d:Description": "Site of San Francisco vocalist includes biography, performance schedule, photos, MP3 downloads, press kit, and mailing list.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.susangetz.com/"} +{"d:Title": "Geller, Marci", "d:Description": "Official site of New York singer songwriter. Includes biography, calendar, photo gallery, MP3 samples, lyrics, reviews, contact information, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.marcigeller.com/"} +{"d:Title": "Gardner, Soressa", "d:Description": "Folk pop singer/songwriter from Vancouver. CD-ROM information, audio clips, lyrics, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/G", "url": "http://www.soressa.com/"} +{"d:Title": "Harrison, Wendy", "d:Description": "Steel drum player, working solo or with band. Site has sound samples, biography, gig calendar, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.steeldrumband.net/harrison/"} +{"d:Title": "Heaven's Burning", "d:Description": "All-girl alternative rock band from Pasadena, CA. Site has brief biographies, lyrics, reviews, and sound clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.heavensburning.com/"} +{"d:Title": "Heavy Merge", "d:Description": "New York native Latinos playing funk and jazz. Performance information, profiles, and discography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.heavymerge.com/"} +{"d:Title": "Houston, Tracey K.", "d:Description": "A traditional country artist. News, biography, discography, reviews, photos, and dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.tkhouston.com/"} +{"d:Title": "Hurley, Joyce", "d:Description": "Pianist, vocalist, and composer. Biography, MP3 clips, performance schedule, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.joycehurley.com/"} +{"d:Title": "Hart, Kristin", "d:Description": "Texas country music singer and songwriter. Profile, photos, schedule, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.kristinhart.com/"} +{"d:Title": "Hatch, Monica", "d:Description": "Professional jazz and classical vocalist. Site offers biography, reviews, schedule, and sound clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.monicahatch.com/"} +{"d:Title": "Holiday, Juanita", "d:Description": "Philadelphia vocalist. Includes biography, photos, MP3 downloads, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.juanitaholiday.com/"} +{"d:Title": "Hjartardottir, Hera", "d:Description": "Includes news, discography, tour dates, image gallery, interviews and articles, and audio samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.herasings.com/"} +{"d:Title": "Harmetz, Elizabeth", "d:Description": "Singer/soprano living in Orlando, Florida. Biography, sound clips, images, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.harmetz.com/"} +{"d:Title": "Hart, Sue", "d:Description": "Official site of California jazz singer. Includes biography, song samples, photos, reviews, merchandise, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.suehartband.com/"} +{"d:Title": "Hampton, Debra", "d:Description": "South Florida performer, songwriter, and vocalist. Includes biography, pictures, audio samples, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.debrahampton.com/"} +{"d:Title": "Hitchcock, Nicola", "d:Description": "London-based artist. Includes news, biography, discography, audio samples, lyrics, and message board.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://www.nicolahitchcock.com/"} +{"d:Title": "Hex", "d:Description": "Franco-American fusion music trio. Member profiles, lyrics, and audio samples. [English and French]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/H", "url": "http://hexmusic.free.fr/"} +{"d:Title": "It's Me, Margaret", "d:Description": "Female-fronted, duet-style band from Los Angeles. Site has biography, photos, shows schedule, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/I", "url": "http://www.itsmemargaret.com/"} +{"d:Title": "It's My Party", "d:Description": "A teen girl group. News, show dates, group history, discography, newsletter, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/I", "url": "http://www.itsmypartyonline.com/"} +{"d:Title": "Incidental Swing", "d:Description": "Female vocal group from Australia. Background information, song list, and a newsletter.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/I", "url": "http://incidentalswing.homestead.com/"} +{"d:Title": "IIO", "d:Description": "Includes biography, discography, photos, news, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/I", "url": "http://iiorapture.free.fr/"} +{"d:Title": "Jordan, Lynne", "d:Description": "Chicago based entertainer/singer. Photos, biography, booking information, and a sound clip.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.lynnejordan.com/"} +{"d:Title": "Jezebel Moon", "d:Description": "An all female rock band from Connecticut. Biographies, pictures, lyrics, and show dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://jezebelmoon.tripod.com/"} +{"d:Title": "Jones, Cara", "d:Description": "Singer/songwriter living and working in Japan. Biography, album information, song clips, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.carajones.com/"} +{"d:Title": "Jones, Kacey", "d:Description": "A musical humorist and serious vocalist. Biography, photos, reviews, and calendar.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.kaceyjones.com/"} +{"d:Title": "Joy Wilson Band, The", "d:Description": "Alternative rock band from Portland, Oregon. CD-ROM information, band history, and schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.joywilson.com/"} +{"d:Title": "Jabour, Lenni and The Third Floor", "d:Description": "Fan site for this Toronto \"cabaret pop\" group. Hosts a biography, discussion forum, images, discography, lyrics, music samples, articles, show dates, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.lennijabour.com/"} +{"d:Title": "Jenae", "d:Description": "Canadian pop singer. News, MP3 clips, image gallery, lyrics, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.jenaemusic.com/"} +{"d:Title": "Jones, Deidra", "d:Description": "Home page of the Soul diva. Includes biography, references, song files, booking and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.deidrajones.com/"} +{"d:Title": "JAR", "d:Description": "A Boise, Idaho-based female rock duo. With show dates, mailing list sign-up, and booking/contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.jarjam.homestead.com/"} +{"d:Title": "Jevette", "d:Description": "Dallas, Texas jazz singer-composer-musician. Includes biography, news, photos, events calendar, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.angelfire.com/tx/sndbyteprod/"} +{"d:Title": "Jones, E'lissa", "d:Description": "Official site of Hudson Valley, New York singer/songwriter includes news, biography, discography, MP3 samples, photos, tour schedule, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/J", "url": "http://www.elissajones.com/"} +{"d:Title": "Katzen-Guthrie, Joy", "d:Description": "Writer, performer, and recording artist. Site has biography, schedule, song clips, and booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.joyfulnoise.net/"} +{"d:Title": "Kerry Ford, Anne", "d:Description": "Cabaret singer and actress. Site has biography, photos, reviews, show schedule, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.annekerryford.com/"} +{"d:Title": "kHz", "d:Description": "Band from New York City featuring lead singer Raiana. Image gallery, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.thekhz.com/"} +{"d:Title": "Kelly, Kaye", "d:Description": "A Boston-based singer/songwriter. Pictures, news, biography, CD-ROM information, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.kayekelly.com/"} +{"d:Title": "Kavtaradze, Nina", "d:Description": "Russian-born concert pianist living in Denmark. Biography, album and booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.ninakavtaradze.com/"} +{"d:Title": "KIN", "d:Description": "Home of the female rap duo out of Mt. Vernon, NY and home to Noriq Records. Includes biography, photos, audio and video.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.kin4life.com/"} +{"d:Title": "Keineg, Katell", "d:Description": "Singer/songwriter from Ireland. Mini biography, images, concert dates, articles, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.katellkeineg.com/"} +{"d:Title": "Krimsky, Katrina", "d:Description": "Official site of American/Swiss composer and pianist. Includes biography, photos, discography, compositions, and press reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://katrinakrimsky.com/"} +{"d:Title": "Kristi Jo", "d:Description": "Official site of Los Alamitos, California singer/songwriter. Includes biography, photos, audio, video, lyrics, news, show dates, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.kristijo.com/"} +{"d:Title": "Kit McClure Band", "d:Description": "All-girl jazz band in New York City. Includes biography, discography, audio samples, tour dates, video clips, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.redhotrecords.com/"} +{"d:Title": "Kid Wezel", "d:Description": "Canadian singer/songwriter in the blues and jazz styles. Includes biography, news, performance dates, merchandise, and booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.wezel.com/wezel/"} +{"d:Title": "King, Jessica", "d:Description": "Singer/songwriter from Atlanta, Georgia. Song clips, a picture, contact information, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.jessicaking.com/"} +{"d:Title": "Kelman, Kristina", "d:Description": "Official site of Australian jazz singer and educator includes biography, photos, and MP3 samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://kkjazz.homestead.com/"} +{"d:Title": "Keating, Vyktoria Pratt", "d:Description": "Virginia and Arizona based singer songwriter and guitarist whose musical stylings lean toward acoustic progressive rock with a folky new age slant. Includes biography, news, images, reviews, performance dates, discography, lyrics, audio samples, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/K", "url": "http://www.vyktoria.com/"} +{"d:Title": "Liu Fang", "d:Description": "Chinese pipa soloist. Reviews, concert schedule, booking information, audio clips, and discography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.philmultic.com/"} +{"d:Title": "Lawson, Heather", "d:Description": "Rock and blues singer from Nashville. Site has biography, audio clips, lyrics, schedule, and order information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.heatherlawson.com/"} +{"d:Title": "LaRoche, Kaleah", "d:Description": "Singer/songwriter from Bellingham, Washington. Site has biography, song clips, CD information, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://kaleah.com/"} +{"d:Title": "Lloyd, Karen", "d:Description": "Singer/songwriter based in New York City. Includes biography, song samples, photos, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.karenlloyd.com/"} +{"d:Title": "Leis, Rhonda", "d:Description": "Chicago-based blues and jazz saxophonist. Site has booking information, photos, and performance schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.saxgirl.com/"} +{"d:Title": "Lewis, Barbara", "d:Description": "New age, world, folk, and jazz singer/songwriter. Biography, discography, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.barbaralewis.com/"} +{"d:Title": "Loud", "d:Description": "Taiko and electric guitar trio from Vancouver, Canada. Site has CD and contact information, biographies, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.taikoelectric.com/"} +{"d:Title": "Laurie Z.", "d:Description": "Female keyboardist and composer. Site features biography, album information, audio clips, and event calendar.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://lauriez.com/"} +{"d:Title": "Lexxi", "d:Description": "Pop and rhythm and blues artist. News, a biography, song clips, lyrics, and a picture gallery.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.angelfire.com/in2/LexxiWeb/index.html"} +{"d:Title": "Lauzon, Jani", "d:Description": "Native contemporary artist. Album information, song clips, gig dates, and news.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.janilauzon.com/"} +{"d:Title": "Libana", "d:Description": "Researches and performs women's traditional and contemporary music. CD-ROM information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.libana.com/"} +{"d:Title": "LeBlanc, Michelle", "d:Description": "Jazz vocalist. Background information, pictures, concert dates, and an interview.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.michelleleblanc.com/"} +{"d:Title": "Lewis, Laurie", "d:Description": "Singer/songwriter, fiddler, and guitarist from Berkeley. Biography, discography, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.laurielewis.com/"} +{"d:Title": "Lucid Fly", "d:Description": "Female fronted rock band from Orlando. MP3 samples, images, lyrics, and background information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.lucidfly.com/"} +{"d:Title": "Lemanski, Mary", "d:Description": "Singer/songwriter and pianist from Springfield, IL. Biography, reviews, images, and MP3 clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://marylemanski.com/"} +{"d:Title": "Lore", "d:Description": "Female ambient electronic artist. Images, audio clips, background and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.loremusic.com/"} +{"d:Title": "Lamond, Mary Jane", "d:Description": "Combines Gaelic songs with contemporary pop sounds. Site has biography, reviews, and multimedia clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.maryjanelamond.com/"} +{"d:Title": "Leila", "d:Description": "Multilingual artist/songwriter from Canada. Biography, lyrics, audio and video clips, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.powerofonemusic.com/leila.htm"} +{"d:Title": "Lux, Christina", "d:Description": "German singer and guitarist: biography, audio samples, tour dates, and news.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.christinalux.de/"} +{"d:Title": "Leandra", "d:Description": "Female vocalist form the UK. Biographical information, images, audio clips, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.leandraweb.co.uk/"} +{"d:Title": "Lian, Carol", "d:Description": "Classical pianist and recording artist, performing improvisational and repertoire, and the Language of Music program. Includes biography, reviews, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.carollianpiano.com/"} +{"d:Title": "Lev, Heather", "d:Description": "Folk singer/songwriter. Includes biography, reviews, show dates, photos, lyrics, paintings, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://creativecareercounseling.homestead.com/HeatherLev.html"} +{"d:Title": "Lambert, Miranda", "d:Description": "Official site of singer/songwriter includes biography, lyrics, picture, performance dates, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.mirandalambert.com/"} +{"d:Title": "Lutrell, Dawn", "d:Description": "Independent artist from Des Moines, Iowa. Site has news, biography, facts, show schedule, and samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://dawnlutrell.indiegroup.com/"} +{"d:Title": "Liddell, Lise", "d:Description": "Female singer/songwriter. Biography, lyrics, MP3 clips, show dates, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.liseliddell.com/"} +{"d:Title": "Lane, Terri", "d:Description": "Official site of blues/rock singer/songwriter. Includes news, biography, MP3 samples, lyrics, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.terrilanerocks.com/"} +{"d:Title": "Locke, Martine", "d:Description": "Urban acoustic folk-rock-grunge duo from Australia. Biography, news, gig guide, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://martinelocke.com/"} +{"d:Title": "Lucinda&Michael", "d:Description": "Acoustic folk musicians, Lucinda Rowe and Michael Connolly, from Connecticut. Biography, discography, and performance schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.lucindaandmichael.com/"} +{"d:Title": "Lamura, Vima", "d:Description": "Chanter, vocalist, poet, composer, performing and recording artist using languages of Sanskrit, Arabic, Aramaic, Latin, Farsi, Spanish and English. Includes reviews, photos, biography, merchandise, and performance information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.vimalamura.com/"} +{"d:Title": "Lewis, Lilli", "d:Description": "A jazz, pop, folk, and funk musician. Audio clips, lyrics, biography, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/L", "url": "http://www.folkrockdiva.com/"} +{"d:Title": "Manley, Della", "d:Description": "Folk/alternative singer from Jamaica. Biography, album information, pictures, and song clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.angelfire.com/indie/della/"} +{"d:Title": "McDonald, Kathi", "d:Description": "A veteran performer, has sung on dozens of gold LPs. Site has information, discography, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.rivergraphics.com/mcdonald/"} +{"d:Title": "Marvel, Jan", "d:Description": "Singer/songwriter and guitarist from New England. Site has biography, song samples, reviews, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.attagirlrecords.com/"} +{"d:Title": "Marysia", "d:Description": "Scottish singer/songwriter and actress. Site has biography, writings, sound clips, and several photo galleries.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.marysia.com/"} +{"d:Title": "Missing, The", "d:Description": "A female fronted metal band from New York City. Biography, photos, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.themissing.com/"} +{"d:Title": "McKinley, Cassandre", "d:Description": "Jazz vocalist from the Boston area. Biography, discography, schedule, news, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://cassandremckinley.com/"} +{"d:Title": "Martin, Jodi", "d:Description": "Folk singer/songwriter from South Australia. Biography, images, media releases, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.jodimartin.com/"} +{"d:Title": "Moraes, K\u00e1tia", "d:Description": "Brazilian singer, songwriter and dancer. Biography, reviews, audio clips, images, and calendar.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.katiamoraes.com/"} +{"d:Title": "McCarthy, Christie", "d:Description": "Bay Area based folk-rock singer/songwriter. Biography, concert dates, photos, and samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.christiemccarthy.com/"} +{"d:Title": "Moon, Zola", "d:Description": "Blues Rock artist from San Francisco. Biography, reviews, pictures, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.zolamoon.com/"} +{"d:Title": "Mettaphor", "d:Description": "An all girl band from Australia. Biographies, news, reviews, gig dates, images, and MP3 clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.mettaphor.com/"} +{"d:Title": "McCormick Palmer, Jill", "d:Description": "A pianist who supports Locks of Love. Biography, music samples, reviews, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.jillpalmer.com/"} +{"d:Title": "Maedy", "d:Description": "Singer and pianist from the Netherlands. Biography, photos, audio clips, and schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.maedy.com/"} +{"d:Title": "Minogue, Annie", "d:Description": "Former lead vocalist for 'Ghost Of A God'. Biography, album information, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.annieminogue.com/"} +{"d:Title": "McIntosh, Beth", "d:Description": "Singer/songwriter and guitarist. Discography, song lists, audio clips, and order information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.bethmcintosh.com/"} +{"d:Title": "Miss Moneypenny", "d:Description": "A guitar pop trio from Australia. Band information, pictures, and music clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.missmoneypenny.com.au/"} +{"d:Title": "Miller, Angie", "d:Description": "Annapolis singer-songwriter's official website features a calendar, press kit, and photographs.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.angiemiller.com/"} +{"d:Title": "Murray, Pat", "d:Description": "Toronto Jazz vocalist. Contains audio samples, gig dates, pictures, and biography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.patmurray.ca/"} +{"d:Title": "MacLean, Linda", "d:Description": "South Florida vocalist performing in various styles. Includes biography, photos, audio and video clips, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.lindamaclean.com/"} +{"d:Title": "Moran, Kitt", "d:Description": "Official site of the jazz recording artist. Includes biography, audio clips, appearance dates, and photo gallery. [Flash required]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.kittmoran.com/"} +{"d:Title": "Mills, Cidney and Thriffshop", "d:Description": "Atlanta, Georgia funk/pop band. Includes profile, audio samples, news, and booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.cidneymills.com/"} +{"d:Title": "Minasian, Ani", "d:Description": "Official site of southern California singer/songwriter includes biography, audio samples, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.animinasian.com/"} +{"d:Title": "McCafferty, Jo", "d:Description": "Singer and songwriter based in Aberdeen, Scotland. News, biography, gig dates, discography, photos, lyrics, and audio samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.jomccafferty.com/"} +{"d:Title": "Malone, Michelle", "d:Description": "Official site of multi-genre singer/songwriter and guitarist. Includes biography, discography, lyrics, news, photos, reviews, MP3 downloads, and tour dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.michellemalone.com/"} +{"d:Title": "Mad Housewives, The", "d:Description": "Site of Toronto duet includes biography, discography, performance dates, and audio samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.madhousewives.com/"} +{"d:Title": "Morgan, Pamela", "d:Description": "Performer from the Celtic music scene. Site with biography, discography, audio clips, and order information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.pamelamorgan.ca/"} +{"d:Title": "May, Corrinne", "d:Description": "Singer-songwriter from Singapore. Includes news, reviews, photos, performance dates, and wallpaper.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.corrinnemay.com/"} +{"d:Title": "McKee, Danielle", "d:Description": "Rock singer/songwriter. Includes biography, news, show dates, audio samples, lyrics, photo gallery, and message board.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.daniellemckee.com/"} +{"d:Title": "Moon, Gilli", "d:Description": "Australian singer/songwriter. Album information, audio samples, biography, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.gilli.net/"} +{"d:Title": "McNight, Sharon", "d:Description": "A musical performer. Biography, performance schedule, discography, photos, and song clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.sharonmcnight.com/"} +{"d:Title": "Miller, Maci", "d:Description": "Official site of jazz singer/songwriter includes biography, audio samples, lyrics, press and reviews, photos, performance dates, merchandise", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://www.macimiller.com/"} +{"d:Title": "Mary Mary", "d:Description": "A gospel singer/songwriter duo from California. Biography, tour dates, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "http://ilovemarymary.com/"} +{"d:Title": "Moulann", "d:Description": "Toronto-based singer songwriter and pianist. Includes news, profile, performance dates, discography, pictures, press, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M", "url": "https://www.facebook.com/moulann"} +{"d:Title": "Charlotte Martin", "d:Description": "Official site includes biography, photos, MP3 downloads, lyrics, news, tour dates, merchandise, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/M/Martin,_Charlotte", "url": "http://www.charlottemartinmusic.com/"} +{"d:Title": "Novak, Jeannie", "d:Description": "Musician and founder of Indiespace.com. MP3 clips, images, album information, and biography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://jeannie.com/"} +{"d:Title": "Newland-Ulloa, Juanita", "d:Description": "Performs romantic songs by Mexican women composers. Site has audio clips and show schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.juanitamusic.com/"} +{"d:Title": "Naughton, Laurie", "d:Description": "Vocalist from Atlanta, Georgia. Mini biography, pictures, lyrics, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.laurienaughton.com/"} +{"d:Title": "Newmark, Mary Lou", "d:Description": "Electric violinist, composer, and poet. Music clips, reviews, events, biography, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.greenangelmusic.com/"} +{"d:Title": "Nurse and Soldier", "d:Description": "A coed garage pop band from Brooklyn, NYC. MP3 files, gig dates, photos, and band history.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.nurseandsoldier.com/"} +{"d:Title": "NanyaNa", "d:Description": "Atlanta, Georgia funk rock band. Audio files, news, pictures, and member profiles.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.nanyana.com/"} +{"d:Title": "Nova, Nancy", "d:Description": "Singer/songwriter in Devon, England. Includes biography, sound files, discography, track listings, pictures, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.nancynova.com/"} +{"d:Title": "Noel, Cecilia and The Wild Clams", "d:Description": "Official site of salsa/soul vocalist. Includes biography, audio and video, lyrics, image gallery, wallpaper, news, show dates, reviews, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.cecilianoel.com/"} +{"d:Title": "Nalley, Kim", "d:Description": "Site of Jazz and Blues vocalist includes biography, news, performance dates, photos, discography, audio samples, videos, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.kimnalley.com/"} +{"d:Title": "Noon, Jamie Lynn", "d:Description": "The official website of California songwriter and artist includes news, press, and music.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.jamielynnnoon.com/"} +{"d:Title": "Nussey, Angie", "d:Description": "Official site of Toronto-based singer/songwriter. Includes biography, news, tour dates, photos, discography, lyrics, audio samples, and journal.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.angienussey.com/"} +{"d:Title": "Nicolet, Kathie", "d:Description": "Classical and contemporary pianist performs for corporate events and weddings in the Chicago area.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.knicoletpiano.com/"} +{"d:Title": "Nelson, Nicole", "d:Description": "Blues and jazz singer from Boston. News, biography, reviews, and club dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/N", "url": "http://www.dwightandnicole.com/"} +{"d:Title": "Oswald, Kate", "d:Description": "Folk and alternative rock singer/songwriter. Song clips, pictures, lyrics, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/O", "url": "http://angelfire.com/myband/kateoswald/index.html"} +{"d:Title": "Ocean", "d:Description": "Californian-based singer-songwriter-producer. Includes biography, audio, video, photos, lyrics, shows, and poetry.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/O", "url": "http://djocean.bandcamp.com/"} +{"d:Title": "Paola and Chiara", "d:Description": "Italian sister duet. Biography, discography, lyrics, audio and video clips. [Italian and English]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://spazioinwind.libero.it/paolaechiara/"} +{"d:Title": "Parlato, Gretchen", "d:Description": "LA-based jazz vocalist. Biography, performance dates, music clips, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.gretchenparlato.com/"} +{"d:Title": "Powers, Heather", "d:Description": "Hard rock and metal musician. Biography, pictures, audio files, lyrics, and CD-ROM information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.heatherpowers.com/"} +{"d:Title": "Pullus, Penny Jo", "d:Description": "The Austin rocker's official site with album information, audio clips, show dates, and a bulletin board.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://pennyjopullus.com/"} +{"d:Title": "Palmer, Sue", "d:Description": "San Diego-based boogie woogie piano player. Biography, album information, schedule, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.suepalmer.com/"} +{"d:Title": "Pruitt, Kristina", "d:Description": "Vocalist, composer and arranger from the United States. Biography, images, MP3 clips, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.kristinapruitt.com/"} +{"d:Title": "Poletti, Elena", "d:Description": "Jazz singer from Italy. Vocal samples, profile of her trio, and press reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.elenapoletti.com/index.en.html"} +{"d:Title": "Poston, Francesca", "d:Description": "Singer and actress from New York. Biographical information, a photo gallery, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.francescaposton.com/"} +{"d:Title": "Pitts, Cindy", "d:Description": "Austin, Texas singer/songwriter blending folk, jazz, country, rock, pop and other styles. Site contains biography, music clips, lyrics, photos, and performance schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.cindyssongs.com/"} +{"d:Title": "Pamela G", "d:Description": "Blues singer/songwriter from Southern California. Includes biography, photos, news, recordings, performance dates, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.pamelag.us/"} +{"d:Title": "Postell, Diane", "d:Description": "Singer-songwriter performing rock, jazz, rhythm and blues and soul. Includes biography, schedule, sound samples, photos, CDs and contact/booking information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.dianepostell.com/"} +{"d:Title": "Pasternak, Deb", "d:Description": "Official site of New England-based folk/jazz/blues singer, songwriter, and guitarist. Includes biography, news, tour dates, MP3 samples, discography, reviews, and press kit.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://www.debpasternak.com/"} +{"d:Title": "Pauley, Mieka", "d:Description": "Singer/songwriter from Cambridge. Site contains biography, audio clips, CD information, images, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://mieka.com/"} +{"d:Title": "Pi Jacobs", "d:Description": "San Francisco based singer/songwriter and musician. Biography, gig dates, and song samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "http://pijacobs.com/"} +{"d:Title": "Parisi, Matina", "d:Description": "Singer/songwriter from New York. News, biography, audio clips, images, games, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/P", "url": "https://www.facebook.com/mymatina"} +{"d:Title": "Qynn, Selia", "d:Description": "Folk and jazz singer/songwriter from Houston. Biography, lyrics, discography, and schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/Q", "url": "http://www.selia.com/"} +{"d:Title": "Quartette", "d:Description": "Canadian country and folk group. Member profiles, discographies, song clips, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/Q", "url": "http://www.quartette.com/"} +{"d:Title": "Rock of Ages", "d:Description": "A German rock band with a female singer. Site has band information, pictures, video stills, and MP3 files. [English and German]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.rockofages.de/"} +{"d:Title": "Rae, Cheryl", "d:Description": "A soft rock musician from Maui, Hawaii. Site has biography, CD information, MP3 files, pictures, and articles.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.cherylrae.net/"} +{"d:Title": "Rosier, Denise", "d:Description": "Pop artist from Southern California. Biography, MP3 song samples, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.deniserosier.com/"} +{"d:Title": "Ren\u00e9e, Robin", "d:Description": "Alternative rock singer/songwriter. Biography, news, show dates, discography, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.robinrenee.com/"} +{"d:Title": "RhythMix", "d:Description": "A quintet of female percussionists. Group history, biographies, images, quotes, and MP3 clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.rhythmix.org/"} +{"d:Title": "Ratsy", "d:Description": "Songstress from Los Angeles. Album information, letters, lyrics, audio clips, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.ratsy.com/"} +{"d:Title": "Reider, Katie", "d:Description": "Singer/songwriter from Cincinnati. Biography, photo gallery, audio clips, and an interview.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.katiereider.com/"} +{"d:Title": "Redding, JoAnne", "d:Description": "Blues-infused roots rock and country music songwriter. Biography, MP3 clips, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.joanneredding.com/"} +{"d:Title": "Rei", "d:Description": "Teenage pop/rock artist from Minnesota. Lyrics, pictures, profile, biography, and news.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.angelfire.com/myband/rei/"} +{"d:Title": "Red to Violet", "d:Description": "Rock and roll duo with guitar and female voice. Biography, pictures, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.redtoviolet.com/"} +{"d:Title": "Roth, Daniela", "d:Description": "Alternative pop/rock singer/songwriter. Biography, news, photos, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.danielaroth.com/"} +{"d:Title": "Robinson, Ellen", "d:Description": "Jazz vocalist from the San Francisco Bay Area. Biography, event calendar, and CD-ROM information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.ellenrobinson.com/"} +{"d:Title": "Richards, Heather", "d:Description": "Country singer/songwriter from upstate New York. Biography, music clips, photos, and schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.heatherrichards.com/"} +{"d:Title": "Reiter, Rose", "d:Description": "Canadian singer/songwriter. Audio and video clips, an image gallery, trivia, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://rosereiter.com/"} +{"d:Title": "Ridener, Lindsey", "d:Description": "Country music artist from Indiana. Biography, music clips, images, and event schedule.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://dkiesler.tripod.com/lindseyridener/"} +{"d:Title": "Rogers, Alli", "d:Description": "Official site of singer/songwriter from Cedar Falls, Iowa. Contains news, biography, journal, music and lyric downloads, photos, show dates, and fan club mailing list.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.allirogers.com/"} +{"d:Title": "Rosowlowsky, Lori", "d:Description": "Folk pop vocalist and pianist from the Philadelphia area. Includes biography, performance calendar, and contact/CD information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.lorirosolowsky.com/"} +{"d:Title": "Redbone, Martha", "d:Description": "Neo-soul singer/songwriter. Includes biography, news, audio samples, lyrics, image gallery, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.martharedbone.com/"} +{"d:Title": "Rugg, Emma", "d:Description": "Includes biography, discography, reviews, diary, photos, videos, audio samples, news, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.emmarugg.karoo.net/"} +{"d:Title": "Regev, Reut", "d:Description": "New York trombone and flugabone player. Contains press quotes, performance schedule, discography, and audio samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://www.reutregev.com/"} +{"d:Title": "Redd Hot Mamas", "d:Description": "Country music trio of singer/songwriter sisters. Official site includes biography, news, photos, audio sample, merchandise, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/R", "url": "http://reddhotmamas.com/"} +{"d:Title": "Shannon, Jackie de", "d:Description": "Site of the Jackie de Shannon Appreciation Society. With magazine, discography, and picture gallery.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://jackiedeshannon.tripod.com/index.html"} +{"d:Title": "Schonfeld, Rosemary", "d:Description": "Singer/songwriter, guitarist, percussionist, and poet. Site offers song clips and background information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.rosemary-schonfeld.co.uk/"} +{"d:Title": "Shanti, Nadine", "d:Description": "A jazz vocalist from Seattle. News, biography, schedule, reviews, CD-ROM and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.angelfire.com/la2/shanti/"} +{"d:Title": "Shoemaker, Lee Ellen", "d:Description": "\"Tunnel Singer\", a San Francisco performance artist and vocalist. Site has audio clips, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.tunnelsinger.com/"} +{"d:Title": "Sisters with Voices", "d:Description": "Rhythm and blues girl group. News, biography, image gallery, lyrics, member profiles, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.angelfire.com/ab/bas/"} +{"d:Title": "Sweeten, Ann", "d:Description": "Pianist, composer and recording artist. Biography, CD-ROM information, concert dates, and contact form.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.annsweeten.com/"} +{"d:Title": "Sistermadly", "d:Description": "Female singer/songwriters from Australia/NZ. News, biography, reviews, lyrics, and photos.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://sistermadly2000.tripod.com/"} +{"d:Title": "Street, Nicolette", "d:Description": "Singer, composer, guitarist, and pianist. Biography, pictures, sound clips, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.nstreet.com/"} +{"d:Title": "St. George, Elaine", "d:Description": "Cabaret singer from New York. Audio clips, biography, pictures, CD-ROM information, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.elainestgeorge.com/"} +{"d:Title": "Spanish Fly", "d:Description": "Latin soul group from New York City. Biography, profiles, audio clips, and pictures.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.angelfire.com/music2/myspanishfly/"} +{"d:Title": "Sister Funk", "d:Description": "Five piece all female funk band. Gig schedule, biography, pictures, MP3 clips, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.sisterfunk.com/"} +{"d:Title": "Swift, Ember", "d:Description": "Artist and composer from Toronto, Ontario. Show dates, photos, band and album information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.emberswift.com/"} +{"d:Title": "Spuehler, Tracy", "d:Description": "Singer/songwriter from Los Angeles. Biography, images, news, show dates, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.tracymusic.com/"} +{"d:Title": "Seides, Jan", "d:Description": "Folk, pop, and jazz singer/songwriter. Profile, a venue list, lyrics, and song clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.janseides.com/"} +{"d:Title": "Sisson, Kelly", "d:Description": "Modern folk singer, composer, and guitarist. Gig dates, CD-ROM information, photos, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.angelfire.com/music2/kellysisson/"} +{"d:Title": "Sweetland, Kristin", "d:Description": "Canadian singer/songwriter and guitarist. Biography, schedule, photos, journal, and MP3s.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.kristinsweetland.com/"} +{"d:Title": "Skinner, Lynn", "d:Description": "Vocalist from Denver, Colorado. News, biography, discography, career information, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.lynnskinner.com/"} +{"d:Title": "Seamone, Joyce", "d:Description": "News, biography, discography, photographs, and CD ordering information for the country singer.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.joyceseamone.com/"} +{"d:Title": "Stoika", "d:Description": "Italian punk rock band fronted by singer/guitarist Eva Milan. Audio clips, lyrics, and poetry.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://digilander.libero.it/StoikaEstinta/Suoni/sounds.html"} +{"d:Title": "Stakey, Ren\u00e9e", "d:Description": "Singer, composer, and lyricist from New York. Biography, audio clips, images, and show dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.reneestakey.com/"} +{"d:Title": "Smokin' Lizzies", "d:Description": "Hard rock band in Kent, Ohio. Includes biography, message board, photos, show dates, MP3 downloads, play list, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://smokin_lizzies.tripod.com/"} +{"d:Title": "Southern Breeze", "d:Description": "Mature female dance band playing the various mobile home parks in Zephyrhills, Florida. Includes member profiles and performance dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://southern-breeze_fl.tripod.com/"} +{"d:Title": "She Wolves", "d:Description": "New York City Punk-metal trio. Includes news, tour dates, MP3 and video downloads, and photo gallery.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.shewolves.com/"} +{"d:Title": "Sara K", "d:Description": "Singer/songwriter. Biography, album information, song samples, and links to other artists.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.sarak.com/"} +{"d:Title": "Severson, Sarah", "d:Description": "Songwriter, musician Sarah Severson. Biography, schedule, sound clips and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.sarahseverson.com/"} +{"d:Title": "Sexton, Colleen", "d:Description": "Songwriter and musician Colleen Sexton. Schedule, photos, audio files and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.colleensexton.com/"} +{"d:Title": "Sandell, Lisa", "d:Description": "Slide guitar player and songwriter Lisa Sandell. Contact information, schedule, photos, and audio files.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.lisasandell.com/"} +{"d:Title": "Sharpe, Jill", "d:Description": "Los Angeles blues guitarist/vocalist. Includes biography, gig dates, pictures, reviews, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.jillsharpe.com/"} +{"d:Title": "Star, Whitney", "d:Description": "Official site of unsigned singer/songwriter/dancer from New Jersey. Includes biography, audio samples, pictures, news, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.whitneystar.com/"} +{"d:Title": "Stark, Lani", "d:Description": "Lani performs original and cover pop, blues, and jazz songs. Includes performance dates and MP3 samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.lanitunes.com/"} +{"d:Title": "Solomon, Di", "d:Description": "Sydney, Australia based vocalist, pianist, guitarist and composer. Includes biography, photos, lyrics, merchandise, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.disolomon.com.au/"} +{"d:Title": "Skaugen, Noush", "d:Description": "Los Angeles, California based singer / songwriter. Photos, songs, video and tour information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.noushskaugen.com/"} +{"d:Title": "Shaber, Sam", "d:Description": "Songwriter and musician Sam Shaber. Tour schedule, lyrics, biography, photos and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://samshaber.bandcamp.com/"} +{"d:Title": "Sinem", "d:Description": "Singer/songwriter from New York. Site contains biography, pictures, lyrics, and information about upcoming shows.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/S", "url": "http://www.letsplaysaniye.com/"} +{"d:Title": "Theodore, Laura", "d:Description": "Cabaret artist and actress. Biography, CD-ROM information, clips, photos, articles, and dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://lauratheodore.com/"} +{"d:Title": "Teper, Ronley", "d:Description": "Singer/songwriter. Band information, news, photos, concert dates, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.ronleyteper.com/"} +{"d:Title": "Trottiers, Kelli", "d:Description": "Canadian fiddle player and vocalist. Biography, photo album, and tour dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.kellitrottier.com/"} +{"d:Title": "Taylor, Angela", "d:Description": "Alternative singer/songwriter from Baltimore. Biography, schedule, audio clips, and images.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.angela-taylor.com/"} +{"d:Title": "Taylor, Joani", "d:Description": "Canadian jazz vocalist. Includes biography, photos, discography, and performance dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.joanitaylor.com/"} +{"d:Title": "Turner, Juliet", "d:Description": "Official site of Irish singer includes biography, audio samples, gig dates, and discography.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.julietturner.com/"} +{"d:Title": "Tzuke, Judie", "d:Description": "Includes biography, discography, reviews, interviews, photos, and audio samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.tzuke.com/"} +{"d:Title": "Tamara", "d:Description": "A performer, singer and songwriter from Central Florida. Audio and video clips, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://chartsforchurches.com/"} +{"d:Title": "Thornley, Beth", "d:Description": "Modern rock singer/songwriter. Includes biography, news, lyrics, audio samples, show dates, photos, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.beththornley.com/"} +{"d:Title": "Taylor, Jane", "d:Description": "Bristol based singer/songwriter. Includes biography, gig dates, audio samples, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.janetaylor.co.uk/"} +{"d:Title": "Thorne, Lucie", "d:Description": "Singer/songwriter based in Melbourne, Australia. Gig dates, biography, and album information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/T", "url": "http://www.luciethorne.com/"} +{"d:Title": "Ulbrich, Carla", "d:Description": "Singer/songwriter and guitarist. Includes background and album information, news, calendar, and lyrics.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/U", "url": "http://www.carlau.com/"} +{"d:Title": "Vaillant, Monsegur", "d:Description": "Soprano and concert pianist. Site has interview, biography, contact information and several audio samples.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/V", "url": "http://www.adg-paris.org/"} +{"d:Title": "Valente, Caterina", "d:Description": "European vocalist. Biography, discography, news, image galleries, and contact information.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/V", "url": "http://www.caterinavalente.com/"} +{"d:Title": "Valkyries", "d:Description": "All-female rock band based out of Topsham, Maine. Includes biography, photos, gig dates, news, and MP3 downloads.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/V", "url": "http://www.valkyries.indiegroup.com/"} +{"d:Title": "Vox, Vykki", "d:Description": "Singer and songwriter from Boston, Massachusetts. Biographies, audio and video clips, pictures and event calendar.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/V", "url": "http://www.vykkivox.com/"} +{"d:Title": "Vatican of Sorrows", "d:Description": "Official site of female-fronted Gothic metal band. Includes artist profile, news, audio clips, lyrics, photos, forum, and contact info.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/V", "url": "http://www.vaticanofsorrows.com/"} +{"d:Title": "Wickenhagen, Colette", "d:Description": "Dutch jazz vocalist. Includes biography, gig dates, MP3 downloads, photos, discography, and reviews. [Dutch and English]", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://www.colettewickenhagen.nl/"} +{"d:Title": "Waifs, The", "d:Description": "Australian folk, blues, rhythm and blues music. Band history, member profiles, samples, photos, and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://www.thewaifs.com.au/"} +{"d:Title": "Walker, Nancy", "d:Description": "Female songwriter and acoustic musician. Biography, lyrics, song samples, and news.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://www.nancywalker.net/"} +{"d:Title": "Worldwide Love", "d:Description": "A female rock trio from Fukushima, Japan. Background information, pictures, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://www.geocities.co.jp/MusicStar/8396/"} +{"d:Title": "Willow", "d:Description": "A female singer/songwriter based in Seattle, WA. Biography, news, show schedule, and audio clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://www.willowsmusic.com/"} +{"d:Title": "Winne, Lise", "d:Description": "Saratoga Springs, New York soprano singer, songwriter, classical guitarist, and visual artist. Includes photos, concert schedule, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://www.lisewinne.com/"} +{"d:Title": "Wiggin, Sean", "d:Description": "Includes biography, news, reviews, discography, lyrics, photos, and gig dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://www.seanwiggins.com/"} +{"d:Title": "Weeden, J.", "d:Description": "A self taught pianist and singer/songwriter from Montreal, Canada. Site with audio samples, and artwork.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://jweeden.tripod.com/froot/home.htm"} +{"d:Title": "Wakefield, Dahlia", "d:Description": "Canadian pop and rock singer/songwriter. Biography, song clips, lyrics, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://dahliawakefield.com/"} +{"d:Title": "Whitlow, Michele", "d:Description": "Official site for Detroit-based singer/songwriter. Includes news, biography, discography, audio clips, gallery, tour dates, reviews, and merchandise.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/W", "url": "http://michelewhitlow.wixsite.com/vocalist"} +{"d:Title": "Yates, Charlotte", "d:Description": "Singer/songwriter from New Zealand. Site contains biography, discography, reviews, audio and video clips.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/Y", "url": "http://www.charlotteyates.com/"} +{"d:Title": "Yu, Lingling", "d:Description": "Contemporary and traditional pipa player from China. Biography, MP3 clips, and concert dates.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/Y", "url": "http://www.concertlingpipa.ch/"} +{"d:Title": "Young, Astrid", "d:Description": "Neil Young's half sister. The site covers her career including music, film, wine, and writing.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/Y", "url": "http://www.astridyoung.net/"} +{"d:Title": "Yarrow, Bethany", "d:Description": "Brooklyn singer-songwriter. Includes audio samples, gig dates, news, photos, video, biography, and links.", "topic": "Top/Arts/Music/Women_in_Music/Bands_and_Artists/Y", "url": "http://www.bethanyandrufus.com/"} +{"d:Title": "Kaiser, Shirley", "d:Description": "Concert pianist and composer of classical and healing music. Masterclasses, workshops, and recordings.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.shirleykaiser.com/"} +{"d:Title": "Edwards, Clara", "d:Description": "American songwriter, 1880 to 1974. About her life and her music.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.evan-and-jami.com/jami/clara/edwards.html"} +{"d:Title": "Milstein, Silvina", "d:Description": "Lecturer in music at King's College London, author of a book on Schoenberg, and composer of orchestral and chamber music.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.composer.co.uk/composers/milstein.html"} +{"d:Title": "Serter, Jane", "d:Description": "British composer. \"Her music displays an enjoyment of opposites and extremes.\"", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.composer.co.uk/composers/serter.html"} +{"d:Title": "Plowman, Lynne", "d:Description": "Composer and flautist living in Wales.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.composer.co.uk/composers/plowman.html"} +{"d:Title": "Andrews, Nicola Ellis", "d:Description": "Cornelius Cardew Composition Prize winner. Working by herself and also collaboratively with her husband poet Phil Andrews McGovern.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.composer.co.uk/composers/andrews.html"} +{"d:Title": "Ellen, Jane", "d:Description": "American composer Jane Ellen (ASCAP) with biography, works, and other musings.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.janeellen.com/"} +{"d:Title": "Beglarian, Eve", "d:Description": "Composer and performer Eve Beglarian, whose work ranges from art music to electronic music.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.evbvd.com/"} +{"d:Title": "The Woman Composer Question", "d:Description": "Bibliography of women composers, by Eugene Gates, Ed.D.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.kapralova.org/BIBLIOGRAPHY.htm"} +{"d:Title": "Women Composers", "d:Description": "A database of women whose music has been recorded on CD.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.kapralova.org/DATABASE.htm"} +{"d:Title": "Musician's Biographies", "d:Description": "Scores of short biographies about women composers throughout time. From Hildegard Publishing Company.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.hildegard.com/"} +{"d:Title": "Women in Czech Music", "d:Description": "Promotes composers, past and present; includes links.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.kapralova.org/WOMEN.htm"} +{"d:Title": "Dimoff, Eleanor", "d:Description": "\"Conservatively classical, with occasional forays into jazz forms or experiments in sound.\"", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.andrys.com/eleanor_dimoff/artists/73/eleanor_.htm"} +{"d:Title": "Webb, Orianna", "d:Description": "Composer of chamber and orchestral music.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.foolfactory.com/annaWEBSITE/"} +{"d:Title": "Jordan, Cynthia", "d:Description": "Composer of piano music who seeks to create a peaceful environment with her music. Biography and recordings.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://www.freewebs.com/cynthiaspeaks/"} +{"d:Title": "Huber, Ruth", "d:Description": "\"Classically trained and eclectically inclined\" composer.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://yrmusic.com/v2/artists/bios/artist.php?ID=73"} +{"d:Title": "Stephan, Naomi", "d:Description": "Choral composer living in California.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://yrmusic.com/v2/artists/bios/artist.php?ID=79"} +{"d:Title": "Albritton, Anne", "d:Description": "Arranger, pianist, and theorist in Dallas.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://yrmusic.com/v2/artists/bios/artist.php?ID=68"} +{"d:Title": "Williamson, Chris", "d:Description": "Musical activist and popular music pioneer and composer.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://yrmusic.com/v2/artists/bios/artist.php?ID=51"} +{"d:Title": "Munn, Zae", "d:Description": "\"Associate Professor of Music at Saint Mary's College in South Bend, Indiana where she has taught composition, theory, and orchestration since 1990.\"", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://yrmusic.com/v2/artists/bios/artist.php?ID=114"} +{"d:Title": "Women of Note", "d:Description": "Descriptions of many female composers and their works.", "topic": "Top/Arts/Music/Women_in_Music/Composers", "url": "http://oboeclassics.com/~oboe3583/ambache/wIndex.htm"} +{"d:Title": "GoGirlsMusic.com", "d:Description": "Community of independent women artists, featuring monthly articles written by independent artists as well as interviews, CD reviews and an online searchable database with over 900 independent women artists/bands.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://www.gogirlsmusic.com/"} +{"d:Title": "FemMusic", "d:Description": "Focusing on emerging women in music, contains reviews, interviews, links, artist directories and bulletin boards.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://www.femmusic.com/"} +{"d:Title": "Girl Musician Online", "d:Description": "Designed with the female singer/songwriter in mind with an emphasis on the independent recording artist.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://www.girlmusician.com/"} +{"d:Title": "Musical Discoveries", "d:Description": "Reviews of music by and interviews with contemporary, progressive and crossover female vocal artists.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://www.musicaldiscoveries.com/"} +{"d:Title": "Pinknoises", "d:Description": "Resource devoted to women in electronic music.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://www.pinknoises.com/"} +{"d:Title": "Griots.net", "d:Description": "Dedicated to female independent music and culture, featuring original work by dub poets such as Lillian Allen, visual artists Shaheen Merali and Hollis Baptiste.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://www.griots.net/"} +{"d:Title": "Chickfactor", "d:Description": "Official website for Chickfactor magazine.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://www.chickfactor.com/"} +{"d:Title": "Varla Magazine", "d:Description": "Print magazine that features women and music of all genres.", "topic": "Top/Arts/Music/Women_in_Music/Magazines_and_E-zines", "url": "http://rachelvarla.wixsite.com/varla"} +{"d:Title": "International Alliance for Women in Music", "d:Description": "A resource for women composers and women in music topics, hosted by Abilene Christian University.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.iawm.org/"} +{"d:Title": "Lady Slipper", "d:Description": "Non-profit organization whose primary purpose is to heighten public awareness of the achievements of women artists and musicians, and to expand the scope and availability of recordings by women.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.ladyslipper.org/"} +{"d:Title": "Fiddlechicks", "d:Description": "Organization of women who play electric violin.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.fiddlechicks.com/"} +{"d:Title": "Sounds&Furies Productions Vancouver B.C.", "d:Description": "A small non-profit organization producing concerts, workshops, retreats and special events mainly in Vancouver and also journeys for women to sacred Goddess sites in Europe.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.soundsandfuries.com/"} +{"d:Title": "Women in Music, United Kingdom", "d:Description": "Celebrates and helps raise public awareness of women's work in all types of music.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.womeninmusic.org.uk/"} +{"d:Title": "GoGirlsMusic.com", "d:Description": "Online community of independent women artists. Started in 1996, the organization is dedicated to promoting women in music.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.gogirlsmusic.com/"} +{"d:Title": "Arab Alliance of Women in Music", "d:Description": "Non-profite organization dedicated to the awareness of the contributions of female Arab musicians.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.aawm-ngo.com/"} +{"d:Title": "Women In Music, Inc.", "d:Description": "A professional organization dedicated to supporting, cultivating, and recognizing the talents of women in all areas of the music industry. Includes events and newsletter.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.womeninmusic.org/"} +{"d:Title": "Los Angeles Women In Music", "d:Description": "Information about music panels, seminars, showcases and the opportunity to post your gig. Spotlight features on emerging artists and ability to link to your own music and webpage.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.lawim.com/"} +{"d:Title": "Tunesbaby.com", "d:Description": "A music network promoting female singers and songwriters.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://tunesbaby.com/"} +{"d:Title": "Women in Jazz Association, Inc.", "d:Description": "To provide concert series and vocal performance workshops for professional and amateur jazz vocalists in the Austin (Texas) metropolitan area.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.womeninjazz.org/"} +{"d:Title": "New York Women Composers", "d:Description": "Non-profit that supports and promotes women composers of serious concert music.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "http://www.newyorkwomencomposers.org/"} +{"d:Title": "Gender Research in Music Education", "d:Description": "Mission: to provide leadership, advocacy, professional contacts, and a strong research agenda for gender researchers in music education.", "topic": "Top/Arts/Music/Women_in_Music/Organizations", "url": "https://www.facebook.com/GRIMEGender-Research-in-Music-Education-302125169848573/"} +{"d:Title": "Madoka Inui", "d:Description": "Biography, repertory, CDs, photos, and concerts of classical pianist.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.madokamusic.com/"} +{"d:Title": "Molecular Music", "d:Description": "Biochemist and musician Dr. Linda Long creates music from the structure of proteins. Includes mp3 files.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.molecularmusic.com/"} +{"d:Title": "Bold Soul Sisters", "d:Description": "Tribute to the unsung heroines of '70s-early 80s funk, soul, disco, and rare groove music. Features audio, pictures, links, and quasi-bios on female artists that are difficult to find.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.angelfire.com/biz3/boldsoulsisters/"} +{"d:Title": "Harriet Schock", "d:Description": "Recording artist, award-winning songwriter, and songwriting instructor.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.harrietschock.com/"} +{"d:Title": "Lara Saint Paul", "d:Description": "Photos, information and the music of Italian singer and producer Lara Saint Paul.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.larasaintpaul.com/"} +{"d:Title": "Folk Music By and About Women", "d:Description": "Gerri Gribi collects and performs folk songs with positive images of women. Lyrics, historical notes, resources, and bibliographies.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://creativefolk.com/"} +{"d:Title": "Aideen O'Donnell - Irish Harpist and Singer", "d:Description": "Aideen O'Donnell is an internationally acclaimed harpist and singer. Schedule, music clips, recordings and biography.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.aideenodonnell.com/"} +{"d:Title": "Mary Jane Leach", "d:Description": "Composer and performer. Biography, list of compositions and recordings.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.mjleach.com/"} +{"d:Title": "Jane Brockman, Composer", "d:Description": "Biography, purchasing information for written music and recordings. Music for concerts, film, television, and dance.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.janebrockman.org/"} +{"d:Title": "Nancy Bloomer Deussen", "d:Description": "San Francisco Bay Area Composer. Champion of accessible contemporary music. \"For me, melody is foremost.\" List of compositions, recordings, reviews.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.nancybloomerdeussen.com/"} +{"d:Title": "Brilliant Strings", "d:Description": "String players, arrangers, and composers.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.brilliantstrings.co.uk/"} +{"d:Title": "Dr. Fiorella Terenzi on the Web", "d:Description": "Astrophysician, musician and author who shares her love for the cosmos.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.fiorella.com/"} +{"d:Title": "Julie Giroux's Band Site", "d:Description": "Composer of film, television and concert band music. Biography, what's new, published works, personal appearances, midi files.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://juliegiroux.www2.50megs.com/"} +{"d:Title": "Beata Moon", "d:Description": "Korean-American composer, performer, educator. Chamber, solo instrumental, and vocal works.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.beatamoon.com/"} +{"d:Title": "Kitty Brazelton", "d:Description": "Composer and performer. Leader of DADADAH, Musica Orbis, What Is It Like To Be A Bat, and Hildegurls. Teacher and curator of the Real Music Series and Women's Avant Fest.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.kitbraz.com/index.html"} +{"d:Title": "Brittbritt", "d:Description": "An aspiring young pop star.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.angelfire.com/pop2/brittbritt2001/"} +{"d:Title": "Betsy Taylor", "d:Description": "Classical cellist. Family, friends, career.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.betsytaylor.co.uk/"} +{"d:Title": "Sarah Rodgers", "d:Description": "Sarah Rodgers, British composer living and working in London. Biography, works list, recordings, reviews.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.impulse-music.co.uk/sarahrodgers/"} +{"d:Title": "Heather-Dawn", "d:Description": "Young singer, dancer, and actress in Youngstown Ohio. Pictures, biography, news, contact information.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.heatherdawn.indiegroup.com/"} +{"d:Title": "Diva Joan Cartwright", "d:Description": "Jazz singer and website designer.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.fyicomminc.com/diva.htm"} +{"d:Title": "Liz Zelvin", "d:Description": "Acoustic guitarist, singer, songwriter, plays at New York City clubs. Also writes poetry.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.lzcybershrink.com/music.htm"} +{"d:Title": "Jill Anne", "d:Description": "Country singer. Pictures, links, sound files.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.angelfire.com/tn/JillAnne/"} +{"d:Title": "Kill Your TV", "d:Description": "By Sara Beth. Commentary and information about punk and hardcore grrl bands.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.angelfire.com/nj3/killyourtv/index.html"} +{"d:Title": "Distorted Souls", "d:Description": "An all girl metal band hailing from Toronto in Ontario Canada.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.angelfire.com/goth/distortedsouls/"} +{"d:Title": "The Kane Sisters", "d:Description": "Irish traditional music by women musicians in Ireland. Includes a biography, links, photos and reviews.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.thekanesisters.com/"} +{"d:Title": "Country Entertainer Charmaine Pout", "d:Description": "Country singer Charmaine Pout. Biography, links, schedule of performances.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://jetsetta.tripod.com/"} +{"d:Title": "Beth Anderson", "d:Description": "Composer of new romantic music, text-sound works, and musical theater. Faculty member of Greenwich House Music School.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.beand.com/"} +{"d:Title": "Collected Sounds", "d:Description": "Place for women in popular music: new artists, news, articles, reviews, message board, photos.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.blog.collectedsounds.com/"} +{"d:Title": "Beauty Out of Chaos", "d:Description": "Dedicated to girl dominated bands that Rock. Hole, Veruca Salt, Jack off Jill, Free Kitten, Babes in Toyland, and others.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://beautyoutofchaos.tripod.com/"} +{"d:Title": "Wilber Valenzuela's Page", "d:Description": "Madonna, Sheryl Crow, Sophie B. Hawkins, Tori Amos, Beth Hart, Shakira, the latest in music news.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://wvm13.tripod.com/home.html"} +{"d:Title": "Primavera", "d:Description": "Primavera is the publishing imprint of composers Julia Usher and Enid Luff. Chamber, orchestral, solo, choral, dance, music theatre, and educational music available.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.impulse-music.co.uk/primavera/"} +{"d:Title": "Dal Strutt", "d:Description": "Composer residing in England. Oratorio, cantata, chamber works for voice and instruments.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.impulse-music.co.uk/dalstrutt/"} +{"d:Title": "Jennifer Fowler", "d:Description": "Jenny Fowler is an Australian-born composer, living and working in the UK. Includes sound files, biography, works list, news.", "topic": "Top/Arts/Music/Women_in_Music/Personal_Pages", "url": "http://www.impulse-music.co.uk/jenniferfowler/"} +{"d:Title": "Satellite Sisters Radio", "d:Description": "Radio show featuring five sisters talking about women and real life. Broadcast schedule, audio excerpts, followup articles, and message boards.", "topic": "Top/Arts/Music/Women_in_Music/Radio", "url": "http://www.satellitesisters.com/"} +{"d:Title": "Amazon Radio", "d:Description": "\"Women's Music for all who color outside the lines.\" Heard on WPKN in Bridgeport, CT. Home to the National Women's Radio Archives, playlists, events, and women's music links.", "topic": "Top/Arts/Music/Women_in_Music/Radio", "url": "http://www.amazonradio.com/"} +{"d:Title": "Instrumental Women", "d:Description": "Minnesota Public Radio program about women musicians in the United States, and their contributions to classical music.", "topic": "Top/Arts/Music/Women_in_Music/Radio", "url": "http://music.minnesota.publicradio.org/features/0102_instrumentalwomen/index.shtml"} +{"d:Title": "Today's Women in Music Web Ring", "d:Description": "Web ring of fan pages about women in music.", "topic": "Top/Arts/Music/Women_in_Music/Web_Rings", "url": "http://todayswomenmusic.tripod.com/"} +{"d:Title": "WebRing: Women Composers", "d:Description": "Sites for and about women who compose music.", "topic": "Top/Arts/Music/Women_in_Music/Web_Rings", "url": "http://www.webring.org/hub/womencomposerswe"} +{"d:Title": "WebRing: Rock Chicks", "d:Description": "Ring for hard rock, classic rock, and heavy metal women on the web.", "topic": "Top/Arts/Music/Women_in_Music/Web_Rings", "url": "http://www.webring.org/hub/rockchicks"} +{"d:Title": "WebRing: Women's House of Music", "d:Description": "Collection of sites devoted to women who rock.", "topic": "Top/Arts/Music/Women_in_Music/Web_Rings", "url": "http://www.webring.org/hub/whmring"} +{"d:Title": "Art Business News", "d:Description": "Provides industry news, marketing matters and emerging trends that drive sales for art publishers, galleries, solo artists and art-related business.", "topic": "Top/Arts/News_and_Media", "url": "http://www.artbusinessnews.com/"} +{"d:Title": "Isolated Nation", "d:Description": "Articles on music, the screen and visual artistry. Based in Perth, WA.", "topic": "Top/Arts/News_and_Media", "url": "http://isolatednation.com/"} +{"d:Title": "OrcaSound", "d:Description": "Reviews of movies, DVDs and CDs along with live events such as theatre and concerts.", "topic": "Top/Arts/News_and_Media", "url": "http://orcasound.com/"} +{"d:Title": "The Smoke Detector", "d:Description": "Interviews and articles about fashion and arts.", "topic": "Top/Arts/News_and_Media", "url": "http://thesmokedetector.net/"} +{"d:Title": "Elite Skills: Online Writing", "d:Description": "Managed user-based writing forum where users can submit poetry, stories, or anything text based.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://www.eliteskills.com/"} +{"d:Title": "WritingForums.com", "d:Description": "Provides a place for writers to meet and chat about the writing craft, post stories, and meet other writers. Also provides tips, contests, markets and original works.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://www.writingforums.com/"} +{"d:Title": "Writerforum.co.uk", "d:Description": "Forum of contributed poetry, short stories, diaries, and reviews.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://www.writerforum.co.uk/"} +{"d:Title": "Freefall Expression Creativity Forum", "d:Description": "Writing forum featuring fiction, fanfiction, artwork, discussions, and debates.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://ffe.hyperboards.com/"} +{"d:Title": "Irony Waves", "d:Description": "A group of poets, artists and musicians influenced by the Beat Generation.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ironywaves"} +{"d:Title": "WritersWall", "d:Description": "Place for writers to exchange creative ideas, share their stories. Includes a monthly ezine, chat forum, and other resource links.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://www.writerswall.com/forum/"} +{"d:Title": "Mosaic Musings, LLC", "d:Description": "Poetry and prose forum with creative titles and well organized genre subdivisions for critique.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://forums.mosaicmusings.net/"} +{"d:Title": "Write Here Write Now", "d:Description": "Forum offering weekly prompts, newsletters, critiquing, and writing over a variety of genres.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://writeherewritenow.yuku.com/"} +{"d:Title": "BunnyRabbitSex", "d:Description": "A place for all writers, fiction and non-fiction alike.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://www.bunnyrabbitsex.com/"} +{"d:Title": "Publisher Database Forum", "d:Description": "Community for writers, with postings of poems and stories.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://www.publisherdatabase.com/forums/"} +{"d:Title": "Delectable Mnts", "d:Description": "A salon for writers and free range thinkers.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://bdelectablemnts.runboard.com/"} +{"d:Title": "The Word Cloud", "d:Description": "A community for all writers, pros and amateurs, to share work, exchange ideas, and ask questions.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://writing-community.writersworkshop.co.uk/"} +{"d:Title": "JPiC Forum For Writers", "d:Description": "An online community where writers of all ethnicities and backgrounds can share in the joy of writing.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://jpicforum.info/"} +{"d:Title": "RhymeZone Forum", "d:Description": "An online community for writers, poets, songwriters, and language enthusiasts to share and critique work and discuss issues related to the English language.", "topic": "Top/Arts/Online_Writing/Chats_and_Forums", "url": "http://forum.rhymezone.com/"} +{"d:Title": "Horton's Stagepage", "d:Description": "Site contains synopses and texts of G.L. Horton's plays.", "topic": "Top/Arts/Online_Writing/Drama", "url": "http://www.stagepage.info/index.html"} +{"d:Title": "Liapin, Victor", "d:Description": "Features the play \"Hippopotamus Dreams\".", "topic": "Top/Arts/Online_Writing/Drama", "url": "http://snybegemota.narod.ru/"} +{"d:Title": "Rutherford, Thomas E - Stage Plays", "d:Description": "Short stage plays, including one with a military theme.", "topic": "Top/Arts/Online_Writing/Drama", "url": "http://www.ipa.net/~tr107918/STAGE.HTML"} +{"d:Title": "Jack and the Beanstalk", "d:Description": "A rhymed play that can appropriately be performed for children ages 2 and above.", "topic": "Top/Arts/Online_Writing/Drama", "url": "http://hubpages.com/hub/Jack-and-the-Beanstalk"} +{"d:Title": "Victorian Story", "d:Description": "A four part drama set in Victorian times.", "topic": "Top/Arts/Online_Writing/Drama", "url": "http://www.victorianstory.blogspot.com/"} +{"d:Title": "The Bronze Ring", "d:Description": "A classic fairy tale turned into a play.", "topic": "Top/Arts/Online_Writing/Drama", "url": "http://hubpages.com/hub/The-Bronze-Ring"} +{"d:Title": "Dragon Soup Fantasy&SF Magazine", "d:Description": "A semi-professional webzine that features stories in horror, science fiction, and fantasy, as well as poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://www.dragonsoup.com/"} +{"d:Title": "TREND Magazine", "d:Description": "An on-line magazine that analyzes international trends in art, culture, and society.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://www.trendmag.com/"} +{"d:Title": "Genreations Magazine", "d:Description": "Dedicated to all types of genre fiction including science fiction, fantasy, horror, adventure, and .", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://genreations.8m.com/"} +{"d:Title": "Zine 375", "d:Description": "An e-zine of writings about contemporary American life.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://eserver.org/zine375/"} +{"d:Title": "CapNasty E-Zine", "d:Description": "Archive for the emailed Capital of Nasty E-Zine.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://con.ca/"} +{"d:Title": "Black Raven", "d:Description": "A magazine of myth, symbol and the extension of the imagination with essays and interviews.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://www.motley-focus.com/raven.html"} +{"d:Title": "Filters Magazine", "d:Description": "Includes pop/sub/fringe culture topics such as skateboarding, biking, graffiti, slang, and music. Includes a large, user-added slang database.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://www.filtersmag.com/"} +{"d:Title": "Ibn Qirtaiba SF Magazine", "d:Description": "Produced by the science fiction SIG of Australian Mensa, this web-based magazine offers speculative fiction and science fantasy stories.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://www.malcolm.id.au/iq/"} +{"d:Title": "Unlikely Stories", "d:Description": "A collection of contemporary poetry and fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Archives", "url": "http://www.unlikelystories.org/"} +{"d:Title": "Low Bandwidth", "d:Description": "A database of ezines, newsletters, and journals, pushed to your e-mailbox.", "topic": "Top/Arts/Online_Writing/E-zines/Directories", "url": "http://www.disobey.com/low/"} +{"d:Title": "The Ezine Directory", "d:Description": "Offering electronic magazines listings sorted into categories.", "topic": "Top/Arts/Online_Writing/E-zines/Directories", "url": "http://www.ezine-dir.com/"} +{"d:Title": "Web Del Sol", "d:Description": "A collaboration that has produced several poetry and literature ezines. All of them can be found from this main site.", "topic": "Top/Arts/Online_Writing/E-zines/Directories", "url": "http://www.webdelsol.com/"} +{"d:Title": "Web-Source", "d:Description": "E-zines directory with categories of free ezines, newsletters, email magazines and online publications.", "topic": "Top/Arts/Online_Writing/E-zines/Directories", "url": "http://www.web-source.net/web/Ezines/"} +{"d:Title": "eZINESearch", "d:Description": "Includes a lot of information about each ezine.", "topic": "Top/Arts/Online_Writing/E-zines/Directories", "url": "http://www.homeincome.com/search-it/ezine/index.html"} +{"d:Title": "Bewildering Stories", "d:Description": "Bewildering Stories publishes short and flash fiction and serialized novels and novellas, as well as some articles and essays, mostly connected with fantasy and science fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.bewilderingstories.com/"} +{"d:Title": "Anotherealm", "d:Description": "Speculative fiction zine specializing in science fiction, fantasy, and horror stories of up to 5,000 words.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://anotherealm.com/"} +{"d:Title": "The Copperfield Review", "d:Description": "A quarterly online literary journal devoted to historical fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.copperfieldreview.com/"} +{"d:Title": "Hackwriters", "d:Description": "Publishes fiction, travel essays, and other commentaries.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.hackwriters.com/Dreamscapes.htm"} +{"d:Title": "The Summerset Review", "d:Description": "Quarterly e-zine of short stories and essays.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.summersetreview.org/"} +{"d:Title": "Along Zalandra Terrace", "d:Description": "Tales of hauntings, personal mysteries, premonitions and remembrances.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.zalandra.com/"} +{"d:Title": "Blithe House Quarterly", "d:Description": "Features a diversity of new short stories by emerging and established lesbian, gay, bisexual, and transgendered authors.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.blithe.com/"} +{"d:Title": "Bygone Days", "d:Description": "Features historical fiction, short stories, editorials, and articles.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.coachlightpress.com/bygone/index.shtml"} +{"d:Title": "SmokeLong Quarterly", "d:Description": "Publishes a variety of flash fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://smokelong.com/"} +{"d:Title": "The Barcelona Review", "d:Description": "International online review of contemporary fiction in English, Spanish and Catalan.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.barcelonareview.com/"} +{"d:Title": "Black Bird", "d:Description": "Black Bird publishes fiction, poetry, and non fiction twice a year. They publish only high quality work, and their web site is one of the most aesthetically pleasing you will find online.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.blackbird.vcu.edu/"} +{"d:Title": "Underground Voices", "d:Description": "Underground Voices publishes fiction, Poetry, and non fiction on a monthly basis. Their selections usually involve mental illness, addiction, or otherwise underground themes. The work is always quality and never boring. They also publish an annual print edition with reprints form their web edition and other originals.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.undergroundvoices.com/"} +{"d:Title": "Flash Me Magazine", "d:Description": "One of the longest-running zines dedicated to flash fiction -- stories of 1,000 words or fewer. Open to all genres.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.angelfire.com/biz5/authors/intro.html"} +{"d:Title": "Every Day Fiction", "d:Description": "Delivers a new short-short story (1,000 words or fewer) of any genre every day.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.everydayfiction.com/"} +{"d:Title": "InterText", "d:Description": "Online fiction magazine. Published through 2004, archives still available.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.intertext.com/magazine/index.html"} +{"d:Title": "Flash Fiction Online", "d:Description": "Short fiction zine specializing in stories of 1,000 or fewer words (\"flash fiction\"). Publishes science fiction, literary fiction, fantasy, etc. Pays professional rates.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://flashfictiononline.com/"} +{"d:Title": "Theme of Absence", "d:Description": "Weekly online magazine of speculative flash fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction", "url": "http://www.themeofabsence.com/"} +{"d:Title": "Juicy Cerebellum", "d:Description": "An e-zine striving to be \"the most surreal and insane read you'll ever come across\".", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Bizarre", "url": "http://www.juicycerebellum.com/"} +{"d:Title": "EsoTerra", "d:Description": "Features interviews with musicians, writers, and artists existing on society's fringes, with articles on bizarre phenomena, fortean subjects, and occult strangeness.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Bizarre", "url": "http://www.esoterra.org/"} +{"d:Title": "Morbid Outlook", "d:Description": "Contains articles on art, fiction and poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Gothic", "url": "http://www.morbidoutlook.com/"} +{"d:Title": "Lost Innocents Digest", "d:Description": "Poetry, prose, short stories, and novellas.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Gothic", "url": "http://lostinnocents.8m.com/"} +{"d:Title": "Suffering is Hip", "d:Description": "Irregularly updated webzine.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Gothic", "url": "http://www.sepulchritude.com/suffer/"} +{"d:Title": "Ariadne's Thread", "d:Description": "An electronic journal highlighting a single story of fictional work by unknown authors.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Gothic", "url": "http://www.stygianlabyrinth.net/ariadne/"} +{"d:Title": "Dark Truths", "d:Description": "Contains horrifying short stories, editorials, and poetry from artists from around the world.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Horror", "url": "http://www.angelfire.com/wi/DarkTruths/Homepage.html"} +{"d:Title": "The Fray", "d:Description": "A place for people who believe the web is about personal expression and a new kind of art.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Hypertext", "url": "http://www.fray.com/"} +{"d:Title": "The Astrophysicist's Tango Partner Speaks", "d:Description": "A non-linear (hypertext) webzine with a mix of poetry and graphics.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Hypertext", "url": "http://www.heelstone.com/"} +{"d:Title": "Flightless Hummingbird", "d:Description": "A collection of hyperlinked journals, glossaries and notes revolving around art.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Hypertext", "url": "http://www-personal.umich.edu/~rmutt/HomePage.html"} +{"d:Title": "Lume", "d:Description": "A journal dedicated to the exploration of the electronic writing as a genre, writing that is computer dependent.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Hypertext", "url": "http://epc.buffalo.edu/ezines/lume"} +{"d:Title": "Eastgate Reading Room", "d:Description": "A collection of hypertext fiction and poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Hypertext", "url": "http://www.eastgate.com/ReadingRoom.html"} +{"d:Title": "Ebbflux", "d:Description": "Experimental fiction in a hypertext format. Story generation is non-linear but not entirely random.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Hypertext", "url": "http://www.ebbflux.com/"} +{"d:Title": "Infinity Plus", "d:Description": "Short stories, novel extracts, reviews, and features, with new material added weekly.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.infinityplus.co.uk/"} +{"d:Title": "DargonZine", "d:Description": "Publishes stories produced by a collaborative group of writers, all set in the late medieval fantasy world called Dargon. New issues are released monthly.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.dargonzine.org/"} +{"d:Title": "Twilight Times", "d:Description": "Cross-genre speculative fiction is the focus of this quarterly magazine that offers science fiction, fantasy, and magic realism stories, poetry, and artwork.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.twilighttimes.com/"} +{"d:Title": "Aphelion", "d:Description": "Along with original science fiction novels, short stories, and serials, this monthly online magazine offers the Mare Inebrium story series about happenings in a spaceport bar.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.aphelion-webzine.com/"} +{"d:Title": "Anotherealm", "d:Description": "New science fiction, fantasy, and horror stories are posted twice a month, with short fiction updated weekly. Features include book reviews, a message board, and links to resources for writers.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://anotherealm.com/"} +{"d:Title": "Nuketown", "d:Description": "Speculative fiction with a heroic tone and a positive outlook shares the spotlight with news and reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.nuketown.com/"} +{"d:Title": "Dark Moon Rising", "d:Description": "Original fiction, poetry, and artwork are published bi-monthly. Includes related non-fiction and writing workshop. E-mail version available.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.darkmoonrising.com/"} +{"d:Title": "Quantum Muse", "d:Description": "New science fiction, fantasy, alternative writing, and artwork are posted each month. Links to services for writers and \"drinkers with a writing problem\" are also available.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.quantummuse.com/"} +{"d:Title": "Strange Horizons", "d:Description": "A weekly speculative fiction e-zine that offers science fiction and fantasy stories, poetry, art, reviews, and author interviews.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.strangehorizons.com/"} +{"d:Title": "silverthought", "d:Description": "Speculative fiction with a focus on plausible science, including short stories, sections from unpublished novels in progress, and sample chapters from published works.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.silverthought.com/"} +{"d:Title": "Raven Electrick", "d:Description": "Short stories and poems from sword and sorcery to space opera, hard-boiled noir to vampire yarns. Monthly.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.ravenelectrick.com/"} +{"d:Title": "Ideomancer", "d:Description": "Speculative fiction, including slipstream, is updated weekly. Monthly issues also offer a book review and commentary from a featured author.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.ideomancer.com/"} +{"d:Title": "RevolutionSF - Fiction", "d:Description": "Updated weekly with illustrated stories from professional authors including Michael Moorcock, Scott Cupp, Joe Lansdale and others. Edited by Rick Klaw.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.revolutionsf.com/fiction/index.html"} +{"d:Title": "Fiction Inferno", "d:Description": "Stories include science fiction, fantasy, horror, speculative, and experimental works. Published quarterly.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.fictioninferno.com/"} +{"d:Title": "The Infinite Matrix", "d:Description": "Edited by Eileen Gunn, with new stories by writers such as Neal Barrett Jr., Michael Swanwick, and Gene Wolf. Includes reviews, essays, and a weblog by Bruce Sterling. Published monthly, but some features are updated more frequently.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.infinitematrix.net/"} +{"d:Title": "Mytholog", "d:Description": "Short stories and poems with exploring the modern mythos and its roots, published quarterly. Illustrated. Submissions accepted.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.mytholog.com/"} +{"d:Title": "Grantville Gazette", "d:Description": "Bi-monthly electronic publication of stories set in Eric Flint's \"1632\" universe. Each issue features several submissions from pro and fan authors. Subscription.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.grantvillegazette.com/"} +{"d:Title": "SpaceWesterns.com", "d:Description": "Weekly that features stories, cartoons, and recordings in the sub-genre.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.spacewesterns.com/"} +{"d:Title": "Clarkesworld Magazine", "d:Description": "Award-winning monthly featuring science fiction, fantasy and horror stories from new and established authors, as well as podcasts, commentary, interviews and editorials.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.clarkesworldmagazine.com/"} +{"d:Title": "Voices/Future Tense", "d:Description": "Stories set in the myriad worlds of the Orion's Arm Universe Project. Includes novella writing contest, editorials, poetry, serieals, and reviews. Quarterly.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://voicesoa.net/"} +{"d:Title": "Silver Blade", "d:Description": "Quarterly journal of classic and modern fantasy stories, poetry, and serialized novellas. Includes forum and weblog.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.silverblade.net/"} +{"d:Title": "Laser and Sword Magazine", "d:Description": "Stories focused on heroes and episodic short fiction. Quarterly.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://lasersword.adamsweb.us/crossroads-part-one/"} +{"d:Title": "Betrayed: Avant Pulp Sci-Fi", "d:Description": "Short stories and episodic fiction published weekly.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://betrayedzine.blogspot.com/"} +{"d:Title": "The Three-lobed Burning Eye", "d:Description": "Tales of horror, suspense, fantasy, science fiction, and magic realism fill a quarterly webzine of speculative fiction and art.", "topic": "Top/Arts/Online_Writing/E-zines/Fiction/Science_Fiction_and_Fantasy", "url": "http://www.3lobedmag.com/"} +{"d:Title": "256 Shades of Grey", "d:Description": "A literary-arts zine that contains edgy poetry, fiction, prose, lyrics, scholarly essays, literary criticism, movie, and book reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/2", "url": "http://home.mindspring.com/~blkgrnt/"} +{"d:Title": "archipelago", "d:Description": "This online journal is available on the web and in a specially designed, printable Portable Document Format edition from the website.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/A", "url": "http://www.archipelago.org/"} +{"d:Title": "Avant Garde Times", "d:Description": "A webzine that accepts poetry and prose on a wide topic of subjects.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/A", "url": "http://www.angelfire.com/on2/AGT/"} +{"d:Title": "Alternative Culture", "d:Description": "Literary webzine publishing creative writing, poetry, literary criticism, online commentary, speculative and hypertext fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/A", "url": "http://www.alternativeculture.com/lit/"} +{"d:Title": "Amarillo Bay", "d:Description": "A literary webzine containing modern literature.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/A", "url": "http://www.amarillobay.org/"} +{"d:Title": "Admit Two", "d:Description": "Collaborative-writing journal presents exclusively works of two or more authors working together.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/A", "url": "http://www.admit2.net/"} +{"d:Title": "Angry Biker Chronicles", "d:Description": "Life needs to be explained. This is where that happens.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/A", "url": "http://angrybiker.blogspot.com/atom.xml"} +{"d:Title": "Apple Valley Review", "d:Description": "An online literary journal that is published twice annually. Issues feature a collection of poetry, short fiction, and essays.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/A", "url": "http://www.applevalleyreview.com/"} +{"d:Title": "Big Bridge", "d:Description": "A webzine featuring poetry, fiction, non-fiction, essays, journalism, and art of all kinds.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/B", "url": "http://www.bigbridge.org/"} +{"d:Title": "Burning Word", "d:Description": "A literary webzine, updated weekly, with short stories, poetry, novels, and essays from authors around the world.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/B", "url": "http://burningword.com/"} +{"d:Title": "The Blue Moon Review", "d:Description": "Monthly webzine of poetry, fiction and non-fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/B", "url": "http://www.thebluemoon.com/"} +{"d:Title": "The Black Table", "d:Description": "Humor, essays, oddities, links, commentary and other random bits of gossip, trivia and fun.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/B", "url": "http://www.blacktable.com/"} +{"d:Title": "Cyber Oasis", "d:Description": "Features short stories, poetry, essays, articles and guidelines for submissions. Also includes a literary weblog.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/C", "url": "http://www.sunoasis.com/oasis.html"} +{"d:Title": "The Courtship of Winds", "d:Description": "An electronic journal of poetry, fiction, essays, photography, and art.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/C", "url": "http://www.lsrhs.net/faculty/RayB/courtship/index.html"} +{"d:Title": "The Dead Mule", "d:Description": "A webzine devoted to Southern cultural literature.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/D", "url": "http://www.deadmule.com/"} +{"d:Title": "The Dream People", "d:Description": "An online literary journal of the bizarre, specializing in absurdism, surrealism, experimental works, and mixed media.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/D", "url": "http://www.angelfire.com/zine2/thedreampeople/index.html"} +{"d:Title": "The Danforth Review", "d:Description": "A quarterly webzine of fiction, poetry, and reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/D", "url": "http://thedanforthreview.blogspot.com/"} +{"d:Title": "Easy Writers", "d:Description": "A monthly webzine of novel excerpts, short stories, poetry and articles.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/E", "url": "http://easywriters.tripod.com/"} +{"d:Title": "Eclectica Magazine", "d:Description": "Literary variety magazine featuring fiction, poetry, non-fiction, humor, and reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/E", "url": "http://www.eclectica.org/"} +{"d:Title": "failbetter.com", "d:Description": "Quarterly literary magazine publishing original works of fiction, poetry and art. Features author interviews.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/F", "url": "http://www.failbetter.com/"} +{"d:Title": "flim", "d:Description": "An email zine with web comliment, updated every weekday, includes short-short fiction, poems, and playlets.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/F", "url": "http://www.flim.com/"} +{"d:Title": "Faery Dreams", "d:Description": "Irregular published webzine featuring poetry and art.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/F", "url": "http://www.angelfire.com/zine/faerydreams/"} +{"d:Title": "5_Trope", "d:Description": "Quarterly publication, featuring \"the spankingly original\".", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/F", "url": "http://webdelsol.com/5_trope/"} +{"d:Title": "FlashPoint", "d:Description": "Multidisciplinary journal with poetry, fiction, essays, and graphics from the frontier where arts and politics clash.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/F", "url": "http://www.flashpointmag.com/"} +{"d:Title": "The Fourth River", "d:Description": "The annual literary journal of Chatham University\u2019s MFA program. Offers creative writing that explores the relationship between humans and their environments.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/F", "url": "http://www.thefourthriver.com/"} +{"d:Title": "The Greensilk Journal", "d:Description": "Poetry and stories published quarterly.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/G", "url": "http://www.thegsj.com/"} +{"d:Title": "Holyboy Road", "d:Description": "Previously a print zine, now a webzine, features short stories and photography.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/H", "url": "http://um-hi.com/"} +{"d:Title": "HalfDrunkMuse", "d:Description": "A poetry, prose, and art e-zine.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/H", "url": "http://www.halfdrunkmuse.com/"} +{"d:Title": "Hackwriters", "d:Description": "Fiction, reviews, features, first chapters and travel.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/H", "url": "http://www.hackwriters.com/"} +{"d:Title": "The Holler", "d:Description": "A quarterly e-zine dedicated to promoting Appalachian literature.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/H", "url": "http://www.theholler.net/"} +{"d:Title": "In Posse Review", "d:Description": "Publishes poetry, fiction, essays and literary criticism.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/I", "url": "http://webdelsol.com/InPosse/"} +{"d:Title": "The Intellectual Quarterly", "d:Description": "A webzine that features pieces on topics of all genres, as long as it holds a scholarly interest.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/I", "url": "http://www.intellectualquarterly.20m.com/"} +{"d:Title": "Inkburns", "d:Description": "Publishes fiction, nonfiction, poetry, and art.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/I", "url": "http://www.inkburns.com/"} +{"d:Title": "Juked", "d:Description": "Fiction, poetry and photography.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/J", "url": "http://www.juked.com/"} +{"d:Title": "Jack Magazine", "d:Description": "Webzine of poetry, essays, art, reviews and commentary.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/J", "url": "http://www.jackmagazine.com/"} +{"d:Title": "Jacket magazine", "d:Description": "Quarterly review of new writing, with poetry, creative prose, interviews, reviews, and informative feature articles.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/J", "url": "http://jacketmagazine.com/"} +{"d:Title": "ken*again", "d:Description": "Eclectic literary webzine offers a mix of prose, poetry, art and photography.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/K", "url": "http://kenagain.freeservers.com/"} +{"d:Title": "The Konundrum Engine Literary Review", "d:Description": "Peculiar prose, fiction and poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/K", "url": "http://lit.konundrum.com/"} +{"d:Title": "La Petite Zine", "d:Description": "Features never before published poetry, fiction, articles, and scripts.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/L", "url": "http://webdelsol.com/La_Petite_Zine/"} +{"d:Title": "Lil' This Lil' That", "d:Description": "Webzine publishes five poems per month, along with short stories, rants and book reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/L", "url": "http://www.angelfire.com/zine/lilthislilthat/"} +{"d:Title": "Monadnock Review", "d:Description": "A regularly published webzine of art and ideas which offers poetry, translations, short stories, essays and plays.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/M", "url": "http://www.monadnock.net/"} +{"d:Title": "On the Page", "d:Description": "This webzine offers fiction, nonfiction, poetry, reviews, and photo essays on selected themes.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/O", "url": "http://www.onthepage.org/"} +{"d:Title": "101: one zero one", "d:Description": "Unusual on-line literary magazine with essays, fiction, and poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/O", "url": "http://www.iceflow.com/onezeroone/101/OneZeroOne.html"} +{"d:Title": "Our Pipe Dreams", "d:Description": "A monthly webzine where new authors can showcase their creations.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/O", "url": "http://ourpipedreams.homestead.com/"} +{"d:Title": "Pif", "d:Description": "Publishes poetry, macro and micro fiction, memoirs, interviews, and reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.pifmagazine.com/"} +{"d:Title": "pain killer, inc.", "d:Description": "A \"pretty damned entertaining\" poetry, story, and article webzine by a \"handful of self-loving misanthropes\".", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.painkiller.org/"} +{"d:Title": "The Pedestal Magazine", "d:Description": "A webzine of poetry, fiction, non-fiction and interviews.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.thepedestalmagazine.com/"} +{"d:Title": "Pencil Stubs Online", "d:Description": "Monthly webzine of articles, poetry and fiction dedicated to amateur and professional writers and poets.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.pencilstubs.com/"} +{"d:Title": "P 23", "d:Description": "Displays a single non-fiction or fiction piece in a monthly format.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.angelfire.com/geek/23zine/"} +{"d:Title": "PALH-ezine", "d:Description": "PALH-ezine showcases the writings of published Filipino and Filipino American writers.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.palhbooks.com/Palh-ezine.htm"} +{"d:Title": "The Partial Observer", "d:Description": "Online journal of opinion, fiction, and humor, accepting article submissions from amateur writers.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.partialobserver.com/"} +{"d:Title": "Polar Inertia", "d:Description": "Journal of nomadic and popular culture. Photos, articles, and other writings.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/P", "url": "http://www.polarinertia.com/"} +{"d:Title": "Rational Magic", "d:Description": "A webzine with primary focus on science fiction and fantasy, but including other fiction, non-fiction and poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/R", "url": "http://www.rationalmagic.com/"} +{"d:Title": "Story Bytes", "d:Description": "A monthly webzine and weekly email list featuring very short fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/S", "url": "http://www.storybytes.com/"} +{"d:Title": "Sparks: A Literary Zine", "d:Description": "This webzine is a virtual replication of a typical literary magazine, with poetry and short stories and so on, available for free on the internet in PDF and HTML.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/S", "url": "http://eserver.org/sparks/sparks.html"} +{"d:Title": "storySouth", "d:Description": "A quarterly journal featuring the writings from the new south.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/S", "url": "http://www.storysouth.com/"} +{"d:Title": "Stickman Review", "d:Description": "Online literary magazine dedicated to publishing today's best poetry, fiction, artwork and non-fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/S", "url": "http://www.stickmanreview.com/"} +{"d:Title": "Sunlight", "d:Description": "A small web journal dedicated to \"such things which we scarcely think about in the course of our busy lives, yet which are an integral part of the world we live in...\"", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/S", "url": "http://ahuda.homestead.com/sunlight.html"} +{"d:Title": "TRAGOS", "d:Description": "Features reportage, commentary, photography, fiction and song lyrics.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/T", "url": "http://www.tragos.org/"} +{"d:Title": "Terrain", "d:Description": "A theme-based online journal publishing editorials, poetry, essays, fiction, articles, artwork, case studies, and reviews relating to the built and natural environments.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/T", "url": "http://www.terrain.org/"} +{"d:Title": "Vestal Review", "d:Description": "A webzine for flash (short short) fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/V", "url": "http://www.vestalreview.org/"} +{"d:Title": "Writer's Cramp", "d:Description": "Fiction, poetry, humor, and essays.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/W", "url": "http://www.writerscramp.ca/"} +{"d:Title": "Wild Child Magazine.com", "d:Description": "A mixed genre webzine, includes interviews with a featured author.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/W", "url": "http://www.wildchildpublishing.com/"} +{"d:Title": "The Writer's Pad", "d:Description": "A weekly email newsletter for writers and avid readers of literature.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/W", "url": "http://thewriterspad2000.tripod.com/"} +{"d:Title": "Word Worth", "d:Description": "Monthly dealing with current issues through editorials and columns, and presenting works of literary and photographic art.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/W", "url": "http://www.wordworth.com/"} +{"d:Title": "WriteThis.com", "d:Description": "The best writing in the world, period.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/W", "url": "http://www.writethis.com/"} +{"d:Title": "whimperbang", "d:Description": "Journal of contemporary writing.", "topic": "Top/Arts/Online_Writing/E-zines/Mixed_Genre/W", "url": "http://whimperbang.tripod.com/"} +{"d:Title": "2 Walls Webzine", "d:Description": "Music and book reviews as well as articles and political commentary.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction", "url": "http://www.2walls.com/"} +{"d:Title": "Today in Literature", "d:Description": "Online magazine featuring stories about books, writers, and events in literary history.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction", "url": "http://www.todayinliterature.com/"} +{"d:Title": "CLCWeb", "d:Description": "Quarterly e-zine published by Purdue University Press containing new work in comparative literature and culture.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction", "url": "http://docs.lib.purdue.edu/clcweb/"} +{"d:Title": "Emerald City", "d:Description": "This site publishes Cheryl Morgan's news, interviews and reviews on science fiction and fantasy media, as well as her reports from science fiction and fantasy conventions.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Personal_E-zines", "url": "http://www.emcit.com/"} +{"d:Title": "RarelySober", "d:Description": "Online magazine for the young at heart in the UK and beyond.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Personal_E-zines", "url": "http://www.angelfire.com/wy/aretheystaring/"} +{"d:Title": "pulp magazine", "d:Description": "Magazine, zine culture and arts society writing.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://members.tripod.com/pulpmagazine/"} +{"d:Title": "State of unBeing", "d:Description": "A zine from the minds at Apocalypse Culture Productions that covers everything from politics and religion to literary trash.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.apoculpro.org/"} +{"d:Title": "Friction Magazine", "d:Description": "Intelligent and insightful commentary, articles and research for the independent minded reader.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.frictionmagazine.com/"} +{"d:Title": "The Exquisite Corpse", "d:Description": "\"Caters to the craven complexes of overeducated esthetes while also pleasing the autodidact lumpenproletariat.\"", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.corpse.org/"} +{"d:Title": "Creationflux", "d:Description": "An on-line gallery exposing digital art.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.creationflux.com/"} +{"d:Title": "A Commonplace Book", "d:Description": "A monthly webzine of humor, dreams, inspiration, essays, fiction, recipes, art and features.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://commonplacebook.com/"} +{"d:Title": "The Liberator", "d:Description": "A webzine dedicated to those seeking enlightenment which includes arresting articles, hilarious humor, and provocative pictures.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.liberator.net/"} +{"d:Title": "Medianstrip", "d:Description": "A website, containing words; collaborative design and writing.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.medianstrip.net/"} +{"d:Title": "Other Voices", "d:Description": "Regularly publishes provocative essays, interviews, lecture transcriptions, hypermedia projects, translations and reviews in the arts and humanities.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.english.upenn.edu/~ov"} +{"d:Title": "Salon", "d:Description": "The online arts and culture magazine, with regular features on or by various literary luminaries.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.salon.com/"} +{"d:Title": "xylostyle", "d:Description": "A quarterly webzine of fashion, design, nutrition, sports, media and arts.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.xylostyle.com/"} +{"d:Title": "digittante", "d:Description": "Writing and art, published regularly.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.digittante.com/"} +{"d:Title": "Tweak", "d:Description": "Stories, essays and interviews from \"a group of writers and artists and geeks who believe the media is on shaky ground.\"", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.tweak.com/"} +{"d:Title": "Notes in a Bottle", "d:Description": "Analysis and commentary on the quirks and twists of contemporary life.", "topic": "Top/Arts/Online_Writing/E-zines/Non-Fiction/Society_and_Culture", "url": "http://www.notesinbottle.com/"} +{"d:Title": "Clickable Poems", "d:Description": "An e-journal featuring new poets and translations, updated listings of traditional poems, and resource for people interested in the history of poetry in its various media.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.clickablepoems.com/"} +{"d:Title": "Poetry Magazine .com", "d:Description": "An international digest of world poetry featuring over 30 new poets every month.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.poetrymagazine.com/"} +{"d:Title": "Kota Press Poetry Journal", "d:Description": "Quarterly online poetry journal, publishing books, and offering resources to grieving families after the loss of a child thru links and the Mrs. Duck Project.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.kotapress.com/"} +{"d:Title": "The Drunken Boat", "d:Description": "Quarterly webzine featuring international poetry, translations, reviews and interviews.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.thedrunkenboat.com/"} +{"d:Title": "Half Drunk Muse", "d:Description": "A poetry ezine accepting submissions year-round.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.halfdrunkmuse.com/"} +{"d:Title": "Abounding", "d:Description": "A poetry webzine, featuring poetry in any style, any form.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.angelfire.com/zine/abounding/"} +{"d:Title": "The Cortland Review", "d:Description": "Publishes to the web monthly, and includes audio recordings (in RealAudio file-format), as well as texts, of poems being read by both well-known and lesser-known poets.", "priority": "1", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.cortlandreview.com/"} +{"d:Title": "The Melic Review", "d:Description": "Publishes poetry, offers a poetry discussion group, literary criticism, an annual contest and an archive for light verse. Also publishes some fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.melicreview.com/"} +{"d:Title": "Perihelion", "d:Description": "Includes literary theory articles alongside poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://webdelsol.com/Perihelion/"} +{"d:Title": "Risk", "d:Description": "A webzine of poetry and art.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.angelfire.com/ca4/risk/"} +{"d:Title": "PoetryRepairShop", "d:Description": "A monthly e-zine with an international flavor, featuring work from new as well as established poets and writers, twelve pomms from twelve writers each month.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.poetryrepairs.com/"} +{"d:Title": "King Log", "d:Description": "A semi-annual electronic journal of poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.angelfire.com/il/kinglog/"} +{"d:Title": "A Little Poetry", "d:Description": "Submission information, terms of use, and featured contemporary poetry by poets from around the world.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.alittlepoetry.com/"} +{"d:Title": "Maverick Magazine", "d:Description": "Strong, cutting-edge poems by emerging poets together with new and reprinted poems by established poets.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.maverickmagazine.com/"} +{"d:Title": "The Morpo Review", "d:Description": "A quarterly electronic publication of poetry and fiction.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://morpo.com/"} +{"d:Title": "miller's pond", "d:Description": "A poetry webzine featuring poetry, contests, interviews, reviews, and poetry markets.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://members.tripod.com/~millerspond/index.html"} +{"d:Title": "Moongate de Homo Sentiens", "d:Description": "A website with a new poem a day, native american children's stories and children's poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.motherbird.com/"} +{"d:Title": "Moria", "d:Description": "An experimental poetry webzine edited by William Allegrazza.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.moriapoetry.com/"} +{"d:Title": "Our Dead World", "d:Description": "Irregularly updated webzine featuring poetry of a darker, experimental nature.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://cartoonvandal.tripod.com/poetry/index.html"} +{"d:Title": "The Poet's Cut", "d:Description": "An online poetry journal of poetry that reflects the author's vision.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.welkinworks.com/poetscut/"} +{"d:Title": "Red River Review", "d:Description": "An online literary journal dedicated to the publication and distribution of quality poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.redriverreview.com/"} +{"d:Title": "Swagazine", "d:Description": "A biannual webzine of poetry and prose based in Santa Barbara, California.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.swagazine.com/"} +{"d:Title": "Take2 Companion Poems", "d:Description": "A frequently updated spinoff of the EastWesterly Review, in which two authors write different poems based on the same title.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.postmodernvillage.com/take2/index.html"} +{"d:Title": "Switched-on Gutenberg", "d:Description": "An electronic poetry journal from the University of Washington.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://faculty.washington.edu/jnh"} +{"d:Title": "MiPoesias", "d:Description": "Publishes poetry, reviews and interviews.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.mipoesias.com/"} +{"d:Title": "The Transcendental Friend", "d:Description": "A monthly electronic journal of poetry and poetics, literature, art and criticism.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.morningred.com/friend/"} +{"d:Title": "Ygdrasil", "d:Description": "A monthly poetry webzine publishing contemporary poetry and literature.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.synapse.net/~kgerken/"} +{"d:Title": "Able Muse", "d:Description": "A review of Metrical Poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.ablemuse.com/"} +{"d:Title": "Los", "d:Description": "A monthly webzine of contemporary poesy and art.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://home.earthlink.net/~lospoesy"} +{"d:Title": "The Coffee Press Journal Online Magazine", "d:Description": "The Coffee Press Journal is an e-zine for the creative writer in us all. Come, be encouraged and inspired!", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.coffeepressjournal.com/"} +{"d:Title": "Above Ground Testing", "d:Description": "A monthly poetry e-zine, with poetry and reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.angelfire.com/on/abovegroundtesting/"} +{"d:Title": "Write-Away!", "d:Description": "A webzine for new and established writers, mainly featuring poetry, but including some creative prose, features and current events poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.write-away.co.uk/"} +{"d:Title": "dense city poetry", "d:Description": "A weekly snap-shot of the poetic Internet.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://densecitypoetry.tripod.com/"} +{"d:Title": "Junket", "d:Description": "A quarterly e-zine that publishes both beginning and established writers, as well as a showcase of 3 artists per issue.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.angelfire.com/poetry/junket/"} +{"d:Title": "Tin Lustre Mobile", "d:Description": "A new literary webzine publishing the work of innovative artists with a unique vision.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.blogstudio.com/tinlustrearchives/"} +{"d:Title": "Eratio Postmodern Poetry", "d:Description": "An online journal specializing in postmodern poetry, poetics and eidetics edited by Gregory Vincent St. Thomasino.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.eratiopostmodernpoetry.com/"} +{"d:Title": "PoetrySz", "d:Description": "A literary webzine featuring work written by poets with mental illness.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.poetrysz.net/"} +{"d:Title": "The DMQ Review - Disquieting Muses", "d:Description": "A quarterly webzine publishing poetry and artwork.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.dmqreview.com/"} +{"d:Title": "Offcourse: A Literary Journey", "d:Description": "An online literary journal featuring critical essays, poetry, and book reviews.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.albany.edu/offcourse"} +{"d:Title": "Verse Daily", "d:Description": "Publishing a daily poem. Frequently asked questions, archives, and submission guidelines.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.versedaily.org/"} +{"d:Title": "Cordite Poetry Review", "d:Description": "Australian poetry e-zine. New issue every few months with regular news and events updates.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.cordite.org.au/"} +{"d:Title": "Thick With Conviction", "d:Description": "Quarterly poetry journal that accepts submissions in all formats.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.angelfire.com/poetry/thickwithconviction/"} +{"d:Title": "Sentinel Poetry Magazine", "d:Description": "Monthly e-zine of the international community featuring poems, reviews, interviews, forum and competitions.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.sentinelpoetry.org.uk/"} +{"d:Title": "Outpost Entropy", "d:Description": "Submission information for a small ezine that publishes experimental poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://outpostentropy.8m.com/"} +{"d:Title": "Sol Magazine", "d:Description": "Quarterly poetry e-zine since 1998.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://sol-magazine-projects.org/"} +{"d:Title": "Lyrical Passion Poetry E-zine", "d:Description": "Dedicated to the love of the arts, freedom of creativity and the appreciation for Japanese short form poetry. Sponsors contests.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://lyricalpassionpoetry.yolasite.com/"} +{"d:Title": "Gumball Poetry", "d:Description": "A quarterly webzine, poetry in the zine is also published \"in gumball machines\".", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://secret.ideacog.net/gumball-poetry/"} +{"d:Title": "Exercise Bowler", "d:Description": "A poetry e-zine open to submissions.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://www.exercisebowler.com/"} +{"d:Title": "The Dandelion Farm Review", "d:Description": "An online literary magazine of poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://dandelionfarmreview.wordpress.com/"} +{"d:Title": "Zombie Logic Review", "d:Description": "Publishes dadaist, surrealist, Outsider, and Outlaw poetry.", "topic": "Top/Arts/Online_Writing/E-zines/Poetry", "url": "http://zombielogicreview.blogspot.com/"} +{"d:Title": "The Heretic", "d:Description": "by Jason K. Chapman. A former FBI agent is stalked by a mysterious hacker, dragging him into an investigation that takes him back into the real world.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.jasonkchapman.com/heretic_online/"} +{"d:Title": "Freelook Bookstore", "d:Description": "A bookstore that allows online reading of a section of the book prior to any payment. Books can be read online or down loaded. Contains fiction, non-fiction and an E-Zine.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://freelookbookstore.com/"} +{"d:Title": "Bret's Journals", "d:Description": "A chronicle of an anti-hero's life through a series of comic and sometimes depraved situations.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.bretsjournals.com/"} +{"d:Title": "Free Online Novels", "d:Description": "A collection of texts by Jennifer L Armstrong as well as links to other books.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.free-online-novels.com/"} +{"d:Title": "Never Bound Books", "d:Description": "Several novels available via e-mail.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.neverbound.com/"} +{"d:Title": "Bad Grammar", "d:Description": "Fiction by a variety of authors.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://badgrammar.tripod.com/"} +{"d:Title": "Surreality", "d:Description": "Short stories, novels and fan fiction.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.angelfire.com/zine/surreality/"} +{"d:Title": "Short Stories", "d:Description": "An anthology of short stories by James Muri and other writers. Topics include romance, politics, adventure, and fantasy.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://blizzardguy.tripod.com/shortstories.html"} +{"d:Title": "Writers Wanted", "d:Description": "A site that will post submitted stories.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://forsaken208.tripod.com/"} +{"d:Title": "Writers Club", "d:Description": "Short stories by various authors.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.angelfire.com/art/writersc/"} +{"d:Title": "Bit-Books", "d:Description": "Web directory to fiction published online, sorted by genre.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.bitbooks.com/"} +{"d:Title": "Below the Fold", "d:Description": "Subterranean journey through words, understanding, and imagination into the dark, mythic, perilous, and wondrous realm of human possibility.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.belowthefold.net/"} +{"d:Title": "De-Flux Stories", "d:Description": "Short stories by various writers in both English and Dutch.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.de-flux.org/stories"} +{"d:Title": "Channel 49", "d:Description": "Fiction for adults and children.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.ch49.com/"} +{"d:Title": "UK Fiction", "d:Description": "A library of contemporary UK fiction, by various authors, freely available to download.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.short-stories.co.uk/"} +{"d:Title": "Drifters Oasis", "d:Description": "Showcase of up and coming writers from around the world, in all genres of fiction.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.driftersoasis.com/"} +{"d:Title": "Amateur Writing", "d:Description": "Submitted fiction by a variety of authors.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.amateur-writing.com/"} +{"d:Title": "Best of writebuzz.com", "d:Description": "Features writing by amateur and professional writers including previously unpublished short stories.", "topic": "Top/Arts/Online_Writing/Fiction", "url": "http://www.writebuzz.com/"} +{"d:Title": "Backstreet Boys and 'N Sync Fiction", "d:Description": "Stories based on the bands.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://www.angelfire.com/me3/bsbsyncfiction/"} +{"d:Title": "Lanzgurl's Fanfics", "d:Description": "'N Sync, Hanson, and original fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://www.angelfire.com/ok3/lanzgurl1/"} +{"d:Title": "Imagine the Impossible", "d:Description": "'N Sync and Backstreet Boys fiction by KJ and Jenna.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://www.angelfire.com/stars2/hotties1/"} +{"d:Title": "Fan Fic Central", "d:Description": "Features Backstreet Boys, 'N Sync and 98\u00b0 fan fiction, pictures, tips on how to write a good fiction, plus sections where you can post your own links.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://www.angelfire.com/ct/fanfic1/"} +{"d:Title": "Emrald and Lizzy's Boy Bands Fan Fiction", "d:Description": "Backstreet Boys, Aaron Carter, 'N Sync, and 98 Degrees stories. Submissions welcome.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://angelfire.com/ny3/emraldandlizzyfanfic/"} +{"d:Title": "Generation Next", "d:Description": "Hanson and Moffatt works sorted by genre.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://www.angelfire.com/in/hansonstories/stories.html"} +{"d:Title": "Something to Remember", "d:Description": "Band fiction and submission information.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://www.angelfire.com/pop/jcorjustin/"} +{"d:Title": "Naked", "d:Description": "Goo Goo Dolls and Backstreet Boys works, and a message board with links.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://nakedggd.tripod.com/"} +{"d:Title": "Chaotic Bliss Fan Fiction", "d:Description": "A mix of fan fiction for NSYNC, New Kids On The Block, Backstreet Boys, 98\u00ba and O-Town,", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Bands_and_Artists", "url": "http://www.angelfire.com/oh/onesmartgirl/oleblueeyes.html"} +{"d:Title": "Waveney Zine Shop", "d:Description": "Common site for over 40 fanzine publishers/distributors to list and sell their zines. 1700 zines, 100 fandoms. Also includes 2nd hand zines.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Fanzines", "url": "http://www.knightwriter.org/"} +{"d:Title": "Chaos Unlimted", "d:Description": "Lists for Andromeda, Babylon 5, Dark Angel, Dark Shadows, Pretender, Smallville, Star Trek, Star Wars, Xena and others.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Fanzines", "url": "http://members.tripod.com/chaosunlimted/index.htm"} +{"d:Title": "Andromeda", "d:Description": "Zines for various fandoms.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Fanzines", "url": "http://members.tripod.com/ttal0/"} +{"d:Title": "The Upstairs Closet", "d:Description": "Man From U.N.C.L.E. original fanzines by Kathleen J. Easley and collectable books from the 60's TV series.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Fanzines", "url": "http://www.theupstairscloset.com/"} +{"d:Title": "Ashton Press", "d:Description": "Submission guidelines for Highlander, Blake's 7, and Stargate zines, and directory of Ashton Press sites.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Fanzines", "url": "http://ashtonpress.net/"} +{"d:Title": "The Watcher's Diarys", "d:Description": "A Buffy the Vampire Slayer and Angel the Lost Vampire archive. (Some stories and poems, not related to these shows also reside on the site).", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/al/Diarys/"} +{"d:Title": "FanFiction.Net", "d:Description": "Automated story archive, arranged by genre, searchable by author, title, and keyword.", "priority": "1", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.fanfiction.net/"} +{"d:Title": "Sam's Place", "d:Description": "Nash Bridges and Diagnosis Murder works.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/ca7/sambridges/"} +{"d:Title": "Cat's Cyberfic", "d:Description": "Works from Starsky and Hutch, A-Team, and The Sentinel.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/tv2/catfic/"} +{"d:Title": "Amalgam: A Fiction Page", "d:Description": "Original fiction and works, including Star Trek (all generations) and Babylon 5.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/az2/fanfic/"} +{"d:Title": "DCE's Creative Corner", "d:Description": "Contains Highlander and Forever Knight works.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.dlc.fi/~dce/fic/index.html"} +{"d:Title": "Fan Fiction", "d:Description": "Various works from television, music and movies.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/yt3/fanfiction/"} +{"d:Title": "Fictainment", "d:Description": "Various bands including Backstreet Boys and N'Sync.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/journal2/readit/"} +{"d:Title": "Inquisitive1's Fanfiction", "d:Description": "Fiction stories based upon Buffy the Vampire Slayer, Angel, Highlander, MacGyver, The Pretender, and The Sentinel.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/tv/inqstive1sfanfiction/"} +{"d:Title": "KerJen Fanfiction", "d:Description": "Works primarily centered on Star Wars and Star Trek: TOS movies.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.kerjen.net/"} +{"d:Title": "A New Life", "d:Description": "Story written by 3 teens based on their favorite shows, movies, and music.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/scifi/ANewLife/index.html"} +{"d:Title": "Fanfiction Index", "d:Description": "Quantum Leap, Kung Fu: The Legend Continues, Forever Knight, and anime fiction by Amparo Bertram.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www-personal.umich.edu/~weyrbrat/fanfic/"} +{"d:Title": "The Duncan Twins Home Page", "d:Description": "Works from Highlander, Invisible Man, Kindred, Poltergeist the Legacy and Stargate SG-1.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.dtwins.co.uk/"} +{"d:Title": "Twink's Mega Fanfic Archive", "d:Description": "Archive that contains multi-fandoms. The top fandoms are Buffy the Vampire Slayer, Angel, Roswell, Dawson's Creek, Pretender and the X-Files.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/tv2/twinkfic/"} +{"d:Title": "Jessa's Fanfiction", "d:Description": "Buffy, General Hospital, and One Life to Live stories.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/sc2/jessafanfiction/"} +{"d:Title": "Dani's Domain Fan Fiction", "d:Description": "Harry Potter, Pokemon, X-Files, Scream, and Sailor Moon fiction by various authors.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/ma2/niophita/fiction.html"} +{"d:Title": "The WiliQueen's Woods Fan Fiction", "d:Description": "Fiction by Valerie Meachum, including Forever Knight, Buffy the Vampire Slayer, Phantom of the Opera, and The Lost Boys.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.wiliqueen.com/fanfic/"} +{"d:Title": "Biku's Bookshelf", "d:Description": "Original works and fiction including Star Trek, Star Wars, Backstreet Boys, Due South, and Sinbad.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://members.tripod.com/~Litterae/books.html"} +{"d:Title": "Cyberkat's Fan Fiction Asylum", "d:Description": "Fiction by Sandy Adams, including Dark Shadows, Magnum PI, Forever Knight, Knight Rider, Star Trek, and cartoons.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/al2/fanfiction/"} +{"d:Title": "Dr. Frankestein's Weird and Stupid Lab", "d:Description": "Works on Korn and the X-Files.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/weird/andstupid/index.html"} +{"d:Title": "Teanna", "d:Description": "Jane Austen, Star Wars and Wheel of Time spoofs.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/art/teanna/"} +{"d:Title": "The Interplanetary Railroad: Group-Written Science Fiction", "d:Description": "Original stories and fiction written round robin style.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/wy/iprr/"} +{"d:Title": "Savage Garden Fan Fiction", "d:Description": "Vampire Chronicles, Buffy: the Vampire Slayer, and Due South stories.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/goth/danielmolloy/"} +{"d:Title": "Steph's Writing", "d:Description": "Batman, X-Men, Mission: Impossible, and Mighty Morphin Power Rangers fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/realm/stephswriting/main.html"} +{"d:Title": "Lair of the Stealthbunnies", "d:Description": "Fiction for Buffy the Vampire Slayer, the Transformers, Teenage Mutant Ninja Turtles, X-Men, and Devilman.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://members.tripod.com/stealthbunny/"} +{"d:Title": "Cere's Dream Haven", "d:Description": "Teenage Mutant Ninja Turtles, Batman Beyond, Legend of Zelda, as well as original works.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.cere.freeservers.com/"} +{"d:Title": "Idea No. 8", "d:Description": "Stories based on The West Wing, Andromeda, Buffy the Vampire Slayer, Angel, ER, and Farscape. Also includes quotes.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/home/ideano8/"} +{"d:Title": "TaPoi", "d:Description": "Final Fantasy VIII and Harry Potter works.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.members.tripod.com/slayertreesh/"} +{"d:Title": "The Haven Fan Fiction Archive", "d:Description": "Science fiction works including X-Men.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://candylyn1975.tripod.com/the_haven.html"} +{"d:Title": "Big Valley and Six Million Dollar Man Storyboard", "d:Description": "Message board and archives. Includes writers' resources, such as character profiles and trivia.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/tv2/bvsmdm/"} +{"d:Title": "Kenda's Fan Fiction Library", "d:Description": "Emergency, Simon and Simon, and The Big Valley stories.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.fanfiction-library.com/"} +{"d:Title": "The Glass Onion Archive", "d:Description": "Web host for mailing list of the same name. Stories based on more than a dozen fandoms including Alias, Buffy the Vampire Slayer, Firefly, Harry Potter, Star Wars, and The X-Files.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://glassonion.populli.org/index.shtml"} +{"d:Title": "Hellmouth East", "d:Description": "A series of crossover stories by Richard Ruth involving Buffy the Vampire Slayer, Highlander, Poltergeist: The Legacy, Kindred: The Embraced, and the X-Files.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.onholyground.net/hme.html"} +{"d:Title": "The Hall of the Terrian King", "d:Description": "A variety of TV shows, old and new, and movies.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/scifi2/terrian_king/"} +{"d:Title": "Anubis Soundwave - Toon Tone TV Network", "d:Description": "A multifaceted site of fan fiction and art..", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://starflower.tripod.com/"} +{"d:Title": "My Fanfiction Centre Home", "d:Description": "Fan fiction for various fandoms including Stargate SG-1, JAG, Charmed, and West Wing.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.angelfire.com/magic/samjackharmac2/"} +{"d:Title": "Welcome to My Parlor", "d:Description": "Tiffany's fan fiction including Stargate SG-1, the A-Team, and Trek.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.myparlor.tvheaven.com/"} +{"d:Title": "The Underground", "d:Description": "Punk Maneuverability's X-Files, Sports Night, West Wing, Smallville, and Stargate Atlantis fan fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://home.teleport.com/~punkm/"} +{"d:Title": "e-fic.com", "d:Description": "A collection of stories specially formatted for the 3Com PalmPilot, IBM Workpad, Psion PDA, TI Avigo or WinCE handheld device.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.e-fic.com/"} +{"d:Title": "Dragon's Library", "d:Description": "Fiction for Wheel of Time, Star Wars, and original stories, forum and bookmark directory.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.dragonlibrary.net/"} +{"d:Title": "Fannish Pursuits", "d:Description": "Short stories, snippets, essays and filks based on Blake's 7 and The Lone Gunmen. Includes articles and writer's resources.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.users.totalise.co.uk/~leiafee/"} +{"d:Title": "FanFicWeb", "d:Description": "Directory of sites with stories in a variety of fandoms and hosts archives for The Pretender, Profiler, and Space: Above and Beyond.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.fanficweb.org/"} +{"d:Title": "Gloria's Fan Fiction", "d:Description": "Star Trek and Starsky and Hutch fan fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.arigol.plus.com/"} +{"d:Title": "Winds of Destiny", "d:Description": "Currently has sections on Gundam Wing and Escaflowne.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://silver_wisps.tripod.com/menu.htm"} +{"d:Title": "Xenon's Dungeon", "d:Description": "Includes sotries in the Highlander and Buffy the Vampire Slayer universes.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.swordznsorcery.co.uk/"} +{"d:Title": "Mightier Than the Sword", "d:Description": "A fan fiction archive, with stories organized by author, title, category and series.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://sword.borderline-angel.com/"} +{"d:Title": "Dragon's Library", "d:Description": "Science fiction and fantasy archive with Wheel of Time, Star Wars, Dark Elf and other fiction, role-playing and original stories as well as book recommendations and free book excerpts.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Multifandom", "url": "http://www.dragonlibrary.net/fiction/"} +{"d:Title": "Nipala's Little Hole In The Universe", "d:Description": "Final Fantasy and other roleplaying works, poems, quotes, and adoptions.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Roleplaying_Games", "url": "http://www.angelfire.com/rpg/nipalalmasy/"} +{"d:Title": "The RPG Place - Fanfics", "d:Description": "A site growing fast into RPG Fanfiction that is run by a talented writer. Submission always accepted.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Roleplaying_Games", "url": "http://www.rpgplace.net/fanfics/"} +{"d:Title": "RPGamer Fan Fiction Archive", "d:Description": "The fanfiction archive from the very popular site: RPGamer. Nearly all RPGS from .hack to Zelda are here for your reading pleasure.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Roleplaying_Games", "url": "http://www.rpgamer.com/fanfics/ficarchive.html"} +{"d:Title": "RPGClassics Fanfiction Archive", "d:Description": "From the popular RPG website. A growing helping of RPG Fanfiction from many popular RPGs.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Roleplaying_Games", "url": "http://www.rpgclassics.com/fanfics/"} +{"d:Title": "RPGFan - Fan Fiction", "d:Description": "RPG Fanfiction section of the popular RPGFan website! Shows new fanfics every so often.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Roleplaying_Games", "url": "http://www.rpgfan.com/fanfics.html"} +{"d:Title": "Icy Brian's RPG Fanfic Library", "d:Description": "An active RPG fanfic library of well over 800 fanfic stories by a variety of authors. Submissions also accepted.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Roleplaying_Games", "url": "http://www.icybrian.com/fanfic/"} +{"d:Title": "Chrono Cross Second Journey", "d:Description": "Chrono Cross fan fiction webpage.", "priority": "1", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Video_Games", "url": "http://www.angelfire.com/gundam/bb2k/ccross/index.htm"} +{"d:Title": "Free Radical - By Shamus Young", "d:Description": "Complete \"CyberPunk\" novel based loosely on the \"System Shock\" series of games.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Video_Games", "url": "http://www.shamusyoung.com/shocked/"} +{"d:Title": "The Dreamers Fan Fiction Web Ring", "d:Description": "Web ring for authors of band fiction (Backstreet Boys, and 'N Sync).", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Web_Rings", "url": "http://members.tripod.com/secretdreams/webring.htm"} +{"d:Title": "Obsessed Fanatical Fan Fiction Ring", "d:Description": "Submit a site here if it includes a collection of fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Fan_Fiction/Web_Rings", "url": "http://easyweb.easynet.co.uk/~tasha27/wrObsessed_dyn.html"} +{"d:Title": "The Salvaged Autobiographical Accounts of Clyde P. Hipwing", "d:Description": "A free online novel about a manic-depressive author and his eventual destiny into the white house.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://scott.endsley.com/clyde.htm"} +{"d:Title": "Dog-O-Matic Kiosk", "d:Description": "For all your instant dogma needs.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.sendcoffee.com/dog-o-matic/"} +{"d:Title": "Holophrastic Plosion", "d:Description": "hol/o-phras'tic, adj. -from holophrase, n, 'a single word expressing the ideas of a phrase or sentence.' Thriving messageboards.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://holophrastic.com/"} +{"d:Title": "Slowly Downward", "d:Description": "This site contains short prose in surreal, irreal and stream of consciousness style, as well as a quiz that spent time in an art museum.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.slowlydownward.com/"} +{"d:Title": "On The Canvas", "d:Description": "Art and writing about surrealism and boxing.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.onthecanvas.com/"} +{"d:Title": "Ratchetville", "d:Description": "Ratchetville has philosophical essays and other writings.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.angelfire.com/scifi/ratchetb/"} +{"d:Title": "The Writers' Corner", "d:Description": "Dedicated to less than well known authors.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.angelfire.com/zine/twc/"} +{"d:Title": "Strangesite", "d:Description": "Consider a world created by incompetent gods where the apocalypse lies on the shoulders of teenagers.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://members.tripod.com/~DPsycho/"} +{"d:Title": "A Girl Who Has No Future", "d:Description": "A vicious wonderland; a glimpse of the world behind closed doors.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.gorblimey.com/"} +{"d:Title": "Standing On It", "d:Description": "A collection of short stories to release the spirit.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.angelfire.com/pa4/standingonit/"} +{"d:Title": "Depths of the Dungeon", "d:Description": "Imagination can take you anywhere. A site of strange poems and other writings.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.angelfire.com/journal/depthsofthedungeon/"} +{"d:Title": "Unpopular Science", "d:Description": "Short articles about strange things that mankind shouldn't build or create.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://members.tripod.com/DonnaSmith_2/pophome.html"} +{"d:Title": "Lovers, Losers, and Part-time Demons", "d:Description": "Forays into the Fantastic: Sci-Fi and Fantasy, Slipstream and Magical Realism.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre", "url": "http://www.onetinleg.com/"} +{"d:Title": "Stories For The Bored", "d:Description": "Odd and absurd stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre/Humor", "url": "http://www.i-mockery.com/stories/"} +{"d:Title": "The Grinning Elf", "d:Description": "Home to a collection of strange, and hopefully humorous, short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre/Humor", "url": "http://www.angelfire.com/mo/aahz/GrinningElf.html"} +{"d:Title": "Tabloid", "d:Description": "A site for the weird or the demented.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre/Humor", "url": "http://www.angelfire.com/zine/mytabloid/"} +{"d:Title": "The Official Meatatarian Homepage", "d:Description": "Vegetarians have many outlets that they use to complain about. This page explores Meatatarianism and provides many texts on the benefits of a Meatatarian diet.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Bizarre/Humor", "url": "http://www.i-mockery.com/meatatarian/"} +{"d:Title": "Arsinuae", "d:Description": "Novels in progress set in the world of Arsinuae, with timeline.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/ct2/arsinuae/arsinuae.htm"} +{"d:Title": "Worlds Away", "d:Description": "Features excerpts from two fantasy works by J. E. MacMillan: the romantic Mistress of Calistar and the Native American-based Jovai.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/scifi/worldsaway/"} +{"d:Title": "Elven Lovers", "d:Description": "The story of two elves who fall in love with one another through battles against enemies who'll do anything to possess their secret.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/vt/wind/"} +{"d:Title": "The Land of Maychoria", "d:Description": "Enter the world of Madra, where war with the Witch Ryoo is about to interrupted by the arrival of four strange warriors from the alternate dimension known as Terra.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/mn/Maychoria/"} +{"d:Title": "Of Khromats and Kings", "d:Description": "Story of the F'Staa Universe by Lisa Jennings.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.macrophile.com/~ermine/shadow/html/ok_k__chapter_1.html"} +{"d:Title": "A Knights Tale", "d:Description": "About a post-apocalyptic Earth involving good and evil, love, knights, fairies and gnomes, magicians and wizards.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://angelfire.com/il2/aknightstale"} +{"d:Title": "Uncharted Territory: Novella", "d:Description": "A story of humans and a cat-like people called Arrallins crash-landing on a planet inhabited by gryphons.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/stars/redstar/"} +{"d:Title": "The Legend of Aleyna", "d:Description": "A Fable or Fairy Tale?", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://legendofaleyna.com/"} +{"d:Title": "Descent Into Magery", "d:Description": "A fantasy book being posted on the web as it is being written, chapter by chapter, with frequent chapter updates. Also available some short stories, and art", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://phugbooks.tripod.com/"} +{"d:Title": "Worlds Apart: Tales Of Fantasy, Heroism, and Romance", "d:Description": "Original fantasy novels and short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/az3/worldsapart/"} +{"d:Title": "InkDrop X 3", "d:Description": "Harry Potter fan fiction, Invader Zim fan fiction, kodocha fan fiction, original stories, and poems.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/freak/inkdropx3/"} +{"d:Title": "Bronze and Blood", "d:Description": "A screenplay suggesting an alternative mythology for classical europe in which Farie-Folk and men co-existed.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://www.angelfire.com/home/talespinner7/"} +{"d:Title": "Tehuti's Writing", "d:Description": "Egyptian mythology and graphics resources, as well as original online fantasy fiction based on Egyptian myth.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy", "url": "http://sites.google.com/site/tehutiswriting/"} +{"d:Title": "Heartfires", "d:Description": "Heartfires Tales - Serial heroic fantasy stories by E-mail. Tales of myth, mystery, magic and high adventure. Requires free registration to participate.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Contributed_Content", "url": "http://www.heartfires.com/"} +{"d:Title": "Cloud 9 Stories", "d:Description": "This world of Fantasy and Science Fiction will fill your mind with work of other lovers of this genre. Feel free to browse around and contribute towards the Interactive Story. All suggestions, stories and comments are welcome.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Contributed_Content", "url": "http://www.angelfire.com/rpg/cloudnine/Home.html"} +{"d:Title": "Winds Of Fantasy", "d:Description": "This site is a fantasy based writing site for anyone who would like their work posted. It does not have to be fantasy work. Fan fiction is also welcome. It will have some Irish Celtic folktales and other information eventually. I also make graphics for people who need them for their own sites.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Contributed_Content", "url": "http://www.angelfire.com/realm/fantasywinds/"} +{"d:Title": "The Salvaged Autobiographical Accounts Of Clyde P. Hipwing", "d:Description": "A humorous fantasy about a manic-depressive author and his accidental destiny in the White House. Is it all in his mind?", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://scott.endsley.com/clyde.htm"} +{"d:Title": "New Bridge to Lyndesfarne - Trevor Hopkins", "d:Description": "Set in the magical world of Lyndesfarne, reached by the Old Bridge from modern-day England.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://trevor-hopkins.org.uk/lyndesfarne/index.html"} +{"d:Title": "Novice Novel Saga", "d:Description": "A young mage's life in the battlefield. Document download is available.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://maro-sorcerer.tripod.com/"} +{"d:Title": "The Song of the Ocean Gypsy", "d:Description": "High fantasy series dealing with the last years of a world of magic and adventure.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://centralcal.com/sog.htm"} +{"d:Title": "Theorotica", "d:Description": "An epic story of gods, demons, angels and mortals. A timeless romance that transcends all realms.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://theorotica.tripod.com/"} +{"d:Title": "Online Literature Library", "d:Description": "The Marvelous Land Of Oz - L Frank Baum - A classic", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.literature.org/authors/baum-l-frank/the-marvelous-land-of-oz"} +{"d:Title": "Edgar Rice Burroughs - At the Earth's Core", "d:Description": "A classic tale of adventure to the mythical world within the Earth ...", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.literature.org/authors/burroughs-edgar-rice/at-the-earths-core/index.html"} +{"d:Title": "Faith&Fairies", "d:Description": "Three orphan boys lost in the woods, an enchanted cottage, a giant tree, five beautiful dryads, a race of fairies, an evil castle, an army of satyrs and a dragon.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://faithandfairies.com/"} +{"d:Title": "Bridge at War", "d:Description": "The second in the \"New Bridge to Lyndesfarne\" series of fantasy novels by Trevor Hopkins.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://trevor-hopkins.org.uk/downloads/bridge-at-war.pdf"} +{"d:Title": "Sleuths of Sorcery: Part One in the adventures of Terjal and Aiya", "d:Description": "When a creature from another plane wreaks havoc, the headmaster of a wizards' school and his former pupil seek out its creator and find more than they bargained for.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://sleuthsofsorcery.com/"} +{"d:Title": "Inferno", "d:Description": "A young shaman on another planet is tempted to unleash dark forces to defend a woman from evil magicians.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.dreamart.us/Dante.htm"} +{"d:Title": "RKP Hunt's Speculative Fiction", "d:Description": "Features works completed and in progress, forum and blog.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://rkphunt.com/"} +{"d:Title": "The Centre Vale Trilogy", "d:Description": "Book 1 of a fantasy trilogy - humans encounter beings of planetary proportions.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.thecentrevale.com/Homepage.php"} +{"d:Title": "Fantasy Audio Book", "d:Description": "The Helena and the orchestra of the world of mist blog - a Musical Fantasy Audio Book.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://audio-book-in-video.blogspot.com/"} +{"d:Title": "Enter Three Witches", "d:Description": "Fantasy web novel. Destiny deals three sisters an unusual hand.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://enterthreewitches-story.blogspot.com/"} +{"d:Title": "Malakhim", "d:Description": "Follows the increasingly grim life of a dead child as he becomes entangled in the brutal war of angels and finds he can only rely on faith to survive.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.malakh.com/"} +{"d:Title": "Foretold Princess", "d:Description": "by Crys Bay. A complete fantasy fiction, the first entry in the Forbidden Island Chronicles series.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.forbiddenislandchronicles.com/"} +{"d:Title": "Seriphyn Knight Chronicles", "d:Description": "by Veronica Purcell. An online fantasy series focused on the self discovery of a young girl and her involvement with dark magic, ancient legends and myths.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://seriphynknight.blogspot.com/"} +{"d:Title": "The Sea Hag by David Drake - Baen Books", "d:Description": "An adventure with dragons, magic and wizards.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.baen.com/the-sea-hag.html"} +{"d:Title": "The Tyrant by Eric Flint&David Drake - Baen Books", "d:Description": "On the planet Hafardine, civilization must rediscover progress or collapse.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://www.baen.com/the-tyrant.html"} +{"d:Title": "The Hidden Kitchen", "d:Description": "A Young Audience novel that combines Steampunk, Harry Potter, and Julia Child.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://joshuasagehiddenkitchen.wordpress.com/2010/01/13/chapter-1-2/"} +{"d:Title": "Above Ground by A. M. Harte", "d:Description": "Life above ground is something Lilith has never experienced. When she gets the chance to visit the outside world, she\u2019s understandably ecstatic.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels", "url": "http://qazyfiction.com/above-ground/"} +{"d:Title": "In Serein", "d:Description": "Silvia Hartmann's magical trilogy In Serein Online.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://inserein.com/"} +{"d:Title": "The Chronicles of Itania", "d:Description": "Welcome to the mysterious world of Itania, where history has been lost, and is destined to repeat itself.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://www.angelfire.com/scifi2/itania/"} +{"d:Title": "Elemental Girls", "d:Description": "Four elementals must face their greatest battle with evil ...", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://elementalgirls.freeservers.com/"} +{"d:Title": "Fantasy Novel In Progress", "d:Description": "Additions poated in small segments every day or so.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://fantasynovelwriter.blogspot.com/"} +{"d:Title": "Rastoder's Saga", "d:Description": "The life saga of Istvan Rastoder. How a single man can pass all nine circles of existence.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://rastoder.blogspot.com/"} +{"d:Title": "Goblinopolis", "d:Description": "A serialized online humorous fantasy novel by Robert G. Ferrell.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://207.58.163.190/greatambience/goblinopolis/"} +{"d:Title": "New Bridge to Lyndesfarne - Trevor Hopkins", "d:Description": "Set in the magical world of Lyndesfarne, reached by the Old Bridge from modern-day England.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://trevor-hopkins.org.uk/lyndesfarne/index.html"} +{"d:Title": "The Tide of Shadows", "d:Description": "An online fantasy novel in progress. Chapters are posted regularly, and feedback is welcome.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://www.freewebs.com/semipaw/"} +{"d:Title": "McCamy Taylor Poost Millennium Fiction", "d:Description": "Six fantasies with elements of science fiction, horror, mythology, and historical fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://home.earthlink.net/~mccamytaylor/"} +{"d:Title": "Aralyn's Abode", "d:Description": "The story of Snowstone, a boy who meets the immortal Relicmaker, setting him and his friends on their destiny.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://www.freewebs.com/yidenia/index.htm"} +{"d:Title": "Magician's Merger", "d:Description": "In this serialized fantasy novel, eleven-year-old Arthur wakes up to find an ancient wizard sharing his brain.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://magiciansmerger.blogspot.com/"} +{"d:Title": "Home of Eilia...A Trilogy", "d:Description": "Five families, which thought they\u2019d destroyed all the members of the ruling family, knew of the prophecy predicting the ascension of the rightful heir.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://www.freewebs.com/butchiesmom/"} +{"d:Title": "Writing Adventures HQ", "d:Description": "The Fantastic Adventures of Leith, Anna, and Nina.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Novels/Unfinished_Novels", "url": "http://freewebs.com/writingadventures/"} +{"d:Title": "Crystal Clear - Online Fantasy Story", "d:Description": "An on-going online fantasy story written by an amateur writer.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Series_Stories", "url": "http://angelfire.com/anime2/icakido/geldrehey/main.html"} +{"d:Title": "Defenders of the Realm", "d:Description": "An ongoing serial that takes Arthurian legend and turns it on its head.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Series_Stories", "url": "http://www.randomwerks.com/"} +{"d:Title": "Gaia Legend", "d:Description": "And all new series on-line. Students with strange powers...unnatural humans that want them. Three major seasons.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Series_Stories", "url": "http://members.tripod.com/somnia/gaia/main.html"} +{"d:Title": "The Saga of Moon and Sun", "d:Description": "The story of a fantasy world stuck in a religious war that has raged on for centuries, and the unlikely heroes that arise from the situation.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Series_Stories", "url": "http://www.queenitsy.com/saga/"} +{"d:Title": "The Tyrannical Wall - No Latte For You", "d:Description": "A humorous parody of The Lord of the Rings.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Series_Stories", "url": "http://nolatte.blogspot.com/"} +{"d:Title": "ZZStudios.com", "d:Description": "The story of Zig Zag, an anthropomorphic character in search of happiness.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Series_Stories", "url": "http://www.zzstudios.com/"} +{"d:Title": "Guiding the Stars", "d:Description": "A book posted in installments once a week, with character profiles.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Series_Stories", "url": "http://www.angelfire.com/games2/cosmicelement/"} +{"d:Title": "Elf_Shadow's Page", "d:Description": "A collection of short stories and poems.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Short_Stories", "url": "http://members.tripod.com/~Elf_Shadow/index.html"} +{"d:Title": "Fae/Folktales From The Little Woods", "d:Description": "Tales of a little fae know as the Wee One, with pictures and Celtic music.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Short_Stories", "url": "http://idriso.tripod.com/"} +{"d:Title": "FallenLights Writing Club", "d:Description": "A group of dedicated writers that are working on a series of fantasy novels.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Short_Stories", "url": "http://www.angelfire.com/mn2/fallenlights/"} +{"d:Title": "Fanciful World", "d:Description": "Author R.D. Ingram's short stories, poetry, and sample novel chapters.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Short_Stories", "url": "http://angelfire.com/sc2/Fancifulworlds/index.html"} +{"d:Title": "The Fantasy Library", "d:Description": "Offers stories about the adventures of heroes and stories of dragons, knights, kings, and castles. Visitors may also submit a fantasy story for the world to see.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Short_Stories", "url": "http://the_fantasy_library.tripod.com/"} +{"d:Title": "Castle of Dreams", "d:Description": "Short stories, Gothic Erotica,Adult in Nature written under my pen name A. Acquisto", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Fantasy/Short_Stories", "url": "http://castle_of_dreams.tripod.com/"} +{"d:Title": "G.W. Reynolds III", "d:Description": "Official website of this Southern Gothic writer whose books revolve around life in the fishing village of Mayport Florida.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Gothic", "url": "http://jettyman.com/"} +{"d:Title": "Darkest Heart Webring", "d:Description": "Application page for sites focusing on artistic displays (e.g. stories, artwork, poetry) with a Gothic theme.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Gothic", "url": "http://darkheart.iwarp.com/darkestheart.htm"} +{"d:Title": "Sentence", "d:Description": "Dark literary fiction of Ralph Robert Moore, including excerpts from the novels, complete texts of short stories, poems, and writings on food.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Gothic", "url": "http://www.ralphrobertmoore.com/"} +{"d:Title": "Raveness's Story", "d:Description": "A vampire story of love and hate between mortals and vamps.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Gothic", "url": "http://www.angelfire.com/rock/Raveness/index.html"} +{"d:Title": "Eros in Exile", "d:Description": "Leilah Wendell's latest book of necromantic verse dedicated to the Angel of Death.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Gothic", "url": "http://www.westgatenecromantic.net/erosintro.htm"} +{"d:Title": "Landfall", "d:Description": "\"Set in Gibraltar around the year 1800, this historical novel by Alison James invites the reader back into the world of Nelson, Hornblower and the grand tradition of the Royal Navy. Illustrated with watercolours by the author.\"", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction", "url": "http://www.angelfire.com/ct/pellew/"} +{"d:Title": "The Last Best West", "d:Description": "It's 1897. The Wild West is in its last days. And the largest goldrush in history is just starting. Excerpts from the stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction", "url": "http://www.thelastbestwest.com/"} +{"d:Title": "Enemy Spies: Nathan Hale and John Andre", "d:Description": "A biographical story of two spies of the American Revolution, highlighting the similarities in their lives and deaths.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction", "url": "http://www.angelfire.com/my/zelime/"} +{"d:Title": "Octavia, her domain", "d:Description": "Historic novels and essays about Anglo-Saxon and Viking life.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction/Novels", "url": "http://www.octavia.net/"} +{"d:Title": "The Western", "d:Description": "E-book written about the last 10 days of a New Mexican mining town in the 1930s.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction/Novels", "url": "http://thewestern.net/"} +{"d:Title": "Chuck's Fictional Slavery Document Page", "d:Description": "This site contains fictional articles written by a fictional slaveholder concerning the so-called \"proper\" treatment of slaves, as well as fictional diary entries by a fictional slave.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction/Series_Stories", "url": "http://www.angelfire.com/or2/slavery/"} +{"d:Title": "The Best of the West", "d:Description": "Various short stories with a western theme.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction/Short_Stories", "url": "http://www.thewest.8m.com/"} +{"d:Title": "Doris Lane", "d:Description": "Stories of New York and New Jersey based on local history and folklore. Jersey Shore vacation articles. True Crime stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction/Short_Stories", "url": "http://jerseycoa.tripod.com/May16DorisLane/"} +{"d:Title": "Cutthroats Community", "d:Description": "Adventures from the 17th century Caribbean. Pirates, swashbucklers, skulking loafers, thieves, and rogues.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Historical_Fiction/Short_Stories", "url": "http://tortuga_cutthroats.tripod.com/tortuga.htm"} +{"d:Title": "Stories You Don't Always Bring Home to Mother", "d:Description": "News, updates, samples and background information on author Gerard Houarner.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror", "url": "http://www.cith.org/gerard/"} +{"d:Title": "The Texas Clipper", "d:Description": "Graphic short story collaboration produced by artist, B.F. Postel and author, Jess Butcher.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror", "url": "http://www.artistexpo.com/Graphic%20Novels%20.html"} +{"d:Title": "Dark Destiny: A New Novel of Suspense", "d:Description": "Novel from two aspiring authors. The story of a small, farming, Wisconsin town that is terrorized by the unknown.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror", "url": "http://www.angelfire.com/me4/DarkDestiny/"} +{"d:Title": "The Crown of Thorns", "d:Description": "Creative writing on different horror aspects such as vampires, lycanthropes and other creatures. Mostly sample chapters available", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror", "url": "http://www.angelfire.com/scifi/crownofthorns/index.html"} +{"d:Title": "Internet Serial Killer", "d:Description": "Killer stalks the police in this novel in progress by Stephen Powers Shoemaker Jr.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror", "url": "http://www.internetserialkiller.com/"} +{"d:Title": "David Palme", "d:Description": "The dark forests of Sweden house creatures of good and evil.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror", "url": "http://www.palme.nu/horror/"} +{"d:Title": "Dear Vampire", "d:Description": "Letters between two vampires.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Series_Stories", "url": "http://homepage.eircom.net/~dearvampire"} +{"d:Title": "Purgatory", "d:Description": "An ongoing tale of a trip into the afterlife. Can you complete your task and return to the land of the living?", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Series_Stories", "url": "http://members.tripod.com/gekkoart/index.html"} +{"d:Title": "7th Hell - Interactive Fantastic Writing", "d:Description": "A site for authors of the genres horror, fantasy, and science fiction. Add-on stories you can continue, or start an all new story. Artwork welcome, too. Site in German and English.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://7thhell.freeservers.com/"} +{"d:Title": "Mikey's Basement", "d:Description": "Original multimedia, horror poetry, and cyberpunk fiction by Mike M West.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://mmw50.com/"} +{"d:Title": "Dark Icon - Original Fiction", "d:Description": "Original works of science fiction, fantasy and horror. Updated with new stories and-or links weekly. Comments and feedback are welcome.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://www.darkicon.com/"} +{"d:Title": "The Cybrary", "d:Description": "Small archive of predominantly horror based stories, however all genres are welcomed.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://home.earthlink.net/~jamesroberts/"} +{"d:Title": "Zzzptm", "d:Description": "Stories evocative of the great HP Lovecraft. You can also sign on a list here to workshop your fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://www.zzzptm.com/horror.html"} +{"d:Title": "Mr Shiver's Tales of the Abyss", "d:Description": "Short stories, dark poems, and novel chapters for readers and writers. Open to submissions.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://mrshiver.www1.50megs.com/"} +{"d:Title": "Poecraft", "d:Description": "The only official website of POECRAFT. Your doorway to the unknown.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://poecraft.tripod.com/poecraft"} +{"d:Title": "Scarenet Stories", "d:Description": "Contains original short stories and poems belonging to the horror genre. Submit your own stories/poetry.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://www.angelfire.com/ky2/scarenetstories/"} +{"d:Title": "The Passing", "d:Description": "A short story with a twist of horror and the paranormal, by author Julie Remke. Links to biography, online store and poetry by the author.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://www.angelfire.com/in/LilyNBlue/passing.html"} +{"d:Title": "Scorpio Tales", "d:Description": "A collection of stories with a sting in the tail.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://users.tinyonline.co.uk/gswithenbank/"} +{"d:Title": "A Night To Regret", "d:Description": "Teenagers stumble upon a dead corpse in the woods, and their families and lives are ruined forever.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Horror/Short_Stories", "url": "http://horror_story_01.tripod.com/"} +{"d:Title": "Trina Allen, Writer, Educator and Editor", "d:Description": "The Web site of Trina Allen, writer, educator and editor.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.trinaallen.com/"} +{"d:Title": "LilyNBlue: My Fiction and Poetry", "d:Description": "Includes original fiction, poetry, bulletin board, quiz, awards, and links to writers' resources.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/in/LilyNBlue/index2.html"} +{"d:Title": "Rexie's Creative Writing Site", "d:Description": "Personal website including submitted works, ongoing creative short stories, chat, forum, links, advice, tips for writers block, and Rexenne's own works of fiction, mostly about vampires.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://members.tripod.com/siharra/browser.html"} +{"d:Title": "Stories From Macabre to Mysterious", "d:Description": "Variety of short stories by Sharen Nehoda, ranging from murder mysteries and thrillers to science fiction and psychological horror tales.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://jdfogg.tripod.com/index.html"} +{"d:Title": "Strike Force: Heroes, Life, Death... Hope", "d:Description": "A continuing sci-fi/adventure/drama, heavily influenced by everything from Star Wars, to X-Men, to ER. Also includes timeline, trivia and creative notes.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/mb/strikeforce/"} +{"d:Title": "Exit-reality", "d:Description": "Exit-Reality.com. A site that offers those of us who need to be away from everything that is \"normal\". Includes fiction, art-work, opinions and links to other sites. Can be read online or downloaded. Accepts submissions of both fiction and art.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.exit-reality.com/"} +{"d:Title": "The Cobwebs - Story Page", "d:Description": "Short stories and poems to be read online that are sometimes funny, sad or maybe even true.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/ego/thecobwebs/stories.htm"} +{"d:Title": "Characters Off the Leash", "d:Description": "Original fiction, short stories, poetry and piano improvisations by David Soubly of Plymouth, MI.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.soubly.net/"} +{"d:Title": "Sarah West", "d:Description": "Learn more about Sarah and why she is seemingly unapproachable. (Hint: She's borderline genius)", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/mo/saraharas/"} +{"d:Title": "Short Stories by Glen", "d:Description": "Short stories by Glen Mason, including horror and fantasy tales.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/emo/tionalREMF/"} +{"d:Title": "Fire and Ice", "d:Description": "Stories and pictures from a variety of different genres.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://fireandice8.tripod.com/"} +{"d:Title": "Adrastia's Dark Synapse", "d:Description": "A multi-faceted collection of writings and poetry. Includes Final Fantasy fan fiction and a journal.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/journal2/synapse/"} +{"d:Title": "Heat's Archive of Fiction", "d:Description": "Stories to read online. Independent authors may submit their work, any genre, any theme.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://heat_4.tripod.com/"} +{"d:Title": "FanStory.com", "d:Description": "Review site publishing poems, short stories, book chapters and scripts and offering ranking system and contests.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.fanstory.com/"} +{"d:Title": "MikeRoTheatre and Mike Rogers' Writings", "d:Description": "Ghost stories, monologues, short stories, novels and plays for more than one actor. Read the words - then come and hear them spoken.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://website.lineone.net/~mikerotheatre/index.html"} +{"d:Title": "Pullman&Blake", "d:Description": "Serialised occult/horror/detective tales set in London. Features adult material.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://pullmanandblake.20m.com/"} +{"d:Title": "Chronicles From Barbados", "d:Description": "From the Glittering Balls of London, to the steamy beaches of Barbados, love is never in short supply in these stories of the Douglas family and their children.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/amiga/gabrielleanne/"} +{"d:Title": "The Talespinner", "d:Description": "A collections of stories of different genres, based on legends.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/home/talespinner/"} +{"d:Title": "Fiction on the Web", "d:Description": "A site featuring the short stories of Charles Sundt and other authors.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.fictionontheweb.co.uk/"} +{"d:Title": "Bradley Stoke", "d:Description": "A selection of the weird, witty and satirical fiction of Bradley Stoke, including the fantasy novels 'Omega' and 'Alif'.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://bradleystoke.0catch.com/"} +{"d:Title": "Starving Writer", "d:Description": "Tom Morgan's personal website featuring original screenplays, short fiction, poetry, film and book reviews, and links. Requires Adobe Acrobat for downloads.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.starvingwriter.com/"} +{"d:Title": "Quillszone", "d:Description": "Quillszone offers e-books that span the genres of romance, action-adventure, thrillers, suspense, mystery, historical, contemporary, time-travel, humour, and westerns.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://quillszone.tripod.com/"} +{"d:Title": "The Princess Diana / Annabel Blue Cannibal Matrix", "d:Description": "Two graphic reading modules.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www3.sympatico.ca/2trilogy/annabel_blue_cannibal_1.htm"} +{"d:Title": "Brash Fiction", "d:Description": "Every Monday and sometimes more often Brash Fiction brings you something new, either flash fiction or short story, but always enjoyable to read.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://brashfiction.blogspot.com/"} +{"d:Title": "CrazedWriter's World", "d:Description": "New and growing collection of original novels, short stories and flash fiction in many genres.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.angelfire.com/crazy2/scribbler/index.html"} +{"d:Title": "Fine Words Butter No Parsnips", "d:Description": "A site of fiction, art and photography. Written by members of a nuclear family, scattered to the four corners of the world. Stories and images from New York and Hong Kong, India and Indiana ... the world.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.butternoparsnips.com/"} +{"d:Title": "Caring for God's Laptop", "d:Description": "Unpublished novel for all interested in the science and fantasy of medicine. Not about religion, but a postmodern multi-genre combining elements of Science, Fantasy and Romance.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.important.ca/godslaptop/"} +{"d:Title": "Marian Allen's World of Fiction", "d:Description": "Short stories ranging from humor to horror; Pretender and Star Trek fan fiction; and links to free samples of Ms. Allen's e-books.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.marianallen.com/"} +{"d:Title": "Word of Nathan - Writings by Nathan Simpson", "d:Description": "Contains short stories, a novel, and other writings by Nathan Simpson. Includes horror and fantasy.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.natesimpson.com/writing/"} +{"d:Title": "A Sauvignon Tale", "d:Description": "An online surreal tale, full of magical essence that reveals feelings you don't even know you have...", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://bartoszbien.com/sauvignon/"} +{"d:Title": "Oh So Simpatico", "d:Description": "Personal creative writing site containing short stories to entertain, inform, and share ideas with fellow writers and others interested.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.freewebs.com/ohsosimpatico/"} +{"d:Title": "One Minute Stories", "d:Description": "A new, very short story every day (almost). Odd, oblique and sometimes funny, stories, written by me (known in the site at \"Chronic\").", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://oneminutestories.blogspot.com/"} +{"d:Title": "The Gekko Reading Room", "d:Description": "A library of speculative fiction, mystery, sci-fi and horror.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.users.on.net/~jasemate/library/"} +{"d:Title": "JDSandara's Home", "d:Description": "A site featuring Science-Fiction, vampire, and children's stories to be read online.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://www.thetesseract.org/~cschnell/"} +{"d:Title": "L. Lee Lowe", "d:Description": "Novels and short stories, spanning the fantasy, science fiction, and general fiction genres. Also includes a blog by the author.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://lleelowe.com/"} +{"d:Title": "Significant Objects", "d:Description": "Writers project to develop fictional stories related to insignificant items to raise their value and sell on eBay. Includes highlights of project and past stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://significantobjects.com/"} +{"d:Title": "David Moody", "d:Description": "Original horror, fantasy and science-fiction. Biography, books and FAQ.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mixed_Genre", "url": "http://davidmoody.net/"} +{"d:Title": "Futures (Mostly) Mystery Magazine", "d:Description": "FMAM was created to explore, examine, and expose the work of both established and up-and-coming writers and artists.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mystery", "url": "http://www.fmam.biz/"} +{"d:Title": "Detective Lieutenant Nick DeLeon", "d:Description": "Original, hardboiled detective pulp-fiction. Offers text-only or multi-media options.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mystery", "url": "http://www.angelfire.com/zine/rayslastbean/"} +{"d:Title": "ClaudiaM's Art Site", "d:Description": "Art related site containing Claudia Markovich's mystery novel entitled, \"Nikola's Nightmares - A Mystery in the Art World\".", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mystery", "url": "http://www.claudiam.com/"} +{"d:Title": "Field of Reeds", "d:Description": "A story based around an electronic method of measuring the human soul. Where is the inventor?", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mystery", "url": "http://www.foxglove.co.uk/reeds/index.html"} +{"d:Title": "A Bomb Built in Hell", "d:Description": "Written in 1973, it's the story of Wesley, a cold-blooded assassin.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mystery", "url": "http://www.vachss.com/av_novels/bomb.html"} +{"d:Title": "HandHeldCrime", "d:Description": "Delivering free short mystery fiction, articles, news and reviews to handheld computer users through email and AvantGo. Stories also available on the web.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mystery/Short_Stories", "url": "http://www.handheldcrime.com/"} +{"d:Title": "Andrew Vachss", "d:Description": "Short stories written by crime fiction novelist Andrew Vachss, to read online.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Mystery/Short_Stories", "url": "http://www.vachss.com/av_books/samples.html"} +{"d:Title": "Cecil's Author Page", "d:Description": "Featuring romantic stories, romantic poems, sci-fi.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.angelfire.com/md2/cecilauthor/index.html"} +{"d:Title": "Romance on the Ice", "d:Description": "Preview chapters of a completed novel and glimpses of the magic that makes figure skating one of today's top sports.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://romanceontheice.tripod.com/"} +{"d:Title": "Romance at a Glance.. Dare to Dream", "d:Description": "Site offers online \"tidbits\" of what the reader might look forward to in purchasing the current novelette. Also, interesting links, romance and writing advice.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.angelfire.com/fl4/romanceataglance/index.html"} +{"d:Title": "The Whispering Hollows Of The Heart", "d:Description": "Fiction novel- The story of beautiful Amanda Barnes and her true love Tom Richards. They must overcome many obstacles in order to find happiness. The many twists and turns keep the readers guessing at the outcome.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.angelfire.com/journal/WhisperingHollows/"} +{"d:Title": "She goes on", "d:Description": "Featuring women fiction, interviews, and issues.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://voicescarry0.tripod.com/index.html"} +{"d:Title": "Online to Bangkok by Peter Davey", "d:Description": "Short story about an online romance to Bangkok started in a chat room and concluded with a trip to Thailand", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.peterdavey.50megs.com/"} +{"d:Title": "Ancient Tales", "d:Description": "A story of romance between a God and a mortal girl. Sad at times, with a bit of goofy humour thrown in.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.angelfire.com/in3/mystories/Ancienttales.html"} +{"d:Title": "The Cursed Living Doll", "d:Description": "A story about a Prince under curse and becomes a doll. The only way to release the spell is to get a girl to love him, and yet...", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.angelfire.com/in3/mystories/ldoll.html"} +{"d:Title": "Him&Her: Love Stories", "d:Description": "Intimacy, Romance, heartache, told from his and her point of view. Poetic and symbolic", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.members.tripod.com/chanays/"} +{"d:Title": "Happily Ever Afters", "d:Description": "Interactive inspirational novels. You make the choices, follow the consequences, like a game for readers. Create your own books.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://happilyeverafters.tripod.com/"} +{"d:Title": "Angie's After Thoughts", "d:Description": "Short stories and poems.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://angelahanes.tripod.com/"} +{"d:Title": "The RomanzWriter..", "d:Description": "Original on-line romance stories by Kim Comeaux.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://kimberleycomeaux.com/"} +{"d:Title": "A Japanese Dream in Seventy-Nine Letters", "d:Description": "This is the story of Namiko, a young Japanese woman who travels to Oxford, where she meets Hubert, a German student.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://www.angelfire.com/ego/gliman15/"} +{"d:Title": "Tears Cry No More", "d:Description": "A website containing a selection of fictional romance stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://sites.google.com/site/tearscrynomore/"} +{"d:Title": "Judith Bronte", "d:Description": "Free online romance stories about true love, with the option to download in PDF format.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Romance", "url": "http://judithbronte.com/"} +{"d:Title": "Online Speculative Fiction", "d:Description": "Fantasy and science fiction novels for young adults - and older ones too", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://lleelowe.com/"} +{"d:Title": "The Grayhound Chronicles", "d:Description": "A comic tale of super-heroes told in the style of a newspaper.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://www.unitedheroes.net/grayhound/"} +{"d:Title": "Laser and Sword Magazine", "d:Description": "Pulp fiction magazine that believes in heroes, in high quality work, and in telling the great stories that demand to be told.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://lasersword.adamsweb.us/"} +{"d:Title": "Unknown Transmission", "d:Description": "A blog-fiction site about a communications specialist in the year 2185 who is marooned outside the horizon of a supermassive black hole by his ship's assistant (a transgenic fish/humanoid). Companion to Station151.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://www.unknowntransmission.com/"} +{"d:Title": "Museum of Dust", "d:Description": "Providing sanctuary for the misplaced, the forgotten and the misbegotten since 2006.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://museumofdust.blogspot.com/"} +{"d:Title": "Space Time Stories", "d:Description": "Time travel and science fiction stories along with commentary published online.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://www.spacetimestories.com/"} +{"d:Title": "Rymellan Stories", "d:Description": "Rymellan Stories follows the lives of two women who live in an alternate society.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://www.rymellanstories.com/"} +{"d:Title": "Corvus", "d:Description": "Teen offenders are uploaded into computers for rehabilitation\u2014a form of virtual wilderness therapy. Part odyssey, part tragedy, part riff on the nature of consciousness.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://lleelowe.com/corvus/"} +{"d:Title": "brotherbrad.net, original fiction", "d:Description": "Original fiction, mostly sci-fi, includeing on going series, completed works, and some interactive fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://brotherbrad.net/"} +{"d:Title": "Galaxiki, a fictional galaxy that anyone can edit", "d:Description": "A wiki based science fiction writing project. Site members can edit solar systems in an online galaxy and create a new virtual world.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://www.galaxiki.org/"} +{"d:Title": "We, The Watched by Adam Bender", "d:Description": "A dystopian eBook novel about surveillance and propaganda. First few chapters are free.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction", "url": "http://www.adambenderwrites.com/novels-by-adam-bender/we-the-watched-by-adam-bender/"} +{"d:Title": "Metafire", "d:Description": "A world in panic, an extremist group, and a cause unstoppable. Only one woman can end it all.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.metafire.com/"} +{"d:Title": "A Season in Methven", "d:Description": "An illustrated science fiction novel written in journal format.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.starkrealities.com/Methven/contents.html"} +{"d:Title": "Institute for Parallel Studies", "d:Description": "Home to Bromfkidor, an alternate history science fiction novel in Antarctica.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.skdeitch.com/"} +{"d:Title": "Mindjacker and Other Stories", "d:Description": "Collection of dystopian-style futuristic short stories and ongoing online novel Mindjacker.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://mindjacker.50megs.com/home.html"} +{"d:Title": "Starwalker", "d:Description": "A ship's log, as told by the ship.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.starwalkerblog.com/"} +{"d:Title": "Rate Me Red", "d:Description": "A comic novel of a future where everyone and anyone can have a reality show and people are rated.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.ratemered.com/"} +{"d:Title": "Oblivion's Children", "d:Description": "In the late 21st century two great events collide\u2014the introduction of the first truly thinking humanoid robot, and the apparent end of human fertility.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.jimwegryn.com/OC/"} +{"d:Title": "The Thormar Saga", "d:Description": "News and updates about a new sci-fi / fantasy series", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.thethormarsaga.com/"} +{"d:Title": "Newtons Sleep", "d:Description": "War on Earth presages War in Heaven, and denizens of 17th Century England are caught up in a struggle between fallen angels.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://randomstatic.net/newtonssleep.php"} +{"d:Title": "Milky Way Boulevard", "d:Description": "A free, online science fiction / cyberpunk novel.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://milkywayboulevard.blogspot.com/"} +{"d:Title": "The Me Clone / La Mi-Klono", "d:Description": "Did you really want to see yourself as others see you? (Or share a girlfriend with your clone?) English and Esperanto versions side by side.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.genekeyes.com/MC-MK/MC-MK-U-Contents.html"} +{"d:Title": "Oasis", "d:Description": "Terrorists have unleashed a terrible virus in a small desert town. Oasis is the ongoing story of one man\u2019s struggle against the chaos that blankets the city.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.storyhack.com/oasis"} +{"d:Title": "Under the Amoral Bridge", "d:Description": "Cyberpunk novel by Gary Ballard about Artemis Bridge, a fixer who finds himself enmeshed in a complex plot involving the 2028 Los Angeles mayoral election.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://amoralbridge.blogspot.com/"} +{"d:Title": "Corvus", "d:Description": "Teen offenders are uploaded into computers for rehabilitation\u2014a form of virtual wilderness therapy. Part odyssey, part tragedy, part riff on the nature of consciousness.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://lleelowe.com/corvus/"} +{"d:Title": "Tales of the Brass Griffin", "d:Description": "In a 19th-century that never was, steam and clockwork science, harnessed nature. Myths became real, and airships crossed the skies. This is the story of one of those airships.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://brassgriffin.com/"} +{"d:Title": "The Final Battle", "d:Description": "A story about human potential, meditation and the power of the human mind, hallucinogenic drugs, psychic phenomena, and armageddon, with some practical advice on surviving in the 21st century.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Novels", "url": "http://www.thunderboltpress.net/The%20Final%20Battle.html"} +{"d:Title": "Epi World", "d:Description": "You have entered a world where technology is outlawed. Nations have slipped into the dark ages of medieval warfare and only ruins and relics of their once advanced civilization remain shrouded in mystery. Those who enjoyed the Lord of the Rings and Star Wars will enjoy this series.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://epiworld.tripod.com/"} +{"d:Title": "Incursion - The Official Site", "d:Description": "A work in progress by David Barrett.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://homepage.eircom.net/~incursion/index.html"} +{"d:Title": "Welcome to Crescent Isle", "d:Description": "Bringing writers and lurkers from all over together in a shared universe in order to create communal stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.angelfire.com/scifi/CrescentIsle/"} +{"d:Title": "Klystar - The Twin Universe", "d:Description": "A journey of discovery to find the true nature of our existence and the universe.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.klystar.com/"} +{"d:Title": "The Adventures of Kurtis Star", "d:Description": "Online novel which follows the Adventures of Kurtis Star and his crew aboard the Shootingstar.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://darenkh.tripod.com/"} +{"d:Title": "Distant Storms", "d:Description": "Free, regularly updated episodes of an ongoing character-driven, science-fiction/adventure series.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.impulsecreations.net/DistantStorms.html"} +{"d:Title": "Downfall", "d:Description": "In 2195, cyberspace flatlines. This epic cyberpunk serial follows Aran, Phoenix and (2)syl through their struggles in a dead and dying world. Survival isn't easy, but it's the only option.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.digitaltrouble.com/downfall"} +{"d:Title": "The Book of Rhuines", "d:Description": "A developing online series of short related science-fiction fantasy stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.angelfire.com/fl/EeirensFaerieTales/OpenTheBook1.html"} +{"d:Title": "Hypertext", "d:Description": "An experimental fantasy short-story, told in poetry and prose through the medium of hypertext.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.angelfire.com/realm/hypertext/index.html"} +{"d:Title": "m a c h i n e", "d:Description": "Workers are genetically manufactured to love \"THE MACHINE\", a technological force manipulating mankind. \"MARROW\" and his identical brother \"BARROW\" brother are imperfectly adapted for this destiny and seek freedom.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://created_not_made.tripod.com/"} +{"d:Title": "Galactic Customs", "d:Description": "Saving the Earth from the galaxy, and the galaxy from starbucks.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.unitedheroes.net/gc/"} +{"d:Title": "Earthbound", "d:Description": "A time-warp victim falls in love with his Earth ancestor and risks changing history, disrupting his timeline and jeopardizing his own existence.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.virtualimprint.com/earthbound/"} +{"d:Title": "Shattered Remnants of a Dream", "d:Description": "Blood, battles, love, loss, and the destruction of the universe.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://danluffey.blogspot.com/"} +{"d:Title": "Cheeseburger Brown", "d:Description": "Illustrated storybooks and pulp scifi novels about robots and spaceships, with aspirations to one day write something even pretentious people could like.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.cheeseburgerbrown.com/"} +{"d:Title": "Agents Provocateurs", "d:Description": "The Agency works against the shadowy cabal of corporations that control our dark new world.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://tamawise.wordpress.com/agents-provocateurs/"} +{"d:Title": "The Flying Cloud, R-505", "d:Description": "Set in an alternate 1920's, this is a tale of airships, adventure, gallant gentlemen, and sultry island maidens.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://airships.paulgazis.com/"} +{"d:Title": "Evermist", "d:Description": "Drafted into the militia, young Eli travels to the top of the world to stand guard over Evermist, an ancient and dangerous island locked away for all time.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.atfmb.com/Evermist"} +{"d:Title": "Kat and Mouse: Guns For Hire", "d:Description": "The weekly adventures of Kat and Mouse. Mercenaries. Guns for hire. With new installments every Monday.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://www.katandmouseserial.com/"} +{"d:Title": "After", "d:Description": "A post-apocalyptic survival story, in serial format. Completed.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://storyburnerafter.blogspot.com/"} +{"d:Title": "neoXeno.com", "d:Description": "Open Source Science Fiction allowing readers to contribute to the site's content with stories set in the neoXeno galaxy.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://neoxeno.com/"} +{"d:Title": "Chronicles of a Twin World, Star, and Solar System Under Siege", "d:Description": "Retro Star's seven volumes track a twin Earth attacked and destroyed by unknown aliens, until humanity fights back, aided by a genius's Cray and wargame.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://plainviewfarm.tripod.com/retrostar.html"} +{"d:Title": "The Origin", "d:Description": "The Origin is a science fiction series of episode writings on the origin of the human race.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://theoriginteam.tripod.com/"} +{"d:Title": "The Space Saga", "d:Description": "An original series, involving science fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://spacesaga.tripod.com/"} +{"d:Title": "Waking Up Jesus", "d:Description": "Tells the tale of an agnostic who is brainwashed into thinking he is Jesus by a shadowy organization... the thing is, he just may be Jesus..", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Series_Stories", "url": "http://wakingupjesus.blogspot.com/"} +{"d:Title": "Attack On Cellius", "d:Description": "Metaphysical science fiction stories that offer engineering definitions of ideas, thinking and consciousness.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.geometryofideas.com/"} +{"d:Title": "The Transformation Story Archive", "d:Description": "Stories that have a bodily (or in some cases mental) transformation into another being as a central theme.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://tsa.transform.to/"} +{"d:Title": "Silver Samurai and Sparow", "d:Description": "Stories about the characters Silver Samurai and Sparow, who do not appear to be the comic-book characters from Marvel.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.angelfire.com/ca5/silversamurai/index.html"} +{"d:Title": "A Strange Journey", "d:Description": "Free copy serial e-book \"A Strange Journey\".", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://roadsong.tripod.com/StrangeJourney.html"} +{"d:Title": "Tom Thumb", "d:Description": "Modern myths and spiritual stories in the Sufi tradition with dragons, magic, and whirling dervishes. A site for grown-up kids.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://tomthumb.org/"} +{"d:Title": "Storymill", "d:Description": "Free stories available here or subscribe and pay for others.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.users.qwest.net/~skpinfp/freebies.html"} +{"d:Title": "Welcome to my Worlds", "d:Description": "A personal page which includes science fiction short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.angelfire.com/scifi/saeren/index.html"} +{"d:Title": "Strange Tales", "d:Description": "Strange short stories added every month by Nevill Strange.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.strange-tales.co.uk/"} +{"d:Title": "The Palace of My Stuff", "d:Description": "A collection of short stories and poems. Includes a message board and chat room, along with MIDIs for background music.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.angelfire.com/sc2/tonyv/enter.html"} +{"d:Title": "Worlds of Wonder", "d:Description": "Online stories by Robert Stanley.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.angelfire.com/ga3/worldsofwonder/"} +{"d:Title": "Aqua X 3", "d:Description": "Stories about a different world. Accepts submissions.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.angelfire.com/realm/aquax3/"} +{"d:Title": "Cordula's Web", "d:Description": "A short story about a trapped girl in a darwinistic society, by Michael G. Coney.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.cordula.ws/s-trueworth.html"} +{"d:Title": "The Adventures of Hassan", "d:Description": "A series of short adventure stories, set in the future about a young man born on the rather desolate planet of Lam.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://hassanadventures.tripod.com/"} +{"d:Title": "Artistic Visionz", "d:Description": "Short stories, comics, fan fiction and original art.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://artisticvisionz.tripod.com/"} +{"d:Title": "Katherine Barley, Temporal Adventuress", "d:Description": "Mistress of the Chronotheric Flux, damselfly of the time steams, Miss Katherine Barley\u2019s adventures are chronicled herein.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://katherinebarley.blogspot.com/"} +{"d:Title": "Sasquatch", "d:Description": "Short story about biochemical genetics and greys.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.nassausasquatch.webs.com/"} +{"d:Title": "Science Fiction and Fantasy Fiction", "d:Description": "Short stories of Science Fiction and Fantasy Fiction from Scot Noel, as well as a occasional guest writers.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.scienceandfantasyfiction.com/"} +{"d:Title": "The Red Comets", "d:Description": "A league of heroes called The Red Comets try to save the world.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.freewebs.com/red_comets/"} +{"d:Title": "365tomorrows", "d:Description": "A short piece of Science Fiction, less than 500 words (Flash Fiction), published to the web every day since August 1st, 2005.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.365tomorrows.com/"} +{"d:Title": "Beer for the Soul", "d:Description": "Beer for the Soul a/k/a Cosmic Short Stories is designed to expand the mind with cosmic stories that make us question our own perceptions and how we fit into the universe.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://soulring.blogspot.com/"} +{"d:Title": "A Talespinner's Web", "d:Description": "Short stories and novellas, samples of published books, 70 pages and 300,000 words of science fiction. Over 50 works by various composers/performers on the net.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://shaena.tripod.com/index.htm"} +{"d:Title": "Reed de Buch", "d:Description": "A collection of short stories and extracts from books by the author, Reed de Buch.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://reeddebuch.blogspot.com/"} +{"d:Title": "Thank you for reading my words", "d:Description": "The intimate writings of a secretive mind. Flash fiction, short stories, songs, poems and more by budding writer Arthur McMahon.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.arthurmcmahon.com/"} +{"d:Title": "Zandi's Musings", "d:Description": "Short stories, sci-fi, ramblings and poetry by Zandi.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://silentriversrundeep.blogspot.com/"} +{"d:Title": "Timelord", "d:Description": "Short stories and novellas set in the Doctor Who universe, presented in both text and audio formats.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://www.timelord.co.uk/fiction"} +{"d:Title": "The Elves Attic", "d:Description": "The poetry, short stories, essays and art of John Scott Ridgway, publisher of five books, had two tv shows and a The Elves Attic Radio Show.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Science_Fiction/Short_Stories", "url": "http://theelvesattic.blogspot.com/"} +{"d:Title": "The Other Side of the Linoleum", "d:Description": "Young adult fiction including short shories, poetry, and \"prosetry\" describing, through emotion, the process of becoming a woman and growing up.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult", "url": "http://euny.tripod.com/euny.html"} +{"d:Title": "Absotivelyposilutelyseuss", "d:Description": "Poetry and stories for kids and adults in the Dr. Seuss theme.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult", "url": "http://ynstyn.tripod.com/absotivelyposilutelyseuss/"} +{"d:Title": "Twilight Star", "d:Description": "When her mother remarries, Celeste Selkey finds herself in the middle of a huge conflict. Along with an incredibly mixed-up group of allies -- including crazy friend Mikaela, Cozoqui the Mikumi, Ben and his one real and one \"imaginary\" friend, and the mysterious Alethea-- Celeste sets off to find a little lost girl, to escape those who are trying to find her, and to discover exactly why she's so important to all that will happen.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories", "url": "http://skygawker.com/twilightstar"} +{"d:Title": "The Official Carlson Septuplets Page", "d:Description": "What's it like to be part of the world's only set of septuplets? The seven look-alike Carlson kids know. Stories about everything from hurricanes to hockey games, as well as pictures, newspaper articles, and activities.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories", "url": "http://skygawker.com/septuplets"} +{"d:Title": "Aka-chan in Nihon", "d:Description": "The adventures of Kazuyo, Miyoko, Ayame, and Chloe, three Japanese girls and their friend from America. Follow the saga of the foursome as Chloe's new friends show her around her new homeland-away-from-home.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories", "url": "http://skygawker.com/akako"} +{"d:Title": "Marine Life", "d:Description": "How will a girl, her brother and their father deal with the death of a loved one?", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories", "url": "http://members.tripod.com/~marinelives/index.html"} +{"d:Title": "The Realm of the Missing Children", "d:Description": "Online novel that is updated every Sunday.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories", "url": "http://www.angelfire.com/sc/sushie/"} +{"d:Title": "The Ravenstone Tower Chronicles", "d:Description": "Fictional online journal of 13-year-old Jamie Robson who lives in an old tower on the Scottish Borders with his grandfather and a crow. Follow and interact with his daily adventures.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories", "url": "http://ravenstone.blogspot.com/"} +{"d:Title": "Chloe and Jenette", "d:Description": "Chloe and Jenette are two girls who discover they were switched at birth. Stories, pictures, survey, and a message board.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories/On_Hiatus", "url": "http://members.tripod.com/~ChloeJenette/index.html"} +{"d:Title": "Sisters", "d:Description": "Welcome to the home of sisters, Marissa Claire Milton and Nicole Claire McIntyre. Marissa is fourteen and Nicole is sixteen. They only recently became sisters so you'll have to read their stories to find out about their interesting past.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories/On_Hiatus", "url": "http://members.tripod.com/~sizter/"} +{"d:Title": "Sixteen", "d:Description": "Meet the Clorenson's and read the stories of a family of 16 girls. Personal pages, message board, scrapbook, and stories.", "topic": "Top/Arts/Online_Writing/Fiction/Genres/Young_Adult/Series_Stories/On_Hiatus", "url": "http://www.glamgrrly.8m.com/internetcartoons/clorensons"} +{"d:Title": "The Germaine Truth", "d:Description": "A multi-weblog fiction about a small Central Oregon town, which is part mystery, part rural utopia, and part postmodern serial-in-progress.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://thegermainetruth.net/"} +{"d:Title": "Guardians of the Secret", "d:Description": "by Cary Shulman. An online novel of ideas. A political thriller about secrets.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.guardiansofthesecret.com/"} +{"d:Title": "Music Chain", "d:Description": "About six best friends who share a passion for music and for each other.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://musicchain.tripod.com/"} +{"d:Title": "Sunnu", "d:Description": "by Suman Kumar. A novel about growing up in middle-class South India.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.angelfire.com/journal2/sunnu/"} +{"d:Title": "Inquest", "d:Description": "A fantasy serial in which a family is asked to produce a biography of an enigmatic wealthy professor.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.complete-review.com/fiction/inquest/index.htm"} +{"d:Title": "Omega", "d:Description": "Returns the adult reader to the world of childhood imagination; a world populated by the fantastic, the fabulous and the thoroughly improbable.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.asstr.org/~Bradley_Stoke/NonAdult/omega_toc.htm"} +{"d:Title": "Queen of Heaven", "d:Description": "by Richard Kellie. Fictional biography of Mary Magdalene.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.marymagdalene.ca/"} +{"d:Title": "Hansen, Peter - The Black Book", "d:Description": "A serial novel in tribute to John D. MacDonald and his main character, Travis McGee. Attempts to complete MacDonald's Travis McGee series.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://peterhansensblackbook.blogspot.com/"} +{"d:Title": "Darkzoo", "d:Description": "by Carl Frederick. The reader can click to read a multiple viewpoint novel, or choose to read the same general story from a particular character's point of view. Each of the views is a complete story.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.darkzoo.net/clfsite/Darkzoo.htm"} +{"d:Title": "The Glorious Sound", "d:Description": "Action and suspense Netnovelet about two boys growing up in the 1910's and Roaring 20's.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.netnovelet.com/index.htm"} +{"d:Title": "Last Mage", "d:Description": "by Andrew Eckhart. Mage Elijah Valentine has seen it all before\u2014well, most all of it. He stands between growing, supernatural chaos and the world.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.lastmage.com/"} +{"d:Title": "County Road", "d:Description": "by Parker Pruett. A thriller on beach time set along County Road 30-A in northwest Florida.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.parkerpruett.com/countyroadtoc.htm"} +{"d:Title": "Mortal Happiness", "d:Description": "by Hamid Z Hansssen. The story of a British-American photojournalist, Michael Jones embedded with a group of Russian peacekeepers in the volatile Caucasus region, and a Russian-Georgian doctor, Enna Serpukhova, who works for an NGO.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.mortalhappiness.com/"} +{"d:Title": "Notes From the Upper West Side", "d:Description": "by Dan Roentsch. A modern fable of sex, betrayal, and a tobacco that tastes like a lady.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.danroentsch.com/nfuws/default.htm"} +{"d:Title": "Humbug Bistro", "d:Description": "by Heather Spoonheim. Chronicles her efforts to bring culinary innovation to a small town.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://humbugbistro.blogspot.com/"} +{"d:Title": "It Happened in Plainfield", "d:Description": "by Michael Latshaw. Follows the quirky adventures of an NYC graphic designer who moves to New Jersey after receiving an unusual offer from a mysterious benefactress.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.ithappenedinplainfield.com/"} +{"d:Title": "Letters to My Mother", "d:Description": "by Rebecca Heath. A May-December romance between a gifted coed and a biochemistry professor set in 1950's Seattle.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://letterstomymother-novel.blogspot.com/"} +{"d:Title": "Excited Delirium", "d:Description": "by Liam Young. A fictional account of a corporate spy who doesn't do his job very well. He stumbles into a new contract that involves a corporate executive who is determined to alter the economic and political face of the planet.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://www.exciteddelirium.ca/2008/04/23/excited-delirium-book-prelude/"} +{"d:Title": "What is Hindu Zombie Fever?", "d:Description": "A web novel about a mysterious illness infecting a series of hapless people.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://hinduzombiefever.com/thrall/"} +{"d:Title": "A Half Life Of One", "d:Description": "by Bill Liversidge. When his business fails Nick Dowty resorts to desperate measures to save his family from ruin.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://halflifeone.blogspot.com/"} +{"d:Title": "Dump Miner", "d:Description": "by J.V. Errichetti. A futuristic fable of treachery and deceit in a world not to far from our own.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://dumpminer.com/"} +{"d:Title": "The Knights of the Cross", "d:Description": "A translation of a historical novel Krzyzacy (translated as: \"The Knights of the Cross\" or \"The Teutonic Knights\") written by Henryk Sienkiewicz and published in 1900.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://theteutonicknights.blogspot.com/"} +{"d:Title": "Drawn To The Deep End", "d:Description": "By Martin Pond. A blog-novel in installments, chronicling the descent of one man as his life unravels in the aftermath of grief and guilt.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://drawntothedeepend.blogspot.com/"} +{"d:Title": "Kliff's Edge", "d:Description": "by Illise Montoya. May Kliff, a freelancer who solves unusual cases together with her brother Max.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://kliffsedge.wordpress.com/"} +{"d:Title": "Brothers of the Milky Way", "d:Description": "by Tim Adams. The saga of a car-crazed supermarket cashier, his environmentalist drifter friend, and their pursuit of the fabled Cuauht\u00e9moc cup, said to be charged with fearsome supernatural power.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "http://bluetowerpress.com/"} +{"d:Title": "Warkin", "d:Description": "by Geddy Gibson. A bleakly comic tale of taking on a McJob while searching for a \"real\" one.", "topic": "Top/Arts/Online_Writing/Fiction/Novels", "url": "https://sites.google.com/site/geddygibson/"} +{"d:Title": "Armstrong, Jennifer", "d:Description": "Free online novels and links to other novels online.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/A", "url": "http://www.free-online-novels.com/"} +{"d:Title": "Akers, Brian Dana", "d:Description": "\". . . stories about the web, on the web.\" The author's stories, that is, at his homepage.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/A", "url": "http://www.briandanaakers.com/stories.html"} +{"d:Title": "Allison, Kat", "d:Description": "Original stories based on Highlander, Due South and X-files.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/A", "url": "http://www.mrks.org/~kat/"} +{"d:Title": "Allen, Marian", "d:Description": "Short stories along with a blog.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/A", "url": "http://marianallen.wordpress.com/"} +{"d:Title": "Afamasaga, John Reyer", "d:Description": "Emotional Technical Fiction - A saga told through various titles available in a variety of formats.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/A", "url": "http://etfiction.com/"} +{"d:Title": "Avery, Brian", "d:Description": "A collection of humorous stories written from the author's middle-school years through high school and college.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/A", "url": "http://www.nearwestdesign.com/"} +{"d:Title": "Adler, JD", "d:Description": "Short stories and serial fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/A", "url": "http://jdadler.com/"} +{"d:Title": "Benjamin, Oliver", "d:Description": "Three novels available in HTML or PDF format.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://www.oliverbenjamin.net/writings.html"} +{"d:Title": "Barron, Matthew", "d:Description": "Short stories and artwork.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://mattbarron0.tripod.com/index.html"} +{"d:Title": "The Book Shelf", "d:Description": "Fiction written over the past few years, ranging from good to bad, bland to bizarre.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://angelfire.com/id/archetypal"} +{"d:Title": "Broken Stories", "d:Description": "Short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://www.brokenstories.ic24.net/"} +{"d:Title": "Barrington, Rebecca A.", "d:Description": "The final installment of Private Correspondence: A series of letters on food, sex and relationships.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://www.angelfire.com/art/letters/xtra.html"} +{"d:Title": "Bailey, Bill", "d:Description": "Novels available for free download.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://www.redbillbailey.com/"} +{"d:Title": "Boyd, Wes - Spearfish Lake Tales", "d:Description": "\"Runner's Moon,\" a serial novel updated Monday, Wednesday, and Friday, with more to come.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://www.spearfishlaketales.com/"} +{"d:Title": "Bran, Patrick", "d:Description": "Novels, writings and musings. Features the online novel, Wind Rider - Prophets of the Smoke.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://www.patrickbran.com/"} +{"d:Title": "Baker, David - Novel Factory", "d:Description": "Historical fiction with extracts from the Germanus novels and Morley and Bull tales as well as a wide range of short stories and links to non-fiction titles.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/B", "url": "http://davidbakersnovelfactory.com/"} +{"d:Title": "Cotto, Melvin", "d:Description": "Short stories, some in English and some in Spanish.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/C", "url": "http://stoandcue.tripod.com/"} +{"d:Title": "Clever Tales", "d:Description": "Short stories from light and sweet to dark and intense.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/C", "url": "http://angelfire.com/de2/clevergirl"} +{"d:Title": "Campbell, C. Deane - A Reader's World", "d:Description": "Favorite short stories, including fiction, non-fiction and humor.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/C", "url": "http://www2.localaccess.com/robndea/Myfavorites.htm"} +{"d:Title": "Clusker, Andrew", "d:Description": "Short stories and personal information.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/C", "url": "http://www.andrewclusker.com/"} +{"d:Title": "Clark, Brian Thomas", "d:Description": "Short stories, essays, and a blog.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/C", "url": "http://www.brianthomasclark.com/"} +{"d:Title": "Christopher, Thomas", "d:Description": "Short fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/C", "url": "http://thomaschristopher.blogspot.com/"} +{"d:Title": "Draven, Antigone - Black Rose Fantasy", "d:Description": "Several stories by her and her friend Betsey, a little poetry, and links to her favorite fiction sites.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/D", "url": "http://www.angelfire.com/mi3/fiction/index.html"} +{"d:Title": "Delmar, Larry", "d:Description": "A collection of short stories aimed at children and teenagers based on Larry's childhood in Harlem, N.Y.C.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/D", "url": "http://www.angelfire.com/fl3/larrydelmar/"} +{"d:Title": "Dotson, Kyt", "d:Description": "A series of short stories set in Tempe, Arizona; with forums and author biography.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/D", "url": "http://www.millvexations.com/"} +{"d:Title": "Daniels, Craig -- Wash the Bowl", "d:Description": "Flash fiction, with a little poetry.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/D", "url": "http://www.washthebowl.com/"} +{"d:Title": "Dupsieflashy", "d:Description": "Short stories with an African slant, suitable for both children and adults.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/D", "url": "http://www.dupsieflashy.com/"} +{"d:Title": "Etheridge-Nunn, Charles - Faked Tales", "d:Description": "An ongoing collection of short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/E", "url": "http://fakedtales.com/"} +{"d:Title": "Ellis, A.S.J", "d:Description": "Short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/E", "url": "http://asjellis.wordpress.com/"} +{"d:Title": "Erin, Alexandra -Tales of MU", "d:Description": "A serial tale about an eighteen-year-old freshman girl living in Harlowe Hall at Magisterius University.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/E", "url": "http://www.talesofmu.com/"} +{"d:Title": "Fromaroom - Notes From A Room", "d:Description": "Fiction, prose poems and quotes by a UK writer. Main influences: Rilke and Leonard Cohen.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/F", "url": "http://notesfromaroom.com/"} +{"d:Title": "Gillett, Philip - Books", "d:Description": "A range of novels available free for downloading.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/G", "url": "http://philip_gillett.tripod.com/"} +{"d:Title": "Gollagher, Robert - Novels", "d:Description": "Read novels online, get news, and contact the author.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/G", "url": "http://www.robertgollagher.com/"} +{"d:Title": "G-sus - Let's wreck their precious, their perfect town...", "d:Description": "Short stories from the punk/anarchist scene aimed mainly at teens/young adults. Some salty language.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/G", "url": "http://anarchopunkshortstories.blogspot.com/"} +{"d:Title": "Hunt, RKP - Speculative Fiction", "d:Description": "Horror, fantasy, western, and other genre, plus poetry and short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/H", "url": "http://rkphunt.com/"} +{"d:Title": "Hobbes, Thomas", "d:Description": "Science and fan fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/H", "url": "http://hobbes.8k.com/"} +{"d:Title": "Honan, Mat - 22 Very Short Stories", "d:Description": "22 Very Short Stories, by San Francisco-based writer and journalist Mat Honan.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/H", "url": "http://www.honan.net/22shortstories/s1.html"} +{"d:Title": "Henderson, Tanya", "d:Description": "Provides a synopsis, prologue, readers comments and information about the author.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/H", "url": "http://www.defeatingsilence.com/"} +{"d:Title": "Hurvitz, Andrew B", "d:Description": "Short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/H", "url": "http://shortstoriesandy.wordpress.com/"} +{"d:Title": "Holland, Nada - The God Thing", "d:Description": "Serial fiction set in London's East End. New episodes weekly.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/H", "url": "http://thegodthing.tumblr.com/"} +{"d:Title": "Harricharan, Sara", "d:Description": "A blog with a good deal of flash fiction that can be read online.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/H", "url": "http://saraharricharan.com/blog/"} +{"d:Title": "Jones, Gerard", "d:Description": "Ginny Good is a free audio book, with some multimedia and complete voice only versions.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/J", "url": "http://everyonewhosanyone.com/"} +{"d:Title": "Jackson, Emmy", "d:Description": "Short stories that can be read online, as well as a blog and some books for sale.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/J", "url": "http://emmy.elepent.com/"} +{"d:Title": "Kubat, Karlene - Magnolia Hill", "d:Description": "An array of fiction in PDF format for download.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "http://www.magnoliahill.com/"} +{"d:Title": "Kilrain, Matt - Short Stories From California", "d:Description": "About a single father raising his son on boats in the Santa Barbara Channel.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "http://www.angelfire.com/mt/shortstorieskilrain/"} +{"d:Title": "O'Kelly , Kelly - Story Book Page", "d:Description": "Short story and excerpts from her novellas and other short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "http://www.angelfire.com/va2/shot/"} +{"d:Title": "Konschak, Jason - WilderWorks Writings", "d:Description": "Short stories and unfinished novels.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "http://www.angelfire.com/nj2/wilderworks/writinghome.html"} +{"d:Title": "Klaser, Barbara W. -- Mystery Novels", "d:Description": "Two novels and a short story.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "http://www.mysterynovelist.com/index.html"} +{"d:Title": "Karl, Martin - Short Stories and Very Short Stories", "d:Description": "A collection of humorous short stories, some only a few sentences.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "http://www.martinkarl.com/"} +{"d:Title": "Kilodney, Crad", "d:Description": "Monthly additions by this author from Toronto, Canada.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "https://cradkilodney.wordpress.com/"} +{"d:Title": "Kovich, Fletcher", "d:Description": "Fiction and some non-fiction articles.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/K", "url": "http://www.curiouspages.com/"} +{"d:Title": "Lombardi, Tom", "d:Description": "Links to stories that can be read online.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/L", "url": "http://www.tomlombardi.org/"} +{"d:Title": "Lynn, Michael - Medicine Woman Writer", "d:Description": "A collection of inspirational stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/L", "url": "http://medicinewoman_writer.tripod.com/"} +{"d:Title": "Lansdale, Joe R.", "d:Description": "A single short story changed each week.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/L", "url": "http://www.joerlansdale.com/stories.shtml"} +{"d:Title": "Lindsey, Julie Anne - Musings from the Slush Pile", "d:Description": "First chapters from her novels and blog entries on various aspects of writing and getting published.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/L", "url": "http://blog.juliealindsey.com/"} +{"d:Title": "Lockwood, Sunny", "d:Description": "Short Stories. Requires free registration.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/L", "url": "http://sunnylockwood.com/"} +{"d:Title": "Lawson, Tracy - The Author's Haven", "d:Description": "A place to talk about author's ideas. The site has a forum to post different topics and a guestbook.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/L", "url": "http://freewebs.com/noella5088"} +{"d:Title": "Mellish, Xander - Short Stories and Cartoons", "d:Description": "Fiction and comic drawings about young New Yorkers with big dreams.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://www.xmel.com/"} +{"d:Title": "Moffat, Camille", "d:Description": "Short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://camillewrites.com/"} +{"d:Title": "Muir, R. - currydoglit", "d:Description": "Novels, short stories, and a narrative poem.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://www.currydoglit.com/"} +{"d:Title": "Mary - A Glimpse Into the Mind of a Human", "d:Description": "Various writings by the author. Mostly \"angry and/or depressing\". Also includes her biography.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://www.angelfire.com/ma2/iamselfdestructive/"} +{"d:Title": "Miss Mantis", "d:Description": "Short shorts.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://chrisiki.widdows.eu/index.php?n=Mantis.Stories"} +{"d:Title": "Mikes Fiction", "d:Description": "Short stories in .pdf format available for downloading or online reading. Includes a feedback form.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://www.mikesfiction.com/"} +{"d:Title": "Main, Shawn", "d:Description": "Short stories and micro-fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://shawnmain.tumblr.com/"} +{"d:Title": "McSpaden, Cheri - That One Day", "d:Description": "Short short stories, each in the form of a single page ripped from the private diary of some random person.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://thatoneday.wordpress.com/"} +{"d:Title": "McIntyre, Vonda N.", "d:Description": "Short stories in html, .pdf, or mobile formats and links to novels. Mostly science fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://www.vondanmcintyre.com/Index-Fiction.html"} +{"d:Title": "Mason, John - Pebble Beach", "d:Description": "An historical novel set mostly in Kansas and California that follows the lives of a father and his sons.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://pebblebeachthenovel.blogspot.com/"} +{"d:Title": "Muri, James R.", "d:Description": "Short stories, some for young adults.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://blizzardguy.com/mypage.htm"} +{"d:Title": "Manisha", "d:Description": "Short, short stories. Includes an offer for readers to submit their experiences to be turned into a story by the author.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://www.quickshortstory.wordpress.com/"} +{"d:Title": "Maxwell, Adam", "d:Description": "Flash fiction, short short story podcasts, detective stories, and e-books for sale.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://www.adammaxwell.com/"} +{"d:Title": "Monteiro, Jason - Still Waters", "d:Description": "Flash fiction with a moral twist.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://jason-f-monteiro.blogspot.com/"} +{"d:Title": "Maher, Kathleen - Diary of a Heretic", "d:Description": "Serialized stories and flash fiction (stories under 500 words).", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/M", "url": "http://kathleenmaher.net/"} +{"d:Title": "Night, Severeign Brad", "d:Description": "A novel and short stories available for free download in .pdf format.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/N", "url": "http://sbradnight.weebly.com/"} +{"d:Title": "Ostrom, Hans", "d:Description": "Flash fiction. Each story must contain a functional use of the word \"red.\"", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/O", "url": "http://redtalesbook.blogspot.com/"} +{"d:Title": "OakJIF", "d:Description": "Short stories, some available to be read online, and some available through email by request.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/O", "url": "http://www.oakjif.com/"} +{"d:Title": "P\u00e9rez, Samuel - Samtertainment", "d:Description": "Stories, some of which are completed, some of which are still being written.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://samtertainment.tripod.com/"} +{"d:Title": "Ponzio, Robert M - Sundog Stories", "d:Description": "Including Lucky's Dream, a mystery/crime novel set in Vermont.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://sundogstories.net/"} +{"d:Title": "Petty, Jr. Earl - The Loser Chronicles", "d:Description": "Stories about the ongoing struggle of man versus an absurd universe.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://www.angelfire.com/sd/loserchronicles/index.html"} +{"d:Title": "Page, Stephen - Riding the Wind", "d:Description": "Set in Argentina. About gauchos, meat, and infidelity.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://smpage1.tripod.com/ridingthewindbysmpage/"} +{"d:Title": "Pilseta, Alise - Alise in the City", "d:Description": "Several short stories, some in English.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://re-lab.net/alise/short1.html"} +{"d:Title": "Purser-Hallard, Philip", "d:Description": "Descriptions and reviews of published fiction, but also some short short stories available to read online.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://www.infinitarian.com/"} +{"d:Title": "Paul Herbert P.'s Great American Novel", "d:Description": "Yes. P.H. Prochnow was his complete novel online to read. More to come.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://pherbertpauthor.org/"} +{"d:Title": "Payne, Drew", "d:Description": "Short stories, mostly about gay men. Some eroticism.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://www.drew-payne.co.uk/index_files/DrewPayneFictionWriting.htm"} +{"d:Title": "Payne, Toni", "d:Description": "Romantic stories and flash fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/P", "url": "http://tonipayneonline.com/"} +{"d:Title": "Rogers, Bruce Holland", "d:Description": "Short, short stories, available by email subscription. Sample stories available to read free online.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/R", "url": "http://www.shortshortshort.com/"} +{"d:Title": "Robinson, Robert Burton", "d:Description": "Free short stories of various genres and murder mystery novels.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/R", "url": "http://www.robertburtonrobinson.com/"} +{"d:Title": "Rajesh, Ahimaaz - Flashed Fiction", "d:Description": "Minimalist fiction that varies from the absurd to the uncanny.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/R", "url": "http://flashedfiction.blogspot.com/"} +{"d:Title": "Randall, Neil - Cancer House Caviar", "d:Description": "Stories, some onsite and some through links to other online publications. Includes some poems and a blog.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/R", "url": "http://www.narandall.blogspot.com/"} +{"d:Title": "Rosenfeld, Francis", "d:Description": "Short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/R", "url": "http://francisrosenfeld.com/"} +{"d:Title": "Rogers, William", "d:Description": "Describes manuscripts and contains complete novella to read online.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/R", "url": "http://qcpages.qc.cuny.edu/~wrogers/"} +{"d:Title": "Rose, Madeliene", "d:Description": "Short stories told by a fictional character, where the literary and fashion worlds collide.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/R", "url": "http://www.madelienerose.com/"} +{"d:Title": "Sigus", "d:Description": "Literary narratives.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://sigus.com/"} +{"d:Title": "Short Stories 187", "d:Description": "Collection of short fiction by a Canadian author.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://www.members.tripod.com/short_stories_187/"} +{"d:Title": "Schwab, Helmut - American Short Stories and Others", "d:Description": "Short stories set mostly in America. Includes four stories by Pierre Decey about antique railroads in France.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://www.schwab-stories.com/"} +{"d:Title": "Smith, Stevie - Educating Georgie", "d:Description": "Fiction in the UK and US.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://4georgie.tripod.com/"} +{"d:Title": "Steger, M.L. - ML's Ex", "d:Description": "Short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://heymolo.tripod.com/mlsex/"} +{"d:Title": "Steenkamp, Rina - D9D1E2", "d:Description": "Short stories, articles, a Weblog, and a novel in progress about the search for a balance between reason and magic.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://www.d9d1e2.com/"} +{"d:Title": "Starling, Andrew", "d:Description": "Novels for free download in a variety of e-book formats. From an online publisher, Foxglove. Includes links to Starling's online short stories and links to other online fiction sites.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://www.foxglove.co.uk/free_online_novels.html"} +{"d:Title": "Stegman, Mark - Fiction and Society", "d:Description": "Short stories about society, dance, and good and evil.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://fictionandsociety.blogspot.com/"} +{"d:Title": "Swanwick, Michael", "d:Description": "Short stories of the month and excerpts from a novel. Includes links to short stories by Swanwick on other sites.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://www.michaelswanwick.com/fiction/fiction.html"} +{"d:Title": "Silvia, Jacob", "d:Description": "Stories and a few poems. Click on \"online\" underneath the titles.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://jacobswritings.blogspot.com/"} +{"d:Title": "Suleski, Sarah R.", "d:Description": "Novels, short stories, and serial stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://srsuleski.com/"} +{"d:Title": "Stross, Charles", "d:Description": "Downloadable versions of a short story collection and two novels, with links to other web-published stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://www.antipope.org/charlie/blog-static/fiction/online-fiction-by-charles-stro.html"} +{"d:Title": "Scanlon, Shya -- Forecast", "d:Description": "A novel in 42 chapters. Originally serialized twice weekly across 42 Web journals and blogs.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://shyascanlon.com/forecast/"} +{"d:Title": "Sindhwani, Ved", "d:Description": "Short stories by a Delhi-based writer.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://vedsindhwani.weebly.com/"} +{"d:Title": "Shames, Michael", "d:Description": "Sampling of short stories that can be read online. Part of a larger site that includes fiction and non-fiction for sale.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://www.sandiegocan.org/michaelshamescom/?page_id=57"} +{"d:Title": "Subroto - Blogs Away", "d:Description": "Short stories, 100 word fiction, musings, and uncylopedia.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/S", "url": "http://subrotopant.blogspot.com/"} +{"d:Title": "Vaknin, Sam - The Suffering of Being Kafka", "d:Description": "Short fiction in English and in Hebrew with English translation.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/V", "url": "http://www.gorgelink.org/vaknin/"} +{"d:Title": "Vann, Dorlana - The Supernatural Fairy Tale Writing Challenge", "d:Description": "A method for writing fiction based on fairy tales paired with supernatural elements.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/V", "url": "http://www.supernaturalfairytales.net/"} +{"d:Title": "Wasserman, Adam", "d:Description": "Stories, a novel, and two plays.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://www.imaeses.nl/fiction/index.html"} +{"d:Title": "Wilborn, Jr, Joel - Joel's Portfolio", "d:Description": "A collection of short stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://scribomania.tripod.com/"} +{"d:Title": "Weirich, Sharon - Saiberian Realm", "d:Description": "Stories (fantasy, science fiction).", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://www.angelfire.com/wizard/saiber/"} +{"d:Title": "Wessels, Marjie - Fabulae Fantasticae", "d:Description": "Stories of fantasy and science fiction, adventure and romance.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://fabulaefantasticae.atspace.com/"} +{"d:Title": "Wills, Malcolm", "d:Description": "Contains an author biography and initial chapters.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://www.freewebs.com/myblockbuster/"} +{"d:Title": "Williams, Gavin Scott - Rantings of an Arranged Mind", "d:Description": "Novels, serial stories, and a web-comic.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://gavinwilliams.digitalnovelists.com/"} +{"d:Title": "Wayman, Michael M. - Story Kettle", "d:Description": "Stories, mostly in English but some in German, about ordinary people doing unusual things.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://www.storykettle.com/"} +{"d:Title": "With a Twist", "d:Description": "Short stories by an anonymous author, mostly with a twist.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://withtwist.wordpress.com/"} +{"d:Title": "Wilson, Nicholas", "d:Description": "An archive of short stories put on the site every Friday for five years and a blog with new stories appearing regularly. Comics and journalism as well.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://nicolaswilson.com/"} +{"d:Title": "Webster, Greg - Grail Notes", "d:Description": "1000-word stories.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/W", "url": "http://www.grailnotes.blogspot.com/"} +{"d:Title": "Youngren, S.D. - Rowena's Page", "d:Description": "A continuing series of humorous short stories about a young woman's life.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/Y", "url": "http://sdy.org/rowena/"} +{"d:Title": "Yama, Takashi", "d:Description": "Mysteries in both English and Japanese.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/Y", "url": "http://www.geocities.jp/netonlinenovel/indexe.html"} +{"d:Title": "Yngve, A.R.", "d:Description": "The home page of this Swedish author including a collection of complete novels, short stories and graphic novels.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/Y", "url": "http://aryngve.com/"} +{"d:Title": "Zack, P. Orin", "d:Description": "Stories inspired by political and metaphysical issues.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/Z", "url": "http://klurgsheld.wordpress.com/"} +{"d:Title": "Zammit, Luke -- Alpha Wings", "d:Description": "Micro stories ranging from Twitter stories to 300 words.", "topic": "Top/Arts/Online_Writing/Fiction/Personal_Pages/Z", "url": "http://alphawings.net/"} +{"d:Title": "Rat Rhyme", "d:Description": "A serial story with the theme of missing out on artistic success because life got in the way.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://misadv.tripod.com/"} +{"d:Title": "You Ain't Seen Nothin Yet", "d:Description": "Four young men and women, who run away from home to escape their traumatic past and start a semi-normal future. Little were they aware of the dangers that come with running away.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://www.angelfire.com/hi3/yasny/index.html"} +{"d:Title": "Kibo : Kibo's Fiction Library", "d:Description": "Online serial fiction by James \"kibo\" Parry.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://www.kibo.com/kibofic/"} +{"d:Title": "A Bay and A Barge", "d:Description": "An illustrated serial novel by Lynetta Rasmussen set along the Pacific Northwest coast of British Columbia.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://www.soggers.ca/words.html"} +{"d:Title": "Hyperion", "d:Description": "A series story based on despair and lingering hope.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://hyperion.ardant.net/"} +{"d:Title": "Storyteller Art", "d:Description": "A series of short stories about growing up in the Mississippi Delta illustrated with contemporary art.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://storytellerart.net/"} +{"d:Title": "Penny Potboiler", "d:Description": "A potboiler serial about the misadventures of an ex-graphic designer.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://pennypotboiler.blogspot.com/"} +{"d:Title": "Fortuna Favors the Bold", "d:Description": "A minute-a-day soap opera.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://fortunafavorsthebold.wordpress.com/"} +{"d:Title": "Black Hat Magick", "d:Description": "by Kyt Dotson. A serial about computer geek and occult detective Elaine Mercer, a student at Arizona State University, majoring in computer science and programming wizardry.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://www.blackhatmagick.com/"} +{"d:Title": "Indigo", "d:Description": "Finished Gothic set in Melbourne, Australia.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://opheliasfiction.wordpress.com/indigo/"} +{"d:Title": "Dragomir's Diary", "d:Description": "by Matt Bird. The daily account of a guard, working in a castle that's not nearly as normal as he would prefer.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://www.dragomirsdiary.com/"} +{"d:Title": "False Memoir", "d:Description": "by Katherine Luck. A serialized fictional memoir. Everything about the author and the setting are true. The characters and the plot are fictional.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://falsememoir.com/"} +{"d:Title": "Tasakeru", "d:Description": "A story of an Earth devoid of humankind. Man and his ancestors have simply never been, but in his absence, mammal species have become sentient.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://tasakeru.com/"} +{"d:Title": "Orphic Phantasia", "d:Description": "Ongoing mystic utopia story.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://www.orphicphantasia.com/"} +{"d:Title": "Many Words", "d:Description": "Several ongoing science fiction, fantasy, adventure, and mystery stories by Jay \"Fishbreath\" Slater.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories", "url": "http://manywords.press/"} +{"d:Title": "About Schuyler Falls", "d:Description": "Award-winning soap opera focusing on mystery and romance in New York. Interactive features include character profiles, maps, polls, mailing lists and surveys.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.skyfalls.com/"} +{"d:Title": "As the Mouse Moves", "d:Description": "Daily soap opera depicting the NYC-based lives of a single woman, a party planner, and an international spa owner. Part of a New York City photo e-zine.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.readio.com/mouse/mouse1.html"} +{"d:Title": "Stoney Grove", "d:Description": "A couples win the lottery and buys a historic estate in Great Britain. Uncover the many stories that inhabit this virtual home.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.stoneygrove.com/"} +{"d:Title": "Friends and Foes", "d:Description": "Melodramatic series about teenagers and their exciting lives.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.angelfire.com/biz2/drama/"} +{"d:Title": "Grecian Hills", "d:Description": "Mock television soap opera. Extensive biographies of its fictional cast and description of storylines, though there are no actual episodes.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://grecian-hills.tripod.com/"} +{"d:Title": "Romantic Interlude", "d:Description": "Romantic comedy-drama told in photos and text. Features an all-Asian cast.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.nt2099.com/romantic/"} +{"d:Title": "Presence", "d:Description": "Follow the lives of those living in Presence, Calif. Character guides, weekly episodes, spoilers and maps.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://presence10.tripod.com/presence.htm"} +{"d:Title": "Dusk To Dawn", "d:Description": "Text-intensive series set in an Eighties-themed bar in fictional Hollywood Beach.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://members.tripod.com/DuskToDawn/"} +{"d:Title": "The Company Therapist", "d:Description": "Enter a psychiatrist's world as he sees patients from a large computer company. Complex interactive drama lets readers snoop in on client records, phone transcripts, and the fictional shrink's own notes.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.thetherapist.com/"} +{"d:Title": "Dyes Of Our Lives", "d:Description": "Life in the big city, from catering companies to fashion designers, all portrayed by hair dye boxes.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.dymphna.net/dyes/"} +{"d:Title": "Wild Thangs", "d:Description": "Enter the world of the Andrews, Taylors, Lincolns and Moraleses in Southern California.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://proactor01.tripod.com/"} +{"d:Title": "Tangled Web", "d:Description": "An on-going story about people dealing with difficult situations. Episodes are visible in Word or WordPad.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.angelfire.com/mt/tangledweb/"} +{"d:Title": "Ocean Drive", "d:Description": "A dramatic web series about the powerful Lanford family and their interactions with others.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://oceandriveprod.tripod.com/"} +{"d:Title": "All That Glitters", "d:Description": "Based in a fictional mid-sized New England town.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.authoranon.com/"} +{"d:Title": "Dear Diary Dee", "d:Description": "An on-going fictional story of the character, \"Dee\", paired with unique hand drawn illustrations, about a creative, spunky, young woman's adventures in bustling New York city.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://deardiarydee.blogspot.com/"} +{"d:Title": "Denver Cereal", "d:Description": "A serial fiction rooted in Uptown Denver, Colorado.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://denvercereal.com/"} +{"d:Title": "Milliwick", "d:Description": "A twice weekly serial which follows the lives of those that live in a small English village.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://milliwick.com/"} +{"d:Title": "The Legacy", "d:Description": "Follow the operatives of The Legacy as they find danger at every turn.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://webspace.webring.com/people/sl/legacy_adventure/"} +{"d:Title": "Flight Patterns", "d:Description": "Written by Brooklyn therapists Gretta Keene, LCSW, and Bill Murray, PhD, a monthly serial drama about a family whose problems lead them to treatment.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.keenemurraytherapy.com/Flight-Patterns.html"} +{"d:Title": "Scragg Man", "d:Description": "Fictional blog about a small-time criminal's last chance at redemption.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://scraggman.wordpress.com/"} +{"d:Title": "Mrs A and Mrs Nemesis", "d:Description": "Mrs A desperately fights against the alpha moms of the neighborhood. From Scandinavia.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://mrs-a-and-mrs-nemesis.com/"} +{"d:Title": "Graphical User Interface Opera", "d:Description": "A story about a story being written, told on every continent, from now until the year 2020.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas", "url": "http://www.etfiction.com/GUIOPERA/"} +{"d:Title": "Yahoo Online Soap Operas Club", "d:Description": "Join and discuss your favorite websoaps, make new friends and offer feedback.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas/Clubs_and_Communities", "url": "http://groups.yahoo.com/group/onlinesoapoperas/"} +{"d:Title": "Episodic Review", "d:Description": "Entertainment e-zine reviewing online soap operas and dramas. Also features articles, coming attractions, episode recaps, and an audience/producer community forum.", "topic": "Top/Arts/Online_Writing/Fiction/Series_Stories/Internet_Soap_Operas/Clubs_and_Communities", "url": "http://www.epiguide.com/"} +{"d:Title": "Farmhouse Fables", "d:Description": "Stories for children about the creatures living in a meadow next to a farmhouse. Adult story based on events in west Africa, in weekly installments. All are free of charge.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.fables.co.uk/"} +{"d:Title": "Every Day Fiction", "d:Description": "A magazine that specializes in fiction stories in bite-sized doses.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.everydayfiction.com/"} +{"d:Title": "Odd Day", "d:Description": "A short story by Jeff Chapman. \"Unusual circumstances and weather in Boston, and a bit of lost teenage soul-searching\".", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://home.earthlink.net/~jdc24/oddday.htm"} +{"d:Title": "The Tree", "d:Description": "A short story by Marie Huston.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.angelfire.com/ga/mariesgarden/pagetree.html"} +{"d:Title": "The Vibration of the U.S.S. Chickasaw Nation", "d:Description": "An award-winning short story from novelist James Colbert.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.vachss.com/media/righteous/page_colbert.html"} +{"d:Title": "Introducing Unbound Fiction", "d:Description": "Series of Web-only short stories from The Atlantic Monthly.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.theatlantic.com/unbound/fiction/9905intro.htm"} +{"d:Title": "Romantic Shorts", "d:Description": "Online publication featuring romantic shorts stories, about one-half hour in length, by varying authors.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://romanticshorts.com/"} +{"d:Title": "American Literature", "d:Description": "Online library with short stories, classic literature, children's stories, poems, speeches and essays.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.americanliterature.com/"} +{"d:Title": "Classic Short Stories", "d:Description": "This web site dedicated to the short story and to those interested in reading light prose.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.classicshorts.com/"} +{"d:Title": "Short story lovers", "d:Description": "A project for authors and writers to read and submit short love, romantic and real life stories.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.shortstorylovers.com/"} +{"d:Title": "My Morning Story", "d:Description": "A place to read, write and socialize. Offers creative writing workshops via Skype, editing, art and design services.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.mymorningstory.com/"} +{"d:Title": "The Tell Tale Pen", "d:Description": "A free, public reading site devoted to the literary works of writer Dixie Wells. Novels, stories, poems and song lyrics are available.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.thetelltalepen.com/shortStories.html"} +{"d:Title": "The Story of the Sun, the Moon and the Deep Blue Sea", "d:Description": "A Short Story by Joshua Silva.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://sunmoondeepbluesea.blogspot.com/"} +{"d:Title": "The Old Wife's Tales of Mystery, Murder and the Macabre", "d:Description": "Original short stories by Goody Winters.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.angelfire.com/mh/salem/"} +{"d:Title": "Short-Story.Net", "d:Description": "Online collection of short stories in several genres.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.short-story.net/"} +{"d:Title": "Give the Readers What They Want!", "d:Description": "Short stories generated by suggestions from readers.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://givethereaderswhattheywant.com/"} +{"d:Title": "Short Stories 101", "d:Description": "A web site with short stories of various genres.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "https://www.shortstories101.com/"} +{"d:Title": "Marriage Romance", "d:Description": "A website dedicated to marriage and romance stories, love letters and poems.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.marriageromance.org/"} +{"d:Title": "Alfie Dog Fiction", "d:Description": "A web site that collects short stories by authors around the world.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.alfiedog.com/"} +{"d:Title": "Short Stories", "d:Description": "Tales, folklore, fables, classics and literature shorts.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://shortstories.co.in/"} +{"d:Title": "Talking Silence", "d:Description": "A collection of short stories and poems. Including serialized fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://talkingsilence.com/"} +{"d:Title": "Ficly", "d:Description": "Tiny fictional snippets that tell a short story. Each author adds to the larger narrative.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://ficly.com/"} +{"d:Title": "Ugly the Cat", "d:Description": "A story by unknown author. First time appeared at CompuServe then reproduced many times in the Web.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "http://www.davidsemporium.co.uk/uglycat.html"} +{"d:Title": "Lifew in 64 Square Feet - Mitch Lavender", "d:Description": "A site by published author, Mitch Lavender. Site focuses on writing projects, fiction and non-fiction.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories", "url": "https://mitchlav.wordpress.com/"} +{"d:Title": "The Tower", "d:Description": "A collection of fictional stories and fan fiction by a group of amateur writers.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories/Collaborative_Writing", "url": "http://www.angelfire.com/wa/story1/"} +{"d:Title": "Couchbike: A Collaborative Novel", "d:Description": "An online story of two guys riding around on a human powered couch. Open for anyone to contribute to.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories/Collaborative_Writing", "url": "http://www.bikeforest.com/cb"} +{"d:Title": "MurrayTime", "d:Description": "Progressive novel from Australia.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories/Collaborative_Writing", "url": "http://csusap.csu.edu.au/~dspennem/MurrayTime/MurrayTime.html"} +{"d:Title": "StoryMash", "d:Description": "StoryMash is a collaborative fiction site that pays everyone who publishes new chapters to continue any story.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories/Collaborative_Writing", "url": "http://storymash.com/"} +{"d:Title": "Fablelane", "d:Description": "Fablelane allows people to start stories or continue existing ones. The content is purely community moderated.", "topic": "Top/Arts/Online_Writing/Fiction/Short_Stories/Collaborative_Writing", "url": "http://fablelane.com/"} +{"d:Title": "Fairvale", "d:Description": "A work of fiction presenting the story of a community.", "topic": "Top/Arts/Online_Writing/Fiction/Writing_Circles", "url": "http://home.mindspring.com/~fairvale"} +{"d:Title": "Writers' Circles", "d:Description": "UK Directory which lists over a 1,000 writers' circles by region and each region is divided by postcodes.", "topic": "Top/Arts/Online_Writing/Fiction/Writing_Circles", "url": "http://www.writers-circles.com/"} +{"d:Title": "Infernal Realm of Dark Lord R'Khan", "d:Description": "A site dedicated to bringing together artists of all mediums interested in the darker side of creativity. Submissions of all kinds will be displayed.", "topic": "Top/Arts/Online_Writing/Fiction/Writing_Circles", "url": "http://lordrkhan.tripod.com/"} +{"d:Title": "The Online Diary History Project", "d:Description": "There are stories out there, about the people who pioneered this genre, that are in danger of disappearing. It is this website's goal to preserve their memories of what online journalling was like in the beginning.", "topic": "Top/Arts/Online_Writing/Journals", "url": "http://www.diaryhistoryproject.com/"} +{"d:Title": "The Great Diary Project", "d:Description": "The Project has been set up to provide a permanent home for unwanted diaries of any date or kind.", "topic": "Top/Arts/Online_Writing/Journals", "url": "http://www.thegreatdiaryproject.co.uk/"} +{"d:Title": "Multiple Perspectives", "d:Description": "The burb for diarists with dissociative identity disorder.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://wineberry.net/mp/"} +{"d:Title": "Epinionated", "d:Description": "A burb for online journal writers who have also written at least one review or editorial for epinions.com.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://tesserae.org/journal/epinion_burb/"} +{"d:Title": "Family Tree", "d:Description": "Online diaries that come in sets: husbands and wives, parents and kids, brothers and sisters.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://lightfantastic.org/imr/extras/burbs/family.html"} +{"d:Title": "Screen Scribes", "d:Description": "Diarists at the movies; journals featuring film reviews.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://lightfantastic.org/imr/extras/burbs/screenscribes/"} +{"d:Title": "IsleTies", "d:Description": "Online diaries and journals by people in, from, or with strong ties to Hawaii.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://lightfantastic.org/imr/extras/burbs/isleties.html"} +{"d:Title": "Artists", "d:Description": "Journal writers involved in fine and performing arts. For creative people with creative minds.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.lunamorena.net/journal/artists.html"} +{"d:Title": "The Journal Click", "d:Description": "Journals that feature live webcams.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://lightfantastic.org/imr/extras/burbs/click.html"} +{"d:Title": "Southern Thang", "d:Description": "For journalers from south of the Mason-Dixon line.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.angelfire.com/la2/south/"} +{"d:Title": "Fen Journals", "d:Description": "Sci-Fi fan journalers.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.woolgathering.net/fen/"} +{"d:Title": "Just A Little Queer", "d:Description": "Journalers who are members of the GLBT community.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.lunamorena.net/journal/queer.html"} +{"d:Title": "Poly Journals", "d:Description": "Nonmonogamous or polyamorous journal keepers.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.lunamorena.net/journal/poly.html"} +{"d:Title": "Class by Itself", "d:Description": "Journals sorted by college graduation date.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://members.tripod.com/~Nilla13/burb.html"} +{"d:Title": "Childless by Choice", "d:Description": "Kid-free zone: for journallers who are comfortable with their decision not to have children.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.jenipurr.com/kidfree/"} +{"d:Title": "Lang Journals", "d:Description": "For the writers of online journals who are attending or are alumni of Eugene Lang College at the New School University.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://langjournals.pitas.com/"} +{"d:Title": "Spit, Glance, Upload", "d:Description": "For journallers who don't obsess over every little detail; updating as quickly as possible.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.mutteringfool.com/spit/"} +{"d:Title": "Tell Me, Dammit", "d:Description": "For those diarists who have a notify or mailing list.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://amandasprecipice.com/Burbs/tmd/"} +{"d:Title": "Austin Stories", "d:Description": "A portal for journal writers in Austin and Central Texas.", "topic": "Top/Arts/Online_Writing/Journals/Burbs", "url": "http://www.austin-stories.com/"} +{"d:Title": "Connected Recollections", "d:Description": "A journal collaboration project for which a monthly topic is chosen for participating journalers to write about. Entries based on these topics should deal with the connections of the journaler's current experience to the past.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://www.angelfire.com/mn/clio/connected.html"} +{"d:Title": "Flower and Willow Project", "d:Description": "A collaborative project by a small group of online journallers. Dedicated to discussing love and lust, and their effects in these women's lives.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://www.woolgathering.net/flower-willow/index.html"} +{"d:Title": "The Ramblings of a Pair of Nuts", "d:Description": "A duo shares their opinions on today's society, etiquette, parties, sex, and other topics.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://pnuts.blogspot.com/"} +{"d:Title": "Inside Delirium", "d:Description": "Ramblings from three minds on the edge of insanity.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://insidedelirium.com/"} +{"d:Title": "Storyteller", "d:Description": "A collaborative effort for people who enjoy writing. Each month a new collaborative idea will be offered.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://www.snerkology.com/storyteller.htm"} +{"d:Title": "Sweet Girls", "d:Description": "Journal shared between four teenage girls.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://sweetgirls.pitas.com/"} +{"d:Title": "Forgotten.org - Slipping away", "d:Description": "A collection of moments not forgotten, or better off forgotten. Those times that seem to stay with you.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://www.forgotten.org/"} +{"d:Title": "Anxiety and Panic Attacks Suck", "d:Description": "Live Journal site where those suffering from anxiety disorders can join and post.", "topic": "Top/Arts/Online_Writing/Journals/Collaborations", "url": "http://panicprincess.livejournal.com/"} +{"d:Title": "Your Confessions", "d:Description": "Real people post personal confessions to the Web community. Confess or explore the miseries of others.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://yourconfessions.homestead.com/"} +{"d:Title": "In My Words", "d:Description": "Online journal linking site containing a list of members blogs, online quizzes, and a message board.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://www.angelfire.com/anime3/lilsailorx/"} +{"d:Title": "Jungletrekker", "d:Description": "Provides updated interactive journals from expedition teams traveling in remote areas of the world. Read journals from most recent voyage to Brazilian Amazon rainforest.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://www.dtvisions.com/jungletrekker/english/"} +{"d:Title": "sitDiary", "d:Description": "Online diary community. Entries can be public, private or friends-only. Allows customization and image uploads.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://www.sitdiary.net/"} +{"d:Title": "Mass Observation Archive", "d:Description": "Collecting written material about everyday life in Britain. Generated by the original Mass Observation social research organization (1937 to early 1950s), newer material collected continuously since 1981.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://www.massobs.org.uk/"} +{"d:Title": "StageofLife.com", "d:Description": "An online life journal for members write about their lives (essays are stored in a free, unlimited, Stage of Life profile) and a blogging community. Offers contests and resources.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://www.stageoflife.com/"} +{"d:Title": "Share Secrets", "d:Description": "Allows people to submit personal, business, family secrets anonymously. Others may post comments about the confessions.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://sharesecrets.org/"} +{"d:Title": "The Korean Blog List", "d:Description": "The unofficial list of English language weblogs and journals which relate to Korea.", "topic": "Top/Arts/Online_Writing/Journals/Collections", "url": "http://www.koreanbloglist.com/"} +{"d:Title": "Aislng's Diary Pages", "d:Description": "Frequent notes from the life of an Irish-American artist who colors outside the lines. Pages dedicated to her related interests, too.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://www.aisling.net/"} +{"d:Title": "Angie", "d:Description": "Entries, pictures and guestbook.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://angie.pitas.com/"} +{"d:Title": "And This Is My Life", "d:Description": "A peek into the life of a college student on Long Island. She's cute, she's funny, and a complete mess.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://members.tripod.com/~NotAFairyTale/Journal.html"} +{"d:Title": "Abeyance", "d:Description": "Journal of a lawyer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://www.abeyance.net/"} +{"d:Title": "Arends, John", "d:Description": "Contains an online journal, resume and personal information.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://www.johnarends.com/"} +{"d:Title": "Autochonia", "d:Description": "Jumbled thoughts and meaningless drivel. Feel the anguish at his plight, stuck in a small town in the pacific northwest.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://autochonia.blort.org/"} +{"d:Title": "And another thing...", "d:Description": "Tech support humour with a twist. Names are changed to protect the guilty.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://evel.blogspot.com/"} +{"d:Title": "A Personal Site (The Sole Prop's Journal)", "d:Description": "Journal with photographs, a 100 favorite books list with comments and a 24 hour webcam in downtown Oakland.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://www.apersonalsite.com/"} +{"d:Title": "Atchy123 - Memories", "d:Description": "The writer, her mind, her heart, and her senses. Journal, pages about her love and her dolls.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://atchy123.tripod.com/"} +{"d:Title": "Akakyovich, Akaky", "d:Description": "Weblog of a travelling 20-something girlwoman.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://admiralbunny.livejournal.com/"} +{"d:Title": "Alyson", "d:Description": "Online diary.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://alyson-layn.livejournal.com/"} +{"d:Title": "Adventures in Brokeville", "d:Description": "Follow the life of an ardent Cubs fan and her terrier.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://sisterhavana.livejournal.com/"} +{"d:Title": "And Far Away...", "d:Description": "Life of college student in Jordan.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://andfaraway.blogspot.com/"} +{"d:Title": "Allbutt The Best", "d:Description": "An Australian family discusses life's lessons, their relationships with family and friends and their experiences participating in a missionary work.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://allbutt.net/"} +{"d:Title": "Anna Kiss", "d:Description": "A young, married, college student from Ohio writes with a stream-of-consciousness style about her marriage, her studies, and how she is determined to take control of life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://www.annakiss.com/"} +{"d:Title": "Anglemark, Linn\u00e9a - N\u00e9ablog", "d:Description": "Musings about books, friends, cats and the joys and problems of being a house-owner and a PhD student.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://neablog.wordpress.com/"} +{"d:Title": "Allan Thinks", "d:Description": "Photography, science and technology blog.", "topic": "Top/Arts/Online_Writing/Journals/Personal/A", "url": "http://allanthinks.typepad.com/"} +{"d:Title": "Bitter Hag", "d:Description": "Online journal of a Bitter Hag.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://www.bitterhag.com/"} +{"d:Title": "Babybaby", "d:Description": "A journey from here to maternity. Chronicles a woman's efforts to have a child.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://www.babybaby.blogspot.com/"} +{"d:Title": "Bricklin, Dan", "d:Description": "From the co-creator of VisiCalc, the first PC spreadsheet, a chronicle of life in the computer world with pictures and text.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://www.danbricklin.com/log/"} +{"d:Title": "Boat Drinks", "d:Description": "Jess swears too much and rants about her life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://waitiwasntready.0catch.com/"} +{"d:Title": "Beautiful Disaster", "d:Description": "Her pictures, writings and journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://goldleaf31.tripod.com/"} +{"d:Title": "Bierma, Nathan", "d:Description": "Contains writings, interests and links of a freelance writer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://nbierma.blogspot.com/"} +{"d:Title": "Backward Rain", "d:Description": "Chronicling some of the thoughts that rise to the top of the clutter spinning in the writer's head. Also contains some entries from the journal the writer had as a child in the 60s.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://www.creekbed.org/backward_rain/"} +{"d:Title": "Bowers, Stacey", "d:Description": "Journal about her life - motherhood, marriage, friends, family, work and school.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://whygodwhy.blogspot.com/"} +{"d:Title": "Belle Texanne", "d:Description": "Tales of a Texas ex-pat trying to make it in the big wide world.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://belletexanne.blogspot.com/"} +{"d:Title": "Bitchypoo", "d:Description": "Journal from someone who loves the ocean.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://www.bitchypoo.com/"} +{"d:Title": "Black, Jackie", "d:Description": "Her journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://jackieblack.livejournal.com/"} +{"d:Title": "Blind Insight", "d:Description": "Woman losing her eyesight talks about life and wedding plans. As her sight wanes, her insight waxes.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://blindinsight.blogs.com/"} +{"d:Title": "The Bubbles Mandalay Project", "d:Description": "The trials, tribulations and random musings of a librarian wannabe on her way back to school at an age she should be planning her retirement instead.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://www.bubblesmandalayproject.blogspot.com/"} +{"d:Title": "The Big Persimmon", "d:Description": "From Washington DC to Tokyo and back again, an account of the travels of a capital city college student.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://little-wings.com/"} +{"d:Title": "Bugheart", "d:Description": "Tales of a thrifter who enjoys giving things away.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://bugheart.blogspot.com/"} +{"d:Title": "The Breathing Room", "d:Description": "Creative woman writes about life and motherhood.", "topic": "Top/Arts/Online_Writing/Journals/Personal/B", "url": "http://ibreathe.blogspot.com/"} +{"d:Title": "Cheri's Static", "d:Description": "Chaos, white noise and the static in my head. An on-line journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.static8.com/journal/"} +{"d:Title": "Chuck'Stake - Thoughts From A Mind", "d:Description": "His life in words.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.deadpan.net/stake/"} +{"d:Title": "C'mon Chicken", "d:Description": "Personal writings.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://tracey.pitas.com/"} +{"d:Title": "Computer Jargon", "d:Description": "A relatively small web journal site of a geek just looking for others to converse about technology and cool stuff. Includes a messaging system, camera, voting booth, and virtual postcards.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.computerjargon.com/"} +{"d:Title": "Cacophony", "d:Description": "The writer's life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://cacophony.com/"} +{"d:Title": "Cora Chaos", "d:Description": "The LiveJournal of a hell-cat turned housewife.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://corachaos.livejournal.com/"} +{"d:Title": "Crystallyn.com", "d:Description": "Weblog-style journal and poetry site.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.crystallyn.com/"} +{"d:Title": "Carmen's Love Story", "d:Description": "Journal of a woman dealing with some of life's issues.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.angelfire.com/mo2/stlouisgirl/index.html"} +{"d:Title": "Cholsey, Arthur - A Life", "d:Description": "A man in his 50's offers reflections of a husband, father, and air collector because \"well, it's never too late.\"", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.arthurcholsey.blogspot.com/"} +{"d:Title": "Caro - Anything But", "d:Description": "Invitation to the mind of Caro - law-student, Planned Parenthood volunteer, emigrant-to-be, idealist (says Keirsey), and sexpert in a 16,409 km long distance relationship.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.alaska.blogspot.com/"} +{"d:Title": "Corwin, M.F. - Eudaemonia", "d:Description": "A series of short, almost poetic entries, including many references to books being read by the author.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://eudaemonist.com/"} +{"d:Title": "Childress, Alice", "d:Description": "Provides a journal from a twenty-something post college graduate. Contains photographs and personal information.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://alicechildress.blogspot.com/"} +{"d:Title": "Crawford, Joe - ArtLung", "d:Description": "Enigmatic narcissism and miscellany of a guy from San Diego, California.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://artlung.com/blog/"} +{"d:Title": "Concrete Skyline", "d:Description": "San Francisco native Gene Wood writes about film, civil rights, technology, music, social justice, the DIY ethic and libertarianism.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://cs.cementhorizon.com/"} +{"d:Title": "Cyber Journal - Bad Girl", "d:Description": "The writer's miseries and experiences.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://cyberjournal.blogspot.com/"} +{"d:Title": "Confabulist, The", "d:Description": "One San Franciscan's struggle with epicurean enigmas, and culinary condundrums.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.confabulist.com/"} +{"d:Title": "Clear Like Mud", "d:Description": "Life of a college student living on an Indian reservation.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://sherrysherry.blogspot.com/"} +{"d:Title": "Charming, But Single", "d:Description": "A dateless journal of drinking.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://charmingbutsingle.blogspot.com/"} +{"d:Title": "Creature Bug", "d:Description": "Life of a Oregon Mom, grad student, writer, and lover of the English language.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://creaturebug.typepad.com/"} +{"d:Title": "Crazy Aunt Purl", "d:Description": "True-life diary of a thirty-something, newly divorced, OCD-germaphobe knitter who has four cats. Because nothing is sexier than a divorced woman with four cats.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://www.crazyauntpurl.com/"} +{"d:Title": "Cracked Cauldron Spillings", "d:Description": "Follow the intrepid entrepreneurs as they stumble purposefully towards the goal of opening a bakery while working as personal chefs.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://ccspillings.blogspot.com/"} +{"d:Title": "Clublife", "d:Description": "Nightly nonsense endured by a bouncer at two of New York's most popular nightclubs.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://standingonthebox.blogspot.com/"} +{"d:Title": "Carthage", "d:Description": "Her life in words.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://carthage.cementhorizon.com/"} +{"d:Title": "C'est La Vie", "d:Description": "Tales of a newly married, 22 year-old Costa Rican, starting college and about to have a baby. Yikes.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://cestlavie.typepad.com/"} +{"d:Title": "Cafe Au La Tao", "d:Description": "Trying to find inner peace, and a good cup of coffee.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://perchta.livejournal.com/"} +{"d:Title": "Chroniques d\u2019une Fraise", "d:Description": "American living in France writing about gardening, travels, working on her apartment, and cats.", "topic": "Top/Arts/Online_Writing/Journals/Personal/C", "url": "http://perso.fraise.net/"} +{"d:Title": "The Danish Outpost", "d:Description": "A look inside her life and mind.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://msophelia.diaryland.com/"} +{"d:Title": "Diary of a Superannuated Soul", "d:Description": "A weekly illustrated diary of a retired soul, detailing the joys and disappointments of living in \"The Third Age\" in the UK.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://home.clara.net/johncopeland/"} +{"d:Title": "DarkLily - Diary of a Weird Englishwoman", "d:Description": "The life, loves, and rants of an eccentric, bisexual, gothic, teenaged girl from London, England.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://darklily.diaryland.com/"} +{"d:Title": "Dutch Girl Productions", "d:Description": "To keep her writing skills trained and honed, she writes on her Musings.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://www.dutchgirl.net/"} +{"d:Title": "Diary of My Heart", "d:Description": "A young woman who is dealing with a long-distance relationship, family issues and of course, the proverbial irritating and overbearing boss.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://diaryofmyheart.tripod.com/"} +{"d:Title": "Drahreg - A Happy Man's Diary", "d:Description": "Life and thoughts of a 21 year old Poslish student/journalist.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://drahreg.blogspot.com/"} +{"d:Title": "Dave", "d:Description": "Social life of an economics graduate in California.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://dave01.livejournal.com/"} +{"d:Title": "Duck and Run", "d:Description": "Documenting her emotional against and mental anguish.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://duckandrun.blogspot.com/"} +{"d:Title": "Darker Side of the Night, The", "d:Description": "A glimpse into the writer's dark and strange mind. Musings range from the inane to in-depth.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://freduardo.blogspot.com/"} +{"d:Title": "Ducker, Andrew", "d:Description": "Scottish guy with a lot of time on his hands and a brain that wanders just a little bit too much.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://andrewducker.livejournal.com/"} +{"d:Title": "Diamond Rhodes", "d:Description": "Her journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://diamondrhoads.blogspot.com/"} +{"d:Title": "Davis, Stuart - Tired of the Long Haul", "d:Description": "Journal of a writer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://longhaul.blogspot.com/"} +{"d:Title": "The Diary Thing", "d:Description": "Religion-based journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://www.rickmcginnis.com/diary/index.htm"} +{"d:Title": "Daymented in Seattle", "d:Description": "The online journal of a thirty-something Seattle indie music fan.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://www.daymented.com/"} +{"d:Title": "Dark's Journal", "d:Description": "The writer's day to day life \"Sometimes its boring, sometimes its exciting.\"", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://sueside.livejournal.com/"} +{"d:Title": "Douglas Cress Tells It Like It Is", "d:Description": "Some pictures and a day by day diary of his life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://douglascress.blogspot.com/"} +{"d:Title": "Dream Mom", "d:Description": "Midwest mom shares and reflects on the love for her son and the challenges of everyday life with a special needs child and life in general.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://dreammom.blogspot.com/"} +{"d:Title": "Dawn Unplugged", "d:Description": "Ex South African learning how to live in the United States.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://www.dawn-unplugged.blogspot.com/"} +{"d:Title": "The Daily Letter", "d:Description": "A twenty-something who is intrigued by people and how they interact with each other and the world in which we live. These are letters written to the people that inspire, encourage and sometimes disappoint faith in humanity.", "topic": "Top/Arts/Online_Writing/Journals/Personal/D", "url": "http://thedailyletter.com/"} +{"d:Title": "Erasing.org", "d:Description": "Topics include sleep, solitude, wasted youth, and weather.", "topic": "Top/Arts/Online_Writing/Journals/Personal/E", "url": "http://erasing.org/"} +{"d:Title": "Essential Insanity", "d:Description": "Personal site and journal of a female writer, web designer, anglophile, ears with feet.", "topic": "Top/Arts/Online_Writing/Journals/Personal/E", "url": "http://www.essentialinsanity.com/"} +{"d:Title": "Entropic Empire", "d:Description": "Journal featuring entries about how to create hyperrealities focusing on loss, love and poetry.", "topic": "Top/Arts/Online_Writing/Journals/Personal/E", "url": "http://www.entropic-empire.com/journal/index.html"} +{"d:Title": "Eve, Lilith - All American Girl's Brain Sewage", "d:Description": "A \"bored and paranoid individual\" from Boston discusses friends, living with her parents, her boyfriend, and her fictional worlds.", "topic": "Top/Arts/Online_Writing/Journals/Personal/E", "url": "http://ungoddess.livejournal.com/"} +{"d:Title": "The Expat", "d:Description": "Musings of an Aussie living and working in the Philippines.", "topic": "Top/Arts/Online_Writing/Journals/Personal/E", "url": "http://auspat.blogspot.com/"} +{"d:Title": "Everyone has a story to tell !", "d:Description": "A written chronicle of life experiences, passions and the world.", "topic": "Top/Arts/Online_Writing/Journals/Personal/E", "url": "http://shishirprasad.com/"} +{"d:Title": "Ftrain", "d:Description": "A personal journal about life in New York City.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://www.ftrain.com/"} +{"d:Title": "FootNotes", "d:Description": "An ongoing tale of recovery, romance and uncomfortable shoes.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://www.secraterri.com/"} +{"d:Title": "Freelove, Ezra - Rants, Raves, and Rhetoric", "d:Description": "An information technologist expresses his true thoughts and feelings on whatever anything that creeps under his skin.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://sneezypb.pitas.com/"} +{"d:Title": "Fuel: Ignite A Passion For Jesus", "d:Description": "His journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://www.xanga.com/silverelfx"} +{"d:Title": "Frozen Vodka", "d:Description": "The rants and rambles of a girl who always keeps a bottle of vodka in the freezer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://frozen-vodka.diaryland.com/"} +{"d:Title": "The Fungal Heart", "d:Description": "Thoughts about family, friends and music.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://kasiandra.livejournal.com/"} +{"d:Title": "the feet and the inner ear", "d:Description": "Jen's LiveJournal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://smallestbones.livejournal.com/"} +{"d:Title": "Frog Hollow", "d:Description": "Writing about home life and knitting.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://froghollow.typepad.com/"} +{"d:Title": "Fugetaboutit", "d:Description": "Life of a forty-something shrinking Italian comedian.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://fuggettaboutit.blogspot.com/"} +{"d:Title": "Final Fashion", "d:Description": "Tales of a recent graduate from fashion design school.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://www.finalfashion.ca/"} +{"d:Title": "Freegina", "d:Description": "Dream journaling and musings from Gina Marie.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://retrogirl.blogspot.com/"} +{"d:Title": "Fickle.org", "d:Description": "A 20-something girl from California.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://conniek.tumblr.com/"} +{"d:Title": "Forbis, Wil - The Acid Logic Blogger", "d:Description": "Topics range from films and music to politics and sexual diseases. Also features Acid Radio, streaming spoken word / rant internet radio show.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://forbisthemighty.com/blog/"} +{"d:Title": "Farmgirl Fare", "d:Description": "Tales of a city dweller who moved to a farm in the middle of nowhere and loves it.", "topic": "Top/Arts/Online_Writing/Journals/Personal/F", "url": "http://www.farmgirlfare.com/"} +{"d:Title": "Redwine", "d:Description": "My name is Flint Redwine. I was born on Frey.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Fictional", "url": "http://members.tripod.com/~thethird/redwine.html"} +{"d:Title": "The Ladies' Lounge", "d:Description": "A women's compilation of creative genius. Stories, poems, songs, photographs and other works of art.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Fictional", "url": "http://angelfire.com/zine2/ladieslounge"} +{"d:Title": "Sugizo's Live Journal", "d:Description": "Part of the Live Journal jrock role-players. Sugizo's (ex-Luna Sea lead guitarist) pretend journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Fictional", "url": "http://sugizo.livejournal.com/"} +{"d:Title": "Vancouver Memories: My Year Abroad", "d:Description": "by Wendy Bullen Stephenson. A fictional diary, the novel recounts the life-altering experiences of Erika and her tight-knit group of classmates studying English in Vancouver.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Fictional", "url": "http://www.vancouvermemories.ca/"} +{"d:Title": "Gino Pisano", "d:Description": "Detailed online diary of my life as I go through difficult, though interesting times.", "topic": "Top/Arts/Online_Writing/Journals/Personal/G", "url": "http://www.ginopisano.com/"} +{"d:Title": "Gardner, Lyza", "d:Description": "Author writes on books, Portland in Oregon, wine, travel, geekery and things she loves and doesn't love.", "topic": "Top/Arts/Online_Writing/Journals/Personal/G", "url": "http://www.lyza.com/"} +{"d:Title": "Grosz, Brian", "d:Description": "Actor, rock-star, pirate.", "topic": "Top/Arts/Online_Writing/Journals/Personal/G", "url": "http://www.briangrosz.com/"} +{"d:Title": "Gordon, Sara - Furious Muse", "d:Description": "Personal musings about her life and the lives that affect her.", "topic": "Top/Arts/Online_Writing/Journals/Personal/G", "url": "http://furiousmuse.blogspot.com/"} +{"d:Title": "Haub.net", "d:Description": "Extraordinarily ordinary.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://haub.net/"} +{"d:Title": "Huang, Jon - Me: Under Construction", "d:Description": "A long time resident of Austin, Texas suddenly finds himself stranded in Claremont, California at Mckenna College, and is driven to \"spawn an overly self-conscious website.\" [Flash 5 required]", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://www.jonhuang.com/"} +{"d:Title": "Hotsoupgirl - Mechanically Separated Chicken.", "d:Description": "Loud opinions on many ridiculous subjects from a 28 year old writer living in Melbourne, Australia.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://finishhim.blogspot.com/"} +{"d:Title": "HopelessRomantics", "d:Description": "The story of a girl who shares truth for hopeless romantics in the digital world.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://www.love-productions.com/hopelessromantics/"} +{"d:Title": "Homeboi's Diary", "d:Description": "Private thoughts and observations of a gay Mancunian living with HIV.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://homeboi70.blogspot.com/"} +{"d:Title": "Hryvnak, Michelle", "d:Description": "Diary of an upbeat insightful young woman from Pennsylvania.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://mhryvnak.net/"} +{"d:Title": "Hypersynaesthesia", "d:Description": "Tales of a student in Cambridge.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://hypersynaesthesia.blogspot.com/"} +{"d:Title": "How To Be A Happy Housewife", "d:Description": "Author and mother of five, Maeve Bradbury, chronicles everywoman's battle to assert dominion over her house, her family, her career and her spreading waistline.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://ahappyhousewife.blogspot.com/"} +{"d:Title": "Hohnstein, Dan - The Danimal's Live Journal", "d:Description": "A man in his twenties from London, Ontario writes about music, his job, sleep (and lack thereof), and his daily wanderings.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://hudson.livejournal.com/"} +{"d:Title": "Paul Harvey", "d:Description": "Recently married Aussie who loves God, wife Sylvia, real ale, technology and motorbikes.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://paulsjourney.com/"} +{"d:Title": "A House Full of Boys", "d:Description": "A mother of six boys writes about her life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://www.stillwantmore.blogspot.com/"} +{"d:Title": "The Huff Report", "d:Description": "A journal on the life and times of Andrew Huff.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://www.me3dia.com/"} +{"d:Title": "Hip Teacher", "d:Description": "One redheaded girl's journey into the world of public education.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://hipteacher.typepad.com/"} +{"d:Title": "Hand In Hand", "d:Description": "A glimpse into the life, happenings, thoughts, feelings, journalings, and artwork as life leads the way through new adventures.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://wentupthehill.blogspot.com/"} +{"d:Title": "Cogito, Ergo Sumana", "d:Description": "Sumana Harihareswara's journal includes book and software reviews.", "topic": "Top/Arts/Online_Writing/Journals/Personal/H", "url": "http://www.harihareswara.net/ces.shtml"} +{"d:Title": "In Medias Res", "d:Description": "Diary of a student, husband, father, and webmaster in Hawaii.", "topic": "Top/Arts/Online_Writing/Journals/Personal/I", "url": "http://www.lightfantastic.org/imr/"} +{"d:Title": "Insomniaville Journal -- Nicole's Deep Thoughts.", "d:Description": "The semi-daily musings of a 30-something DC-area resident.", "topic": "Top/Arts/Online_Writing/Journals/Personal/I", "url": "http://www.insomniaville.com/journal.html"} +{"d:Title": "Irregular Ramblings", "d:Description": "The daily journal of expatriate Brit, Johanna Mead.", "topic": "Top/Arts/Online_Writing/Journals/Personal/I", "url": "http://www.skaro.com/journal/ramhome.html"} +{"d:Title": "Insomnia", "d:Description": "His journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/I", "url": "http://ritchie.blogspot.com/"} +{"d:Title": "It's the end of the world as we know it", "d:Description": "Liz' home to nothingness.", "topic": "Top/Arts/Online_Writing/Journals/Personal/I", "url": "http://lfs.livejournal.com/"} +{"d:Title": "If Then Else", "d:Description": "Eduardo Sousa's weblog: big issues, small pleasures.", "topic": "Top/Arts/Online_Writing/Journals/Personal/I", "url": "http://www.eduardomorais.com/blog/"} +{"d:Title": "Impending Distractions", "d:Description": "Stories about home life from an Alabama father.", "topic": "Top/Arts/Online_Writing/Journals/Personal/I", "url": "http://matt.cuthbert.ws/"} +{"d:Title": "James Patric's Online Journal", "d:Description": "Just my attempt at affecting the future by chronicling the past in the present. A twenty something gay male.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://members.tripod.com/~onlinejournal/"} +{"d:Title": "Jimski's Last Journal", "d:Description": "Online diary showcasing the personal life and journal writing of a would-be wit in his twenties.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://www.jimski.net/"} +{"d:Title": "Journey and Destination", "d:Description": "\"Life is not about reaching destinations, it's about the journey.\" My mother said this to me shortly before she died, and its been my motto ever since.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://members.tripod.com/coldwaterfish/"} +{"d:Title": "Justin's Life...", "d:Description": "A look at life, fun, dating, redheaded guys, and everything else from a gay twenty-something's point of view. The original normal gay guy online journal. Online since January 1995.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://www.koool.com/mylife.html"} +{"d:Title": "Jenn - A Life In Progress", "d:Description": "A future teacher and college girl in her early 20's writes about college, relationships, and family, and ponders what could happen next.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://lip.diaryland.com/"} +{"d:Title": "Journals From the Mill", "d:Description": "Journal entries and poetry written while living in a 140 year old mill.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://www.angelfire.com/journal2/millworks/"} +{"d:Title": "Journal of a Girl", "d:Description": "This is the electric journal of a girl.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://www.angelfire.com/journal/wildpenguin/"} +{"d:Title": "Joshua - partiallyblind", "d:Description": "A \"professional geek\" ends his comments each day with \"Say hi. I'd like that.\"", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://www.partiallyblind.com/"} +{"d:Title": "Jorge, Daniela - Coisas&Mais Coisas", "d:Description": "A Californian creative designer by day, Daniela writes about her career, the corporate culture, her friends, and where she fits in.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://daniela.blogspot.com/"} +{"d:Title": "JrnAnonymous - Journal of Anonymous", "d:Description": "An anonymous guy in his early 20's shares his thoughts about life, relationships past and present, and fitting into society.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://www.angelfire.com/journal2/jrnanonymous/index.html"} +{"d:Title": "Jen - Scarlete's Psycho-babble", "d:Description": "A thirty-something assistant editor from Florida who is \"fascinated by the evil and mean\" offers cynical, moody, and sometimes heartening thoughts.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://scarlete.livejournal.com/"} +{"d:Title": "John, Daniel - Shoppingqueen's Personal Online Journal", "d:Description": "Contains his personal thoughts and opinions. It also has some pics and links and tells of events happening in the life of a young London gay guy.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://shoppingqueen.livejournal.com/"} +{"d:Title": "Jherusalem", "d:Description": "The writer's journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://jherusalem.com/"} +{"d:Title": "JavaScopes", "d:Description": "A monthly journal, started in 1999, previously focused on coffee and astrology. Rants on every subject from religion to politics to Social Darwinism.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://holophrastic.com/javascopes/"} +{"d:Title": "Vanessa Jaye's", "d:Description": "Insights on literature, family life, and pop culture.", "topic": "Top/Arts/Online_Writing/Journals/Personal/J", "url": "http://vanessajaye.blogspot.com/"} +{"d:Title": "Kyrre - Dabbling in Writing", "d:Description": "A journal about living, reading and sometimes writing.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://kyrre.livejournal.com/"} +{"d:Title": "Kevynn - Fat Free Milk", "d:Description": "A writer trying to find his worlds weak spots and figure it all out.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://kevynnmalone.blogspot.com/"} +{"d:Title": "Koening, Josh - Outlandishjosh", "d:Description": "His journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://www.outlandishjosh.com/"} +{"d:Title": "Killing Me Softly", "d:Description": "The writer's life and obsessions.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://killingme.pitas.com/"} +{"d:Title": "Krystin - Shadow of Veils", "d:Description": "Her life in words.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://www.angelfire.com/ky/xarmor/"} +{"d:Title": "Keith's Journal", "d:Description": "Personal weblog.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://keithdb.livejournal.com/"} +{"d:Title": "KatieGirl.Net", "d:Description": "Virtual home with a live webcam and online diary, free e-mail and friendly chats.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://katiecam.livejournal.com/"} +{"d:Title": "Klosekraft", "d:Description": "Writing about cooking, knitting, and other projects.", "topic": "Top/Arts/Online_Writing/Journals/Personal/K", "url": "http://klosekraft.typepad.com/"} +{"d:Title": "Like Sands Through the Hourglass", "d:Description": "Read all the intimate details in her online diary.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://www.tight-science.com/diary.html"} +{"d:Title": "Loafe", "d:Description": "Life as a supersexy blah-girl.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://www.loafe.com/"} +{"d:Title": "Lily: Padding Around the Pond", "d:Description": "Personal journal site of university student with poetry and other writing as well as random hilarity.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://www.angelfire.com/realm/lilyk/"} +{"d:Title": "Louern - my Electronic pen", "d:Description": "An often poetic, inside-out literary approach to life from a thirty-something Acadian woman in Montreal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://www.vif.com/users/louern/mepindex.htm"} +{"d:Title": "LCH #2", "d:Description": "A journey through the creative and troubled soul of one young lady.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://veeblog.blogspot.com/"} +{"d:Title": "Lyriel - Somewhere Cold and Blue", "d:Description": "Silent screams from her head.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://ahypnoticdream.livejournal.com/"} +{"d:Title": "Living In Sin", "d:Description": "Her life in words.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://miz_a.pitas.com/"} +{"d:Title": "Lucy Ball is Dead", "d:Description": "The life of a twenty-something college girl from Long Island, New York.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://livejournal.com/users/lucyballisdead"} +{"d:Title": "Lightyear, Gregory", "d:Description": "Dreams, wishes, stories, and chaos, all on your desktop.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://lightyear.livejournal.com/"} +{"d:Title": "Lucy's Loveseat", "d:Description": "Harry Potter fanfic and the rantings of a part-time writer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://lucindalovegood.livejournal.com/"} +{"d:Title": "Laurafish", "d:Description": "Life and writings of an aspiring law school student.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://laurafish919.livejournal.com/"} +{"d:Title": "A Little Nicer Than Bowling Shoes", "d:Description": "Sharing day to day humor and happenings.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://joylewis.livejournal.com/"} +{"d:Title": "Live, Love, Laugh", "d:Description": "Sara talks about her life and shares photos.", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://www.tommyandsara.blogspot.com/"} +{"d:Title": "La Vie en Rose...A Sweet Life", "d:Description": "Searching for life's beauty, taking time to enjoy the fullness of life, and stopping along the way to savor the sweetness", "topic": "Top/Arts/Online_Writing/Journals/Personal/L", "url": "http://www.asweetlife.typepad.com/"} +{"d:Title": "The Mind's I", "d:Description": "The journal of a 23 year old botanist web developer/programmer/designer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.woolgathering.net/mindsi/"} +{"d:Title": "Maura.com", "d:Description": "Personal essays.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.maura.com/"} +{"d:Title": "mummaness - headscape - linkvortex", "d:Description": "Mummaness is me today post childbirth as an artist, musician, thinker. The searcher is also able to go back to another site called teengerl which has my activities on that day between the ages of 13 and 19.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://mummaness.pitas.com/"} +{"d:Title": "Macdonald, Alan", "d:Description": "Political and technology rants from a \"tech-head\" working for a small website design shop.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.alanmacdonald.com/"} +{"d:Title": "mad in pursuit", "d:Description": "I'm mad in pursuit of a new voice, a new style. Gotta get back in touch with the grrrl before I'm an elder (knowing that Shakespeare's sonnet 129 warns about possessing what you so madly pursue).", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.madinpursuit.com/"} +{"d:Title": "Psycho Much?/Meg's Journal", "d:Description": "Experiences with mental illness, meds, and psychiatric hospitals.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.angelfire.com/ny/ScarlettMissy/"} +{"d:Title": "To M.", "d:Description": "The first phase of Martin Gantman's ongoing and changing web art piece, \"To M.\", is Manifestion 1: germinal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://smoothmoon.com/"} +{"d:Title": "Monument Voice", "d:Description": "My online diary and vehicle for personal expression, usually laughable.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://monument.voice.tripod.com/"} +{"d:Title": "Marn's Big Adventure", "d:Description": "The life and times of a woman who makes Emily Dickinson look like a party animal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://marn.diaryland.com/"} +{"d:Title": "Mediary Pics", "d:Description": "Started out as an excuse for showing photos, is now an online diary, updated daily and often contains photos.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.mediarypics.co.uk/"} +{"d:Title": "MacMichael, Ryan - Twist of Fait Accomplis", "d:Description": "Dreams, pop culture, family life, and humor are covered by a Virginia man in his twenties.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.laze.net/fait/"} +{"d:Title": "McAllister, Michael - DogPoet's Campfire", "d:Description": "San-Francisco based writer, 30ish, gay, HIV-positive, faces sobriety, dating, and the loss of his mother.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://dogpoet.blogspot.com/"} +{"d:Title": "More Than Donuts", "d:Description": "Rants, raves and writing from the daily journal of a twenty-eight year old woman living in New York City.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.morethandonuts.blogspot.com/"} +{"d:Title": "McQuade, Aaron", "d:Description": "Political, social and otherwise random commentary from this writer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.3aarons.blogspot.com/"} +{"d:Title": "Moller, Ani", "d:Description": "Prolific internet writer from New Zealand.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://animoller.com/"} +{"d:Title": "M, Anette - Grevis", "d:Description": "Diary of a Swedish woman recently moved to the US.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://anettem1.blogspot.com/"} +{"d:Title": "The Mind of Faith", "d:Description": "Journal entries, poetry and quotes.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.themindoffaith.blogspot.com/"} +{"d:Title": "McCown, Chase", "d:Description": "His journey from Mizzou to his days as an actor and model in Los Angeles. Includes clothing tips, movie reviews and sports predictions.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://fameandfortune.blogspot.com/"} +{"d:Title": "MsOphelia - Dreaming in Denmark", "d:Description": "A woman hailing from Boston talks about her thoughts and quirks.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://msophelia.blogspot.com/"} +{"d:Title": "Manacsa, Gerry - Days in the Life", "d:Description": "Started in 1996, this ongoing journal attempts to capture the large and small of everyday experience in words and images.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.outofbalance.org/days"} +{"d:Title": "Mnemon", "d:Description": "A scattershot journey through twenty-three years in the life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://mnemon.blogspot.com/"} +{"d:Title": "Miss B - The Bazima Chronicles", "d:Description": "The real life chronicles of a 30-something from New York who resembles a melding of all four fictional characters from \"Sex and the City\".", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://bazima.com/"} +{"d:Title": "Melon Scissors", "d:Description": "This is a short-attention span personal journal on media, culture, arts, entertainment, and the life of a Japanese American college student.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://melonscissors.blogspot.com/"} +{"d:Title": "Miller - Sobering up on the red line.", "d:Description": "Miller is a young Chicago woman who likes beer, good music, news, and shoes. She is six feet tall, she probably hates your haircut, and she is afraid of your dog.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://miller-lite.blogspot.com/"} +{"d:Title": "Martin, Ned", "d:Description": "Who he is, what he does and why.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://nedmartin.org/journal/"} +{"d:Title": "Malicia - Malicious Thoughts", "d:Description": "Malicia's Strange Journey through Life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://malicia68.livejournal.com/"} +{"d:Title": "Mika - Mika's Thoughts", "d:Description": "The everyday life of a 20-something girl.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://purple-peach1.livejournal.com/"} +{"d:Title": "Mass Robot, Compatible with Your Reality", "d:Description": "A personal webjournal maintained by a college student who loves djing and robots.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://sidewayszombie.livejournal.com/"} +{"d:Title": "MsCapriKell - It's Her Words...", "d:Description": "A daily journal of Kelly Cookson (or as close to daily as possible), fun links to explore and other sites to visit as well, astrology services, and interactive chat.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://mscaprikell.pitas.com/"} +{"d:Title": "Marvelous Madness", "d:Description": "Tales of a pstchotherapist living in Portland.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://www.marvelousmadness.blogspot.com/"} +{"d:Title": "Mrs. B in Paris", "d:Description": "Midwestern girl braves the wilds of the cobblestone jungle.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://mrsbinparis.blogspot.com/"} +{"d:Title": "Mehus, Doug", "d:Description": "Personal weblog, offering opinions on the major news stories of our time.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://dmehus.wordpress.com/"} +{"d:Title": "My Life Under Construction", "d:Description": "This website houses my online journal as well as some other forays into web development that I'm contemplating. It's a quick and easy breeze. My journal can be biting at times because of my sarcastic nature, but otherwise I rant about the things going wrong in my life and rave about those which make me smile.", "topic": "Top/Arts/Online_Writing/Journals/Personal/M", "url": "http://nilla13.tripod.com/aquarius.html"} +{"d:Title": "Nippies", "d:Description": "Provides a running commentary on American life, current news, celebrities, business, television, and just about everything.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://www.nippies.com/"} +{"d:Title": "noise of the self-involved", "d:Description": "A queer canadian university student and everything banal, exciting, romantic, and frustrating about her life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://cherrybomb.pitas.com/"} +{"d:Title": "Neuroticgirl - The Not So Exciting Adventures", "d:Description": "This is my journal. It's not all that exciting, it's not all that adventurous, but it's true.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://neuroticgirl.diaryland.com/"} +{"d:Title": "New Diary of a Nobody", "d:Description": "The unsolicited late night meanderings of an overweight, hairy, 40 something year old.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://www.sanglier.co.uk/"} +{"d:Title": "Nice Cookies", "d:Description": "Life of a witty, humorous, perverted female in Upstate New York.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://www.nicecookies.com/"} +{"d:Title": "Nobody's Hero", "d:Description": "Ongoing musings from a South Carolina Baha'i.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://grover173.livejournal.com/"} +{"d:Title": "Novanglus - Wanted: Small, Shy, Intellectual Girl", "d:Description": "An open-ended personals ad, \"intelligent, sophisticated, quaint, and sweet.\" Pictures, pieces of poetry and thoughts.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://novanglus.livejournal.com/"} +{"d:Title": "Nuclear Bob - Shirt of the Day", "d:Description": "He has a lot of different shirts and he likes to share them with you every day.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://shirtoftheday.livejournal.com/"} +{"d:Title": "Never The Same Day Twice", "d:Description": "Lucille writes about her life and her son.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://neverthesamedaytwice.blogspot.com/"} +{"d:Title": "Nancy's Black Dog Blog", "d:Description": "Book reviews, knitting journal, and dog stories.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://www.dardarian.com/"} +{"d:Title": "Nacho Intolerant", "d:Description": "Musings on her life and work in New England.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://nachointolerant.blogspot.com/"} +{"d:Title": "No Appropriate Behavior", "d:Description": "Tales of a woman writing a cookbook.", "topic": "Top/Arts/Online_Writing/Journals/Personal/N", "url": "http://noappropriatebehavior.blogspot.com/"} +{"d:Title": "Out of order", "d:Description": "Long established journal from an American writer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://annie.newdream.net/"} +{"d:Title": "Octogenarian Journal", "d:Description": "What it is like for me to be 88ish.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://members.tripod.com/~rfaber_22041/index.html"} +{"d:Title": "An Outlet For My Mind", "d:Description": "The writer's strange wafflings.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://www.outcastsandoddities.blogspot.com/"} +{"d:Title": "OrangeClouds", "d:Description": "Offers a glimpse into the life of a twenty-something woman with multiple personalities, including personal information and archives.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://blog.orangeclouds.org/"} +{"d:Title": "OpalCat's Minutiae", "d:Description": "The life of a web designer, geek, and mother.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://opalcat.livejournal.com/"} +{"d:Title": "Osierra", "d:Description": "Experiences of a Spaniard around the globe, with plenty of photos illustrating them.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://osierra.com/"} +{"d:Title": "Of Course We're Going To Fling Poo", "d:Description": "Tales from Australia.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://www.rigothebear.blogspot.com/"} +{"d:Title": "Onze Labs", "d:Description": "She's making it up as fast as she can: a novel, stories, snapshots, fictions, all false premises with real emotion.", "topic": "Top/Arts/Online_Writing/Journals/Personal/O", "url": "http://onze.typepad.com/"} +{"d:Title": "Penguin Dust", "d:Description": "Art geek chick from Colorado seeks intrigued and intriguing people to nod and smile at her ramblings. Apply within.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://www.snerk.net/"} +{"d:Title": "Piol Positive Five", "d:Description": "You think your life's depressing? Try mine on for size. Look for journal at Emotional Insanity.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://ladyguinnevere.tripod.com/"} +{"d:Title": "Phill's Life", "d:Description": "Check up on a nobody. See how boring my life can get, maybe yours won't seem so drab in comparison.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://phillc74.tripod.com/phillslife/"} +{"d:Title": "Pieces of Jennifer's Body", "d:Description": "The diary and webpage of a struggling teenage girl.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://www.angelfire.com/indie/clovercrimson/"} +{"d:Title": "Paul's World", "d:Description": "The author, his thoughts and feelings. A place to rest and think, and share moments of happiness, pain, sorrow and joy.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://www.paulhaskew.com/"} +{"d:Title": "Powell, Graham - My Boog Pages", "d:Description": "Writings of a thirty-something from Louisiana.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://www.myboogpages.com/"} +{"d:Title": "Pukinskis, Erik - Snowed In", "d:Description": "Photos, notes, and thoughts on life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://snowedin.net/"} +{"d:Title": "The Pjammer Chronicles", "d:Description": "Personal journal of essayist/former newspaper columnist/small-l-libertarian on a one-man crusade in search of truth, justice and synchronicity. Have laptop. Will Travel.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://pjammer.livejournal.com/"} +{"d:Title": "petite anglaise", "d:Description": "Diary of an English thirty-something in Paris.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://www.petiteanglaise.com/"} +{"d:Title": "The Prettiest Star", "d:Description": "Life of a young woman in the city whose role model is Holly Golightly.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://theprettieststar.blogspot.com/"} +{"d:Title": "Pudgeefeet - Caroline's journal", "d:Description": "A 20-something woman chronicles her life in college, at her sorority, and everywhere else.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://pudgeefeet.livejournal.com/"} +{"d:Title": "Perfectly Imperfect", "d:Description": "Woman shares her thoughts and photography.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://www.perfectlyimperfect-yolanda.blogspot.com/"} +{"d:Title": "The Pink Coyote", "d:Description": "Words and pictures by Pixie Campbell.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://pinkcoyote.blogspot.com/"} +{"d:Title": "Poop, Paxil, and Pageantry", "d:Description": "Thoughts of the wife of an Army man and mother of three children.", "topic": "Top/Arts/Online_Writing/Journals/Personal/P", "url": "http://www.stemily.blogspot.com/"} +{"d:Title": "All Told", "d:Description": "Word candy for moms and other crazy dreamers.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Parenting", "url": "http://www.alltold.com/"} +{"d:Title": "Home With The Kids", "d:Description": "Weblog of a work at home mom.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Parenting", "url": "http://www.homewiththekids.com/blog/"} +{"d:Title": "The Rambler", "d:Description": "The online journal of a 20 year old with an inability to stay on one topic and an insatiable hunger for anything--as long as it's fun.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://members.tripod.com/~east_side/new_ramblings.html"} +{"d:Title": "Rockinghorsefly", "d:Description": "The daily journal of a yankee caught below the mason dixon.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://www.rockinghorsefly.com/"} +{"d:Title": "The Raging, Crazy Sea", "d:Description": "A semi-regular journal of a twenty-something girl from CT.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://members.tripod.com/~islandofcalm/journal.html"} +{"d:Title": "Rena's Writing Journal", "d:Description": "Problems with the real world. Looking for my tribe.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://renasjournal.tripod.com/"} +{"d:Title": "Rick Whittington", "d:Description": "This is the personal web site of Rick Whittington, eCommerce manager, son, husband and friend.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://www.rickwhittington.com/"} +{"d:Title": "Remsburg, Carol Jane - Tidewater Tales", "d:Description": "Presented a set of individually titled \"tales\", these capsules of the author's life touch on humor, family, kids, home, fun, and emotion.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://cjremsburg.tripod.com/"} +{"d:Title": "Rissa - Plastic and Elastic", "d:Description": "Music, art, indie culture, and other passions in the life of a college student stuck in Oklahoma.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://www.angelfire.com/indie/plasticelastic/"} +{"d:Title": "Rachael's Rendezvous", "d:Description": "This is an inside view to a creative teenage girl's mind, expressed through a journal, poetry, prose, stories and other various forms.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://www.angelfire.com/mn/rachaels/"} +{"d:Title": "Renovation, Rejuvenation, and What Counts", "d:Description": "Thejournal/ diary of Willie Ray. A man of many artistic interests. Website includes posted art and a place for website visitors to provide feedback.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://raywillie0.tripod.com/rrawc/rrawchome.htm"} +{"d:Title": "Rollercoaster", "d:Description": "Please keep all appendages inside the car.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://alamoo.livejournal.com/"} +{"d:Title": "Rantings of an Unexploded Scotsman", "d:Description": "Random thoughts and things better left unsaid. Short stories too.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://unexplodedscot.blogspot.com/"} +{"d:Title": "Rock and Roll Means Fuck", "d:Description": "Rants, laments and assorted vitriol.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://www.rockandrollmeansfuck.blogspot.com/"} +{"d:Title": "Riverbend - Baghdad Burning", "d:Description": "A young Iraqi woman writes about conditions in the country after the war.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://riverbendblog.blogspot.com/"} +{"d:Title": "Rogue Tory", "d:Description": "Political commentary, computer science, quizzes and other meanderings from a rogue Tory.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://www.roguetory.org.uk/"} +{"d:Title": "Rhopalocerienne's Rants", "d:Description": "Trials and tribulations of an overworked codemonkey, with the occasional fanfic on the side.", "topic": "Top/Arts/Online_Writing/Journals/Personal/R", "url": "http://rhopalocerienne.livejournal.com/"} +{"d:Title": "shards | [torn.org]", "d:Description": "mindless purple babble from a transplanted brit.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.torn.org/shards"} +{"d:Title": "The Sole Prop's Journal", "d:Description": "A personal daily journal with photographs by an old fart in his mid-fifties.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.apersonalsite.com/pixnbook/journal/journal.html"} +{"d:Title": "Scribblings", "d:Description": "Make sure you're sitting down and have some tea with you when you read this journal. Poetry, pictures and daily thoughts and dreams in this silly place.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.angelfire.com/ma/katiejason/"} +{"d:Title": "Shivering and Stunned ~the journal", "d:Description": "\"I'm gonna go ahead and go boldly, 'cuz a little bird told me that jumping is easy that falling is fun right up until you hit the sidewalk shivering and stunned\" - Ani DiFranco", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.angelfire.com/ny/sarahindex/journal.html"} +{"d:Title": "The Silken Tent", "d:Description": "Three journals in one -- a general collection of observations, a section of meditations on the spiritual life, and a diary of the author's efforts to lose weight and/or make peace with her appearance.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.silkentent.com/"} +{"d:Title": "Stark Raving Mad", "d:Description": "The theme of this journal changes with my mood, and maybe that's the way it should be. Lately I've been severely depressed, fed up, angry, and self-destructive. I've lost the key to my happy place and cannot find it. Probably somewhere under the cushions in the couch...", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://members.tripod.com/~haoledave/srm/srm.html"} +{"d:Title": "Solitary Soir\u00e9es", "d:Description": "Views, events and the innermost feelings of a handful of creative personalities. Journals, songs, essays, letters and poems.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://atruth4aday.net.freeservers.com/"} +{"d:Title": "Secret Hypertext Diary of Katy Lloyd, The", "d:Description": "A 19-year old Jewish bisexual female college student taking advantage of the medium of hypertext and the web to tell her stories.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://katy-lied.8m.com/"} +{"d:Title": "Sinnamon's Shit", "d:Description": "Evolution of a woman.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://sinnamon.diaryland.com/"} +{"d:Title": "Scenic Route", "d:Description": "The personal journal/diary of Bruce Wilbur, a single gay man from Rochester NY. Includes poetry and essays by contributors.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.brucew.com/"} +{"d:Title": "The Online Ramblings of Sliver", "d:Description": "Musings on all things University, from the Bizarre and Canadian perspectives.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://members.tripod.com/sliver_obsidian/"} +{"d:Title": "Seventh Sister", "d:Description": "A personal online journal, featuring a daily diary, fiction, interactive stuff, and a little Tarot thrown in.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://members.tripod.com/Amejewel/index.htm"} +{"d:Title": "Shari - Dear Diary", "d:Description": "The most whimsically self-indulgent and ponderous of the diaries at Shari.com.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.shari.com/diary/"} +{"d:Title": "Smith, Suzi - Fly Away", "d:Description": "A twenty-something, married, disabled woman who describes herself as \"slightly insane.\"", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.mutteringfool.com/"} +{"d:Title": "Sturtle", "d:Description": "Personal notes from a gay guy from New Orleans.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.sturtle.com/"} +{"d:Title": "Swerdloff", "d:Description": "Pretension has never looked so good.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.swerdloff.com/"} +{"d:Title": "Snerkology", "d:Description": "An uttered noise which denotes amusement/ disgust/ derision/ disbelief. An online journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.snerkology.com/"} +{"d:Title": "Shmuel's Soapbox", "d:Description": "The ongoing journal of an aspiring writer, editor, and word geek, currently in Ann Arbor and desperately missing New York City. Running themes include the author's procrastination, his obsession with popular culture, his inability to pass up an online survey, and his continual wrestling with issues of gender and sexuality.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.babeltower.org/soapbox/"} +{"d:Title": "Short and Sweaty", "d:Description": "Chicago writer girl with heart of gold foil and a hat to match.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://shortandsweaty.blogspot.com/"} +{"d:Title": "Subconscious Motivations", "d:Description": "A journal by an Amerasian college student living in the deep south. It's about school, her long distance relationship, her crazy ex-boyfriends, her nutty mother, and everything else.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://scimiotix.blogspot.com/"} +{"d:Title": "SWiley's Journal", "d:Description": "Personal views from a student at the University of Maryland, Baltimore County on various subjects, including books, movies, the Internet, \"weird news,\" among other matters.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://swiley.blogspot.com/"} +{"d:Title": "Strand, Francis - How to learn Swedish in 1000 difficult lessons", "d:Description": "An American living in Stockholm, Sweden, writes about his impressions of Sweden, its people and language.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.francisstrand.blogspot.com/"} +{"d:Title": "The Slant", "d:Description": "The writer's thoughts.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://thisbouquet.tripod.com/theslant"} +{"d:Title": "She's A Total Blam Blam", "d:Description": "Journal of her life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://facepunch.diaryland.com/"} +{"d:Title": "Spencer, Tony", "d:Description": "Includes entries on programming, food and politics.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.tonyspencer.com/"} +{"d:Title": "Scott - Year 001", "d:Description": "A year in the life of a DJ. Includes photos.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.angelfire.com/celeb/bump/year001/"} +{"d:Title": "Squirrel's Brick in the Wall", "d:Description": "Stories, jokes, and photos from an avid reader and writer.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://rfun6.blogspot.com/"} +{"d:Title": "The Slinky Cat Speaks", "d:Description": "Stalking and occasionally maiming life's sacred cows in the urban jungle.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://slinkycat.blogspot.com/"} +{"d:Title": "Sneakeasy's Joint", "d:Description": "Enter an establishment of creative writing, and discourse on hiking, cinema, books, genealogy, humor, cats, politics, society, national and world events, and writer's everyday life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.sneakeasysjoint.com/"} +{"d:Title": "Sunlight Follows Me", "d:Description": "Doctor in the United Kingdom writes about her experiences.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://looktotherainbow.blogspot.com/"} +{"d:Title": "Serendipity", "d:Description": "Making unexpected and fortunate discoveries.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://diana-serendipity.blogspot.com/"} +{"d:Title": "Smith, Travis - Unvarnished", "d:Description": "Personal blog and pictures of Travis F. Smith. Includes comments about blogging, subscription-based revenue models, and online journalism.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.hopstudios.com/nep/unvarnished/"} +{"d:Title": "Svalina, Mattias: Yes, Starlings! Yes!", "d:Description": "Poetry, reviews, reflections, and literary news.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.mathiassvalina.blogspot.com/"} +{"d:Title": "Sykes, Beverly - Funny The World", "d:Description": "The daily thoughts on life, death and everything in between.", "topic": "Top/Arts/Online_Writing/Journals/Personal/S", "url": "http://www.funnytheworld.com/"} +{"d:Title": "Turner, Neil", "d:Description": "Thoughts and anecdotes from his head.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.neilturner.me.uk/"} +{"d:Title": "Take A Peek", "d:Description": "An American college student's life disclosed. Movie and theater reviews along with journal entries.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://members.tripod.com/lindasung/index.html"} +{"d:Title": "Tales of a Life Askew", "d:Description": "Gripes of a twenty-something Rent-head.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://lifeaskew.com/"} +{"d:Title": "Tronster's Home Page", "d:Description": "The main page of Tronster's home page tree. Updates on what he's been up to, as well as links to places of interest.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.tronster.com/"} +{"d:Title": "Tobi Girl - Lingering Thoughts", "d:Description": "Personal site of a 22 year old college student who is just trying to figure it all out.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.angelfire.com/ma/tobi6/"} +{"d:Title": "Time Waits for No One", "d:Description": "Ramblings of a wife and mother from the Midwest.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://timewaits.com/"} +{"d:Title": "Transcendence", "d:Description": "Personal thoughts, journal entries, philosophies by an actor/photographer/writer-journalist.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://littleprince550.tripod.com/transcendence/"} +{"d:Title": "Tight Science", "d:Description": "Jennifer's online journal, started in 1996.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.tight-science.com/diary.html"} +{"d:Title": "Travis in New York", "d:Description": "The story of a bright-eyed West Texan's internship with a major magazine in New York City.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://members.tripod.com/~travisinnewyork/"} +{"d:Title": "Thoughts", "d:Description": "An online journal of all my thoughts, rants, and raves.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.angelfire.com/sd/LDeval/thoughts.html"} +{"d:Title": "ThreeThirteen.net", "d:Description": "Victoria's journal, images and art.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.threethirteen.net/"} +{"d:Title": "Tsaiko - Dance of the Hours", "d:Description": "An after college student who goes by the name Tsaiko explores her thoughts (and occasional stories) about yaoi, anime, writing, people, and her life in general.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://tsaiko.pitas.com/"} +{"d:Title": "Tigs, Maria", "d:Description": "About the life of an ordinary Swedish woman.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://divinetigs.blogspot.com/"} +{"d:Title": "Taiwan_On's Notes From The Asylum", "d:Description": "Redefining success one embarrassing failure at a time. (Contains strong language.)", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.taiwanon.com/"} +{"d:Title": "Turner, Maggie - Page by Page: A Woman's Journal", "d:Description": "The journal, photography, and poetry of a Canadian woman.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.maggieturner.net/"} +{"d:Title": "Tan, Peter - The Digital Awakening", "d:Description": "Personal web log of a disabled man who lives most part of his life surfing the Internet.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.petertan.com/blog/"} +{"d:Title": "Taracool", "d:Description": "Daily life through the eyes of a graduate student who studies human rights and politics.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://killerkosh.blogspot.com/"} +{"d:Title": "Trav's Site", "d:Description": "Pictures and thoughts from Travis, a computer geek in Orange County.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.travsite.com/"} +{"d:Title": "A Trendy Name", "d:Description": "Chris Lin shares pictures and stories of a guy in community college.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://a.trendyname.org/"} +{"d:Title": "Telling Deeds", "d:Description": "Stories about internet dating, teaching elementary school, and starting a new career writing movie reviews for lockergnome and fiction for herself.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://tellingdeeds.blogspot.com/"} +{"d:Title": "Thatte, Priyank", "d:Description": "Weblog, travelogues, and photo galleries.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.priyank.com/"} +{"d:Title": "McCaulley, Tessa - Tessa's Journal", "d:Description": "Views on friends, work, and pop culture from the perspective of a teenager from Florida.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://zira.livejournal.com/"} +{"d:Title": "Travels with Charlie", "d:Description": "Parenting diary of a West Coast mama.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://pokobelle.livejournal.com/"} +{"d:Title": "Tank Green", "d:Description": "Art, politics, academics, 'zines, and stuff.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.tankgreen.com/"} +{"d:Title": "Twilight Visions", "d:Description": "Writing, philosophy, art, and a fantasy conlang from an Azerbaijhani poet.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://www.twilightvisions.com/"} +{"d:Title": "Melbourne Mum", "d:Description": "Life of a woman in Australia.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://melbournemum.com/"} +{"d:Title": "The Timepass of India", "d:Description": "Mechanical engineer from the prestigious SPCE in Mumbai.", "topic": "Top/Arts/Online_Writing/Journals/Personal/T", "url": "http://thetimepassofindia.blogspot.com/"} +{"d:Title": "Uncensored", "d:Description": "This is my online journal of sorts, completely uncensored. That's the theory anyway. I'm not going to censor myself at all, which means I'm not going to pay attention to grammar either. Mostly gripes, I guess.... this is going to be secret stuff that i don't want people i know to read at all.", "topic": "Top/Arts/Online_Writing/Journals/Personal/U", "url": "http://members.tripod.com/~dmsw/uncensored.html"} +{"d:Title": "Unified Corruption", "d:Description": "A journal, combined with opinions on conspiracies and other controversial subjects.", "topic": "Top/Arts/Online_Writing/Journals/Personal/U", "url": "http://www.angelfire.com/extreme3/element80/"} +{"d:Title": "Umali, Rick - Ramblings", "d:Description": "Amateur essayist and columnist living near Boston, Massachusetts.", "topic": "Top/Arts/Online_Writing/Journals/Personal/U", "url": "http://rgu.freeshell.org/rickblog/"} +{"d:Title": "Urban Catwoman", "d:Description": "Not your average Catwoman.", "topic": "Top/Arts/Online_Writing/Journals/Personal/U", "url": "http://catwomantm.xanga.com/"} +{"d:Title": "Vamp's World", "d:Description": "Home of Vamp Thompson, up and coming poet, and member of US Military. Occasionally updated journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/V", "url": "http://www.angelfire.com/or/warrensrules/"} +{"d:Title": "Verdezza - Assorted Ramblings of an Ambiguously Gay Single Guy", "d:Description": "Thoughts on politics, filmography, and relationships are offered.", "topic": "Top/Arts/Online_Writing/Journals/Personal/V", "url": "http://verdezza.blogspot.com/"} +{"d:Title": "Viator", "d:Description": "An outlet of expression for an introverted twenty-something Canadian guy.", "topic": "Top/Arts/Online_Writing/Journals/Personal/V", "url": "http://viator.blogspot.com/"} +{"d:Title": "Velcrometer", "d:Description": "Throwing stuff at the Internet to see what sticks. Written by Minneapolis writer M. Giant.", "topic": "Top/Arts/Online_Writing/Journals/Personal/V", "url": "http://www.velcrometer.blogspot.com/"} +{"d:Title": "w2.4mg.com", "d:Description": "Sometimes you just can't hold it in any more.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://w2.4mg.com/"} +{"d:Title": "W., Emily - Scribbles of a Baby Soul", "d:Description": "A teenaged girl from Florida shares the philosophical, religious, and political issues that revolve around her head. Also contains artwork and poetry submitted from various artists.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://demonchild57.tripod.com/index.html"} +{"d:Title": "Wishing Upon A Star", "d:Description": "A daily journal of a single, forty-something suburban Boston teacher of varied tastes and interests.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.angelfire.com/ma2/wishing/"} +{"d:Title": "White Pebble Journal", "d:Description": "Whatever I'm writing...", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.white-pebble.net/"} +{"d:Title": "Wells, Krystyn - Glitter: An Unfinished House", "d:Description": "A young professional woman analyzes her experiences, both recent and from her far-from-ideal childhood.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.glitterbook.com/"} +{"d:Title": "WordRidden", "d:Description": "By a young American woman living in Europe. Literate, intelligent, cat-less, and fantastically wordy.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://wordridden.com/"} +{"d:Title": "What am I doing here?", "d:Description": "The personal journal of a totally lost lower 20's guy, in middle America.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.angelfire.com/journal2/jlsmithy/"} +{"d:Title": "Watermarks", "d:Description": "A writers online journal, began in 1996.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.drewes.org/watermarks.html"} +{"d:Title": "Weblog Without a Name", "d:Description": "Left-of-center drivel from a Northern Virginia techie with obviously too much time on his hands.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.pwan.org/blog"} +{"d:Title": "Werty", "d:Description": "A twenty-something artist who is struggling between the business world and the art world.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.werty.net/"} +{"d:Title": "Weese, Aubrey", "d:Description": "A college student in her early 20's writes about her youthful marriage, subsequent separation, and how she finds solace in her Christian community.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://aubreyrhea.livejournal.com/"} +{"d:Title": "Wannabe Sugarbaby", "d:Description": "Life of a 22-year old sugarbaby.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://spoilmedaddy.blogspot.com/"} +{"d:Title": "Waking Ambrose", "d:Description": "Litblog offering up sarcastic definitions in the tradition of Ambrose Bierce.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://bitterbierce.blogspot.com/"} +{"d:Title": "Wide Lawns and Narrow Minds", "d:Description": "Social satire about life in South Florida, from the perspective of an often abused country club employee, who must deal on a daily basis with the exploits of the tasteless, demanding, tacky and unreasonable rich white people for whom she works.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.widelawns.blogspot.com/"} +{"d:Title": "Wagner , Phil - The Vintage Diarist", "d:Description": "A site for the viewing of \"Steps\", a man's personal, unabridged journal and poetry since 1971.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.vintagediarist.com/"} +{"d:Title": "Whited, Kevin - Reflections", "d:Description": "Commentary and observations on politics, society, Montrose, and other topics from a graduate student from Houston, Texas.", "topic": "Top/Arts/Online_Writing/Journals/Personal/W", "url": "http://www.publiustx.net/journal/"} +{"d:Title": "YuChieh's", "d:Description": "This young author shares his thoughts, and personal information.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Y", "url": "http://yuchieh0.tripod.com/"} +{"d:Title": "Young, Robin A. - A Lasting Impression", "d:Description": "Journal updates and archives from a woman living in Florida.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Y", "url": "http://a-lasting-impression.iwarp.com/"} +{"d:Title": "Yummicoco", "d:Description": "Life of a Haitian woman in Jersey.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Y", "url": "http://yummicoco.blogspot.com/"} +{"d:Title": "Zette's Journal", "d:Description": "Writers personal website with an online writers journal.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Z", "url": "http://www.lazette.net/"} +{"d:Title": "Zhaf and the Cellar", "d:Description": "English graduate student reflects on everyday life.", "topic": "Top/Arts/Online_Writing/Journals/Personal/Z", "url": "http://zhafcellar.blogspot.com/"} +{"d:Title": "Right Mind Logic", "d:Description": "Journal writing software for professionals with a special focus on capturing ideas and bits of knowledge and experience. Supports quickly finding those bits with full-text search.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.rightmindlogic.com/"} +{"d:Title": "Writing The Journey", "d:Description": "An online journal writing workshop that presents journal writing concepts, tools, techniques, writing exercises, and links to supportive resources.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.writingthejourney.com/"} +{"d:Title": "Journal Writing", "d:Description": "This site offers interviews with journaling experts, reviews of journal technique books, historical and private journals, a hints and tips section, book recommendations and links to other journal sites.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://journalforyou.com/"} +{"d:Title": "Open Journal", "d:Description": "The simple Open Journal perl script will manage and maintain your Web-based musings and writings from a completely Web-based interface (say bye-bye to FTP, manual archiving).", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.grohol.com/downloads/oj/"} +{"d:Title": "Life Tales", "d:Description": "Information, newsletters and an e-zine about journaling, including writing exercises.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://karenrager.tripod.com/"} +{"d:Title": "LifeJournal", "d:Description": "Journal writing software for the PC, for personal and professional use.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.lifejournal.com/"} +{"d:Title": "BellaOnline: Journals", "d:Description": "Reviews, articles, links and discussion about weblogs, online journals, garden journals, scrapbooking and resources for journal writers.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.bellaonline.org/site/journals"} +{"d:Title": "DavidRM Software's The Journal", "d:Description": "Personal journaling system with many features.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.davidrm.com/"} +{"d:Title": "Cynthia Gallaher's Journal Tips", "d:Description": "Writing tips and prompts for journal writers.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://journaltips.blogspot.com/"} +{"d:Title": "I Color My World", "d:Description": "A service that lets you log your emotions over time using iColor. You can add your own intimate thoughts and feelings to be logged and viewed later like a diary.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.icolormyworld.com/journal.mv"} +{"d:Title": "Flickr: Visual Journal", "d:Description": "If you keep visual diaries and have pages you'd like to share, post them here. Share your creative thinking process with others.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.flickr.com/groups/visualjournal/"} +{"d:Title": "Flickr: Drawing Journal", "d:Description": "Share your random drawings, artistic lines, or just your journaling, as long as they are in a journal form.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.flickr.com/groups/drawingjournal/"} +{"d:Title": "Flickr: Picture Diary", "d:Description": "Journalling your thoughts through pictures. A group and forum for diarists who use photos.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.flickr.com/groups/picturediary/"} +{"d:Title": "Daydreaming on Paper", "d:Description": "Tips, prompts, and exercises for the creative journaler. Purple Ink.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.daydreamingonpaper.com/"} +{"d:Title": "Journal Buddies", "d:Description": "About journaling, writing ideas, journal prompts, kids writing, building and strengthening self-esteem in children and other topics such as creative writing.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.journalbuddies.com/"} +{"d:Title": "Conversations Within", "d:Description": "Free online seminar in journal writing.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.gerrystarnes.com/journal/"} +{"d:Title": "Journalite", "d:Description": "Diary or journaling app for Windows PC. Free software download.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.codingrobots.com/journalite/"} +{"d:Title": "Journaling Saves", "d:Description": "A guide to journaling, prompts and inspiration for creativity and personal growth.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.journalingsaves.com/"} +{"d:Title": "Notebook Stories", "d:Description": "A blog about notebooks, journals, moleskines, blank books, sketchbooks, office supplies, art supplies, pens, pencils, paper.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.notebookstories.com/"} +{"d:Title": "My Commonplace Book", "d:Description": "Lets writers and readers keep a commonplace book of thoughts.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://mycommonplacebook.org/"} +{"d:Title": "The Diary Life", "d:Description": "Lists of journaling prompts, reviews of diaries, how to build and create your perfect writing space, what tools to use to write in your diary.", "topic": "Top/Arts/Online_Writing/Journals/Resources", "url": "http://www.thediarylife.com/"} +{"d:Title": "Salon 21st | There goes the neighborhood", "d:Description": "There goes the neighborhood: By Janelle Brown.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Articles", "url": "http://www.salon.com/1999/12/30/feature_3_2/"} +{"d:Title": "Keeping a Couple's Journal", "d:Description": "Provides advice, ideas, suggested readings for couples to strengthen their relationship through a journal. [Selfhelp Magazine]", "topic": "Top/Arts/Online_Writing/Journals/Resources/Articles", "url": "http://www.selfhelpmagazine.com/articles/couples-therapy#sthash.N3ARmG4S.dpbs"} +{"d:Title": "LiveJournal", "d:Description": "Open source project. A service for creating and customizing a journal on the web. Download an executable tool to update your journal without being connected to the site. Additional features with paid account.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.livejournal.com/"} +{"d:Title": "Dear Diary", "d:Description": "Customizable diaries updateable via web browser including pictures. Plus accounts include additional features.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.deardiary.net/"} +{"d:Title": "DiaryLand", "d:Description": "Diaries with customizable look and feel and login capabilities from any web browser. Extra features including image hosting available with paid accounts.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://diaryland.com/"} +{"d:Title": "My Personal Diary", "d:Description": "Hosts diaries which are text only. Gives users the ability to send feedback, notification when selected journals are updated and they can be designated as private or public.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.my-diary.org/"} +{"d:Title": "Blurty Journals", "d:Description": "Community site designed for adults, based on livejournal source code. Future plans are to add paid accounts with additional features in addition to the free accounts currently offered.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.blurty.com/"} +{"d:Title": "Digital Expressions", "d:Description": "Community oriented diary site that offers public and private diaries.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.digitalexpressions.nu/"} +{"d:Title": "JournalScape.com", "d:Description": "Free online journals. Color and template styles choices, reader comments, private and public journal entries without ads.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.journalscape.com/"} +{"d:Title": "Journal Home", "d:Description": "Provides hosting space for creating, editing, managing, and authoring a weblog. Free accounts and customizable with premade templates.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.journalhome.com/"} +{"d:Title": "Dream Journal", "d:Description": "Create and customize your own dream journal. Keep track of your dreams and share them with others.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.dreamjournal.net/"} +{"d:Title": "I Love My Journal", "d:Description": "Members can search, categorize, and update their own free online journal. Public and private entries are accepted. All public entries are family-friendly.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.ilovemyjournal.com/"} +{"d:Title": "Diariste", "d:Description": "Create your own online diary. Secure, free and without advertising.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.diariste.com/"} +{"d:Title": "Hermit", "d:Description": "Create your private, personal journal or choose to share with the community. Also offers pen pal letter exchanges.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "https://www.gethermit.com/"} +{"d:Title": "Write Diary", "d:Description": "Keep all your secret notes and ideas, securely accessible from Web and Android device.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "https://www.writediary.com/"} +{"d:Title": "Inbox Journal", "d:Description": "Allows users to post entries through daily, weekly, or monthly email journal reminders.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.inboxjournal.com/"} +{"d:Title": "Forever Dita", "d:Description": "Create your online diary for free or read other member diaries from around the world.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.foreverdita.com/"} +{"d:Title": "Free Online Personal Diary", "d:Description": "A site offering free online personal diaries with photo-upload and invitations to friends using different security levels to restrict who can see which pages.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.free-online-personal-diary.com/"} +{"d:Title": "Penzu", "d:Description": "Free, personal online journal host.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://penzu.com/"} +{"d:Title": "Journella", "d:Description": "Write journal entries and collect posts from social media sites. Organize entries by subject and date. Keep private or share with others on an item by item basis.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.journella.com/"} +{"d:Title": "Living Alpha", "d:Description": "An online journaling site. Share memories, write your autobiography, and create online dream boards.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "https://livingalpha.com/"} +{"d:Title": "i Net Self", "d:Description": "Free service to capture and share the memories, feelings, hopes, and accomplishments of individuals with their social circles.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.inetself.com/"} +{"d:Title": "Up to the Sky", "d:Description": "Secured, reliable and protected personal diary.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.uptothesky.com/"} +{"d:Title": "Living File", "d:Description": "A simple way to keep a personal, online journal that can either be kept private or be shared with friends.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.livingfile.com/"} +{"d:Title": "Monkkee", "d:Description": "Secure and private journaling in the cloud. Create your own personal diary - safely backed up online, accessible from anywhere.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.monkkee.com/"} +{"d:Title": "Doccler", "d:Description": "Set up your journal and build a following.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts", "url": "http://www.doccler.com/"} +{"d:Title": "Woohu", "d:Description": "Image hosting and a WYSIWYG entry updater. One time payment to host a journal.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts/Paid_Hosts", "url": "http://www.woohu.com/"} +{"d:Title": "Bluesoft", "d:Description": "Create private or shared journals. Setup is similar to a day planner and entries can include pictures. The company is developing the journal as a marketable product and lets users use it free in hopes of receiving feedback for development.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts/Paid_Hosts", "url": "http://www.bluesoft.co.uk/diary/login.asp"} +{"d:Title": "InsaneJournal", "d:Description": "Journaling community hosting personal, customizable journals. Based on Livejournal source code.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts/Paid_Hosts", "url": "http://insanejournal.com/"} +{"d:Title": "JohnDoe Neighborhood", "d:Description": "Journals hosted on the johndoe.org domain.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts/Paid_Hosts", "url": "http://www.johndoe.org/"} +{"d:Title": "Yo Journal", "d:Description": "An online diary for recording and remembering life's details.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts/Paid_Hosts", "url": "http://www.yojournal.com/"} +{"d:Title": "Our Story", "d:Description": "Permanently capture life's stories in words and photos. Publish or share the stories privately with family and friends.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Hosts/Paid_Hosts", "url": "http://www.ourstory.com/"} +{"d:Title": "Yahoo Groups: Journal Writing", "d:Description": "Email list to discuss journaling ideas, questions or roadblocks. Journal ideas to keep you writing.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Mailing_Lists", "url": "http://groups.yahoo.com/group/journal-writing/"} +{"d:Title": "Yahoo Groups - Diary Junkies", "d:Description": "This is a place to exchange diary links and talk about your favorite online diaries.", "topic": "Top/Arts/Online_Writing/Journals/Resources/Mailing_Lists", "url": "http://groups.yahoo.com/group/Diary_Junkies/"} +{"d:Title": "Annandale High School Creative Writing Page", "d:Description": "Short stories and songs.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/A", "url": "http://www.angelfire.com/ct2/createwrite/"} +{"d:Title": "ABC Tales", "d:Description": "A community site where writers post collections of their own short stories, poetry or articles.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/A", "url": "http://www.abctales.com/"} +{"d:Title": "Abbeydale Writers", "d:Description": "Prose and poetry in many genres produced by group members.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/A", "url": "http://www.abbeydale-writers.co.uk/index.html"} +{"d:Title": "AKpCEP", "d:Description": "Reader submitted poetry, fiction and art, as well as a discussion forum.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/A", "url": "http://www.akpcep.com/"} +{"d:Title": "A Darker Vision", "d:Description": "Poetry, short stories and a weekly column. Commentary on political, religious and other topics.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/A", "url": "http://www.darkervision.com/"} +{"d:Title": "Aprilchick.com", "d:Description": "Essays, poems, short fiction pieces and photography by a San Francisco writer.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/A", "url": "http://achickx.tripod.com/mysite/index.html"} +{"d:Title": "Bad Attitudes", "d:Description": "Novels, poetry, commentary by Jerome Doolittle and K.C. Constantine.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/B", "url": "http://www.badattitudes.com/"} +{"d:Title": "Bend of Bay", "d:Description": "An online publication featuring poetry, fiction, performance and a gallery.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/B", "url": "http://www.bendofbay.org/"} +{"d:Title": "BC Creative Collective", "d:Description": "A collective of disappearing art, improv poetry, impregnated ideas, a gallery of scents, and inevitably insane celebrations of chaos.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/B", "url": "http://creative_collective.tripod.com/bigclitcreativecollective/"} +{"d:Title": "theBlueSmokeBand", "d:Description": "A Radical Repository For Experimental Arts: music, writing, photo, video, audio collage.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/B", "url": "http://www.thebluesmokeband.com/"} +{"d:Title": "Brain Meat", "d:Description": "Fiction, poetry, and essays by Josh Sonnier.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/B", "url": "http://brain-meat.com/"} +{"d:Title": "Confession Expression", "d:Description": "Creative writing, poetry, and music from several authors.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/C", "url": "http://members.tripod.com/~myconfessi0n/Home.htm"} +{"d:Title": "Dissident Editions", "d:Description": "Poems and essays by trenchant Northern Irish writer and publisher Anthony Weir, plus other contemporary poets.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/D", "url": "http://www.beyond-the-pale.co.uk/"} +{"d:Title": "Degenerate Press", "d:Description": "Broadcasting \"Electric Degeneration\", a semi-weekly e-zine on Atlanta's music scene, as well as commentary on politics, society, and religion.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/D", "url": "http://www.degeneratepress.com/"} +{"d:Title": "dreamstretch.com", "d:Description": "A showcase for original poetry, stories and art.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/D", "url": "http://www.dreamstretch.com/"} +{"d:Title": "DigiZine", "d:Description": "Venue for writers, artists and musicians to showcase their work. Will review all material submitted for consideration.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/D", "url": "http://www.digizine.com/"} +{"d:Title": "Dreamingirl -- Dream City", "d:Description": "Dreams, stories, poems, essays, and art. Invites public submissions.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/D", "url": "http://www.angelfire.com/ok3/dreamingirl/index.html"} +{"d:Title": "E.B.W.A.", "d:Description": "Short stories, fan fiction and pictures of the authors.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/E", "url": "http://www.angelfire.com/goth/ebwa/"} +{"d:Title": "The Emerald Sanctuary", "d:Description": "Artistic, literary, and musical works of the members of the warped.net IRC community.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/E", "url": "http://www.emeraldsanctuary.com/"} +{"d:Title": "Forlorn Inspirations", "d:Description": "Poetry, short stories, religious inspirations, and dreams or nightmares.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/F", "url": "http://clinicallydepressed.tripod.com/"} +{"d:Title": "Fablespinners", "d:Description": "Publishes poetry, short stories, and commentary at its website and via RSS feed.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/F", "url": "http://fablespinners.blogspot.com/"} +{"d:Title": "Get Writing NI", "d:Description": "BBC NI website that showcases local writers poetry or short stories. No longer updated.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/G", "url": "http://www.bbc.co.uk/northernireland/learning/getwritingni/"} +{"d:Title": "The Gloob", "d:Description": "Collection of short ghost, humor and mystery stories by various authors. Also features poems and editorials.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/G", "url": "http://thegloob.tripod.com/"} +{"d:Title": "Giant Creative Writing Archive", "d:Description": "An archive of creative writing of all sorts.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/G", "url": "http://black_wednesday.tripod.com/index.html"} +{"d:Title": "Jen Goode\u2019s Original Art Prints", "d:Description": "Art prints and blog.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/G", "url": "http://www.100directions.com/creativity/printables/jen-goode-printable-shop/"} +{"d:Title": "Junk and Debris", "d:Description": "Short Stories, jokes and observations.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/J", "url": "http://www.angelfire.com/zine2/anonymous20/"} +{"d:Title": "Joko Jun", "d:Description": "An online community featuring stories, diversions, shorts, weblogs, poems, photos, events. New submissions welcome.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/J", "url": "http://www.jokojun.com/"} +{"d:Title": "LoudLit", "d:Description": "Provides classic literature with accompanying audio narration. Includes novels and poetry that can be read on line but requires an MP3 player for the audio narration.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/L", "url": "http://www.loudlit.org/"} +{"d:Title": "Literary Forum", "d:Description": "Discuss and publish writings sorted by genre.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/L", "url": "http://www.crownedanarchist.com/forum/"} +{"d:Title": "The Muse Factory", "d:Description": "Showcases contributed amateur writing.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/M", "url": "http://www.angelfire.com/zine/musefactory/"} +{"d:Title": "Mattlesnake", "d:Description": "Short stories, novels, poetry, satire, rants, essays, theories, and philosophical ideas.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/M", "url": "http://www.mattlesnake.com/"} +{"d:Title": "Marred Serenity Publications", "d:Description": "Collection of poetry and short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/M", "url": "http://marred_serenity.tripod.com/index2.html"} +{"d:Title": "Ergo Poetry", "d:Description": "Community oriented poetry site, with blog links and user comments.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/M", "url": "http://www.ergopoetry.com/"} +{"d:Title": "Nice Stories", "d:Description": "Story archive with several categories of user submitted short stories, rated by readers. Includes fiction, non-fiction and poetry. Also includes a young readers section.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/N", "url": "http://www.nicestories.com/"} +{"d:Title": "NrQ Literary Portfolio", "d:Description": "Literary portfolio that showcases poetry and prose of different topics. [Flash and Shockwave player required]", "topic": "Top/Arts/Online_Writing/Mixed_Genre/N", "url": "http://nrqpapa.tripod.com/"} +{"d:Title": "Nippies", "d:Description": "Short stories and fiction on an ongoing basis.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/N", "url": "http://www.nippies.com/"} +{"d:Title": "Our Place", "d:Description": "Home for the poet, the dreamer and the creative but lonely heart.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/O", "url": "http://ourplace-delphi.freeservers.com/"} +{"d:Title": "Our Stories", "d:Description": "Contains stories, a letter from the editor and an author interview.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/O", "url": "http://www.ourstories.us/"} +{"d:Title": "A Poets Message", "d:Description": "Published and unpublished poems, as well as short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/P", "url": "http://webpages.charter.net/vayeo"} +{"d:Title": "PyrateKing Inn", "d:Description": "Stories and poetry with a sea-faring flavour.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/P", "url": "http://www.angelfire.com/ego/PyrateKingInn/"} +{"d:Title": "Poets.net", "d:Description": "Accepts submissions for online publication, including poetry, essays, and short plays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/P", "url": "http://www.poets.net/"} +{"d:Title": "Pieces of Me Webring", "d:Description": "A webring for online diaries and other writing pages, including short stories and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/P", "url": "http://www.webring.org/hub?ring=pieces"} +{"d:Title": "Armstrong, Ken", "d:Description": "Radio plays, theatre plays, films, stories, criticisms, jokes, anecdotes and diary entries.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/A", "url": "http://homepage.eircom.net/~kfelix"} +{"d:Title": "Amaury's Amazing Archives", "d:Description": "Includes a free novel, works of literature, photographs, short stories, and poems.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/A", "url": "http://www.alaporte.net/"} +{"d:Title": "Afterwords", "d:Description": "Original prose and poetry, as well as fan fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/A", "url": "http://homepage.eircom.net/~xorcha/afterwords.htm"} +{"d:Title": "A Loner's Ravings", "d:Description": "A combination of fiction, poetry, personal experiences and mythology.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/A", "url": "http://www.loner2.blogspot.com/"} +{"d:Title": "Let Me Tell You A Story", "d:Description": "Official page and fiction blog for Lis Anna.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/A", "url": "http://letmetellyouasstorynow.blogspot.com/"} +{"d:Title": "Burke, Ian - Babbling Brook, Buding Tree", "d:Description": "An online journal and collection of select personal expository prose and other writings.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://irjburke.tripod.com/"} +{"d:Title": "Benavage, Emily Alexandra - Xalia's Domain", "d:Description": "Short fiction and poetry, as well as some fan fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.angelfire.com/journal/xalia/index.html"} +{"d:Title": "BarbaraElla - Passions of The Heart", "d:Description": "Romantic writings and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.angelfire.com/wv/writingsbytamar/index.html"} +{"d:Title": "Ben and Jerry Plays", "d:Description": "Two girls' scripts inspired by classmates, as well as stories, the authors' diaries, and various graphics.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.angelfire.com/film/benandjerry/"} +{"d:Title": "Banker, Terry", "d:Description": "Book written by a spouse for a spouse on his experience with his wife's battle with breast cancer. Includes fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.terrybanker.com/"} +{"d:Title": "Baines, Carlo - The Complete Works of", "d:Description": "Also includes works by other authors with similar viewpoints.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.angelfire.com/journal2/cbaines/"} +{"d:Title": "Crone, Kevin - Breathe!", "d:Description": "Poetry, prose, an e-zine, articles and essays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://kcr1415.tripod.com/"} +{"d:Title": "Beauty", "d:Description": "A personal site containing images and creative writing.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://carresdeer.tripod.com/beauty.html"} +{"d:Title": "Basher's Webpage", "d:Description": "A novel, short stories, and poetry, as well as works in progress.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.angelfire.com/scary/basher1/"} +{"d:Title": "The Black Inky Nothingness", "d:Description": "Original poetry and short fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.blackinkynothingness.com/"} +{"d:Title": "Badt, Karin Luisa", "d:Description": "Homepage of the author and professor of cinema and theater. Includes short stories, drafts, and articles.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://kbadt.free.fr/"} +{"d:Title": "Nicole, Robin - The Robin's Nest", "d:Description": "Short stories, poetry, photography, recipe's, and a journal by the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.freewebs.com/rbrady77/"} +{"d:Title": "Brandywine Books", "d:Description": "A news weblog about books, reading, and writing, with occasional creative writing.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://brandywinebooks.blogspot.com/"} +{"d:Title": "Brittain, Liana - Dancing on the Edge", "d:Description": "Children's stories, poetry, and art exhibits.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/B", "url": "http://www.lianabrittain.ca/"} +{"d:Title": "Christmas Peters Place", "d:Description": "Poetry, fictional works and Photographs of the Kingdom of Saudi Arabia.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/C", "url": "http://www.angelfire.com/ny2/christmaspeter/"} +{"d:Title": "Cauwenberghs, Nicole Oakwood - Trillian Left", "d:Description": "Original fiction, poetry, fan fiction, and artwork.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/C", "url": "http://www.angelfire.com/scifi/TrillianLeft/"} +{"d:Title": "Cotts, Brian - Cottsweb", "d:Description": "Writer of genre-hopping fiction, experimental things, and the net-column \"30\".", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/C", "url": "http://briancotts.tripod.com/"} +{"d:Title": "Chisholm, Joseph - central joe", "d:Description": "A collection of songs, reflections, stories, and general ramblings.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/C", "url": "http://www.accesscable.net/~joe/"} +{"d:Title": "Carol, Emily - Flying-Solo", "d:Description": "Gilmore Girls and Alias fanfiction, poetry, and original prose.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/C", "url": "http://www.angelfire.com/me5/flying-solo/"} +{"d:Title": "Currie, S. P. - The Amazing Technicolor Goldfish", "d:Description": "Short stories, poetry, plays, essays, articles, and songs.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/C", "url": "http://www.technicolorgoldfish.net/"} +{"d:Title": "Deviant Genome", "d:Description": "Poetry, short stories and opinions by a man struggling for peace of mind in the insane world of his creation.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://members.tripod.com/deviantgenome_0/"} +{"d:Title": "Deefholts, Margaret - Margaret's Place", "d:Description": "Prose and poetry, book reviews, global travel articles, travel India, and Anglo-Indian memoirs.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://www.margaretdeefholts.com/"} +{"d:Title": "Davis, Stacey - The Works and Musings of", "d:Description": "Providing for the reader a collection of poetry, prose works, and lyrically-themed writings", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://www.angelfire.com/poetry/spdworks/"} +{"d:Title": "The Drunken Freak", "d:Description": "Writings in a few different genres such as poetry, action/adventure, and humor.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://drakeroland.tripod.com/thedrunkenfreak/"} +{"d:Title": "Design Elements", "d:Description": "Song and advertisement writing.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://roycerus.20m.com/"} +{"d:Title": "Deep In The Heart", "d:Description": "Fiction and non-fiction short stories and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://www.angelfire.com/rebellion/delpharia/index.html"} +{"d:Title": "David Coyote's Den", "d:Description": "Poems, short stories, chat, news, photo gallery, and information about the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://www.dcoyote.com/"} +{"d:Title": "De Paiva, Viviane", "d:Description": "Buddhist painter and poet.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://viviane.depaiva.free.fr/"} +{"d:Title": "Dead Petals", "d:Description": "Original dark poetry and stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://www.angelfire.com/poetry/abulia/"} +{"d:Title": "Discount Bloggers Anonymous", "d:Description": "The authors views and opinions on what in the world is good or needs to be changed.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://www.angelfire.com/games4/joshstigames/views/"} +{"d:Title": "Duchess' Domain", "d:Description": "Original short stories, novellas, personal narratives, and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://duchesspariah.tripod.com/"} +{"d:Title": "De Soto, Michael", "d:Description": "Musings of the author, as well as clips and photos.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://www.michaeldesoto.com/"} +{"d:Title": "Decker, Edwin", "d:Description": "Rants, poetry, travel journals, short stories and reviews.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/D", "url": "http://edwindecker.com/"} +{"d:Title": "Edison, Melinda - Open Letters", "d:Description": "Series of provocative letters on various topics, including life, death, food, and sex.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/E", "url": "http://www.angelfire.com/zine2/letters/index.html"} +{"d:Title": "Expressions", "d:Description": "Haiku and essays about modern life.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/E", "url": "http://www.bhagwad.com/blog/"} +{"d:Title": "Flood, Donna", "d:Description": "Recipes, family history, poetry, art lessons, short stories and her book on frugal living.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/F", "url": "http://www.electricscotland.com/history/america/donna/index.htm"} +{"d:Title": "Freimund, Lincoln Daniel - An Imaginary Boy", "d:Description": "Contains stories (long and short), poetry, and notes on the nature of God and society.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/F", "url": "http://www.angelfire.com/art/imaginaryboy/"} +{"d:Title": "Francine, Christina", "d:Description": "Writer of fiction, non-fiction, trivia, reviews and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/F", "url": "http://www.cfrancine.bizland.com/"} +{"d:Title": "From Whispers of Angels", "d:Description": "Short stories, slideshows and photography.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/F", "url": "http://www.angelfire.com/ny5/angelswithme/"} +{"d:Title": "Fill My Head With Bubblebath", "d:Description": "Poetry, short fiction, fine art, photography, and some thoughts on and about mental illness.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/F", "url": "http://photofoxygirl.tripod.com/"} +{"d:Title": "From Graceland", "d:Description": "Features the humor columns of Joe Grace, sports writer and columnist for the La Salle NewsTribune.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/F", "url": "http://fromgraceland.blogspot.com/"} +{"d:Title": "Gemma - The Bookshelf", "d:Description": "Prose, poetry and essays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.angelfire.com/mt/bookshelf/"} +{"d:Title": "Grimwood, Jon Courtenay", "d:Description": "Includes extracts from novels, interviews, reviews, science fiction articles, virtual girlfriends and smart drugs.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.j-cg.co.uk/"} +{"d:Title": "Gray, Justin - Big D Land", "d:Description": "Plays, stories, and an essay that explore everything from a horror story to buying a slurpee at a 7-11.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://bigdland.tripod.com/"} +{"d:Title": "Gibson, Dan - Stories and Poetry", "d:Description": "A collection of short stories and poems.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.angelfire.com/tn2/storiesandpoetry/index.html"} +{"d:Title": "Gonzo Turtle", "d:Description": "The quest for love, understanding and fulfillment, and the many paths for approaching them are explored in poetry, fiction, a TV script and a newsletter found at this site.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.gonzoturtle.com/"} +{"d:Title": "Gregory, R G -Towards a Cathedral of Ohs", "d:Description": "An autobioraphy and samples of work by this dramatist, playwright and poet.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.rggregory.com/"} +{"d:Title": "Gill, Brian H. - Brian's Attic", "d:Description": "A collection of stories and articles.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.brendans-island.com/brianattic.htm"} +{"d:Title": "Gray Matter Sandwich", "d:Description": "Movie reviews, an advice column, essays, art, science, satire, farce.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://members.tripod.com/~graymattersandwich/"} +{"d:Title": "Gabree, John", "d:Description": "Collection of reviews and commentaries on politics, design and planning, books, movies, periodicals, and music.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.johngabree.com/"} +{"d:Title": "Grund, Harvey - Reality ... What A Concept!", "d:Description": "Short stories, verse, and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://members.tripod.com/harvey_736/reality.html"} +{"d:Title": "Gianni, Kevin Matthew", "d:Description": "Poems, music downloads, fiction story, and writing resources.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.kevingianni.com/"} +{"d:Title": "Garcia, Carlos David", "d:Description": "Scripts, articles and other writings. Writer's resources, writing links, poetry links.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.carlosdavid.blogspot.com/"} +{"d:Title": "Gone Away", "d:Description": "Creative writing and short stories on contrasts in culture between America, England and Africa by an Englishman now living in Oklahoma.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.madtv.me.uk/goneaway.aspx"} +{"d:Title": "Goddard, Philip", "d:Description": "Novels, stories, and poetry, with the full works for download.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.philipgoddard-fiction.co.uk/"} +{"d:Title": "Gordon, Nicholas", "d:Description": "Contains poems and stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://www.poemsforfree.com/"} +{"d:Title": "Gregg, Kathy - Lake Effect", "d:Description": "The Chicago author posts non-fiction and fiction, thoughts, rants, and observations.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/G", "url": "http://kathygregg.wordpress.com/"} +{"d:Title": "Hoskins, Mark", "d:Description": "Poetry, prose and passions.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://mark_hoskins.tripod.com/markosworld/"} +{"d:Title": "Hinton, M.J. - A Dark Corner of Secrets", "d:Description": "A collection of short stories and poems.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://members.tripod.com/~M_J_Hinton/index.html"} +{"d:Title": "Her Divided Mind", "d:Description": "Fictional short stories, self expresion, dark poetry, and a collection of quotes.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://www.angelfire.com/poetry/riven_almeare/"} +{"d:Title": "Hrdina, William - The Weird World of", "d:Description": "Collection of short stories by the author. Also contains a weblog, and art.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://www.williamhrdina.com/"} +{"d:Title": "Hernandez, Arturo - Stories Bizarre", "d:Description": "A collection of poems and short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://www.storiesbizarre.fashionablewebs.com/"} +{"d:Title": "Harris, Kathy Lynn", "d:Description": "Poetry, short stories, essays, and two online novels.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://kathylynnharris.com/"} +{"d:Title": "Life and Times", "d:Description": "Poetry, artwork, personal documentary, and social criticism by musician and author Theresa Haffner.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://theresahaffner.blogspot.com/"} +{"d:Title": "Harris, Alan - An Everywhere Oasis", "d:Description": "Stories, poems, and essays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/H", "url": "http://www.alharris.com/"} +{"d:Title": "Idle Thoughts", "d:Description": "Contains poetry and short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/I", "url": "http://www.angelfire.com/pa5/ejh/index.html"} +{"d:Title": "I Smell Bear", "d:Description": "Contains blogs, flash fiction, lists, and links to other short fiction sites.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/I", "url": "http://bearsmell.blogspot.com/"} +{"d:Title": "ImaginationUnleashed", "d:Description": "Creative output of the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/I", "url": "https://sites.google.com/site/thomastempest/"} +{"d:Title": "JaxonEbooks", "d:Description": "A site containing previews of novels, novellas, short stories and enlightened thoughts and verse all written and illustrated by the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/J", "url": "http://www.jaxonebooks.com/"} +{"d:Title": "Jessica's Original Writings", "d:Description": "Original writings from a lonely college student. Includes short stories and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/J", "url": "http://members.tripod.com/~J_Lennon/"} +{"d:Title": "J's Thought History", "d:Description": "A psychologist and postmodern writer. Novel and journal abstracts, short stories, poetry, pastiches, opinion, weblog, news, and books and film reviews.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/J", "url": "http://jaijack.tripod.com/"} +{"d:Title": "Jorgensen, Christopher L. - The Fervor Flower", "d:Description": "A collection of fiction, poetry, artwork by the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/J", "url": "http://www.fervorflower.com/"} +{"d:Title": "Jonas, Randall", "d:Description": "Lessons and advice of Randall Jonas: an editor, writer and university lecturer. Includes resume, poetry, weblog, and photos.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/J", "url": "http://www.angelfire.com/art/fiddles/"} +{"d:Title": "Katrina - Vivid Dreams", "d:Description": "A collection of journal writings, poetry, famous quotes, stories and songs.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/K", "url": "http://www.angelfire.com/ky2/moirai/"} +{"d:Title": "Kerr, Yoon - Moonlit Sketch", "d:Description": "Offers two sample chapters, one poetry and one short story, from unpublished novel. Original writing inspired by ancient Goddess culture.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/K", "url": "http://www.moonlitsketch.20m.com/"} +{"d:Title": "Eightofninekevssight", "d:Description": "Personal page with poetry and writing by the author and friends.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/K", "url": "http://eightofninekev.tripod.com/eightofninekevssight/"} +{"d:Title": "King, Laudizen", "d:Description": "Collection of writings and image galleries of the author's favorite photos.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/K", "url": "http://www.laudizen.com/"} +{"d:Title": "Korgis-Fitzpatrick, Michelle - The Writers Hand", "d:Description": "Poetry, articles, stories,and author's biography.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/K", "url": "http://freepages.history.rootsweb.ancestry.com/~mkfitz/"} +{"d:Title": "Kleen, Michael", "d:Description": "Short stories, poems, essays, and photography.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/K", "url": "http://www.michaelkleen.com/"} +{"d:Title": "Kennedy, Richard R.", "d:Description": "Various writings from a septuagenarian.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/K", "url": "http://www.angelfire.com/poetry/rrjksr/front.htm"} +{"d:Title": "Lareau, Chris - Writer's Workshop", "d:Description": "The fiction and non-fiction writings of the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://chrislareau.tripod.com/chrislareau"} +{"d:Title": "Lunalady's Celestial Pages", "d:Description": "Original poetry and prose with graphic interpretation.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://www.angelfire.com/ca3/lunalady/index.html"} +{"d:Title": "Lopez, Louis - Philosophy and Fiction", "d:Description": "A sample of writing on contemporary philosophy, novel excerpts, short stories, and commentary", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://www.angelfire.com/ok/louislopez/"} +{"d:Title": "Lapisardi, Amy - Freakland", "d:Description": "Disjointed thoughts, pontifications, samplers from novels and paintings.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://www.cobal.org/sigilkitty"} +{"d:Title": "Larson, Stephen M. - Acoustic Words", "d:Description": "Original short stories, poetry, essays, novel excerpts and complete novels.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://www.freewebs.com/acousticwords/"} +{"d:Title": "Land of the Genius", "d:Description": "From dodgy photo diaries to philosophy, computer games to nostalgia to art to religion here with smattering of poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://landofthegenius.tripod.com/"} +{"d:Title": "Lost Ground", "d:Description": "Home of the poetry, art, stories, and graphics created by members and friends.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://www.angelfire.com/realm2/lost_ground/"} +{"d:Title": "La La Land", "d:Description": "Contains poetry, prose, artwork, and music.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://www.angelfire.com/weird2/la_la_land/index.html"} +{"d:Title": "Layer Of Ember", "d:Description": "Several original fiction stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/L", "url": "http://x_hikage_habu_x.tripod.com/home.html"} +{"d:Title": "The Magic Helix", "d:Description": "A collection of stories, images and ideas exploring the principal that you are what you believe.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.magichelix.com/"} +{"d:Title": "McCardell, Tina-Lu - PixieGoRound", "d:Description": "Site contains stories of romantic nature, plus poetry, articles and photography.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://tephi.tripod.com/"} +{"d:Title": "Mistress Jasmiee - Black Earth Cat's Library", "d:Description": "Stories, poetry and fan fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.angelfire.com/my/blackearthcat/"} +{"d:Title": "Matthew Lubin's Homepage", "d:Description": "Art, poetry, fiction, and essays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.angelfire.com/blues/mattlubin/"} +{"d:Title": "Moth Man", "d:Description": "Stories, fan fiction, and art.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.angelfire.com/zine2/zio/Moth/Moth00.html"} +{"d:Title": "Malachy's Web Stories", "d:Description": "A site for short stories, artwork, poetry and music.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.angelfire.com/weird2/malachys_stories/index.html"} +{"d:Title": "Mixbag of Musings", "d:Description": "Tales of the author's past adventures and my current struggles; written in easy prose, short story form.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://mixbagofmusings.blogspot.com/"} +{"d:Title": "The Midnight Hunter", "d:Description": "Contains short stories, travelogues and photographs by the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.themidnighthunter.com/"} +{"d:Title": "Menchgelof's Mind", "d:Description": "Personal stories, poetry, and notebook entries.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.menchgelof.com/"} +{"d:Title": "MrCrow", "d:Description": "Writing on current affairs, society, language, history, culture, science and philosophy; also poetry, humour, cartoons and reader feedback.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.mamut.net/MrCrow/"} +{"d:Title": "Mavin, John - Mavinshome", "d:Description": "Historical, horror, fantasy and science fiction stories and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "http://www.johnmavin.com/"} +{"d:Title": "Morgan, Nicola", "d:Description": "Author of the highly unusual novel for teenagers, Mondays are Red, is a literacy expert who has written over 50 home learning workbooks.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/M", "url": "https://www.nicolamorgan.com/"} +{"d:Title": "Neuman, Matt - The Selected Works of", "d:Description": "Includes fiction, essays, screenplays and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/N", "url": "http://www.mattneuman.com/"} +{"d:Title": "Nothing", "d:Description": "Contains poetry, non-fiction and fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/N", "url": "http://www.angelfire.com/poetry/noth1ng/"} +{"d:Title": "Noble Dragon", "d:Description": "Slash-friendly site that offers fanfiction and fanart for Buffy, ReBoot, Gundam, Lord of the Rings and others.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/N", "url": "http://dragonmaster344.tripod.com/"} +{"d:Title": "Nelzira's Blackbox", "d:Description": "Contains original poetry and short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/N", "url": "http://www.angelfire.com/psy/strang_air/"} +{"d:Title": "Oni - This Might Be Oni", "d:Description": "The poems, the stories, the diaries of Oni and the art of Beautiful Boy Steve.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/O", "url": "http://onichan.tripod.com/index.html"} +{"d:Title": "Oreahna - Psychic Vampriess's Lair", "d:Description": "Several types of writing, poems and songs.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/O", "url": "http://www.angelfire.com/weird/psychicvampiress/"} +{"d:Title": "Ode to No One", "d:Description": "Poems, lyrics, quotes, and stories with a dark theme.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/O", "url": "http://www.angelfire.com/musicals/suckthebigone/"} +{"d:Title": "Parker , E - The X Vault", "d:Description": "An eclectic collection of writings from an unknown author, including poetry and journal excerpts.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://www.angelfire.com/tx4/XVault/"} +{"d:Title": "Perry, Paul - Alamut: Bastion of Peace and Information", "d:Description": "A diverse collection of writings, including a personal journal, essays, and email threads, all tied together with a topic index.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://www.alamut.com/"} +{"d:Title": "Pisciotta, Samuel W - Pisciotta's Ink Plot", "d:Description": "The short fiction, poetry, and novel excerpts.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://www.members.tripod.com/spisciotta/"} +{"d:Title": "Princess Moni's Stories", "d:Description": "Fan fiction and original poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://www.angelfire.com/boybands/bsbfavfanfic/"} +{"d:Title": "Pfeffer, Matt - Provenance Unknown", "d:Description": "Various writings by the author, and whoever else dares contribute.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://provenanceunknown.com/"} +{"d:Title": "A Person's Guide to Things", "d:Description": "Includes a personal view on certain topics.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://aperson10.tripod.com/"} +{"d:Title": "Petmunchkin the Writer", "d:Description": "A site filled with poetry, short stories, thoughts, and discussion room.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://petmunchkin2.tripod.com/"} +{"d:Title": "Pope, Stephanie - MythoPoetry", "d:Description": "Various stories and poetry by the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://www.mythopoetry.com/"} +{"d:Title": "PheonixAngel", "d:Description": "Poetry, short fiction, and photos.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://www.angelfire.com/creep/pheonixangel11/"} +{"d:Title": "Prometheus Promise", "d:Description": "Original poetry, essays and short stories by contemporary writer Prometheus Promise, as well as inspirational photos.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://www.freewebs.com/prometheuspromise/"} +{"d:Title": "Parker, Marisha - Arkhein", "d:Description": "Collection of original fiction, fanfiction, and original fiction, largely inspired by sci-fi and fantasy.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/P", "url": "http://arkhein.atspace.com/"} +{"d:Title": "Rhodes-Olliver, Violet Marie - The Unexamined Life", "d:Description": "Writings and ponderings about the world, seen through the eyes of a critical 18-year old girl. Includes poetry, fiction and non-fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://violetcadet.tripod.com/"} +{"d:Title": "Roberson, Renar M - White Pages", "d:Description": "A collection of verse, fictional prose and essays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://zencandy.com/"} +{"d:Title": "Rutledge, Adam - The Pocket Soapbox", "d:Description": "Written works ranging from newspaper articles to poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://pocketsoapbox.tripod.com/"} +{"d:Title": "Rankins, K.D. - Littera Scripta Manet", "d:Description": "Personal website featuring the award-winning work of the teenage author. Includes historical fiction, short stories, poetry and essays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://kdrankins.tripod.com/"} +{"d:Title": "Reitzes, Dave - Perpetual Starlight", "d:Description": "Original fiction, plays, articles, music and miscellaneous textual entities.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://www.reitzes.com/"} +{"d:Title": "Raw Emotion", "d:Description": "Poetry and short stories by unpublished author. Mixture of fiction, science fiction, and fantasy.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://raw_emotion.tripod.com/"} +{"d:Title": "Rants, Raves, and Randomness", "d:Description": "A teenage girl's poetry, original fiction, and fan fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://www.angelfire.com/super/jaj/"} +{"d:Title": "Raycolon", "d:Description": "Personal web site of Ramon E. Colon. Includes short stories, photos, web tips, and multimedia.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://www.raycolon.com/"} +{"d:Title": "Robert's Realm of Redundancy", "d:Description": "Several poems and short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://crusaderoflight880.tripod.com/"} +{"d:Title": "Russell, Jonathan - MacPhoenix", "d:Description": "Movie reviews, poems, music, and a weblog.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://www.macphoenix.com/"} +{"d:Title": "Rowe, Walker Elliott", "d:Description": "Essays, travelogues, book and play reviews, social commentary.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://www.walkerrowe.com/"} +{"d:Title": "Roberts, Steven", "d:Description": "Contains samples of the author's work, including novel excerpts, short stories, and poems.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://www.robertswriter.net/"} +{"d:Title": "Riley, Stone - Druid Talesman", "d:Description": "True myth; a wandering search revealed in dispatches from an unknown poet. Poems and short tales.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "http://stoneriley.com/"} +{"d:Title": "Random Factor Y homepage", "d:Description": "Showcase of texts, aphorisms and poetry, all in PDF format.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "https://pjvm.home.xs4all.nl/"} +{"d:Title": "Rush, Suzanne - Boy, Are My Arms Tired", "d:Description": "Writing, humor, reviews, essays, books, news and commentary.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/R", "url": "https://boyaremyarmstired.wordpress.com/"} +{"d:Title": "Soul Food Cafe", "d:Description": "A place for the creative, with blogs, links and articles, based in Australia.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://www.dailywriting.net/"} +{"d:Title": "Slashqueen Internet Hub", "d:Description": "Various short stories, novels, poetry, pictures, and other media.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://www.angelfire.com/oh/savagethings/begin.html"} +{"d:Title": "Smith, Barbara - Barb's Hidyhole", "d:Description": "Short stories and verse.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://www.smith-36.freeuk.com/"} +{"d:Title": "Shaik, Nawaz - Online Writings", "d:Description": "The author writes and shares views and hobbies.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://nawaz.tripod.com/"} +{"d:Title": "Spicer, Courtney - Shorty Angel", "d:Description": "Writing, pictures, and bible study.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://shortyangel.8m.com/"} +{"d:Title": "Salter, C.B.", "d:Description": "Various short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://cbsalter.tripod.com/"} +{"d:Title": "Scattered Leaves", "d:Description": "Contains stories, poetry, and fan fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://members.shaw.ca/silverflame/sindex.htm"} +{"d:Title": "St. Amand, Scott - The Last of the Romantics", "d:Description": "Poetry, Prose, Drama, and the Novel that lends its name to the site.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://www.angelfire.com/poetry/iamdillon/"} +{"d:Title": "Sammy, G. K.", "d:Description": "Short Stories and Poems from a West Indian.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://georgieboy53.tripod.com/"} +{"d:Title": "Sliding Sanity", "d:Description": "Art, non-fiction, fiction, and poetry concerning being diagnosed with a mental illness.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://slidingsanity.blogspot.com/"} +{"d:Title": "Spindle, R. J.", "d:Description": "Short stories, poetry and essays. Includes synopsis of several works in progress.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://www.rjspindle.com/"} +{"d:Title": "Sunshine, Dee", "d:Description": "Artist, writer and musician offers a biography, poems and information on his books, including fiction and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/S", "url": "http://www.rimbaud.org.uk/"} +{"d:Title": "Tommy Mac1018 - Tom's Writer's Journal", "d:Description": "Short stories and poetry by a teenage aspiring writer.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://www.angelfire.com/nj3/shpprose/index.html"} +{"d:Title": "Tsilo", "d:Description": "Poetry, tablature, and inspirational quotes by the author and from others.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://www.angelfire.com/art/tsilo/tsilo.html"} +{"d:Title": "Tong Chek Kiah, Florence - StormyHeart", "d:Description": "Poems and stories, interesting quotes, forwards and emails from friends, important events in his life on love, career and thoughts.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://mystormyheart.tripod.com/"} +{"d:Title": "Thoughts and Ideas", "d:Description": "Sharing opinions, thoughts and ideas. Contains various essays and accepts submissions.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://www.thoughtsandideas.com/"} +{"d:Title": "The Ticking Rose", "d:Description": "Non-profit publisher of offbeat poetry, non-fiction, personal journals, senior memoirs, newsletters, and travel.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://thetickingrose.com/"} +{"d:Title": "Trehan, Sanjay", "d:Description": "Articles and poems on a variety of subjects.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://sanjaytrehan.com/"} +{"d:Title": "Thoughts of the Human Spirit", "d:Description": "Several poems and short prose.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://www.premieraudio.com/depower.html"} +{"d:Title": "Tyos Dream", "d:Description": "Site of personal artistic expression, including poetry, pictures, graphics, and stories by the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/T", "url": "http://tyosdream.com/"} +{"d:Title": "Vibber, Kelson - Reflections: The Writings of", "d:Description": "Original short fiction, along with poetry, filk, and fan fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/V", "url": "http://www.hyperborea.org/writing/"} +{"d:Title": "Volenski, Alexander", "d:Description": "Author and poet, writing fiction, non-fiction, books and narrative tapes.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/V", "url": "http://members.tripod.com/~ghostlysince/"} +{"d:Title": "Virtual Rants", "d:Description": "Journal of the author's experiences and thoughts, with comments and conversation encouraged.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/V", "url": "http://roughdraft.typepad.com/virtualrants/"} +{"d:Title": "The Writer's Block", "d:Description": "A space for the authors' rants and ramblings.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://spyder_john.tripod.com/writersblock"} +{"d:Title": "World of Dreams", "d:Description": "Fiction, non-fiction, some pictures.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://www.angelfire.com/ga/ezhome4me/"} +{"d:Title": "Welcome To My World", "d:Description": "Original stories, poems, and song lyrics.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://kaizkick13.tripod.com/"} +{"d:Title": "Writings", "d:Description": "A page including the works of author Jessica Carroll.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://jesscc31669.tripod.com/"} +{"d:Title": "Witherow, Judith K. - Wailing With The Wolves", "d:Description": "Features writings of a mixed blood Native American author. Writings include poetry and essays about disability, gender, sexual orientation, race and class.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://www.jkwitherow.com/"} +{"d:Title": "Witham, Jim", "d:Description": "A collection of novels, short stories and essays by the Kentucky writer.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://web.qx.net/mrjim/"} +{"d:Title": "Wyxie's Pen", "d:Description": "Poems, essays, and short stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://www.angelfire.com/moon/wyxie/"} +{"d:Title": "Wood, M. E.", "d:Description": "Newsletters, poetry, articles, artwork, and links.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://www.m-e-wood.com/"} +{"d:Title": "Whyte, Gerard", "d:Description": "Contains poetry, stories, articles and rantings, as well as pictures, programs, games and websites by the author.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Personal_Pages/W", "url": "http://gerardwhyte.net/"} +{"d:Title": "Quiet little Lies", "d:Description": "A growing, offbeat collection of non-fiction and fiction short stories and other prose in varied styles.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Q", "url": "http://www.quietlittlelies.com/"} +{"d:Title": "Realm of the Dreamers", "d:Description": "Features an ongoing fantasy serial, poetry, and various writings.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/R", "url": "http://www.angelfire.com/nc/Ambrosia13/"} +{"d:Title": "Rivertrout.com", "d:Description": "A selection of thoughtful and artistic letters exploring life and love.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/R", "url": "http://www.rivertrout.com/"} +{"d:Title": "Restlesspen", "d:Description": "A collection of poetry and short stories. Feedback is encouraged.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/R", "url": "http://www.restlesspen.yolasite.com/"} +{"d:Title": "Scribd", "d:Description": "A digital documents library that allows users to publish, discover and discuss original writings and documents in various languages.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/S", "url": "http://www.scribd.com/"} +{"d:Title": "Stories and Stuff", "d:Description": "Fiction and poetry. Submissions welcome.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/S", "url": "http://www.angelfire.com/empire/sas0/index.htm"} +{"d:Title": "Story Development", "d:Description": "Submit, read, or get help with creative writing.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/S", "url": "http://www.angelfire.com/emo/story_dev/"} +{"d:Title": "Stories", "d:Description": "Poems, short stories, opinion essays and paintings.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/S", "url": "http://roland175.tripod.com/"} +{"d:Title": "Skyefairy.net", "d:Description": "Contains poetry, stories, art, and photography from a variety of people.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/S", "url": "http://www.skyefairy.net/"} +{"d:Title": "Sealander, John - The Library", "d:Description": "A collection of four on-line books: two books of stories about dogs, one book of personal essays, and one songbook.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/S", "url": "http://www.sealander.com/Library.html"} +{"d:Title": "Tales Etc.", "d:Description": "Internet publishing of new and original fiction, thrillers, poetry, short stories, tales and literary links.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/T", "url": "http://www.talesetc.com/"} +{"d:Title": "Thunder and Steel", "d:Description": "Short stories and poems of love, romance, and adventure.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/T", "url": "http://members.tripod.com/Storm_Passed/"} +{"d:Title": "The Short Story Page", "d:Description": "Collection of short stories by amateur authors.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/T", "url": "http://www.jhedge.com/story/index.htm"} +{"d:Title": "TaBooTenente's EZine", "d:Description": "Writings ranging from critical current events to short stories and poetry.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/T", "url": "http://tabootenente.joeuser.com/"} +{"d:Title": "Ubersite", "d:Description": "Various postings from users.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/U", "url": "http://www.ubersite.com/"} +{"d:Title": "UKAuthors", "d:Description": "UKA offers information about markets, competitions, small presses, links, agents, events, and publishers.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/U", "url": "http://www.ukauthors.com/"} +{"d:Title": "Velvet Cushion", "d:Description": "Short stories, poetry, and artwork for teens.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/V", "url": "http://www.angelfire.com/nj4/velvet_cushion/"} +{"d:Title": "vCove", "d:Description": "Site allowing users to submit their writings or view work by other authors. Features poetry, stories of all genres, book reviews.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/V", "url": "http://www.vcove.com/"} +{"d:Title": "Writers Realm", "d:Description": "Poems, long and short stories and essays.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/W", "url": "http://writersrealm0.tripod.com/"} +{"d:Title": "The Writers' Voice", "d:Description": "Publishes original columns, poems, essays, humor, first-person narratives and fiction.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/W", "url": "http://writers-voice.com/"} +{"d:Title": "Well of Words", "d:Description": "An archive of anonymous poems and other writings.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/W", "url": "http://members.tripod.com/well_of_words0/"} +{"d:Title": "WriteGallery", "d:Description": "Focusing on newer writers and their work.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/W", "url": "http://www.thewritegallery.com/"} +{"d:Title": "Writers Reveries", "d:Description": "Posted writing such as poetry, short stories, reviews, and songs.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/W", "url": "http://members.tripod.com/WritersReveries/WritersReveries/"} +{"d:Title": "Writing Names On the Walls", "d:Description": "Various writings by several authors.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/W", "url": "http://anathema_stoic.tripod.com/anathema/"} +{"d:Title": "Writings", "d:Description": "Essays, plays, poems, quotes and stories.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/W", "url": "http://www.wussu.com/writings/"} +{"d:Title": "Yourwords", "d:Description": "A free place for amateur writers to post their work, and for readers, a place to read it.", "topic": "Top/Arts/Online_Writing/Mixed_Genre/Y", "url": "http://www.yourwords.ca/"} +{"d:Title": "Reason to Rock", "d:Description": "A Web book by Herb Bowie, on the aesthetics of rock music.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.reasontorock.com/"} +{"d:Title": "The NothinOfficial Hangout", "d:Description": "Hangout to exchange unofficial non-pseudo philosophical thoughts and views on life, old age, and nature.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://members.tripod.com/nothinofficial/"} +{"d:Title": "Scriven", "d:Description": "Writing includes observations on technology, society, science and journalism.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.scriven.com/"} +{"d:Title": "Open Letters", "d:Description": "A magazine of first-person writing in the form of personal correspondence.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.openletters.net/"} +{"d:Title": "Inspirare", "d:Description": "A one year-long writing journey during which writers took part in an in-depth exploration of the sources and expressions of their own spiritual and creative energy.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.angelfire.com/journal/inspirare/"} +{"d:Title": "The Watchers Connection", "d:Description": "Article about subjects such as violence in schools, racism, and the death penalty by a teen staff.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.angelfire.com/zine2/watchersconnection/index.html"} +{"d:Title": "1954 Diary of a 10 Year Old Boy", "d:Description": "Depicts play and recreational activities of small town boys during the 1950's, before the effects of television.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.alittlehistory.com/Di-begin.htm"} +{"d:Title": "Diary of a Garterbelt Feminist", "d:Description": "Essay was written by Lucinda Rosenfeld recalls the experiences of a young woman in college.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.artcommotion.com/garterbelt"} +{"d:Title": "La Serrania Odysseys", "d:Description": "Inspirational articles, essays and poetry by published authors and creative writing professors, artists, healers, and other creative professionals.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.laserrania.com/odysseys/odys_index.html"} +{"d:Title": "S(c)htick", "d:Description": "Non-fiction and art about the small overlooked bits of everyday culture and living. Weblog and essays cover a range from honking in NYC to elevator misoperation in Italy.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.schtick.net/"} +{"d:Title": "Where Were You July 20, 1969?", "d:Description": "Collecting and offering stories from people who witnessed the famous day in the history of Earth, the day of the first Moon landing.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.wherewereyou.com/"} +{"d:Title": "Lock, Craig", "d:Description": "Offers a variety of articles including creative writing course, financial advise and personal growth.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "http://www.craiglockbooks.com/"} +{"d:Title": "Scriggler", "d:Description": "A writing, blogging and debating platform.", "topic": "Top/Arts/Online_Writing/Non-Fiction", "url": "https://scriggler.com/"} +{"d:Title": "Bassity, BC - CB's Stories: Creative Nonfiction", "d:Description": "Stories, and some writing about creative nonfiction. Humor and serious work combined.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.ionet.net/~cbb/"} +{"d:Title": "Abelard", "d:Description": "Redundancy in language and the capitalisation of ego. Civil liberties and orthography.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.abelard.org/cummings/cumin-hi.htm"} +{"d:Title": "Hubbell, Arlyn", "d:Description": "Various and sundry essays, photos, drawings, and quotes.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.arlyn.com/"} +{"d:Title": "Cartan, John - Cartania", "d:Description": "A life in essays and pictures.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.cartania.com/"} +{"d:Title": "Atsuta, Chikako", "d:Description": "Essay and writing, mainly on US and Japanese cultural differences and women's and family issues.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.cwaeber.com/ako/"} +{"d:Title": "Crushes", "d:Description": "Memories of childhood crushes and first love.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.mycrushes.com/"} +{"d:Title": "Wilhelm, Rich - The Dichotomy of the Dog", "d:Description": "Weekly columns reminiscent of The New Yorker.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/journal/ddog/"} +{"d:Title": "Engelhart, L. Kurt", "d:Description": "Essays in philosophy and on society.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://kengelhart.home.igc.org/"} +{"d:Title": "Escape Hedonist Hippydom", "d:Description": "Writing in several categories including indigenous foibles and frays, alternative economics like micro-credit, free-banking and community currency.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://members.tripod.com/poetpiet/logbriefer.htm"} +{"d:Title": "Clark, Juliet - Giddyap, Napoleon, Small Time Bound", "d:Description": "Words and pictures by Juliet Clark: memoir, movie criticism, essays, comics.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.sherlockjr.com/"} +{"d:Title": "Gladwell, Malcolm - Gladwell dot com", "d:Description": "An archive of New Yorker articles by the author and information about his book.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.gladwell.com/"} +{"d:Title": "Moonlight Writings", "d:Description": "A mixture of articles geared at helping writers in various ways.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://moonlight_nuance.tripod.com/moonlightwritingshomepage/"} +{"d:Title": "NuMoon Creations", "d:Description": "Using humor to sketch ideas, two people defining ourselves through pictures and prose.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.numoonus.com/"} +{"d:Title": "The Official Cynics View Website", "d:Description": "A collection of both humorous and serious essays, dedicated to the cynic in all of us.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/in2/cynicsview/"} +{"d:Title": "Barrington, R.A. - Private Correspondence", "d:Description": "Collection of thought-provoking letters, illustrated by paintings and photography.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/art/letters/moreletters.html"} +{"d:Title": "Quiet Little Cycles", "d:Description": "Embrace the meltdown with some ramblings from Tiger Beat heartthrob Matt Sedik.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.insertnamehere.com/"} +{"d:Title": "Reverendflint Dispatch", "d:Description": "Random ramblings.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://reverendflint.tripod.com/flint/dispatch.html"} +{"d:Title": "Sealander, John - The Road to Nowhere", "d:Description": "Essays that take a humorous look at American life in the 90s.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.sealander.com/personal.html"} +{"d:Title": "Endsleym, Scott C.", "d:Description": "The salvaged autobiographical accounts of Clyde P. Hipwing, about a manic depressive author.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://scott.endsley.com/clyde.htm"} +{"d:Title": "Shah, Gibran", "d:Description": "Provides a proposed solution to the mind-matter controversy.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/ab3/gibby/"} +{"d:Title": "Castro, Steven - Shape of the Universe", "d:Description": "A collection of philosophical thought on a variety of subjects.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/fl4/entropy/index.html"} +{"d:Title": "Moss, Sheila - Humor Columnist", "d:Description": "Introduction and index page to articles of an online columnist.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.humorcolumnist.com/best.htm"} +{"d:Title": "True Adventure Cowboy Short Stories", "d:Description": "True adventures of a South American cowboy told from his perspective.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://members.tripod.com/~Simmental/True-Cowboy-Short-Stories-index-3.html"} +{"d:Title": "Welcome to Hell, Would you Like Fries with That?", "d:Description": "Writings, and other thoughts.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/ma/hellalto/"} +{"d:Title": "World", "d:Description": "Information on culture, literature and arts, through academic works, essays, texts, suggestions, references and reviews.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/on3/world/"} +{"d:Title": "White, Sarah Bracey - The Writings Of", "d:Description": "A self-examination of the life and times of a South Carolina born author and professor Sarah White and her journey to professional growth and self-acceptance.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://onmymind.org/"} +{"d:Title": "The Media Desk", "d:Description": "Journalist, freelancer, humorist, and professional observer Levite covers sports, religion, politics, with essays and humor thrown in for good measure.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.themediadesk.com/"} +{"d:Title": "Bielaski, Matthew - Lost Souls", "d:Description": "A collection of written work and commentary on human nature.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.angelfire.com/mt/lostone/"} +{"d:Title": "The Blue Box (Recycled Ideas) by Don Cox", "d:Description": "Monthly topics range from politics, to good food, to the oddities of life, and everything in between.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.ottawaweb.com/bluebox/"} +{"d:Title": "Franklin, John - Thoughts and Visions", "d:Description": "A collection of original essays. Subjects include education, politics, economics, psychology, science, music, literature, writing, architecture, and philosophy.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.thoughtsandvisions.com/"} +{"d:Title": "WernerCohn.com", "d:Description": "Professor Emeritus of Sociology, University of British Columbia now living in Brooklyn, New York. Provides information on writings.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.wernercohn.com/"} +{"d:Title": "Personal Stories", "d:Description": "Stories about the funeral of Chile's Orlando Letelier, attending an anti-war demonstration in the 1970's and living in Los Angeles during the Rodney King riots.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.fragmentsweb.org/fourtx/subpers.html"} +{"d:Title": "Sass, Adam - Literate Lemur Endeavours", "d:Description": "Features photo exhibits, philosophical essays, and personal commentary.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.literate-lemur.com/"} +{"d:Title": "Avocado Memories", "d:Description": "A personal memoir of seventies design, unfortunate home improvements and life in Burbank, California in the 60's and 70's.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.wesclark.com/am/"} +{"d:Title": "Imagine Freedom", "d:Description": "Essays critical of government and organized religion.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.stormy.org/"} +{"d:Title": "Agee, Deedee", "d:Description": "Includes a bio, contact information, and text and audio downloads of the writer's upcoming memoir.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.deedeeagee.com/"} +{"d:Title": "Outside the World is New", "d:Description": "Daily blogs, photography, driftwood, uneven archives, and other articles.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://www.outsidetheworld.com/"} +{"d:Title": "Donahue, James", "d:Description": "Features writing on news, politics, and the paranormal.", "topic": "Top/Arts/Online_Writing/Non-Fiction/Personal_Pages", "url": "http://perdurabo10.tripod.com/"} +{"d:Title": "Amateur Poets Society", "d:Description": "Showcases poetry from around the world.", "topic": "Top/Arts/Online_Writing/Poetry/A", "url": "http://www.angelfire.com/ab/poetssociety/"} +{"d:Title": "Arcanum Cafe", "d:Description": "Where poets and writers can showcase their works and visitors can read writing in a wide variety of styles.", "topic": "Top/Arts/Online_Writing/Poetry/A", "url": "http://www.arcanumcafe.com/"} +{"d:Title": "Art Arena", "d:Description": "Featuring poets from around the world. Poets submit up to three poems to be judged.", "topic": "Top/Arts/Online_Writing/Poetry/A", "url": "http://www.art-arena.com/poems.htm"} +{"d:Title": "The America Library of Poetry", "d:Description": "Dedicated to the expression of creativity through poetry.", "topic": "Top/Arts/Online_Writing/Poetry/A", "url": "http://www.libraryofpoetry.com/"} +{"d:Title": "Alcoholic Poet", "d:Description": "Often updated collection of dark and sad poetry.", "topic": "Top/Arts/Online_Writing/Poetry/A", "url": "http://www.alcoholicpoet.com/"} +{"d:Title": "Anmolbol", "d:Description": "Members can post their poems and leave comments for other writers.", "topic": "Top/Arts/Online_Writing/Poetry/A", "url": "http://www.anmolbol.com/"} +{"d:Title": "Beautiful Poetry Pages", "d:Description": "Poetry featuring various authors. Includes spiritual, love, inspirational and friendship.", "topic": "Top/Arts/Online_Writing/Poetry/B", "url": "http://sally_trone.tripod.com/"} +{"d:Title": "Black Rose Poetry", "d:Description": "A selection of online poetry.", "topic": "Top/Arts/Online_Writing/Poetry/B", "url": "http://userpages.itis.com/ivy/poetry/"} +{"d:Title": "Bowlbrushes Are Our Friends", "d:Description": "Pieces crossing elements of poetry and prose as well as collaborative email efforts touching on subjects such as Dennis Rodman and boredom, mesmerism, and the traditions left by avant garde writer Juan Serio Landers.", "topic": "Top/Arts/Online_Writing/Poetry/B", "url": "http://www.angelfire.com/poetry/bowlbrusher/"} +{"d:Title": "Best Poems encyclopedia", "d:Description": "A resource for poems and biographies of well known poets, as well as a community and writing platform for new poets and writers.", "topic": "Top/Arts/Online_Writing/Poetry/B", "url": "http://www.best-poems.net/"} +{"d:Title": "Moontown Cafe", "d:Description": "Multiple poetry boards including free verse, metered, rhyming, and spiritual. Poetry contests.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.moontowncafe.com/index.asp"} +{"d:Title": "Eratosphere", "d:Description": "A literary discussion forum for post critique of poetry, especially formal poetry, fiction, non-fiction and arts and photography. Focus on metrical/ formal verse.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.ablemuse.com/erato"} +{"d:Title": "Voices Network", "d:Description": "A community including contests, forums, mailing list, chat, poem of the month, and a bookstore.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.voicesnet.com/"} +{"d:Title": "Starlite Cafe's Poet Corner", "d:Description": "General poetry forum offering a variety of selections in poetry types.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.thestarlitecafe.com/"} +{"d:Title": "Wild Poetry Forum", "d:Description": "Discussion board for poets and writers providing constructive critiques in a supportive atmosphere.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.wildpoetryforum.com/"} +{"d:Title": "Able Muse:Eratosphere", "d:Description": "Forum offering metrical, classical, and general boards, as well as contests and chat.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://eratosphere.ablemuse.com/index.shtml"} +{"d:Title": "Passions in Poetry", "d:Description": "Boards include mild critique, heavy critique, teen, adult, spiritual, and archives. Poetry headlines also included.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://piptalk.com/"} +{"d:Title": "Allpoetry", "d:Description": "A community for poets.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://allpoetry.com/"} +{"d:Title": "Del Sol: The Writer's Block", "d:Description": "Forum for critiqing and discussing poetry. Includes publication, chapbook information, and writing programs.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://webdelsol.com/f-bbs.htm"} +{"d:Title": "Poems&Quotes: Poetry Forum", "d:Description": "Poetry and general writing forum offering user interaction and critique.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.poems-and-quotes.com/discussion/"} +{"d:Title": "Blueline Poetry Forum", "d:Description": "A full-featured forum, including various contests, boards, games, chats, and discussions. Readers may nominate poetry for awards.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://blueline.goobertree.com/forum/index.php"} +{"d:Title": "Tir Nan Og", "d:Description": "Multiple poetry and personal boards, including archives and inspirational quotes.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://tirnanogthelandoftheeverliving.yuku.com/"} +{"d:Title": "Conjunction", "d:Description": "Forum for poets and writers.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://conjunction.webs.com/"} +{"d:Title": "Poets Anonymous", "d:Description": "Poetry message boards featuring different styles of poetry such as haikus and tankas.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://poetsanon.proboards.com/"} +{"d:Title": "The Poet Sanctuary", "d:Description": "Free user based poetry submission forum with various creatively named categories.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://poetsanctuary.proboards.com/"} +{"d:Title": "Poem of Quotes", "d:Description": "Poetry discussion. Members may post their own poems and comment on others' work. Registration required.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://members.poemofquotes.com/"} +{"d:Title": "Algonquin's Table", "d:Description": "A forum for sharing poetry, general comments and critique, arts and literature.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.algonquinstable.net/"} +{"d:Title": "Muse Mongers Motel Forums", "d:Description": "Offers a community where members may post their poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://musemongersmotel.yuku.com/"} +{"d:Title": "Poetry Critical", "d:Description": "An open online poetry workshop where anyone can read, critique, and numerically rate poetry, with over 3,000 poems, 20,000 comments, and 260 active amateur poets.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.poetrycritical.net/"} +{"d:Title": "PoetryCircle Forum", "d:Description": "Poetry forum and workshop for experienced writers.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://poetrycircle.com/forum/"} +{"d:Title": "The Pasture", "d:Description": "An online community where Christians can share and discuss reflections of their spiritual walk through writing.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.thepasture.org/"} +{"d:Title": "Friendly Musings", "d:Description": "Multiple active poetry boards, including critique, holiday thoughts, nature, and poetry writing instruction.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://friendlymusings.yuku.com/"} +{"d:Title": "Zeugma", "d:Description": "Writer's forum with general and writing related posts.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://zeugma.riverwriter.ca/"} +{"d:Title": "Poets&Writers Speakeasy Forum", "d:Description": "Forum for discussing the art and business of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://www.pw.org/speakeasy/"} +{"d:Title": "The Albany Poetry Workshop", "d:Description": "An free interactive forum for poets and writers.", "topic": "Top/Arts/Online_Writing/Poetry/Chats_and_Forums", "url": "http://scotts.members.sonic.net/albany/"} +{"d:Title": "Deep in My Heart", "d:Description": "Poetry written by Alka Narula.", "topic": "Top/Arts/Online_Writing/Poetry/D", "url": "http://www.alkanarula.co/"} +{"d:Title": "Dark Poetry", "d:Description": "A community for writers to share their works of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/D", "url": "http://www.darkpoetry.org/"} +{"d:Title": "Everypoet", "d:Description": "Full-text classical poetry, work by unknown authors, poetry discussions for critical review, links engine for published and obscure poets through the ages and haiku generator.", "topic": "Top/Arts/Online_Writing/Poetry/E", "url": "http://www.everypoet.com/"} +{"d:Title": "Poetry Now's Fruit Juice Online", "d:Description": "This is the online version of the Poetry Now publication called Fruit Juice. Poetry, author profiles, outside contributors, site news.", "topic": "Top/Arts/Online_Writing/Poetry/F", "url": "http://www.angelfire.com/poetry/fruitjuice/"} +{"d:Title": "Birthday Poems for Free", "d:Description": "Collection by Nicholas Gordon that can be used free for any personal or non-commercial purpose.", "topic": "Top/Arts/Online_Writing/Poetry/G", "url": "http://www.poemsforfree.com/birthpo.html"} +{"d:Title": "Garden Of Life's Emotions", "d:Description": "Poetry about life's emotions by Francine Pucillo. Includes midis and graphics suited to the poems, and free greeting pages which the user can send.", "topic": "Top/Arts/Online_Writing/Poetry/G", "url": "http://www.poetry-emotion.com/"} +{"d:Title": "Between These Shores", "d:Description": "Poetry and writing of A. C. Geraghty paired with photography of Dr. Phil C. Sidebottom.", "topic": "Top/Arts/Online_Writing/Poetry/G", "url": "http://members.tripod.com/deepoceanfish2-ivil/betweentheseshores/"} +{"d:Title": "The Web of Loneliness", "d:Description": "Contains poetry about loneliness and other places to read about people's experiences of loneliness.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects", "url": "http://a_lonely0.tripod.com/loneliness.html"} +{"d:Title": "Poetry for Charity: Pen a Poem for Life", "d:Description": "Community of people wishing to contribute to a book of poetry about the effects of serious illness. Proceeds from the book will go to the Brian D. Silber Spine Tumor Clinic at Massachusetts General Hospital. Includes newsletter.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects", "url": "http://www.writing.com/main/forums.php?item_id=595562"} +{"d:Title": "The King Who Cast No Shadow", "d:Description": "Burr C. Brundage's modern contribution to the Arthur cycle, posted in 1986. Includes a short prose introduction by the author.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects", "url": "http://www.cbrundage.net/"} +{"d:Title": "DayPoems: Columbia's Seven", "d:Description": "Poetry on the February 1, 2003, Columbia tragedy.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Columbia_Accident", "url": "http://www.daypoems.net/poems/2255.html"} +{"d:Title": "Bardk Poetry", "d:Description": "Original poetry and stories about the disappearing American Working Cowboy, cowgirls, horses, and critters; links.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Cowboy", "url": "http://bardk.tripod.com/index.html"} +{"d:Title": "Cowboy Poetry On-Line", "d:Description": "Features a \"Poet of the Month\"; submissions welcome.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Cowboy", "url": "http://clantongang.com/oldwest/trade.htm"} +{"d:Title": "Perfection Through Silence", "d:Description": "The description of horror, in expanded form...dark poetry, art, and reflective passages.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://wierd_03.tripod.com/"} +{"d:Title": "BrokenShadow", "d:Description": "Fantasy and modern genre, dark poetry and short stories by Matthew Siadak.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://fallenlights.net/brokenshadow/"} +{"d:Title": "The Dark Realm", "d:Description": "A collection of dark poetry and short stories written by members of a small group of people from different countries.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://nytesm0ke.tripod.com/"} +{"d:Title": "Dark Visions", "d:Description": "Web published poetry of a dark and Gothic nature.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/de3/stopaskingwhy/"} +{"d:Title": "The Darkest Way Home", "d:Description": "Poetry by Luci Fer. Usually dark, morbid and depressing.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/in3/thedarkestwayhome/"} +{"d:Title": "Feed Your Ego", "d:Description": "Dark, melancholy poems by Katie. \"If you are superficial and oblivious to emotions, this site is not for you.\"", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/ego/tiemetothebedpost/"} +{"d:Title": "Gothic Poetry of Demonrobber", "d:Description": "A collection of Gothic poetry inspired by the various Gothic websites I have visited.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://website.lineone.net/~demonrobber/"} +{"d:Title": "Lesser Devil Headquarters", "d:Description": "The realm of twisted poetry and literature.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/poetry/lesserdevil/"} +{"d:Title": "Living a Dream of Being Dead", "d:Description": "Morbid poetry and stories.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/ca5/MorbidPoet/"} +{"d:Title": "The Lost Library", "d:Description": "Dark and depressing poetry by Caitlin Carlson.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/weird/WordsofWisdom/poetrybyme.html"} +{"d:Title": "Lyrics by Wiccanguy", "d:Description": "A site containing dark, Gothic lyrics and poems.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/weird/wiccanguy/"} +{"d:Title": "The Mind's Ass", "d:Description": "For those who have loved and lost and wallow in a decrepid realm of pain and regret.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/rant/themindsass/index.html"} +{"d:Title": "On The Chilly Side of Midnight", "d:Description": "Yvonne's site of poetry provides a Gothic outlook on the external world. She also creates a combination of Gothic music and graphics to emphasize her work.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.cologneweb.com/yvonne/chilly.htm"} +{"d:Title": "Pit of the Harvester", "d:Description": "Dark Poetry written submitted by numerous authors.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://members.tripod.com/~t2bone/harvester.html"} +{"d:Title": "The Rant and Rage Page", "d:Description": "For people who just can't take it anymore.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/realm/clone001/index.html"} +{"d:Title": "Raven's Rants", "d:Description": "A collection of Gothic poetry and other Gothic works.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.ravensrants.com/"} +{"d:Title": "Screaming Silence", "d:Description": "Original dark poetry by Sorrow Nightshade and other lost souls. Some of a very graphic nature.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/il2/nightshade/"} +{"d:Title": "The Tragic Page", "d:Description": "Gothic poetry by \"tradgichik\".", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/in2/thetragicpage/"} +{"d:Title": "Darkside Poems", "d:Description": "Darker poetry, by and for teenagers.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/darkside/poems/"} +{"d:Title": "Welcome to the Darker Half", "d:Description": "Original poems of a dark, gloomy, vindictive nature. Also a humorous story about a rough-neck monitor.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/il/raptyrred/"} +{"d:Title": "Peering Into Darkness", "d:Description": "Poetry of a different nature by Eric Ellison. Upfront and to the point. Other poets may submit poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://peeringintodarkness.tripod.com/"} +{"d:Title": "Dark Land", "d:Description": "Dark Lands is a website displaying the dark and Gothic poetry of AcidBathSadie.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/poetry/darklands/"} +{"d:Title": "NightUnfolding's Poetry", "d:Description": "NightUnfolding's poems of despair, depression and loss.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.angelfire.com/poetry/nightunfolding/"} +{"d:Title": "Kay Irvin: Writing, Dark Poetry, Gothic Poetry, Lyrics", "d:Description": "Writing and lyrics style works. Dark and gothic poetry included.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://kayirvin.blogspot.com/"} +{"d:Title": "Elfwood: Erica Martin", "d:Description": "Poetry and short stories of vampires and wiccan themes.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Dark_and_Gothic", "url": "http://www.elfwood.com/u/ericalea"} +{"d:Title": "Aimee's Enchanted Forest", "d:Description": "Poetry and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Fantasy", "url": "http://www.angelfire.com/fl4/MEF/index.html"} +{"d:Title": "Friendship Poetry", "d:Description": "Poetry submitted by visitors to the site. Grouped by such topics as best friends, fighting, and graduation.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Friendship", "url": "http://www.friendship.com.au/poetry/"} +{"d:Title": "Friendship Poems", "d:Description": "A collection of famous and user-submitted friendship poems and quotes. Also offers an e-mail list.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Friendship", "url": "http://www.friendship-poems.com/"} +{"d:Title": "Sacred Poems", "d:Description": "Collection of spiritually oriented poetry, including topics such as death and dying, the family of God, and ancient places.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Religious", "url": "http://www.sacredpoems.com/"} +{"d:Title": "Ktwilight's Crystal Dawn Poetry Page", "d:Description": "Love poetry by Ralph Ball, a teenager who believes himself to be permanently in love.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://ktwilight.8m.com/"} +{"d:Title": "Den the Pen", "d:Description": "A journey of poems, paintings, and memorable media about love.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.denthepen.com/"} +{"d:Title": "JRILY.com", "d:Description": "Poetry and other writings about love by Sharon Angleman-Goodson and Alan W. Goodson and submitted poets. Registration required.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.jrily.com/"} +{"d:Title": "My Love Poems", "d:Description": "Featuring over 70 original love poems.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.mylovepoems.com/"} +{"d:Title": "Romanticpoetry.com", "d:Description": "Romantic love poems written by poetess Sonji Rush.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.romanticpoetry.com/index.html"} +{"d:Title": "Boulevards of the Heart", "d:Description": "Features original romantic poetry. By Steve Soskin.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://members.cruzio.com/~intersys"} +{"d:Title": "Sean's Page", "d:Description": "Original love poems by Sean for someone special.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://sean_6969.tripod.com/sean/"} +{"d:Title": "Struck By Cupid's Arrow", "d:Description": "Love poetry by an anonymous teenager.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.all-links.com/cupid/"} +{"d:Title": "Soulmates", "d:Description": "A poem about idealist love in a beautiful world.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/tx2/inspiration/Soulmates.html"} +{"d:Title": "Poems for a Loving Word", "d:Description": "Poetry and short stories by 'Sir Eros'.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://sireros001.tripod.com/SirEros_Poet/"} +{"d:Title": "Poetry of Linda Marie Van Tassell", "d:Description": "Romantic poems of love, lost love and erotica.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/hi2/eroticdoll/index.html"} +{"d:Title": "HTxRose's Place", "d:Description": "Love, romantic and sensual poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/tx4/shanon/index.html"} +{"d:Title": "Timeless Treasures", "d:Description": "Poems by C. T. Cornwall about love, romance, betrayal and life.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/ar2/BrownEyes/index.html"} +{"d:Title": "Laura's Love Notes", "d:Description": "Poetry about mature love and its journey.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/az/meandthee/"} +{"d:Title": "Verse of Life", "d:Description": "Verses about love, dating, and life, by Jerry.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://members.tripod.com/~tellywacker/TITLES.html"} +{"d:Title": "Love Poems", "d:Description": "Original poetry by Bob Lavoie and Amy Mendoza.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/ma3/poems/"} +{"d:Title": "Lovers Cove", "d:Description": "Romantic Prose by Francine Rexford.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.loverscove.freeservers.com/"} +{"d:Title": "Dreams of Poetry", "d:Description": "Poetry on love, loss, beginnings, and joys.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/nc2/dreamsofpoetry/"} +{"d:Title": "Mariola's Poems", "d:Description": "Love poems by Mariola. Polish version available.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://web.tiscali.it/gspina/mariola/"} +{"d:Title": "Love Citadel", "d:Description": "Happy and sad love poems written in English and Spanish.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://members.tripod.com/~eduardo_/index.html"} +{"d:Title": "A Reflection of Daze Gone By", "d:Description": "Tragic love poetry by Ray Bundok.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://raybundok.tripod.com/"} +{"d:Title": "Soulwebs: A Collection of Poems", "d:Description": "Original poems influenced by the poet's own changing experiences of love.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/poetry/soulwebs/"} +{"d:Title": "Poetry", "d:Description": "Unhappy prose on difficult or failed relationships.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/ego/faerie1013/wordz.html"} +{"d:Title": "Scent of A Woman", "d:Description": "Romantic poetry and prose, love letters and love songs with lyrics.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/de/webgrrlchris/scent.html"} +{"d:Title": "Laura's Prose&Poetry", "d:Description": "Written by two lovers who met online before meeting in the real world and falling in love.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/az/meandthee/mainlist.html"} +{"d:Title": "Devotion to Emotion and Artistic Expression", "d:Description": "A few poems about love and romance, plus a couple about personal losses, by Poppy Hullings.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/poetry/misspenny/"} +{"d:Title": "Ashi's Poetry Collection", "d:Description": "Poetry written by Ashi Shadow which includes several with a romantic theme.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/poetry/ashi/poetry.html"} +{"d:Title": "Pricked by a Rose", "d:Description": "Personal poetry by Timothy Paul Keller", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/in2/forever111/"} +{"d:Title": "Love of Eternity", "d:Description": "Romantic poetry by Sherrie Davis, based on mythological characters.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/poetry/sherriel2001/LoveOfEternity.html"} +{"d:Title": "Poetry and Prose of the Soul", "d:Description": "Poems about loved ones, with a strong hint of sadness.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/ca5/moonstonedreams/Poetry.html"} +{"d:Title": "Sensual Moments", "d:Description": "Romantic prose of Jacqueline Luzette, illustrated by works by the artist Ty Wilson.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://members.tripod.com/jacqueline_luzette/"} +{"d:Title": "Love Poems By Ron", "d:Description": "Mostly love poetry by the site owner, Ron Marcus, but readers can also submit their poems.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://lovepoems.itgo.com/"} +{"d:Title": "Ilovepoems.com", "d:Description": "Poetry, quotes and tips about love.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.1lovepoems.com/"} +{"d:Title": "Sheetudeep.com", "d:Description": "Love poems and quotes, plus kissing techniques, romantic recipes and wedding tips.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.sheetudeep.com/lovepoems.html"} +{"d:Title": "Short Love Poems", "d:Description": "Submitted and classical poems about romance, friendship, marriage and lost love.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.short-love-poem.com/"} +{"d:Title": "The Poetry of Linda L. Martin", "d:Description": "A woman's view of romance, and the effects of world affairs on interpersonal relationships.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.angelfire.com/poetry/cherharte/"} +{"d:Title": "Poems Only", "d:Description": "Love poems both by famous poets and by site users. Poems can be submitted by email.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.poemsonly.com/"} +{"d:Title": "Love Poems for Free", "d:Description": "A collection by Nicholas Gordon that can be used free for any personal or non-commercial purpose.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.poemsforfree.com/lovepoems.html"} +{"d:Title": "Love Poems Queen", "d:Description": "Short love poems by Sara Apples, a woman writing for women. Also has some narratives and a free newsletter. Readers' poetry accepted, including works by men.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.love-poems-queen.com/"} +{"d:Title": "The Love&Romance Home Page", "d:Description": "Read love poems sent in by individual contributors, or submit one yourself for consideration.", "topic": "Top/Arts/Online_Writing/Poetry/Genres_and_Subjects/Romance", "url": "http://www.loveandromance360.com/page95.htm"} +{"d:Title": "Limestone9", "d:Description": "Poetry and personal memoirs from selected writers.", "topic": "Top/Arts/Online_Writing/Poetry/L", "url": "http://www.limestone9.com/"} +{"d:Title": "Levitation, or How to Float", "d:Description": "A ten-page web bookette about how a kid floats. It's about hubris, wonder and will.", "topic": "Top/Arts/Online_Writing/Poetry/L", "url": "http://stevekarr.com/float/"} +{"d:Title": "Left for Dead", "d:Description": "Small collection of words by a few poets, and some artworks.", "topic": "Top/Arts/Online_Writing/Poetry/L", "url": "http://lostwordz.tripod.com/"} +{"d:Title": "Love is Lonely", "d:Description": "Poems from members, sorted by month and by title. Free registration.", "topic": "Top/Arts/Online_Writing/Poetry/L", "url": "http://www.loveislonely.com/"} +{"d:Title": "my-poetry.com", "d:Description": "Community of poets with posted work and comments by others.", "topic": "Top/Arts/Online_Writing/Poetry/M", "url": "http://www.my-poetry.com/"} +{"d:Title": "Neopoet", "d:Description": "A virtual poetry workshop and community where members can submit poetry, swap critiques, and enter a monthly contest.", "topic": "Top/Arts/Online_Writing/Poetry/N", "url": "http://www.neopoet.com/"} +{"d:Title": "Poetry.com", "d:Description": "A community for amateur poets. After registration, members may post their poetry, and review other posts.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://poetry.com/"} +{"d:Title": "Poets Online", "d:Description": "A site of poetry inspiration with a monthly writing prompt, poems, and the opportunity to submit your response for possible posting in the poetry archives.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://poetsonline.org/"} +{"d:Title": "Poetry: A Stroll Through", "d:Description": "Read quotes, lyrics, and original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.angelfire.com/wi/POETRY/"} +{"d:Title": "The Poetry Haven", "d:Description": "If you like to read or write poetry, this is the place for you. Submissions welcome.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.angelfire.com/indie/poetts/"} +{"d:Title": "The Pinevergreen Forest", "d:Description": "Modern, personal poems of inspiration, love, angels, sci-fi, mystery and soul searching. Also includes artwork, web links, and song lyrics.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.angelfire.com/ut/pinevergreen/Poetry.html"} +{"d:Title": "Poetry Place", "d:Description": "A place for poets to show their work and read others' poetry.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.angelfire.com/yt/poetryplace/"} +{"d:Title": "Poetry in Motion", "d:Description": "Seasonal poetry with animations", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://members.tripod.com/~mechel/index-2.html"} +{"d:Title": "Welcome to the Poetry Cafe", "d:Description": "Contains submitted literary work and links to various literary sites.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://members.tripod.com/~the_crazy_kid/"} +{"d:Title": "Poetry Haven", "d:Description": "Submitted poetry by a variety of authors.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.angelfire.com/or2/poetryhaven/index.html"} +{"d:Title": "Poetry Pages", "d:Description": "Poetry and haiku, forums, classifieds, free web pages and email.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.poetrypages.com/"} +{"d:Title": "The Poets' Corner", "d:Description": "Submit your original poems, prose and rhymes to share online. Also try your hand at interactive poetry: add a line to a poem in progress and watch poetic license in action.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.silentwords.com/"} +{"d:Title": "Poetry From The Heart", "d:Description": "Poetry portraying love, romance, desired love, long distance affairs, cyber love, dark poetry as well as some erotic poems. Sound and images accompany the poems.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.angelfire.com/poetry/kitty_kac/index.htm"} +{"d:Title": "Poetry Challenge", "d:Description": "For poets who wish to be challenged. Submit or read poetry about the monthly chosen subject.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://poetanna.www1.50megs.com/poetrypage.htm"} +{"d:Title": "The Poets Club of Stuyvesant High School", "d:Description": "A large collection of poems by various student poets.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://createyourownpres.tripod.com/poets/index.html"} +{"d:Title": "A Passage Through August", "d:Description": "Illustrated poetry anthology presents philosophic views of our \"journey\" through adulthood and maturity. Contains works by selected contemporary and classical poets.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.augustpoetry.org/"} +{"d:Title": "Poetry Aloud", "d:Description": "A poetry site for Suffolk (UK), associated with a monthly poetry group in Bury St Edmunds, Suffolk, UK. It provides local poets with a sympathetic platform from which to showcase their work. Forum and events calendar.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://poetryaloud.org.uk/"} +{"d:Title": "PoemHunter.com", "d:Description": "Poems by well-known poets and members, lyrics and forum. Free registration.", "topic": "Top/Arts/Online_Writing/Poetry/P", "url": "http://www.poemhunter.com/"} +{"d:Title": "Adkins, Barbara - Prose and Poetry", "d:Description": "Original poetry and poetic prose.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/wv/writingsbytamar/index.html"} +{"d:Title": "AlRoy, Carolyn", "d:Description": "Includes performance dates, news and related links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://summerleaves.tripod.com/summerleaves"} +{"d:Title": "Arrianna's Poetry Page", "d:Description": "Poems straight from the heart, some are of love, some of hate, and some of sadness.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/ok3/ankhangel/AOH.html"} +{"d:Title": "Arsyn's Poems.", "d:Description": "Personal poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/journal/madpoetry/"} +{"d:Title": "Ayr's Desk", "d:Description": "Poetry covering many subjects including general, folklore, mythology, fantasy, family and friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/poetry/ayrlin/"} +{"d:Title": "Ayers, Phoebe Suzanne - A Little Word", "d:Description": "Songs and poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/journal/phoebe/poems.html"} +{"d:Title": "Andrews, Elease - The Poetess", "d:Description": "A poem and information about the poet.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/in2/poetess/"} +{"d:Title": "Antonia, Bianca - Poetic Inspiration", "d:Description": "Collection of poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/tx3/poetinspiration/"} +{"d:Title": "Ashbrook, Matthew - Poetry Pause", "d:Description": "Features original poetry, lyric and rhyme interplayed with imagery.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.matthewashbrook.com/"} +{"d:Title": "Ames, Bill - The Poet's Forum", "d:Description": "Dedicated to bettering the art of poetry on the web. Poetry and essays, in addition to an online discussion group", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.poetsforum.com/"} +{"d:Title": "Archageis, the Poetic Seraph", "d:Description": "Poetry, romantic fiction, other's poems, fan fiction for Fushigi Yuugi, and anime images.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://members.tripod.com/~Archageis/html/index.html"} +{"d:Title": "Arquette, Sue - Curly's Poetry", "d:Description": "Contains original poetry by the author and by friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://members.tripod.com/~curlysue__1/index.html"} +{"d:Title": "Altair, Kathleen M. - Come Through the Looking Glass", "d:Description": "Writing from her own experiences in life and love.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/ny4/anjelikat/index.html"} +{"d:Title": "Allison - Lore, Legends, and Magick", "d:Description": "Poetry and music reviews. This author has written for the folk artist Donovan.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/folk/epona/index.html"} +{"d:Title": "Allexia - My Creativity", "d:Description": "Creative writing, poetry, and personal photographs.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/my/creativity/"} +{"d:Title": "Agah, Dariush", "d:Description": "Poems in Persian and English. Includes links to webrings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.xanga.com/dariushagah"} +{"d:Title": "Angeleyes - Welcome to My Serenity", "d:Description": "A large collection of poems about life and love. Includes a photo collection, author bio, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://angeleyes_smiles.tripod.com/POEMSFROMTHEHEART.html"} +{"d:Title": "Abby - Creations by Abby", "d:Description": "Original poems and a few favorites that tell of love, sorrow and of new beginnings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://abbyscreations.tripod.com/Creations.html"} +{"d:Title": "Amos, Jacqueline", "d:Description": "Poetry, biography, photos, and gallery from this poet and blues singer.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://jackieamos2.tripod.com/"} +{"d:Title": "Amara - Eye Candy for the Blind", "d:Description": "Poetry, art and interests of this teen author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/weird/mypoetrypage/"} +{"d:Title": "Amy - Forever Again this Time", "d:Description": "Personal collection with an author bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://sunnish.tripod.com/index.html"} +{"d:Title": "Amber - Poetry", "d:Description": "Original poetry written about love, life, and heart break by a teenage girl.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://members.tripod.com/~ChinaGirlD/poems.html"} +{"d:Title": "Alex - Poetry", "d:Description": "Poetry about loss, grief, and attempting to move on from that, from the perspective of a grieving parent.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://members.tripod.com/alexs_page_of_poetry/poetry_about_loss.htm"} +{"d:Title": "Aten, Kelly - The Truthful Muse", "d:Description": "Poetry, opinions, artwork and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/mi3/truthfulmuse/TheTruthfulmuse.htm"} +{"d:Title": "Betty's Poetry", "d:Description": "Poems of faith by Elizabeth Anderson compiled by her widower and son.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.bettys-poetry.co.uk/"} +{"d:Title": "Anne's Poetry Corner", "d:Description": "Anne's personal and award winning poetry. Includes poems, tributes and a guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.annespoetry.com/"} +{"d:Title": "Chasing the Wind", "d:Description": "Abuse Poetry And Resources.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/A", "url": "http://www.angelfire.com/ky3/chasingthewind/"} +{"d:Title": "Amethyst Journey", "d:Description": "An exploration into the human mind and heart through poetry, includes regularly updated discussion of women's issues and book recommendations.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://amethystjourney.tripod.com/"} +{"d:Title": "Canary Is Singing", "d:Description": "Featuring original poetry and music by the author as well as her friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://canaryissinging.bravepages.com/"} +{"d:Title": "Colors of Trust", "d:Description": "A tasteful collection of poetry set to music with appropriate graphics. Also a selection of RealAudio files.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/on/ColorsOfTrust/Main.html"} +{"d:Title": "The Darker Poet's Lair", "d:Description": "Intended for the sole purpose of relieving distress. Poetry about angst, alienation, and even suicide. Also about problems with the outer world.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://darker_poet.tripod.com/"} +{"d:Title": "Dragon Tears", "d:Description": "A small collection of original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/al/spellz/index.html"} +{"d:Title": "Wish Upon a Star", "d:Description": "Poetry and thoughts. \"A little hope in a sorrowful world\".", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/or/elsewhere2/"} +{"d:Title": "The World of a Poet, Musician, Dreamer, and Oddball", "d:Description": "A virtual secret garden that covers an array of subjects from art to trumpet playing to poetry to Medieval cookery.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/ny3/irongeckotrptstudio/"} +{"d:Title": "A View From The Inside", "d:Description": "A sample of poems, writings and assorted ramblings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/ny3/dolores/"} +{"d:Title": "Three A.M.", "d:Description": "Inspired by night time feedings, a young boy's mother wrote this poem.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.leaptoad.com/ahp/poetrycorner.shtml"} +{"d:Title": "The Temple of Bloomchild", "d:Description": "Poetry by the site owner.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/bc2/bloomchild/index.html"} +{"d:Title": "Deep Verses from the Soul", "d:Description": "Poetry, personal page and webring.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://dvs3.tripod.com/"} +{"d:Title": "Dragon's Cave", "d:Description": "Feelings put into words from the heart.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://members.tripod.com/~dragonreborn1/"} +{"d:Title": "Droplets of Poetry", "d:Description": "Personal poetry about friends, love, relationships, and life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://sunset150.tripod.com/dropletsofpoetry/"} +{"d:Title": "Words and Meaning", "d:Description": "Samples of the author's poetry and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://whineybaby.tripod.com/"} +{"d:Title": "Psychotic Dragon", "d:Description": "Includes poetry and pictures.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/darkside/psychoticz/"} +{"d:Title": "Dancing Under The Stars", "d:Description": "A tour of life from birth to death and beyond.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/on/poetryforthesoul/index.html"} +{"d:Title": "Alternative Poems", "d:Description": "Collection of the author's dreams, views, artwork, and fantasies through poetry. Also includes mp3s from the band Lonely Fish Mountain.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/nt2/alternativepoems/index.html"} +{"d:Title": "Sinister Designs", "d:Description": "Poetry in the categories of metaphysics, mythology, natural history, history, and politics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.sinister-designs.com/poetry/index.html"} +{"d:Title": "StolenTear", "d:Description": "Links to the many pages created by Stolen Tear.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/me3/StolenTear/"} +{"d:Title": "East of Nowhere", "d:Description": "A collection of mostly melancholy poetry by two authors: staind and battered angel.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/nv/eastofnowhere/"} +{"d:Title": "Poetry", "d:Description": "Writing dealing with love and loss, including some random thoughts.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/va/page4brett/"} +{"d:Title": "The Fiery Circle", "d:Description": "Poetry, links and resources for writers.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/wi/WingedGypsy/"} +{"d:Title": "Kiss the Corpses", "d:Description": "Dark and morose thoughts.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/il2/chaos314/index.html"} +{"d:Title": "Fairyland", "d:Description": "Fairy-inspired and personal poetry and music.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://members.tripod.com/fairytaildreamer/"} +{"d:Title": "Fire Dance", "d:Description": "Quotes, lyrics and poetry. [Poems in Microsoft Word format]", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/journal/mypoemsopinionscrap/"} +{"d:Title": "Fragments of a Possum", "d:Description": "Classical and original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/me/jojopg/"} +{"d:Title": "In My Love", "d:Description": "The words, hopes, dreams, fantasies, and inner feelings of a woman.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/in/mylove/"} +{"d:Title": "In This Water", "d:Description": "Collection of poems written by a young girl in New England.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/ct2/inthiswater/"} +{"d:Title": "Velvet-Roses.net", "d:Description": "Extensive personal collection including webring affiliations and news about updates.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.velvet-roses.net/"} +{"d:Title": "The Labyrinth", "d:Description": "Personal poetry. The themes of the poems range from Gothic and love, to nature and life contemplation. A little of everything thrown at you, just like in life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://shedwyn.tripod.com/intro.htm"} +{"d:Title": "The Lonely Shell's Pages", "d:Description": "Complete collection of the poems and paintings. A virtual gallery that's worth every second spent here.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/pq/LonelyShell/index.html"} +{"d:Title": "Masked Maidens Main Room and Poetry Parlor", "d:Description": "Poetry straight from the veins of a depressive poetess. Beautifully accented with music and graphics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/tx/MaskedMaidens/index.html"} +{"d:Title": "The Confessions and Obsessions", "d:Description": "Poetry about beauty and self loathing, silence and screams, vanity and envy, poetry and art, organized into online e-zines.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://angelfire.com/rebellion/themedeatragedy"} +{"d:Title": "Freedom is Box", "d:Description": "Dedicated to helping me express understand the folly of truth, through poetry and graphics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://members.tripod.com/coledaddy00/"} +{"d:Title": "Page8", "d:Description": "Poetry, links, forums, and collections ranging from Shakespeare to Billy Collins.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/poetry/page8/"} +{"d:Title": "A Collection of Poetry and Prose", "d:Description": "Collection of poetry in various topics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/poetry/poetryman/agmmain.html"} +{"d:Title": "WordPoet's Poetry", "d:Description": "Poems on various subjects by both the author and guest submissions.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://wordpoet.tripod.com/"} +{"d:Title": "Poetry Begins In Delight", "d:Description": "Romantic and classic poetry with user submissions.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://chinchin.faithweb.com/"} +{"d:Title": "Search Light On", "d:Description": "Poetry of truth, inspiration, and transformation, promoting the Oneness of the universe.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.searchlighton.com/"} +{"d:Title": "Insightful Expressions of a Shattered Heart", "d:Description": "Personal poetry, favourite poems and quotes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/poetry/insightful/"} +{"d:Title": "Dyan's Poetry", "d:Description": "Collection of poems, mostly based around relationships.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/poetry/dyanspoems/"} +{"d:Title": "Poetry Ones Own Expression", "d:Description": "Poems by a young person who has experimented with drugs.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/poetry/mylegitpoems/index.html"} +{"d:Title": "Thoughts in the wind", "d:Description": "A creative space for expression. A collection of poems, thoughts and design.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://npsea.tripod.com/thoughtsinthewind/"} +{"d:Title": "Refried Soap", "d:Description": "Life and experiences through poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://refriedsoap.tripod.com/"} +{"d:Title": "Shadow Godess's Lair", "d:Description": "Poetry by a 17-year old girl about angst and growing up. Includes a guestbook, personal and collected poetry, and a bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://www.angelfire.com/de/kelsy/"} +{"d:Title": "Quotations Poetry", "d:Description": "Personal poetry on people, love and experiences.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://members.tripod.com/quotationsb-ivil/"} +{"d:Title": "Poets on the Edge", "d:Description": "Poetry and art from Deborah Kay.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://empyrean-thoughts.8m.com/"} +{"d:Title": "The Primrose Portfolio", "d:Description": "Fiction and lyrics of a xeno-crypto-post-apocalyptic nature.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Anonymous", "url": "http://primroseport.livejournal.com/"} +{"d:Title": "Burkett, Alyssa - Alyssa's Poetry", "d:Description": "Dedicated mostly to poetry and angels.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/pa2/alyssacb/"} +{"d:Title": "Berland, Paul Nathanael - Astral Prune", "d:Description": "Writings, drawings, and music.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/music2/AstralPrune/"} +{"d:Title": "Barrett, Dean - A Ballad of a Thai Go-Go Dancer", "d:Description": "A Thai go-go dancer mysteriously returns to a Bangkok bar to dance after her death in order to save the bar from bankruptcy.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://members.tripod.com/~AsianJourney/Thailand-Noy.html"} +{"d:Title": "Beebe, Ruth A. - Best of Life", "d:Description": "Original poetry, written from the heart.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.members.tripod.com/bblife/"} +{"d:Title": "Bethie's World of Poetry", "d:Description": "Poems by Bethie.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://o0obubbleso0o.tripod.com/mainpage.html"} +{"d:Title": "Boiarski, Phil - Bloodlines", "d:Description": "Computer artist and poet offers an interactive illustrated sestina.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.boiarski.com/"} +{"d:Title": "Boivin, Cheryl - Cherheaven's world", "d:Description": "Poetry written with true feeling from the heart.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/ca3/cherheaven/index.html"} +{"d:Title": "Birch, Dan - Dan's Poetry Page", "d:Description": "Original poetry about nature and the universe.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://birch.family.tripod.com/poetry.html"} +{"d:Title": "Bulner, Jackie - DragonFire's Poetry Page", "d:Description": "A collection of poems and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://dragonfr.tripod.com/index.html"} +{"d:Title": "Bantleman, R. - Food for Thought", "d:Description": "For writers of poetry and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/stars2/echoes/"} +{"d:Title": "Boyd, Janet - Honey for the Soul", "d:Description": "Poetry, tributes to love, fractal art, humor, card shop, games and visions.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.beespit.com/"} +{"d:Title": "Barnett, Mary - Moodesigns by Mary", "d:Description": "Ranging from haiku and tanka to everyday observations expressed in insightful way, to lyrical and whimsical poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.moodesigns.com/"} +{"d:Title": "Bankston, Richard - My Book, My World, My Mind", "d:Description": "A collection of sad poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/journal/mybookoflife/index.html"} +{"d:Title": "Brown, Jen - My Heart Speaks", "d:Description": "Poetry on social issues and other topics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/il3/writerjennybrown/"} +{"d:Title": "Bugg, Chris - My Sweet Angel", "d:Description": "Poems and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/ga3/MySweetAngel/index.html"} +{"d:Title": "Ben-Meir, Ezra - Poems and Poetry", "d:Description": "Poetry written with life as inspiration.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.poetry.ezra.8m.com/"} +{"d:Title": "Brown, David L. - Poetic Art", "d:Description": "Original poetry on a variety of subjects.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/tx3/tipofmyhat/marble.html"} +{"d:Title": "Brown, Tiffany - Poetry", "d:Description": "A collection with links and a bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://tiffanybrown2004.tripod.com/poetry/"} +{"d:Title": "Borum, Maggie - T-Minus Press", "d:Description": "Featuring the works of the author, including samples from \"The Truth About Rome\".", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.tminuspress.com/"} +{"d:Title": "Beaty, Diane - Bayberry Press", "d:Description": "Poetry and art, with links to local information.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/fl5/beaty1/"} +{"d:Title": "Bohrer, Caressa - Caressa's Poetry Castle", "d:Description": "Collection, personal page, webrings, and other links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://members.tripod.com/~Artemystic/index.html"} +{"d:Title": "Bocchicchio, Sherry - In Real Life Too", "d:Description": "Personal poetry and prose. Offers information about her chapbook design service.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://theerealist.tripod.com/"} +{"d:Title": "Bell, Colin - A Voice in the Wilderness", "d:Description": "Poems and other short writings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/art/cbell/"} +{"d:Title": "Bennett, Tami L. Andreasen - In Between The Sheets", "d:Description": "A small, personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://inbetweenthesheets.tripod.com/"} +{"d:Title": "Beihl, Hans - Xenowave", "d:Description": "Poetry about the struggle between heart and mind and the mysteries of life. Includes dark, as well as contemporary poetry. Offers a forum, bio, collection, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.xenowave.com/"} +{"d:Title": "Bast, Lloyd", "d:Description": "A small collection of poetry and art.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/poetry/bastart/"} +{"d:Title": "B'Dosa, Vee - Doylestown Walk", "d:Description": "Poetry, graphics and music.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://vbdosa.com/"} +{"d:Title": "Branch, Michelle - Radalien's Creative World", "d:Description": "In-depth poetry and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/mi/radalien16ishere/"} +{"d:Title": "Braun, H. - Consciousness Beholding All", "d:Description": "Spiritual prose and poetry, received as answers from within.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://members.tripod.com/~hbraun/index.html"} +{"d:Title": "Buchanan, John - Outsider's Poetry", "d:Description": "A multi-year collection from a 30-year old male author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://outsider_orion.tripod.com/"} +{"d:Title": "Beridha - Earth Sensitive Poetry", "d:Description": "Selections of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.earthvoices.com/Beridha"} +{"d:Title": "Bandy, Charles - Land of My Belonging", "d:Description": "Poems of love, loss and fantasy.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/zine/starguy/"} +{"d:Title": "Boons, Lieve - House of Poetry", "d:Description": "Poems in Dutch and English, including the topics of friendship and grandparents. Also includes poems collected by the author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.gedichtenhuisje.net/welcome.html"} +{"d:Title": "Benson, Chris - The Left Hand Tree", "d:Description": "A collection of poetry and music.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://thelefthandtree.8m.com/"} +{"d:Title": "Bateman, Alice C. - The Writer's Vision", "d:Description": "Featuring illustrated poetry and short stories. Includes collected works as well.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.angelfire.com/realm2/alice_c_bateman/index.html"} +{"d:Title": "Bridges, Dorothy - Poems by", "d:Description": "A collection of poems celebrating life, nature, and love.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://dorothybridges.com/"} +{"d:Title": "Barton, Ben", "d:Description": "Autobiographical information, links, photographs and a selection of original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.benbarton.co.uk/"} +{"d:Title": "Brimm, Robert L.", "d:Description": "Published poetry, with brief descriptions of the motivations behind them. Includes free form and haiku.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://mypeoplepc.com/members/rbrimm/poetry/"} +{"d:Title": "Burris, Skylar Hamilton - Poetry Corner", "d:Description": "Original, previously published poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/B", "url": "http://www.editorskylar.com/poetry.html"} +{"d:Title": "Chin-Chin - Poetry Begins In Delight", "d:Description": "Poetry by the author and others about love and other subjects. Submissions welcome.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://chinchin.faithweb.com/"} +{"d:Title": "Christina - The Bloodshot Moon", "d:Description": "Poetry inspired by Plath, Kerouac, Parker, Baudelaire, Bukowski, and Nin.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/stars/bloodshotmoon/"} +{"d:Title": "Carolynn's Non-Nutritional Poems", "d:Description": "Unusual, occasionally violent and vulgar poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/punk/poemgrrl/index.html"} +{"d:Title": "Chanda's Poetry Collections", "d:Description": "A large collection of poems by Chanda.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://angelfire.com/indie/ChandasCollections"} +{"d:Title": "Chaos Canine's Home", "d:Description": "The home of the Chaos Canine. Come in and read the poetry of this puppy.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/anime/mutts/index.html"} +{"d:Title": "Cheryce's Poetry Page", "d:Description": "A small personal collection, including poetry by the author's friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.cheryce.iwarp.com/"} +{"d:Title": "Chuck E's Collection", "d:Description": "Personal poetry collected over the years and the chapbooks created from those poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://thechuckecollection.freeservers.com/"} +{"d:Title": "Crystal - The Dreamer's Cafe", "d:Description": "All original poetry, plus pages on music and jokes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/ca4/xdarkcoats/"} +{"d:Title": "Chartrand, Dale - Dale's Wolfden of Fun", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/ns/wolfden1/"} +{"d:Title": "Cook, Sean - Everything", "d:Description": "Contains original poems and photographs on themes including spirituality, anger, hope, desperation, self-destruction, nature, and beauty.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.personal.psu.edu/staff/s/c/scc6/index.html"} +{"d:Title": "Conklin, J. - Heart Puzzle", "d:Description": "Poetry dedicated to Marie.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/stars/heartpuzzle/index.html"} +{"d:Title": "Cisneros, Oscar S. - Writings", "d:Description": "A collection of poems and writings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://emote.net/writings.html"} +{"d:Title": "Crego, Cliff - Pictures and Poems by", "d:Description": "\"In every picture, there's a poem; In every poem, a picture.\"", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.picture-poems.com/"} +{"d:Title": "Carol's Cottage Paradise", "d:Description": "Poems on love, friendship, family, and inspirational.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/in4/carol_paradise/index.htm"} +{"d:Title": "Campbell, Pris - Poetic Inspirations", "d:Description": "Original poems, accompanied by artwork and music. Includes romance, grief, loss, and coming of age in the fifties.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.poeticinspire.com/"} +{"d:Title": "Casey, Toshi Nicole", "d:Description": "Journal, novels in progress, links and poetry presented in a quasi-chronological format.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/folk/toshicasey/index.htm"} +{"d:Title": "Chowdhury, Azhar - Azhar's Poem World", "d:Description": "A young teenage boy's bio, collection, and guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://azhar_poem.tripod.com/"} +{"d:Title": "Clark, Thomas - Website of Infinite Possibilities", "d:Description": "A Scot's fractured take on life, poetry, philosophy, and playing for the world's worst football team. Includes a guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/hi2/goalie/"} +{"d:Title": "Claman, Shelly - The Soul's Expression", "d:Description": "Personal collection, as well as a place for poets to post. Includes a bio and guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://members.tripod.com/~Vivaciousf20/index.html"} +{"d:Title": "Carey, Shannon - Poetry Haven", "d:Description": "A personal collection and place for aspiring poets to post their work. Includes links and a guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/ne/shanspage/"} +{"d:Title": "Corvidae, Rusty - Welcome to My Darkside", "d:Description": "Poetry and art with a Celtic influence. Includes a guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/poetry/thedarkside/"} +{"d:Title": "Calox - CaloxWorld", "d:Description": "Poetry, essays, erotica, songs, and life in links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://caloxworld.tripod.com/"} +{"d:Title": "Cole, Leigh N.C. - The Realm of the Poetic Angel", "d:Description": "Poems for those who feel. Poems for those who think. Poems for those who wish to see. Poems of life. Poems of Love.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/art/LePoems/"} +{"d:Title": "Christine's Creations", "d:Description": "Love letters with music. Includes online voting, guestbook, awards, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/de/webgrrlchris/"} +{"d:Title": "Carol - Fingertip Poetica", "d:Description": "Sharing the gift of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://members.tripod.com/Poet1st/index.html"} +{"d:Title": "Camille - To The Love Of My Life", "d:Description": "Poems of romance and love.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/de/webgrrlchris/sweetheart.html"} +{"d:Title": "Culp, Allen Scott I", "d:Description": "Listing of selected poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/poetry/allen_scott/"} +{"d:Title": "Calbayram, Hakan - The Swirl", "d:Description": "Personal collection as well as a wide selection of world poetry, including Turkish poetry, Haiku, and poems in translation.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/poetry/swirl/index.htm"} +{"d:Title": "Chadwick, Kent and Gregg- Ars Poetica", "d:Description": "Poems by Kent, and paintings by his brother Gregg,", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://home.mindspring.com/~chadwick15/"} +{"d:Title": "Chris - Chris's Poems", "d:Description": "Personal collection including a guestbook and forum.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://chris_poems.tripod.com/mypoems/"} +{"d:Title": "Champion, Simon - Poetic Threads", "d:Description": "A selection of poetry of various types.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://poeticthreads.spudley.com/"} +{"d:Title": "Cygalski, Mike", "d:Description": "Collection of Cygalski's poetry including reflections on contemporary conscience and translated works by other writers including Stevens, Szymborska and Purdy.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://poetry.cygalski.net/"} +{"d:Title": "Santiago Colas", "d:Description": "Essays, poetry, and photography.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www-personal.umich.edu/~scolas"} +{"d:Title": "Cusick, Tyler Joseph - buildings of a tyler", "d:Description": "A few poems by Tyler Joseph Cusick, with links to his other personal sites.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.angelfire.com/stars/deityspoetry/index.html"} +{"d:Title": "Crookes, Kevin", "d:Description": "Writes about things that happen to him and to others. Contains blog and information on his published work.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/C", "url": "http://www.kdcrookes.com/"} +{"d:Title": "Davidson, Arden - The Arden Pages", "d:Description": "Hundreds of original poems and games. Includes a special section for kids with rhymes and rhyming games.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/ar/lyrics2/mypages.html"} +{"d:Title": "Deutschendorf, J.D. - At the Water's Edge", "d:Description": "A selection of poetry by Oklahoma poet and author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.jangle.net/poetry/"} +{"d:Title": "Diamond, Alexis - Bawdy Body Parts", "d:Description": "Poetry with illustrations by Sholem Krishtalka.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://pages.infinit.net/adiamond/index.html"} +{"d:Title": "Daniel's Poetry", "d:Description": "The poetic works of the author, speaking of love and life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://members.tripod.com/Mortoamigo/"} +{"d:Title": "DCW and Jo's Corner", "d:Description": "Teen poetry by two small town ladies.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/ct/DCWandJo/"} +{"d:Title": "Delizia, Diego - Poetry in English", "d:Description": "Includes religious poems and short stories in Italian and English.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.delizia.com/"} +{"d:Title": "DiObear's Poetry Corner", "d:Description": "Poems about relationships and life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/sd/diobear/"} +{"d:Title": "Dizzy's Castle in the Air", "d:Description": "A castle in the air with a Verse Vault of fun poems, the Ultimate Quest (a rhyming game) and The Poet's PortaL-oo, where visiting Bards can post their own verse on the topic of the week.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://members.tripod.com/~dizzys/index.html"} +{"d:Title": "Dove's Inspirational Poems", "d:Description": "A compilation of poetry to share with your friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://members.tripod.com/~The_Dove2k/index.html"} +{"d:Title": "Dias, Tiago - The Druid's Poetry Corner", "d:Description": "Dark and love poetry, stories, guest writers and poetry webring.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://ptsoft.net/druid/"} +{"d:Title": "Dullaghan, John", "d:Description": "Poetry about personal success and life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/hi3/johndullaghanpoet/"} +{"d:Title": "Duerr, Eric - My Own Eden", "d:Description": "Find inspiration in the garden.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/biz5/myowneden/index.html"} +{"d:Title": "Dutton, Nikole - Nikole's Page of Poems and Stuff", "d:Description": "\"Life ends but love never dies\".", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/il2/painful/"} +{"d:Title": "Dovetonsils, Anselm - Not Yet Selected Poems", "d:Description": "A medium-sized personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.kokonino.com/dovetonsils/index.html"} +{"d:Title": "Dauphin, Bud - Rhymelines", "d:Description": "Poetry and rhymes for many occasions including weddings, birthdays, graduation and Christmas among others. Personalized poems offered.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.rhymelines.com/"} +{"d:Title": "DeVault, William F. - The Romantic Poet of the Internet", "d:Description": "This internationally published author wrote over 400 poems and eloquences, and founded AOL's \"Romantic and Erotic Poetry Group\" chat.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.cityoflegends.com/wfdv.html"} +{"d:Title": "Daughterty, Susan - Tennessee Memories", "d:Description": "Poems and memories of the author's life and family in the hills of Tennessee.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/ut/edaugh2324/mytennesseememories1.html"} +{"d:Title": "Dominquez, Antonio - Welcome to the Center", "d:Description": "Modern poetry collection and personal information about the author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/ca5/rico/"} +{"d:Title": "Davidson, Malcolm - Tram Spark", "d:Description": "Poems, notes, and archives.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://tramspark.blogspot.com/"} +{"d:Title": "Davidson, Alistair - Personal Web Site", "d:Description": "Photography, bio, poetry collection, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.alistairdavidson.com/"} +{"d:Title": "Dee's Den", "d:Description": "Poetry inspired by life experiences; love, laughter, leaving, living and dying. Includes a guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://members.tripod.com/dee_k7k/"} +{"d:Title": "DeCesare, Barbara", "d:Description": "Poetry, writing, photos, reviews, and appearances by the author of jigsaweyesore.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.emster.com/BarbaraDeCesare/"} +{"d:Title": "Dockery, Will - Secret Madrigals", "d:Description": "A collection of beat poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/al2/willdockerypoems/index.html"} +{"d:Title": "del Bario, Derek - Rejected Poets Corner", "d:Description": "Collection of poetry organized by topic, including humor, love and heartbreak, everyday poetry, and a collection of other's poetry. Also offers updates by e-mail.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.reject.org/index.html"} +{"d:Title": "Donahoo, Scott - Poems of the Heart", "d:Description": "A small collection of writings about family, loss, and love.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.angelfire.com/wizard/1s1c2o3t5t8/Poetry.html"} +{"d:Title": "Dave - El Grovez", "d:Description": "Small collection of original poetry and short stories. Includes an author bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://elgrovez.tripod.com/elgrovez/"} +{"d:Title": "Daland, George - J's Magic Poetry Galleries", "d:Description": "Family-safe gallery of manipulated nature photography and its associated poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://jsmagic.net/portfolio"} +{"d:Title": "Duvernay, Joe", "d:Description": "Poetry by the California father and telecommunications veteran.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/D", "url": "http://www.joeduvernay.com/"} +{"d:Title": "Elsbeth's Cottage", "d:Description": "Designed to promote the work of unknown authors and artists.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.angelfire.com/ak2/elsbethscottage/"} +{"d:Title": "Eldridge, Lori - Lori's Poetry", "d:Description": "Humorous, heartfelt, Christian and other poetry. Also includes stories, artwork and articles.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.loriswebs.com/lorispoetry/"} +{"d:Title": "Eades, M.C. - Miracle Mile", "d:Description": "Post-Beat poetry and prose, with photos.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.angelfire.com/ca2/eades/"} +{"d:Title": "Earth-Fire, Clover - My Spot Beneath the Stars", "d:Description": "Wiccan and magick themes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.angelfire.com/ca4/CloverLeaf/"} +{"d:Title": "Eliseuson, Michael - Poetry Offerings", "d:Description": "Writings about nature, politics, and poets.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.motherbird.com/eliseuson.htm"} +{"d:Title": "Erman, Robert", "d:Description": "Native poet of the southwest USA writes about nature, samsara, and poets.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.motherbird.com/bob.html"} +{"d:Title": "Egan, Joseph Michael - The Website of Champions", "d:Description": "Poetry and jokes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.angelfire.com/journal2/Egan/"} +{"d:Title": "Ensato, Sik - Emotionally Soulless", "d:Description": "Contains diary entries, lyrics and poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.angelfire.com/emo/soulless/"} +{"d:Title": "Emerald - Wonder", "d:Description": "Poems, thoughts and pictures by Emerald.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://hippieanarchist.tripod.com/"} +{"d:Title": "Estimbo, Corey - Lostcause_7", "d:Description": "Amateur poetry dealing with teenage issues.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/E", "url": "http://www.angelfire.com/poetry/lostcause7/"} +{"d:Title": "Fletcher, Barbara", "d:Description": "Includes complete list of published works, daily journal, photos, projects, and biography.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.barbarafletcher.com/"} +{"d:Title": "Faye, Sondra - Addicted to Poetry", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://members.tripod.com/~sondra_faye/index.html"} +{"d:Title": "Filipeli, Cara Marie - Cara's Poetry Cove", "d:Description": "The prolific ponderings of an emotional exhibitionist.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.snowcrest.net/cara"} +{"d:Title": "Fella, Chad - The Dirt Road", "d:Description": "A collection of poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://fellaca.tripod.com/"} +{"d:Title": "Fairy Madeline", "d:Description": "An angry teenager's thoughts on life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/journal/fairy/"} +{"d:Title": "Fleming, J.", "d:Description": "Poetry for everybody. Nobody's an island, so sail on by.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/wa2/james/index.html"} +{"d:Title": "Fisher, Sara - January Girl", "d:Description": "Includes a page about the prophecies of Nostradamus.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/az2/januarygirl/index.html"} +{"d:Title": "Foster, Dean - The Paradise Poetry Corner", "d:Description": "A large selection of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/art/shandolyn/index.html"} +{"d:Title": "Fesperman, Daniel N.", "d:Description": "A small collection, guestbook, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/poetry/d_fesperman/index.html"} +{"d:Title": "Futo, Brent - Lyric Poet", "d:Description": "An ongoing collection of lyric poetry. Includes photographic images that accompany a wide range of poetic topics and themes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://lyricpoet.tripod.com/"} +{"d:Title": "Franco-Towell, Susan - Womans Word", "d:Description": "A collection of poetry and short stories with art.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/zine2/womansword/"} +{"d:Title": "Ford, Donna Michelle - Donna's Writing", "d:Description": "A poetry collection, as well as assignments from the author's BA degree and personal pictures.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/zine/donnamford/writing.html"} +{"d:Title": "Foxy's Place", "d:Description": "Poetry and love letters.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://members.tripod.com/foxylady_fla/"} +{"d:Title": "Franusic, Joel - Chaos", "d:Description": "Poetry, a MASH program for the PalmOS, things to do when you are bored, and plans for world conquest.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://joel.franusic.com/"} +{"d:Title": "Forest, Jonathen - Splintered in His Head", "d:Description": "Experimental poetry and prose thrown in a blender with Buddhism, transpersonal psychology, quantum physics, genetics, and transhumanism.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://matiskoj.tripod.com/home.html"} +{"d:Title": "Fry, Charles Parkinson", "d:Description": "Personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://poems.frogcircus.org/"} +{"d:Title": "Fallen Angel", "d:Description": "Poems by a girl who suffers from depression.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.angelfire.com/poetry/o.d.fairie/"} +{"d:Title": "Finch, Peter - The Peter Finch Archive", "d:Description": "Original poetry and information about self publishing.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/F", "url": "http://www.peterfinch.co.uk/"} +{"d:Title": "Glick, Bert - BertGlick.com", "d:Description": "Poetic works from books and plays. Includes some video and audio selections.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://bertglick.com/"} +{"d:Title": "Goodson, Alan W - The Cabin", "d:Description": "A large collection of personal and submitted poetry for the dreamer in all of us.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.jrily.com/cabin/index.html"} +{"d:Title": "Giglio, Adam - Poetry and Short Story Page", "d:Description": "Poems and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.angelfire.com/punk/adamg/"} +{"d:Title": "Gilbert, Craig", "d:Description": "Poetry and extracts from fantasy novels.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://craig-gilbert.com/"} +{"d:Title": "Goralnick, Jared - Dancing With Words", "d:Description": "A perspective of the world through the looking glass of the life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.dancingwithwords.com/"} +{"d:Title": "Glendenning, Margaret - The Everton Upper Poet", "d:Description": "A collection of poetry, including humorous verse and Australian Bush Poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://theevertonupperpoet.tripod.com/"} +{"d:Title": "Gimblett, John - Selected Poems", "d:Description": "Selected poems by the author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://jgimblett.tripod.com/jgpoems.html"} +{"d:Title": "Goodwin, Robert S. - Robert Redford Dreams and Other Collections", "d:Description": "Features a large poetry collection written in the Chinese tradition of Li-Po and Tu-Fu.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.angelfire.com/ms/poems2000/"} +{"d:Title": "Gudmondson, Terry - World Of Poetry", "d:Description": "Original poetry by the author and friends, and works by famous authors.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.angelfire.com/co/poet/"} +{"d:Title": "Grist, Maria - Maria's Other Space", "d:Description": "Contains poems about life, also photographic images.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.mariagrist.net/"} +{"d:Title": "Gilleland Poetry", "d:Description": "Poetry by the Gilleland family, with over 100 poems on various thought-provoking or humorous topics, written in a variety of styles. Intended as a tribute to the family's father.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.gillelands.com/poetry/"} +{"d:Title": "Gemmell, Stanley - Temple2", "d:Description": "Poetry and guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.angelfire.com/il/surlsone/"} +{"d:Title": "Graham, Melissa - Random Acts of Craziness", "d:Description": "Personal poetry, bio, user-contributed writing, and pictures.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://drunkengoddess69.tripod.com/"} +{"d:Title": "Gina - Poetry, Words of the Soul", "d:Description": "On a variety of topics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.angelfire.com/md2/poetrybyme02/"} +{"d:Title": "Gregory, Annie - The Key to my Soul", "d:Description": "A small collection and author bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://mysticgoddess9.tripod.com/"} +{"d:Title": "Greg - The Dream Weaver", "d:Description": "Original poetry, bio, and antique book collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://skybluehunter.tripod.com/"} +{"d:Title": "Giese, Eric - Eric's Poetic Corner", "d:Description": "Topics include Operation Desert Storm, alcoholism, and romance.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.angelfire.com/poetry/byeric/"} +{"d:Title": "Gupta, A. K.", "d:Description": "The author's favourite poems, including some of his own.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.akgupta.com/poems.htm"} +{"d:Title": "Greenlee, Mary J. - Willows Pond", "d:Description": "Poetry about love, life and humor.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.willowspond.com/"} +{"d:Title": "Graebner, Helen and Diane - Generations", "d:Description": "Poetry from two generations: grandmother and granddaughter.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.generations-poetry.com/"} +{"d:Title": "Griffiths, Ivor", "d:Description": "Gothic free verse, short stories, and political poems by an English author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/G", "url": "http://www.poet.me.uk/"} +{"d:Title": "Hiwa, R. - Galerie d'Art Gallery", "d:Description": "Poems in English, French, and Farsi. Includes hosted poems and paintings of the author's friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://pagesperso-orange.fr/r-hiwa/"} +{"d:Title": "Harris-Custer, Orene Elizabeth - Orene's Poems", "d:Description": "Poems by Orene Harris-Custer.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.angelfire.com/biz2/dancers/poetry1.html"} +{"d:Title": "Heasley, Geoffrey, Thomas - A Change in My Life", "d:Description": "A personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.angelfire.com/on2/deathwalker/"} +{"d:Title": "Humle, Harry - Dark Horse", "d:Description": "Poetry, artwork, short stories, drawings, quotes, flash, 3D and computer graphics. Requires Flash 4 or higher to enter; graphically intense.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.darkhorse.dk/"} +{"d:Title": "Haller's Hideout", "d:Description": "The life, poetry, art, and satire of a 21st-Century Steppenwolf.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://haller1929.tripod.com/"} +{"d:Title": "Hellhed", "d:Description": "Poetry, song lyrics, humor, and art.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.hellhed.com/"} +{"d:Title": "Howe, Laurence - Innovation Game Poetry", "d:Description": "Poetry collection spanning 40 years.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.innovationgame.com/poems/"} +{"d:Title": "Hoffman, Justin", "d:Description": "Personal poetry, information about his book and links to buy. Poetry book for sale. Online poetry. Poetry emailer. Email list.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://justhoff.tripod.com/"} +{"d:Title": "Hope - Lady Hope's Night Haven", "d:Description": "Personal and romantic poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.angelfire.com/ns/ladyhope/"} +{"d:Title": "Hambrock, Geri - Moonkitty's World", "d:Description": "Subjects are life, love, anti-abuse and caring.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://members.tripod.com/~moonkitty59/index.html"} +{"d:Title": "Hope, Railey - Railey's Poetry and Such", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://raileyhope1219.tripod.com/raileyhope/"} +{"d:Title": "Heinrich, Ray - Word Biscuit", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://wordbiscuit.com/"} +{"d:Title": "Hamilton, David", "d:Description": "Author of Nature's Majesty, an e-book of lyrical poetry published by Sirius Publications. Includes a bio and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.sirius-books.com/dhamilton"} +{"d:Title": "Halliwell, Frank - Poetry Pages", "d:Description": "A collection of rhymed and metered poetry in the traditional style on a wide variety of subjects.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://frankhalliwell.tripod.com/"} +{"d:Title": "Horken, Sarah - Heart Tears", "d:Description": "A personal collection, bio, quotes, list of awards won, and a guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.angelfire.com/poetry/hearttears/"} +{"d:Title": "Hann, Joelle - WaxPoetic", "d:Description": "Poetry and essays by this Canadian poet residing in Brooklyn, as well as the poetry of others. Includes local area information on reading series in Williamsburg, NY.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.waxpoetic.org/"} +{"d:Title": "Holt, Michelle - Poetically Speaking", "d:Description": "Poetry and art pages by this published poet. Includes archives and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.poeticallyspeaking.com/"} +{"d:Title": "Hamm, Christine", "d:Description": "Personal collection, publication listings, and announcements for public readings in NYC.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://chamm.blogspot.com/"} +{"d:Title": "Harris, Alan - An Everywhere Oasis", "d:Description": "Inspirational, philosophical, upbeat, or satirical.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.alharris.com/"} +{"d:Title": "Hudnall, Tom - Poetry Exchange", "d:Description": "A personal collection, and place for poets to submit work.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.angelfire.com/poetry/exchange/"} +{"d:Title": "Heather May - Heather's Cheesy Poetry", "d:Description": "Her poetry and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.angelfire.com/home/allegro133/"} +{"d:Title": "Hove, Eric van", "d:Description": "Poetry, projects, photography and news.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.transcri.be/"} +{"d:Title": "Hagemann, Helen", "d:Description": "Two poetry collections by this Australian poet. Focusing on \"the female experience\".", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://members.iinet.net.au/~helen.hagemann/"} +{"d:Title": "Hunt, K. - Poetry For Teachers", "d:Description": "Offers several poems useful to the art of learning to read poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.poetryforteachers.com/"} +{"d:Title": "Hussein - A New World Everyday", "d:Description": "Songs, raps and poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.goodvsevil.blogfa.com/"} +{"d:Title": "Humna Hamid", "d:Description": "A small collection of poems", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.poemhunter.com/humna-hamid/"} +{"d:Title": "Harris, Dylan - arts \\ ego", "d:Description": "Described as an \"arch modernist\". Dylan Harris's online poetry includes versions of poems in print, with many recorded readings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/H", "url": "http://www.dylanharris.org/poetry/poetry/index.shtml"} +{"d:Title": "Ice Rose's Poetry Library", "d:Description": "Features a selection of original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/I", "url": "http://www.angelfire.com/art/rosepoetry/"} +{"d:Title": "Isbell, Patrick - Shadow Walker's Realm", "d:Description": "By a teenage poet in Alabama. Showcases original work about love, suicide and dark musings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/I", "url": "http://www.angelfire.com/al2/ShadowWalker/index.html"} +{"d:Title": "Jackal's Realm of Poetry", "d:Description": "A place to read poetry and submit your own.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/punk/torment/"} +{"d:Title": "Jack - The Jack's Cauldron", "d:Description": "Personal poetry and a small collection of quotes from others.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/nj/poet333/"} +{"d:Title": "Jade's World", "d:Description": "A selection of original poetry by Erin.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/hi/erinthatsme/poetry.html"} +{"d:Title": "Jenn's Page", "d:Description": "Original poetry, song lyrics, and thoughts.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://members.tripod.com/~jenn71124/jenn.html"} +{"d:Title": "Jessica's Poetry", "d:Description": "Dedicated to poetry and life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/stars/bearwish3/"} +{"d:Title": "John's Frontiers", "d:Description": "Includes personal poetry and art.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://frontiers.freeservers.com/"} +{"d:Title": "January, Clay - Lightning Rod World Headquarters", "d:Description": "Poetry and commentary by this American absurdist poet and musician.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://stormpages.com/gitdown/"} +{"d:Title": "Jones, Amanda - Mandie's Little Corner of Insanity", "d:Description": "Poems with triggering imagery.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/journal/Mandie/Mpoetry.html"} +{"d:Title": "James, Amalia Ryoko - Poetry", "d:Description": "Poetic collection by the author and friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/ma2/niophita/poetry.html"} +{"d:Title": "Judges, Paul - Walking in the Clouds", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://web.onetel.net.uk/~pauljudges/"} +{"d:Title": "Jacoby, Teresa Michelle - To Heart and Soul's Expressions", "d:Description": "A large collection of poetry and short prose about live, love, humanity and nature.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/tx2/Chellespoetry/index.html"} +{"d:Title": "Jade, Mystikka - The Official Mystikka Jade", "d:Description": "The poetic works of the author, psychic and author of the upcoming book, \"Lights and Shadows.\"", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://mystikka.tripod.com/"} +{"d:Title": "Jacqueline's Junque", "d:Description": "Personal, romantic poetry with background wavs, and assorted graphics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/md2/Jacquelinesjunque/home.html"} +{"d:Title": "Jaz - Jaz's Place", "d:Description": "Love poems, poems from the heart, and writings on life and how it affects people.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://jazs_place.tripod.com/poems/index.htm"} +{"d:Title": "Jessmonkey - Another Dimension of the Primate World", "d:Description": "Original poetry and thoughts. User submissions invited.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/in4/poetry_etc/"} +{"d:Title": "Jane, Mercury - Emotional Chaos", "d:Description": "Amateur poetry and famous quotes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.angelfire.com/nc/samboo/"} +{"d:Title": "Jessica - Freedom is Golden", "d:Description": "Collection of original and submitted poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://members.tripod.com/~j_musiccity/"} +{"d:Title": "Jeremy - Digital Ink Stains", "d:Description": "Personal showcase of poetry, including pictures.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://digitalinkstains.8m.com/"} +{"d:Title": "Johnson, Dean - Poems Past and Present", "d:Description": "Short poems and a prose poem.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://deanjohnson.ca/"} +{"d:Title": "Jaffe, Larry", "d:Description": "The poetry of Larry Jaffe.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/J", "url": "http://www.larryjaffe.com/"} +{"d:Title": "Kimmel, Larry - Blue Pulse", "d:Description": "A Winfred Press ebook including haiku, tanka, cherita, gembun, and other short poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://larrykimmel.tripod.com/"} +{"d:Title": "Kinsella, Jessica - Jessica's Daze", "d:Description": "A collection of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.angelfire.com/wa2/JessicaDays/index.html"} +{"d:Title": "Kornea, Vladmir - Vladimir's Bauble", "d:Description": "Collection of quotations, poetry, humor, and other material.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.kornea.com/"} +{"d:Title": "Kohl, Paul - Why We Lost the War", "d:Description": "Autobiographical site containing poetry, prose and digital images that explore experiences as a conscientious objector during the Vietnam War and beyond.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www2.gol.com/users/pkohl/"} +{"d:Title": "Kim - Censored Red", "d:Description": "Collection of poetry, songs, journal, art, and articles about music, veganism, and animal rights.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.angelfire.com/realm/censoredred/"} +{"d:Title": "Knight, Laura - Original Poetry", "d:Description": "A free poetry site featuring love poems,and fantasy poetry.Featuring several poems from her book along with new material.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.angelfire.com/poetry/dark_moonsong/"} +{"d:Title": "Kahl, Brian - The Way Things Fall", "d:Description": "Poetry, journal, music, and hosting of writing submissions.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.angelfire.com/ga4/thingsfall/"} +{"d:Title": "Kate - The Fabric of Dreams", "d:Description": "Mostly about unhappiness.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://fabricofdreams.tripod.com/"} +{"d:Title": "K, Sebastian - Slight Of Pen", "d:Description": "An amateur's collection of poetic verse. Includes an author bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.angelfire.com/art/slightofpen/"} +{"d:Title": "Karman, Gary J. - Gutter Genius", "d:Description": "Personal collection and commentaries.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://soulinkpomes.tripod.com/"} +{"d:Title": "Karen - Excursions Into Poetry", "d:Description": "Self expression, including poetry, art, and humor. Includes thoughts about Gettysburg.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.angelfire.com/journal/wordsareair/index.html"} +{"d:Title": "Kader, Sameera - Feelings", "d:Description": "Poem collection and animated signatures.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://sameera79.tripod.com/"} +{"d:Title": "Kim-Walker, Young Sun", "d:Description": "Personal collection by this Korean-Australian. Poems are in English, which is not the author's first language.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.youngsunkimwalker.com/"} +{"d:Title": "Klein, Petra - Leaves Fall", "d:Description": "Thoughts that spin downward like a burgandy colored leave.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://www.angelfire.com/wv/fall/"} +{"d:Title": "Kelly, Patricia Grace - Roswila's Dream&Poetry Realm", "d:Description": "A growing collection of poetry based on dreams and the Tarot by various authors.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/K", "url": "http://roswila-dreamspoetry.blogspot.com/"} +{"d:Title": "Lupert, Rick", "d:Description": "Involved in the Los Angeles poetry community he shares his writing, audio and video, free e-books and news.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://poetrysuperhighway.com/"} +{"d:Title": "Latnik F - Celebrate Poetry", "d:Description": "Poems dedicated to the mother of the poet, who died when the poet was eleven.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/celeb/ratepoetry/index_2.html"} +{"d:Title": "Lee, Annie - Beauty Shop", "d:Description": "Poem with graphics recalling childhood memories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://sisvalison.tripod.com/beautyparlor.html"} +{"d:Title": "Lofranco, John - Feathercasting", "d:Description": "Poems, stories and articles about life, love, running and music.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/id/inside/"} +{"d:Title": "Leigh, Jessie - Flaming Rose", "d:Description": "Anthology of love and Christ-oriented poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/stars2/flamingrose/"} +{"d:Title": "Lambert, Jeanne - In Poetic Wonderment", "d:Description": "Poetry including links to other poetry sites.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/ms/poeticwonder/"} +{"d:Title": "Lady Marie/Black Widow's Poetry", "d:Description": "Angst poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/ne/blackwidowspi83/index.html"} +{"d:Title": "Lady Snow's Ice Castle", "d:Description": "Features fantasy oriented romantic poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/mt/IceCastle/index.html"} +{"d:Title": "Lifshin, Lyn", "d:Description": "Original poetry, some on user-submitted themes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.lynlifshin.com/"} +{"d:Title": "Lika's Lolo-nes", "d:Description": "The voice of an Islander now living on a Reservation, a minority among minorities.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://members.tripod.com/~Lika97/"} +{"d:Title": "Lillatin's Page", "d:Description": "Poetry, quotes and information about love.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/ga2/LiLLaTiNGoDDeSS/"} +{"d:Title": "Lisa Says", "d:Description": "Art and beat inspired poetry by a Southern artist/poet. ICQ communication panel and links to other sites.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/al2/queenjane/index2.html"} +{"d:Title": "Lolita's Room", "d:Description": "Thoughts, poems, and information.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/mi/lolita39/"} +{"d:Title": "Lone Owl's Roost", "d:Description": "Emotional poetry ranging from the depressed to the joyful.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/ks/loneowl/index.html"} +{"d:Title": "Lynsey's Homepage", "d:Description": "Personal poetry (some poems have been published), various lyrics, pictures, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/me2/youbaby/index.html"} +{"d:Title": "Lazaro, Helena - Me, Me, Me.", "d:Description": "Poetry and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/hi/ThePoetHelena/index.html"} +{"d:Title": "Langston, Michele - Muse Pages", "d:Description": "A collection of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/ar/faeryraindancer/poetry.html"} +{"d:Title": "Lewinter, Marty - My Poetry Page", "d:Description": "Classical poetry and neo-romantic compositions for small musical ensembles.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://home.earthlink.net/~mjlewin/"} +{"d:Title": "Long, Heather - Small Reflections", "d:Description": "An aesthetic environment to house the author's work.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/ms/smallreflections/"} +{"d:Title": "Lope, Chris - Welcome to the Eclipse", "d:Description": "By an author from Dallas, TX. Poetry, Linux links, MP3s, and an online journal.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.theeclipse.org/"} +{"d:Title": "Lincoln, David - World Trade Center Experience Poetry", "d:Description": "Poem dealing with the September 11th, 2001 terrorist attack on New York City.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.scripter.net/backpages/prelude21.htm"} +{"d:Title": "Lone Wolf - Welcome to a Sharing Pool", "d:Description": "Poems by the author and guests. Submissions invited.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/rant/iamalonewolf/"} +{"d:Title": "LadyJuliet's Midnight Balcony", "d:Description": "Poetry book, awards, webrings, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://www.angelfire.com/ny/PumkinHead/"} +{"d:Title": "LeMur, Caryn", "d:Description": "Poems by a Christian transsexual.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://carynlemur.com/"} +{"d:Title": "Liquid Crystal Mesh", "d:Description": "Web master priest and mage. A place to find stories and stuff about space and aliens. Online poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/L", "url": "http://voidnum.blogspot.com/"} +{"d:Title": "Marie, Anna - Anna's Poems", "d:Description": "Poems dedicated to lovers, family, and friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/ms/annaspoems/"} +{"d:Title": "McConnell, Angie - Angie's Poems", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://babyjoe15.tripod.com/"} +{"d:Title": "Malo, T.M. - Beside Cold Water", "d:Description": "Personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/art/besidecoldwater/"} +{"d:Title": "Marx, Kathie Raye - Black Mesa Poetry", "d:Description": "Poetic writings by the author, a survivor of physical, sexual, psychological and substance abuse.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/journal/blackmesa/index.html"} +{"d:Title": "Maud, Eleanor - A Character Insight", "d:Description": "Contains original, previously unpublished poetry and stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/in/elanor/"} +{"d:Title": "Meyer, Eric - Each Wasted Night is an Ear on the Devil's Belt", "d:Description": "A collection of irreverent poetry about life, love, spirit and exploration. Bukowski/Beat influenced.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/devilsbelt/"} +{"d:Title": "McLean, Sally - Flare with Flair", "d:Description": "Work by this Australian poet and chosen featured poets.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/flarewithflair/"} +{"d:Title": "Mars, Michael - The God Poems of Michael Mars", "d:Description": "The poetic work of the author, a self-described indentured servant to any and all gods who see divine inspiration as an annoying waste of their best material.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.michaelmars.com/"} +{"d:Title": "Morgan, Addie - Infinity Rising", "d:Description": "A showcase of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/tx2/morgan/index10.html"} +{"d:Title": "Majikblu - Journal of Rambling Madness", "d:Description": "Personal collection of poetry and explanatory journal entries.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://angelfire.com/journal/oframblingmadness"} +{"d:Title": "Murphy, Robert - Lingering Daffodils", "d:Description": "A small collection of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/wy/lingeringdaffodils/"} +{"d:Title": "MarChar Connections", "d:Description": "Poetry from various authors with optimism toward healing from abuse, society, traumas and pain of the heart.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/stars2/marge45/"} +{"d:Title": "McGuire, Mary", "d:Description": "Writer of humorous poetry and novels.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.users.totalise.co.uk/~marymc/"} +{"d:Title": "Max's Poetry Pages", "d:Description": "Features poetry of romance and inspiration.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/me2/maxthepoet/index.html"} +{"d:Title": "McHugh, Heather", "d:Description": "Includes a scrollable e-poem, vita, and some salty quotes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://spondee.com/"} +{"d:Title": "Michelle Poet's Waves of Emotions", "d:Description": "Poems inspired by family, pets, and being a young woman alone in a big city.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://michellepoet.tripod.com/"} +{"d:Title": "Mikey's Sanctuary Of Heartfelt Compositions", "d:Description": "A personal site of poetry, as well as works from other aspiring poets and authors.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/me2/paganpoet/"} +{"d:Title": "Misty's Poetry Site", "d:Description": "Poetic expression of feelings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/mn/POEM/index.html"} +{"d:Title": "Moon Goddess Poetry", "d:Description": "Personal poetry by Jessika, and that dedicated to poets like Rod McKuen and Dorothy Parker.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://moongoddess42069.tripod.com/"} +{"d:Title": "Mourning Grave", "d:Description": "Poetry, prose and songs written by teens. Also includes role play characters and artwork.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/zine/MourningGrave/"} +{"d:Title": "Muse-less", "d:Description": "Happy, sad and witty poetry by a self acclaimed wannabe.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/indie/museless/"} +{"d:Title": "My Little World", "d:Description": "Contains personal poetry by Justin.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/wi2/MyLittleWorld/"} +{"d:Title": "My Poetry Archive", "d:Description": "\"Look into the depths of our imaginations...\". A collection of poems by friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://kiwi_ls.tripod.com/white-poems.html"} +{"d:Title": "My Private Hell", "d:Description": "A collection of poetry varying from the dark and suicidal, to the happy and love found.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://darthbeavis.tripod.com/"} +{"d:Title": "My Subliminal Guide To Insanity", "d:Description": "Poetry by Laura about love, depression, suicide and drugs.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/~InvisiblePoet/index.html"} +{"d:Title": "My World of Narrative Poetry", "d:Description": "A collection of poems in response to world events, occurrences and happenings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/tevvydee/"} +{"d:Title": "Mysterious Labrys", "d:Description": "Thoughts, creations and inspiration.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://u2nspire.tripod.com/mystlabrys/"} +{"d:Title": "Mystical Raiders", "d:Description": "A place for the souls of heartbreak. Come in to read, relax, and feel.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/Mystical_Raider/"} +{"d:Title": "McNeely, David D. - Night Rains Domain", "d:Description": "Poetry inspired by life, with accompanying graphics and music.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/ok3/nightrain/index.html"} +{"d:Title": "McGahan, Ogden - Ogden's Prose Poetry", "d:Description": "A collection of prose and many writer resources.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://ogdenian.com/"} +{"d:Title": "Miller, Angela - Poetic Utopia's Place", "d:Description": "Thoughts and emotions, includes lyrics to love songs.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.members.tripod.com/poetic_utopia/"} +{"d:Title": "Madrid, Richard - Qwerty's Corner", "d:Description": "Poetry by a teenager.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/shady540/"} +{"d:Title": "Morris, Jordan - Ramblings of a Madman", "d:Description": "Personal poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/nc/vampboy/"} +{"d:Title": "Morris, Steve -Reinforced Steel", "d:Description": "A personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/art/reinforcedsteel/"} +{"d:Title": "Metzger, Brice A. - Stray Strands of Sifted Thoughts", "d:Description": "Traditional rhyme and meter poetry covering many subjects. Serious, humorous and the sublime.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/on3/bricepoems/"} +{"d:Title": "McDonald, Reverend Bill - The Vietnam Experience", "d:Description": "Poetry, literature, and veteran support network by a Vietnam combat veteran.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.vietnamexp.com/"} +{"d:Title": "Montgomery, Deb - Vision Impressions", "d:Description": "A collection of poems and music dealing with friendship, love, family and awakening to discover self. Also includes graphics and psychic information.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.visionimpressions.com/"} +{"d:Title": "Mani, Isnala - The Writings of Isnala Mani", "d:Description": "Selected poetry and prose.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://isnala.freeservers.com/"} +{"d:Title": "Moreschi, Opus - Yomomma.com", "d:Description": "Poetry, fiction, non-fiction, non-non-non-fiction, and other weirdness.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.yomomma.com/"} +{"d:Title": "Moore, J.S. - Searchers", "d:Description": "A tribute to Jim Morrison of the Doors by this Tennessee writer.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/mo2/ThousandFaces/Doors.html"} +{"d:Title": "Malac's Home", "d:Description": "An index of poems, a selection of picture galleries of friends and family, a bio, and a Sailormoon image gallery.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/nb/lunarmalac/"} +{"d:Title": "Mlynarek, Deanna", "d:Description": "Poems, lyrics, photos, links, and bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/poetry/deanna_m/home.html"} +{"d:Title": "Mallarme, Stephane - The Afternoon of the Faun", "d:Description": "The English version of the poem translated by Roger Fry and illustrated with Rebecca A. Barrington paintings. This correlates to the DeBussy work of the same name.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/art/doit/mallarme.html"} +{"d:Title": "Malachite, Angel - Attempts at Poetry", "d:Description": "Published and unpublished works about angels, love, and life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.contemplicity.com/poet.html"} +{"d:Title": "Mittag, James", "d:Description": "A small collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/poetry/j_mittag/"} +{"d:Title": "Mortis - The Library", "d:Description": "Poems and stories, including an Achaean page.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/poetry/thelibrary/index.html"} +{"d:Title": "My Land of Dreams", "d:Description": "Asian-style poetry and stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/my/landofdreams/index.html"} +{"d:Title": "Morris, Will - Wordstorm", "d:Description": "An archive of his poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/willof777/"} +{"d:Title": "Moe - Brothers Thought of the Past", "d:Description": "A brother deals with life written into poems. From love to the struggle for the black man in life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/de2/Mikell/"} +{"d:Title": "Mistress Wynter - The World of the Darkmistress", "d:Description": "Dark and seductive poetry and pictures.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/wy/mistresswynter/"} +{"d:Title": "Macwilliam, Richard", "d:Description": "A wide-ranging selection of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.richardmacwilliam.com/"} +{"d:Title": "Man\u00e9, Cyllene - Shepherding The Random", "d:Description": "Features original poetry about life experiences.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.poetryst.com/"} +{"d:Title": "McInturff, Erika Ratcliff", "d:Description": "Poetry, pictures, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/stars/inthesky48/"} +{"d:Title": "Morris, F.W. - Poetica", "d:Description": "Featuring personal and classic erotic poetry, complimented by black and white photography. Includes an author bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://deklynmorris.tripod.com/"} +{"d:Title": "Mercado, Petia - An Honest Breath of a Dreamer", "d:Description": "Samples from an upcoming book of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/poetry/honest/"} +{"d:Title": "Maralyn - Mers Poems", "d:Description": "A few selected poems, author bio, and guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/ca4/bala54ng/Poems/"} +{"d:Title": "Mead, Johanna - Rough Cut", "d:Description": "Small but growing collection of personal poetry. Sometimes it's reluctant revelation, sometimes it's just munge.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://members.tripod.com/~johanna_mead/"} +{"d:Title": "Martinez, Laura - Visions of a Warrior Soul", "d:Description": "Original poetry written in English and Spanish about emotional personal experiences.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.angelfire.com/poetry/martlola/"} +{"d:Title": "McDonald, Rogers - Poetic Emotions", "d:Description": "Personal collection and news.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://poetrypen.com/roggermcdonald/"} +{"d:Title": "Monnar, Ana - Readers are Leaders", "d:Description": "Different types of poetry online plus a synopsis of the book, \"Half Full, or Half Empty?\"", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.readersareleadersusa.net/"} +{"d:Title": "Michael - The Ghostlight", "d:Description": "Poetry,prose, haiku and miscellaneous writes on the views of life, love, love lost.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://michaels_musings.tripod.com/"} +{"d:Title": "Montford, Sandra-Leigh", "d:Description": "New Zealander Sandra-Leigh Montford was born with cerebral palsy. At the age of ten she decided to be a poet. Includes biographical note and poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://homepages.paradise.net.nz/lepoet"} +{"d:Title": "Marsha, Chantaclair Rose - The Sitting Room", "d:Description": "Poem slideshow and online chapbook ordering.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://chantaclair.com/Poetry.htm"} +{"d:Title": "Mathew, Roxy - Poems by RockSea", "d:Description": "Poems on love, nostalgia, rock and sea, school, college and hostel life at girideepam bethany, St. Antony's Public School, CMS College, Cochin University, Sanathana Hostel, and Hokkaido University.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.rocksea.org/poems"} +{"d:Title": "Malone, Jae - Jaeworld", "d:Description": "Includes original poetry that changes three times per week. Also illustrated with art, links, tips on writing poetry, editorial services, quotes, and other quirky stuff.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://jaeworld.homestead.com/jaeworldhome.html"} +{"d:Title": "Miller, Cristina - BreathingMoon", "d:Description": "Inspirational poetry with Christian, contemporary, and fun themes.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/M", "url": "http://www.breathingmoon.com/"} +{"d:Title": "Nirmaldasan", "d:Description": "Read choice poetry of Nirmaldasan, author of a collection of early poems titled An Eaglet in the Skies.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://www.angelfire.com/nd/nirmaldasan/"} +{"d:Title": "The Northern Bard", "d:Description": "Poetry, pictures and short stories, including the beginning of a romance novel, written by the webmistress and her friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://galadriel_emmons.tripod.com/"} +{"d:Title": "Nymph, Woodra", "d:Description": "Personal collection and guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://www.angelfire.com/ia/natashag/index.html"} +{"d:Title": "Nicholson, Craig - Inspirational Poems for Everyday Living.", "d:Description": "A collection of inspirational poems and photos. Subjects include love, personal growth, spirituality and teen suicide.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://poetry.wholesomebalance.com/"} +{"d:Title": "Noreen - Angel Dustings", "d:Description": "Poems about angels.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://www.angelfire.com/tx/angeldustings/"} +{"d:Title": "Namachar, Suzanne - Q's Poetry Corner", "d:Description": "Collection of poetry dedicated to Suzanne's family.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://www.angelfire.com/poetry/namachar2/"} +{"d:Title": "Nyamidie, Kwami E. - Selected Writings", "d:Description": "Spiritual and mystical poetry and poems of enlightenment by a Togolese poet. Visitors can leave comments.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://mysite.verizon.net/res17t6d/"} +{"d:Title": "Nicole: Never Six Feet Under", "d:Description": "Features poetry and photography.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/N", "url": "http://www.neversixfeetunder.synthasite.com/"} +{"d:Title": "O'Morain, Padraig - Ladytown", "d:Description": "Poetry by Irish poet, previously published in Irish and British journals.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://ladytown.stormloader.com/"} +{"d:Title": "Oidtman, Sandra S. - A Little Bit of Me", "d:Description": "Collection of poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://www.angelfire.com/mo/poetry42/"} +{"d:Title": "One Odd Night", "d:Description": "Original poetry and short stories by one strange little girl.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://www.angelfire.com/zine/oneoddnight/index.html"} +{"d:Title": "The Outcasted Society", "d:Description": "Poetry for the soul. Outcasts, loners, poetry lovers, all are welcome.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://www.angelfire.com/pq/patrick/"} +{"d:Title": "Outside the Box II", "d:Description": "Poetry on life as a young feminist.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://www.angelfire.com/ny3/melchelle/"} +{"d:Title": "Owen, Todd - Hand Written", "d:Description": "Poetry, including Todd's latest project \"Song for a City\".", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://www.waze.net/todd/"} +{"d:Title": "Observing the World", "d:Description": "The site owner's poetry, including happy, dark, sad and love poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://eternity12190.tripod.com/observationalpoetry/"} +{"d:Title": "Oyown's Poem Page", "d:Description": "The personal poems of a new writer putting some of his poems out there for the world to see.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/O", "url": "http://oyown.tripod.com/"} +{"d:Title": "Pogue, Danny - The Chapook of Danny Pogue", "d:Description": "Features twenty-one poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/ky/twentyone/"} +{"d:Title": "Pozgay, Stephen William - Dead Roads", "d:Description": "The collaboration of poetry with photography and graphic design.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/mn/deadroads/"} +{"d:Title": "Piper, Martin - Fnagaton's Ramblings into Mind Space", "d:Description": "Collected poems and other written works.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.wellytop.com/Fnagaton/"} +{"d:Title": "Peterson, Tina - In Honor of my Child Within", "d:Description": "Poetry, graphics, and music to touch the hearts of the hurting and offer hope.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/journal/tina4sean/index.html"} +{"d:Title": "Provost, Kerri A. - Kerri's Links and Other Stuff", "d:Description": "Features a small collection of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/ct2/kerriastridia/"} +{"d:Title": "Piper, Steve - Knowing Firsthand", "d:Description": "A short collection of poetry written over the years. Featured are shape poems, and other innovations.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://stevepiper.tripod.com/knowing/"} +{"d:Title": "Passions of Lady Ambiance", "d:Description": "Dedicated to all who believe in love, peace and harmony.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://members.tripod.com/~MzAmbiance/index.html"} +{"d:Title": "Pathological", "d:Description": "Original poems and a tribute to some of the world's poets.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://greenwoodesther.tripod.com/"} +{"d:Title": "Peggy's Poetry Page", "d:Description": "Poems on life as lived and learned by the author", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://members.tripod.com/~P_Candy16/index-2.html"} +{"d:Title": "The Pleasure Dome", "d:Description": "Poetry by Nikolai.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://members.tripod.com/~robert_the_bruce/poetry/poetry.html"} +{"d:Title": "PleeeaR's Poem Page", "d:Description": "Original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/punk/addiction/pleeear.htm"} +{"d:Title": "Poems by Dlioness64", "d:Description": "Poems dealing with love and emotions.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://dlioness64.tripod.com/"} +{"d:Title": "Poems by Jessica", "d:Description": "All kinds of personal poetry, featuring life, love, heartbreak and nature. Original and others.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/me2/poemsbyjessica/"} +{"d:Title": "Poems for Your Pleasure", "d:Description": "Personal poems and those of friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/biz/rbeamer/poems.html"} +{"d:Title": "Poems of a Dreamer", "d:Description": "Original poetry, stories and haiku.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/art/poemsofadreamer/"} +{"d:Title": "Poetic Dreamin", "d:Description": "Dreams and thoughts continuously flow.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://poeticdreamin.tripod.com/PoeticDreamin/"} +{"d:Title": "Poe[t]ropical", "d:Description": "A signpost to a selection of original poetry sites and resources.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/ky/newtboy/ptg.html"} +{"d:Title": "Poetry and Links for the Enlightened", "d:Description": "Enter into the realm of an amateur poet's mind and discover a variety of form and styles in abstract expression of thoughts, theories, and beliefs.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/al2/diggler2001/"} +{"d:Title": "Poetry and Writings by Bec", "d:Description": "Poetry from the heart.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/mi2/becsstuff/"} +{"d:Title": "Poetry by Sonny", "d:Description": "A repository for the author's original poems about love, life, loss, and joy.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://poetry14.tripod.com/poetrybysonny/"} +{"d:Title": "Plottner, S.M. - Splotworks", "d:Description": "A compendium of original poetry by S.M. Plottner.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/or/splotworks/"} +{"d:Title": "Payne, Ashley Brooke - Tears of An Angel", "d:Description": "Poetry, jokes, quotes, lyrics, angel graphics, pictures, and stories.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/ga/brookespage/"} +{"d:Title": "Polakow, Everard S. - The Web Poetry Corner", "d:Description": "From this Johannesburg, South African poet.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.dreamagic.com/poetry/polakow.html"} +{"d:Title": "Peterson, Lori - She Speaks", "d:Description": "Personal collection with an African-American flair, supplemented by the work of miscellaneous artists, and occasional venting.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://members.tripod.com/shespeaksnc/"} +{"d:Title": "Penka, Marc", "d:Description": "A selection of the poetry and prose of American writer and literary theorist Marc Penka. Also includes memorials to the author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.marcpenka.com/"} +{"d:Title": "Pepe, Anthony F. - Lion on the Dove", "d:Description": "The heart and soul of a Warrior Poet.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/poetry/scarymeanguy/index.html"} +{"d:Title": "Patchen, Kenneth - Sonic Life", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://soniclife.tripod.com/"} +{"d:Title": "Preuss, Robert", "d:Description": "Personal poetry pages.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/zine/wood/preuss.html"} +{"d:Title": "Perez, Danny - Ponderation Creations", "d:Description": "Collection organized chronologically. Includes a quote collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.angelfire.com/sc2/greatone/poems.html"} +{"d:Title": "Peeke, Sarah J. - XERT: Inspirational Works", "d:Description": "Poetry for the spiritual upliftment of humankind.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.xert.org/"} +{"d:Title": "Pirie, Pearl - Page Half Full", "d:Description": "Poems on nature, independence, parenting, romance, solitude and immigrating.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.pagehalffull.com/"} +{"d:Title": "Poeticcaesar", "d:Description": "A poetry blog.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.streetlivepoems.wordpress.com/"} +{"d:Title": "Pych, Maude Carolan", "d:Description": "A collection of inspirational and spiritual poems, Christian and Messianic.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://maudespoems.com/"} +{"d:Title": "Poetic Leanings", "d:Description": "Poetic musings and cultural tidbits.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://poeticleanings-sgw.blogspot.com/"} +{"d:Title": "Page With No Name", "d:Description": "Original writings, poetry, free thought and author biography.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://beest.ms11.net/"} +{"d:Title": "Ivy Page - Poet", "d:Description": "This site includes information on Ivy, her blog, and some samples of her work.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/P", "url": "http://www.poeticentanglement.com/"} +{"d:Title": "Qolla, Izzy", "d:Description": "Poetry reflecting the life of the author, including drug addiction, love and death.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Q", "url": "http://vline.tripod.com/"} +{"d:Title": "Quinn, Jeremiah - The Phantasy Dream", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Q", "url": "http://www.angelfire.com/or2/JQuinn/"} +{"d:Title": "Quinton, Tara D. - Tara's Poem Place", "d:Description": "Poems written by a teen in Oklahoma.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Q", "url": "http://www.angelfire.com/ok3/PoemPlace/"} +{"d:Title": "Roripaugh, Lee Ann - Beyond Heart Mountain", "d:Description": "Reviews and sample poems from Beyond Heart Mountain (Penguin, 1999).", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/wy/heartmountain/lar.html"} +{"d:Title": "Reid, Jason - Infinity's End", "d:Description": "A collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://members.tripod.com/LostEternally/Infinity/Frames.html"} +{"d:Title": "Riggs, A.E. - Knivez of a Demon Angel", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/journal/mouth4war/index.html"} +{"d:Title": "Reade, Alan - Live Performances", "d:Description": "A five-part online book of live performances that the author has written and performed throughout the 1990's.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.alanreade.com/amlang.htm"} +{"d:Title": "Ross, Martin J. - Loving Saffire", "d:Description": "Poetry written for the author's one true love.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/ca5/forsaffire/"} +{"d:Title": "Riley, Cassidy A.", "d:Description": "Works of a young and thriving poet.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/ny2/Alannapoetry/"} +{"d:Title": "Reyes, Robert Paul", "d:Description": "Poems of a teenager and of a wise man, spanning the years.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://members.tripod.com/~rreyes4966/"} +{"d:Title": "Rainway, Wilson", "d:Description": "Large original poetry collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/ri/rainway/index.html"} +{"d:Title": "Rhoades, Tim - The Poetry Stop", "d:Description": "Includes love, inspirational, religious and dedicated poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/ut/timsite/index.html"} +{"d:Title": "Rantings and Ravings of a Closet Manic Depressive", "d:Description": "An example of linguistic venting through poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/rant/dragongoddess/"} +{"d:Title": "Roy, Jude - Cajun Moon", "d:Description": "Poetry and fiction collection. Includes information about classes that the author teaches.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.madcc.kctcs.net/jroy/"} +{"d:Title": "Roth, Michael - The Opsonic Index", "d:Description": "Personal collection including rants.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.opsonicindex.org/"} +{"d:Title": "Renee, Kandi - To Stimulate Your Mind", "d:Description": "A small collection on the black cultural experience.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/poetry/KandiRenee/"} +{"d:Title": "Robbins, Gerald - His Poetry And Thoughts", "d:Description": "A small collection of personal, heartfelt poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/poetry/gerald/"} +{"d:Title": "Roumeliotis, A. L. Tom - Poetic Angel", "d:Description": "Includes love poems, and poems dedicated to parents and children. Poetry by others is also posted.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/ar2/poeticangel/"} +{"d:Title": "Rashelle, Desiree - Through my Crimson Tears", "d:Description": "Poetry on life, love, death, and the personal experiences of the author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://desiree_rashelle_01.tripod.com/desireespoetry/"} +{"d:Title": "Ross, John Talbot - If Saving the Earth", "d:Description": "Poetry revealing the nature of mankind.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/va/jauntyalbatross/"} +{"d:Title": "Rillis, C. - Poetry and Other Cool Crap", "d:Description": "The wonderful and insane world of poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://bombdiggy1.tripod.com/poetry/crills.html"} +{"d:Title": "Ransom, Mark D.", "d:Description": "Samples of work created by this poet, sculptor, photographer, actor, playwright, performance artist, and writer.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.mdransom.com/"} +{"d:Title": "Roxie - It's a Small World", "d:Description": "Original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/rock/tweetys/"} +{"d:Title": "Raye, Silver - Silver Expressionism", "d:Description": "Includes original and submitted poetry, guestbook, and author bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.angelfire.com/zine/inspiration/index.html"} +{"d:Title": "Robinson, Rich - Inferiority Complex", "d:Description": "Poems, short stories, and observations.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.inferiority.com/"} +{"d:Title": "R., L.C. - Meaningless Dribble", "d:Description": "Features a small selection of personal poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://angelfire.com/journal/lyric"} +{"d:Title": "Roundy, Antone - A Picture and a Thousand Words", "d:Description": "Japanese and English poetry, pictures, prose, music, and graphic arts.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://antone.geckotribe.com/"} +{"d:Title": "Rocknak, Stefanie - Marge and Grace", "d:Description": "Poem inspired by working on a fishing boat in Australia.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.steffrocknak.net/grace.html"} +{"d:Title": "Reka, Marinela", "d:Description": "Teen author and poet. Includes poetry, biography, and books.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://marinelareka.com/"} +{"d:Title": "Ken Rodgers", "d:Description": "Poetry, short story, and essay author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/R", "url": "http://www.kennethrodgers.com/"} +{"d:Title": "Sheftel, Beatrice - Beauty on the Weeds", "d:Description": "Examples of original poetry and links to markets for writers of poetry, fiction and nonfiction.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://members.tripod.com/~Beawriter/index.html"} +{"d:Title": "Skinn, Brian - Brian's Favourite Poems", "d:Description": "A personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://bskinn.tripod.com/"} +{"d:Title": "Shari, Donna - HideAway", "d:Description": "World of poetry, gardening and fun.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/mi2/donnashari/index.html"} +{"d:Title": "Sutherland, Jamie", "d:Description": "The author's favorite poetic works.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://sutherlandpoetry.tripod.com/"} +{"d:Title": "Steinman, Mark - Jeeves Poetry Page", "d:Description": "A collection of poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://midnightsteps.tripod.com/"} +{"d:Title": "Stanley, J.L. - Labyrinth Poems", "d:Description": "An anthology of poems, a record of the author's journey. Includes images of ancient places, earth, life, and universe.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://jlstanley.homestead.com/Labyrinth.html"} +{"d:Title": "Sprague, Dale J. - Phoenix", "d:Description": "Selected soliloquies, tone poems, rhapsodies, variations of a theme.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.nwlink.com/~phoenix"} +{"d:Title": "Sharma, Sarita - Poems from my Heart", "d:Description": "A poetry collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://sarita-poems.tripod.com/"} +{"d:Title": "Sanyal, Siddharth - The Poet Tree Shade", "d:Description": "A collection of poems by Siddharth Sanyal.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/journal/fragments/sidhome.htm"} +{"d:Title": "Sterling, Tova", "d:Description": "Samples of poetry about love, social issues, joy and people.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://tovasterling.homestead.com/"} +{"d:Title": "Schoonover, Daniel Phillip - Powered by Dan", "d:Description": "Music, poetry and photos.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.dangarion.com/"} +{"d:Title": "Shaw, Valeri - Real Woman Poetry", "d:Description": "Showcasing the poetry of this Bangor, Maine poet.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/me3/realwomanpoetry/"} +{"d:Title": "Sadie's Homepage and Poems", "d:Description": "A collection of original poetry by Sadie.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/art/sadie/"} +{"d:Title": "Samantha's Poetic Caverns", "d:Description": "Original poetry by a group of friends.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/ca6/PoeticCaverns/"} +{"d:Title": "Sarah's Poetry", "d:Description": "Poetry influenced by circumstances and emotions throughout life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/tx2/poetry29/"} +{"d:Title": "Scott's Poetry Place", "d:Description": "Features many original poems and selected favourites by other authors.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://members.tripod.com/ScottMD20/index.htm"} +{"d:Title": "Sharon's Pages of Poetry&Thought", "d:Description": "A collection of poetry reflecting feelings, experiences and family. \"Inspiration should never be questioned, it should be whorshiped, and treasured, and shared\".", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/stars/sixx/"} +{"d:Title": "SickDawgs Philosophy&Poetry Page", "d:Description": "A page dedicated to poetry from famous to up and coming poets. Lots of quotes from sources like Buddha, Confucius, The FA, Latin Sayings, Chinese Proverbs.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://sickdawgs.faithweb.com/poetry001.htm"} +{"d:Title": "Sienna's Poetry Suite", "d:Description": "\"Sense stirring poetry\". Submissions invited. Includes challenges, articles, teen area, chats and audio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://poetry_suite.tripod.com/"} +{"d:Title": "Saldana, Isaac - Silent Bear's Den", "d:Description": "Original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/tx2/akoyeh/index.html"} +{"d:Title": "Shella - Soulflesh", "d:Description": "A collection of original poetry and lyrics.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/zine/soulflesh/"} +{"d:Title": "Steenbergen, Michael Lee - Space Rocker", "d:Description": "Songs, lyrics and serialization of the rock opera SpaceRocker. Space oriented human survival theme about colonizing the universe.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.web-a-dex.com/homepage.htm"} +{"d:Title": "Stacey's Poetry Page", "d:Description": "Original and submitted poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/nv/odyssey/poems.html"} +{"d:Title": "Stars Little Corner of the Web", "d:Description": "Features drawings and poetry compiled by Star.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://angelfire.com/stars/Starswebpage"} +{"d:Title": "Stoney's Poetry Page", "d:Description": "A compendium of poems, many with a nautical flavour.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://stoneypoems.iwarp.com/"} +{"d:Title": "Sutton, D. N. - SoulSite", "d:Description": "Poems on romantic love, death, grieving, and miracles.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.soulsite.com/"} +{"d:Title": "Syd's Poetry Corner", "d:Description": "Original and favourite poetry and related links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/id/poetrycorner2000/index.html"} +{"d:Title": "Sanderson, Justin H. - Uheardof`s Poetry", "d:Description": "Poems from the creator.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/or/unheardof/"} +{"d:Title": "Staryln - Words from the Heart", "d:Description": "Music to enjoy and links to other poetry pages, paint pages, or fun sites to surf on the net.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/ks2/starwords/index.html"} +{"d:Title": "Sauer, Jim - A Year of Poetry", "d:Description": "Twelve poems for the twelve months. Poetry and art for winter, spring, summer and fall.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://theaction.com/poems/"} +{"d:Title": "Salyer, Joseph - In The Beat", "d:Description": "Strange beatnik style poetry mixed with love and despair and computer generated art.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/on/onyx23/"} +{"d:Title": "Sorensen, Kenneth", "d:Description": "A small collection of personal poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/poetry/ks/"} +{"d:Title": "Schoneman, LaVonne - Just Smoked Salmon", "d:Description": "Features product topic-related poems by this noted Post Polio Syndrome author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.justsmokedsalmon.com/poem.htm"} +{"d:Title": "Simmons, Shawn - Question of...", "d:Description": "Searching personal poetry detailing a life of struggle. Includes samples, influences, and updates.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://question-of-reality.tripod.com/"} +{"d:Title": "Sears, Naomi - Sugarcane's Abode", "d:Description": "Personal poetry, quotes, pictures, and the poetry of others.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://n-sears.tripod.com/"} +{"d:Title": "Spears, Andr\u00e9 - Xo: A Tale for the New Atlantis", "d:Description": "Web-published 60-page long poem. Offers brief background on the author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.pangaeapress.com/"} +{"d:Title": "Schaible, Ren\u00e9 - Actionliterature", "d:Description": "Presents poems and ballads in three languages. Includes a guestbook and biography for this German author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.actionliterature.de/"} +{"d:Title": "Stanton, Jackie", "d:Description": "Poetry written between 1999-2001.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/poetry/jackie/stanton.html"} +{"d:Title": "Songer, Melissa - States of Being", "d:Description": "Poetry and prose about the vagaries of existence.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://statesofbeing.blogspot.com/"} +{"d:Title": "Summer - Diamond Amaryllis: The Ravings of a Madwoman", "d:Description": "A collection of original poems and also a collection of poetry submitted by site viewers. Includes photos and a bio.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.angelfire.com/poetry/diamondamaryllis/"} +{"d:Title": "Shaw, Beth - Over the Rainbow", "d:Description": "Mostly love poems and sad poems, by the site owner, by famous poets, or submitted by readers. Also has love facts and quotations.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.members.tripod.com/freestyle88/"} +{"d:Title": "Selendy, Bela - Bela's Burbles", "d:Description": "Collection organized chronologically and by form.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://everypoet.net/belaselendy/"} +{"d:Title": "Schram, Munda - Munda's Poetic Moments", "d:Description": "A collection of poetry and prose.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://poeticmoments.freeservers.com/"} +{"d:Title": "Shelquist, Richard - Rendezvous with the Beloved", "d:Description": "Sufi poetry of longing, surrender and joy.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://wahiduddin.net/"} +{"d:Title": "Shadian, Geraldine", "d:Description": "Personal impressions of life expressed in poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://g.shadian.tripod.com/"} +{"d:Title": "Sward, Robert - Writer / Editor / eZines", "d:Description": "Includes resources and links for writers, poets, researchers, and librarians.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.robertsward.com/"} +{"d:Title": "Coastmoor", "d:Description": "Poetry and photography from British artist Christopher Sanderson.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.coastmoor.co.uk/"} +{"d:Title": "Shaumyan, Alexander", "d:Description": "Lyrical poetry and satire on the corruption in American political and social life. Includes translations, artwork and books.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.shaumyan.com/"} +{"d:Title": "Simmons, Robert M. - A Poetry Sampler", "d:Description": "Selected poems on such topics as the passage of time, small town life, popular culture, and global warming.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://webhost.bridgew.edu/rsimmons/"} +{"d:Title": "S., Trish - Trish's Poetry", "d:Description": "The first poems the author wrote, followed by several pages of poems that span many years.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://writing.trishs.net/"} +{"d:Title": "Shoeless's Walk Your Own Path Poetry", "d:Description": "A collection of Shoeless Boy Wonder's poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://shoelessboywonder.wordpress.com/"} +{"d:Title": "Sanes, Ken", "d:Description": "Poems and short stories about the basics of life.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://www.kensanes.com/"} +{"d:Title": "Silent Moon Song - Dark Side of the Moon", "d:Description": "From the ever changing, insane mind of the author. Mostly depressing, but there are a few semi-happy poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/S", "url": "http://slntmnsong.stormloader.com/"} +{"d:Title": "Tilden, Amy - Amy's World", "d:Description": "A young woman's experiences in love, life and romance inspires great poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/ca2/AmysPoetryStuff/index.html"} +{"d:Title": "Thiphaine, Frederic", "d:Description": "Poetry and biographical information.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://fred_thiphaine.tripod.com/"} +{"d:Title": "Tan, P. - Last Zenith", "d:Description": "Personal poetry and a section devoted to well-known poets such as E.E. Cummings and Emily Dickinson.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://last.zenith.20m.com/"} +{"d:Title": "Trancoso, Amanda - Manda Land", "d:Description": "Original works.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/nf/moo/"} +{"d:Title": "Tawl, Kavina - Poems for an Angel", "d:Description": "Poems about love, passion, desire, heart, touching, feelings.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/oh3/kavina/index.html"} +{"d:Title": "Taz Tales", "d:Description": "Designed to cause the reader to examine, visualize and reflect. Includes illustrations, links and a guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.taztales.com/"} +{"d:Title": "Tiffani's Poetry Place", "d:Description": "Poetry, personal information and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/id2/iamTiffani/"} +{"d:Title": "Tormented Angel", "d:Description": "Poetry dealing with love, loss, and eating disorders.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/nj2/tormentedangel/"} +{"d:Title": "Trashie's World", "d:Description": "Poems, lyrics, and stories by Trashie and others.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/fl2/trashiesworld/"} +{"d:Title": "Turner, Randy J. \"Biscuit\"", "d:Description": "Art, poetry, theatre, film, bio, and links of this legendary Big Boys front man.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://nine_99.tripod.com/rjturner.htm"} +{"d:Title": "Tigerize 63", "d:Description": "A small personal collection with guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/wa2/tigersrule/index.html"} +{"d:Title": "Taylor, Jill - Kambia", "d:Description": "Poems of different subjects, journal, and quotes. Topics include eating disorders, self injury, suicide, depression, and lesbian thoughts.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://www.angelfire.com/freak/kambia/main.html"} +{"d:Title": "Tobias, Elias - World of Words", "d:Description": "The author's attempt to explain events, ideas and emotions seen in his world.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/T", "url": "http://eliastobias.net/"} +{"d:Title": "Unexpressedthoughts.com", "d:Description": "Love poems and inspirational thoughts.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/U", "url": "http://unexpressedthoughts.com/"} +{"d:Title": "Vann, Christine - The Comets Trail", "d:Description": "Universal creative writing, poetry and fan fiction.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.cometstrail.iwarp.com/ENTRANCE.HTM"} +{"d:Title": "Vez, Petey - Antone's Poetry Page", "d:Description": "Dedicated to poetry of the new age.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://antoneg123.tripod.com/"} +{"d:Title": "Villafania, Sonny - Dalityapi", "d:Description": "Poetry folio, including related literary links and a poetry board.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.angelfire.com/de/poesie/"} +{"d:Title": "van der Draaij, Richard James - Poetry is Passion", "d:Description": "A personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://richard.vanderdraaij.tripod.com/PoetryIsPassion/index.html"} +{"d:Title": "Versace, Lou - A Poem a Day", "d:Description": "Easy to understand poems, with a new one each day. Includes a bio and reviews.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.a-poem-a-day.org/"} +{"d:Title": "Vosper, Nik - Mind Sculpture", "d:Description": "Personal collection, bio, links, and guestbook.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.angelfire.com/nv/FlameMC/"} +{"d:Title": "Valdiviez, David G. - Littlehawk's Nest", "d:Description": "Original poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.angelfire.com/tx2/powwow/index.html"} +{"d:Title": "Valerie's Poems", "d:Description": "Small collection, guestbook, chat room, and greeting cards.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.angelfire.com/va2/something4every1/"} +{"d:Title": "Van Ingram, Richard - Misery Breeds Art", "d:Description": "Poetry, interviews, art and other efforts. Includes opportunity for feedback.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.degeneratepress.com/richardvaningram/"} +{"d:Title": "Volk, Hilma", "d:Description": "Manure Happens, Cowboy...er cowgal poetry and other tidbits.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/V", "url": "http://www.manurehappens.com/mbook.html"} +{"d:Title": "Wakeel, Azza El - Untraditional Woman", "d:Description": "Poems, books, profile and paintings. Poems are in Arabic and English.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://azzaelwakeel.com/"} +{"d:Title": "Williams, Brittany - Brittany's Poetry Page", "d:Description": "A personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://poetry80.tripod.com/"} +{"d:Title": "Wekar, Carol - Carol's Corner", "d:Description": "A combination of the facets of life, including poetry written by the author and her daughter.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://members.tripod.com/cwekar/"} +{"d:Title": "Wells, Eric Bryan - Eric's Poetry Page", "d:Description": "Poetry based on common experiences in the course of growing up.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://ericspoems.8m.com/"} +{"d:Title": "Worthington, Cole - The Heart and Soul", "d:Description": "Poetry and biography.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.angelfire.com/sk2/heartandsoul/"} +{"d:Title": "Wright, Kevin Brian - The Legend of Forever After", "d:Description": "A collection of original poetry, including links to a webring and poetry forum.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.angelfire.com/poetry/sirromeo/"} +{"d:Title": "Wicks, Les", "d:Description": "Poetry, C.V. and contact details of this Australian poet.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://leswicks.tripod.com/lw.htm"} +{"d:Title": "Williams, Becky - Me Poem Page", "d:Description": "Reflections of life, and some favourite poems from other authors.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.angelfire.com/nj2/mepoempage/enter.html"} +{"d:Title": "Winters, Jonathon - Poetry of an Austinite Punker", "d:Description": "Poetry, pictures and a forum.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.angelfire.com/punk2/punkpoetry/main.html"} +{"d:Title": "Writer, J.J. - Poet's Corner", "d:Description": "Presents poems from the author's private collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://members.tripod.com/~JJWriter/"} +{"d:Title": "Wiggerman, Scott - Poetry Pages", "d:Description": "Poems and readings by a gay poet from, Austin, Texas.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://swig.tripod.com/"} +{"d:Title": "When the Silence Begins", "d:Description": "Poetry of a boy who was scared to open up.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://members.tripod.com/~boyonbike/begin.html"} +{"d:Title": "Wishes Made - Love and A New Day", "d:Description": "Poetry about a variety of subjects including love, friendship, and heartbreak.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://members.tripod.com/wishespoetry/"} +{"d:Title": "Wrong Guy - The Wrong Site g", "d:Description": "Interesting, entertaining and sometimes disturbing poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.angelfire.com/tx/wrongguy/index.html"} +{"d:Title": "Woodland, Jeff", "d:Description": "Published and unpublished poems by the author.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://woodjeff.tripod.com/index.html"} +{"d:Title": "Willer, Derrick Evans - Selected Poems", "d:Description": "Post-modern symbolist and Existentialist poetry. Includes a forum, bio, photos, and links.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://devanswiller.tripod.com/"} +{"d:Title": "Woodruff, Matt", "d:Description": "A small collection of the author's work, including a few pieces from other writers.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.angelfire.com/poetry/mattspoetry0/"} +{"d:Title": "Workman, Acie", "d:Description": "Mostly love poems.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.angelfire.com/oh/Acie/"} +{"d:Title": "Weisner, Ken", "d:Description": "Poetry selections from this Quarry West editor.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://members.cruzio.com/~gyre/ken/poetry.html"} +{"d:Title": "Whitman, P.J. - A Dove Above: Peace beyond the promises", "d:Description": "Contemporary works of a continuing writer.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://poetwhitman.tripod.com/"} +{"d:Title": "Williams, Matt - Poetropical", "d:Description": "Poetry notebook, anthology, news, honored treefrogs (unknown poets), and a Whitman collaborative project.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.poetropical.co.uk/"} +{"d:Title": "Worth, Paul - At The Starlite Cafe's Poetry Corner", "d:Description": "Poetry dedicated to Luisa Maria Rocha Vieira (Terceira, The Azores).", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.thestarlitecafe.com/perl-bin/base/search.cgi?name=Paul+Worth"} +{"d:Title": "Williams, Chrissie", "d:Description": "A collection of new and past poems, news and a short biography.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.chrissiewilliams.org/"} +{"d:Title": "Waking Muse", "d:Description": "Poetry and prose by Ted Glines and other authors.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.freewebs.com/wakingmuse/"} +{"d:Title": "Wiccania - Midnight Star", "d:Description": "A small sampling of poetry releasing emotion and energy.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/W", "url": "http://www.wiccania.com/"} +{"d:Title": "Xellos' Chapel of Solitude", "d:Description": "A haven for the bored and neurotic. Poetry, music links, anime and classical art", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/X", "url": "http://xellos.tripod.com/"} +{"d:Title": "Yama, Nadav - The Place of Rebate", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Y", "url": "http://members.tripod.com/~placeofrebate/"} +{"d:Title": "Young, Tom", "d:Description": "A small personal collection.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Y", "url": "http://www.showshown.com/poetry"} +{"d:Title": "Yee, Danny - Poetry", "d:Description": "Poems on bitterness, playfulness, and love. Includes both original poetry and reviews of other poetry.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Y", "url": "http://danny.oz.au/danny/poems/"} +{"d:Title": "Cahit Zarifoglu", "d:Description": "Poems in Turkish and English.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Z", "url": "http://www.zarifce.com/"} +{"d:Title": "Get Beat With Zorrid", "d:Description": "Beat poetry by Zorrid.", "topic": "Top/Arts/Online_Writing/Poetry/Personal_Pages/Z", "url": "http://www.angelfire.com/zine2/zorrid/index.html"} +{"d:Title": "Romantic Serenity", "d:Description": "Thoughts and feelings from the heart and a special section dedicated to children of abuse.", "topic": "Top/Arts/Online_Writing/Poetry/R", "url": "http://www.angelfire.com/nh/KimberlysHaven/"} +{"d:Title": "Random Randomness", "d:Description": "Original poetry of random genre.", "topic": "Top/Arts/Online_Writing/Poetry/R", "url": "http://members.tripod.com/ls_co/poetry.html"} +{"d:Title": "StellaLuna-Poetry Circle", "d:Description": "A place where you can share your poetry, read that written by the sites creators and discuss your favorite poems or poets. In English and Spanish.", "topic": "Top/Arts/Online_Writing/Poetry/S", "url": "http://www.angelfire.com/pa3/stellaluna/"} +{"d:Title": "The Sentence Structure", "d:Description": "Collection of poetry and prose.", "topic": "Top/Arts/Online_Writing/Poetry/S", "url": "http://www.angelfire.com/wi/sentstruc/"} +{"d:Title": "Starving Poetess Society", "d:Description": "Features original poetry written by a group of friends.", "topic": "Top/Arts/Online_Writing/Poetry/S", "url": "http://www.angelfire.com/journal/nadlex/"} +{"d:Title": "The Internet Poets' Cooperative", "d:Description": "Community for poets where site visitors may browse poetry books or listen to the voice of a poet reading original work. Includes events announcements and links.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.poetscoop.org/"} +{"d:Title": "Poetry Poem", "d:Description": "Provides free multi-page poetry websites for aspiring poets. Members can add, delete and modify an unlimited number of poems.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://poetrypoem.com/"} +{"d:Title": "Psyjoniz", "d:Description": "A creative community for sharing poetry, artwork and photography.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.psyjoniz.com/"} +{"d:Title": "Forces Poetry", "d:Description": "A collection of poetry written by those affected by war. Submissions accepted by email.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.forcespoetry.com/"} +{"d:Title": "Poems, Poets, and Poetry", "d:Description": "Offers free poetry eBooks by famous poets, along with a blog where visitors can submit poems and short stories.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.poempoempoem.com/"} +{"d:Title": "Deep Underground Poetry", "d:Description": "Poetry community where members can share poems, short stories, lyrics and spoken word poetry. Also hosts poetry competitions and discussion forums.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "https://deepundergroundpoetry.com/"} +{"d:Title": "The Writers Lounge", "d:Description": "A community for sharing poetry. Read, submit and rate poems.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.writerslounge.net/"} +{"d:Title": "iExile", "d:Description": "Members can post poems and prose. Site also contains poetry event listings and philosophy links.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.iexile.com/"} +{"d:Title": "Poetry-Index", "d:Description": "The work of a different poet is added each month. The site showcases renowned classical poets alongside contemporary writers.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.poetry-index.net/"} +{"d:Title": "Poems and Quotes", "d:Description": "Presents poems classified by subject, including love, friendship, life, occasional poems, and funny and sad poems. Users can review and rate poems, as well as submitting their own.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.poems-and-quotes.com/"} +{"d:Title": "Family Friend Poems", "d:Description": "A showcase for poems about family, friendship and relationships. Features include poem of the day, reviews, ratings and a poetry forum.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.familyfriendpoems.com/"} +{"d:Title": "Birthday Poetry", "d:Description": "A resource of birthday poems and poetry for loved ones and family.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.birthdaypoetry.net/"} +{"d:Title": "The Barefoot Review", "d:Description": "Poetry written by people or loved ones of those who have had physical difficulties in their lives, from cancer to seizures, Alzheimer's to tumors.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.barefootreview.org/"} +{"d:Title": "Poetry In Nature", "d:Description": "A collection of contemporary poems about nature categorized by theme.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.poetryinnature.com/"} +{"d:Title": "Hoot Review", "d:Description": "A online, literary magazine that publishes one user submitted piece of poetry or prose of 150 words or less onto postcards monthly.", "topic": "Top/Arts/Online_Writing/Poetry/Showcases", "url": "http://www.hootreview.com/"} +{"d:Title": "Teen Creativities", "d:Description": "Poetry by teens. Created for teenagers to easily share and read each others work.", "topic": "Top/Arts/Online_Writing/Poetry/T", "url": "http://www.angelfire.com/pa/hels/index.html"} +{"d:Title": "Trendance", "d:Description": "Self-expression and of poetry. [English, French]", "topic": "Top/Arts/Online_Writing/Poetry/T", "url": "http://trendance.blogspot.com/"} +{"d:Title": "Up Country Creations", "d:Description": "A potpourri of poetry, thoughts, feelings, humor, country jargon, travel pictures, and links.", "topic": "Top/Arts/Online_Writing/Poetry/U", "url": "http://www.angelfire.com/la/upcountry/"} +{"d:Title": "Unearthed", "d:Description": "Mostly dark poetry, song lyrics and general ramblings.", "topic": "Top/Arts/Online_Writing/Poetry/U", "url": "http://www.angelfire.com/indie/enigmatic/paths.htm"} +{"d:Title": "The Unofficial Soup Kitchen", "d:Description": "Collections of poetry from Chicago and around the world, listings of poetry events, venue profiles, and resources. Join the poets in USK's interactive conversation forum.", "topic": "Top/Arts/Online_Writing/Poetry/U", "url": "http://www.usk.org/poetry/poetry.html#peanut"} +{"d:Title": "United World Poets", "d:Description": "A community of poets, where users can publish poems, upload pictures, create famous poet pages, create forum topics.", "topic": "Top/Arts/Online_Writing/Poetry/U", "url": "http://www.unitedworldpoets.com/"} +{"d:Title": "Valentine", "d:Description": "An anthology of poems by various poets.", "topic": "Top/Arts/Online_Writing/Poetry/V", "url": "http://www.thing.net/~sabina/"} +{"d:Title": "Vent!", "d:Description": "Various writings by different authors. Specializes in poetry. Accepts submissions.", "topic": "Top/Arts/Online_Writing/Poetry/V", "url": "http://www.angelfire.com/ca/home6/"} +{"d:Title": "Whispering Amongst the Wings", "d:Description": "Poetry preaching equality, spirituality, and love.", "topic": "Top/Arts/Online_Writing/Poetry/W", "url": "http://www.angelfire.com/journal/KrystylPoetry/index.html"} +{"d:Title": "Wintersoulstice : The Realm", "d:Description": "Especially for women. Poetry, links, and shopping.", "topic": "Top/Arts/Online_Writing/Poetry/W", "url": "http://www.angelfire.com/az/XxWinTerSouLxX/"} +{"d:Title": "Waiting For Liberty", "d:Description": "An eclectic site for visual and mental stimulus.", "topic": "Top/Arts/Online_Writing/Poetry/W", "url": "http://www.angelfire.com/journal/MN2/"} +{"d:Title": "Wild Fire", "d:Description": "A pamphlet for discussion by three writers and a webmaster. Submissions accepted.", "topic": "Top/Arts/Online_Writing/Poetry/W", "url": "http://pdxwildfire.tripod.com/"} +{"d:Title": "The Witching Hour", "d:Description": "Poetry, and ramblings by the webmaster.", "topic": "Top/Arts/Online_Writing/Poetry/W", "url": "http://witchinghour69.tripod.com/"} +{"d:Title": "Whispers in the Dark", "d:Description": "Dedicated to the expression of emotion through poetry. Includes art, poetry, and guest poetry.", "topic": "Top/Arts/Online_Writing/Poetry/W", "url": "http://gallery.passion4art.com/members/whisperer/home.html"} +{"d:Title": "Your Poetry Dot Com", "d:Description": "Poetry from all corners of the world by multiple authors. Invites submissions.", "topic": "Top/Arts/Online_Writing/Poetry/Y", "url": "http://www.your-poetry.com/"} +{"d:Title": "3rd Millennium Pros Webring", "d:Description": "This webring is established for publishers of book length work in electronic format, e-books, who review, select and invest in that work and to the writers whose work they have published.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://members.tripod.com/~Shaena/21st.htm"} +{"d:Title": "Imaginational Works", "d:Description": "For writing sites.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://members.tripod.com/siharra/mywebring.html"} +{"d:Title": "Journey of Minds", "d:Description": "For sites that deal with writing, art, or self-expression.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://www.angelfire.com/pa2/Sadshadows/Journeyofminds.html"} +{"d:Title": "The Bardic Circle", "d:Description": "The Bardic Circle is a web ring designed to bring together sites whose main premise is written language. Whether poetry, stories or songs, the Circle is meant to preserve the art of the bards in what ever fashion it may.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://anitra.net/commonwealth/bardcircle/index.html"} +{"d:Title": "Iced Poetry Webring", "d:Description": "Webring of creative writing pages and sites. Must have at least one of the author's own poems or stories on the page.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://www.webring.org/hub?ring=icedpoetry"} +{"d:Title": "WebRing: Authors", "d:Description": "Ring for pages dedicated to all aspects of writing.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://www.webring.org/hub/authors"} +{"d:Title": "WebRing: Books and Reading", "d:Description": "Ring dedicated to books and other reading material. Topics include book lovers, authors, reading enthusiasts, publishers and bookstore owners.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://www.webring.org/hub/booksandreading"} +{"d:Title": "WebRing: Writers Without Readers", "d:Description": "Created to link writers who need someone to read their work.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://www.webring.org/hub/writerswithoutre"} +{"d:Title": "WebRing: Writer's Corner", "d:Description": "Selection of sites for authors, publishers, illustrators, distributors and organizations that promote writing as a hobby or profession.", "topic": "Top/Arts/Online_Writing/Web_Rings", "url": "http://www.webring.org/hub/writerscorner"} +{"d:Title": "The Henry Moore Foundation", "d:Description": "To advance the education of the public by the promotion of their appreciation of the fine arts and in particular the works of Henry Moore.", "topic": "Top/Arts/Organizations", "url": "http://www.henry-moore.org/"} +{"d:Title": "The Pollock-Krasner Foundation", "d:Description": "Provides financial assistance to individual working artists of established ability.", "topic": "Top/Arts/Organizations", "url": "http://www.pkf.org/"} +{"d:Title": "College Art Association", "d:Description": "Promotes excellence in scholarship and teaching in the history and criticism of the visual arts and in creativity and technical skill in the teaching and practices of art.", "topic": "Top/Arts/Organizations", "url": "http://www.collegeart.org/"} +{"d:Title": "KulturAXE - International Art Action", "d:Description": "Transnational organization initiates and organizes international art projects and focuses on innovative, experimental working methods and border crossing cooperation.", "topic": "Top/Arts/Organizations", "url": "http://www.kulturaxe.com/home-e.html"} +{"d:Title": "International Child Art Foundation", "d:Description": "ICAF, a not-for-profit organization, celebrates and promotes children's art, imagination and creativity globally.", "topic": "Top/Arts/Organizations", "url": "http://www.icaf.org/"} +{"d:Title": "Society for Arts", "d:Description": "A not-for-profit public institution focused on promoting cultural communication between Europe and the USA.", "topic": "Top/Arts/Organizations", "url": "http://societyforarts.com/"} +{"d:Title": "Institute for Cultural Exchange", "d:Description": "The Institute understands itself as link between artists, cultural institutions and companies.", "topic": "Top/Arts/Organizations", "url": "http://www.intercult.org/"} +{"d:Title": "Romualdo Del Bianco Foundation", "d:Description": "Arranges conferences, exhibitions and art competitions for students and artists, as well as international meetings in Florence in order to promote peace among young people.", "topic": "Top/Arts/Organizations", "url": "http://www.fondazione-delbianco.org/"} +{"d:Title": "Twin Rivers Media Festival", "d:Description": "Nonprofit organization event for film, video, audio, CD multimedia, Internet media, scriptwriting and journalism. General information, entry forms, dates, and archive.", "topic": "Top/Arts/Organizations", "url": "http://www.twinriversmediafestival.com/"} +{"d:Title": "Artsyard: A Cyber Colony for the Arts", "d:Description": "Provides temporary and permanent residencies to professionals in the arts in any part of the world.", "topic": "Top/Arts/Organizations", "url": "http://artsyard.tripod.com/"} +{"d:Title": "Timotca", "d:Description": "Features art and artists represented by 68 countries and the United Nations.", "topic": "Top/Arts/Organizations", "url": "http://www.timotca.org/"} +{"d:Title": "The Camargo Foundation", "d:Description": "Offers residential fellowships in Cassis, France, for researchers and artists. Describes accommodations and local resources, and gives applications and requirements.", "topic": "Top/Arts/Organizations", "url": "http://www.camargofoundation.org/"} +{"d:Title": "Talent-aid International", "d:Description": "Non-profit organization promoting and supporting artists, composers and performers worldwide by giving them exposure and facilitating sponsorship and project participation.", "topic": "Top/Arts/Organizations", "url": "http://talent-aid.org/"} +{"d:Title": "CEC ArtsLink", "d:Description": "Works with artists, art managers and museums in the U.S., Russia, the NIS and Eastern and Central Europe, to combine efforts and address issues of mutual concern.", "topic": "Top/Arts/Organizations", "url": "http://www.cecartslink.org/"} +{"d:Title": "Institute for Distributed Creativity", "d:Description": "The research of the IDC focuses on collaboration in media art, technology, and theory with an emphasis on social contexts.", "topic": "Top/Arts/Organizations", "url": "http://distributedcreativity.typepad.com/"} +{"d:Title": "World Academy of Art and Science", "d:Description": "A non-official network of people in art, the natural and social sciences, and the humanities, examining the social consequences and policy implications of knowledge.", "topic": "Top/Arts/Organizations", "url": "http://www.worldacademy.org/"} +{"d:Title": "Cintas Foundation", "d:Description": "Awards fellowships annually to creative artists of Cuban lineage who are currently residing outside of Cuba.", "topic": "Top/Arts/Organizations", "url": "http://www.cintasfoundation.org/"} +{"d:Title": "Shetland Arts Development Agency", "d:Description": "Arts body which supports creative people in local area, offers information about art events, news and venues.", "topic": "Top/Arts/Organizations", "url": "http://www.shetlandarts.org/"} +{"d:Title": "The Dactyl Foundation for the Arts and Humanities", "d:Description": "A not-for-profit organization, encourages the view that there is a place for artistic intention even in a world without telos.", "topic": "Top/Arts/Organizations", "url": "http://dactylfoundation.org/"} +{"d:Title": "Academy of the Punjab in North America", "d:Description": "Organization for the promotion of Punjabi language, literature and culture. Provides articles, books, poetry, music, videos and events.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.apnaorg.com/"} +{"d:Title": "Artist in Venice", "d:Description": "Artist in residence program in Venice, Italy.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.artsinvenice.it/"} +{"d:Title": "Western Arts Alliance", "d:Description": "WAA connects touring artists with performing arts presenters in the western US and Canada. Find information on the annual booking conference, professional development, news, publications, links, and member services. Members include performing arts presenters, artists, managers, consultants and vendors.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.westarts.org/"} +{"d:Title": "Bahrain Arts Society", "d:Description": "Nonprofit foundation promoting contemporary artists of Bahrain.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.bahartsociety.org.bh/"} +{"d:Title": "Al Mansouria Foundation", "d:Description": "Dedicated to support the arts and creativity in the Kingdom of Saudi Arabia.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.almansouria.org/"} +{"d:Title": "Artpool", "d:Description": "Non-profit alternative art institution in Hungary, with the objective to register changes in art, to present and document the most interesting art experiments and to promote artistic communication.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.artpool.hu/"} +{"d:Title": "National Commission for Culture and the Arts", "d:Description": "Policy making, coordinating and grants giving body for culture and the arts in the Philippines.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.ncca.gov.ph/"} +{"d:Title": "ArtSource", "d:Description": "Western Australia artist employment and project management service. Service and pricing information.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.artsource.net.au/"} +{"d:Title": "Machfeld", "d:Description": "International arts and culture society of young artists living in Vienna.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.machfeld.net/"} +{"d:Title": "Virgin Islands Council on the Arts", "d:Description": "Features grant programs, services, news, and contact information.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.vicouncilonarts.org/"} +{"d:Title": "Centre for Creative Arts", "d:Description": "Information on projects, festivals and membership. Part of the University of Natal in Durban, South Africa.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.cca.ukzn.ac.za/"} +{"d:Title": "National Centre for Contemporary Arts", "d:Description": "Organization founded by Ministry of Culture of Russian Federation that combines museum and exhibition activities with science research programs.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.ncca.ru/en/index"} +{"d:Title": "Unartes", "d:Description": "Artists and writers from El Salvador organized toward a culture for peace in a country devastated by years of war.[Spanish/English]", "topic": "Top/Arts/Organizations/Regional", "url": "http://cerritos.cyberbro.com/unartes/Index.html"} +{"d:Title": "The Arts Foundation", "d:Description": "Information on the work of New Zealand artists and Laureate Award winners.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.thearts.co.nz/"} +{"d:Title": "National Society of Arts and Letters", "d:Description": "Non-profit arts advocacy organization which promotes the humanitarian value of art and selects three artists annually to act as ambassadors.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.arts-nsal.org/"} +{"d:Title": "Creative Arts Napier", "d:Description": "Community arts council provides information on local artists, festivals, news and gallery. Napier, New Zealand.", "topic": "Top/Arts/Organizations/Regional", "url": "http://www.thecan.co.nz/"} +{"d:Title": "Canada Council for the Arts", "d:Description": "Under the terms of the Canada Council Act, the object of the Council is \"to foster and promote the study and enjoyment of, and the production of works in, the arts.\" To fulfill this mandate, the Council offers a broad range of grants and services to professional Canadian artists and arts organizations in dance, interdisciplinary work and performance art, media arts, music, theatre, visual arts and writing and publishing.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.canadacouncil.ca/"} +{"d:Title": "Canadian Conference of the Arts", "d:Description": "Independent, non-partisan arts advocacy group and charitable organization. Site available in English and French.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://ccarts.ca/"} +{"d:Title": "The William Morris Society of Canada", "d:Description": "Listings, and free postings of Canadian events pertaining to William Morris, the Arts and Crafts Movement. Includes numerous links to informative sites dealing with Morris.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.morrissociety.org/"} +{"d:Title": "Maple Ridge Arts Centre And Theatre", "d:Description": "Offers theatre, art centre and art council offer programs and special events.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.theactmapleridge.org/"} +{"d:Title": "Scarborough Arts Council", "d:Description": "An arts council promoting and serving the visual, performing and literary arts.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.scarborougharts.com/"} +{"d:Title": "PineRidge Arts Council", "d:Description": "Dedicated to enhance the quality of life within the community by developing widespread appreciation, support and involvement in the arts.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.pineridgearts.org/"} +{"d:Title": "Contemporary Art Society of Vancouver", "d:Description": "Organization to promote an appreciation and understanding of 20th century art. Provides information on events and membership.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.casv.ca/"} +{"d:Title": "Federation of Canadian Artists", "d:Description": "The Federation (FCA) is a non-profit organization dedicated to the promotion and professional development of artists, and services for art collectors. The FCA, with members across Canada, has an artist-sponsored gallery-workshop-studio in Vancouver, BC.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.artists.ca/"} +{"d:Title": "Art Dealers Association of Canada (ADAC)", "d:Description": "Member gallery listings and contact information.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.ad-ac.ca/"} +{"d:Title": "Coast Cultural Alliance", "d:Description": "A non-profit society to enhance the arts and cultural tourism economies of the Sunshine Coast of British Columbia. The Alliance includes groups and individuals from the visual, performing, literary, and media arts.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.deeprooted.ca/cca/"} +{"d:Title": "The Alberta Foundation for the Arts", "d:Description": "Provides funding to individual artists and arts organizations in the visual, performing and literary arts, and cultural industries. Includes strategic plans, FAQ and grant application details.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.affta.ab.ca/"} +{"d:Title": "Kicking Horse Culture", "d:Description": "The arts council of Golden, BC. Information about their art gallery, film and musical events and membership.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.kickinghorseculture.ca/"} +{"d:Title": "Crossing Communities Art Project", "d:Description": "Engaging marginalized women and youth who are imprisoned or at risk of imprisonment in social, economic and cultural development, through the arts. Based in Winnipeg, MB.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.crossingcommunities.org/"} +{"d:Title": "Canadian Artists for the Poor", "d:Description": "Hosts art auctions to raise funds for people living in extreme poverty around the world.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.artistsforthepoor.ca/"} +{"d:Title": "Community University Research Alliance", "d:Description": "Develops collaborative relationships between the University of Victoria, its partners and the arts and heritage community throughout British Columbia.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://maltwood.uvic.ca/cura/"} +{"d:Title": "Cultural Human Resources Council", "d:Description": "Brings together cultural workers and employers to address training, career development and other human resource issues.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.culturalhrc.ca/"} +{"d:Title": "Osoyoos&District Arts Council", "d:Description": "Information provided about the art gallery, concert series, board of directors and members, located in Osoyoos, BC.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.osoyoosarts.com/"} +{"d:Title": "Atikokan Arts Centre", "d:Description": "Presents works by musicians, actors and visual artists from across Canada. Multi-arts workshops in pottery, photography, printmaking, theatre and dance.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://atikokanarts.ca/"} +{"d:Title": "Craft Ontario", "d:Description": "An arts service organization promoting fine craft and offering educational programming.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.craftontario.com/"} +{"d:Title": "The Community Arts Council of Richmond", "d:Description": "Advances arts and culture in Richmond, BC. Features information about art shows and related events.", "topic": "Top/Arts/Organizations/Regional/Canada", "url": "http://www.richmondartscouncil.com/"} +{"d:Title": "Wales Arts International", "d:Description": "Collaboration between the British Council Wales and the Arts Council of Wales to raise the profile of the arts. Includes information on art coming into the Principality and developed with it.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.wai.org.uk/"} +{"d:Title": "The Scottish Arts Club", "d:Description": "Founded by a group of artists in 1872. Membership includes painters, sculptors, musicians, writers \u00e0 including novelists, journalists, poets and playwright, architects, fashion designers and others involved in creative professions.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.scottishartsclub.co.uk/"} +{"d:Title": "Arts Alive", "d:Description": "Charitable Trust dedicated to providing local people in rural areas with the opportunity to choose and promote professional arts events.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.artsalive.co.uk/"} +{"d:Title": "Community Arts Rhayader and District", "d:Description": "Information on projects, special events, news and facilities. Located in Wales.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.carad.org.uk/"} +{"d:Title": "The Clore Duffield Foundation", "d:Description": "Grant-giving arts foundation with a particular interest in supporting children, young people and society's most vulnerable individuals.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.cloreduffield.org.uk/"} +{"d:Title": "Prison Arts Foundation", "d:Description": "Registered charitable trust that promotes arts as a means of expression for prisoners, ex-prisoners, young offenders and ex-young offenders in Northern Ireland, using all of the arts and crafts including writing, drama, fine art, craft, music and dance.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.prisonartsfoundation.com/"} +{"d:Title": "Arts Council England", "d:Description": "The national development agency for the arts in England, providing funding for a range of arts activities", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.artscouncil.org.uk/"} +{"d:Title": "W.R.A.P.", "d:Description": "Monthly networking night for all involved in film, music and the arts.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.wrap.4t.com/"} +{"d:Title": "Alternative Arts", "d:Description": "Organisation aiming to make the arts highly accessible by producing festivals and events that focus on cultural diversity and relate to local communities.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.alternativearts.co.uk/"} +{"d:Title": "Artists Studio Company", "d:Description": "Charity providing affordable studio space to visual artists, mainly in South London", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.ascstudios.co.uk/"} +{"d:Title": "The Voluntary Arts Network", "d:Description": "Organisation that work with policy makers, funders and politicians to improve the environment for everyone participating in the arts.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.voluntaryarts.org/"} +{"d:Title": "Society For All Artists", "d:Description": "Organization which welcomes artist of all abilities.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.saa.co.uk/"} +{"d:Title": "The Moseley School of Art Association", "d:Description": "The association of former pupils and teachers of the school in Birmingham.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.moseley-art-school.co.uk/"} +{"d:Title": "The Hub - Arts Development Practice", "d:Description": "Offers and specializes in several art development, marketing and management issues.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.thehubuk.com/"} +{"d:Title": "BRBAC", "d:Description": "Borough of Reigate and Bantead Arts Council presenting programs, members, events diary, and news.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.brbac.co.uk/"} +{"d:Title": "National Association of Decorative&Fine Arts Societies", "d:Description": "NADFAS is an arts-based educational charity, with over 300 societies and 90,000 members worldwide. Its core is local societies. Education, heritage, membership and news.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.nadfas.org.uk/"} +{"d:Title": "Artangel Trust", "d:Description": "Commissions one off artists\u2019 projects for different sites, concentrating on innovation and exploration within and across artforms. Information about projects - current, past and future; their methodology; contact details.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.artangel.org.uk/"} +{"d:Title": "National Endowment for Science Technology and the Arts", "d:Description": "Supporting and promoting outstanding talent, innovation and creativity in individuals and groups.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.nesta.org.uk/"} +{"d:Title": "The Prince's Foundation for Children&the Arts", "d:Description": "Championing the power of the arts to transform the lives of children and young people across the UK.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.childrenandarts.org.uk/"} +{"d:Title": "Arden Decorative And Fine Arts Society", "d:Description": "Promotes interest in the Arts among the people of the English Midlands.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.ardendfas.org.uk/"} +{"d:Title": "The Guild Of Glass Engravers", "d:Description": "Promotes high standards of creative design and craftsmanship in glass engraving. Includes a gallery of work from artists of The Guild.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.gge.org.uk/"} +{"d:Title": "Traditional Arts Team", "d:Description": "Runs events in the Midlands relating to traditional performing arts including storytelling, song, music and dance. Publishes the magazine, Folk Monthly.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.tradartsteam.co.uk/"} +{"d:Title": "West Sussex Decorative and Fine Arts Society (WSDFAS)", "d:Description": "Offer a programme of illustrated lectures, visits to galleries, museums, country houses and other places of cultural interest, study days and an annual holiday either in the UK or abroad. Includes profile, information on events, and membership.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.easisites.co.uk/westsussexdecorativefinearts"} +{"d:Title": "The Steeple", "d:Description": "Arts centre housing studios, a flat for visiting artists, and project space for workshops and exhibitions. Includes details of events.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.steeplearts.co.uk/"} +{"d:Title": "The Arthouse Meath", "d:Description": "A social enterprise that creates and exhibits artworks in printmaking and painting which celebrate the talents of adults with learning or physical disabilities or severe epilepsy.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.arthousemeath.com/"} +{"d:Title": "Bazooka Arts", "d:Description": "Practitioner led non-profit arts organisation based in Glasgow, UK.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://bazookaarts.co.uk/"} +{"d:Title": "Vivid Projects", "d:Description": "The Birmingham based lab for media arts objectives are to foster, support and create new opportunities for the development of media arts practice in the West Midlands region.", "topic": "Top/Arts/Organizations/Regional/United_Kingdom", "url": "http://www.vividprojects.org.uk/"} +{"d:Title": "National Assembly of State Arts Agencies", "d:Description": "Arts-related news, resources, research, publications, advocacy tools and information about state arts agencies.", "priority": "1", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.nasaa-arts.org/"} +{"d:Title": "Arts Midwest", "d:Description": "Enables individuals and families throughout America's heartland to share in and to enjoy the arts and cultures of our region and the world.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.artsmidwest.org/"} +{"d:Title": "ArtsMarket, Inc.", "d:Description": "A research-based company that works with organizations, foundations, regional, state and local arts agencies, and state and local governments to build audiences and public involvement in the arts.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.artsmarket.com/"} +{"d:Title": "New England Foundation for the Arts", "d:Description": "Connects the people of New England with the power of art to shape our lives and improve our communities.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.nefa.org/"} +{"d:Title": "Princess Grace Foundation", "d:Description": "Princess Grace Foundation-USA is a not-for-profit, tax-exempt, publicly-supported corporation dedicated to identifying and assisting young artists in the fields of dance, theater and film in the United States.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.pgfusa.com/"} +{"d:Title": "Southern Arts Federation", "d:Description": "A regional arts organization which provides grants, programs and services to artists and arts organizations in the southern United States.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.southarts.org/"} +{"d:Title": "Fractured Atlas", "d:Description": "A national non-profit artist service organization providing a range of support services for the independent arts community such as health insurance, publicity, grants, and consulting.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.fracturedatlas.org/"} +{"d:Title": "Mid Atlantic Arts Foundation", "d:Description": "Providing leadership and support for the arts in Delaware, Maryland, New Jersey, New York, Pennsylvania, Virginia, Washington, DC, West Virginia, and the US Virgin Islands.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.midatlanticarts.org/"} +{"d:Title": "Americans For The Arts", "d:Description": "Nonprofit organization for advancing the arts in America.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.americansforthearts.org/"} +{"d:Title": "National YoungArts Foundation", "d:Description": "Identifies emerging artists and assists them at critical junctures in their educational and professional development, and to raise the appreciation for, and support of, the arts in American Society.", "topic": "Top/Arts/Organizations/Regional/United_States", "url": "http://www.youngarts.org/"} +{"d:Title": "Alabama State Council on the Arts", "d:Description": "News, features, folklife, educational resources and index of artists.", "topic": "Top/Arts/Organizations/Regional/United_States/Alabama", "url": "http://www.arts.state.al.us/"} +{"d:Title": "Kentuck Festival of the Arts", "d:Description": "Outdoor juried arts festival.", "topic": "Top/Arts/Organizations/Regional/United_States/Alabama", "url": "http://www.kentuck.org/"} +{"d:Title": "Magic City Art Connection", "d:Description": "Juried fine art exhibition and festival supporting the advancement of contemporary art and living artists.", "topic": "Top/Arts/Organizations/Regional/United_States/Alabama", "url": "http://www.magiccityart.com/"} +{"d:Title": "Mobile Arts Council", "d:Description": "Serves as an umbrella over the cultural community and promotes, coordinates and develops arts programs.", "topic": "Top/Arts/Organizations/Regional/United_States/Alabama", "url": "http://www.mobilearts.org/"} +{"d:Title": "Alaska Design Forum", "d:Description": "A non-profit organization of architects, artists, and designers formed to broaden the range of discussion of design of the built environment. Committees, journal, history.", "topic": "Top/Arts/Organizations/Regional/United_States/Alaska", "url": "http://www.alaskadesignforum.org/"} +{"d:Title": "Homer Council on the Arts", "d:Description": "Provides opportunities for the people in the community to experience and participate in the arts. Event schedule, membership, and art links. Homer.", "topic": "Top/Arts/Organizations/Regional/United_States/Alaska", "url": "http://www.homerart.org/"} +{"d:Title": "International Gallery of Contemporary Art", "d:Description": "Exhibition space dedicated to new works in visual and interdisciplinary arts. provides exhibitions, hours, news and events and member artists listing. Anchorage.", "topic": "Top/Arts/Organizations/Regional/United_States/Alaska", "url": "http://www.igcaalaska.org/"} +{"d:Title": "Seldovia Arts Council", "d:Description": "Nonprofit organization working in conjunction with the City of Seldovia. Provides information on upcoming events and sponsorship/volunteer opportunities.", "topic": "Top/Arts/Organizations/Regional/United_States/Alaska", "url": "http://seldoviaartscouncil.wordpress.com/"} +{"d:Title": "The Bunnell Street Center", "d:Description": "A non-profit organization established to foster the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Alaska", "url": "http://www.bunnellarts.org/"} +{"d:Title": "Alaska State Council on the Arts", "d:Description": "Sate agency that fosters the development of the arts for all Alaskans through education, partnerships, grants and services. Offers grant program information.", "topic": "Top/Arts/Organizations/Regional/United_States/Alaska", "url": "https://education.alaska.gov/Aksca/"} +{"d:Title": "The Sedona Arts Center", "d:Description": "Nonprofit organization whose mission is to provide arts education and establish an appreciation of the arts which will emphasize Sedona as an artistic community and facilitate artists' development.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://www.sedonaartscenter.com/"} +{"d:Title": "Spirit of the Senses", "d:Description": "Based in Phoenix and offering performances, talks, and films on a diversity of topics, including the visual and performing arts, architecture, cultural geography and the culinary arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://www.spiritofthesenses.org/"} +{"d:Title": "Tubac Center of the Arts", "d:Description": "Nonprofit, member-supported organization that exists to provide arts programming in the Santa Cruz Valley of southern Arizona.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://www.tubacarts.org/"} +{"d:Title": "Chandler Center for the Arts", "d:Description": "Multi-disciplined arts organization that includes a gallery, theatre, recital hall, dance studio, and a television studio.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://www.chandlercenter.org/"} +{"d:Title": "Free Arts Arizona", "d:Description": "A non-profit organization dedicated to bringing the healing powers of artistic expression into the lives of abused, neglected and at risk children and their families.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://www.freeartsaz.org/"} +{"d:Title": "Young Arts Arizona", "d:Description": "A non-profit organization based in Phoenix that exhibits children's art with a focus on \"at-risk\" kids.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://www.youngartsaz.org/"} +{"d:Title": "Artlink", "d:Description": "Dedicated to bringing together artists, the public, and businesses for a greater understanding, appreciation, and promotion of the arts and the development of a strong and vital downtown Phoenix arts community.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://artlinkphoenix.com/"} +{"d:Title": "The Muse", "d:Description": "Community arts organization located in Tucson. Information on events, exhibitions and studio space.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://colbycornerscharteru.tripod.com/themuse/"} +{"d:Title": "Arizona Commission on the Arts", "d:Description": "Agency overview and information on artists, arts organizations, arts education and advocacy. Includes job listings and links to arts resources.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://www.azarts.gov/"} +{"d:Title": "Arts Foundation for Tucson and Southern Arizona", "d:Description": "Arts organization focused on bringing the arts and the community together in Tucson, Pima County, and Southwest Arizona.", "topic": "Top/Arts/Organizations/Regional/United_States/Arizona", "url": "http://artsfoundtucson.org/"} +{"d:Title": "Arkansas Arts Council", "d:Description": "Includes artist registry, galleries, tours and events, fellowships and grants, programs and related links.", "topic": "Top/Arts/Organizations/Regional/United_States/Arkansas", "url": "http://www.arkansasarts.org/"} +{"d:Title": "California Arts Council", "d:Description": "News, events calendar, gallery plus information on programs and arts in education.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.cac.ca.gov/"} +{"d:Title": "The Arts Council of Napa Valley", "d:Description": "Established in 1980 as a nonprofit membership corporation. to support and provide programs for the literary, visual, and performing Arts in Napa County.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.artscouncilnapavalley.org/"} +{"d:Title": "BootStrap Foundation :", "d:Description": "A California based non-profit organization devoted to helping performing artists into visibility.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.bootstrap-foundation.org/"} +{"d:Title": "Plumas Arts Commission", "d:Description": "Established in 1981 as a tax-exempt, private public benefit corporation which serves as the local arts planning and programming agency for Plumas County.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.plumasarts.com/"} +{"d:Title": "Los Angeles County Arts Commission", "d:Description": "Activities of the public arts agency, including grant programs, performances sponsored, directory of county arts, and arts links.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.lacountyarts.org/"} +{"d:Title": "Arts Council of the Conejo Valley", "d:Description": "Private, volunteer organization headquartered in the City of Thousand Oaks that supports programs, services and art education in southern California.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.conejoarts.org/"} +{"d:Title": "Berkeley Art Center", "d:Description": "Represents and supports the diversity of the San Francisco Bay region with exhibitions and other cultural events in a broad range of disciplines.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.berkeleyartcenter.org/"} +{"d:Title": "Association For The Advancement Of Filipino American Arts And Culture", "d:Description": "Established to advance the understanding of the arts and rich cultural heritage of Filipino in the United States through presentation, education, and arts services.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.filamarts.org/"} +{"d:Title": "California Alliance for Arts Education", "d:Description": "Promotes, supports and advocates for visual and performing arts education for preschool through post-secondary students in California schools.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.artsed411.org/"} +{"d:Title": "Arts Orange County", "d:Description": "Nonprofit arts council of Orange County, California, providing information about the arts and culture of the local community.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.artsoc.org/"} +{"d:Title": "Alameda County Arts Commission", "d:Description": "Encourages a thriving environment for the arts and cultural activities, and promotes economic stability for artists and arts organizations.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.co.alameda.ca.us/arts"} +{"d:Title": "Gallery Row Organization", "d:Description": "Encourages the growth of Downtown Los Angeles as an arts and culture destination.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.galleryrow.org/"} +{"d:Title": "Alliance of California Artists", "d:Description": "Fine arts organization, with members throughout the Western U.S. Offers news and information, links to member sites, shows and membership bylaws.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.allianceofcaliforniaartists.com/"} +{"d:Title": "Carmel Valley Artists", "d:Description": "A guild of two dozen artists present hand-crafted items and biographical information.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://cvartists.atspace.com/"} +{"d:Title": "Sacramento Metropolitan Arts Commission", "d:Description": "Providing information on fundraising, education and stabilization programs.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.sacmetroarts.org/"} +{"d:Title": "Works San Jose", "d:Description": "Contemporary visual and performance art space. Includes calendar of current and upcoming exhibits and performances.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.workssanjose.org/wordpress/"} +{"d:Title": "SparkOC", "d:Description": "Guide to arts and culture in Orange County, California, offering a calendar of events and list of local arts organizations.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.sparkoc.com/"} +{"d:Title": "El Dorado Arts Council", "d:Description": "Catalyst for advancing the visual, performing, and literary arts in El Dorado County.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.eldoradoartscouncil.org/"} +{"d:Title": "Arts Council Santa Cruz County", "d:Description": "Founded in 1979 as a private, nonprofit agency to develop programs and services that strengthens existing arts institutions, assists artists in managing and marketing their work and improves arts education in the schools.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.artscouncilsc.org/"} +{"d:Title": "Ventura County Arts Council", "d:Description": "Supports and strengthens the arts throughout Ventura County.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://vcartscouncil.org/"} +{"d:Title": "Arts and Culture Commission of Contra Costa County", "d:Description": "Artbeat newsletter and information on the Commission, cultural plans and local art links.", "topic": "Top/Arts/Organizations/Regional/United_States/California", "url": "http://www.contracosta.ca.gov/3185/Arts-Culture-Commission"} +{"d:Title": "Western States Arts Federation (WESTAF)", "d:Description": "A non-profit arts service organization dedicated to the creative advancement and preservation of the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Colorado", "url": "http://www.westaf.org/"} +{"d:Title": "Colorado Creative Industries", "d:Description": "Provides resources for artists, organizations and communities, plus CoVisions newsletter and information on heritage tourism.", "topic": "Top/Arts/Organizations/Regional/United_States/Colorado", "url": "http://www.coloradocreativeindustries.org/"} +{"d:Title": "Arts and Ideas New Haven", "d:Description": "Sponsor of 18-day long festival in New Haven. Information on performers, events, exhibits and tickets.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.artidea.org/"} +{"d:Title": "Arts Council of Greater New Haven", "d:Description": "Membership organization offering information on the arts calendar, the Small Space Gallery, programs such as Alliance for Architecture, Singles and the Arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.newhavenarts.org/"} +{"d:Title": "Greater Hartford Arts Council", "d:Description": "Cultural development organization that promotes the arts, heritage and entertainment in the central part of the state.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.letsgoarts.org/"} +{"d:Title": "Westport Arts Center", "d:Description": "Includes ticket sales, calendar, membership information, sponsors, history, directions, and details relating to special performances and exhibits.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://westportartscenter.org/"} +{"d:Title": "Green Street Arts Center", "d:Description": "Arts and education center offering a broad spectrum of arts classes, workshops, and programming for adults, teens, children, and families.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.wesleyan.edu/greenstreet/"} +{"d:Title": "Greenwich Arts Council", "d:Description": "Organization dedicated to promoting the visual, performing and literary arts locally. Features news, links, and information about shows at the Bendheim Gallery, the Sunday Salon Series, the Greenwich Arts Center, and other affiliated events.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.greenwichartscouncil.org/"} +{"d:Title": "Art CoOp", "d:Description": "A cooperative and community resourcef or creative types who need assistance with \u201dthe art of business.\"", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.ct-muse.org/"} +{"d:Title": "Bethel Arts", "d:Description": "Upcoming events, programs and how to get involved.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.bethelartsct.org/"} +{"d:Title": "Shoreline Arts Alliance", "d:Description": "Overview, programs, calendar, tickets and information on Peer Advisor Network. Based in Guilford.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.shorelinearts.org/"} +{"d:Title": "Arts Center Killingworth", "d:Description": "A non-profit organization offering art and craft instruction through classes, workshops and intensives for all ages, as well as summer art camps for children.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://artscenterkillingworth.org/"} +{"d:Title": "Office of the Arts | Connecticut Culture and Tourism", "d:Description": "Overview, programs, services, press releases, resources and links.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://www.cultureandtourism.org/cct/cwp/view.asp?a=3948&q=464520&cctNav=|"} +{"d:Title": "Art in Public Spaces CT", "d:Description": "Facebook page of arts council program that provides news and photos of public art activities in the state.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "https://www.facebook.com/PublicSpacesCT"} +{"d:Title": "Connecticut Arts Alliance", "d:Description": "Advocacy organization founded in 2005 to foster public education and awareness of the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "http://ctartsalliance.org/"} +{"d:Title": "Naugatuck Arts Commission", "d:Description": "Facebook page of community organization provides news and events information.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "https://www.facebook.com/Naugatuck-Arts-Commission-288181056994/"} +{"d:Title": "Artspace", "d:Description": "An artist and volunteer run contemporary arts non-profit whose mission is to catalyze artistic efforts, to connect artists, audiences, and resources.", "topic": "Top/Arts/Organizations/Regional/United_States/Connecticut", "url": "https://artspacenewhaven.org/"} +{"d:Title": "Delaware Division of the Arts", "d:Description": "State agency committed to promoting and supporting the arts in Delaware.", "topic": "Top/Arts/Organizations/Regional/United_States/Delaware", "url": "http://www.artsdel.org/"} +{"d:Title": "Women's Caucus for Art - Central Florida Chapter", "d:Description": "Expanding opportunities and recognition for women actively engaged in visual arts professions.", "topic": "Top/Arts/Organizations/Regional/United_States/Florida", "url": "http://www.wcafl.org/"} +{"d:Title": "Vero Beach Art Club", "d:Description": "Encourages and supports the appreciation and creation of fine art and features member galleries and information about local art events.", "topic": "Top/Arts/Organizations/Regional/United_States/Florida", "url": "http://www.verobeachartclub.org/"} +{"d:Title": "Council on Culture and Arts for Tallahassee/Leon County", "d:Description": "Provides services to individual artists, arts and cultural organizations, the business community, the general public, and city and county governments.", "topic": "Top/Arts/Organizations/Regional/United_States/Florida", "url": "http://cocanet.org/"} +{"d:Title": "The Palm Beach County Cultural Council", "d:Description": "Multi-faceted cultural organization that markets the county for cultural tourism, advocates for cultural funding, supports arts education and provides grants to cultural organizations and artists.", "topic": "Top/Arts/Organizations/Regional/United_States/Florida", "url": "http://www.palmbeachculture.com/"} +{"d:Title": "Florida Keys Council of the Arts", "d:Description": "Offers a complete listing of galleries, art and cultural organizations and events for Key West and Florida Keys.", "topic": "Top/Arts/Organizations/Regional/United_States/Florida", "url": "https://www.keysarts.com/"} +{"d:Title": "Arts Council of Hillsborough County", "d:Description": "Advocate for the arts, promoting its development and appreciation in Tampa and surrounding areas.", "topic": "Top/Arts/Organizations/Regional/United_States/Florida", "url": "http://www.artstampabay.com/"} +{"d:Title": "Florida's Division of Cultural Affairs", "d:Description": "Information on grant programs, cultural and arts-related activities within the state of Florida, mission statement, staff list, and related links.", "topic": "Top/Arts/Organizations/Regional/United_States/Florida", "url": "http://dos.myflorida.com/cultural/"} +{"d:Title": "Cultural Arts Center Of Douglasville", "d:Description": "Offers visual art exhibits, lectures, concerts, plays, cowboy poetry, outdoor festivals and art classes in Douglas County, Georgia.", "topic": "Top/Arts/Organizations/Regional/United_States/Georgia", "url": "http://home.earthlink.net/~cultureom/index.htm"} +{"d:Title": "Hawaii State Foundation on Culture and the Arts", "d:Description": "History of the organization, publications and information on programs, fellowships and Native Hawaiian arts policy.", "topic": "Top/Arts/Organizations/Regional/United_States/Hawaii", "url": "http://hawaii.gov/dags/sfca/"} +{"d:Title": "Buhl Arts Council", "d:Description": "Nonprofit educational arts organizations provides information on events, fundraisers and membership. Buhl, ID.", "topic": "Top/Arts/Organizations/Regional/United_States/Idaho", "url": "http://buhlartscouncil.tripod.com/"} +{"d:Title": "Idaho Commission on the Arts", "d:Description": "Grants and awards, education, folk arts and resources.", "topic": "Top/Arts/Organizations/Regional/United_States/Idaho", "url": "http://www.arts.idaho.gov/"} +{"d:Title": "Illinois Arts Alliance", "d:Description": "Increasing public awareness and advocating for greater support of the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.artsalliance.org/"} +{"d:Title": "Beverly Art Center", "d:Description": "A nonprofit dedicated to enriching lives through the visual and performing arts. Offers classes, a gallery, performing arts presentations, a calendar of events and outreach.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.beverlyartcenter.org/"} +{"d:Title": "Northbrook Arts Commission", "d:Description": "Dedicated to encouraging the development and enjoyment of the arts. Includes an events calendar and resources.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.northbrookarts.org/"} +{"d:Title": "Hyde Park Art Center", "d:Description": "Focuses on arts education in Hyde Park. Includes a gallery and community visual arts school offering classes in painting, drawing, photography, and ceramics.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.hydeparkart.org/"} +{"d:Title": "Chicago Art Deco Society", "d:Description": "A not-for-profit organization devoted to preserving all things Deco.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.chicagoartdecosociety.com/"} +{"d:Title": "John David Mooney Foundation", "d:Description": "This is a Chicago based public educational organization that seeks to transform the public domain through art in a way that fosters and demonstrates the unique societal responsibility of the artist.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.mooneyfoundation.org/"} +{"d:Title": "Arts&Business Council of Chicago", "d:Description": "Offers training and volunteering opportunities, programs and events and resource library.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.artsbiz-chicago.org/"} +{"d:Title": "The Awakenings Project", "d:Description": "Non-profit arts organization, assisting persons with mental illness to develop artistic abilities through all forms of art, raising public awareness of the creativity and contributions of people with psychiatric disorders.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.awakeningsproject.org/"} +{"d:Title": "Illinois Arts Council", "d:Description": "Agency overview and information on programs, services, meetings and events.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://www.arts.illinois.gov/"} +{"d:Title": "Chicago Artists'Coalition", "d:Description": "An artist service organization that showcases original artwork from more than 120 artists. It has news and event listings, and allows you to purchase pieces through the mARTketplace.com online art store.", "topic": "Top/Arts/Organizations/Regional/United_States/Illinois", "url": "http://chicagoartistscoalition.org/"} +{"d:Title": "Arts Council of Indianapolis, Inc.", "d:Description": "Supports arts organizations and working artists in Indianapolis through a variety of programs and services.", "topic": "Top/Arts/Organizations/Regional/United_States/Indiana", "url": "http://www.indyarts.org/"} +{"d:Title": "Arts United of Greater Fort Wayne", "d:Description": "A guiding force behind the arts in northeast Indiana.", "topic": "Top/Arts/Organizations/Regional/United_States/Indiana", "url": "http://www.artsunited.org/"} +{"d:Title": "Noblesville Cultural Arts Commission", "d:Description": "Sponsors and promotes the arts for the City of Noblesville, Hamilton County and central Indiana.", "topic": "Top/Arts/Organizations/Regional/United_States/Indiana", "url": "http://noblesvillearts.org/"} +{"d:Title": "Iowa Arts Council", "d:Description": "Programs and services for artists and arts organizations. Includes resources and links.", "topic": "Top/Arts/Organizations/Regional/United_States/Iowa", "url": "https://iowaculture.gov/arts/"} +{"d:Title": "Kentucky Arts Council", "d:Description": "Online publications, news, arts calendar and information on programs, virtual exhibits and arts education.", "topic": "Top/Arts/Organizations/Regional/United_States/Kentucky", "url": "http://artscouncil.ky.gov/"} +{"d:Title": "Maine Arts Commission", "d:Description": "Art resources, news, calendar and online application forms.", "topic": "Top/Arts/Organizations/Regional/United_States/Maine", "url": "http://mainearts.maine.gov/"} +{"d:Title": "Maryland State Arts Council", "d:Description": "News and information on arts in education, programs, grants, county arts councils and artists' slide registry.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.msac.org/"} +{"d:Title": "Allegany Arts Council", "d:Description": "Nonprofit organization working to enhance the quality of life in Allegany County by promoting an awareness and understanding of the literary, visual and performing arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.alleganyartscouncil.org/"} +{"d:Title": "The Rockville Art League", "d:Description": "A non-profit organization in Montgomery County Maryland promoting fine arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.rockvilleartleague.org/"} +{"d:Title": "The Creative Alliance", "d:Description": "A community based non-profit organization that presents and promotes all genres of art in Baltimore. Includes upcoming events, workshops, residency program information, and membership.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.creativealliance.org/"} +{"d:Title": "Cecil County Arts Council", "d:Description": "A non-profit organization offering workshops, exhibits, literary arts programs, concerts, arts-related trips and subgrants to local organizations/schools sponsoring arts events. Includes information on programs, grants, and membership.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.cecilcountyartscouncil.org/"} +{"d:Title": "The Frederick Arts Council", "d:Description": "Organization that represents all Frederick County artists and arts groups. Includes calendar of events, newsletter, an arts directory, and information on the Cultural Arts Center located downtown.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.frederickartscouncil.org/"} +{"d:Title": "Frederick County Art Association", "d:Description": "Non-profit organization promoting interest and participation in the visual arts. Includes news and events, art show schedules, list of art galleries, history and scholarship information.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.fred.net/hummer/fcaa/"} +{"d:Title": "Charles County Arts Alliance", "d:Description": "Includes board of directors, membership information, and newsletter.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.charlescountyarts.org/"} +{"d:Title": "Worcester County Arts Council", "d:Description": "The mission is to aid, encourage, advise and correlate all activities dedicated to the promotion of the arts in the county. Includes calendar of events, membership information, and class schedule.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.worcestercountyartscouncil.org/"} +{"d:Title": "Washington County Arts Council", "d:Description": "Provides funding to local non-profit organizations for culturally and educationally significant projects. Includes organization information, newsletter, exhibit schedule, and calendar of events.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.washingtoncountyarts.com/"} +{"d:Title": "Talbot County Arts Council", "d:Description": "Provides funding and other assistance for arts programs, organizations, and education. Includes council information, news, calendar of events and art-related links.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.talbotarts.org/"} +{"d:Title": "Arts and Humanities Council of Montgomery County", "d:Description": "Promotes, supports and expands cultural activities in the county and to help integrate them into the lives of all residents. Includes grant information, membership, calendar and cultural plan.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.creativemoco.com/"} +{"d:Title": "Kent County Arts Council", "d:Description": "Includes calendar of events, grant application, an arts column, and membership information.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.kentcountyartscouncil.org/"} +{"d:Title": "Howard County Arts Council", "d:Description": "Provides funding for local arts activities and management of the Howard County Center for the Arts. Includes grant programs, classes and schedule of events.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.hocoarts.org/"} +{"d:Title": "Harford County Cultural Arts Board", "d:Description": "Encourages the growth and stability of cultural organizations, and provides financial support in the form of grants-in-aid to these organizations. Includes events, grant application and recipients, and arts in education program details.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.culturalartsboard.org/"} +{"d:Title": "Carroll County Arts Council", "d:Description": "A nonprofit organization dedicated to serving the community by providing arts and cultural opportunities. Includes activities, calendar, children's programs and membership information.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://www.carrollcountyartscouncil.org/"} +{"d:Title": "Arts4u - Queen Anne's County Arts Council", "d:Description": "The Queen Anne's County Arts Council is a non-profit organization committed to promoting, expanding and sustaining the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://artsforu.wordpress.com/"} +{"d:Title": "Arts Council of Anne Arundel County", "d:Description": "Encourages and invests in the visual arts, performing arts, and historic preservation for the people of this county.", "topic": "Top/Arts/Organizations/Regional/United_States/Maryland", "url": "http://acaac.org/"} +{"d:Title": "North River Arts Society", "d:Description": "Nonprofit organization fostering participation and appreciation of the arts at the community level.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.northriverarts.org/"} +{"d:Title": "Massachusetts Cultural Council", "d:Description": "State agency that funds the arts, humanities and interpretive sciences. Information about the agency grant programs, events listing, opportunities of interest to the cultural community and news releases of public interest.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.massculturalcouncil.org/"} +{"d:Title": "Boston Center for the Arts Online", "d:Description": "Non-profit organization which seeks to sustain serious artists and to bring them into contact with the public.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.bcaonline.org/"} +{"d:Title": "Hudson Area Arts Alliance", "d:Description": "Nonprofit organization created to promote cultural activities in the surrounding communities of Hudson.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.upwitharts.org/"} +{"d:Title": "Tanne Foundation", "d:Description": "Supports artistic endeavors in culturally underserved communities and underappreciated forms of artistic expression.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.tannefoundation.org/"} +{"d:Title": "Winthrop Art Association", "d:Description": "Promotes the arts in the community of Winthrop.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.winthropartassociation.org/"} +{"d:Title": "Young Audiences of Massachusetts", "d:Description": "Statewide group dedicated to teaching students about arts, music, and dance, through live performances in schools.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.yamass.org/"} +{"d:Title": "Arts Foundation of Cape Cod", "d:Description": "Directory and guide to artists, and art, cultural and heritage organizations on Cape Cod. Sponsored by the Arts Foundation of Cape Cod.", "topic": "Top/Arts/Organizations/Regional/United_States/Massachusetts", "url": "http://www.artsfoundation.org/"} +{"d:Title": "Thornapple Arts Council of Barry County", "d:Description": "Provides concerts and exhibitions, showcasing local talent.", "topic": "Top/Arts/Organizations/Regional/United_States/Michigan", "url": "http://www.thornapplearts.org/"} +{"d:Title": "Arts Council of Greater Kalamazoo", "d:Description": "Supports, promotes and funds the arts in Kalamazoo County. Members include arts organizations, individual artists and arts lovers.", "topic": "Top/Arts/Organizations/Regional/United_States/Michigan", "url": "http://www.kalamazooarts.org/"} +{"d:Title": "Minnesota State Arts Board", "d:Description": "Overview of agency plus information on publications, artists and grant programs.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.arts.state.mn.us/"} +{"d:Title": "Minnesota Artists Online", "d:Description": "Offers to Minnesota-based artists a central gathering place on the Web, and will grow to become a marketplace and community hub, with news and featured artists.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.mnartists.org/"} +{"d:Title": "Intermedia Arts", "d:Description": "A multidisciplinary center dedicated to engaging the power of the arts in addressing social and human issues and to supporting the work of contemporary artists. Includes event listings, artist opportunities, and opportunities for community involvement through the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.intermediaarts.org/"} +{"d:Title": "Springboard for the Arts", "d:Description": "Resources and counseling for the arts. Offers workshops, job listings, help planning your career or starting a non-profit art organization.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.springboardforthearts.org/"} +{"d:Title": "Southeastern Minnesota Arts Council", "d:Description": "Providing support through grants and services for artists and arts organizations in southeastern Minnesota. Frames-dependent site.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.semac.org/"} +{"d:Title": "Prairie Lakes Regional Arts Council", "d:Description": "Provides promotion, technical and developmental assistance and grants to non-profit arts organizations, community groups, schools and individual artists.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.plrac.org/"} +{"d:Title": "Metropolitan Regional Arts Council", "d:Description": "A non-profit organization committed to supporting and stimulating the development and diversity of the arts. Offers workshops, grant information, a newsletter, and an events calendar.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.mrac.org/"} +{"d:Title": "Five Wings Arts Council", "d:Description": "Provides grants and services to nonprofit arts organizations and individual artists in Central Minnesota.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.fwac.org/"} +{"d:Title": "Compas", "d:Description": "Community arts organization that provides technical assistance, artistic and organizational development activities, and grants to artists and agencies. Works to employ artists, put artists in public schools, and addresses local arts needs.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.compas.org/"} +{"d:Title": "Central Minnesota Arts Board", "d:Description": "Non-profit organization promoting the arts in Benton, Sherburne, Stearns, and Wright counties, with headquarters in St. Cloud.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.centralmnartsboard.org/"} +{"d:Title": "Red Wing Arts Association", "d:Description": "Calendar of events and information on how to get involved.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.redwingartsassociation.org/"} +{"d:Title": "Forecast Public Artworks", "d:Description": "Non-profit organization that facilitates the creation and discussion of public art in the Twin Cities. Includes art reviews, grant information, news, and links.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://forecastpublicart.org/"} +{"d:Title": "Lake Region Arts Council", "d:Description": "Serves arts organizations, artists and arts consumers in West Central Minnesota through grants, technical assistance, workshops, a newsletter and other services.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.lrac4.org/"} +{"d:Title": "Bloomington Art Center", "d:Description": "Offers youth and adult classes, exhibitions, a theater, and a listing of news and events.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://www.btacmn.org/"} +{"d:Title": "Visual Arts Minnesota", "d:Description": "Creating opportunities for visual artists to exhibit and sell their work, providing resources for visual artists, students and educators, and fostering an appreciation for the visual arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://visualartsminnesota.com/"} +{"d:Title": "Minnesota Citizens for the Arts", "d:Description": "Arts advocacy organization that organizes the arts community to lobby the State Legislature and Congress. The mission is to ensure opportunity for all people to have access to and involvement in the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Minnesota", "url": "http://artsmn.org/"} +{"d:Title": "Mississippi Arts Commission", "d:Description": "Grantmaking and service agency for the arts provides information on programs, grants, artists and other resources.", "topic": "Top/Arts/Organizations/Regional/United_States/Mississippi", "url": "http://www.arts.state.ms.us/"} +{"d:Title": "Missouri Arts Council", "d:Description": "Bulletin board plus information on funding programs, with online applications.", "topic": "Top/Arts/Organizations/Regional/United_States/Missouri", "url": "http://www.missouriartscouncil.org/"} +{"d:Title": "Springboard", "d:Description": "Produces and presents auditorium performances, classroom workshops, artist in residence programs and professional development workshops in music, dance, theater, storytelling and visual and literary arts in elementary and secondary schools.", "topic": "Top/Arts/Organizations/Regional/United_States/Missouri", "url": "http://www.springboardstl.org/"} +{"d:Title": "The Emerson Center for the Arts and Culture", "d:Description": "Center for the visual and performing arts, and arts education, offering arts and cultural exhibits and classes. Includes events calendar, space rental information and education calendars.", "topic": "Top/Arts/Organizations/Regional/United_States/Montana", "url": "http://www.theemerson.org/"} +{"d:Title": "Montana Arts Council", "d:Description": "Arts calendar, resources, folklife and information for organizations, individuals and schools.", "topic": "Top/Arts/Organizations/Regional/United_States/Montana", "url": "http://art.mt.gov/"} +{"d:Title": "Nebraska Arts Council", "d:Description": "Artist directory, cultural calendar and information on programs.", "topic": "Top/Arts/Organizations/Regional/United_States/Nebraska", "url": "http://www.artscouncil.nebraska.gov/"} +{"d:Title": "Sierra Arts Foundation", "d:Description": "Northwestern Nevada's regional community arts organization that supports and advocates awareness, appreciation, excellence, accessibility and development of all the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Nevada", "url": "http://www.sierra-arts.org/"} +{"d:Title": "New Hampshire Council on the Arts", "d:Description": "Events calendar, job opportunities, announcements plus information on grants and services.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Hampshire", "url": "http://www.nh.gov/nharts/"} +{"d:Title": "Puffin Foundation", "d:Description": "Encourages out-of-the-mainstream visual artists, performing artists, and authors. Provides information on its grant program and on its exhibition spaces.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Jersey", "url": "http://www.puffinfoundation.org/"} +{"d:Title": "Art House Productions", "d:Description": "Jsersey City based organization offering performance, broadcast, and publishing opportunities for artists.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Jersey", "url": "http://www.arthouseproductions.org/"} +{"d:Title": "Art Pride New Jersey", "d:Description": "Fostering the arts in the state of New Jersey and encouraging citizens and elected officials to endorse the arts and artists since 1986 by helping to raise money for the arts from the state and providing access to resources for the citizens.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Jersey", "url": "http://www.artpridenj.com/"} +{"d:Title": "Discover Jersey Arts", "d:Description": "An organization designed to increase the awareness and participation of the arts in New Jersey.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Jersey", "url": "http://www.jerseyarts.com/"} +{"d:Title": "Appel Farm Arts and Music Center", "d:Description": "A multidisciplinary regional arts center, providing arts education, outreach, summer camp, festival, and concert series.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Jersey", "url": "http://www.appelfarm.org/"} +{"d:Title": "Artsbridge", "d:Description": "A group of painters, sculptors, photographers, writers, actors, musicians and filmmakers, gathering together on a volunteer basis to nurture, promote, educate, and foster the arts in the community.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Jersey", "url": "http://www.artsbridgeonline.com/"} +{"d:Title": "New Jersey State Council on the Arts", "d:Description": "Agency overview plus information on programs, services and resources.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Jersey", "url": "http://www.state.nj.us/state/njsca/"} +{"d:Title": "New Mexico Arts", "d:Description": "Information on the agency, its programs and services.", "topic": "Top/Arts/Organizations/Regional/United_States/New_Mexico", "url": "http://www.nmarts.org/"} +{"d:Title": "ABC No Rio", "d:Description": "A center for art and activism on New York's Lower East Side, known internationally as a venue for oppositional culture and social and political engagement.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.abcnorio.org/"} +{"d:Title": "Brooklyn Arts Council", "d:Description": "Service organization dedicated to helping artists, arts organizations, and community groups promote and sustain the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.brooklynartscouncil.org/"} +{"d:Title": "The New York Foundation for the Arts", "d:Description": "National information resource on grants and other opportunities for artists and for all those who support them.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.nyfa.org/"} +{"d:Title": "New York State Council on the Arts", "d:Description": "Information on grants and awards, with online application forms.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.nysca.org/"} +{"d:Title": "Community Works", "d:Description": "Nonprofit arts education and arts presenting organization located in New York City.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://communityworksnyc.org/"} +{"d:Title": "Queens Council on the Arts", "d:Description": "Cultural and arts information and events listings.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.queenscouncilarts.org/"} +{"d:Title": "The Rye Arts Center", "d:Description": "A neighborhood arts resource serving the Sound Shore community with visual and performing arts classes, gallery and special events.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.ryeartscenter.org/"} +{"d:Title": "The Construction Company", "d:Description": "Nonprofit performing and fine arts organization in Manhattan provides information on dance, music and the visual arts.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.theconstructioncompany.org/"} +{"d:Title": "American Renaissance for the Twenty-First Century", "d:Description": "A nonprofit foundation dedicated to a rebirth of beauty and life-affirming values in all of the fine arts.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.art-21.org/"} +{"d:Title": "Bronx Council on the Arts", "d:Description": "Involved in grantmaking, programming, arts education, and the use of cultural strategies to help revitalize local communities.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.bronxarts.org/"} +{"d:Title": "Lower Adirondack Regional Arts Council", "d:Description": "Regional arts council dedicated to the continuation of local arts and cultural endeavors.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.larac.org/"} +{"d:Title": "Long Island Arts Council", "d:Description": "Nurtures the professional development of artists and arts organizations on Long Island, and encourages the community's appreciation for the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.liacfreeport.org/"} +{"d:Title": "Croton Council on the Arts", "d:Description": "Includes calendar of events featuring local and visiting artists, newsletters, photo galleries, and membership information.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://www.crotonarts.org/"} +{"d:Title": "The Dactyl Foundation", "d:Description": "Nonprofit membership organization based in New York City provides information on exhibits, programs, film and video screenings, and web art.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "http://dactylfoundation.org/"} +{"d:Title": "Student Advocates for the Arts", "d:Description": "Unifies and mobilizes a large body of student advocates to bring about positive change in the arts sector.", "topic": "Top/Arts/Organizations/Regional/United_States/New_York", "url": "https://www.facebook.com/studentartsadvocates"} +{"d:Title": "Asheville Area Arts Council", "d:Description": "Supports the arts community of western North Carolina through fund raising, gallery opportunities, arts education and sponsorship of local arts events.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "http://www.ashevillearts.com/"} +{"d:Title": "The Arts Center Of Carrboro, Chapel Hill and Orange County", "d:Description": "Offers classes in visual, literary and performing arts, music concerts, theater productions, children's programs and an art gallery.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "http://artscenterlive.org/"} +{"d:Title": "The Arts Council of Fayetteville and Cumberland County", "d:Description": "Serves as a link between artists, arts and cultural organizations and the community in the Cumberland County metro region.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "http://www.theartscouncil.com/"} +{"d:Title": "North Carolina Arts Council", "d:Description": "Overview of organization plus arts directory, news and information on grants and services.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "http://www.ncarts.org/"} +{"d:Title": "Caldwell Arts Council", "d:Description": "Mission statement plus information on programs, competitions, education and fundraising.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "http://www.caldwellarts.com/"} +{"d:Title": "Hillsborough Arts Council", "d:Description": "Non-profit organization whose role is to meet the arts and cultural needs of the Hillsborough, NC community through a diversity of initiatives and services.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "http://www.hillsboroughartscouncil.org/index.html"} +{"d:Title": "Lewisville Area Arts Council, Inc.", "d:Description": "Dedicated to the production of arts programs in Lewisville and surrounding communities.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "http://laac.tripod.com/"} +{"d:Title": "Chatham Arts Council", "d:Description": "News and information on events and opportunities for artists.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Carolina", "url": "https://www.chathamartscouncil.org/"} +{"d:Title": "North Dakota Council on the Arts", "d:Description": "Artists directory plus information on grants and projects, with related links.", "topic": "Top/Arts/Organizations/Regional/United_States/North_Dakota", "url": "http://www.nd.gov/arts/"} +{"d:Title": "Heights Arts Collaborative", "d:Description": "Nonprofit community arts organization pooling the arts resources of Cleveland Heights Ohio.", "topic": "Top/Arts/Organizations/Regional/United_States/Ohio", "url": "http://www.heightsarts.org/"} +{"d:Title": "The Arts Partnership of Greater Hancock County", "d:Description": "Information on arts in education, events and membership.", "topic": "Top/Arts/Organizations/Regional/United_States/Ohio", "url": "http://artspartnership.com/"} +{"d:Title": "Ohio Arts Council", "d:Description": "News and information on arts advocacy, programs, services and resources.", "topic": "Top/Arts/Organizations/Regional/United_States/Ohio", "url": "http://www.oac.ohio.gov/"} +{"d:Title": "Greater Columbus Arts Council", "d:Description": "Virtual tour, event finder, youth programs and news. Serving central Ohio.", "topic": "Top/Arts/Organizations/Regional/United_States/Ohio", "url": "http://www.columbusmakesart.com/"} +{"d:Title": "Arts Council of Oklahoma City", "d:Description": "A non-profit organization that promotes community wide access to the arts in Oklahoma City and the surrounding areas.", "topic": "Top/Arts/Organizations/Regional/United_States/Oklahoma", "url": "http://www.artscouncilokc.com/"} +{"d:Title": "Oklahoma Arts Council", "d:Description": "Information on opportunities for arts, as well as resources and programs for schools and organizations.", "topic": "Top/Arts/Organizations/Regional/United_States/Oklahoma", "url": "http://www.arts.ok.gov/"} +{"d:Title": "Arts and Humanities Council of Tulsa", "d:Description": "Arts education through public workshops, lectures and symposia.", "topic": "Top/Arts/Organizations/Regional/United_States/Oklahoma", "url": "http://ahhatulsa.org/"} +{"d:Title": "Oregon Arts Commission", "d:Description": "Regional arts councils, commission members, publications, staff contacts, grants programs and services information.", "topic": "Top/Arts/Organizations/Regional/United_States/Oregon", "url": "http://www.oregonartscommission.org/"} +{"d:Title": "Creative Arts Community Residential Retreat", "d:Description": "Every year since 1966 the Creative Arts Community has welcomed artists and students for a residential workshop at the Menucha Retreat and Conference Center in the Columbia Gorge Scenic area of Oregon.", "topic": "Top/Arts/Organizations/Regional/United_States/Oregon", "url": "http://www.creativeartscommunity.org/"} +{"d:Title": "Fellowship of Quakers in the Arts", "d:Description": "To nurture and showcase the visual, literary, musical and performing arts within the Religious Society of Friends, for purpose of Quaker expression, ministry, witness, and outreach.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.quaker.org/fqa/"} +{"d:Title": "Pennsylvania Rural Arts Alliance", "d:Description": "Non-profit organization committed to the development and promotion of the arts through rural areas of Pennsylvania.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.praa.net/"} +{"d:Title": "Wayne County Arts Alliance", "d:Description": "Not-for-profit organization promoting the arts in the Wayne County Pennsylvania area.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.waynecountyartsalliance.org/"} +{"d:Title": "The Philadelphia Sketch Club", "d:Description": "Supporting and nurturing the work of visual artists through art appreciation, exhibitions, workshops, education, and historical value to the community since 1860.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://sketchclub.org/"} +{"d:Title": "Arts&Cultural Council of Bucks County", "d:Description": "Serving Bucks County to foster, preserve and strengthen the knowledge and appreciation of its performing, visual, and literary arts cultural heritage.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.bucksarts.org/"} +{"d:Title": "Art Alliance of Central Pennsylvania", "d:Description": "A non-profit that serves students, artists, and the art-loving community in Central Pennsylvania and surrounding counties.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.artalliancepa.org/"} +{"d:Title": "Art-Reach", "d:Description": "A non-profit organization, in the Delaware Valley, that joins the performing and visual arts and special needs audiences through organizations serving people with disabilities or with economic disadvantages.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.art-reach.org/"} +{"d:Title": "Greater Pittsburgh Arts Council", "d:Description": "Expanding the reach, influence, and effectiveness of the region's varied and vibrant culture community through programs, services, and advocacy.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://pittsburghartscouncil.org/"} +{"d:Title": "Sullivan County Council on the Arts", "d:Description": "An umbrella organization, operating through a network of volunteers, working to foster and preserve the artistic and cultural lives of the residents of Sullivan County.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.sullivanarts.org/"} +{"d:Title": "Citizens for the Arts in Pennsylvania", "d:Description": "Nonprofit organization committed to advancing the arts in Pennsylvania through advocacy, programs, networking and services.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.citizensfortheartsinpa.org/"} +{"d:Title": "New Hope Arts Inc.", "d:Description": "A non-profit organization dedicated to increasing awareness and support for the arts and artists of Bucks County, PA.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.newhopearts.org/"} +{"d:Title": "Pennsylvania Art Education Association", "d:Description": "Actively supports and promotes visual art education through professional development, leadership, and service.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.paeablog.org/"} +{"d:Title": "Theatre Philadelphia", "d:Description": "Comprising of non-profit theatres, individuals, and affiliates, the Alliance serves to strengthen the region's diverse theatre community by providing information, job listings, classes, show and theatre listings, a talent database and other services.", "topic": "Top/Arts/Organizations/Regional/United_States/Pennsylvania", "url": "http://www.theatrephiladelphia.org/"} +{"d:Title": "The Rhode Island Foundation", "d:Description": "Provides mission statement, overview of services and opportunities, publications and link to the Foundation's art gallery.", "topic": "Top/Arts/Organizations/Regional/United_States/Rhode_Island", "url": "http://www.rifoundation.org/"} +{"d:Title": "Pawtucket Arts Collaborative", "d:Description": "Dedicated to supporting, promoting and developing the arts for the community and its artists.", "topic": "Top/Arts/Organizations/Regional/United_States/Rhode_Island", "url": "http://www.pawtucketartscollaborative.org/"} +{"d:Title": "Rhode Island State Council on the Arts", "d:Description": "Contemporary and traditional arts offerings from around the state.", "topic": "Top/Arts/Organizations/Regional/United_States/Rhode_Island", "url": "http://www.arts.ri.gov/"} +{"d:Title": "South Carolina Arts Commission", "d:Description": "Call for entries plus information on conferences and meetings, arts in education, grant guidelines and resources for artists.", "topic": "Top/Arts/Organizations/Regional/United_States/South_Carolina", "url": "http://www.southcarolinaarts.com/"} +{"d:Title": "South Carolina Library Association", "d:Description": "Find information about membership, conferences, legislation, publications, and activities.", "topic": "Top/Arts/Organizations/Regional/United_States/South_Carolina", "url": "http://www.scla.org/"} +{"d:Title": "Beaufort Arts Council", "d:Description": "Nonprofit organization which provides grants, programs and services to local artists and organizations. Find out about events, membership, and contact information.", "topic": "Top/Arts/Organizations/Regional/United_States/South_Carolina", "url": "http://www.beaufortartscouncil.org/"} +{"d:Title": "South Dakota Arts Council", "d:Description": "Directory of arts festivals and powwows, publications and information on grants and programs.", "topic": "Top/Arts/Organizations/Regional/United_States/South_Dakota", "url": "http://www.artscouncil.sd.gov/"} +{"d:Title": "Tennessee Arts Commission", "d:Description": "Grant programs, artist opportunities, events listings and news.", "topic": "Top/Arts/Organizations/Regional/United_States/Tennessee", "url": "http://tnartscommission.org/"} +{"d:Title": "The Beaumont Art League", "d:Description": "Southeast Texas. Juried shows, classes, and exhibitions.", "topic": "Top/Arts/Organizations/Regional/United_States/Texas", "url": "http://www.beaumontartleague.org/"} +{"d:Title": "Frisco Association for the Arts", "d:Description": "A non-profit organization formed to preserve, support and develop the arts and cultural resources of Frisco, Texas.", "topic": "Top/Arts/Organizations/Regional/United_States/Texas", "url": "http://friscoarts.org/"} +{"d:Title": "Artists of Dripping Springs", "d:Description": "Group promoting the variety of local artists in Dripping Springs.", "topic": "Top/Arts/Organizations/Regional/United_States/Texas", "url": "http://artistsofdrippingsprings.org/"} +{"d:Title": "Texas Commission on the Arts", "d:Description": "Information on grants, with online application forms, plus newsletter, calendars and merchandise.", "topic": "Top/Arts/Organizations/Regional/United_States/Texas", "url": "http://www.arts.texas.gov/"} +{"d:Title": "The Contemporary Austin", "d:Description": "Exhibition schedule, membership information, and artist services offered. Austin, Texas.", "topic": "Top/Arts/Organizations/Regional/United_States/Texas", "url": "http://www.thecontemporaryaustin.org/"} +{"d:Title": "Utah Arts Council", "d:Description": "Provides information for individual artists, educators and organizations.", "topic": "Top/Arts/Organizations/Regional/United_States/Utah", "url": "http://heritage.utah.gov/utah-division-of-arts-museums"} +{"d:Title": "Vermont Arts Council", "d:Description": "State arts agency that is also an independent nonprofit membership organization.", "topic": "Top/Arts/Organizations/Regional/United_States/Vermont", "url": "http://www.vermontartscouncil.org/"} +{"d:Title": "The Brandon Artists' Guild", "d:Description": "Group of artists in various media, formed under the leadership of folk artist Warren Kimble. Gallery information, member list and events calendar.", "topic": "Top/Arts/Organizations/Regional/United_States/Vermont", "url": "http://www.brandonartistsguild.org/"} +{"d:Title": "Arts Depot Galleries and Studios", "d:Description": "History, listing of artists, gallery schedule, information about ballet and membership.", "topic": "Top/Arts/Organizations/Regional/United_States/Virginia", "url": "http://abingdonartsdepot.org/"} +{"d:Title": "Art180", "d:Description": "Nonprofit organization provides art-related programs to young people living in challenging circumstances, encouraging personal and community change through self-expression. Located in Richmond, Virginia.", "topic": "Top/Arts/Organizations/Regional/United_States/Virginia", "url": "http://www.art180.org/"} +{"d:Title": "Arts Council of Fairfax County", "d:Description": "Serving Fairfax County and northern Virginia with information on education and the arts", "topic": "Top/Arts/Organizations/Regional/United_States/Virginia", "url": "http://www.artsfairfax.org/"} +{"d:Title": "Project Energia Buran", "d:Description": "Collaboration of writers, artists, photographers, and documenters of local history based in Augusta County.", "topic": "Top/Arts/Organizations/Regional/United_States/Virginia", "url": "http://www.theenergystorm.com/"} +{"d:Title": "Blacksburg Regional Art Association", "d:Description": "Featuring news, events, programs, workshops and shows.", "topic": "Top/Arts/Organizations/Regional/United_States/Virginia", "url": "http://www.blacksburgart.org/"} +{"d:Title": "Washington State Arts Commission", "d:Description": "Information on art in public places, folk arts, grants to organizations and arts in education.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington", "url": "http://www.arts.wa.gov/"} +{"d:Title": "Arts West", "d:Description": "West Seattle's non-profit arts agency.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington", "url": "http://www.artswest.org/"} +{"d:Title": "Seattle Office of Arts and Cultural Affairs", "d:Description": "City resource focusing on the artistic and creative life of the community. Includes news, publications and information about funding applications, workshops and forums, showcases and staff.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington", "url": "http://www.seattle.gov/arts/"} +{"d:Title": "Arts&Humanities Bainbridge", "d:Description": "Provides cultural calendar, exhibition schedules, theater performances, humanities inquiry, arts education resources, art tools for teachers, arts walk, auction for the arts, Bainbridge in Bloom arts benefit, public art opportunities and events.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington", "url": "http://ahbainbridge.org/"} +{"d:Title": "Millennium Arts Center", "d:Description": "National arts center featuring painting, photography, sculpting, writing, ceramics, glass blowing, woodturning, film, pottery and computer art.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.millenniumartscenter.org/"} +{"d:Title": "Capitol Hill Arts Workshop", "d:Description": "Non-profit community arts organization. Site describes its visual and performing arts courses and activities.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.chaw.org/"} +{"d:Title": "Class Acts", "d:Description": "Arts organization bringing arts to schools and the community.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.classactsarts.org/"} +{"d:Title": "Cultural Alliance of Greater Washington", "d:Description": "Group formed in support of local arts and cultural organizations. Includes description of its programs, arts-related job listings, articles, and list of shows.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.cultural-alliance.org/"} +{"d:Title": "Cultural Development Corporation", "d:Description": "Non-profit group integrating the arts and cultural organizations into the process of community development and revitalization in Washington, DC by providing technical assistance and consulting services.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.culturaldc.org/"} +{"d:Title": "Art-O-Matic", "d:Description": "Provides a forum for artists to convene, perform and exhibit, strengthening Washington's arts community.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.artomatic.org/"} +{"d:Title": "District of Columbia Arts Center", "d:Description": "Nonprofit organization provides gallery space and a black-box theater to present the work of emerging artists.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.dcartscenter.org/"} +{"d:Title": "Arts Club of Washington", "d:Description": "Promotes arts appreciation and participation through ongoing presentations and performances. Includes news, calendar of events, and information on the club's headquarters, the historic James Monroe House.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://artsclubofwashington.org/"} +{"d:Title": "Patricia M. Sitar Center for the Arts", "d:Description": "Community arts organization offering music, dance, drama, writing and visual arts programs to residents of the Adams Morgan neighborhood.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.sitarartscenter.org/"} +{"d:Title": "Washington Performing Arts", "d:Description": "Aims to increase the opportunities for artists and the public to share the performing arts in the metropolitan area. Includes a history, employment and volunteer opportunities, membership information and ticket ordering.", "topic": "Top/Arts/Organizations/Regional/United_States/Washington,_DC", "url": "http://www.washingtonperformingarts.org/"} +{"d:Title": "West Virginia Commission on the Arts", "d:Description": "Grants and fellowships, opportunities for artists, news and staff contacts.", "topic": "Top/Arts/Organizations/Regional/United_States/West_Virginia", "url": "http://www.wvculture.org/arts/"} +{"d:Title": "Morgan Arts Council", "d:Description": "Information on events and activities at The Ice House Theater and Gallery in Berkeley Springs.", "topic": "Top/Arts/Organizations/Regional/United_States/West_Virginia", "url": "http://www.macicehouse.org/"} +{"d:Title": "Hampshire County Arts Council", "d:Description": "Organizational and member news, events calendar, membership information, local/regional arts resources and links.", "topic": "Top/Arts/Organizations/Regional/United_States/West_Virginia", "url": "http://www.hampshirearts.org/"} +{"d:Title": "Allegheny Echoes", "d:Description": "Non-profit organization based in Marlinton, in Pocohantas County, offering annual workshops teaching traditional West Virginia music and writing.", "topic": "Top/Arts/Organizations/Regional/United_States/West_Virginia", "url": "http://www.alleghenyechoes.com/"} +{"d:Title": "VSA Arts of Wisconsin", "d:Description": "Mission is to expand the capabilities, confidence, and quality of life for children and adults with disabilities by providing programs in music, dance, drama, creative writing, and visual art.", "topic": "Top/Arts/Organizations/Regional/United_States/Wisconsin", "url": "http://www.vsawis.org/"} +{"d:Title": "Monroe Arts Center", "d:Description": "Promoting the arts in Green County, Southern Wisconsin and Northern Illinois through live performances, gallery exhibits, artistic workshops.", "topic": "Top/Arts/Organizations/Regional/United_States/Wisconsin", "url": "http://www.monroeartscenter.com/"} +{"d:Title": "Wisconsin Arts Board", "d:Description": "Agency profile, who's who, opportunities, news and events.", "topic": "Top/Arts/Organizations/Regional/United_States/Wisconsin", "url": "http://artsboard.wisconsin.gov/"} +{"d:Title": "Accompany of Kids", "d:Description": "Provide young people the opportunity to learn and grow through the use of the arts.", "topic": "Top/Arts/Organizations/Regional/United_States/Wisconsin", "url": "http://www.aokwi.org/"} +{"d:Title": "Milwaukee Artist Resource Network", "d:Description": "Open forum for Milwaukee artists of all media.", "topic": "Top/Arts/Organizations/Regional/United_States/Wisconsin", "url": "http://www.artsinmilwaukee.org/"} +{"d:Title": "Wyoming Arts Council", "d:Description": "Mission statement, news and information on community services, literature, arts in education and events.", "topic": "Top/Arts/Organizations/Regional/United_States/Wyoming", "url": "http://wyoarts.state.wy.us/"} +{"d:Title": "Rock on the Net: Aaliyah", "d:Description": "Biographic timeline and discography.", "topic": "Top/Arts/People/A/Aaliyah", "url": "http://www.rockonthenet.com/artists-a/aaliyah_main.htm"} +{"d:Title": "LyricsFreak: Aaliyah", "d:Description": "View lyrics, listed alphabetically and by album.", "topic": "Top/Arts/People/A/Aaliyah", "url": "http://www.lyricsfreak.com/a/aaliyah/"} +{"d:Title": "MTV: Aaliyah", "d:Description": "Audio and video clips, discography, reviews, interviews, pictures, news and biography.", "topic": "Top/Arts/People/A/Aaliyah", "url": "http://www.mtv.com/artists/aaliyah/"} +{"d:Title": "IMDb: Aaliyah", "d:Description": "Filmography, biography, photo gallery and news articles.", "topic": "Top/Arts/People/A/Aaliyah", "url": "http://www.imdb.com/name/nm0004691/"} +{"d:Title": "All Music Guide: Aaliyah", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/A/Aaliyah", "url": "http://www.allmusic.com/artist/aaliyah-mn0000578999"} +{"d:Title": "Canoe: Aaliyah", "d:Description": "Collection of articles published by Canadian newspapers.", "topic": "Top/Arts/People/A/Aaliyah/Articles_and_Interviews", "url": "http://jam.canoe.com/Music/Artists/A/Aaliyah/"} +{"d:Title": "MTV News Online: Aaliyah Killed In Plane Crash", "d:Description": "Article on her deadly plane crash.", "topic": "Top/Arts/People/A/Aaliyah/Articles_and_Interviews", "url": "http://www.mtv.com/news/1448402/aaliyah-killed-in-plane-crash/"} +{"d:Title": "Aaliya Fans 2001", "d:Description": "A gathering place for Aaliyah fans.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Aaliyah_Fans_2001/"} +{"d:Title": "Aaliyah Rest In Peace Board", "d:Description": "Aaliyah Dana Haughton.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://aaliyahlova.suddenlaunch.com/"} +{"d:Title": "Miss_Aaliyah", "d:Description": "Yahoo!Group for fans remembering Aaliyah.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/Miss_Aaliyah/"} +{"d:Title": "Aaliyah", "d:Description": "Yahoo!Groups mailing list of Aaliyah information and news.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/aaliyah/"} +{"d:Title": "Aaliyahs Online Street Team", "d:Description": "Large mailing list for announcements and news relating to Aaliyah.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/AaliyahsOnlineStreetTeam/"} +{"d:Title": "Group of Aaliyah", "d:Description": "Aaliyah fans mailing list.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://launch.groups.yahoo.com/group/group_of_aaliyah/"} +{"d:Title": "The Aaliyah Message Board", "d:Description": "Message board dedicated to Aaliyah.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://www.network54.com/Forum/151915/"} +{"d:Title": "Aaliyah", "d:Description": "Aaliyah forum.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://aaliyah81873.yuku.com/"} +{"d:Title": "Aaliyah BBS", "d:Description": "Forum for discussing the artist and her music, videos, and movies.", "topic": "Top/Arts/People/A/Aaliyah/Chats_and_Forums", "url": "http://aaliyahdh.proboards.com/"} +{"d:Title": "The Aaliyah Experience", "d:Description": "Profile, news, images, audio, a chatroom, quiz, discography, fan art, videos, rumors, and appearances.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://www.angelfire.com/ar/AaliyahsSpot/Aaliyah.html"} +{"d:Title": "Aaliyah World", "d:Description": "Biography, audio and video downloads, photo galleries, news, message board, and polls.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://www.angelfire.com/rnb/Aaliyahsworld/"} +{"d:Title": "Jiffy J's Dedication to Aaliyah", "d:Description": "Contains a biography, lyrics, photo gallery, and a discography.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://www.angelfire.com/al2/liyah/"} +{"d:Title": "Aaliyah: More Than A Woman", "d:Description": "Biography, discography, lyrics, photos, audio and video content, interviews, polls and quizzes.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://www.angelfire.com/celeb2/aaliyah/index.html"} +{"d:Title": "In Memory of Aaliyah", "d:Description": "Features photographs and biography.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://aaliyah.webz.cz/"} +{"d:Title": "Aaliyah's Joint", "d:Description": "Lyrics, news, photographs, video, audio, fan on the month, screensavers, obsession test,and an Aaliyah script for MIRC.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://www.angelfire.com/bc/aaliyahsjoint/"} +{"d:Title": "Heart 'N' Soul Of Aaliyah", "d:Description": "Tribute in memory of Aaliyah.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://heartnsoulofaaliyah.tripod.com/"} +{"d:Title": "Aaliyah Dana Haughton", "d:Description": "Facts, discography, lyrics and news.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://its_all_bout_howie.tripod.com/aaliyah/"} +{"d:Title": "Aaliyah.com", "d:Description": "Recent news.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://www.aaliyah.com/"} +{"d:Title": "In Memory of Aaliyah", "d:Description": "Biography, pictures, guest book and quotes.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://aaliyah1inamillon.tripod.com/aaliyah/"} +{"d:Title": "Aaliyah - Tributing a Fallen Angel", "d:Description": "Includes biography, photos, interviews, and reviews on her book and movie roles.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://www.angelfire.com/sc3/mysangl/sample/mainpage.html"} +{"d:Title": "Aaliyah&Tupac", "d:Description": "Includes biography, discography, news, photo gallery, and facts.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://aaliyahtupac.4t.com/"} +{"d:Title": "Aaliyah Eternally", "d:Description": "Biography, lyrics, poetry, photos, and poll.", "topic": "Top/Arts/People/A/Aaliyah/Fan_Pages", "url": "http://aaliyah0012.tripod.com/aaliyah/"} +{"d:Title": "Abbott&Costello History", "d:Description": "History of the comic duo.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.1hollywood.com/Celebrities/abbott_costello.htm"} +{"d:Title": "The Abbott and Costello Home Page", "d:Description": "The official page features the latest news and information, including new product and video releases, special events, televised specials and marathons, anything and everything pertaining to the boys.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.abbottandcostello.net/"} +{"d:Title": "IMDb: Bud Abbott", "d:Description": "Filmography, biography, photo gallery and news articles.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.imdb.com/name/nm0007941/"} +{"d:Title": "Rotten Tomatoes: Bud Abbott", "d:Description": "Filmography and photos.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.rottentomatoes.com/celebrity/bud_abbott/"} +{"d:Title": "Rotten Tomatoes: Lou Costello", "d:Description": "Filmography and photos.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.rottentomatoes.com/celebrity/lou_costello/"} +{"d:Title": "Abbott and Costello Quarterly", "d:Description": "The web edition of the journal of the official Abbott and Costello Fan Club provides fans with the latest news, excerpts from the current issue and a fan forum.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.abbottandcostellofanclub.com/club.html"} +{"d:Title": "The Abbott and Costello Official Fan Club", "d:Description": "Features news, TV schedules, biographies, FAQs, merchandise and a transcript of \"Who's on First?\".", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.abbottandcostellofanclub.com/"} +{"d:Title": "Yahoo! Movies: Bud Abbott", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://movies.yahoo.com/person/bud-abbott/"} +{"d:Title": "Yahoo! Movies: Lou Costello", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://movies.yahoo.com/person/lou-costello/"} +{"d:Title": "IMDb: Lou Costello", "d:Description": "Filmography, photos, biography and awards.", "topic": "Top/Arts/People/A/Abbott_and_Costello", "url": "http://www.imdb.com/name/nm0182579/"} +{"d:Title": "IMDb: J.J. Abrams", "d:Description": "Biography, filmography, image gallery, video clips and news articles.", "topic": "Top/Arts/People/A/Abrams,_J.J.", "url": "http://www.imdb.com/name/nm0009190/"} +{"d:Title": "Phil Adams", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/A/Adams,_Phil", "url": "http://www.imdb.com/name/nm0011268/"} +{"d:Title": "Stanley Adams", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/A/Adams,_Stanley", "url": "http://www.imdb.com/name/nm0011366/"} +{"d:Title": "Rumor Has It", "d:Description": "A guide to Ben Affleck's upcoming television appearances, movie projects, magazine covers and articles.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://affleck.tvheaven.com/"} +{"d:Title": "Digital Hit: Ben Affleck", "d:Description": "A look at the actor/writer's life and career.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://www.digitalhit.com/cr/benaffleck"} +{"d:Title": "Topix: Ben Affleck", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://www.topix.com/who/ben-affleck"} +{"d:Title": "Topix: Ben Affleck", "d:Description": "News about Ben Affleck, collected from various sources on the web.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://www.topix.com/rss/who/ben-affleck.xml"} +{"d:Title": "Thespian Net: Ben Affleck", "d:Description": "Information, credits, and pictures.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://www.thespiannet.com/actors/A/affleck_ben/ben_affleck.shtml"} +{"d:Title": "Rotten Tomatoes: Ben Affleck", "d:Description": "Links to news and reviews, photos, forums.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://www.rottentomatoes.com/celebrity/ben_affleck/"} +{"d:Title": "AskMen: Ben Affleck", "d:Description": "Pictures, biography, commentary and links on the actor.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://www.askmen.com/celebs/men/entertainment_60/61_ben_affleck.html"} +{"d:Title": "IMDb: Ben Affleck", "d:Description": "Includes filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/A/Affleck,_Ben", "url": "http://www.imdb.com/name/nm0000255/"} +{"d:Title": "Ben Matt Affleck Damon", "d:Description": "Pictures, biography and filmography of the two actors.", "topic": "Top/Arts/People/A/Affleck,_Ben/Fan_Pages", "url": "http://www.angelfire.com/celeb/benmattaffleckdamon/index.htm"} +{"d:Title": "It's All About The Benjamin", "d:Description": "A good source for news, information and pictures.", "topic": "Top/Arts/People/A/Affleck,_Ben/Fan_Pages", "url": "http://www.angelfire.com/biz6/athena2/"} +{"d:Title": "Unofficial Ben and Casey Affleck Site, The", "d:Description": "Dedicated to the brothers, Affleck. Lots of up-to-date news, pictures. There is also a message board and store.", "topic": "Top/Arts/People/A/Affleck,_Ben/Fan_Pages", "url": "http://members.tripod.com/~MelinaM/"} +{"d:Title": "Ben Affleck Movie Database", "d:Description": "A guide to all of his movies. Information about upcoming films along with picture galleries and quotes.", "topic": "Top/Arts/People/A/Affleck,_Ben/Fan_Pages", "url": "http://www.erica-smith.scriptmania.com/"} +{"d:Title": "Crazy for Ben", "d:Description": "Includes biography, news, filmography, image gallery, awards, and quotes.", "topic": "Top/Arts/People/A/Affleck,_Ben/Fan_Pages", "url": "http://www.angelfire.com/celeb2/crazyforben/"} +{"d:Title": "The Movie Times: Ben Affleck", "d:Description": "Message Board, picture gallery, links, box office statistics.", "topic": "Top/Arts/People/A/Affleck,_Ben/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?baffleck"} +{"d:Title": "IMDb: Danny Aiello", "d:Description": "Includes filmography, full credits and links to pictures, past works and fan information.", "topic": "Top/Arts/People/A/Aiello,_Danny", "url": "http://www.imdb.com/name/nm0000732/"} +{"d:Title": "Topix: Danny Aiello", "d:Description": "Collection of news articles from online sources.", "topic": "Top/Arts/People/A/Aiello,_Danny", "url": "http://www.topix.com/who/danny-aiello"} +{"d:Title": "IMDb: David Akin", "d:Description": "Filmography.", "topic": "Top/Arts/People/A/Akin,_David", "url": "http://imdb.com/name/nm0015358/"} +{"d:Title": "TV and Movie Trivia Tribute: Alan Alda", "d:Description": "Celebrity page with pictures, links, trivia and merchandise.", "topic": "Top/Arts/People/A/Alda,_Alan", "url": "http://www.triviatribute.com/alanalda.html"} +{"d:Title": "PBS: Scientific American Frontiers: Host Alan Alda", "d:Description": "This is the place to learn more about Alan Alda, the dynamic host of Scientific American Frontiers, and his enthusiasm for science.", "topic": "Top/Arts/People/A/Alda,_Alan", "url": "http://www.pbs.org/saf/alan.htm"} +{"d:Title": "IMDb: Alan Alda", "d:Description": "Includes filmography, biography, and upcoming television appearances.", "topic": "Top/Arts/People/A/Alda,_Alan", "url": "http://www.imdb.com/name/nm0000257/"} +{"d:Title": "IMDb: Jason Alexander", "d:Description": "Includes biography, filmography, photos, news articles, and trivia.", "topic": "Top/Arts/People/A/Alexander,_Jason", "url": "http://www.imdb.com/name/nm0004517/"} +{"d:Title": "Tatyana Ali", "d:Description": "Biography, discography, news and links.", "topic": "Top/Arts/People/A/Ali,_Tatyana", "url": "http://members.tripod.com/~tatyana_ali/main.html"} +{"d:Title": "IMDB: Tatyana Ali", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/People/A/Ali,_Tatyana", "url": "http://www.imdb.com/name/nm0004700"} +{"d:Title": "AskMen.com : Tatyana Ali", "d:Description": "Pictures, biography, and rankings.", "topic": "Top/Arts/People/A/Ali,_Tatyana", "url": "http://www.askmen.com/celebs/women/singer/32_tatyana_ali.html"} +{"d:Title": "All Music Guide: Tatyana Ali", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/A/Ali,_Tatyana", "url": "http://www.allmusic.com/artist/tatyana-ali-p312073"} +{"d:Title": "The Official Website of Steve Allen", "d:Description": "Founder of the Tonight Show. Includes biography, photo album, information on his writing and entertainment career.", "topic": "Top/Arts/People/A/Allen,_Steve", "url": "http://www.steveallen.com/"} +{"d:Title": "IMDb: Steve Allen", "d:Description": "Includes filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/A/Allen,_Steve", "url": "http://www.imdb.com/name/nm0006752/"} +{"d:Title": "Yahoo! Groups: Ted Allen Fan Group", "d:Description": "Message board for viewers who like the celebrity. [Yahoo! registration required.]", "topic": "Top/Arts/People/A/Allen,_Ted", "url": "http://movies.groups.yahoo.com/group/Ted_Allen_Fan_Group/"} +{"d:Title": "IMDb: Ted Allen", "d:Description": "Biography, filmography and pictures.", "topic": "Top/Arts/People/A/Allen,_Ted", "url": "http://www.imdb.com/name/nm1388270/"} +{"d:Title": "Tim Allen", "d:Description": "Biography.", "topic": "Top/Arts/People/A/Allen,_Tim", "url": "http://www.angelfire.com/id/timallen/"} +{"d:Title": "Tim Allen (@The Home Improvement Zone)", "d:Description": "Contains biography, pages on each of Tim's movies, sounds, store, pictures, and news.", "topic": "Top/Arts/People/A/Allen,_Tim", "url": "http://www.angelfire.com/mi2/HomeImprovement/TimAllen.html"} +{"d:Title": "Tim Allen's Hollywood", "d:Description": "Hollywood locales where Tim has been spotted around town.", "topic": "Top/Arts/People/A/Allen,_Tim", "url": "http://www.seeing-stars.com/StarIndexes/TimAllen.shtml"} +{"d:Title": "Tim Allen", "d:Description": "Personal site includes pictures and information on his career in being an actor and an author.", "topic": "Top/Arts/People/A/Allen,_Tim", "url": "http://www.timallen.com/"} +{"d:Title": "Topix: Tim Allen", "d:Description": "News about performer from online sources.", "topic": "Top/Arts/People/A/Allen,_Tim", "url": "http://www.topix.com/who/tim-allen"} +{"d:Title": "Rotten Tomatoes: Tim Allen", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/People/A/Allen,_Tim", "url": "http://www.rottentomatoes.com/celebrity/tim_allen/"} +{"d:Title": "IMDb: Tim Allen", "d:Description": "Includes filmography, biography, photos, news articles, and upcoming TV appearances.", "topic": "Top/Arts/People/A/Allen,_Tim", "url": "http://www.imdb.com/name/nm0000741/"} +{"d:Title": "Best Films: Woody Allen", "d:Description": "Filmography with ratings, stills from the films, and advertisements.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://members.tripod.com/bestfilms/woody.htm"} +{"d:Title": "Woody Allen", "d:Description": "Reviews of Allen's films, books, and music. Includes pictures, sound samples, links, and scripts.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://torp.priv.no/woody/"} +{"d:Title": "Lifeisajoke.com: Woody Allen Humorous Quotes", "d:Description": "A collection of sayings attributed to Woody Allen.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.lifeisajoke.com/woodyswit_html.htm"} +{"d:Title": "Seven Interviews with Woody Allen", "d:Description": "Philm Freax presents illustrated interviews.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.ibiblio.org/mal/MO/philm/woody/"} +{"d:Title": "Yale Review of Books: The Unruly Life of Woody Allen", "d:Description": "Book by Marion Meade is reviewed by Adrian Bonenberger.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.yale.edu/yrb/summer00/review1.htm"} +{"d:Title": "Hour Bonus #6: Woody Allen", "d:Description": "Trivia questions that challenge the moviegoer to find odd connections in Allen's work. Answers are provided.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://wso.williams.edu/orgs/trivia/snapper/hours/woody.html"} +{"d:Title": "Bibliography of Materials in the UC Berkeley Libraries", "d:Description": "A comprehencive list of books and journal articles, with a section arranged by individual films.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.lib.berkeley.edu/MRC/woodyallen.html"} +{"d:Title": "Woody Allen FAQ", "d:Description": "An archive of facts with lists Allen's films and books, and links to Web resources.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.samurajdata.se/~cj/woody/faq.html"} +{"d:Title": "Washington Post: Woody Allen Filmography", "d:Description": "Concise list of credits includes Oscar nominations.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/woody_allen.htm"} +{"d:Title": "Columbia University Record: Woody Allen and Music in the Air", "d:Description": "Article about Allen's visit to Columbia while making a film.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.columbia.edu/cu/record/archives/vol21/vol21_iss8/record2108.19.html"} +{"d:Title": "Woody Allen Quotes", "d:Description": "Collection of funny quotes attributed to Woody Allen.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.allgreatquotes.com/woody_allen_quotes.shtml"} +{"d:Title": "Yahoo! Groups: The Woody Allen Adorers", "d:Description": "Online club offers picture albums, messages about Allen's latest activities, and a chance to discuss his movies.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://movies.groups.yahoo.com/group/thewoodyallenadorers/"} +{"d:Title": "Topix: Woody Allen", "d:Description": "News about the actor continually updated from around the net.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.topix.com/who/woody-allen"} +{"d:Title": "Yahoo Groups: Woody Allen Club", "d:Description": "Fan club for Woody Allen and his films. Fan messages and chat room.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://movies.groups.yahoo.com/group/woodyallen/"} +{"d:Title": "New York: Woody Allen: Playing It Again", "d:Description": "New York Magazine interviews Woody Allen.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://nymag.com/nymetro/news/people/features/2415/"} +{"d:Title": "Rotten Tomatoes: Woody Allen", "d:Description": "Filmography with ratings, links to reviews and news, buying guide to Allen's movies.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.rottentomatoes.com/celebrity/woody_allen/"} +{"d:Title": "Watching Movies with Woody Allen", "d:Description": "New York Times interview. Allen discusses the great American movies, particularly \"Shane.\" Site requires (free) registration.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.nytimes.com/2001/08/03/movies/watching-movies-with-woody-allen-coming-back-to-shane.html"} +{"d:Title": "IMDb: Woody Allen", "d:Description": "Filmography, biography, photographs, and quotations.", "topic": "Top/Arts/People/A/Allen,_Woody", "url": "http://www.imdb.com/name/nm0000095/"} +{"d:Title": "Kirstie Alley", "d:Description": "Biography - StarLink Celebrity.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://www.kcweb.com/super/k_alley.htm"} +{"d:Title": "Kirstie Alley Buys Presley Mansion", "d:Description": "News article about actress' purchase of the Clearwater, Florida, home of Lisa Presley, discussing both women's involvement in Scientology.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://www.sptimes.com/News/051700/NorthPinellas/Kirstie_Alley_buys_Pr.shtml"} +{"d:Title": "TV and Movie Trivia Tribute: Kirstie Alley", "d:Description": "Celebrity page with pictures, links, trivia and merchandise.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://www.triviatribute.com/kirstiealley.html"} +{"d:Title": "Kirstie Alley Detailed Biography and Filmography", "d:Description": "Fan site includes biography, filmography, news, photos, and message board.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://www.angelfire.com/celeb2/fantasticstars/"} +{"d:Title": "Kirstie Alley", "d:Description": "The Movie Times.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?kalley"} +{"d:Title": "Thespian Net Presents Kirstie Alley", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://www.thespiannet.com/actresses/A/alley_kirstie/kirstie_alley.shtml"} +{"d:Title": "IMDb: Kirstie Alley", "d:Description": "Includes filmography, biography, photos, news articles, and upcoming TV appearances.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://www.imdb.com/name/nm0000263/"} +{"d:Title": "Fat, Funny, Fabulous", "d:Description": "Article by Ann Marie McQueen, Ottawa Sun.", "topic": "Top/Arts/People/A/Alley,_Kirstie", "url": "http://jam.canoe.com/Movies/Artists/A/Alley_Kirstie/2005/03/17/963894.html"} +{"d:Title": "Tribute to Kirstie Alley", "d:Description": "Photos.", "topic": "Top/Arts/People/A/Alley,_Kirstie/Image_Galleries", "url": "http://members.tripod.com/~FUBARED/kristie2.htm"} +{"d:Title": "TVGuide.com: Maria Conchita Alonso", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/A/Alonso,_Maria_Conchita", "url": "http://www.tvguide.com/celebrities/maria-conchita-alonso/164041"} +{"d:Title": "IMDb: Maria Conchita Alonso", "d:Description": "Includes filmography, mini biography, photos, and links.", "topic": "Top/Arts/People/A/Alonso,_Maria_Conchita", "url": "http://www.imdb.com/name/nm0000744/"} +{"d:Title": "Laurie Anderson", "d:Description": "Official site offers a detailed biography, news, photos and videos.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.laurieanderson.com/"} +{"d:Title": "America's Multi-Mediatrix", "d:Description": "Detailed article by Pamela McCorduck.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.maths.lth.se/matematiklu/personal/apas/laurie/pl.html"} +{"d:Title": "DaveMcNally.com: Laurie Anderson", "d:Description": "Archive of lyrics.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.davemcnally.com/Lyrics/LaurieAnderson/"} +{"d:Title": "MetroActive Stage interview", "d:Description": "A Speed of Darkness era interview.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.metroactive.com/papers/sonoma/08.20.98/laurie-anderson-9833.html"} +{"d:Title": "Otherminds: Laurie Anderson", "d:Description": "Brief biography.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.otherminds.org/shtml/Anderson.shtml"} +{"d:Title": "Spreken met Twee Tongen", "d:Description": "Features current and future Laurie Anderson events in Europe.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.angelika-maschke.de/spreken.html"} +{"d:Title": "Laurie Anderson", "d:Description": "Biography and discography from a booking agency.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.novaconcerts.de/laurieanderson.html"} +{"d:Title": "Laurie Anderson Club", "d:Description": "Yahoo club with several hundred fans of the multi-media, performance artist.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://launch.groups.yahoo.com/group/laurieandersonclub/"} +{"d:Title": "All Music Guide: Laurie Anderson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/A/Anderson,_Laurie", "url": "http://www.allmusic.com/artist/laurie-anderson-p3545"} +{"d:Title": "Abstracts.net: Pamela Anderson", "d:Description": "Thumbnailed gallery, filmography, biography, and news.", "topic": "Top/Arts/People/A/Anderson,_Pamela", "url": "http://abstracts.net/pamela-anderson/"} +{"d:Title": "PamelaAnderson.com", "d:Description": "Official site includes news, events, biography, image gallery, merchandise, and fan club information.", "priority": "1", "topic": "Top/Arts/People/A/Anderson,_Pamela", "url": "http://www.pamelaanderson.com/"} +{"d:Title": "Rotten Tomatoes: Pamela Anderson Lee", "d:Description": "Includes biography, photo gallery, and forum.", "topic": "Top/Arts/People/A/Anderson,_Pamela", "url": "http://www.rottentomatoes.com/celebrity/pamela_anderson/"} +{"d:Title": "IMDb: Pamela Anderson", "d:Description": "Includes biography, filmography, awards, photo gallery, and news articles.", "topic": "Top/Arts/People/A/Anderson,_Pamela", "url": "http://www.imdb.com/name/nm0000097/"} +{"d:Title": "Undying Pamela Anderson", "d:Description": "Selection of thumbnailed photos, and biography for the actress.", "topic": "Top/Arts/People/A/Anderson,_Pamela/Image_Galleries", "url": "http://www.undying.com/celeb/Pamela_Anderson"} +{"d:Title": "Adoring Pamela Anderson Lee", "d:Description": "Pictures in a thumbnailed image gallery, a biography, and links.", "topic": "Top/Arts/People/A/Anderson,_Pamela/Image_Galleries", "url": "http://www.adoring.net/pamelaandersonlee/"} +{"d:Title": "Pamela Anderson Galleries", "d:Description": "Nine galleries of thumbnailed photos.", "topic": "Top/Arts/People/A/Anderson,_Pamela/Image_Galleries", "url": "http://cig.50megs.com/pampics/pamgallery1.html"} +{"d:Title": "ChicagoBachelor.com - Pamela Anderson", "d:Description": "Browse a gallery of free pictures that can be sent as postcards.", "topic": "Top/Arts/People/A/Anderson,_Pamela/Image_Galleries", "url": "http://www.chicagobachelor.com/gallery/album03"} +{"d:Title": "Locus: Poul Anderson: Fifty Years of Science Fiction", "d:Description": "Interview illustrated by a photograph of Anderson.", "topic": "Top/Arts/People/A/Anderson,_Poul", "url": "http://www.locusmag.com/1997/Issues/04/Anderson.html"} +{"d:Title": "The Trouble Twisters", "d:Description": "Ernest Lilley's SFRevu review of the collection of David Falkayn Polesotechnic League stories.", "topic": "Top/Arts/People/A/Anderson,_Poul", "url": "http://www.sfrevu.com/ISSUES/1998/9807/DEFAULT.HTM"} +{"d:Title": "Belated Reviews: Poul Anderson", "d:Description": "Reviews of several Anderson works by Dani Zweig.", "topic": "Top/Arts/People/A/Anderson,_Poul", "url": "http://www-users.cs.york.ac.uk/~susan/sf/dani/027.htm"} +{"d:Title": "Richard Dean Anderson", "d:Description": "Includes news, photos, and chat. Covers the shows the actor has appeared on, including MacGyver, Stargate SG-1, and General Hospital.", "topic": "Top/Arts/People/A/Anderson,_Richard_Dean", "url": "http://rdanderson.com/"} +{"d:Title": "RDA Homepage", "d:Description": "Fan site offering pictures, articles, sounds, a biography, and fan fiction.", "topic": "Top/Arts/People/A/Anderson,_Richard_Dean", "url": "http://www.xs4all.nl/~sutpmar/index1.html"} +{"d:Title": "IMDb: Richard Dean Anderson", "d:Description": "Includes filmography, awards, profile, and photos.", "topic": "Top/Arts/People/A/Anderson,_Richard_Dean", "url": "http://www.imdb.com/name/nm0000760/"} +{"d:Title": "IMDb: Anthony Andrews", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/People/A/Andrews,_Anthony", "url": "http://www.imdb.com/name/nm0000762/"} +{"d:Title": "The World of Julie Andrews", "d:Description": "A fan site with information about the actress, a mailing list as well as a gallery of pictures and links to other sites.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.angelfire.com/la2/jandrews/"} +{"d:Title": "Thespian Net presents Julie Andrews", "d:Description": "A biography of the actress", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.thespiannet.com/actresses/A/andrews_julie/index.shtml"} +{"d:Title": "Jules Rocks", "d:Description": "Fan site with biography, pictures, movie list, guestbook, and links to many articles.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.angelfire.com/stars3/julesrocks/"} +{"d:Title": "The Movie Times: Julie Andrews", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jandrews"} +{"d:Title": "Topix: Julie Andrews", "d:Description": "News about the performer continually updated from around the net.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.topix.com/who/julie-andrews"} +{"d:Title": "Topix: Julie Andrews", "d:Description": "News about Julie Andrews, collected from various sources on the web.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.topix.com/rss/who/julie-andrews.xml"} +{"d:Title": "Julie Andrews at Reel Classics", "d:Description": "A site dedicated to Andrews' film career; with a biography, photos, sound and video clips, and reviews.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.reelclassics.com/Actresses/Julie_Andrews/juliea.htm"} +{"d:Title": "All Music Guide: Julie Andrews", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.allmusic.com/artist/julie-andrews-p14855"} +{"d:Title": "IMDb: Julie Andrews", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/People/A/Andrews,_Julie", "url": "http://www.imdb.com/name/nm0000267/"} +{"d:Title": "Viva Ann-Margret", "d:Description": "Contains lots of photos", "topic": "Top/Arts/People/A/Ann-Margret", "url": "http://www.angelfire.com/biz2/vivaannmargret/index.html"} +{"d:Title": "Ann-Margret Official Web Site", "d:Description": "All about the Swedish-American superstar.", "topic": "Top/Arts/People/A/Ann-Margret", "url": "http://www.ann-margret.com/"} +{"d:Title": "Ann-Margret - An Unofficial Homepage", "d:Description": "Fan page with videos, photos, links, and information.", "topic": "Top/Arts/People/A/Ann-Margret", "url": "http://www.ann-margret-from-sweden.com/"} +{"d:Title": "All Music Guide: Ann-Margret", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/A/Ann-Margret", "url": "http://www.allmusic.com/artist/ann-margret-p52075"} +{"d:Title": "IMDb: Ann-Margret", "d:Description": "Includes filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/A/Ann-Margret", "url": "http://www.imdb.com/name/nm0000268/"} +{"d:Title": "Apollo 9", "d:Description": "Pages include; history, news, pics and links. Video and music links have copyright-free downloadable sound and movie files.", "topic": "Top/Arts/People/A/Ant,_Adam", "url": "http://www.angelfire.com/punk3/apollo9/"} +{"d:Title": "Adam Ant", "d:Description": "Where the official news service is based. Message-board, history, pictures, and multimedia are among the items featured.", "priority": "1", "topic": "Top/Arts/People/A/Ant,_Adam", "url": "http://www.adam-ant.net/"} +{"d:Title": "Prince Charming", "d:Description": "Many pictures. Lyrics and guitar tabs for various tracks, various related links.", "topic": "Top/Arts/People/A/Ant,_Adam", "url": "http://www.antlady.nl/"} +{"d:Title": "Ant Lib Online", "d:Description": "The Ant Liberation Front hosts this, the largest fan club related to the artist. News, reviews, articles, MP3 sound files, forum, links, guestbook, and merchandise.", "topic": "Top/Arts/People/A/Ant,_Adam", "url": "http://www.adamandtheants.org/"} +{"d:Title": "Nedermier: Adam Ant fansite", "d:Description": "Previously called Netherant. This site features news, photos, logos, lyrics, comics, discussion group, discography, guestbook, merchandise, and links.", "topic": "Top/Arts/People/A/Ant,_Adam", "url": "http://www.nedermier.nl/"} +{"d:Title": "All Music Guide: Adam Ant", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/A/Ant,_Adam", "url": "http://www.allmusic.com/artist/adam-ant-p3560"} +{"d:Title": "IMDb: Adam Ant", "d:Description": "Filmography.", "topic": "Top/Arts/People/A/Ant,_Adam", "url": "http://www.imdb.com/name/nm0001914/"} +{"d:Title": "Lyrics Life: Adam Ant Lyrics", "d:Description": "Over 50 lyrics of songs performed by Adam Ant.", "topic": "Top/Arts/People/A/Ant,_Adam/Lyrics", "url": "http://www.lyricslife.com/Adam-ant"} +{"d:Title": "Adam and the Antz", "d:Description": "Chicago-based tribute band that broke up in 2000; includes photo gallery, audio/video clips, history of their gigs and links. Has a discography of the original band.", "topic": "Top/Arts/People/A/Ant,_Adam/Tribute_Bands", "url": "http://www.red-noise.com/ants/"} +{"d:Title": "Lysette Anthony Picture Gallery", "d:Description": "A collection of 40+ magazine photos, publicity shots, movie stills and other pictures.", "topic": "Top/Arts/People/A/Anthony,_Lysette", "url": "http://singers.freeservers.com/anthony/index.html"} +{"d:Title": "Yahoo Groups: Lysette Anthony", "d:Description": "A Yahoo based fan club with message board, chat room, photos, news, and links.", "topic": "Top/Arts/People/A/Anthony,_Lysette", "url": "http://groups.yahoo.com/group/lysetteanthony/"} +{"d:Title": "IMDb: Lysette Anthony", "d:Description": "Biography, pictures, filmography, and upcoming TV appearances.", "topic": "Top/Arts/People/A/Anthony,_Lysette", "url": "http://www.imdb.com/name/nm0000771/"} +{"d:Title": "All Movie Guide: Lysette Anthony", "d:Description": "Includes vital statistics, biography, filmography, and links.", "topic": "Top/Arts/People/A/Anthony,_Lysette", "url": "http://www.allmovie.com/artist/lysette-anthony-1898"} +{"d:Title": "TVGuide.com: Lysette Anthony", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/A/Anthony,_Lysette", "url": "http://www.tvguide.com/celebrities/lysette-anthony/163294"} +{"d:Title": "Unofficial Gregg Araki Site", "d:Description": "Fan site with interviews, images, filmography, and movie details.", "topic": "Top/Arts/People/A/Araki,_Gregg", "url": "http://www.angelfire.com/az/gregaraki/"} +{"d:Title": "Arbucklemania", "d:Description": "One of the geniuses of the silent cinema, Roscoe \"Fatty\" Arbuckle is also the least understood.", "topic": "Top/Arts/People/A/Arbuckle,_Fatty", "url": "http://www.silent-movies.com/Arbucklemania/home.html"} +{"d:Title": "\u2018Fatty\u2019 Arbuckle and Hollywood\u2019s first scandal", "d:Description": "The BBC summarises the scandal that broke Roscoe Arbuckle.", "topic": "Top/Arts/People/A/Arbuckle,_Fatty", "url": "http://www.bbc.co.uk/news/magazine-14640719"} +{"d:Title": "The Arrow Interviews Asia Argento", "d:Description": "A short interviews plus images and background information.", "topic": "Top/Arts/People/A/Argento,_Asia", "url": "http://www.joblo.com/arrow/interview2.htm"} +{"d:Title": "Tabula Rasa: Asia Argento Interview", "d:Description": "Steve Proposch interviews the actress at the 2003 Melbourne Underground Film Festival.", "topic": "Top/Arts/People/A/Argento,_Asia", "url": "http://www.tabula-rasa.info/Horror/AsiaArgento.html"} +{"d:Title": "IMDb: Asia Argento", "d:Description": "Includes filmography, mini biography, photos, awards, and news articles.", "topic": "Top/Arts/People/A/Argento,_Asia", "url": "http://www.imdb.com/name/nm0000782/"} +{"d:Title": "IMDb: Alan Arkin", "d:Description": "Includes filmography, biography, photos, news articles, and upcoming television appearances.", "topic": "Top/Arts/People/A/Arkin,_Alan", "url": "http://www.imdb.com/name/nm0000273/"} +{"d:Title": "Making It Up: Alan Arkin Celebrates Second City's Alumni Week", "d:Description": "An article by Jim Slotek of the Toronto Sun.", "topic": "Top/Arts/People/A/Arkin,_Alan", "url": "http://jam.canoe.com/Movies/Artists/A/Alan_Arkin/"} +{"d:Title": "David Arquette's Fan site", "d:Description": "Includes filmography, ICQ list, interviews and links.", "topic": "Top/Arts/People/A/Arquette,_David", "url": "http://members.tripod.com/~dewey_riley/index.html"} +{"d:Title": "Thespian Net: David Arquette", "d:Description": "Biography, credits and pictures.", "topic": "Top/Arts/People/A/Arquette,_David", "url": "http://www.thespiannet.com/actors/A/arquette_david/index.shtml"} +{"d:Title": "All-Reviews.com - David Arquette", "d:Description": "Filmography with movie reviews and ratings.", "topic": "Top/Arts/People/A/Arquette,_David", "url": "http://www.all-reviews.com/actors-2/David-Arquette.htm"} +{"d:Title": "Jen's David Arquette Site", "d:Description": "Includes image galleries, quotations, reviews, and a brief biography.", "topic": "Top/Arts/People/A/Arquette,_David", "url": "http://daveya.8m.net/"} +{"d:Title": "Arquette on the Net", "d:Description": "Includes pictures, filmography, biography, fan mail addresses, news and events.", "topic": "Top/Arts/People/A/Arquette,_David", "url": "http://www.arquetteonthenet.net/"} +{"d:Title": "IMDb: David Arquette", "d:Description": "Includes filmography, biography, photos, news articles, and upcoming television appearances.", "topic": "Top/Arts/People/A/Arquette,_David", "url": "http://www.imdb.com/name/nm0000274/"} +{"d:Title": "Voice Chasers - Ed Asner", "d:Description": "Voice credits in animation.", "topic": "Top/Arts/People/A/Asner,_Edward", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1034"} +{"d:Title": "The-Numbers - Edward Asner", "d:Description": "Filmography of Edward Asner as well as detailed box office information for each movie he appeared in.", "topic": "Top/Arts/People/A/Asner,_Edward", "url": "http://www.the-numbers.com/people/0EASN.php"} +{"d:Title": "Who 2: Ed Asner Profile", "d:Description": "Photo, \"vital stats\", and links.", "topic": "Top/Arts/People/A/Asner,_Edward", "url": "http://www.who2.com/bio/ed-asner"} +{"d:Title": "IMDb: Edward Asner", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/People/A/Asner,_Edward", "url": "http://www.imdb.com/name/nm0000799/"} +{"d:Title": "Meredy's Fred Astaire Trivia Mania", "d:Description": "Test your knowledge about the actor with this trivia site.", "topic": "Top/Arts/People/A/Astaire,_Fred", "url": "http://www.meredy.com/fredtriv.html"} +{"d:Title": "Fred Astaire's Hollywood", "d:Description": "L.A. locations related to Fred Astaire's life and death.", "topic": "Top/Arts/People/A/Astaire,_Fred", "url": "http://www.seeing-stars.com/StarIndexes/FredAstaire.shtml"} +{"d:Title": "Fred Astaire - Dance on Air", "d:Description": "A salute to the Silver Screen's greatest dancin' man. Includes songs, picture galleries, multimedia, biography, and films.", "topic": "Top/Arts/People/A/Astaire,_Fred", "url": "http://themave.com/Astaire/"} +{"d:Title": "Finian's Rainbow: A forgotten classic musical", "d:Description": "A critical appreciation of Fred Astaire's last movie musical", "topic": "Top/Arts/People/A/Astaire,_Fred", "url": "http://www.morethings.com/fan/finians_rainbow.htm"} +{"d:Title": "Fred and Ginger's Movie Magic", "d:Description": "A photo, poster, and writeup on every Fred and Ginger film, from Sandcastle V.I.", "topic": "Top/Arts/People/A/Astaire,_Fred", "url": "http://www.sandcastlevi.com/movies/fredgngr.htm"} +{"d:Title": "Reel Classics: Fred Astaire", "d:Description": "Contains a biography, photographs, sound and video clips, information about his movies and co-stars (especially Ginger Rogers), reviews, and background information.", "topic": "Top/Arts/People/A/Astaire,_Fred", "url": "http://www.reelclassics.com/Actors/Astaire/astaire.htm"} +{"d:Title": "IMDb: Fred Astaire", "d:Description": "Includes filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/A/Astaire,_Fred", "url": "http://www.imdb.com/name/nm0000001/"} +{"d:Title": "Edgar Allan Poe: Once Upon A Midnight", "d:Description": "Official site for the critically acclaimed one-man play starring John Astin as author Edgar Allan Poe.", "topic": "Top/Arts/People/A/Astin,_John", "url": "http://www.astin-poe.com/"} +{"d:Title": "TVGuide.com: John Astin", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/A/Astin,_John", "url": "http://www.tvguide.com/celebrities/john-astin/157723"} +{"d:Title": "IMDb: John Astin", "d:Description": "Includes filmography, trivia, awards, and upcoming TV appearances.", "topic": "Top/Arts/People/A/Astin,_John", "url": "http://www.imdb.com/name/nm0040014/"} +{"d:Title": "Sean Astin Official Home Page", "d:Description": "Features personal comments, home video, biography, writing, producing, and acting credits, including the films, The Goonies, Rudy, and The Lord of the Rings.", "topic": "Top/Arts/People/A/Astin,_Sean", "url": "http://www.seanastin.com/"} +{"d:Title": "The Sean Astin WebSite", "d:Description": "Provides information about the actors film career, family, current news, links, and pictures.", "topic": "Top/Arts/People/A/Astin,_Sean", "url": "http://members.tripod.com/~sean_astin_website/"} +{"d:Title": "Sean Astin's Unofficial Web Site", "d:Description": "Features a biography, filmography, gallery, news, links, and commentary.", "topic": "Top/Arts/People/A/Astin,_Sean", "url": "http://www.sean-astin.net/"} +{"d:Title": "USA Today: Astin Moves Center Stage", "d:Description": "Article about Sean Astin, his roots in show business, and his role in Lord of the Rings.", "topic": "Top/Arts/People/A/Astin,_Sean", "url": "http://www.usatoday.com/life/movies/news/2004-01-06-sean-astin_x.htm"} +{"d:Title": "The Lord of the Rings: Not So Simple Sam", "d:Description": "Sean Astin discusses the character of Samwise Gamgee and what it was like to work on the movie Lord of the Rings. Includes photographs.", "topic": "Top/Arts/People/A/Astin,_Sean", "url": "http://www.lordoftherings.net/legend/interviews/seanastin/"} +{"d:Title": "Sean Astin News: Topix", "d:Description": "News about Sean Astin continually updated from around the net.", "topic": "Top/Arts/People/A/Astin,_Sean", "url": "http://www.topix.com/who/sean-astin"} +{"d:Title": "IMDb: Sean Astin", "d:Description": "Provides pictures, biography, and filmography.", "topic": "Top/Arts/People/A/Astin,_Sean", "url": "http://www.imdb.com/name/nm0000276/"} +{"d:Title": "BBC News: Atkinson's Religious Hate Worry", "d:Description": "'Blackadder star Rowan Atkinson has launched a comedians' campaign against a government bill to outlaw inciting religious hatred.'", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://news.bbc.co.uk/1/hi/uk_politics/4073997.stm"} +{"d:Title": "Urban Cinefile: Unmasking a Clown", "d:Description": "Brief interview on the subject of 'Bean'.", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://www.urbancinefile.com.au/home/view.asp?a=318&s=Interviews"} +{"d:Title": "Wikipedia: Rowan Atkinson", "d:Description": "Provides biographical information, a career overview, a filmography, discography and links.", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://en.wikipedia.org/wiki/Rowan_Atkinson"} +{"d:Title": "BBC News: Rowan Atkinson Wins Libel Pay-Out", "d:Description": "'Comic actor Rowan Atkinson has accepted \"substantial\" libel damages over false newspaper allegations that he had been on the edge of a breakdown.'", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3649261.stm"} +{"d:Title": "BBC News: Atkinson Unharmed after Car Crash", "d:Description": "'Actor and car enthusiast Rowan Atkinson walks away unhurt from a crash at a race meeting after his vehicle collided with a barrier.'", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1430754.stm"} +{"d:Title": "BBC News: Atkinson 'Averted Air Disaster'", "d:Description": "'Rowan Atkinson, best known as TVs error-prone Mr Bean, has reportedly been involved in a dramatic mid-air rescue.'", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1239279.stm"} +{"d:Title": "BBC News: Mr Bean Crashes Sports Car", "d:Description": "'Rowan Atkinson, the star of the Mr Bean series, crashes the high-powered sports car he bought to celebrate the success of Bean: The Ultimate Disaster Movie.'", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://news.bbc.co.uk/1/hi/entertainment/485692.stm"} +{"d:Title": "PBJ Management: Rowan Atkinson", "d:Description": "Official agent's site. Offers a full list of stage, film and television credits, with a photograph.", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://www.pbjmgt.co.uk/artist/rowan-atkinson"} +{"d:Title": "The Guardian: Fears of a Clown", "d:Description": "Interview focusing on his role in 'Johnny English'.", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://www.theguardian.com/film/2003/mar/30/features.review"} +{"d:Title": "IMDb: Rowan Atkinson", "d:Description": "Biography, pictures, filmography, a message boards and links.", "topic": "Top/Arts/People/A/Atkinson,_Rowan", "url": "http://www.imdb.com/name/nm0000100/"} +{"d:Title": "The Rene Auberjonois Internet Link", "d:Description": "Official Website featuring news, biography, interviews, convention reports, TV and appearances schedule, list of credits, chatroom and discussion board.", "priority": "1", "topic": "Top/Arts/People/A/Auberjonois,_Rene", "url": "http://www.renefiles.com/"} +{"d:Title": "Constable Odo's Security Office", "d:Description": "Star Trek fanpage with profile, sounds clips, and images.", "topic": "Top/Arts/People/A/Auberjonois,_Rene", "url": "http://www.angelfire.com/scifi/Odo/"} +{"d:Title": "Who Needs Dinner", "d:Description": "Information and pictures on Odo.", "topic": "Top/Arts/People/A/Auberjonois,_Rene", "url": "http://www.odospadd.com/odolovers.html"} +{"d:Title": "Voice Chasers - Rene Auberjonois", "d:Description": "Animation voice credits and other info.", "topic": "Top/Arts/People/A/Auberjonois,_Rene", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1037"} +{"d:Title": "Odo/Kira Fan Ring", "d:Description": "Web ring concerning the actor.", "topic": "Top/Arts/People/A/Auberjonois,_Rene", "url": "http://www.webring.org/hub/okring"} +{"d:Title": "Who 2: Rene Auberjonois Profile", "d:Description": "links and data.", "topic": "Top/Arts/People/A/Auberjonois,_Rene", "url": "http://www.who2.com/bio/rene-auberjonois"} +{"d:Title": "IMDb: Rene Auberjonois", "d:Description": "Filmography, biography, trivia, publicity, TV schedule and other details.", "topic": "Top/Arts/People/A/Auberjonois,_Rene", "url": "http://www.imdb.com/name/nm0041281/"} +{"d:Title": "'Singing Cowboy' Gene Autry dead at 91 - October 2, 1998", "d:Description": "CNN Obituary.", "topic": "Top/Arts/People/A/Autry,_Gene", "url": "http://www.cnn.com/SHOWBIZ/Music/9810/02/autry.obit/index.html"} +{"d:Title": "Gene Autry's Hollywood", "d:Description": "Los Angeles locales associated with Gene Autry's life and death.", "topic": "Top/Arts/People/A/Autry,_Gene", "url": "http://www.seeing-stars.com/StarIndexes/GeneAutry.shtml"} +{"d:Title": "The Official Web Site For Gene Autry", "d:Description": "Includes news, biography, filmography, discography, and information on his many horses.", "topic": "Top/Arts/People/A/Autry,_Gene", "url": "http://www.geneautry.com/"} +{"d:Title": "All Music Guide: Gene Autry", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/A/Autry,_Gene", "url": "http://www.allmusic.com/artist/gene-autry-p1511"} +{"d:Title": "CMT: Gene Autry", "d:Description": "A biography of the country singer along with links to articles and some pictures.", "topic": "Top/Arts/People/A/Autry,_Gene", "url": "http://www.cmt.com/artists/az/autry_gene/artist.jhtml"} +{"d:Title": "IMDb: Gene Autry", "d:Description": "Includes filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/A/Autry,_Gene", "url": "http://www.imdb.com/name/nm0000810/"} +{"d:Title": "History of Rock: Frankie Avalon", "d:Description": "Biography of the Philadelphia born singer and actor.", "topic": "Top/Arts/People/A/Avalon,_Frankie", "url": "http://www.history-of-rock.com/frankie_avalon.htm"} +{"d:Title": "Frankie Avalon at Brian's Drive-In Theater", "d:Description": "Contains biographical information and photos of teen idol Frankie Avalon, star of many beach party films.", "topic": "Top/Arts/People/A/Avalon,_Frankie", "url": "http://www.briansdriveintheater.com/frankieavalon.html"} +{"d:Title": "All Music Guide: Frankie Avalon", "d:Description": "Profile of his music career, and discography with reviews.", "topic": "Top/Arts/People/A/Avalon,_Frankie", "url": "http://www.allmusic.com/artist/frankie-avalon-mn0000165855"} +{"d:Title": "IMDb: Frankie Avalon", "d:Description": "Features filmography, short biography, and photographs.", "topic": "Top/Arts/People/A/Avalon,_Frankie", "url": "http://www.imdb.com/name/nm0000811/"} +{"d:Title": "IMDB: Sam Ayers", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/People/A/Ayers,_Sam", "url": "http://www.imdb.com/name/nm0043807/"} +{"d:Title": "Seeing-Stars.com: Dan Aykroyd's Hollywood", "d:Description": "Includes details on spots where the actor has been seen around town.", "topic": "Top/Arts/People/A/Aykroyd,_Dan", "url": "http://www.seeing-stars.com/StarIndexes/DanAykroyd.shtml"} +{"d:Title": "Don Ignacio Pays Tribute to Dan Aykroyd", "d:Description": "A biography and movie reviews of the talented comedian.", "topic": "Top/Arts/People/A/Aykroyd,_Dan", "url": "http://members.tripod.com/donignacio/actaykroyd.html"} +{"d:Title": "The Movie Times: Dan Aykroyd", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/A/Aykroyd,_Dan", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?daykroyd"} +{"d:Title": "Topix: Dan Aykroyd", "d:Description": "News about the performer continually updated from around the net.", "topic": "Top/Arts/People/A/Aykroyd,_Dan", "url": "http://www.topix.com/who/dan-aykroyd"} +{"d:Title": "IMDb: Dan Aykroyd", "d:Description": "Includes filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/A/Aykroyd,_Dan", "url": "http://www.imdb.com/name/nm0000101/"} +{"d:Title": "All Movie Guide: Lew Ayres", "d:Description": "Includes biography, filmography, and awards.", "topic": "Top/Arts/People/A/Ayres,_Lew", "url": "http://www.allmovie.com/artist/lew-ayres-3047"} +{"d:Title": "IMDb: Lew Ayres", "d:Description": "Includes filmography, awards, and mini-biography.", "topic": "Top/Arts/People/A/Ayres,_Lew", "url": "http://www.imdb.com/name/nm0000817/"} +{"d:Title": "Jen on Broadway", "d:Description": "Details one fan's experience appearing for one day in \"Jekyll and Hyde\" with Sebastian.", "topic": "Top/Arts/People/B/Bach,_Sebastian", "url": "http://jenonbroadway.tripod.com/"} +{"d:Title": "Melissa's Tribute To Sebastian Bach", "d:Description": "Includes music files, pictures, discography, and information about live and TV appearances.", "topic": "Top/Arts/People/B/Bach,_Sebastian", "url": "http://melissajameson_1.tripod.com/"} +{"d:Title": "Sebastian Bach", "d:Description": "Official Sebastian Bach site.", "topic": "Top/Arts/People/B/Bach,_Sebastian", "url": "http://www.sebastianbach.com/"} +{"d:Title": "Amitabh Bachchan: Your one-stop for all the news", "d:Description": "Contains BigB's profile, links to interviews, filmography and pictures.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://www.sugandh.com/seema/amitabhji/"} +{"d:Title": "Manas: Indian Cinema", "d:Description": "Includes an article and short filmography.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://www.sscnet.ucla.edu/southasia/Culture/Cinema/Amitabh.html"} +{"d:Title": "BBC NEWS: Bollywood's Bachchan turns 60", "d:Description": "Bollywood legend Amitabh Bachchan celebrates his 60th birthday and asks fans to stay loyal even if age steals his looks.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/2319917.stm"} +{"d:Title": "Bollywood superstar 'surprised' by vote", "d:Description": "Amitabh's reaction on being chosen the top star of the millennium by BBC news online poll.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://news.bbc.co.uk/1/hi/world/south_asia/394226.stm"} +{"d:Title": "Amitabh Bachchan, the legend", "d:Description": "A yahoo-group for BigB fans (requires membership).", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://in.groups.yahoo.com/group/amitabhbachchanthelegend/"} +{"d:Title": "'The way Amitabh spoke to us was amazing!'", "d:Description": "Bachchan interacting with 12 Pakistani management students.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://www.rediff.com/movies/2004/aug/18ab.htm"} +{"d:Title": "BBC: Amitabh Bachchan Interview", "d:Description": "Contains pictures and audio file of interview with the bollywood legend Amitabh Bachchan on the UK release of the book about his life and movies, entitled 'To Be or not to Be' authored by his wife Jaya.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://www.bbc.co.uk/manchester/communities/masti/2002/10/23/amitabh_bachchan_interview.shtml"} +{"d:Title": "Upperstall: Amitabh Bachchan", "d:Description": "Contains Profile, pictures and list of memorable movies of Amitabh.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://www.upperstall.com/people/amitabh-bachchan"} +{"d:Title": "Rediff On The NeT, Movies: Bachchan Uncut", "d:Description": "An hour long interview with Vir Sanghvi presents the real Amitabh Bachchan.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://search1.rediff.com/movies/1999/may/11ab.htm"} +{"d:Title": "rediff.com Movies: Amitabh Bachchan, 60", "d:Description": "An Amitabh special microsite made on his 60th birthday with interviews of co-stars, family members, slide-shows and articles.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://search.rediff.com/entertai/amitabh.htm"} +{"d:Title": "Rediff On The NeT: Glimpses from the Bachchan-RK wedding", "d:Description": "A report on the marriage ceremonies of Amitabh's daughter Shweta with Nikhil Nanda.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://sportschat.rediff.com/entertai/apr/18shweta.htm"} +{"d:Title": "rediff.com, Movies: Pooja Bedi Ebrahim takes on Amitabh Bachchan", "d:Description": "A report on Star TVs controversial \"Amul Indian Show\" interview of Amitabh taken by Pooja which was never telecast.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://news.rediff.com/entertai/2000/may/17pooja2.htm"} +{"d:Title": "IMDb: Amitabh Bachchan", "d:Description": "Contains his filmography and short profile.", "topic": "Top/Arts/People/B/Bachchan,_Amitabh", "url": "http://www.imdb.com/name/nm0000821/"} +{"d:Title": "femail.com.au: Scott Baio", "d:Description": "Biography and time line for actor.", "topic": "Top/Arts/People/B/Baio,_Scott", "url": "http://www.femail.com.au/scottbaio2.htm"} +{"d:Title": "IMDb: Scott Baio", "d:Description": "Includes biography, picture gallery and filmography.", "topic": "Top/Arts/People/B/Baio,_Scott", "url": "http://www.imdb.com/name/nm0000281/"} +{"d:Title": "Jerry Jazz Musician: Josephine Baker", "d:Description": "Ean Wood, author of The Josephine Baker Story, discusses the late celebrity's life history. Includes photographs.", "topic": "Top/Arts/People/B/Baker,_Josephine", "url": "http://www.jerryjazzmusician.com/mainHTML.cfm?page=eanwood.html"} +{"d:Title": "CMG Worldwide: Josephine Baker", "d:Description": "The official site with biography, quotes, filmography, and photographs.", "topic": "Top/Arts/People/B/Baker,_Josephine", "url": "http://www.cmgww.com/stars/baker/"} +{"d:Title": "Artist Direct: Josephine Baker", "d:Description": "Features a biography, song list, streaming RealAudio clips, message board, and merchandise.", "topic": "Top/Arts/People/B/Baker,_Josephine", "url": "http://www.artistdirect.com/artist/josephine-baker/400157"} +{"d:Title": "Wikipedia: Ronnie Barker", "d:Description": "Article offering a biography, career overview, photographs, links and suggested further reading.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://en.wikipedia.org/wiki/Ronnie_Barker"} +{"d:Title": "IMDb: Ronnie Barker", "d:Description": "Complete filmography, with a biography, trivia, details of awards and nominations, a message board and links.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://www.imdb.com/name/nm0054985/"} +{"d:Title": "BBC News: Who was Gerald Wiley?", "d:Description": "Explores the writing work undertaken by the performer using this particular pseudonym.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/magazine/4311356.stm"} +{"d:Title": "BBC News: Abbey Memorial for Ronnie Barker", "d:Description": "Reports the details of a commemorative service held for the performer at Westminster Abbey. With video clips of tributes from fellow actors and writers.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/4769132.stm"} +{"d:Title": "Screenonline: Ronnie Barker", "d:Description": "Biographical article, with links to related material.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://www.screenonline.org.uk/people/id/462765/index.html"} +{"d:Title": "BBC News: In Pictures: Ronnie Barker", "d:Description": "A collection of 10 images from the comedian's career.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/in_pictures/4307584.stm"} +{"d:Title": "BBC News: Barker's Back to Give His Daughter a Break", "d:Description": "'Veteran funny man Ronnie Barker writes his first play in an attempt to help the career of his struggling actress daughter.'", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/158132.stm"} +{"d:Title": "Chortle: Ronnie Barker", "d:Description": "Biography, user comments, and related articles.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://www.chortle.co.uk/comics/r/226/ronnie_barker"} +{"d:Title": "BBC News: Family Funeral for Ronnie Barker", "d:Description": "'Comedy legend Ronnie Barker is cremated at a funeral attended by about 20 family members and close friends.'", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/4338658.stm"} +{"d:Title": "BBC News: Obituary: Ronnie Barker", "d:Description": "Examination of his life and work. With video clips of memorable sketches.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/473779.stm"} +{"d:Title": "BBC News: Ronnie Barker's Best Lines", "d:Description": "Fans select their favorite moments from the performer's repertoire.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/4307540.stm"} +{"d:Title": "BBC News: Porridge Star Gives Prison Advice", "d:Description": "'Porridge star Ronnie Barker records messages for new prison inmates giving advice on life inside.'", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/3247914.stm"} +{"d:Title": "Guardian: Ronnie Barker Obituary", "d:Description": "Article offering an overview of his life and work.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://www.theguardian.com/media/2005/oct/04/broadcasting.guardianobituaries"} +{"d:Title": "Television Heaven: A Tribute to Ronnie Barker", "d:Description": "Review of his work, with pictures.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://www.televisionheaven.co.uk/ronnie_barker.htm"} +{"d:Title": "Guardian: Ronnie Barker", "d:Description": "Offers a selection of photographs with a list of prominent career highlights.", "topic": "Top/Arts/People/B/Barker,_Ronnie", "url": "http://www.theguardian.com/arts/pictures/0,,1584544,00.html"} +{"d:Title": "Roseanneworld.com", "d:Description": "Official site. Includes photo gallery, videos, and link to her \"Rebuild New Orleans Project\" foundation.", "topic": "Top/Arts/People/B/Barr,_Roseanne", "url": "http://www.roseanneworld.com/"} +{"d:Title": "Roseanne", "d:Description": "Filmography and other information.", "topic": "Top/Arts/People/B/Barr,_Roseanne", "url": "http://www.imdb.com/name/nm0001683/"} +{"d:Title": "IMDb: Brent Barrett", "d:Description": "Contains full filmography, photo, biography and discussion board.", "topic": "Top/Arts/People/B/Barrett,_Brent", "url": "http://www.imdb.com/name/nm0057076/"} +{"d:Title": "Brent Barrett Online", "d:Description": "Provides management content details, career biography, appearance information, interviews, photos and music files.", "topic": "Top/Arts/People/B/Barrett,_Brent", "url": "http://www.brentbarrett.com/"} +{"d:Title": "IBDb: Brent Barrett", "d:Description": "Offers a list of official Broadway credits.", "topic": "Top/Arts/People/B/Barrett,_Brent", "url": "https://www.ibdb.com/broadway-cast-staff/brent-barrett-71387"} +{"d:Title": "Wikipedia: John Barrowman", "d:Description": "Provides biography, career credits, photo and external links.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "http://en.wikipedia.org/wiki/John_Barrowman"} +{"d:Title": "IMDb: John Barrowman", "d:Description": "Offers news articles, biography, filmography and message board.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "http://www.imdb.com/name/nm0057882/"} +{"d:Title": "Barrowman to Star in Holiday Jack&the Beanstalk Panto", "d:Description": "The tenor will star in a holiday pantomime of Jack and the Beanstalk in Wales from December 16th, 2006 through January 27th, 2007.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=9794"} +{"d:Title": "Talking Shop: Torchwood's John Barrowman", "d:Description": "John Barrowman stars as bisexual time-traveller Captain Jack Harkness in the Doctor Who spin-off series, Torchwood.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "http://news.bbc.co.uk/1/hi/entertainment/6069574.stm"} +{"d:Title": "BBC News: Talking Shop - John Barrowman", "d:Description": "The star of Torchwood and West End stage performer John Barrowman discusses his latest musical project.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "http://newsvote.bbc.co.uk/2/hi/entertainment/7071619.stm"} +{"d:Title": "John Barrowman", "d:Description": "Official site offers biography, gallery, press articles, workshops, mailing list and interactive message board.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "http://www.johnbarrowman.com/"} +{"d:Title": "Kennedy Center: John Barrowman", "d:Description": "John Barrowman, appearing in the Sondheim Celebration as Robert in Company, performs songs from the Broadway canon. Real Player required for this sixty minute video file.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "http://www.kennedy-center.org/artist/B10451"} +{"d:Title": "IBDb: John Barrowman", "d:Description": "Official Broadway credits for the tenor listing role, dates of production, nominations and awards.", "topic": "Top/Arts/People/B/Barrowman,_John", "url": "https://www.ibdb.com/broadway-cast-staff/john-barrowman-31086"} +{"d:Title": "Undying Celebrities: Drew Barrymore", "d:Description": "Pictures in a thumbnailed image gallery, biography, and links.", "topic": "Top/Arts/People/B/Barrymore,_Drew", "url": "http://www.undying.com/celeb/Drew_Barrymore/"} +{"d:Title": "Actress Drew Barrymore", "d:Description": "Box office information on her movies, picture galleries, profile, and links.", "topic": "Top/Arts/People/B/Barrymore,_Drew", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?drew"} +{"d:Title": "Topix: Drew Barrymore", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/B/Barrymore,_Drew", "url": "http://www.topix.com/who/drew-barrymore"} +{"d:Title": "Topix: Drew Barrymore", "d:Description": "News about Drew Barrymore, collected from various sources on the web.", "topic": "Top/Arts/People/B/Barrymore,_Drew", "url": "http://www.topix.com/rss/who/drew-barrymore.xml"} +{"d:Title": "IMDb: Drew Barrymore", "d:Description": "Includes filmography, awards, trivia, photos, and news articles.", "topic": "Top/Arts/People/B/Barrymore,_Drew", "url": "http://www.imdb.com/name/nm0000106/"} +{"d:Title": "DigitalHit.com: Drew Barrymore", "d:Description": "Biographical information, and filmography.", "topic": "Top/Arts/People/B/Barrymore,_Drew/Articles_and_Interviews", "url": "http://www.digitalhit.com/drewbarrymore.shtml"} +{"d:Title": "Canadian Online Explorer: Drew Barrymore", "d:Description": "A collection of articles from Sun Media Corporation newspapers.", "topic": "Top/Arts/People/B/Barrymore,_Drew/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/B/Barrymore_Drew/"} +{"d:Title": "DaisyRing: The Drew Barrymore Webring", "d:Description": "A web ring dedicated to websites about the actress.", "topic": "Top/Arts/People/B/Barrymore,_Drew/Directories", "url": "http://www.webring.org/hub?ring=drew_webring"} +{"d:Title": "Butterfly Girl", "d:Description": "A webring of sites devoted to the actress.", "topic": "Top/Arts/People/B/Barrymore,_Drew/Directories", "url": "http://www.webring.org/hub?ring=drew123"} +{"d:Title": "Drew Barrymore", "d:Description": "Biography, filmography, wallpapers and links.", "topic": "Top/Arts/People/B/Barrymore,_Drew/Fan_Pages", "url": "http://drew.tvheaven.com/"} +{"d:Title": "IMDb: Lionel Barrymore", "d:Description": "Filmography, awards, biography, and photographs.", "topic": "Top/Arts/People/B/Barrymore,_Lionel", "url": "http://www.imdb.com/name/nm0000859/"} +{"d:Title": "RottenTomatoes.com: Lionel Barrymore", "d:Description": "Filmography with searchable links, photographs, and forum.", "topic": "Top/Arts/People/B/Barrymore,_Lionel", "url": "http://www.rottentomatoes.com/celebrity/lionel_barrymore/"} +{"d:Title": "Reel Classics: Lionel Barrymore", "d:Description": "Memorable quotations, information on his artwork, links, awards, downloads and images, and information on \"It's A Wonderful Life.\"", "topic": "Top/Arts/People/B/Barrymore,_Lionel", "url": "http://www.reelclassics.com/Actors/Lionel_Barrymore/lionel.htm"} +{"d:Title": "Wikipedia - Summer Bartholomew", "d:Description": "Encyclopedia article which gives a short biography of the model/actress.", "topic": "Top/Arts/People/B/Bartholomew,_Summer", "url": "http://en.wikipedia.org/wiki/Summer_Bartholomew"} +{"d:Title": "IMDb.com - Summer Bartholomew", "d:Description": "Filmography, biography, and discussions.", "topic": "Top/Arts/People/B/Bartholomew,_Summer", "url": "http://www.imdb.com/name/nm0058697/"} +{"d:Title": "All about Noah from 2Gether", "d:Description": "A Noah Bastian fan site with biography, pictures, news, quotes, and links.", "topic": "Top/Arts/People/B/Bastian,_Noah", "url": "http://www.angelfire.com/va2/florafauna/2gether.html"} +{"d:Title": "Noah Bastian Universe", "d:Description": "Fan site with biography, news, quotes, lyrics, pictures, and links.", "topic": "Top/Arts/People/B/Bastian,_Noah", "url": "http://www.angelfire.com/celeb/noahdalebastian/"} +{"d:Title": "Marissa's Noah Bastian Page", "d:Description": "A fan site with biography, lyrics, pictures, quotes, links, sound clips, and news.", "topic": "Top/Arts/People/B/Bastian,_Noah", "url": "http://www.angelfire.com/stars/NoahBastain2GETHER/"} +{"d:Title": "Noah Bastian Fan Site", "d:Description": "Includes news, biography, pictures, audio clips, and links.", "topic": "Top/Arts/People/B/Bastian,_Noah", "url": "http://www.angelfire.com/celeb/noahbastian/"} +{"d:Title": "Actress Kathy Bates", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/B/Bates,_Kathy", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?kbates"} +{"d:Title": "Kathy Bates News: Topix", "d:Description": "News about Kathy Bates continually updated from around the net.", "topic": "Top/Arts/People/B/Bates,_Kathy", "url": "http://www.topix.com/who/kathy-bates"} +{"d:Title": "Thespian Net presents Kathy Bates", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/B/Bates,_Kathy", "url": "http://www.thespiannet.com/actresses/B/bates_kathy/kathy_bates.shtml"} +{"d:Title": "IMDb: Orson Bean", "d:Description": "Provides brief biography, filmography, and image.", "topic": "Top/Arts/People/B/Bean,_Orson", "url": "http://www.imdb.com/name/nm0004730/"} +{"d:Title": "Review: Me and the Orgone", "d:Description": "Actor turned author, Orson Bean writes about orgone therapy with Elsworth Baker.", "topic": "Top/Arts/People/B/Bean,_Orson", "url": "http://www.doyletics.com/art/meandorg.htm"} +{"d:Title": "TV.com: Orson Bean", "d:Description": "Provides brief biography, and a list of roles and appearances.", "topic": "Top/Arts/People/B/Bean,_Orson", "url": "http://www.tv.com/people/orson-bean/"} +{"d:Title": "Who2 Profile: Warren Beatty", "d:Description": "Biographical statistics, short profile, and links to filmography and other information.", "topic": "Top/Arts/People/B/Beatty,_Warren", "url": "http://www.who2.com/bio/warren-beatty"} +{"d:Title": "Warren Beatty", "d:Description": "Biography, filmography, and trivia from Internet Movie Database.", "topic": "Top/Arts/People/B/Beatty,_Warren", "url": "http://www.imdb.com/name/nm0000886/bio"} +{"d:Title": "IMDb: Wallace Beery", "d:Description": "Complete filmography, photographs, biography, and related information.", "topic": "Top/Arts/People/B/Beery,_Wallace", "url": "http://www.imdb.com/name/nm0000891/"} +{"d:Title": "Ira Steven Behr", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/B/Behr,_Ira_Steven", "url": "http://www.imdb.com/name/nm0066985/"} +{"d:Title": "Harry Belafonte - a Site of Sites", "d:Description": "Fan site with tour information, reviews, news, and links.", "topic": "Top/Arts/People/B/Belafonte,_Harry", "url": "http://www.belafonte-asiteofsites.com/"} +{"d:Title": "Belafonte Tracks", "d:Description": "Features discography.", "topic": "Top/Arts/People/B/Belafonte,_Harry", "url": "http://www.belafontetracks.ca/"} +{"d:Title": "IMDb: Harry Belafonte", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/B/Belafonte,_Harry", "url": "http://www.imdb.com/name/nm0000896/"} +{"d:Title": "The Human Side Of Addiction: What caused John Belushi's death?", "d:Description": "Stanton Peele describes how death by overdose is an inadequate description of John's death.", "topic": "Top/Arts/People/B/Belushi,_John", "url": "http://www.peele.net/lib/belushi.html"} +{"d:Title": "The Ultimate John Belushi Tribute Page", "d:Description": "Includes a biography, sounds, pictures, links, awards, and information about his movies.", "topic": "Top/Arts/People/B/Belushi,_John", "url": "http://www.belushi.com/"} +{"d:Title": "IMDb: John Belushi", "d:Description": "Filmography for the actor, writer, and comedian.", "topic": "Top/Arts/People/B/Belushi,_John", "url": "http://www.imdb.com/name/nm0000004/"} +{"d:Title": "DigitalHit.com: Roberto Benigni", "d:Description": "A look at the life and career of Roberto Benigni.", "topic": "Top/Arts/People/B/Benigni,_Roberto", "url": "http://www.digitalhit.com/cr/robertobenigni"} +{"d:Title": "IMDb: Roberto Benigni", "d:Description": "Filmography, news, photographs, biography, and related fan information.", "topic": "Top/Arts/People/B/Benigni,_Roberto", "url": "http://www.imdb.com/name/nm0000905/"} +{"d:Title": "Everything2: Irving Berlin", "d:Description": "Biographical profile, a bit of trivia, and links to related topics.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.everything2.com/index.pl?node_id=486644"} +{"d:Title": "Jewish-American Hall of Fame: Irving Berlin (1888-1989)", "d:Description": "Biographical profile.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://amuseum.org/jahf/virtour/page21.html"} +{"d:Title": "Irving Berlin: Songs from the Melting Pot", "d:Description": "Phillip D. Atteberry reviews Charles Hamm's 1997 book.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.pitt.edu/~atteberr/jazz/articles/HAMM.html"} +{"d:Title": "Todd's Irving Berlin Page", "d:Description": "Lyrics to his most well-known songs sorted by title, with link to a complete \"Songography\" sorted by year, from 1907 to 1967.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.thepeaches.com/music/composers/berlin/"} +{"d:Title": "Celebrity Caricature in America: Irving Berlin", "d:Description": "Caricature of Berlin by Miguel Covarrubias, with brief note on the art work and its subject.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.npg.si.edu/exh/caricatures/berlin.htm"} +{"d:Title": "The Irving Berlin MIDI Song Tribute", "d:Description": "Singalong pages with lyrics, MIDI files, and photos of sheet music covers for several of Berlin's songs in the public domain.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.melodylane.net/standards8.html"} +{"d:Title": "Irving Berlin in Hollywood", "d:Description": "Ian Whitcomb's liner notes for a Rhino Records project.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.picklehead.com/ian/ian_irving.html"} +{"d:Title": "Irving Berlin: The Nation's Great Composer of Patriotic Songs", "d:Description": "Biographical profile. Excerpt from \"Jewish Heroes and Heroines of America,\" by Seymour \"Sy\" Brody.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.fau.edu/library/bro82.htm"} +{"d:Title": "Irving Berlin: Songs from the Melting Pot: The Formative Years, 1907-1914", "d:Description": "First chapter of the book by Charles Hamm. Linked to a review in the New York Times.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.nytimes.com/books/first/h/hamm-berlin.html"} +{"d:Title": "God Bless America", "d:Description": "Part of the exhibition \"American Treasures of the Library of Congress.\" The manuscripts, lyric sheets, and printer's proofs for this beloved song were a gift to the library from Irving Berlin's daughters. Photograph of manuscript score and proof, information on the song.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.loc.gov/exhibits/treasures/trm019.html"} +{"d:Title": "Rediscovering Irving Berlin", "d:Description": "Book review considers three biographies of the often misunderstood songwriter. By Phillip D. Atteberry.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.pitt.edu/~atteberr/jazz/articles/IrvingBerlin.html"} +{"d:Title": "As Thousands Cheer: The Life of Irving Berlin", "d:Description": "Bergreen's biographical book reviewed by Phillip D. Atteberry.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.pitt.edu/~atteberr/jazz/articles/BERGREEN.html"} +{"d:Title": "Isaiah Berlin / Irving Berlin", "d:Description": "Anecdote about Winston Churchill's wartime grilling of Irving Berlin, whom he mistook for political philosopher Isaiah Berlin. As told by Isaiah Berlin.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://berlin.wolf.ox.ac.uk/tribute/2berlins.htm"} +{"d:Title": "Irving Berlin: This Is the Army", "d:Description": "Detailed four-part article about the making of Berlin's patriotic all-soldier musical. Includes several photographs. Based on a lecture by Laurence Bergreen. [Prologue]", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.archives.gov/publications/prologue/1996/summer/irving-berlin-1.html"} +{"d:Title": "Rodgers and Hammerstein Organization: Berlin, Irving", "d:Description": "Biography. Includes portrait, links.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.rnh.com/people_detail.asp?sub=bio&div=songs&id=I_Berlin&s=1"} +{"d:Title": "All Music Guide: Irving Berlin", "d:Description": "Biography by William Ruhlmann, partial discography, list of some outstanding songs, bibliography. Extensive links to related lyricists, composers, and performers.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.allmusic.com/artist/irving-berlin-mn0000103748"} +{"d:Title": "Irving Berlin: Full score and one key", "d:Description": "Obituary, written by W.J. Weatherby. [The Guardian]", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.theguardian.com/century/1980-1989/Story/0,6051,110503,00.html"} +{"d:Title": "Spartacus: Irving Berlin", "d:Description": "Brief biography, for kids. Includes portrait.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://spartacus-educational.com/USAberlinI.htm"} +{"d:Title": "IMDb: Irving Berlin", "d:Description": "Mini-biography, trivia, quotes. Filmography as a composer, writer, actor, and lyricist. Awards and nominations for his work in films.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.imdb.com/name/nm0000927/"} +{"d:Title": "All Movie Guide: Irving Berlin", "d:Description": "Biography by Hal Erickson, filmography, Oscar nominations.", "topic": "Top/Arts/People/B/Berlin,_Irving", "url": "http://www.allmovie.com/artist/irving-berlin-p5711"} +{"d:Title": "IMDb: Bill Bixby", "d:Description": "The Internet Movie Database includes filmography, profile, mini biography, and links.", "topic": "Top/Arts/People/B/Bixby,_Bill", "url": "http://www.imdb.com/name/nm0084642/"} +{"d:Title": "Bjork.com/unity", "d:Description": "Official site with news, album previews, concert information, multi-media and bulletin board.", "priority": "1", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://www.bjork.com/"} +{"d:Title": "For the Love of Bjork", "d:Description": "An outlet for a fan's Bjork obsession. Includes artwork, wallpapers, photos, and a large selection of Bjork CDs and other goodies.", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://fortheloveof.sugarcube.net/"} +{"d:Title": "Bjork - On Your Own Little Screen", "d:Description": "MIDI files, MP3 clips, fan-made covers, musical scores and the lost Homogenic credits.", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://www.dancerinthedark.de/"} +{"d:Title": "Unofficial Bj\u00f6rk Video Archive", "d:Description": "Bj\u00f6rk videos, performances and interviews in quicktime and mpeg format.", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://www.ebweb.at/ortner/bjork.html"} +{"d:Title": "The Bjork Guitar Archive", "d:Description": "Chords for Bjork, Sugarcubes, Kukl, and Tappi Tikarrass songs.", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://www.angelfire.com/indie/bjorkguitar/"} +{"d:Title": "Bj\u00f6rk Homepage", "d:Description": "Pictures, articles, Star Drag stories, a biography, lyrics, audio clips and links. [In English and Finnish]", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://14142.net/bjork/bjork.htm"} +{"d:Title": "Falling In Love With Bjork", "d:Description": "Fan page with lyrics, photo gallery, video and wallpapers.", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://provisoire.com/bjork/bjork.htm"} +{"d:Title": "MTV: Bjork", "d:Description": "Album reviews, music news, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/People/B/Bj\u00f6rk", "url": "http://www.mtv.com/artists/bjork/"} +{"d:Title": "TIA - The Iceberg Archives", "d:Description": "Impressive archive of Bj\u00f6rk magazine articles.", "priority": "1", "topic": "Top/Arts/People/B/Bj\u00f6rk/Articles_and_Interviews", "url": "http://www.ebweb.at/ortner/tia/index.html"} +{"d:Title": "RollingStone.com: Bjork", "d:Description": "Includes biography, photos, news, discography, reviews, audio/video, message boards and an archive of articles.", "topic": "Top/Arts/People/B/Bj\u00f6rk/Articles_and_Interviews", "url": "http://www.rollingstone.com/music/artists/bjork"} +{"d:Title": "AskMen.com : Bjork", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/People/B/Bj\u00f6rk/Articles_and_Interviews", "url": "http://www.askmen.com/celebs/women/singer/51_bjork.html"} +{"d:Title": "All-Reviews.com: Homogenic", "d:Description": "Review of the album.", "topic": "Top/Arts/People/B/Bj\u00f6rk/Reviews", "url": "http://www.all-reviews.com/music/homogenic.htm"} +{"d:Title": "Metacritic: Bjork: Vespertine", "d:Description": "Reviews for Bjork's Vespertine by an array of music critics and publications.", "topic": "Top/Arts/People/B/Bj\u00f6rk/Reviews", "url": "http://www.metacritic.com/music/vespertine/bjork"} +{"d:Title": "Metacritic: Bjork: Selmasongs", "d:Description": "Reviews for Bjork's SelmaSongs by an array of music critics and publications.", "topic": "Top/Arts/People/B/Bj\u00f6rk/Reviews", "url": "http://www.metacritic.com/music/selmasongs-music-from-the-motion-picture-dancer-in-the-dark/bjork"} +{"d:Title": "Bj\u00f6rk World Ring", "d:Description": "Webring.", "topic": "Top/Arts/People/B/Bj\u00f6rk/Web_Rings", "url": "http://home.nordnet.fr/~allefebvre/ring/"} +{"d:Title": "Cilla Black", "d:Description": "Official site featuring music, news, pictures, multimedia, and biography.", "topic": "Top/Arts/People/B/Black,_Cilla", "url": "http://www.cillablack.com/"} +{"d:Title": "Cilla Black Mania", "d:Description": "Fan site features collections of recordings, press and videos.", "topic": "Top/Arts/People/B/Black,_Cilla", "url": "http://www.cillablackmania.com/"} +{"d:Title": "Wikipedia: Cilla Black", "d:Description": "Career history and discography.", "topic": "Top/Arts/People/B/Black,_Cilla", "url": "http://en.wikipedia.org/wiki/Cilla_Black"} +{"d:Title": "Internet Movie Database: Jack Black", "d:Description": "Listing of film and TV roles, biography, pictures, articles and links.", "topic": "Top/Arts/People/B/Black,_Jack", "url": "http://www.imdb.com/name/nm0085312/"} +{"d:Title": "Un-Official Jack Black Website", "d:Description": "News, film details and Tenacious D lyrics.", "topic": "Top/Arts/People/B/Black,_Jack", "url": "http://nessaweb.free.fr/jackblack/"} +{"d:Title": "Jack Black News: Topix", "d:Description": "News about Jack Black continually updated from around the net.", "topic": "Top/Arts/People/B/Black,_Jack", "url": "http://www.topix.com/who/jack-black"} +{"d:Title": "Karen Black", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/B/Black,_Karen", "url": "http://www.imdb.com/name/nm0000947/"} +{"d:Title": "LesBlank.com", "d:Description": "Internationally-acclaimed films by Les Blank and others that offer intimate and inspiring glimpses into the lives, food and music of passionate people who live at the periphery of society.", "topic": "Top/Arts/People/B/Blank,_Les", "url": "http://www.lesblank.com/"} +{"d:Title": "IMDb: Les Blank", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/People/B/Blank,_Les", "url": "http://www.imdb.com/name/nm0087511/"} +{"d:Title": "A Peter Blegvad Page", "d:Description": "Selections of his writings.", "topic": "Top/Arts/People/B/Blegvad,_Peter", "url": "http://www.ibiblio.org/mal/blegvad/"} +{"d:Title": "Peter Blegvad's Leviathan", "d:Description": "Archives of the comic strip that ran in the Independent on Sunday newspaper from 1992 to 1999.", "topic": "Top/Arts/People/B/Blegvad,_Peter", "url": "http://www.leviathan.co.uk/"} +{"d:Title": "Discography: Peter Blegvad", "d:Description": "Detailed discography of his solo recordings, collaborations and guest appearances, plus news and rumored future projects.", "topic": "Top/Arts/People/B/Blegvad,_Peter", "url": "http://idiot-dog.com/music/blegvad.peter/"} +{"d:Title": "Holder Overnight: Interview with Peter Bogdanovich", "d:Description": "Transcript of an interview with director and author, Peter Bogdanovich.", "topic": "Top/Arts/People/B/Bogdanovich,_Peter", "url": "http://www.peteranthonyholder.com/cjad32.htm"} +{"d:Title": "IMDB: Peter Bogdanovich", "d:Description": "Provides information about filmography, awards, biography, producing, writing, acting, and directing credits.", "topic": "Top/Arts/People/B/Bogdanovich,_Peter", "url": "http://www.imdb.com/name/nm0000953/"} +{"d:Title": "PopEntertainment.com: Eric Bogosian", "d:Description": "An interview from 1993 with the actor and writer, by Jay S. Jacobs.", "topic": "Top/Arts/People/B/Bogosian,_Eric", "url": "http://www.popentertainment.com/bogosian.htm"} +{"d:Title": "Eric Bogosian", "d:Description": "Touring, play and current project information, original writings for the web, biographical information, and books and videos for sale.", "topic": "Top/Arts/People/B/Bogosian,_Eric", "url": "http://www.ericbogosian.com/"} +{"d:Title": "CNN: Mourners Pay Respects to Sonny Bono", "d:Description": "Article about his funeral.", "topic": "Top/Arts/People/B/Bono,_Sonny", "url": "http://www.cnn.com/US/9801/08/bono/"} +{"d:Title": "Fuller Up, Dead Musician Directory: Sonny Bono", "d:Description": "Collection of wire service obituaries.", "topic": "Top/Arts/People/B/Bono,_Sonny", "url": "http://elvispelvis.com/bono.htm"} +{"d:Title": "IMDb: Sonny Bono", "d:Description": "Filmography includes a listing of TV guest appearances.", "topic": "Top/Arts/People/B/Bono,_Sonny", "url": "http://www.imdb.com/name/nm0095122/"} +{"d:Title": "Jon Bon Jovi In Movies", "d:Description": "Fan site about Jon's movie career. Includes an image gallery, film reviews and a filmography.", "topic": "Top/Arts/People/B/Bon_Jovi,_Jon", "url": "http://rose22.tripod.com/movies.html"} +{"d:Title": "AskMen.com - Bon Jovi", "d:Description": "Contains a biography and commentary of Jon Bon Jovi.", "topic": "Top/Arts/People/B/Bon_Jovi,_Jon", "url": "http://www.askmen.com/celebs/men/entertainment_100/118_bon_jovi.html"} +{"d:Title": "Jon Bongiovi Fan Site", "d:Description": "Contains an image gallery and links to other Bon Jovi websites.", "topic": "Top/Arts/People/B/Bon_Jovi,_Jon", "url": "http://jonbonjovi2.tripod.com/"} +{"d:Title": "Backstage With Jon Bon Jovi", "d:Description": "Official fan club including news, pen pals, merchandise, newsletters and contests.", "topic": "Top/Arts/People/B/Bon_Jovi,_Jon", "url": "https://backstagewithjon.bonjovi.com/"} +{"d:Title": "Larry King Live Interview With Pat Boone and His Family", "d:Description": "Transcript of the December 25, 2002 interview with Pat Boone, Jessica Corbin, Rick Warren regarding Pat's grandson Ryan Corbin.", "topic": "Top/Arts/People/B/Boone,_Pat", "url": "http://www.cnn.com/TRANSCRIPTS/0212/25/lkl.00.html"} +{"d:Title": "Transcript of TV Interview with Pat Boone", "d:Description": "Pat Boone was a guest on \"The Interview\" program from NHK television in Japan. This is a text transcript from that show.", "topic": "Top/Arts/People/B/Boone,_Pat", "url": "http://www.mjmgroup.com/Transcripts/Pat_Boone.htm"} +{"d:Title": "Pat Boone's Controversial Mission to Interpret Pop Culture", "d:Description": "Christianity Today article by Edward Gilbreath.", "topic": "Top/Arts/People/B/Boone,_Pat", "url": "http://www.ctlibrary.com/ct/1999/october4/9tb056.html"} +{"d:Title": "Topix: Pat Boone", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/B/Boone,_Pat", "url": "http://www.topix.com/who/pat-boone"} +{"d:Title": "All Music Guide: Pat Boone", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/B/Boone,_Pat", "url": "http://www.allmusic.com/artist/pat-boone-p3746"} +{"d:Title": "A Tribute to Victor Borge", "d:Description": "Contains a biography, pictures, sounds and videos, the Website Inflater, and a Borge quote-of-the-day in Danish and English. You can share your memories in the Forum.", "topic": "Top/Arts/People/B/Borge,_Victor", "url": "http://www.kor.dk/borge/borge.htm"} +{"d:Title": "Victor Borge: The Clown", "d:Description": "A biography and analysis of Borge's art, by Charlie the Juggling Clown.", "topic": "Top/Arts/People/B/Borge,_Victor", "url": "http://www.charliethejugglingclown.com/victor_borge.htm"} +{"d:Title": "Wikipedia: Victor Borge", "d:Description": "Entry at the collaboratory encyclopedia.", "topic": "Top/Arts/People/B/Borge,_Victor", "url": "http://en.wikipedia.org/wiki/Victor_Borge"} +{"d:Title": "Working With Victor Borge", "d:Description": "A conversation with Leonid Hambro, originally printed in HotAIR.", "topic": "Top/Arts/People/B/Borge,_Victor", "url": "http://www.improbable.com/airchives/paperair/volume7/v7i1/hambro-borge-7-1.html"} +{"d:Title": "Victor Borge's Greeting to Hans Christian Andersen", "d:Description": "An homage to Hans Christian Andersen, written by Victor Borge, with a recording of him performing \"Denmark, My Native Land\".", "topic": "Top/Arts/People/B/Borge,_Victor", "url": "http://www.andersen.sdu.dk/rundtom/borge/index_e.html"} +{"d:Title": "Four Cheers Five Victor Borge", "d:Description": "Article by Richard Lederer in HotAIR.", "topic": "Top/Arts/People/B/Borge,_Victor", "url": "http://improbable.com/airchives/paperair/volume7/v7i1/lederer-borge-7-1.html"} +{"d:Title": "David Bowie", "d:Description": "The official David Bowie web site. News, message boards, and Bowie's boutique ISP.", "priority": "1", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.davidbowie.com/"} +{"d:Title": "Bowie WonderWorld", "d:Description": "Includes news, image galleries, press archives, chat, message board and chat, trivia, trading, multimedia, Bowie's art, press archives, and TV appearances.", "priority": "1", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.bowiewonderworld.com/"} +{"d:Title": "Teenage Wildlife", "d:Description": "Polls, contests, search, chat, and online music/book store. Complete lyrics, discography, and a \"Bowie encyclopedia\" with notes on Bowie's collaborators and family.", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.teenagewildlife.com/"} +{"d:Title": "Festival E-cards - David Bowie", "d:Description": "E-cards from David Bowie appearances at eFestival concerts, including Glastonbury 2000 and Move 2002.", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.efestivals.co.uk/photos/ecards.php?Bandname=David+Bowie"} +{"d:Title": "Illustrated David Bowie Discography", "d:Description": "An illustrated discography covering Bowie's songs, albums, 12\" singles, promos and videos, with lyrics and some audio clips.", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.illustrated-db-discography.nl/"} +{"d:Title": "David Bowie in 'The Elephant Man'", "d:Description": "Pictures and facts from the 1980 play, which featured David Bowie as John Merrick.", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.up-to-date.com/bowie/elephantman/"} +{"d:Title": "David Bowie News: Topix", "d:Description": "News about David Bowie continually updated from around the net.", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.topix.com/who/david-bowie"} +{"d:Title": "Topix: David Bowie", "d:Description": "News about David Bowie, collected from various sources on the web.", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://www.topix.com/rss/who/david-bowie.xml"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography, timeline, and recommended reading.", "topic": "Top/Arts/People/B/Bowie,_David", "url": "http://rockhall.com/inductees/david-bowie/"} +{"d:Title": "NY Rock: The Dame Speaks", "d:Description": "A David Bowie discography (albeit an abbreviated one) from the mouth of Bowie himself.", "topic": "Top/Arts/People/B/Bowie,_David/Articles_and_Interviews", "url": "http://www.nyrock.com/db_new.htm"} +{"d:Title": "NY Rock: David Bowie at the Garden with Special Guests", "d:Description": "David Bowie and his contingency of superstar guests blew the big steel doors off at Madison Square Garden on January 9, 1997 during his 50th birthday bash. The supporting cast included such luminaries as Billy Corgan of Smashing Pumpkins, Robert Smith of the Cure, and Lou Reed.", "topic": "Top/Arts/People/B/Bowie,_David/Articles_and_Interviews", "url": "http://www.nyrock.com/bowie.htm"} +{"d:Title": "The David Bowie Press Archive", "d:Description": "Various articles on David Bowie from newspapers, magazines and online publications.", "topic": "Top/Arts/People/B/Bowie,_David/Articles_and_Interviews", "url": "http://gurney.freeservers.com/bowie/"} +{"d:Title": "Rock On The Net: David Bowie", "d:Description": "Time line of Bowie's career since 1985.", "topic": "Top/Arts/People/B/Bowie,_David/Articles_and_Interviews", "url": "http://www.rockonthenet.com/artists-b/davidbowie_main.htm"} +{"d:Title": "Concert Live Wire - David Bowie Interview", "d:Description": "Bowie discusses his teaming up with past collaborator Tony Visconti for the album \"Heathen.\"", "topic": "Top/Arts/People/B/Bowie,_David/Articles_and_Interviews", "url": "http://www.concertlivewire.com/interviews/bowie.htm"} +{"d:Title": "DropD.com: The Man Who Fell to Vancouver", "d:Description": "Review and pictures from a show at Vancouver's Plaza of Nations.", "topic": "Top/Arts/People/B/Bowie,_David/Articles_and_Interviews", "url": "http://dropd.com/issue/70/DavidBowie/"} +{"d:Title": "MTV News: Bowie Chooses Winner of Songwriting Contest", "d:Description": "Bowie selects a winner of a Bowienet songwriting contest; the winner is flown to New York City to see Bowie record \"What's Really Happening.\" (Jan. 22, 1999)", "topic": "Top/Arts/People/B/Bowie,_David/Articles_and_Interviews", "url": "http://www.mtv.com/news/1426398/bowie-chooses-winner-of-songwriting-contest/"} +{"d:Title": "Teenage Wildlife Conversation Piece", "d:Description": "Forum for Bowie news, collectibles, concert, and open discussion.", "topic": "Top/Arts/People/B/Bowie,_David/Chats_and_Forums", "url": "http://www.teenagewildlife.com/Interact/cp/"} +{"d:Title": "David Bowie Wonderworld: Bowie Directory", "d:Description": "Links to over 300 official and fan based websites.", "topic": "Top/Arts/People/B/Bowie,_David/Directories", "url": "http://www.bowiewonderworld.com/directory.htm"} +{"d:Title": "Teenage Wildlife's Bowie Links", "d:Description": "Many commercial and non-commercial Bowie links sorted by category.", "topic": "Top/Arts/People/B/Bowie,_David/Directories", "url": "http://www.teenagewildlife.com/info/links/"} +{"d:Title": "The David Bowie Web Directory", "d:Description": "Many Bowie links broken down by category.", "topic": "Top/Arts/People/B/Bowie,_David/Directories", "url": "http://www.5years.com/"} +{"d:Title": "Forever Bowie", "d:Description": "Fan site with artist's biography.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://forbowie.tripod.com/Bowie.html"} +{"d:Title": "The Ziggy Stardust Companion", "d:Description": "A guide to David Bowie's 1972 album \"The Rise and Fall of Ziggy Stardust and The Spiders From Mars.\" Trivia, images, collectibles, FAQ, Ziggy encyclopaedia, and album reviews, interviews, and press clippings.", "priority": "1", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.5years.com/"} +{"d:Title": "Lady Artist Minotaur's Cerulean Skies", "d:Description": "Collection of fan works, links, quiz, trading post.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://dragonladyl.tripod.com/bowie.html"} +{"d:Title": "Station to Station", "d:Description": "A character study of David Bowie's 1976 character the \"Thin White Duke\".", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.angelfire.com/my/tvc15/"} +{"d:Title": "Screaming Dreaming", "d:Description": "Unusual dreams and fan fiction about David Bowie.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.angelfire.com/pa/Torrent/"} +{"d:Title": "Width of RaMoana's Circle", "d:Description": "Fan's tribute to Bowie, in the form of encounters and other writings about the musician. Home of RaMoana's internet radio station. Also includes movie information, images, chat, and links.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.angelfire.com/fl/ramoana/"} +{"d:Title": "David Bowie - Ah Hahaha", "d:Description": "Contains rare pictures, links, quizzes, polls, free Bowie-related e-mail addresses, and forums.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.angelfire.com/la2/davidbowie52/"} +{"d:Title": "Ziggy in Concert", "d:Description": "Dedicated to the unofficial recordings of David Bowie. Includes information on recordings, artwork, and streaming media.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.angelfire.com/la2/zic/"} +{"d:Title": "Anime Ziggy", "d:Description": "Downloads, desktop wallpaper, and a gallery of original anime-style Ziggy Stardust art.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://ziggy2005.tripod.com/"} +{"d:Title": "Shrine To David Bowie", "d:Description": "Pictures, news, facts, quotes, survey, message board, merchandise, links, fan contribution, and fun.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.angelfire.com/in/Bowielover/"} +{"d:Title": "The David Bowie Press Archive", "d:Description": "Magazine articles and newspaper clippings, mostly from the 1990s.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://gurney.freeservers.com/bowie/articles/"} +{"d:Title": "David Bowie- \"Heroes\"", "d:Description": "Dedicated to the Heroes album. History behind the album, various versions, photos, artwork, and interpretations.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.up-to-date.com/bowie/heroes/"} +{"d:Title": "Silhouettes and Shadows", "d:Description": "Concert reviews and photos.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.excessvoid.com/bowie/"} +{"d:Title": "Bowie Unauthorized Music Database", "d:Description": "Reference database.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.helden.org.uk/"} +{"d:Title": "Bowie Downunder", "d:Description": "Unofficial fan club of David Bowie in Australia and New Zealand. News and events as well as archival information and past concert pages.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://www.bowiedownunder.com/"} +{"d:Title": "Bowie 70s Chronicle", "d:Description": "A catalogue of Bowie's activities during the 1970s, including albums and compilations, photos, information on Bowie's friends and collaborators, and a detailed timeline.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://b7c.tripod.com/"} +{"d:Title": "David Bowie News", "d:Description": "Fan site which includes a collection of videos and articles on the singer.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Pages", "url": "http://davidbowienews.com/"} +{"d:Title": "One Flash of Light", "d:Description": "Photographs of David Bowie taken by Steph Lynch at various Bowie appearances.", "topic": "Top/Arts/People/B/Bowie,_David/Fan_Works", "url": "http://members.tripod.com/~electric_blu/"} +{"d:Title": "Brian Molko Spits: Save David Bowie", "d:Description": "Humorous site detailing the \"Spitting Illness\" of Brian Molko of the band Placebo, and explaining how David Bowie is at risk.", "topic": "Top/Arts/People/B/Bowie,_David/Humor", "url": "http://members.tripod.com/molko_spits/"} +{"d:Title": "IMDb: David Bowie", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/B/Bowie,_David/Movies_and_Television", "url": "http://www.imdb.com/name/nm0000309/"} +{"d:Title": "BBC Music: David Bowie", "d:Description": "Audio and video clips and interview sound bites.", "topic": "Top/Arts/People/B/Bowie,_David/Resources", "url": "http://www.bbc.co.uk/music/artists/5441c29d-3602-4898-b1a1-b77fa23b8e50"} +{"d:Title": "Rolling Stone: David Bowie", "d:Description": "Biography, discography and pictures.", "topic": "Top/Arts/People/B/Bowie,_David/Resources", "url": "http://www.rollingstone.com/music/artists/david-bowie"} +{"d:Title": "MTV: David Bowie", "d:Description": "News, biography, musical influences, concert tour dates, audio clips, photographs, and music videos.", "topic": "Top/Arts/People/B/Bowie,_David/Resources", "url": "http://www.mtv.com/artists/david-bowie/"} +{"d:Title": "Scott's Rock and Soul Album Reviews: David Bowie", "d:Description": "Reviews and analysis of his albums.", "topic": "Top/Arts/People/B/Bowie,_David/Reviews", "url": "http://sfloman.com/davidbowie.html"} +{"d:Title": "All Music Guide: Heathen", "d:Description": "Review by Stephen Thomas Erlewine.", "topic": "Top/Arts/People/B/Bowie,_David/Reviews", "url": "http://www.allmusic.com/album/heathen-r590091"} +{"d:Title": "Pitchfork: Heathen", "d:Description": "Eric Carr's review of the album: \"While everyone's busy measuring his latest work against the towering legacy of Ziggy and the Spiders and looking ahead to his next last gasp, it would be easy to overlook that 'Heathen' is the best Bowie release in years.\" 7.8 out of 10. (June 1, 2002)", "topic": "Top/Arts/People/B/Bowie,_David/Reviews", "url": "http://pitchfork.com/reviews/albums/882-heathen/"} +{"d:Title": "Adrian's Album Reviews: David Bowie", "d:Description": "Reviews of studio albums from past to present. Includes reader's comments.", "topic": "Top/Arts/People/B/Bowie,_David/Reviews", "url": "http://www.adriandenning.co.uk/bowie.html"} +{"d:Title": "Space Oddity", "d:Description": "US based David Bowie tribute show.", "topic": "Top/Arts/People/B/Bowie,_David/Tribute_Bands", "url": "http://www.spaceodditylive.com/"} +{"d:Title": "Echobowie", "d:Description": "A Dutch David Bowie tribute band. Offers news, biography, schedule, photos, information and contact details.", "topic": "Top/Arts/People/B/Bowie,_David/Tribute_Bands", "url": "http://www.echobowie.com/"} +{"d:Title": "Des de Moor: Darkness and Disgrace", "d:Description": "English chansonnier Des de Moor and Russell Churney (Julian Clary's ex-pianist) take you on an intimate and emotionally dynamic musical excursion interpreting the work of one of the world's most enduring and fascinating performers, David Bowie.", "topic": "Top/Arts/People/B/Bowie,_David/Tribute_Bands", "url": "http://www.commex.org/dirkje/darkness.htm"} +{"d:Title": "DollyRocker: Model Pattie Boyd", "d:Description": "Various modeling shoots she took part in during the 1960s.", "topic": "Top/Arts/People/B/Boyd,_Patti", "url": "http://www.angelfire.com/music3/sentstarr/dolrock.html"} +{"d:Title": "Patti Boyd", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/People/B/Boyd,_Patti", "url": "http://www.imdb.com/name/nm0101876/"} +{"d:Title": "The Kenneth Branagh Compendium", "d:Description": "Lots of regularly updated news on Kenneth Branagh and his various projects.", "topic": "Top/Arts/People/B/Branagh,_Kenneth", "url": "http://www.branaghcompendium.com/"} +{"d:Title": "TV Guide - Kenneth Branagh", "d:Description": "Includes biography, pictures and television listings.", "topic": "Top/Arts/People/B/Branagh,_Kenneth", "url": "http://www.tvguide.com/celebrities/kenneth-branagh/160440"} +{"d:Title": "TalkTalk: Kenneth Branagh", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/B/Branagh,_Kenneth", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/kenneth-branagh/biography/71"} +{"d:Title": "Daily Telegiraffe: Hamlet", "d:Description": "Hamlet photos, sound files, Hamlet tracks-that fortuitous mention of Hamlet that perks up your day.", "topic": "Top/Arts/People/B/Branagh,_Kenneth", "url": "http://dailytelegiraffe.tripod.com/hamlet.html"} +{"d:Title": "IMDb: Kenneth Branagh", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/People/B/Branagh,_Kenneth", "url": "http://www.imdb.com/name/nm0000110/"} +{"d:Title": "Wikipedia: Russell Brand", "d:Description": "Includes career highlights, personal life insights, filmography, awards, references, photo and external links.", "topic": "Top/Arts/People/B/Brand,_Russell", "url": "http://en.wikipedia.org/wiki/Russell_Brand"} +{"d:Title": "Twitter: Rustyrockets", "d:Description": "Official Russell Brand social networking and micro-blogging page.", "topic": "Top/Arts/People/B/Brand,_Russell", "url": "http://twitter.com/rustyrockets"} +{"d:Title": "IMDb: Russell Brand", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/People/B/Brand,_Russell", "url": "http://www.imdb.com/name/nm1258970/"} +{"d:Title": "TVGuide.com: Russell Brand", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/B/Brand,_Russell", "url": "http://www.tvguide.com/celebrities/russell-brand/293395"} +{"d:Title": "BBC Desert Island Discs: Russell Brand", "d:Description": "Comedian and actor Russell Brand is interviewed by Kirsty Young.", "topic": "Top/Arts/People/B/Brand,_Russell", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/cae284e3#b037524r"} +{"d:Title": "Russell Brand", "d:Description": "Official site offers videos, tour dates, diary entries, news, photos and fan forum board.", "topic": "Top/Arts/People/B/Brand,_Russell", "url": "http://www.russellbrand.com/"} +{"d:Title": "Facebook: Russell Brand", "d:Description": "Official page on the social networking system.", "topic": "Top/Arts/People/B/Brand,_Russell", "url": "https://www.facebook.com/RussellBrand/"} +{"d:Title": "Brandy Norwood Fan", "d:Description": "Includes biography, news, pictures and links.", "topic": "Top/Arts/People/B/Brandy", "url": "http://www.sarahm.20m.com/"} +{"d:Title": "The Brandy Fan Site", "d:Description": "Includes biography, photos, lyrics and message board.", "topic": "Top/Arts/People/B/Brandy", "url": "http://www.musicfanclubs.org/brandy/"} +{"d:Title": "AskMen.com: Brandy", "d:Description": "Pictures, biography, commentary and links", "topic": "Top/Arts/People/B/Brandy", "url": "http://www.askmen.com/women/singer_60/73_brandy_norwood.html"} +{"d:Title": "Rock On The Net: Brandy", "d:Description": "Includes a profile and a historical timeline of her career.", "topic": "Top/Arts/People/B/Brandy", "url": "http://www.rockonthenet.com/artists-b/brandy_main.htm"} +{"d:Title": "IMDb: Brandy Norwood", "d:Description": "Includes filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/B/Brandy", "url": "http://www.imdb.com/name/nm0005275/"} +{"d:Title": "All Music Guide: Brandy", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/B/Brandy", "url": "http://www.allmusic.com/artist/brandy-mn0000608945"} +{"d:Title": "MTV: Brandy", "d:Description": "Includes music news, album reviews, audio downloads, biography, discography, links, and bulletin boards.", "topic": "Top/Arts/People/B/Brandy", "url": "http://www.mtv.com/artists/brandy/"} +{"d:Title": "Canoe: Brandy - Full Moon", "d:Description": "Darryl Sterdan's review: \"delivers a big-budget, high-tech, diva-sonic extravaganza of the first order.\"", "topic": "Top/Arts/People/B/Brandy/Reviews", "url": "http://jam.canoe.com/Music/Artists/B/Brandy/AlbumReviews/2002/03/08/770454.html"} +{"d:Title": "AskMen.com: Richard Branson", "d:Description": "Feature on the Virgin Records founder with pictures, biography, information and links.", "topic": "Top/Arts/People/B/Branson,_Richard", "url": "http://uk.askmen.com/celebs/men/december99/6_richard_branson.html"} +{"d:Title": "The Brat Pack Site", "d:Description": "Lots of information on the group of actors that became famous in the 80's and were known as 'The Brat Pack', including biographies and film reviews.", "topic": "Top/Arts/People/B/Brat_Pack,_The", "url": "http://www.thebratpacksite.com/"} +{"d:Title": "Goatboy!", "d:Description": "The unofficial Jim Breuer Page", "topic": "Top/Arts/People/B/Breuer,_Jim", "url": "http://goat30.tripod.com/index.html"} +{"d:Title": "Albert Brooks", "d:Description": "Official site of the actor, writer and filmmaker includes his biography, details of his movies, and links to articles and interviews.", "topic": "Top/Arts/People/B/Brooks,_Albert", "url": "http://www.albertbrooks.com/"} +{"d:Title": "Rotten Tomatoes: James L. Brooks", "d:Description": "Contains image gallery, news, filmography and awards.", "topic": "Top/Arts/People/B/Brooks,_James_L.", "url": "http://www.rottentomatoes.com/celebrity/james_l_brooks/"} +{"d:Title": "Yahoo! Movies: James L Brooks", "d:Description": "Contains biography, filmography, awards and nominations.", "topic": "Top/Arts/People/B/Brooks,_James_L.", "url": "http://movies.yahoo.com/person/james-l-brooks/"} +{"d:Title": "Internet Movie Database: James L. Brooks", "d:Description": "Includes filmography, biography, image gallery, news, awards and nominations.", "topic": "Top/Arts/People/B/Brooks,_James_L.", "url": "http://www.imdb.com/name/nm0000985/"} +{"d:Title": "All Movie Guide: James L. Brooks", "d:Description": "Biography, filmography and awards.", "topic": "Top/Arts/People/B/Brooks,_James_L.", "url": "http://www.allmovie.com/artist/james-l-brooks-p83155"} +{"d:Title": "TV.com: Brooks, James L.", "d:Description": "Includes roles and appearances.", "topic": "Top/Arts/People/B/Brooks,_James_L.", "url": "http://www.tv.com/people/james-l-brooks/"} +{"d:Title": "Mel Brooks Movie Site", "d:Description": "Sound files, pictures and cast listings for seven of Mel Brooks funniest movies, including Blazing Saddles, Spaceballs and Young Frankenstein.", "topic": "Top/Arts/People/B/Brooks,_Mel", "url": "http://www.ladyofthecake.com/mel/"} +{"d:Title": "Mel Brooks News: Topix", "d:Description": "News about Mel Brooks continually updated from around the net.", "topic": "Top/Arts/People/B/Brooks,_Mel", "url": "http://www.topix.com/who/mel-brooks"} +{"d:Title": "IMDb: Julie Brown", "d:Description": "Filmography, pictures, television schedule and trivia.", "topic": "Top/Arts/People/B/Brown,_Julie", "url": "http://www.imdb.com/name/nm0113935/"} +{"d:Title": "IMDb: Jerry Bruckheimer", "d:Description": "Filmography, awards, biography, agent, photos, and news.", "topic": "Top/Arts/People/B/Bruckheimer,_Jerry", "url": "http://www.imdb.com/name/nm0000988/"} +{"d:Title": "That's Militainment", "d:Description": "A portrait of the producer of Profiles from the Front Line. The Guardian, UK.", "topic": "Top/Arts/People/B/Bruckheimer,_Jerry", "url": "http://www.theguardian.com/film/2002/may/22/artsfeatures.afghanistan"} +{"d:Title": "Yul Brynner: Quite Simply, The King", "d:Description": "Meredy's salute to the classic actor in words, images and sounds. Free downloads.", "topic": "Top/Arts/People/B/Brynner,_Yul", "url": "http://www.meredy.com/yulbrynner/"} +{"d:Title": "Yul Brynner Worshippers WebRing", "d:Description": "Websites of fans of the actor.", "topic": "Top/Arts/People/B/Brynner,_Yul", "url": "http://www.webring.org/hub?ring=yulbrynnerworshi"} +{"d:Title": "IMDb: Yul Brynner", "d:Description": "Filmography of his acting and directing credits.", "topic": "Top/Arts/People/B/Brynner,_Yul", "url": "http://www.imdb.com/name/nm0000989/"} +{"d:Title": "Sandra Bullock Online", "d:Description": "Features news weblog and links.", "topic": "Top/Arts/People/B/Bullock,_Sandra", "url": "http://sandrabullockonline.freakyenough.com/"} +{"d:Title": "Yahoo! Movies: Sandra Bullock", "d:Description": "Contains photographs, filmography and biography.", "topic": "Top/Arts/People/B/Bullock,_Sandra", "url": "http://movies.yahoo.com/person/sandra-bullock/"} +{"d:Title": "IMDb: Sandra Bullock", "d:Description": "Includes filmography, profile, trivia, quotes, and pictures.", "topic": "Top/Arts/People/B/Bullock,_Sandra", "url": "http://www.imdb.com/name/nm0000113/"} +{"d:Title": "Thespian Net - Sandra Bullock", "d:Description": "Guide to actors and actresses. Includes short history, filmography, links and images.", "topic": "Top/Arts/People/B/Bullock,_Sandra", "url": "http://www.thespiannet.com/actresses/B/bullock_sandra/sandra_bullock.shtml"} +{"d:Title": "CNN - Sandra Bullock in the Eye With Forces of Nature", "d:Description": "Andy Culpepper talks with the star and producer about her taking a sabbatical. Includes links and photo.", "topic": "Top/Arts/People/B/Bullock,_Sandra/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9903/23/forces.of.nature/"} +{"d:Title": "Digital Hit: Sandra Bullock", "d:Description": "About the actress receiving a Golden Globe nomination for her role in Miss Congeniality. Includes links and a brief profile.", "topic": "Top/Arts/People/B/Bullock,_Sandra/Articles_and_Interviews", "url": "http://www.digitalhit.com/cr/sandrabullock/"} +{"d:Title": "Adriaan's Sandra Bullock Homepage", "d:Description": "Tribute to the actress. Includes pictures, biography, and links.", "topic": "Top/Arts/People/B/Bullock,_Sandra/Fan_Pages", "url": "http://www.sandrafan.nl/SBhome.swf"} +{"d:Title": "Simply Sandra", "d:Description": "Contains news, biography, filmography, photos and links.", "topic": "Top/Arts/People/B/Bullock,_Sandra/Fan_Pages", "url": "http://simplysandra2001.tripod.com/"} +{"d:Title": "The Girl Next Door", "d:Description": "Includes news, images, quotes and personal accounts of people who have met her in person.", "topic": "Top/Arts/People/B/Bullock,_Sandra/Fan_Pages", "url": "http://sandrabullock.atspace.com/"} +{"d:Title": "Old Socks - Sandra Bullock", "d:Description": "Provides photos that can be used as wallpapers.", "topic": "Top/Arts/People/B/Bullock,_Sandra/Image_Galleries", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Sandra+Bullock"} +{"d:Title": "Star Unveiling Ceremonies", "d:Description": "Candid photos of actress receiving her star on the Hollywood Walk of Fame, in 2005.", "topic": "Top/Arts/People/B/Bullock,_Sandra/Image_Galleries", "url": "http://www.seeing-stars.com/Awards/SandraBullockStar.shtml"} +{"d:Title": "The Carol Burnett Show", "d:Description": "A look at the many Carol Burnett series and specials.", "topic": "Top/Arts/People/B/Burnett,_Carol", "url": "http://www.tvparty.com/vaburnett.html"} +{"d:Title": "ClassicTVHits: Carol Burnett Show", "d:Description": "Includes show and cast information, photographs, and related links.", "topic": "Top/Arts/People/B/Burnett,_Carol", "url": "http://www.classictvhits.com/showcards/carolburnettshow"} +{"d:Title": "Carol Burnett News: Topix", "d:Description": "News about Carol Burnett continually updated from around the net.", "topic": "Top/Arts/People/B/Burnett,_Carol", "url": "http://www.topix.com/who/carol-burnett"} +{"d:Title": "All Things Carol Burnett", "d:Description": "Fan site. News, appearance schedule, photos, videos, and message board.", "topic": "Top/Arts/People/B/Burnett,_Carol", "url": "http://www.carolburnettfan.com/"} +{"d:Title": "Biography.com: Carol Burnett", "d:Description": "Information on the life of the television actress and comedienne.", "topic": "Top/Arts/People/B/Burnett,_Carol", "url": "http://www.biography.com/people/carol-burnett-9231937"} +{"d:Title": "Yahoo! Groups: Private Reiben", "d:Description": "A place for fans to post messages.", "topic": "Top/Arts/People/B/Burns,_Edward", "url": "http://groups.yahoo.com/group/privatereiben/"} +{"d:Title": "IMDb: Edward Burns", "d:Description": "Features a list of films the actor has worked on, profile, and photo gallery.", "topic": "Top/Arts/People/B/Burns,_Edward", "url": "http://www.imdb.com/name/nm0122653/"} +{"d:Title": "George Burns' Hollywood", "d:Description": "Places in and around L.A./Hollywood related to George Burns' life and death.", "topic": "Top/Arts/People/B/Burns,_George", "url": "http://www.seeing-stars.com/StarIndexes/GeorgeBurns.shtml"} +{"d:Title": "My Favorite Treasure Steve Burns", "d:Description": "Fan site with pictures and quotes.", "topic": "Top/Arts/People/B/Burns,_Steve", "url": "http://www.angelfire.com/on/jerethlabyrinth/bluesclues.html"} +{"d:Title": "Internet Movie Database: Steve Burns", "d:Description": "Includes filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/People/B/Burns,_Steve", "url": "http://www.imdb.com/name/nm0122886/"} +{"d:Title": "Cincinnati Enquirer.com - 'Blue's Clues' host very much alive", "d:Description": "About rumors of his death, the effect it has had on children who have heard the rumor and Blue's Clues.", "topic": "Top/Arts/People/B/Burns,_Steve/Articles_and_Interviews", "url": "http://enquirer.com/editions/1999/08/25/jki_blues_clues_host.html"} +{"d:Title": "Urban Legends Reference Pages: Demise and Shine", "d:Description": "Discusses and debunks the rumors of Burns' death; includes video clip of him on \"The Rosie O'Donnell Show.\"", "topic": "Top/Arts/People/B/Burns,_Steve/Articles_and_Interviews", "url": "http://www.snopes.com/radiotv/tv/bluesclues.asp"} +{"d:Title": "Yahoo! Group - Big, Bad Beautiful Steve", "d:Description": "Yahoo! discussion group.", "topic": "Top/Arts/People/B/Burns,_Steve/Chats_and_Forums", "url": "http://groups.yahoo.com/group/bigbadbeautiufulsteve/"} +{"d:Title": "Forever Steve Burns", "d:Description": "Yahoo! discussion group.", "topic": "Top/Arts/People/B/Burns,_Steve/Chats_and_Forums", "url": "http://groups.yahoo.com/group/foreversteveburns/"} +{"d:Title": "Steve Burns Army", "d:Description": "Yahoo! discussion group.", "topic": "Top/Arts/People/B/Burns,_Steve/Chats_and_Forums", "url": "http://groups.yahoo.com/group/steveburnsarmy"} +{"d:Title": "Steve Burns Club", "d:Description": "Yahoo! discussion group.", "topic": "Top/Arts/People/B/Burns,_Steve/Chats_and_Forums", "url": "http://groups.yahoo.com/group/steveburnsclub/"} +{"d:Title": "Steve Burns Fan Club", "d:Description": "Yahoo! discussion group.", "topic": "Top/Arts/People/B/Burns,_Steve/Chats_and_Forums", "url": "http://groups.yahoo.com/group/steveburnsfanclub/"} +{"d:Title": "LiveJournal - Steve Burns Community", "d:Description": "Community for blogs.", "topic": "Top/Arts/People/B/Burns,_Steve/Chats_and_Forums", "url": "http://www.livejournal.com/userinfo.bml?user=steveburns"} +{"d:Title": "BBC - LeVar Burton", "d:Description": "Interview covering Burton's experiences working on Star Trek.", "topic": "Top/Arts/People/B/Burton,_LeVar", "url": "http://www.bbc.co.uk/cult/st/interviews/burton/"} +{"d:Title": "IMDb - LeVar Burton", "d:Description": "Biography, TV and movie credits, and trivia.", "topic": "Top/Arts/People/B/Burton,_LeVar", "url": "http://www.imdb.com/name/nm0000996/"} +{"d:Title": "Silicon Radio: Ben Burtt", "d:Description": "Silicon Valley Radio interview transcript.", "topic": "Top/Arts/People/B/Burtt,_Ben", "url": "http://www.transmitmedia.com/svr/vault/burtt/"} +{"d:Title": "NOVA Online: Special Effects - Behind the Scenes", "d:Description": "An interview with Ben Burtt from PBS Online.", "topic": "Top/Arts/People/B/Burtt,_Ben", "url": "http://www.pbs.org/wgbh/nova/specialfx/kingkong/fall.html"} +{"d:Title": "IMDb: Ben Burtt", "d:Description": "Filmography.", "topic": "Top/Arts/People/B/Burtt,_Ben", "url": "http://imdb.com/name/nm0123785/"} +{"d:Title": "Sound Design of Star Wars", "d:Description": "An article detailing the man behind the sound effects of Star Wars.", "topic": "Top/Arts/People/B/Burtt,_Ben", "url": "http://filmsound.org/starwars/"} +{"d:Title": "Highlights from a Discussion with Ben Burtt", "d:Description": "An interview about his work on the Star Wars films.", "topic": "Top/Arts/People/B/Burtt,_Ben", "url": "http://starwarsblog.starwars.com/index.php/2007/05/26/highlights-from-a-discussion-with-ben-burtt/"} +{"d:Title": "Yahoo! Groups: Steve Buscemi News", "d:Description": "E-mail news list.", "topic": "Top/Arts/People/B/Buscemi,_Steve", "url": "http://groups.yahoo.com/group/Steve_Buscemi_News/"} +{"d:Title": "LA Auditions: Steve Buscemi", "d:Description": "Biography.", "topic": "Top/Arts/People/B/Buscemi,_Steve", "url": "http://www.laauditions.com/bios/steve_buscemi.htm"} +{"d:Title": "The Numbers: Steve Buscemi", "d:Description": "Detailed box office information.", "topic": "Top/Arts/People/B/Buscemi,_Steve", "url": "http://www.the-numbers.com/people/SBUSC.php"} +{"d:Title": "Steve Buscemi News: Topix", "d:Description": "News about Steve Buscemi continually updatedfrom around the net.", "topic": "Top/Arts/People/B/Buscemi,_Steve", "url": "http://www.topix.com/who/steve-buscemi"} +{"d:Title": "Rotten Tomatoes: Steve Buscemi", "d:Description": "Film reviews, ratings, news and forum.", "topic": "Top/Arts/People/B/Buscemi,_Steve", "url": "http://www.rottentomatoes.com/celebrity/steve_buscemi/"} +{"d:Title": "Hollywood Stock Exchange", "d:Description": "Coverage of Steve Buscemi in Star Bonds.", "topic": "Top/Arts/People/B/Buscemi,_Steve", "url": "http://www.hsx.com/security/view/SBUSC"} +{"d:Title": "Internet Movie Database: Steve Buscemi", "d:Description": "Filmography, user movie ratings, awards, nominations and links.", "topic": "Top/Arts/People/B/Buscemi,_Steve", "url": "http://www.imdb.com/name/nm0000114/"} +{"d:Title": "National Public Radio: Actor Steve Buscemi", "d:Description": "A twelve-minute audio interview in Real Media format.", "topic": "Top/Arts/People/B/Buscemi,_Steve/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=867392"} +{"d:Title": "Guardian Unlimited: Steve Buscemi Interview", "d:Description": "July 2001 interview with Steve Buscemi -- \"one of the most recognisable faces and voices in contemporary cinema.\"", "topic": "Top/Arts/People/B/Buscemi,_Steve/Articles_and_Interviews", "url": "http://www.theguardian.com/film/2001/jul/12/guardianinterviewsatbfisouthbank"} +{"d:Title": "Salon.com: Steve Buscemi Interview", "d:Description": "A November 2000 conversation with Steve Buscemi about directing Animal Factory and his career.", "topic": "Top/Arts/People/B/Buscemi,_Steve/Articles_and_Interviews", "url": "http://www.salon.com/2000/11/13/buscemi/"} +{"d:Title": "Steve Buscemi Tribute", "d:Description": "Includes pictures, filmography, biography, sounds and a quiz.", "topic": "Top/Arts/People/B/Buscemi,_Steve/Fan_Pages", "url": "http://www.angelfire.com/tn/stevebuscemifan/"} +{"d:Title": "Eim's Steve Buscemi page", "d:Description": "A small tribute with a short bio, photos and sound files.", "topic": "Top/Arts/People/B/Buscemi,_Steve/Fan_Pages", "url": "http://eimproductions.tripod.com/steve.html"} +{"d:Title": "Sugar: The Site", "d:Description": "Actress, model, theater cabaret performer.", "topic": "Top/Arts/People/C/Cain,_Sugar", "url": "http://www.sugarsite.com/"} +{"d:Title": "IMDb - Sugar Cain", "d:Description": "Filmography and links.", "topic": "Top/Arts/People/C/Cain,_Sugar", "url": "http://www.imdb.com/name/nm0128964/"} +{"d:Title": "Bruce Campbell Online", "d:Description": "Official site. Contains news, articles, biography, episode guides, galleries, and links.", "topic": "Top/Arts/People/C/Campbell,_Bruce", "url": "http://www.bruce-campbell.com/"} +{"d:Title": "Home of Bruce", "d:Description": "Fan site with filmography, news, articles, character portraits, fan art, images, and links.", "topic": "Top/Arts/People/C/Campbell,_Bruce", "url": "http://www.angelfire.com/ny3/thehomeofbruce/main.html"} +{"d:Title": "Almost the Sexiest Man on the Planet", "d:Description": "Fan site with a biography, filmography, pictures, and links.", "topic": "Top/Arts/People/C/Campbell,_Bruce", "url": "http://www.relaxorium.com/bruce.html"} +{"d:Title": "IMDb - Bruce Campbell", "d:Description": "Includes a filmography, biographical information, photographs and links.", "topic": "Top/Arts/People/C/Campbell,_Bruce", "url": "http://www.imdb.com/name/nm0132257/"} +{"d:Title": "Bruce Campbell News: Topix", "d:Description": "News about Bruce Campbell continually updated from around the net.", "topic": "Top/Arts/People/C/Campbell,_Bruce", "url": "http://www.topix.com/who/bruce-campbell"} +{"d:Title": "Thespian Net - Bruce Campbell", "d:Description": "Includes a biography, acting credits, interviews, articles, pictures, and links.", "topic": "Top/Arts/People/C/Campbell,_Bruce", "url": "http://www.thespiannet.com/actors/C/campbell_bruce/bruce_campbell.shtml"} +{"d:Title": "Rotten Tomatoes - Bruce Campbell", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/People/C/Campbell,_Bruce", "url": "http://www.rottentomatoes.com/celebrity/bruce_campbell/"} +{"d:Title": "Thomas Campion (1567-1620)", "d:Description": "\"Thomas Campion, Renaissance English poet and composer. Life, Works, Resources.\" Webpages devoted to Campion and his poetry at luminarium.org.", "topic": "Top/Arts/People/C/Campion,_Thomas", "url": "http://www.luminarium.org/renlit/campion.htm"} +{"d:Title": "Thomas Campion (Campian)", "d:Description": "Biography, family background, and links to related composers, music styles, historical context, and partial discography. From the Here of a Sunday Morning radio program.", "topic": "Top/Arts/People/C/Campion,_Thomas", "url": "http://www.hoasm.org/IVM/Campion.html"} +{"d:Title": "Thomas Campion", "d:Description": "Brief biographical sketch, comments on vocal music, and Naxos discography.", "topic": "Top/Arts/People/C/Campion,_Thomas", "url": "http://www.naxos.com/composerinfo/169.htm"} +{"d:Title": "Thomas Campion", "d:Description": "The Academy of American Poets presents a biography and links to further reading.", "topic": "Top/Arts/People/C/Campion,_Thomas", "url": "http://www.poets.org/poetsorg/poet/thomas-campion"} +{"d:Title": "The Works of Thomas Campion", "d:Description": "Verse and writngs etexts.", "topic": "Top/Arts/People/C/Campion,_Thomas/Literary_Works", "url": "http://www.luminarium.org/renlit/campbib.htm"} +{"d:Title": "Thomas Campion - Selected Works", "d:Description": "At the Poets' Corner website.", "topic": "Top/Arts/People/C/Campion,_Thomas/Literary_Works", "url": "http://www.theotherpages.org/poems/campion1.html"} +{"d:Title": "Now Winter Nights Enlarge", "d:Description": "Poem by Campion.", "topic": "Top/Arts/People/C/Campion,_Thomas/Literary_Works", "url": "http://www.naic.edu/~gibson/poems/campion3.html"} +{"d:Title": "My Sweetest Lesbia", "d:Description": "Poem at University of Calgary", "topic": "Top/Arts/People/C/Campion,_Thomas/Literary_Works", "url": "http://www.naic.edu/~gibson/poems/campion1.html"} +{"d:Title": "Gabriel Campisi - Filmmaker", "d:Description": "Official site containing a biography, photographs and filmography, with news items, links, contact details and developmental scripts in PDF format.", "topic": "Top/Arts/People/C/Campisi,_Gabriel", "url": "http://www.gabrielcampisi.com/"} +{"d:Title": "IMDb - Gabriel Campisi", "d:Description": "List of directing, producing and cinematography credits.", "topic": "Top/Arts/People/C/Campisi,_Gabriel", "url": "http://www.imdb.com/name/nm0133081/"} +{"d:Title": "Moonlight on Van Vliet - The Captain Beefheart Radar Station", "d:Description": "Focuses on the art, poetry and music of Don van Vliet aka Captain Beefheart. Chat room, message board, discussion list, images of over 70 of his paintings, articles, interviews and photographs.", "topic": "Top/Arts/People/C/Captain_Beefheart", "url": "http://www.beefheart.com/"} +{"d:Title": "Media Spin Honors Captain Beefheart", "d:Description": "Photographs and RealAudio clips of Captain Beefheart and The Magic Band by Hank Grebe of Media Spin Interactive, Inc.", "topic": "Top/Arts/People/C/Captain_Beefheart", "url": "http://www.mediaspin.com/dvvliet.html"} +{"d:Title": "Home Page Replica", "d:Description": "Features discography, articles, lyrics, FAQ and links.", "topic": "Top/Arts/People/C/Captain_Beefheart", "url": "http://www.shiningsilence.com/hpr/"} +{"d:Title": "Captain Beefheart Electricity", "d:Description": "News, future items, interviews, articles, photos, band lineups, discography, bibliography, books, media shows and gig reviews.", "topic": "Top/Arts/People/C/Captain_Beefheart", "url": "http://www.freewebs.com/teejo/"} +{"d:Title": "The Lowe Gallery: Don Van Vliet", "d:Description": "Images of paintings, list of exhibitions, and a critical essay.", "topic": "Top/Arts/People/C/Captain_Beefheart", "url": "http://lowegallery.com/artists/index-scrollbar.php?artist=don-van-vliet"} +{"d:Title": "RollingStone.com: Captain Beefheart and the Magic Band", "d:Description": "Includes a biography, discography, photos, links and message board.", "topic": "Top/Arts/People/C/Captain_Beefheart", "url": "http://www.rollingstone.com/music/artists/captain-beefheart"} +{"d:Title": "The Guardian: Captain Fantastic", "d:Description": "Reminiscences of the band from Matt Groening, creator of \"The Simpsons.\"", "topic": "Top/Arts/People/C/Captain_Beefheart", "url": "http://www.theguardian.com/music/2003/apr/07/artsfeatures.popandrock"} +{"d:Title": "IMDb: Steve Carell", "d:Description": "Filmography, awards, biography, agent, discussions, photographs, news articles and fan sites.", "topic": "Top/Arts/People/C/Carell,_Steve", "url": "http://www.imdb.com/name/nm0136797/"} +{"d:Title": "PopEntertainment.com: Steve Carell Gets Experienced", "d:Description": "The cult-favorite comedian tells Brad Balfour about finally nailing it in \"The 40 Year-Old Virgin.\"", "topic": "Top/Arts/People/C/Carell,_Steve", "url": "http://www.popentertainment.com/carell.htm"} +{"d:Title": "Boy Wonder: Steve Carell", "d:Description": "Fan site has chat transcripts, articles and interviews for the star of \"The Daily Show.\"", "topic": "Top/Arts/People/C/Carell,_Steve", "url": "http://www.angelfire.com/rebellion/steve_carell/"} +{"d:Title": "Today: Steve Carell learns to love his first time", "d:Description": "Star of \"Virgin\" is adjusting (quite well) to a sudden bout of fame.", "topic": "Top/Arts/People/C/Carell,_Steve", "url": "http://www.today.com/id/8986097"} +{"d:Title": "Reason Magazine - Drew Carey", "d:Description": "Interview conducted by Nick Gillespie and Steve Kurtz.", "topic": "Top/Arts/People/C/Carey,_Drew", "url": "http://reason.com/archives/1997/11/01/stand-up-guy"} +{"d:Title": "IMDb - Drew Carey", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/People/C/Carey,_Drew", "url": "http://www.imdb.com/name/nm0004804/"} +{"d:Title": "Drew Carey Fan Page", "d:Description": "News, photos, trivia, polls and a chat room.", "topic": "Top/Arts/People/C/Carey,_Drew", "url": "http://jondenver.tripod.com/jonny.html"} +{"d:Title": "George Carlin Official Site", "d:Description": "Includes a biography in timeline format, photographs, multimedia clips, merchandise, a forum and documents relating to the FCC vs. Pacifica Foundation case against the comedian's \"Seven Filthy Words\" routine.", "priority": "1", "topic": "Top/Arts/People/C/Carlin,_George", "url": "http://georgecarlin.com/"} +{"d:Title": "IMDb - George Carlin", "d:Description": "Filmography with acting, writing, composing, and production credits, a biography and photographs.", "topic": "Top/Arts/People/C/Carlin,_George", "url": "http://www.imdb.com/name/nm0137506/"} +{"d:Title": "IMDb - Adam Carolla", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/People/C/Carolla,_Adam", "url": "http://www.imdb.com/name/nm0004805/"} +{"d:Title": "Jim Carrey Online", "d:Description": "Provides desktop materials, multimedia clips, news items, reviews and reports on fans' encounters with the actor.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.jimcarreyonline.com/"} +{"d:Title": "The Jim Carrey Site", "d:Description": "Contains news, biography, filmography, video clips, sound files, pictures, scripts, trivia, links and a list of continuity errors.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://home.swipnet.se/~w-84328/"} +{"d:Title": "Jim Carrey World", "d:Description": "Fan site including a biography, pictures, sound clips, filmography, FAQs, continuity errors, projects, and quotes.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://jimcarreyworld.tripod.com/"} +{"d:Title": "Jim Carrey", "d:Description": "Fan site including a biography, filmography, related links and information.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.angelfire.com/celeb2/jimcarreyfan/"} +{"d:Title": "Maria's Jim Carrey Page", "d:Description": "Filmography, biography, pictures, and movie reviews.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.angelfire.com/nj3/jameseugenecarrey/"} +{"d:Title": "Jim Carrey Filmography", "d:Description": "Fan written synopses and reviews.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.angelfire.com/me3/anysubject/carrey.html"} +{"d:Title": "Funnyman stretches acting muscles with range of roles", "d:Description": "Interview with the actor, including his life-altering encounter with Jimmy Stewart.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://csmonitor.com/2002/0118/p20s01-alip.html"} +{"d:Title": "The Movie Times", "d:Description": "Box office information for all of the actor's movies, as well as biographical information, links, and pictures.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jimcarrey"} +{"d:Title": "My Mentor Is Mr. Jim Carrey", "d:Description": "Mailing list with photographs and links.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://groups.yahoo.com/group/mymentorismrjimcarrey"} +{"d:Title": "Jim Carrey News: Topix", "d:Description": "News about Jim Carrey continually updated from around the net.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.topix.com/who/jim-carrey"} +{"d:Title": "Topix: Jim Carrey", "d:Description": "News about Jim Carrey, collected from various sources on the web.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.topix.com/rss/who/jim-carrey.xml"} +{"d:Title": "Box Office Mojo - Jim Carrey", "d:Description": "Box office data for all his films.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.boxofficemojo.com/people/chart/?id=jimcarrey.htm"} +{"d:Title": "AskMen.com : Jim Carrey", "d:Description": "Biography, commentary and selected links.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://uk.askmen.com/celebs/men/january00/7_jim_carrey.html"} +{"d:Title": "IMDb - Jim Carrey", "d:Description": "Filmography, television appearances, profile and photographs.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://www.imdb.com/name/nm0000120/"} +{"d:Title": "Canoe - Jim Carrey", "d:Description": "A collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/People/C/Carrey,_Jim", "url": "http://jam.canoe.com/Movies/Artists/C/Carrey_Jim/"} +{"d:Title": "Chris Carter Interview", "d:Description": "Question and answer session conducted on the Gabereau Show.", "topic": "Top/Arts/People/C/Carter,_Chris", "url": "http://www.mjq.net/xfiles/ccarter.htm"} +{"d:Title": "CarteX", "d:Description": "Includes a biography, filmography, pictures, links, background reading, and reviews.", "topic": "Top/Arts/People/C/Carter,_Chris", "url": "http://sarah-andrews.tripod.com/CarteX"} +{"d:Title": "CNN - Chris Carter", "d:Description": "A variety of quotes and opinions uttered by the producer/director.", "topic": "Top/Arts/People/C/Carter,_Chris", "url": "http://www.cnn.com/SHOWBIZ/Movies/9807/08/chris.carter/"} +{"d:Title": "IMDb - Chris Carter (I)", "d:Description": "A list of writing, producing and directing credits with biographical information, photographs and links.", "topic": "Top/Arts/People/C/Carter,_Chris", "url": "http://www.imdb.com/name/nm0004810/"} +{"d:Title": "Salon.com - A close encounter with Chris Carter", "d:Description": "\"When the creator of \"The X-Files\" makes a rare public appearance, things begin to get weird.\"", "topic": "Top/Arts/People/C/Carter,_Chris", "url": "http://www.salon.com/2000/04/28/chriscarter/"} +{"d:Title": "Dana Carvey Fan Page", "d:Description": "Provides a biography, pictures, lyrics and links.", "topic": "Top/Arts/People/C/Carvey,_Dana", "url": "http://danacarveylvr.1colony.com/index.html"} +{"d:Title": "USAToday.com - Heart operation no laugh for Dana Carvey", "d:Description": "By Mike Falcon", "topic": "Top/Arts/People/C/Carvey,_Dana", "url": "http://www.usatoday.com/news/health/spotlight/2001-11-05-carvey-heart.htm"} +{"d:Title": "IMDb - Dana Carvey", "d:Description": "Filmography, notable television guest appearances, photographs and trivia.", "topic": "Top/Arts/People/C/Carvey,_Dana", "url": "http://www.imdb.com/name/nm0001022/"} +{"d:Title": "IMDb - Chris Casamassa", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/C/Casamassa,_Chris", "url": "http://www.imdb.com/name/nm0142876/"} +{"d:Title": "John Cassavetes", "d:Description": "Provides a synopsis of 3 of the director's films.", "topic": "Top/Arts/People/C/Cassavetes,_John", "url": "http://www.filmref.com/directors/dirpages/cassavetes.html"} +{"d:Title": "Find A Grave - John Cassavetes", "d:Description": "The precise location of the director's grave, with a photograph and an exact cause of death.", "topic": "Top/Arts/People/C/Cassavetes,_John", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1838"} +{"d:Title": "IMDb - John Cassavetes", "d:Description": "Biography, trivia and filmography.", "topic": "Top/Arts/People/C/Cassavetes,_John", "url": "http://www.imdb.com/name/nm0001023/"} +{"d:Title": "David Cassidy.com", "d:Description": "Official website containing news items, tour dates, concert reviews, multimedia clips, biographical information, a mailing list and merchandise.", "topic": "Top/Arts/People/C/Cassidy,_David", "url": "http://www.davidcassidy.com/"} +{"d:Title": "David Cassidy Photo Gallery", "d:Description": "Fan page containing a variety of original photographs.", "topic": "Top/Arts/People/C/Cassidy,_David", "url": "http://www.angelfire.com/ne/dcassidy2/"} +{"d:Title": "Sound Waves - David Cassidy", "d:Description": "Interview with the performer, conducted by Bill Harriman.", "topic": "Top/Arts/People/C/Cassidy,_David", "url": "http://www.swaves.com/Back_Issues/Mar01/DCassidy.htm"} +{"d:Title": "IMDb - David Cassidy", "d:Description": "Photographs, filmography and notable television guest appearances.", "topic": "Top/Arts/People/C/Cassidy,_David", "url": "http://www.imdb.com/name/nm0144180/"} +{"d:Title": "The Internet Movie Database: Katie Cassidy", "d:Description": "Biography, film and TV credits, pictures and trivia.", "topic": "Top/Arts/People/C/Cassidy,_Katie", "url": "http://www.imdb.com/name/nm1556320/"} +{"d:Title": "Shaun Cassidy and Parker Stevenson", "d:Description": "Photographs, links, and fan fiction. Focuses on their roles as the Hardy Boys.", "topic": "Top/Arts/People/C/Cassidy,_Shaun", "url": "http://members.tripod.com/mrs_joe_hardy/"} +{"d:Title": "IMDb - Shaun Cassidy", "d:Description": "Filmography, a list of writing and directing credits, trivia, photographs and links.", "topic": "Top/Arts/People/C/Cassidy,_Shaun", "url": "http://www.imdb.com/name/nm0001027/"} +{"d:Title": "IMDb - Federico Castelluccio", "d:Description": "Filmography, trivia and a photograph.", "topic": "Top/Arts/People/C/Castelluccio,_Federico", "url": "http://www.imdb.com/name/nm0144843/"} +{"d:Title": "Class Act - Marge&Gower Champion", "d:Description": "Fan page containing biographies, filmographies, a list of the couple's stage and television work, photographs and links.", "topic": "Top/Arts/People/C/Champion,_Gower_and_Marge", "url": "http://www.classicmoviemusicals.com/champ2.htm"} +{"d:Title": "IMDb - Gower Champion", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/C/Champion,_Gower_and_Marge", "url": "http://www.imdb.com/name/nm0150706/"} +{"d:Title": "IMDb - Marge Champion", "d:Description": "Trivia, filmography and notable television guest appearances.", "topic": "Top/Arts/People/C/Champion,_Gower_and_Marge", "url": "http://www.imdb.com/name/nm0150718/"} +{"d:Title": "Dancer History Archives - Gower and Marge Champion", "d:Description": "Biographical information, filmographies and a list of dance styles employed by the couple.", "topic": "Top/Arts/People/C/Champion,_Gower_and_Marge", "url": "http://www.streetswing.com/histmai2/d2chmpn1.htm"} +{"d:Title": "The Official Jackie Chan Fan Club USA", "d:Description": "Includes news items, film posters and synopses, photographs, a FAQ, membership information and related links.", "topic": "Top/Arts/People/C/Chan,_Jackie", "url": "http://www.jackiechanfans.com/"} +{"d:Title": "Jackie Chan News: Topix", "d:Description": "News about Jackie Chan continually updated from around the net.", "topic": "Top/Arts/People/C/Chan,_Jackie", "url": "http://www.topix.com/who/jackie-chan"} +{"d:Title": "Rotten Tomatoes - Jackie Chan", "d:Description": "Filmography and synopses with links to articles and news.", "topic": "Top/Arts/People/C/Chan,_Jackie", "url": "http://www.rottentomatoes.com/celebrity/jackie_chan/"} +{"d:Title": "IMDb - Jackie Chan", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/People/C/Chan,_Jackie", "url": "http://www.imdb.com/name/nm0000329/"} +{"d:Title": "The-Numbers - Jackie Chan", "d:Description": "Filmography with box office statistics.", "topic": "Top/Arts/People/C/Chan,_Jackie", "url": "http://www.the-numbers.com/person/26510401-Jackie-Chan"} +{"d:Title": "SplicedWire.com - Does Jackie Chan dream of eclectic stunts?", "d:Description": "\"Hong Kong action king takes another shot at America.\"", "topic": "Top/Arts/People/C/Chan,_Jackie/Articles_and_Interviews", "url": "http://splicedwire.com/chaninterview.html"} +{"d:Title": "Canoe.ca - Jackie Chan", "d:Description": "A collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/People/C/Chan,_Jackie/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/C/Chan_Jackie/"} +{"d:Title": "Jackie Chan Web Ring", "d:Description": "Sites with content relating to the actor.", "topic": "Top/Arts/People/C/Chan,_Jackie/Directories", "url": "http://www.webring.org/hub?ring=jackieweb"} +{"d:Title": "Jackie Chan Webmasters Web Ring", "d:Description": "Connects various sites devoted to the actor.", "topic": "Top/Arts/People/C/Chan,_Jackie/Directories", "url": "http://www.webring.org/hub?ring=jcwm"} +{"d:Title": "Jackie Chan's Dojo", "d:Description": "Biography and profile, a list of past and upcoming movies, and his personal favorite moments on film.", "topic": "Top/Arts/People/C/Chan,_Jackie/Fan_Pages", "url": "http://jonmonkeyboy.tripod.com/jackiechan/"} +{"d:Title": "Jackie Chan - The Movies", "d:Description": "Plot summaries and reviews, with screen captures, links and details of alternative titles.", "topic": "Top/Arts/People/C/Chan,_Jackie/Fan_Pages", "url": "http://hkfanatic.com/jackie/"} +{"d:Title": "Jackie Chan - Master of Mayhem", "d:Description": "An overview of the actor's films with screen captures and reviews.", "topic": "Top/Arts/People/C/Chan,_Jackie/Fan_Pages", "url": "http://www.angelfire.com/mt/wumps/jackiechan/index.html"} +{"d:Title": "Jackie Chan in America", "d:Description": "An analysis of the actor's work from a U.S. perspective with film release information and box office statistics.", "topic": "Top/Arts/People/C/Chan,_Jackie/Fan_Pages", "url": "http://www.angelfire.com/film/pioneers/"} +{"d:Title": "Our Man Chan", "d:Description": "Filmography, photographs and links.", "topic": "Top/Arts/People/C/Chan,_Jackie/Fan_Pages", "url": "http://shawstudios.com/Jacky.html"} +{"d:Title": "Don Ignacio Pays Tribute to Jackie Chan", "d:Description": "Pictures, a biography and a filmography.", "topic": "Top/Arts/People/C/Chan,_Jackie/Fan_Pages", "url": "http://donignacio.tripod.com/actchan.html"} +{"d:Title": "My World Of Jackie Chan", "d:Description": "Pictures and links.", "topic": "Top/Arts/People/C/Chan,_Jackie/Image_Galleries", "url": "http://candaces-paradise.8m.com/index0.html"} +{"d:Title": "Cu.Kelly", "d:Description": "Includes a discography, profile, links and pictures.", "topic": "Top/Arts/People/C/Chan,_Kelly", "url": "http://www.jrocker.com/kelly/"} +{"d:Title": "IMDb - Nadia Chan", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/C/Chan,_Nadia", "url": "http://www.imdb.com/name/nm0151048/"} +{"d:Title": "Find A Grave - Lon Chaney", "d:Description": "Location of the actor's grave, an exact cause of death, photographs and biographical details.", "topic": "Top/Arts/People/C/Chaney,_Lon", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSln=Chaney&GSfn=Lon&GSbyrel=all&GSdyrel=all&GSob=n&GRid=189&pt=Lon+Chaney&"} +{"d:Title": "IMDb - Lon Chaney", "d:Description": "Filmography, biography, trivia and photographs.", "topic": "Top/Arts/People/C/Chaney,_Lon", "url": "http://www.imdb.com/name/nm0151606/"} +{"d:Title": "The Lon Chaney Home Page", "d:Description": "Includes a complete filmography, movie stills, memorabilia, and video sources.", "topic": "Top/Arts/People/C/Chaney,_Lon", "url": "http://www.lonchaney.org/"} +{"d:Title": "IMDb - Eliot Chang", "d:Description": "Filmography.", "topic": "Top/Arts/People/C/Chang,_Eliot", "url": "http://www.imdb.com/name/nm0151691/"} +{"d:Title": "Charlie Chaplin Archive", "d:Description": "Contains various types of documents such as scripts, sketches, pictures dealing with the movie icon.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.charliechaplinarchive.org/"} +{"d:Title": "Chaplin", "d:Description": "Essay examining the actor's career.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.csse.monash.edu.au/~pringle/silent/chaplin/aaronhale.html"} +{"d:Title": "Association Chaplin", "d:Description": "Provides information on copyrights and live orchestral screenings of the performers films.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.charliechaplin.com/"} +{"d:Title": "Taylorolgy Issue 46", "d:Description": "Text reproductions of contemporary newspaper and magazine articles related to the performer.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.public.asu.edu/~ialong/Taylor46.txt"} +{"d:Title": "Astrocartography of Charlie Chaplin", "d:Description": "Biography focusing on how the planetary metaphor of the Sun was reflected in his life and work. By astrocartographer Rob Couteau.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.dominantstar.com/b_cha.htm"} +{"d:Title": "Find A Grave - Charlie Chaplin", "d:Description": "Location of the actor's grave, photographs and biographical information.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1886"} +{"d:Title": "Chaplin Fans Unite", "d:Description": "Fan site that includes a filmography and information on documentaries, books, and Chaplin's music. Also contains a webring and message board.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://calvero.tripod.com/chaplin/home.htm"} +{"d:Title": "Charlie Chaplin in the Machine Age", "d:Description": "A discussion of the performer's methodology, with a filmography, bibliography and pictures.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://xroads.virginia.edu/~1930s/FILM/chaplin/frames.html"} +{"d:Title": "IMDb - Charles Chaplin", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.imdb.com/name/nm0000122/"} +{"d:Title": "Charles Chaplin: Hollywood Renegade", "d:Description": "Writer, actor and director, also a notable independent producer and founder of SIMPP (Society of Independent Motion Picture Producers). Includes biography and information on his studio and music company.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.cobbles.com/simpp_archive/charlie-chaplin_intro.htm"} +{"d:Title": "Charlie Chaplin News", "d:Description": "Articles of interest for Charlie Chaplin fans.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://chaplinnews.blogspot.com/"} +{"d:Title": "Charlie Chaplin Forum", "d:Description": "Discussion board covering a variety of topics relating to the actor.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://s2.invisionfree.com/comedyclassics/index.php?showforum=207"} +{"d:Title": "Rotten Tomatoes - Charlie Chaplin", "d:Description": "Filmography with links to reviews and news items.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.rottentomatoes.com/celebrity/charlie_chaplin/"} +{"d:Title": "Charles Chaplin", "d:Description": "A collection of stills from several of the actor's films.", "topic": "Top/Arts/People/C/Chaplin,_Charlie", "url": "http://www.moderntimes.com/palace/chaplin/"} +{"d:Title": "IMDb - Graham Chapman", "d:Description": "Filmography, biography, a list of writing and directing credits and links.", "topic": "Top/Arts/People/C/Chapman,_Graham", "url": "http://www.imdb.com/name/nm0001037/"} +{"d:Title": "Find A Grave - Graham Chapman", "d:Description": "Details of the actor's cremation, with a photograph and tributes from followers.", "topic": "Top/Arts/People/C/Chapman,_Graham", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8108&pt=Graham+Chapman"} +{"d:Title": "Legs - A tribute to Cyd Charisse", "d:Description": "Includes a biography, credits, photographs, links, desktop wallpaper, quizzes and polls. [In English and French]", "topic": "Top/Arts/People/C/Charisse,_Cyd", "url": "http://legs.free.fr/"} +{"d:Title": "IMDb - Cyd Charisse", "d:Description": "Filmography, biographical information, trivia and links.", "topic": "Top/Arts/People/C/Charisse,_Cyd", "url": "http://www.imdb.com/name/nm0001998/"} +{"d:Title": "IMDb - Nicola Charles", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/People/C/Charles,_Nicola", "url": "http://www.imdb.com/name/nm0153112/"} +{"d:Title": "IMDb - David Charvet", "d:Description": "Filmography and notable television guest appearances, with a photograph, trivia and links.", "topic": "Top/Arts/People/C/Charvet,_David", "url": "http://www.imdb.com/name/nm0001039/"} +{"d:Title": "Laker Jim's Fletch Won Homepage", "d:Description": "A fan tribute focusing on the character Fletch. Contains downloads, commentary, Fletch information and script, photographs, and related links.", "topic": "Top/Arts/People/C/Chase,_Chevy", "url": "http://www.fletchwon.net/"} +{"d:Title": "IMDb - Chevy Chase", "d:Description": "Filmography, biography, photographs and links .", "topic": "Top/Arts/People/C/Chase,_Chevy", "url": "http://www.imdb.com/name/nm0000331/"} +{"d:Title": "Juhi Chawla", "d:Description": "Thumbnailed photo gallery with about 25 images.", "topic": "Top/Arts/People/C/Chawla,_Juhi", "url": "http://mathewscaria.tripod.com/photogal/juhi1.htm"} +{"d:Title": "IMDb - Juhi Chawla", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/C/Chawla,_Juhi", "url": "http://www.imdb.com/name/nm0004487/"} +{"d:Title": "Cheech and Chong Webpage", "d:Description": "Fan page with pictures, biography, links, and a poll.", "topic": "Top/Arts/People/C/Cheech_and_Chong", "url": "http://www.angelfire.com/celeb/cheechandchong/cheechandchong.html"} +{"d:Title": "BBC News | Entertainment | Film | Cheech and Chong 'plot comeback'", "d:Description": "\"Comedy duo Cheech and Chong are to be reunited for their first film in almost 20 years, a report says.\"", "topic": "Top/Arts/People/C/Cheech_and_Chong", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3090533.stm"} +{"d:Title": "IMDb - Edison Chen", "d:Description": "Filmography and biographical details.", "topic": "Top/Arts/People/C/Chen,_Edison", "url": "http://www.imdb.com/name/nm0155211/"} +{"d:Title": "IMDb - Ekin Cheng", "d:Description": "Filmography and links.", "topic": "Top/Arts/People/C/Cheng,_Ekin", "url": "http://www.imdb.com/name/nm0155562/"} +{"d:Title": "Feel 100% of Sammi", "d:Description": "Concert information, lyrics transliterated from Chinese, downloads, images, and archived news articles.", "topic": "Top/Arts/People/C/Cheng,_Sammi", "url": "http://feel100sammi.tripod.com/"} +{"d:Title": "WebRing: Sammi Cheng", "d:Description": "Links various pages devoted to the star.", "topic": "Top/Arts/People/C/Cheng,_Sammi", "url": "http://www.webring.org/hub/sammi"} +{"d:Title": "IMDb - Sammi Cheng", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/People/C/Cheng,_Sammi", "url": "http://www.imdb.com/name/nm0155618/"} +{"d:Title": "Cher Convention 2004", "d:Description": "Annual event with proceeds going to the Children's Craniofacial Association; includes photos and highlights from past events, details in current events, photos, music clips, and links.", "topic": "Top/Arts/People/C/Cher", "url": "http://www.cherconvention.com/"} +{"d:Title": "Cher.com", "d:Description": "Official site with news, aim icons, music, movies, gallery, postcards, music clips and a forum.", "priority": "1", "topic": "Top/Arts/People/C/Cher", "url": "http://www.cher.com/"} +{"d:Title": "Cher Style", "d:Description": "Features a photograph gallery, news, quotes, wallpaper, forum, style corner, discography, filmography, biography, and links.", "topic": "Top/Arts/People/C/Cher", "url": "http://www.cherstyle.com/"} +{"d:Title": "Cher at IMDb", "d:Description": "Details on films in which Cher appeared, and those she directed or produced, large photo gallery, links", "topic": "Top/Arts/People/C/Cher", "url": "http://www.imdb.com/name/nm0000333/"} +{"d:Title": "Cher, Just Cher", "d:Description": "Pictures, a biography, articles, interviews, and photographs of a series of dolls modelled on the performer.", "topic": "Top/Arts/People/C/Cher/Fan_Pages", "url": "http://www.angelfire.com/tx/cherbarbie/"} +{"d:Title": "The Fabulous Cher", "d:Description": "Contains a biography, filmography and discography with tour dates, a trivia quiz and a project to send a card containing fan tributes to the performer.", "topic": "Top/Arts/People/C/Cher/Fan_Pages", "url": "http://cherfanaticalwayz.tripod.com/"} +{"d:Title": "The Cher Group", "d:Description": "Moderated discussion forum for fans of the singer. [Registration required.]", "topic": "Top/Arts/People/C/Cher/Fan_Pages", "url": "http://groups.yahoo.com/group/cher/"} +{"d:Title": "Laura Steele as Cher", "d:Description": "Information on Laura Steele, a look and sing-a-like for Cher. Biography, photographs, and booking information.", "topic": "Top/Arts/People/C/Cher/Impersonators", "url": "http://chertheexperience.com/"} +{"d:Title": "Ginger Snaps as Cher", "d:Description": "Character actor available for all occasions. News, information, and photographs.", "topic": "Top/Arts/People/C/Cher/Impersonators", "url": "http://gingersnapsascher.homestead.com/"} +{"d:Title": "Oldie Lyrics: Cher", "d:Description": "Lyrics arranged by album.", "topic": "Top/Arts/People/C/Cher/Resources", "url": "http://www.oldielyrics.com/c/cher.html"} +{"d:Title": "Cher News: Topix", "d:Description": "News about Cher continually updated from around the net.", "topic": "Top/Arts/People/C/Cher/Resources", "url": "http://www.topix.com/who/cher"} +{"d:Title": "Artistdirect: Cher", "d:Description": "Includes photographs, audio clips, biography, album information, and bulletin board.", "topic": "Top/Arts/People/C/Cher/Resources", "url": "http://www.artistdirect.com/artist/cher/414225"} +{"d:Title": "Cher Web Ring", "d:Description": "Connects various pages devoted to the performer.", "topic": "Top/Arts/People/C/Cher/Resources", "url": "http://www.webring.org/hub?ring=cher"} +{"d:Title": "VH1artists: Cher", "d:Description": "News, biography, musical influences, tour dates, audio clips, photos, and bulletin board.", "topic": "Top/Arts/People/C/Cher/Resources", "url": "http://www.mtv.com/artists/cher/"} +{"d:Title": "Cecilia Cheung Pictures", "d:Description": "Offers 15 pages of scanned images and photographs.", "topic": "Top/Arts/People/C/Cheung,_Cecilia", "url": "http://www.brns.com/pages2/cecheung1.html"} +{"d:Title": "Asia Finest - Cecilia Cheung", "d:Description": "Biography and photo gallery.", "topic": "Top/Arts/People/C/Cheung,_Cecilia", "url": "http://www.asiafinest.com/chinese/ceciliacheung.htm"} +{"d:Title": "IMDb - Cecilia Cheung", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/C/Cheung,_Cecilia", "url": "http://www.imdb.com/name/nm0156444/"} +{"d:Title": "Cecilia Cheung Gallery", "d:Description": "Provides a selection of photographs and desktop wallpapers.", "topic": "Top/Arts/People/C/Cheung,_Cecilia", "url": "http://myc.0catch.com/images/cc/image001.html"} +{"d:Title": "Jacky Cheung", "d:Description": "Gallery containing 5 scanned photographs of the performer.", "topic": "Top/Arts/People/C/Cheung,_Jacky", "url": "http://www.brns.com/pages3/jacky1.html"} +{"d:Title": "IMDb - Jacky Cheung", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/C/Cheung,_Jacky", "url": "http://www.imdb.com/name/nm0156484/"} +{"d:Title": "IMDb - Julian Cheung", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/People/C/Cheung,_Julian", "url": "http://www.imdb.com/name/nm0156492/"} +{"d:Title": "Leslie Cheung Internet Fan Club", "d:Description": "Includes music and movie information, news, photo gallery, forum, and auction.", "topic": "Top/Arts/People/C/Cheung,_Leslie", "url": "http://www.lesliecheung.com/"} +{"d:Title": "Leslie Cheung.Net", "d:Description": "Includes a profile, photographs, articles, filmography, discography, message board, and links. [In English and Chinese.]", "topic": "Top/Arts/People/C/Cheung,_Leslie", "url": "http://www.leslie-cheung.net/"} +{"d:Title": "Taipei Times - The Leslie Cheung legend lives on", "d:Description": "Career overview and details of his death.", "topic": "Top/Arts/People/C/Cheung,_Leslie", "url": "http://www.taipeitimes.com/News/feat/archives/2003/04/10/201584"} +{"d:Title": "IMDb - Leslie Cheung", "d:Description": "Filmography, profile, photographs and links.", "topic": "Top/Arts/People/C/Cheung,_Leslie", "url": "http://www.imdb.com/name/nm0002000/"} +{"d:Title": "Find A Grave - Maurice Chevalier", "d:Description": "Location of the actor's grave, photographs and tributes from followers.", "topic": "Top/Arts/People/C/Chevalier,_Maurice", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7713"} +{"d:Title": "IMDb - Maurice Chevalier", "d:Description": "Biographical information, photographs and filmography.", "topic": "Top/Arts/People/C/Chevalier,_Maurice", "url": "http://imdb.com/name/nm0002001/"} +{"d:Title": "Wikipedia - Maurice Chevalier", "d:Description": "Biography with related links.", "topic": "Top/Arts/People/C/Chevalier,_Maurice", "url": "http://en.wikipedia.org/wiki/Maurice_Chevalier"} +{"d:Title": "Maurice Chevalier", "d:Description": "Fan page with biography, photographs, reminiscences and related links.", "topic": "Top/Arts/People/C/Chevalier,_Maurice", "url": "http://compmast.tripod.com/chevalie/chevalie.html"} +{"d:Title": "All Movie Guide - Maurice Chevalier", "d:Description": "Biographical information and filmography.", "topic": "Top/Arts/People/C/Chevalier,_Maurice", "url": "http://www.allmovie.com/artist/maurice-chevalier-p12734"} +{"d:Title": "Biography.com - Chevalier, Maurice", "d:Description": "Biography.", "topic": "Top/Arts/People/C/Chevalier,_Maurice", "url": "http://www.biography.com/people/maurice-chevalier-9246489"} +{"d:Title": "Dish Magazine - Dominic Chianese", "d:Description": "Interview with the artist about his acting, music and life. Conducted by Yvonne Aldritch.", "topic": "Top/Arts/People/C/Chianese,_Dominic", "url": "http://www.dishmag.com/issue21/musicfilm/mus30-p1.html"} +{"d:Title": "IMDb: Dominic Chianese", "d:Description": "Includes filmography, biography, and photographs.", "topic": "Top/Arts/People/C/Chianese,_Dominic", "url": "http://imdb.com/name/nm0156940/"} +{"d:Title": "IMDb - Han Chin", "d:Description": "Filmography and links.", "topic": "Top/Arts/People/C/Chin,_Han", "url": "http://www.imdb.com/name/nm0157747/"} +{"d:Title": "DanceSportInfo.net: Maksim Chmerkovskiy and Elena Grinenko", "d:Description": "Provides competition results for the pair from April, 2002 through July, 2005.", "topic": "Top/Arts/People/C/Chmerkovskiy,_Maksim", "url": "http://www.dancesportinfo.net/displayCouple.aspx?coupleId=3629"} +{"d:Title": "Maksim Chmerkovskiy and Elena Grinenko Photo Gallery", "d:Description": "Features 24 photos of the professional dance team.", "topic": "Top/Arts/People/C/Chmerkovskiy,_Maksim", "url": "http://dancesportinfo.net/DisplayGallery.aspx?coupleID=3629"} +{"d:Title": "Yahoo Group: Maksim Chmerkovskiy", "d:Description": "Email based fan group dedicated to the Russian ballroom dancer features discussions, information exchange and photos. Yahoo! ID and registration required.", "topic": "Top/Arts/People/C/Chmerkovskiy,_Maksim", "url": "http://groups.yahoo.com/group/MaksimChmerkovskiy/"} +{"d:Title": "IMDb: Maksim Chmerkovskiy", "d:Description": "Provides filmography and discussion board.", "topic": "Top/Arts/People/C/Chmerkovskiy,_Maksim", "url": "http://www.imdb.com/name/nm2162024/"} +{"d:Title": "Photogallery UK Open 2005: Maksim Chmerkovskiy and Elena Grinenko", "d:Description": "Offers 7 photos of the dance couple from the UK Open 2005 in Bournemouth, England.", "topic": "Top/Arts/People/C/Chmerkovskiy,_Maksim", "url": "http://www.dancesportinfo.net/DisplayGallery.aspx?CoupleId=3629&compId=1305"} +{"d:Title": "IMDb - Tommy Chong", "d:Description": "Filmography and thumbnailed photo gallery.", "topic": "Top/Arts/People/C/Chong,_Tommy", "url": "http://www.imdb.com/name/nm0001045/"} +{"d:Title": "Canoe.ca - Tommy Chong", "d:Description": "Article dealing with the aftermath of a recent legal hassle, in which Tommy Chong wrested his comedy, Best Buds, from the hands of a Canadian director he accused of trying to extort money from him.", "topic": "Top/Arts/People/C/Chong,_Tommy", "url": "http://jam.canoe.com/Movies/Artists/C/Chong_Tommy/"} +{"d:Title": "Vivian Chow's Place", "d:Description": "Includes photographs, news, fan art, letters to followers, multimedia clips, software skins, and a filmography.", "topic": "Top/Arts/People/C/Chow,_Vivian", "url": "http://www.vivianchow.info/"} +{"d:Title": "Ah Huat's Vivian Chow Page", "d:Description": "Fan site with news, biography, picture gallery, music video reviews, and links.", "topic": "Top/Arts/People/C/Chow,_Vivian", "url": "http://members.tripod.com/~ah_huat/"} +{"d:Title": "IMDb - Vivian Chow", "d:Description": "Filmography, links and a list of awards won by the actress.", "topic": "Top/Arts/People/C/Chow,_Vivian", "url": "http://www.imdb.com/name/nm0159514/"} +{"d:Title": "Gil Christner", "d:Description": "Official site, including news items, a list of writing and acting credits, contact information and sound files.", "topic": "Top/Arts/People/C/Christner,_Gil", "url": "http://www.gilchristner.com/"} +{"d:Title": "IMDb - Gil Christner", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/People/C/Christner,_Gil", "url": "http://www.imdb.com/name/nm0160460/"} +{"d:Title": "Dick Clark's American Bandstand", "d:Description": "History of the show, a brief biography of its main protagonist, photographs and information about the weekly regulars.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://www.fiftiesweb.com/bandstnd.htm"} +{"d:Title": "The History of Rock - Dick Clark", "d:Description": "Provides a biography, with photographs and related links.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://www.history-of-rock.com/clark.htm"} +{"d:Title": "AskMen.com: Dick Clark", "d:Description": "Exclusive online interview with pictures, quotes, and \"secret\" facts.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://www.askmen.com/toys/interview_150/157_dick_clark_interview.html"} +{"d:Title": "Rock and Roll Hall of Fame: Dick Clark", "d:Description": "Inductee profile.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://rockhall.com/inductees/dick-clark/"} +{"d:Title": "IMDb - Dick Clark", "d:Description": "Filmography, photographs, biographical information, guest appearances, and crew credits.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://www.imdb.com/name/nm0163863/"} +{"d:Title": "TV.com: Dick Clark", "d:Description": "Starring roles, guest appearances, crew credits, trivia and a photograph.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://www.tv.com/people/dick-clark/"} +{"d:Title": "Wikipedia: Dick Clark", "d:Description": "Very complete encyclopedia biography, including extensive references.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://en.wikipedia.org/wiki/Dick_Clark"} +{"d:Title": "ABC News: Dick Clark, Entertainment Icon Nicknamed 'America's Oldest Teenager,' Dies at 82", "d:Description": "Video and news article about Clark's death.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://abcnews.go.com/Entertainment/dick-clark-entertainment-icon-nicknamed-americas-oldest-teenager/story?id=16076252"} +{"d:Title": "The Museum of Broadcast Communications - Dick Clark", "d:Description": "Biography, a photograph, filmography, and a list of recommended reading.", "topic": "Top/Arts/People/C/Clark,_Dick", "url": "http://www.museum.tv/eotv/clarkdick.htm"} +{"d:Title": "ITDb: James Clavell", "d:Description": "Contains information on \"Shogun: The Musical\" which was performed on Broadway during 1990-1991 and presented by the author.", "topic": "Top/Arts/People/C/Clavell,_James", "url": "http://www.theatredb.com/QShow.php?sid=s0313"} +{"d:Title": "Embracing the Child: James Clavell", "d:Description": "Contains information on the author's book, \"Thrump-O-Moto,\" written for children.", "topic": "Top/Arts/People/C/Clavell,_James", "url": "http://www.embracingthechild.org/bookspecialclavell.htm"} +{"d:Title": "Wikipedia: James Clavell", "d:Description": "Has biographical information about the author/screenwriter and a bibliography of his books.", "topic": "Top/Arts/People/C/Clavell,_James", "url": "http://en.wikipedia.org/wiki/James_Clavell"} +{"d:Title": "Greenwood Publishing Group: James Clavell", "d:Description": "Provides information on the companion guidebook which analyzes the author's books.", "topic": "Top/Arts/People/C/Clavell,_James", "url": "http://www.greenwood.com/catalog/GR9494.aspx"} +{"d:Title": "Yahoo! Movies: James Clavell", "d:Description": "Contains biography, partial listing of filmography, and message board and clubs for the author/screenwriter.", "topic": "Top/Arts/People/C/Clavell,_James", "url": "http://movies.yahoo.com/person/james-clavell/"} +{"d:Title": "IMDb: James Clavell", "d:Description": "Includes information on the author/screenwriter's filmography.", "topic": "Top/Arts/People/C/Clavell,_James", "url": "http://www.imdb.com/name/nm0165412/"} +{"d:Title": "Andrew Dice Clay", "d:Description": "Fan page including a biography, poll, news items, sound files, and poetry.", "topic": "Top/Arts/People/C/Clay,_Andrew_Dice", "url": "http://www.angelfire.com/ny2/diceman/"} +{"d:Title": "King Of All Media Newsletter - The Diceman Dices Jackie", "d:Description": "\"After another noticeable absence, this time for over a year, Shock Comic, Andrew Dice Clay, has come back into the Stern show fold.\"", "topic": "Top/Arts/People/C/Clay,_Andrew_Dice", "url": "http://www.koam.com/yir/dice.html"} +{"d:Title": "Andrew Dice Clay Pictures", "d:Description": "Scanned album covers, red carpet photographs and movie posters.", "topic": "Top/Arts/People/C/Clay,_Andrew_Dice", "url": "http://diceman_rules.tripod.com/"} +{"d:Title": "IMDb - Andrew Dice Clay", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/People/C/Clay,_Andrew_Dice", "url": "http://www.imdb.com/name/nm0001048/"} +{"d:Title": "The Adventures of Ford Fairlane", "d:Description": "Capsule from the Chicago Reader.", "topic": "Top/Arts/People/C/Clay,_Andrew_Dice", "url": "http://www.chicagoreader.com/chicago/the-adventures-of-ford-fairlane/Film?oid=1067623"} +{"d:Title": "Edmond Wells - John Cleese Lookalike", "d:Description": "Contact and booking details, with photographs and testimonials.", "topic": "Top/Arts/People/C/Cleese,_John", "url": "http://www.johncleese.co.uk/"} +{"d:Title": "IMDb - John Cleese", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/People/C/Cleese,_John", "url": "http://www.imdb.com/name/nm0000092/"} +{"d:Title": "Don Ignacio Pays Tribute to John Cleese", "d:Description": "Provides a biography, filmography and pictures.", "topic": "Top/Arts/People/C/Cleese,_John", "url": "http://donignacio.tripod.com/actcleese.html"} +{"d:Title": "The Numbers - John Cleese", "d:Description": "Filmography with box office statistics.", "topic": "Top/Arts/People/C/Cleese,_John", "url": "http://www.the-numbers.com/person/29240401-John-Cleese"} +{"d:Title": "The Internet Movie Database: Jemaine Clement", "d:Description": "Includes film and television credits, awards and trivia.", "topic": "Top/Arts/People/C/Clement,_Jemaine", "url": "http://www.imdb.com/name/nm1318596/"} +{"d:Title": "The Movie Times: Actor: George Clooney", "d:Description": "Box office information, awards information, biography and pictures.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?gclooney"} +{"d:Title": "Topix", "d:Description": "Headline links from various media sources.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.topix.com/rss/who/george-clooney.xml"} +{"d:Title": "George Clooney - Wikipedia", "d:Description": "Biography and filmography with links to articles around the web.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://en.wikipedia.org/wiki/George_Clooney"} +{"d:Title": "Box Office Mojo: George Clooney", "d:Description": "Box office information for past movies and release dates for upcoming movies.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.boxofficemojo.com/people/chart/?id=georgeclooney.htm"} +{"d:Title": "The George Clooney Picture Pages", "d:Description": "Photos, videos, wallpapers, biography and filmography.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.superiorpics.com/george_clooney/"} +{"d:Title": "George Clooney : People.com", "d:Description": "Gossip, news, photos, biography and fun facts.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.people.com/people/george_clooney"} +{"d:Title": "Not On Our Watch", "d:Description": "Official site for charity founded by George Clooney, Brad Pitt, Matt Damon, Don Cheadle, Jerry Weintraub and David Pressman.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.notonourwatchproject.org/"} +{"d:Title": "George Clooney TV Listings - TVGuide.com", "d:Description": "U.S.A. television appearances for upcoming two weeks.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.tvguide.com/celebrities/george-clooney/tv-listings/151552"} +{"d:Title": "George Clooney - Rotten Tomatoes Celebrity Profile", "d:Description": "Filmography, movie reviews, photos, movie trailers, news, trivia and character quotes.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.rottentomatoes.com/celebrity/george_clooney/"} +{"d:Title": "Moviefone: George Clooney", "d:Description": "Photos, biography, filmography and awards information.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.moviefone.com/celebrity/george-clooney/1290207/main"} +{"d:Title": "George Clooney's Charity Work", "d:Description": "Information and news on George Clooney's charitable endeavors.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.looktothestars.org/celebrity/68-george-clooney"} +{"d:Title": "George Clooney's Open House", "d:Description": "Discussion forum for George Clooney fans. It contains news, film information and gossip.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.clooneysopenhouse.com/"} +{"d:Title": "George Clooney Pictures - Fanpix.net", "d:Description": "Large photo gallery.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.fanpix.net/gallery/george-clooney-pictures.htm"} +{"d:Title": "George Clooney: The Last Movie Star - Time", "d:Description": "Joel Stein interviews George Clooney during dinner. Article with video and photos from February 2008.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.time.com/time/magazine/article/0,9171,1715285,00.html"} +{"d:Title": "George Clooney's Thoughts for Today - Esquire", "d:Description": "December 2011 article (with photos) in which Clooney discusses his life, work, politics, friends and his pet dog, Einstein.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.esquire.com/features/what-ive-learned/meaning-of-life-2012/george-clooney-quotes-0112"} +{"d:Title": "Yahoo! Movies: George Clooney", "d:Description": "Biography, filmography and photos.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://movies.yahoo.com/person/george-clooney/"} +{"d:Title": "Clooney's Open House", "d:Description": "Discussion forum for fans.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.clooneysopenhouse.com/forum"} +{"d:Title": "George Clooney | PopSugar", "d:Description": "Photos with news and gossip.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.popsugar.com/George-Clooney"} +{"d:Title": "George Clooney - Bing Videos", "d:Description": "George Clooney video clips from around the web.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.bing.com/videos/search?q=george+clooney"} +{"d:Title": "IMDB - George Clooney", "d:Description": "Filmography, biography, photos, awards information, articles, TV schedule and messageboard.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.imdb.com/name/nm0000123/"} +{"d:Title": "Profiles: Somebody Has to Be in Control: The New Yorker", "d:Description": "Extensive George Clooney profile from April 2008.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.newyorker.com/magazine/2008/04/14/somebody-has-to-be-in-control"} +{"d:Title": "George Clooney - Contactmusic.com", "d:Description": "Biography, news, gossip, photos, videos and movie reviews.", "topic": "Top/Arts/People/C/Clooney,_George", "url": "http://www.contactmusic.com/george-clooney"} +{"d:Title": "IMDb - Jean Cocteau", "d:Description": "Biographical information and filmography.", "topic": "Top/Arts/People/C/Cocteau,_Jean", "url": "http://www.imdb.com/name/nm0168413/"} +{"d:Title": "Jean Cocteau", "d:Description": "Provides a biography, bibliography, a filmography, movie stills and selected quotations.", "topic": "Top/Arts/People/C/Cocteau,_Jean", "url": "http://www.studiocleo.com/librarie/cocteau/cocteau.html"} +{"d:Title": "Jean Cocteau", "d:Description": "Biographical details, bibliography, filmography and essay exploring the 1946 film \"La Belle et La Bete.\"", "topic": "Top/Arts/People/C/Cocteau,_Jean", "url": "http://people.wcsu.edu/mccarneyh/fva/C/Jean_Cocteau.html"} +{"d:Title": "Wikipedia", "d:Description": "Open content encyclopedia article covering Cocteau's life, works, and awards.", "topic": "Top/Arts/People/C/Cocteau,_Jean", "url": "http://en.wikipedia.org/wiki/Jean_Cocteau"} +{"d:Title": "Guardian Unlimited - Jean Cocteau: La Belle et La B\u00eate", "d:Description": "Journalistic essay and related links.", "topic": "Top/Arts/People/C/Cocteau,_Jean", "url": "http://www.theguardian.com/film/1999/jul/01/1"} +{"d:Title": "French Leonard Cohen Site", "d:Description": "Biography, bibliography, discography, photos, articles, interviews, downloads and a fan forum, in both French and English.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.leonardcohensite.com/"} +{"d:Title": "Leonard Cohen Nights", "d:Description": "A list of scheduled events to celebrate the birthday of the Canadian poet and songwriter. Also includes a blueprint for the creation of future events.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://leonardcohennights.org/"} +{"d:Title": "Leonard Cohen UK", "d:Description": "UK-based fansite. Contains articles from the UK press.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.leonardcohen.co.uk/"} +{"d:Title": "CanLinks - Criticism", "d:Description": "Links to literary criticism of Leonard Cohen's poems, novels and lyrics.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.lucking.net/canlinks/cl_criticism_c.htm#cohen"} +{"d:Title": "Leonard Cohen - Singer of Mercy", "d:Description": "Reproduction of a tribute to the artist, penned by Brian W. Fairbanks.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.angelfire.com/oh2/writer/leonardcohen.html"} +{"d:Title": "The Essential Leonard Cohen", "d:Description": "Details of an album covering his career. Includes songs, lyrics, his personal notebook, artwork and a pictorial. Site in English, French, Spanish, Italian and German.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.webheights.net/essential/"} +{"d:Title": "Healing Itself the Moment It Is Condemned", "d:Description": "Essay on Cohen's Death of a Lady's Man.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.uwo.ca/english/canadianpoetry/cpjrn/vol20/norris.htm"} +{"d:Title": "The Leonard Cohen Files", "d:Description": "Index to various editions of Cohen's books, list of cover songs, original poems submitted directly by Cohen.", "priority": "1", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.leonardcohenfiles.com/"} +{"d:Title": "Leonard Cohen Chords Archive", "d:Description": "Chords indexed by song and album.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.maartenmassa.be/CohenChords/index.htm"} +{"d:Title": "Leonard Cohen", "d:Description": "Official site provides biography, news, videos and forum.", "priority": "1", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.leonardcohen.com/"} +{"d:Title": "Rock and Roll Hall of Fame: Leonard Cohen", "d:Description": "Biography and career timeline for the 2008 inductee.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://rockhall.com/inductees/leonard-cohen/"} +{"d:Title": "WebRing: Leonard Cohen", "d:Description": "A family of websites devoted to the music, lyrics and verse of Leonard Cohen.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.webring.org/hub/lcring"} +{"d:Title": "All Music Guide: Leonard Cohen", "d:Description": "Biography and discography.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.allmusic.com/artist/leonard-cohen-mn0000071209"} +{"d:Title": "Salon Brilliant Careers: Leonard Cohen", "d:Description": "An article discussing the singer/songwriter's career.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.salon.com/1999/06/15/cohen/"} +{"d:Title": "Blue Alert", "d:Description": "Includes lyrics, reviews, manuscript pages of Cohen's songs performed by Anjani. Also features press released between 2006 and 2008.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.webheights.net/bluealert/"} +{"d:Title": "Cohen, Knopfler, and Dylan", "d:Description": "Discussion of lyrics by Cohen, Knopfler, and Dylan in present and historical perspectives.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.matreiya.com/Cohen1.html"} +{"d:Title": "Legendary Musician Leonard Cohen Dead At 82", "d:Description": "The Canadian singer-songwriter's death comes just weeks after the release of his new album, \"You Want It Darker.\u201d From Huffington Post.", "topic": "Top/Arts/People/C/Cohen,_Leonard", "url": "http://www.huffingtonpost.com/entry/leonard-cohen-dead_us_582131a5e4b0d9ce6fbe5054"} +{"d:Title": "IMDb - Jacqueline Collen", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/People/C/Collen,_Jacqueline", "url": "http://www.imdb.com/name/nm0171734/"} +{"d:Title": "Suzanne Collins", "d:Description": "Official web site of the author. Biography, an interview, excerpts from reviews, list of books with cover pictures.", "topic": "Top/Arts/People/C/Collins,_Suzanne", "url": "http://www.suzannecollinsbooks.com/"} +{"d:Title": "Wikipedia: Suzanne Collins", "d:Description": "Biographical article on the writer.", "topic": "Top/Arts/People/C/Collins,_Suzanne", "url": "http://en.wikipedia.org/wiki/Suzanne_Collins"} +{"d:Title": "IMDb: Suzanne Collins", "d:Description": "Television and movie credits.", "topic": "Top/Arts/People/C/Collins,_Suzanne", "url": "http://www.imdb.com/name/nm1056741/"} +{"d:Title": "Suzanne Collins' War Stories for Kids", "d:Description": "Profile of the author of The Hunger Games series. [New York Times]", "topic": "Top/Arts/People/C/Collins,_Suzanne", "url": "http://www.nytimes.com/2011/04/10/magazine/mag-10collins-t.html?pagewanted=all"} +{"d:Title": "Harry Connick Jr. Fan Site", "d:Description": "Includes a discography, polls, stories of fan encounters, articles, reviews, multimedia clips, e-cards and desktop wallpapers.", "priority": "1", "topic": "Top/Arts/People/C/Connick,_Harry,_Jr.", "url": "http://www.connick.com/hcjr/connick.shtml"} +{"d:Title": "AMG: Harry Connick Jr", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/C/Connick,_Harry,_Jr.", "url": "http://www.allmusic.com/artist/harry-connick-jr-p3092"} +{"d:Title": "Harry Connick Jr. Music Store", "d:Description": "Official record company page containing a discography, audio clips, a mailing list and merchandise.", "topic": "Top/Arts/People/C/Connick,_Harry,_Jr.", "url": "http://www.myplaydirect.com/harry-connick-jr/"} +{"d:Title": "IMDb.com - Harry Connick Jr.", "d:Description": "Includes a filmography, biographical information, trivia, and photographs.", "topic": "Top/Arts/People/C/Connick,_Harry,_Jr.", "url": "http://www.imdb.com/name/nm0001065/"} +{"d:Title": "Canoe: Harry Connick Jr.", "d:Description": "A collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/People/C/Connick,_Harry,_Jr.", "url": "http://jam.canoe.com/Music/Artists/C/Connick_Harry_Jr/"} +{"d:Title": "Kristin's Harry Connick Jr. Club", "d:Description": "Discussion group for fans. [Requires registration]", "topic": "Top/Arts/People/C/Connick,_Harry,_Jr./Chats_and_Forums", "url": "http://groups.yahoo.com/group/kristinsharryconnickjrclub/"} +{"d:Title": "Martial Arts Magazine - Robert Conrad", "d:Description": "Interview and filmography.", "topic": "Top/Arts/People/C/Conrad,_Robert", "url": "http://www.martial-arts-network.com/qa_robert_conrad.html"} +{"d:Title": "Wildest Page - Robert Conrad", "d:Description": "Provides biographical information and a career overview.", "topic": "Top/Arts/People/C/Conrad,_Robert", "url": "http://www.wildwildwest.org/www/rcbio/rc_bio.html"} +{"d:Title": "IMDb - Robert Conrad", "d:Description": "Offers a filmography, trivia, photographs and links.", "topic": "Top/Arts/People/C/Conrad,_Robert", "url": "http://www.imdb.com/name/nm0001066/"} +{"d:Title": "TV and Movie Trivia Tribute - Tim Conway", "d:Description": "Profile, facts, autographed pictures and links.", "topic": "Top/Arts/People/C/Conway,_Tim", "url": "http://www.triviatribute.com/timconway.html"} +{"d:Title": "IMDb - Tim Conway", "d:Description": "Trivia, filmography, photographs and links.", "topic": "Top/Arts/People/C/Conway,_Tim", "url": "http://www.imdb.com/name/nm0176792/"} +{"d:Title": "TV Guide - Tim Conway", "d:Description": "Television schedule for the current month, with channels, dates and air-times.", "topic": "Top/Arts/People/C/Conway,_Tim", "url": "http://www.tvguide.com/celebrities/tim-conway/176843"} +{"d:Title": "IMDb - Ernie Coombs", "d:Description": "Filmography, biographical details, and links.", "topic": "Top/Arts/People/C/Coombs,_Ernie", "url": "http://www.imdb.com/name/nm0177672/"} +{"d:Title": "Canoe.ca - Mr. Dressup", "d:Description": "A collection of articles on the performer, taken from various Canadian publications.", "topic": "Top/Arts/People/C/Coombs,_Ernie", "url": "http://jam.canoe.com/Television/TV_Shows/M/Mr_Dressup/"} +{"d:Title": "David Copperfield", "d:Description": "Official site providing a biography, illustrations of illusions, details of television appearances and tour information. [Requires Flash.]", "priority": "1", "topic": "Top/Arts/People/C/Copperfield,_David", "url": "http://www.dcopperfield.com/"} +{"d:Title": "IMDb - David Copperfield", "d:Description": "Trivia and photographs, with links and a list of acting, producing and directing credits.", "topic": "Top/Arts/People/C/Copperfield,_David", "url": "http://www.imdb.com/name/nm0004518/"} +{"d:Title": "Magic Television - David Copperfield", "d:Description": "Transcript of an interview conducted on the Oprah Winfrey Show.", "topic": "Top/Arts/People/C/Copperfield,_David", "url": "http://magictelevision.org/portal/index.php?/Oprah.David.Copperfield.html"} +{"d:Title": "IMDb - Phil Cornwell", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/People/C/Cornwell,_Phil", "url": "http://www.imdb.com/name/nm0180504/"} +{"d:Title": "IMDb - Bud Cort", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/People/C/Cort,_Bud", "url": "http://www.imdb.com/name/nm0001069/"} +{"d:Title": "IMDb - Bill Cosby", "d:Description": "Contains a biography, filmography, photographs and links.", "topic": "Top/Arts/People/C/Cosby,_Bill", "url": "http://www.imdb.com/name/nm0001070/"} +{"d:Title": "CNN.com - The outspoken Bill Cosby", "d:Description": "Comedian challenges African-American community.", "topic": "Top/Arts/People/C/Cosby,_Bill", "url": "http://www.cnn.com/2004/SHOWBIZ/TV/11/11/cosby/index.html"} +{"d:Title": "Bill Cosby News: Topix", "d:Description": "News about Bill Cosby continually updated from around the net.", "topic": "Top/Arts/People/C/Cosby,_Bill", "url": "http://www.topix.com/who/bill-cosby"} +{"d:Title": "Topix: Bill Cosby", "d:Description": "News about Bill Cosby, collected from various sources on the web.", "topic": "Top/Arts/People/C/Cosby,_Bill", "url": "http://www.topix.com/rss/who/bill-cosby.xml"} +{"d:Title": "TV Guide - Bill Cosby", "d:Description": "Television schedule for the current month. With a list of channels, dates and air times.", "topic": "Top/Arts/People/C/Cosby,_Bill", "url": "http://www.tvguide.com/celebrities/bill-cosby/141964"} +{"d:Title": "Slate - America's granddad gets ornery", "d:Description": "Article By Debra Dickerson. Lately, Bill Cosby has launched a series of assaults on the pathologies of low-income blacks.", "topic": "Top/Arts/People/C/Cosby,_Bill", "url": "http://www.slate.com/articles/news_and_politics/assessment/2004/07/bill_cosby.html"} +{"d:Title": "IMDb - Don Coscarelli", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/People/C/Coscarelli,_Don", "url": "http://www.imdb.com/name/nm0181741/"} +{"d:Title": "The Kevin Costner Resource", "d:Description": "Features news items, articles, interviews, images and screen captures, and reports on the actor's recent projects.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://www.members.tripod.com/costnerineurope/"} +{"d:Title": "Kevin Costner - King Cool", "d:Description": "Pictures of the actor, wavs, links, Postman pages, movie reviews, filmography page, internet greeting cards, and Webring.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://www.angelfire.com/me2/Lizzibeth/KevinCostner.html"} +{"d:Title": "IMDb - Kevin Costner", "d:Description": "Filmography, photographs, biographical information and links.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://www.imdb.com/name/nm0000126/"} +{"d:Title": "Kevin Costner News: Topix", "d:Description": "News about Kevin Costner continually updated from around the net.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://www.topix.com/who/kevin-costner"} +{"d:Title": "WebRing: Kevin Costner", "d:Description": "A collection of related sites.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://www.webring.org/hub/costnerplanet"} +{"d:Title": "Movie-Times.com: Kevin Costner", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?costner"} +{"d:Title": "Kevin Costner Photos", "d:Description": "Kevin Costner photos and fan site. Filmology, news, sound files, and fan forum.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://yanimai.tripod.com/kphotos.html"} +{"d:Title": "Thespian Net presents Kevin Costner", "d:Description": "Credits, biographical information, fanmail address, and fan site links.", "topic": "Top/Arts/People/C/Costner,_Kevin", "url": "http://www.thespiannet.com/actors/C/costner_kevin/kevin_costner.shtml"} +{"d:Title": "IMDb: Marion Cotillard", "d:Description": "Synopsis, images, video clips and filmography.", "topic": "Top/Arts/People/C/Cotillard,_Marion", "url": "http://www.imdb.com/name/nm0182839/"} +{"d:Title": "Katie Couric", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/C/Couric,_Katie", "url": "http://www.imdb.com/name/nm0183698/"} +{"d:Title": "IMDb - Michel Courtemanche (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/C/Courtemanche,_Michel", "url": "http://www.imdb.com/name/nm0183804/"} +{"d:Title": "Simon Cowell Discussion Group", "d:Description": "Message forum for fans of \"Simon\" from the Fox television show \"American Idol\".", "topic": "Top/Arts/People/C/Cowell,_Simon", "url": "http://groups.yahoo.com/group/Simon_Cowell/"} +{"d:Title": "Angry Simon", "d:Description": "Featuring a boxing game, links, pictures and commentary, satire site taking a shot back at Simon Cowell, the \"American Idol\" judge and creator.", "topic": "Top/Arts/People/C/Cowell,_Simon", "url": "http://www.angrysimon.com/"} +{"d:Title": "BBC News: Cowell to write stardom manual", "d:Description": "Pop Idol judge Simon Cowell signs a deal to write a book of his tips for success in the music industry. Plus various Pop Idol links.", "topic": "Top/Arts/People/C/Cowell,_Simon", "url": "http://news.bbc.co.uk/1/hi/entertainment/1947768.stm"} +{"d:Title": "IMDb: Alex Cox", "d:Description": "Provides information about the filmography and the biography of the director, actor and writer.", "topic": "Top/Arts/People/C/Cox,_Alex", "url": "http://www.imdb.com/name/nm0007182/"} +{"d:Title": "IMDb: Nikki Cox", "d:Description": "Includes filmography, awards, mini-biography, and photos.", "topic": "Top/Arts/People/C/Cox,_Nikki", "url": "http://www.imdb.com/name/nm0185178/"} +{"d:Title": "Adoring Nikki Cox", "d:Description": "48 thumbnailed pictures, profile, filmography, and fan mail address.", "topic": "Top/Arts/People/C/Cox,_Nikki/Image_Galleries", "url": "http://www.adoring.net/nikkicox/index.htm"} +{"d:Title": "The Danish Nikki Cox Gallery", "d:Description": "Biographical information, with photographs, screen captures, and scanned images.", "topic": "Top/Arts/People/C/Cox,_Nikki/Image_Galleries", "url": "http://www.nikkicox.dk/"} +{"d:Title": "The Official Peter Coyote Site", "d:Description": "Contains latest tracking, biography, photographs, filmography, related links, and other details.", "topic": "Top/Arts/People/C/Coyote,_Peter", "url": "http://www.petercoyote.com/"} +{"d:Title": "Literascape", "d:Description": "Book review of Sleeping Where I Fall by Peter Coyote.", "topic": "Top/Arts/People/C/Coyote,_Peter", "url": "http://collection.nlc-bnc.ca/100/202/300/newreader/newreader.b03/Readers/Reader/1998Summer/coyote.html"} +{"d:Title": "Peter Coyote", "d:Description": "Interview by Etan Ben-Ami on his past and politics.", "topic": "Top/Arts/People/C/Coyote,_Peter", "url": "http://www.diggers.org/oralhistory/peter_interview.html"} +{"d:Title": "Peter Coyote's Book", "d:Description": "Where were you in the Sixties? If you can remember, you probably weren't there, goes the saying. Peter Coyote was there, helped make it happen, and most impressive, even remembered. Books reviews, by Cese McGowan.", "topic": "Top/Arts/People/C/Coyote,_Peter", "url": "http://www.ralphmag.org/briefsM.html"} +{"d:Title": "IMDb - Peter Coyote", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/People/C/Coyote,_Peter", "url": "http://www.imdb.com/name/nm0001075/"} +{"d:Title": "Shambhala Sun", "d:Description": "Days of the Diggers, Peter Coyote remembers. In Sleeping Where I Fall, the actor remembers his life as a Digger and the values that changed America.", "topic": "Top/Arts/People/C/Coyote,_Peter", "url": "http://www.shambhalasun.com/index.php?option=com_content&task=view&id=1951"} +{"d:Title": "Yahoo! Groups - Caroline Craig Fans Online", "d:Description": "E-mail discussion forum with photographs and related links.", "topic": "Top/Arts/People/C/Craig,_Caroline", "url": "http://groups.yahoo.com/group/carolinecraigfansonline/"} +{"d:Title": "The Age.com.au - The Singing Sergeant", "d:Description": "Interview with the actress.", "topic": "Top/Arts/People/C/Craig,_Caroline", "url": "http://www.theage.com.au/articles/2002/05/23/1022038450737.html"} +{"d:Title": "YvonneCraig.com", "d:Description": "Photos, memoirs, trivia and stories from TVs Batgirl, Yvonne Craig. Includes Elvis Presley, Adam West (Batman), and William Shatner (Star Trek).", "topic": "Top/Arts/People/C/Craig,_Yvonne", "url": "http://www.yvonnecraig.com/"} +{"d:Title": "Yvonne Craig at Brian's Drive-In Theater", "d:Description": "Photos, biography and filmography.", "topic": "Top/Arts/People/C/Craig,_Yvonne", "url": "http://www.briansdriveintheater.com/yvonnecraig.html"} +{"d:Title": "TriviaTribute.com: Yvonne Craig", "d:Description": "With pictures, links, and trivia.", "topic": "Top/Arts/People/C/Craig,_Yvonne", "url": "http://www.triviatribute.com/yvonnecraig.html"} +{"d:Title": "Luis' Yvonne Craig Page", "d:Description": "Nice collection of thumbnailed Yvonne Craig photos.", "topic": "Top/Arts/People/C/Craig,_Yvonne", "url": "http://www.debra-paget.com/yvonnecraig/yvonne-craig1.html"} +{"d:Title": "Bob spelled backwards is boB", "d:Description": "Brief biography, murder case information, and his role as TVs Colonel Hogan.", "topic": "Top/Arts/People/C/Crane,_Bob", "url": "http://www.nokilli.com/hogan/bob.html"} +{"d:Title": "Bob Crane", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/People/C/Crane,_Bob", "url": "http://www.imdb.com/name/nm0186314/"} +{"d:Title": "Internet Movie Database: Bryan Cranston", "d:Description": "Extensive credits list, biography, trivia and photographs.", "topic": "Top/Arts/People/C/Cranston,_Bryan", "url": "http://www.imdb.com/name/nm0186505/"} +{"d:Title": "The A.V. Club Interview: Bryan Cranston", "d:Description": "The \"Breaking Bad\" star discusses the show's fourth season and his many other projects. (July 13, 2011)", "topic": "Top/Arts/People/C/Cranston,_Bryan", "url": "http://www.avclub.com/article/bryan-cranston-58817"} +{"d:Title": "Meredy's Joan Crawford Trivia Mania", "d:Description": "Photographs and quiz.", "topic": "Top/Arts/People/C/Crawford,_Joan", "url": "http://www.meredy.com/joantriv.htm"} +{"d:Title": "My Top 5 Actors", "d:Description": "Filmography, photographs and awards.", "topic": "Top/Arts/People/C/Crawford,_Joan", "url": "http://www.angelfire.com/on3/AfghanHound/joan_crawford.htm"} +{"d:Title": "IMDb - Joan Crawford", "d:Description": "Filmography, biography, photographs, message board and links.", "topic": "Top/Arts/People/C/Crawford,_Joan", "url": "http://www.imdb.com/name/nm0001076/"} +{"d:Title": "Joan Crawford News: Topix", "d:Description": "News about Joan Crawford continually updated from around the net.", "topic": "Top/Arts/People/C/Crawford,_Joan", "url": "http://www.topix.com/who/joan-crawford"} +{"d:Title": "Michael Crawford International Fan Association", "d:Description": "Includes mission statement, membership information, discography, video and audio clips, newsletter and links. Some areas require membership to access.", "topic": "Top/Arts/People/C/Crawford,_Michael", "url": "http://www.mcifa.com/"} +{"d:Title": "Krista's Michael Crawford Website", "d:Description": "Features news, gossip, discography, media, anecdotes, biography, FAQs and fan chat.", "topic": "Top/Arts/People/C/Crawford,_Michael", "url": "http://members.nuvox.net/~on.erikog/mc/"} +{"d:Title": "IMDb: Michael Crawford", "d:Description": "Filmography.", "topic": "Top/Arts/People/C/Crawford,_Michael", "url": "http://www.imdb.com/name/nm0186903/"} +{"d:Title": "Interview with Las Vegas Phantom Star Anthony Crivello", "d:Description": "The Las Vegas Phantom discusses life under the chandelier, his darker side, the advantages of working on stage and how he spends his free time. By Stephanie Bossy.", "topic": "Top/Arts/People/C/Crivello,_Anthony", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=19391"} +{"d:Title": "IMDb: Anthony Crivello", "d:Description": "Provides biography, filmography and discussion board.", "topic": "Top/Arts/People/C/Crivello,_Anthony", "url": "http://www.imdb.com/name/nm0188266/"} +{"d:Title": "IBDb: Anthony Crivello", "d:Description": "Offers official Broadway credits for the tenor, biographical information, nominations and awards.", "topic": "Top/Arts/People/C/Crivello,_Anthony", "url": "https://www.ibdb.com/broadway-cast-staff/anthony-crivello-36713"} +{"d:Title": "The Notorious B.I.N.G.", "d:Description": "Dead Celebrity Makeover gives Der Bingle a new hip-hop flava.", "topic": "Top/Arts/People/C/Crosby,_Bing", "url": "http://www.vgg.com/charles/dcm/crosby_dcm.html"} +{"d:Title": "Lyrics World - Bing Crosby", "d:Description": "Lyrics to some of the songs performed by Mr. Crosby.", "topic": "Top/Arts/People/C/Crosby,_Bing", "url": "http://ntl.matrix.com.br/pfilho/html/main_index/by_artist/crosby_bing.html"} +{"d:Title": "Bing Crosby News: Topix", "d:Description": "News about Bing Crosby continually updated from around the net.", "topic": "Top/Arts/People/C/Crosby,_Bing", "url": "http://www.topix.com/who/bing-crosby"} +{"d:Title": "Bing Crosby Collection", "d:Description": "Information about the large collection of Crosby memorabilia and material maintained by his alma mater Gonzaga University.", "topic": "Top/Arts/People/C/Crosby,_Bing", "url": "http://www.gonzaga.edu/Academics/Libraries/Foley-Library/Departments/Special-Collections/Collections/Bing-Crosby-Collection/default.asp"} +{"d:Title": "Jerry Jazz Musician", "d:Description": "Interview with Gary Giddins, author of \"Bing Crosby: A Pocketful of Dreams.\" Includes sound samples.", "topic": "Top/Arts/People/C/Crosby,_Bing", "url": "http://www.jerryjazzmusician.com/2001/03/conversations-with-gary-giddins-on-bing-crosby/"} +{"d:Title": "Internet Movie Database: Bing Crosby", "d:Description": "Filmography and other details.", "topic": "Top/Arts/People/C/Crosby,_Bing", "url": "http://www.imdb.com/name/nm0001078/"} +{"d:Title": "IMDb - Joseph Cross", "d:Description": "Filmography, trivia, links and photographs.", "topic": "Top/Arts/People/C/Cross,_Joseph", "url": "http://www.imdb.com/name/nm0189200/"} +{"d:Title": "Cosmopolis: Russell Crowe", "d:Description": "Detailed biography and filmography.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://www.cosmopolis.ch/english/cosmo7/crowe.htm"} +{"d:Title": "Russell Crowe: Something to Crowe About", "d:Description": "Photographs and screen captures, an interview index, biography, and related links.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://russellcrowe.5u.com/"} +{"d:Title": "The Crowe's Perch", "d:Description": "Biographical information, filmography, reviews, related links, news, and a message board.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://www.the-crowes-perch.com/"} +{"d:Title": "Nabou.com: Russell Crowe", "d:Description": "Profile, filmography, wallpapers, and pictures.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://www.nabou.com/celebrities/russell_crowe/"} +{"d:Title": "Russell Crowe News: Topix", "d:Description": "News about Russell Crowe continually updated from around the net.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://www.topix.com/who/russell-crowe"} +{"d:Title": "TV Guide - Russell Crowe", "d:Description": "Cable and television listings of stations currently showing his films. Dates and times.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://www.tvguide.com/celebrities/russell-crowe/173297"} +{"d:Title": "IMDb: Russell Crowe", "d:Description": "Filmography, biography, trivia and photographs.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://www.imdb.com/name/nm0000128/"} +{"d:Title": "Thespian Net: Russell Crowe", "d:Description": "A short biography, credits, contact information, and links.", "topic": "Top/Arts/People/C/Crowe,_Russell", "url": "http://www.thespiannet.com/actors/C/crowe_russell/russell_crowe.shtml"} +{"d:Title": "CrowePeople", "d:Description": "Devoted to the discussion of actor Russell Crowe and his film work.", "topic": "Top/Arts/People/C/Crowe,_Russell/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CrowePeople/"} +{"d:Title": "Russell Crowe Online Room", "d:Description": "Message board and chat room.", "topic": "Top/Arts/People/C/Crowe,_Russell/Chats_and_Forums", "url": "http://forums.delphiforums.com/rcrowe"} +{"d:Title": "Mates of Russell Crowe", "d:Description": "Biography, filmography, news articles and gossip, photographs from various sources, fan fiction, and a chat room.", "topic": "Top/Arts/People/C/Crowe,_Russell/Fan_Pages", "url": "http://matesofrussell.i8.com/"} +{"d:Title": "Russell Crowe Dimension", "d:Description": "News, photographs and collages, quotes, wallpapers, information on Tofogs, and other fan related details.", "topic": "Top/Arts/People/C/Crowe,_Russell/Fan_Pages", "url": "http://www.angelfire.com/film/rcdimension/"} +{"d:Title": "Russell Crowe in Rocky Horror Picture Show", "d:Description": "A picture and a copy of an autographed program from this stage production from 1988.", "topic": "Top/Arts/People/C/Crowe,_Russell/Fan_Pages", "url": "http://www.angelfire.com/film/rcrowe/index.html"} +{"d:Title": "Russell Crowe", "d:Description": "Biography, filmography, awards, credits, interviews, picture gallery, wallpaper, and art work by Crowe", "topic": "Top/Arts/People/C/Crowe,_Russell/Fan_Pages", "url": "http://www.angelfire.com/film/russelcrowe/"} +{"d:Title": "Russel Crowe Rules", "d:Description": "Filmography, pictures, posters, wallpapers, and other multimedia. Also, a copy of one of his award speeches.", "topic": "Top/Arts/People/C/Crowe,_Russell/Fan_Pages", "url": "http://russellcrowe.0catch.com/"} +{"d:Title": "Russell Crowe Pix Fix", "d:Description": "Large image collection from films, magazines, and award ceremonies.", "topic": "Top/Arts/People/C/Crowe,_Russell/Image_Galleries", "url": "http://rcpixfix.tripod.com/"} +{"d:Title": "My Russell Crowe Devotion Page", "d:Description": "Image galleries with comments about the photographs.", "topic": "Top/Arts/People/C/Crowe,_Russell/Image_Galleries", "url": "http://www.angelfire.com/celeb/russellcroweheaven/"} +{"d:Title": "mxdpi: Russell Crowe", "d:Description": "Large selection of movie stills and posters, publicity shots, and candid photographs.", "topic": "Top/Arts/People/C/Crowe,_Russell/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTORS/Russell_Crowe"} +{"d:Title": "DigitalHit: Tom Cruise", "d:Description": "Profile of the actor, news, and a few pictures taken at the 23rd Toronto International Film Festival.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://www.digitalhit.com/cr/tomcruise"} +{"d:Title": "Box Office Mojo: Tom Cruise", "d:Description": "Worldwide and domestic take for many of the actor's films.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://www.boxofficemojo.com/people/chart/?id=tomcruise.htm"} +{"d:Title": "Movie Times: Tom Cruise", "d:Description": "Box office information, vital statistics, links, and several picture galleries.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?tomcruise"} +{"d:Title": "Tom Cruise Headquarters", "d:Description": "News, biography, sound and movie clips, filmography, scripts from a few of his films, links, and a random image generator.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://www.tomcruisehq.com/"} +{"d:Title": "Wikipedia: Tom Cruise", "d:Description": "Biography with information on his acting career, relationships, and selected filmography. Related links provided.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://en.wikipedia.org/wiki/Tom_Cruise"} +{"d:Title": "WhosDatedWho.com: Tom Cruise", "d:Description": "Relationship history, biography, photos, and forum.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://www.whosdatedwho.com/celebrities/people/dating/tom-cruise.htm"} +{"d:Title": "IMDb: Tom Cruise", "d:Description": "Complete filmography, biographical information with trival facts, and links.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://www.imdb.com/name/nm0000129/"} +{"d:Title": "Rotten Tomatoes: Tom Cruise", "d:Description": "Filmography, poster gallery, news, and forum.", "topic": "Top/Arts/People/C/Cruise,_Tom", "url": "http://www.rottentomatoes.com/celebrity/tom_cruise/"} +{"d:Title": "New York Times: Talk Show Rarity: A True Believer's Candor", "d:Description": "Commentary on the Tom Cruise interview where he expressed his views on psychiatry. A free sign-in may be required to view.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://www.nytimes.com/2005/06/25/arts/television/25watc.html"} +{"d:Title": "BBC News: Kidman and Cruise Divorce", "d:Description": "Divorce announcement and their appearance at the premiere of \"The Others.\"", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1480627.stm"} +{"d:Title": "BBC News: Cruise and Kidman: Broken Dream", "d:Description": "Commentary on the breakdown of the 'perfect' marriage of Nicole Kidman and Tom Cruise.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/1155602.stm"} +{"d:Title": "Topix: Tom Cruise", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://www.topix.com/who/tom-cruise"} +{"d:Title": "Slate: Tom Cruise Inc", "d:Description": "An article which takes a look at the numbers behind his celebrity.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://www.slate.com/articles/arts/the_hollywood_economist/2005/06/tom_cruise_inc.html"} +{"d:Title": "Slate: The Cootie Factor", "d:Description": "Article refers to the slight downturn of Cruise's acting career, loss of fans, and why \"disappearing for a year\" may help.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://www.slate.com/articles/news_and_politics/hollywood/2006/06/the_cootie_factor.html"} +{"d:Title": "Salon: Missionary Man", "d:Description": "An article on Tom Cruise's private conviction and public role in Scientology.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://www.salon.com/2005/06/27/cruise_8/"} +{"d:Title": "Canoe.ca: Tom Cruise", "d:Description": "Collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/C/Cruise_Tom/"} +{"d:Title": "NBC News: Dateline NBC: Catching up with Tom Cruise", "d:Description": "Interview with Katie Couric in which the actor talks about family values, Scientology, and his film, \"The Last Samurai.\"", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://www.nbcnews.com/id/3476043/"} +{"d:Title": "NBC News: I'm Passionate About Life", "d:Description": "Interview with \"Today\" host, Matt Lauer, about his girlfriend, the movie \"War of the Worlds,\" and his controversial comments.", "topic": "Top/Arts/People/C/Cruise,_Tom/Articles_and_Interviews", "url": "http://www.today.com/id/8343367"} +{"d:Title": "Impressions of Tom Cruise's Lifework", "d:Description": "Tribute to the actor's films through pictures and music. Requires Flash.", "topic": "Top/Arts/People/C/Cruise,_Tom/Fan_Pages", "url": "http://www.tomcruise-movies.com/"} +{"d:Title": "Tom Cruise", "d:Description": "Biography detailing his life and work up to 1996.", "topic": "Top/Arts/People/C/Cruise,_Tom/Fan_Pages", "url": "http://www.kcweb.com/superm/t_cruise.htm"} +{"d:Title": "Tom Cruise Fan", "d:Description": "Biography, filmography, current news, images, screen captures, and related links.", "topic": "Top/Arts/People/C/Cruise,_Tom/Fan_Pages", "url": "http://www.tomcruisefan.com/"} +{"d:Title": "ActorBase Tom Cruise", "d:Description": "Profile, a partial filmography, six photographs, and video clips and trailers.", "topic": "Top/Arts/People/C/Cruise,_Tom/Fan_Pages", "url": "http://www.jurassicpunk.com/stars/tomcruise/tomcruise.shtml"} +{"d:Title": "Tom Cruise by Ruben", "d:Description": "Brief biography, partial filmography, pictures, and a fan club with message board.", "topic": "Top/Arts/People/C/Cruise,_Tom/Fan_Pages", "url": "http://www.testimonigeova.com/ruben/home.htm"} +{"d:Title": "Tom Cruise Forum", "d:Description": "Includes a mini-biography, list of films, pictures, video clips, wallpapers, and links to current news.", "topic": "Top/Arts/People/C/Cruise,_Tom/Fan_Pages", "url": "http://tomcruiseforum.com/"} +{"d:Title": "Everything Tom Cruise", "d:Description": "Fan features several photographs of the actor.", "topic": "Top/Arts/People/C/Cruise,_Tom/Image_Galleries", "url": "http://everythingtomc.tripod.com/"} +{"d:Title": "TV Guide - Tom Cruise", "d:Description": "Current month cable-television schedule for the actor's movies.", "topic": "Top/Arts/People/C/Cruise,_Tom/Movies", "url": "http://www.tvguide.com/celebrities/tom-cruise/177094"} +{"d:Title": "An Undying Celebrity: Penelope Cruz", "d:Description": "Contains an image gallery, biography, filmography and contact information.", "topic": "Top/Arts/People/C/Cruz,_Pen\u00e9lope", "url": "http://www.undying.com/celeb/penelopecruz/index.htm"} +{"d:Title": "AskMen.com : Penelope Cruz", "d:Description": "Pictures, biography, ratings and links on the actress.", "topic": "Top/Arts/People/C/Cruz,_Pen\u00e9lope", "url": "http://uk.askmen.com/celebs/women/actress/56_penelope_cruz.html"} +{"d:Title": "IMDb.com: Penelope Cruz", "d:Description": "Filmography, vital statistics and pictures.", "topic": "Top/Arts/People/C/Cruz,_Pen\u00e9lope", "url": "http://www.imdb.com/name/nm0004851/"} +{"d:Title": "Mxdpi: Penelope Cruz", "d:Description": "Offers pictures.", "topic": "Top/Arts/People/C/Cruz,_Pen\u00e9lope/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Penelope_Cruz"} +{"d:Title": "Absolute Tracy Lynn Cruz", "d:Description": "Features pictures, wallpapers, polls and a fan club.", "topic": "Top/Arts/People/C/Cruz,_Tracy_Lynn", "url": "http://tracylynncruz.freeservers.com/"} +{"d:Title": "Tracy Lynn Cruz Rocks", "d:Description": "Profile and screen capture gallery.", "topic": "Top/Arts/People/C/Cruz,_Tracy_Lynn", "url": "http://screamfx.8m.com/tlcr/"} +{"d:Title": "IMDb - Tracy Lynn Cruz", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/People/C/Cruz,_Tracy_Lynn", "url": "http://www.imdb.com/name/nm0190482/"} +{"d:Title": "Billy Crystal: Filmography", "d:Description": "Billy Crystal posters, filmography, news, and forum.", "topic": "Top/Arts/People/C/Crystal,_Billy", "url": "http://www.rottentomatoes.com/celebrity/billy_crystal/"} +{"d:Title": "IMDb - Billy Crystal", "d:Description": "Filmography, photographs, trivia and links.", "topic": "Top/Arts/People/C/Crystal,_Billy", "url": "http://www.imdb.com/name/nm0000345/"} +{"d:Title": "Billy Crystal", "d:Description": "Offers articles about Billy Crystal as an actor, producer, and Academy Awards ceremony host.", "topic": "Top/Arts/People/C/Crystal,_Billy", "url": "http://jam.canoe.com/Movies/Artists/C/Crystal_Billy/"} +{"d:Title": "Visualize Michael", "d:Description": "A Michael Cuccione site remembering him and what beautiful person he was.", "topic": "Top/Arts/People/C/Cuccione,_Michael", "url": "http://www.angelfire.com/grrl/visualizemike/"} +{"d:Title": "Michael Cuccione Fan Page", "d:Description": "A fan site dedicated to Michael Cuccione (QT from MTV's movie 2gether) with pictures, articles, news, signs you're obsessed.", "topic": "Top/Arts/People/C/Cuccione,_Michael", "url": "http://www.angelfire.com/celeb/michaelfans/index.html"} +{"d:Title": "Cuccione Paradise", "d:Description": "Michael Cuccione biography, pictures and quotes.", "topic": "Top/Arts/People/C/Cuccione,_Michael", "url": "http://www.angelfire.com/stars/mymichael/main.html"} +{"d:Title": "Luna's Michael Cuccione Shrine", "d:Description": "Biography, news, pictures, polls, and mailing list in tribute to the greatest teen idol around.", "topic": "Top/Arts/People/C/Cuccione,_Michael", "url": "http://www.angelfire.com/va2/MichaelCuccione/"} +{"d:Title": "Michael Cuccione My Angel in Heaven", "d:Description": "Biography, poems, pictures, 2gether lyrics, and quiz.", "topic": "Top/Arts/People/C/Cuccione,_Michael", "url": "http://www.qtismyangel.freeservers.com/"} +{"d:Title": "Visualize Mike", "d:Description": "Biography, images, message board, and information about the cancer which took his life.", "topic": "Top/Arts/People/C/Cuccione,_Michael", "url": "http://visualizemike.tripod.com/"} +{"d:Title": "IMDb - Michael Cuccione", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/People/C/Cuccione,_Michael", "url": "http://www.imdb.com/name/nm0190978/"} +{"d:Title": "The Official Alan Cumming Website", "d:Description": "Features news on upcoming projects, a biography, credits, and exclusive photos.", "topic": "Top/Arts/People/C/Cumming,_Alan", "url": "http://www.alancumming.com/"} +{"d:Title": "The Alan Cumming Fan Club", "d:Description": "Includes mailing list, FAQ and pictures.", "topic": "Top/Arts/People/C/Cumming,_Alan", "url": "http://www.angelfire.com/wa/AlanCumming/"} +{"d:Title": "IMDb - Alan Cumming", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/People/C/Cumming,_Alan", "url": "http://www.imdb.com/name/nm0001086/"} +{"d:Title": "Cumming's Attractions", "d:Description": "Provides links, photographs, a biography, filmography, articles and interviews.", "topic": "Top/Arts/People/C/Cumming,_Alan", "url": "http://www.squidge.org/~subrosa/ca/pics/ca-photo.html"} +{"d:Title": "Guardian Unlimited Film - Cumming out on top", "d:Description": "\"How did a boy from a remote community in the Scottish Highlands become a movie star, director and the toast of Manhattan?\"", "topic": "Top/Arts/People/C/Cumming,_Alan", "url": "http://www.theguardian.com/books/2003/feb/16/fiction.film"} +{"d:Title": "Guardian Unlimited Film - Cumming Attractions", "d:Description": "\"Born in Britain, big in Broadway\". Article by Emma Forrest.", "topic": "Top/Arts/People/C/Cumming,_Alan", "url": "http://www.theguardian.com/film/1999/may/02/2"} +{"d:Title": "Uppin Cumming", "d:Description": "Includes pictures, links, and stories.", "topic": "Top/Arts/People/C/Cumming,_Alan", "url": "http://rehteb.tripod.com/uppincumming.html"} +{"d:Title": "IMDb - Colin Cunningham", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/People/C/Cunningham,_Colin", "url": "http://www.imdb.com/name/nm0192271/"} +{"d:Title": "TV Guide - Jane Curtin", "d:Description": "Includes a biography and a television schedule.", "topic": "Top/Arts/People/C/Curtin,_Jane", "url": "http://www.tvguide.com/celebrities/jane-curtin/155728"} +{"d:Title": "IMDb - Jane Curtin", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/People/C/Curtin,_Jane", "url": "http://www.imdb.com/name/nm0004852/"} +{"d:Title": "Jamie Lee Curtis", "d:Description": "Biography, filmography, movie reviews and interviews.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://www.members.tripod.com/jamiecurtis/"} +{"d:Title": "Trivia Tribute: Jamie Lee Curtis", "d:Description": "With pictures, brief profile, and links.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://www.triviatribute.com/jamieleecurtis.html"} +{"d:Title": "BBC Jamie Lee Curtis: The Body Beautiful?", "d:Description": "As Jamie Lee Curtis bares all, Newsmaker looks at the life and times of Hollywood's renowned Scream Queen.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://news.bbc.co.uk/1/hi/in_depth/uk/2000/newsmakers/2210733.stm"} +{"d:Title": "Thespian Net presents Jamie Lee Curtis", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://www.thespiannet.com/actresses/C/curtis_jamielee/"} +{"d:Title": "BBC News - Entertainment - Curtis: Cosmetic surgery pointless", "d:Description": "\"Jamie Lee Curtis admits having cosmetic surgery - and says that it does not work, as part of her new mission to shatter beauty myths.\"", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/2207493.stm"} +{"d:Title": "IMDb - Jamie Lee Curtis", "d:Description": "Filmography, biography, photographs, trivia and links.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://www.imdb.com/name/nm0000130/"} +{"d:Title": "Jamie Lee Curtis News: Topix", "d:Description": "News about Jamie Lee Curtis continually updated from around the net.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://www.topix.com/who/jamie-lee-curtis"} +{"d:Title": "Actress Jamie Lee Curtis", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jlcurtis"} +{"d:Title": "USA Today.com - Jamie Lee Curtis Helps Teens Cope", "d:Description": "Article detailing the actress's efforts to promote issues surrounding teenage alcoholism.", "topic": "Top/Arts/People/C/Curtis,_Jamie_Lee", "url": "http://usatoday30.usatoday.com/news/health/spotlighthealth/2003-05-09-curtis_x.htm"} +{"d:Title": "Tony Curtis Virtual Art Gallery", "d:Description": "Offers a limited quantity of signed and numbered reproductions from the actor. Includes biography and pictures.", "topic": "Top/Arts/People/C/Curtis,_Tony", "url": "http://www.tonycurtis.com/"} +{"d:Title": "TV Guide - Tony Curtis", "d:Description": "Includes a biography and television schedule.", "topic": "Top/Arts/People/C/Curtis,_Tony", "url": "http://www.tvguide.com/celebrities/tony-curtis/177379"} +{"d:Title": "IMDb.com - Tony Curtis (I)", "d:Description": "Filmography, mini biography, quotes, trivia.", "topic": "Top/Arts/People/C/Curtis,_Tony", "url": "http://www.imdb.com/name/nm0000348/"} +{"d:Title": "Digital Hit - John Cusack", "d:Description": "Biography and image gallery.", "topic": "Top/Arts/People/C/Cusack,_John", "url": "http://www.digitalhit.com/cr/johncusack"} +{"d:Title": "Triviatribute.com - John Cusack", "d:Description": "Pictures and profile.", "topic": "Top/Arts/People/C/Cusack,_John", "url": "http://www.triviatribute.com/johncusack.html"} +{"d:Title": "Rotten Tomatoes: John Cusack", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/People/C/Cusack,_John", "url": "http://www.rottentomatoes.com/celebrity/john_cusack/"} +{"d:Title": "TV Guide - John Cusack", "d:Description": "Includes a biography and a current month TV schedule.", "topic": "Top/Arts/People/C/Cusack,_John", "url": "http://www.tvguide.com/celebrities/john-cusack/157850"} +{"d:Title": "IMDb - John Cusack", "d:Description": "Filmography and biography, with photographs and links.", "topic": "Top/Arts/People/C/Cusack,_John", "url": "http://www.imdb.com/name/nm0000131/"} +{"d:Title": "John Cusack - Hollywood Underdog", "d:Description": "Biography, filmography, reviews, midi files, images, selected quotations, and polls.", "topic": "Top/Arts/People/C/Cusack,_John/Fan_Pages", "url": "http://www.angelfire.com/80s/johncusack/mainpage.html"} +{"d:Title": "John Cusack Webring", "d:Description": "Linking sites devoted to the actor.", "topic": "Top/Arts/People/C/Cusack,_John/Fan_Pages", "url": "http://wso.williams.edu/~sorme/Webring/index.shtml"} +{"d:Title": "Being John Cusack", "d:Description": "Fansite with news items, a biography, photographs and scanned images, reviews, filmography and desktop wallpapers.", "topic": "Top/Arts/People/C/Cusack,_John/Fan_Pages", "url": "http://www.angelfire.com/my/johncusack/"} +{"d:Title": "Peter Cushing and Sherlock Holmes: An Overview", "d:Description": "A close look at Peter Cushing's appearances as Sherlock Holmes. List of films, stills from the films, brief descriptions and quotes.", "topic": "Top/Arts/People/C/Cushing,_Peter", "url": "http://www.bakerstreetdozen.com/Cushing1.html"} +{"d:Title": "IMDb - Peter Cushing", "d:Description": "Filmography, biography, trivia and links.", "topic": "Top/Arts/People/C/Cushing,_Peter", "url": "http://www.imdb.com/name/nm0001088/"} +{"d:Title": "The Peter Cushing Web Ring", "d:Description": "A union of sites devoted to the actor.", "topic": "Top/Arts/People/C/Cushing,_Peter", "url": "http://jordanna.net/fan/cushing/"} +{"d:Title": "Internet Movie Database: Miley Cyrus", "d:Description": "Biographical details and film/TV credits.", "topic": "Top/Arts/People/C/Cyrus,_Miley", "url": "http://www.imdb.com/name/nm1415323/"} +{"d:Title": "Miley Cyrus Forums", "d:Description": "Contains forums for the actress and singer.", "topic": "Top/Arts/People/C/Cyrus,_Miley", "url": "http://z15.invisionfree.com/HannahMontanna/"} +{"d:Title": "Miley Cyrus Official Site", "d:Description": "Features news, pictures, audio, video, biography, events, and information on her fan club.", "topic": "Top/Arts/People/C/Cyrus,_Miley", "url": "http://www.mileycyrus.com/"} +{"d:Title": "Childstarlets.com", "d:Description": "Large collection of images of current and former child actresses. Membership required to view some areas.", "topic": "Top/Arts/People/Child_Stars", "url": "http://www.childstarlets.com/"} +{"d:Title": "Kid Actors", "d:Description": "Young actor informational source with biographies, photographs, appearance schedules, and links to official sites.", "topic": "Top/Arts/People/Child_Stars", "url": "http://www.kidactors.com/"} +{"d:Title": "Yahoo.com: Groups: Queens of Tween", "d:Description": "Message board and discussion list for teenage female celebrities.", "topic": "Top/Arts/People/Child_Stars", "url": "http://groups.yahoo.com/group/QueensofTween/"} +{"d:Title": "Teen Stars Online", "d:Description": "Features biographies, filmographies, and some photographs of young actors and actresses.", "topic": "Top/Arts/People/Child_Stars", "url": "http://www.teenstarsonline.com/"} +{"d:Title": "'Phantom' D'Ambrosio In Knockout Solo Show", "d:Description": "The Phantom has been unmasked and is revealed to be a terrifically gifted singer with a range far beyond what he exhibited onstage at the Curran Theatre for four years.", "topic": "Top/Arts/People/D/D'Ambrosio,_Franc", "url": "http://www.sfgate.com/performance/article/Phantom-D-Ambrosio-in-knockout-solo-show-2537546.php"} +{"d:Title": "East Bay Times: Perfectly Franc: Former Phantom D'Ambrosio Returns", "d:Description": "Minus the mask, former \"The Phantom of the Opera\" star Franc D'Ambrosio still makes a big Broadway impression.", "topic": "Top/Arts/People/D/D'Ambrosio,_Franc", "url": "http://www.eastbaytimes.com/2006/03/31/perfectly-franc-former-phantom-dambrosio-returns/"} +{"d:Title": "E.G. Daily", "d:Description": "Official site with news, biography, image gallery, filmography, discography, FAQs, exclusive behind-the-scenes details on her character voices, song clips and ordering information for her latest album \"Tearing Down The Walls\", and related links.", "priority": "1", "topic": "Top/Arts/People/D/Daily,_E._G.", "url": "http://egdaily.com/"} +{"d:Title": "E.G. Daily as Mambo", "d:Description": "Bio and photo from a \"Duckman\" fanpage.", "topic": "Top/Arts/People/D/Daily,_E._G.", "url": "http://www.creighton.edu/~jduche/daily.html"} +{"d:Title": "Yahoo! Groups: E.G. Daily", "d:Description": "Fan community.", "topic": "Top/Arts/People/D/Daily,_E._G.", "url": "http://launch.groups.yahoo.com/group/egdaily/"} +{"d:Title": "TV Guide - E.G. Daily", "d:Description": "Her television schedule for the current month.", "topic": "Top/Arts/People/D/Daily,_E._G.", "url": "http://www.tvguide.com/celebrities/eg-daily/148267"} +{"d:Title": "Daily Devotions", "d:Description": "[Phoenix New Times] Biographical article.", "topic": "Top/Arts/People/D/Daily,_E._G./Articles_and_Interviews", "url": "http://www.phoenixnewtimes.com/1999-07-15/calendar/daily-devotions/"} +{"d:Title": "CD Shakedown Review - Tearing Down the Walls", "d:Description": "Album review and photos.", "topic": "Top/Arts/People/D/Daily,_E._G./Recordings", "url": "http://www.cdshakedown.com/Indie_Reviews/daily.htm"} +{"d:Title": "All Music Guide: Michael Damian", "d:Description": "Profile, and discography with reviews.", "topic": "Top/Arts/People/D/Damian,_Michael", "url": "http://www.allmusic.com/artist/michael-damian-mn0000459328"} +{"d:Title": "Ed's Matt Damon Page", "d:Description": "Fan page containing many pictures of Matt Damon among other male celebrities.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://edcruz0.tripod.com/"} +{"d:Title": "Matt Damon Site", "d:Description": "Fansite with biography, filmography, awards, movie reviews, pictures, wallpaper, screensavers, interview and multimedia.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://mattdamon.20fr.com/"} +{"d:Title": "Matt Damon, The Best Of", "d:Description": "A fan site with many pictures, including wallpaper, as well as information, links, and hotbars.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://damonfantastik.tripod.com/"} +{"d:Title": "Dylan's Matt Damon FanPage", "d:Description": "Fansite includes photos and links to chat and news.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://matt_fan12.tripod.com/mymattdamonfanpage"} +{"d:Title": "Thespian Net Presents Matt Damon", "d:Description": "Provides a biography, filmography, links and facilities whereby fans may contribute information.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://www.thespiannet.com/actors/D/damon_matt/"} +{"d:Title": "Topix: Matt Damon", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://www.topix.com/who/matt-damon"} +{"d:Title": "Topix: Matt Damon", "d:Description": "News about Matt Damon, collected from various sources on the web.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://www.topix.com/rss/who/matt-damon.xml"} +{"d:Title": "AskMen.com: Matt Damon", "d:Description": "Pictures, biography, and commentary.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://uk.askmen.com/celebs/men/entertainment/38_matt_damon.html"} +{"d:Title": "Actor Matt Damon", "d:Description": "Pictures, Box office information, vital statistics, links and message board.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?mdamon"} +{"d:Title": "Rotten Tomatoes: Matt Damon", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/People/D/Damon,_Matt", "url": "http://www.rottentomatoes.com/celebrity/matt_damon/"} +{"d:Title": "Matt Damon", "d:Description": "Fan's personal page.", "topic": "Top/Arts/People/D/Damon,_Matt/Image_Galleries", "url": "http://www.triviatribute.com/mattdamon.html"} +{"d:Title": "Celebrities-Pictures.com: Matt Damon", "d:Description": "Gallery of pictures, wallpapers, and screensavers.", "topic": "Top/Arts/People/D/Damon,_Matt/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/matt%20damon"} +{"d:Title": "IMDb: Rodney Dangerfield", "d:Description": "Includes biography, trivia facts, photo gallery and filmography.", "topic": "Top/Arts/People/D/Dangerfield,_Rodney", "url": "http://www.imdb.com/name/nm0001098/"} +{"d:Title": "Rodney Dangerfield News: Topix", "d:Description": "News about Rodney Dangerfield continually updated from around the net.", "topic": "Top/Arts/People/D/Dangerfield,_Rodney", "url": "http://www.topix.com/who/rodney-dangerfield"} +{"d:Title": "The Official Anthony Daniels Web Site", "d:Description": "Stories about his career, biography, appearance schedule, information on fake autographs, picture galleries and interactive questions and answers.", "topic": "Top/Arts/People/D/Daniels,_Anthony", "url": "http://www.anthonydaniels.com/"} +{"d:Title": "IMDb: Anthony Daniels", "d:Description": "Filmography.", "topic": "Top/Arts/People/D/Daniels,_Anthony", "url": "http://imdb.com/name/nm0000355/"} +{"d:Title": "IMDb: Jeff Daniels", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/D/Daniels,_Jeff", "url": "http://www.imdb.com/name/nm0001099/"} +{"d:Title": "Actor Jeff Daniels", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/D/Daniels,_Jeff", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jdaniels"} +{"d:Title": "Paul Daniels", "d:Description": "Official site features Paul's biography and diary, FAQs, and information about wife Debbie McGee and son Paul.", "topic": "Top/Arts/People/D/Daniels,_Paul", "url": "http://www.pauldaniels.co.uk/"} +{"d:Title": "Guardian Unlimited - The Same Old Magic", "d:Description": "Article by Libby Brooks, catching up on the magician six years after this BBC series finished.", "topic": "Top/Arts/People/D/Daniels,_Paul", "url": "http://www.theguardian.com/g2/story/0,3604,436664,00.html"} +{"d:Title": "The Unofficial Tony Danza Website", "d:Description": "Pictures, biography, articles, and quotes.", "topic": "Top/Arts/People/D/Danza,_Tony", "url": "http://www.angelfire.com/celeb/tonydanza/"} +{"d:Title": "The Tony Danza Bonanza", "d:Description": "Pictures, quotes, and message board.", "topic": "Top/Arts/People/D/Danza,_Tony", "url": "http://tonydanzabonanza.tvheaven.com/"} +{"d:Title": "IMDb: Tony Danza", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/D/Danza,_Tony", "url": "http://www.imdb.com/name/nm0001103/"} +{"d:Title": "Bobby Darin", "d:Description": "Discussion group.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://launch.groups.yahoo.com/group/bobbydarin/"} +{"d:Title": "Legendary Bobby Darin", "d:Description": "Official site includes news, biography, and information about his career in music, television, and film.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://www.bobbydarin.com/"} +{"d:Title": "Wikipedia: Bobby Darin", "d:Description": "Biography and career information.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://en.wikipedia.org/wiki/Bobby_Darin"} +{"d:Title": "Bobby Darin at the Internet Movie Database", "d:Description": "Film and television credits.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://www.imdb.com/name/nm0201239/"} +{"d:Title": "Bobby Darin UK Singles", "d:Description": "UK singles list at PopMusicInfo.com.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://www.popmusicinfo.com/show_artist.php?search_word=BOBBY+DARIN&radio_search=artist&participant_id=664824"} +{"d:Title": "Roger McGuinn Blog: Jim McGuinn with Bobby Darin in Greenwich Village in 1963", "d:Description": "Camilla McGuinn talks about Roger \"Jim\" McGuinn working for Darin in 1963.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://rogermcguinn.blogspot.com/2007/04/roadie-report-25-bobby-darin-greenwich.html"} +{"d:Title": "Bobby Darin at Classic Vegas", "d:Description": "Biography, photos, and information.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://www.classicvegas.com/html/bobby_darin.html"} +{"d:Title": "Producer Nick Venet Recalls Friend Bobby Darin", "d:Description": "March 19, 1996 NPR interview with Venet, in Real Audio and Windows Media formats.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://www.npr.org/templates/story/story.php?storyId=4244003"} +{"d:Title": "History of Rock: Bobby Darin", "d:Description": "Biography with photos.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://www.history-of-rock.com/bobby_darin.htm"} +{"d:Title": "DarinFans: The Alternative Bobby Darin Fan List", "d:Description": "Discussion group.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://launch.groups.yahoo.com/group/DarinFans/"} +{"d:Title": "Rock and Roll Hall of Fame: Bobby Darin", "d:Description": "Inductee profile and timeline.", "topic": "Top/Arts/People/D/Darin,_Bobby", "url": "http://rockhall.com/inductees/bobby-darin/"} +{"d:Title": "The Henry Darrow Fan Club Site", "d:Description": "Fan page for the character actor, with photos, acting credits, biography, and fan mail contacts.", "topic": "Top/Arts/People/D/Darrow,_Henry", "url": "http://www.henrydarrow.com/"} +{"d:Title": "IMDb: Henry Darrow", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/D/Darrow,_Henry", "url": "http://www.imdb.com/name/nm0201688/"} +{"d:Title": "Avon: The Paul Darrow Society", "d:Description": "Fan club, biography, news, images, and merchandise information.", "topic": "Top/Arts/People/D/Darrow,_Paul", "url": "http://www.avon-paul-darrow.co.uk/"} +{"d:Title": "IMDb: Paul Darrow", "d:Description": "Biographical information and filmography.", "topic": "Top/Arts/People/D/Darrow,_Paul", "url": "http://www.imdb.com/name/nm0201696/"} +{"d:Title": "Bully, With John Davidson", "d:Description": "John Davidson, tv and Broadway star played Roosevelt in Bully, a one man show during the 1998-99 season.", "topic": "Top/Arts/People/D/Davidson,_John", "url": "http://www.johndavidson.com/"} +{"d:Title": "BBC Comedy: Alan Davies", "d:Description": "A profile of the comedian.", "topic": "Top/Arts/People/D/Davies,_Alan", "url": "http://www.bbc.co.uk/comedy/profiles/alan_davies.shtml"} +{"d:Title": "Chortle: Alan Davies", "d:Description": "Biography, and list of his appearances.", "topic": "Top/Arts/People/D/Davies,_Alan", "url": "http://www.chortle.co.uk/comics/comics.html?http&&&www.chortle.co.uk/comics/adavies.html"} +{"d:Title": "BBC London: Alan Davies in 'Auntie and Me'", "d:Description": "Review by theatre critic Mark Shenton.", "topic": "Top/Arts/People/D/Davies,_Alan", "url": "http://www.bbc.co.uk/london/entertainment/theatre/auntieandme.shtml"} +{"d:Title": "The Alan Davies Homepage", "d:Description": "Fansite by Liane Broadley, with news, biography, photos, and articles.", "topic": "Top/Arts/People/D/Davies,_Alan", "url": "http://www.fansofalandavies.co.uk/"} +{"d:Title": "IMDb: Alan Davies", "d:Description": "Filmography.", "topic": "Top/Arts/People/D/Davies,_Alan", "url": "http://www.imdb.com/name/nm0203563/"} +{"d:Title": "Marion Davies - Home Page", "d:Description": "Biography, Film database (pictures and sound), fan clubs, events.", "topic": "Top/Arts/People/D/Davies,_Marion", "url": "http://www.decofilms.com/mariondavies/"} +{"d:Title": "Yahoo Group: Paige Davis Fans", "d:Description": "A message board and mailing list for fans of the Trading Spaces host.", "topic": "Top/Arts/People/D/Davis,_Paige", "url": "http://groups.yahoo.com/group/paige_davis_fans/"} +{"d:Title": "Yahoo Groups: Paige Davis", "d:Description": "A small fan club for the Trading Spaces host and Broadway actress.", "topic": "Top/Arts/People/D/Davis,_Paige", "url": "http://groups.yahoo.com/group/paige_davis/"} +{"d:Title": "Yahoo Groups: Paige Davis Fans", "d:Description": "A group for Paige fans to meet and hang out.", "topic": "Top/Arts/People/D/Davis,_Paige", "url": "http://groups.yahoo.com/group/pdavis_fans/"} +{"d:Title": "PaigeDavis.com", "d:Description": "Includes her biography, news, media, FAQ, and favorite charities.", "topic": "Top/Arts/People/D/Davis,_Paige", "url": "http://www.paigedavis.com/"} +{"d:Title": "AskMen.com - Paige Davis", "d:Description": "Pictures, commentary, quotes, and a biography.", "topic": "Top/Arts/People/D/Davis,_Paige", "url": "http://www.askmen.com/women/models_150/173_paige_davis.html"} +{"d:Title": "Sammy Davis Jr Association", "d:Description": "Very complete site from this UK-based fan club.", "topic": "Top/Arts/People/D/Davis,_Sammy,_Jr.", "url": "http://www.sammydavis-jr.com/"} +{"d:Title": "Grave of Sammy Davis, Jr.", "d:Description": "Pictures of his gravesite.", "topic": "Top/Arts/People/D/Davis,_Sammy,_Jr.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=263"} +{"d:Title": "Sammy Davis Jr.", "d:Description": "Contains biography, discography, photo gallery, movie information, links, forum, and other features. [English and German]", "topic": "Top/Arts/People/D/Davis,_Sammy,_Jr.", "url": "http://home.arcor.de/dinoandfriends/sammydavisjr_e.htm"} +{"d:Title": "IMDb - Sammy Davis Jr.", "d:Description": "Filmography, including television appearances.", "topic": "Top/Arts/People/D/Davis,_Sammy,_Jr.", "url": "http://www.imdb.com/name/nm0002035/"} +{"d:Title": "Idolising Peter Davison", "d:Description": "Biography, filmography, photographs and related links to this British actor.", "topic": "Top/Arts/People/D/Davison,_Peter", "url": "http://peterdavison.s5.com/"} +{"d:Title": "Peter Davison", "d:Description": "Information on the career and current projects of British actor Peter Davison.", "topic": "Top/Arts/People/D/Davison,_Peter", "url": "http://www.frohman.net/davison/"} +{"d:Title": "BBC Doctor Who: Peter Davison", "d:Description": "Profile of the fifth Doctor with episode links by season.", "topic": "Top/Arts/People/D/Davison,_Peter", "url": "http://www.bbc.co.uk/doctorwho/classic/episodeguide/index_fifth.shtml"} +{"d:Title": "IMDb: Peter Davison", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/D/Davison,_Peter", "url": "http://www.imdb.com/name/nm0205749/"} +{"d:Title": "The Richard Dawson Experience", "d:Description": "Includes audio clips, quotes, sounds, photos, fan fiction, links, and photos.", "topic": "Top/Arts/People/D/Dawson,_Richard", "url": "http://www.angelfire.com/celeb/richarddawson/"} +{"d:Title": "Richard Dawson Fan Domain", "d:Description": "Fan club with chats, photos, and links.", "topic": "Top/Arts/People/D/Dawson,_Richard", "url": "http://groups.yahoo.com/group/richarddawsonfandomain"} +{"d:Title": "Too Much Class: Richard Dawson", "d:Description": "Article about Richard Dawson's appearances on television and, in particular, game shows.", "topic": "Top/Arts/People/D/Dawson,_Richard", "url": "http://www.metrotimes.com/editorial/story.asp?id=818"} +{"d:Title": "IMDb: Richard Dawson", "d:Description": "Includes facts and a filmography.", "topic": "Top/Arts/People/D/Dawson,_Richard", "url": "http://www.imdb.com/name/nm0206241/"} +{"d:Title": "Official Roxann Dawson Website", "d:Description": "Includes Roxann Dawson passions, biography, career, charity, and events information.", "topic": "Top/Arts/People/D/Dawson,_Roxann", "url": "http://www.roxanndawson.net/"} +{"d:Title": "Kelly's Roxann Dawson Site", "d:Description": "A site with information and pictures on Roxann Dawson, Gillian Anderson, and the show The Pretender.", "topic": "Top/Arts/People/D/Dawson,_Roxann", "url": "http://www.angelfire.com/mo2/RoxannDawson/index.html"} +{"d:Title": "The Films of Doris Day", "d:Description": "Large illustrative review of Doris's career.", "topic": "Top/Arts/People/D/Day,_Doris", "url": "http://www.dorisday.net/"} +{"d:Title": "Doris Day Animal League", "d:Description": "Organization formed to focus attention on issues involving the humane treatment of animals. Information on current campaigns, legislative updates, publications, magazine, membership and web resources.", "topic": "Top/Arts/People/D/Day,_Doris", "url": "http://www.ddal.org/"} +{"d:Title": "Discovering Doris Day", "d:Description": "Features news, biography, and wallpapers.", "topic": "Top/Arts/People/D/Day,_Doris", "url": "http://www.dorisdaytribute.com/"} +{"d:Title": "Felicia Day", "d:Description": "Official site of the actress, producer, and writer.", "topic": "Top/Arts/People/D/Day,_Felicia", "url": "http://feliciaday.com/"} +{"d:Title": "IMDb: Felicia Day", "d:Description": "Filmography, photos, and trivia.", "topic": "Top/Arts/People/D/Day,_Felicia", "url": "http://www.imdb.com/name/nm1260407/"} +{"d:Title": "Wikipedia: Felicia Day", "d:Description": "Encyclopedic article on her personal life, education, and career.", "topic": "Top/Arts/People/D/Day,_Felicia", "url": "http://en.wikipedia.org/wiki/Felicia_Day"} +{"d:Title": "I\u2019m Felicia Day, and This Is How I Work", "d:Description": "Article and interview by Lifehacker on how she works, her tools (both digital and tangible), and her workspaces.", "topic": "Top/Arts/People/D/Day,_Felicia", "url": "http://lifehacker.com/5948396/im-felicia-day-and-this-is-how-i-work"} +{"d:Title": "Gamer poetry from a 12-year-old Felicia Day", "d:Description": "Article from CNET with commentary on her early poetry, with video.", "topic": "Top/Arts/People/D/Day,_Felicia", "url": "http://www.cnet.com/au/news/gamer-poetry-from-a-12-year-old-felicia-day/"} +{"d:Title": "BBC Comedy Profiles: Angus Deayton", "d:Description": "Brief information and biography about Gordon Angus Deayton.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://www.bbc.co.uk/comedy/profiles/angus_deayton.shtml"} +{"d:Title": "BBC Press Office: Angus Deayton", "d:Description": "The official announcement from Hat Trick productions and the BBC than Angus has been asked to step down as host of \"Have I Got News For You\".", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://www.bbc.co.uk/pressoffice/pressreleases/stories/2002/10_october/29/angus_deayton.shtml"} +{"d:Title": "BBC: Angus Deayton: Answering questions for a change", "d:Description": "Tabloid revelations have made the sardonic presenter of Have I Got News For You the latest target of the programme's barbs", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/in_depth/uk/2000/newsmakers/2006399.stm"} +{"d:Title": "BBC: Deayton in the lion's den", "d:Description": "Viewers get their chance on Friday to see the hosts mauling at the recording of Have I Got News For You. But what was the view from the back row of the studio audience?", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/uk/2005881.stm"} +{"d:Title": "BBC: Deayton's Mirror complaint rejected", "d:Description": "The Press Complaints Commission rejects a complaint by the TV presenter over a Mirror newspaper story about a trip to Paris.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1496858.stm"} +{"d:Title": "BBC: Quiz host Deayton fired by BBC", "d:Description": "Angus is dropped as presenter of BBC One's quiz show Have I Got News For You after revelations about his private life.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/2/hi/entertainment/2373711.stm"} +{"d:Title": "BBC: Deayton ridicule tops ratings", "d:Description": "BBC One's Have I Got News For You beats Big Brother 3's debut in the ratings, following claims about the hosts private life.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/2/hi/entertainment/2008049.stm"} +{"d:Title": "BBC: Deayton admits: I'm this week's loser", "d:Description": "Angus suffers merciless ridicule as he hosts Have I Got News For You following revelations about his private life.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/2/hi/entertainment/2004355.stm"} +{"d:Title": "BBC: Deayton's future in doubt", "d:Description": "BBC executives are meeting the Have I Got News For You host following further revelations about his private life.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/entertainment/2370559.stm"} +{"d:Title": "BBC: Angus Deayton: Mr Urbane", "d:Description": "BBC News Online profiles Angus Deayton, who is in the news again as his private life is splashed across the tabloid newspapers.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/entertainment/2371097.stm"} +{"d:Title": "BBC: Man arrested over Deayton 'bugging'", "d:Description": "A man believed to be a BT engineer has been arrested over allegations he bugged the television presenter's phone.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/entertainment/2133004.stm"} +{"d:Title": "BBC: Deayton 'gags' Sunday paper", "d:Description": "The television presenter obtains an injunction against the Mail on Sunday to prevent further revelations about his private life.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/entertainment/2034167.stm"} +{"d:Title": "BBC: 'Loser' Deayton faces the music", "d:Description": "Deayton faced a barrage of ridicule from the teams on Have I Got News For You in the wake of being involved in a sex scandal.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/entertainment/2006614.stm"} +{"d:Title": "BBC: Deayton 'feels a fool' over reports", "d:Description": "The Have I Got News For You host anticipates a \"rough ride\" on the forthcoming show after tabloid claims about his private life.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://news.bbc.co.uk/1/hi/entertainment/1998242.stm"} +{"d:Title": "IMDb: Angus Deayton", "d:Description": "Biography and Filmography of the actor and quiz show host.", "topic": "Top/Arts/People/D/Deayton,_Angus", "url": "http://www.imdb.com/name/nm0213291/"} +{"d:Title": "Yahoo Groups: Cat Deeley Fan Club", "d:Description": "Features message board with close to 150 members.", "topic": "Top/Arts/People/D/Deeley,_Catherine", "url": "http://groups.yahoo.com/group/catdeeleyfanclub/"} +{"d:Title": "Ellen Degeneres News: Topix", "d:Description": "News about Ellen Degeneres continually updated from around the net.", "topic": "Top/Arts/People/D/DeGeneres,_Ellen", "url": "http://www.topix.com/who/ellen-degeneres"} +{"d:Title": "Ellen DeGeneres Show", "d:Description": "Official website which includes her biography, pictures, FAQs, news, a poll, and random thoughts.", "topic": "Top/Arts/People/D/DeGeneres,_Ellen", "url": "http://www.ellentv.com/"} +{"d:Title": "Yahoo Groups: majandradelfinorisingstar", "d:Description": "Message board and chat room for Majandra Delfino fans.", "topic": "Top/Arts/People/D/Delfino,_Majandra", "url": "http://groups.yahoo.com/group/majandradelfinorisingstar/"} +{"d:Title": "IMDb: Majandra Delfino", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/D/Delfino,_Majandra", "url": "http://www.imdb.com/name/nm0004868/"} +{"d:Title": "CNN.com: Howard Stern Personality Helps to Raise AIDS Awareness", "d:Description": "News article explaining why Gary Dell'Abate has joined forces with the organization LIFEbeat to help raise AIDS awareness.", "topic": "Top/Arts/People/D/Dell'Abate,_Gary", "url": "http://cnn.com/2003/HEALTH/01/23/hln.bio.gary.dellabate/"} +{"d:Title": "Bababooey Image Gallery", "d:Description": "A few satirical cartoons and pictures.", "topic": "Top/Arts/People/D/Dell'Abate,_Gary", "url": "http://comedyland2.tripod.com/"} +{"d:Title": "Thespian Net Presents Julie Delpy", "d:Description": "Includes a brief biography, pictures, movie and TV credits, and links.", "topic": "Top/Arts/People/D/Delpy,_Julie", "url": "http://www.thespiannet.com/actresses/D/delpy_julie/julie_delpy.shtml"} +{"d:Title": "Dom DeLuise Official Website", "d:Description": "The official website for film star and author.", "topic": "Top/Arts/People/D/DeLuise,_Dom", "url": "http://www.domdeluise.com/"} +{"d:Title": "IMDb: Dom DeLuise", "d:Description": "Includes photographs, biography, and credits.", "topic": "Top/Arts/People/D/DeLuise,_Dom", "url": "http://imdb.com/name/nm0001123/"} +{"d:Title": "Michael DeLuise Webring", "d:Description": "A ring that connects pages and sites dedicated to the actor.", "topic": "Top/Arts/People/D/DeLuise,_Michael", "url": "http://www.webring.org/hub/mdlring"} +{"d:Title": "IMDb: Peter DeLuise", "d:Description": "Provides personal information, credits for film, television, and directing.", "topic": "Top/Arts/People/D/DeLuise,_Peter", "url": "http://www.imdb.com/name/nm0217938/"} +{"d:Title": "Andrea Del Boca International", "d:Description": "Biography, discography, soap operas, filmography, wallpapers, photo gallery, multimedia and links.", "topic": "Top/Arts/People/D/Del_Boca,_Andrea", "url": "http://andreadelboca.webzdarma.cz/main.htm"} +{"d:Title": "Andrea Del Boca", "d:Description": "Songs in Real Audio and MP3 format, picture gallery, screen savers, Winamp skins, wallpapers and lyrics.", "topic": "Top/Arts/People/D/Del_Boca,_Andrea", "url": "http://andreadelboca.tripod.com/english.htm"} +{"d:Title": "Catherine Deneuve", "d:Description": "Includes information about current projects, a biography, and pictures.", "topic": "Top/Arts/People/D/Deneuve,_Catherine", "url": "http://daxlaw.tripod.com/"} +{"d:Title": "Lucath's Deneuve Centre", "d:Description": "Photos, biography and mailing list.", "topic": "Top/Arts/People/D/Deneuve,_Catherine", "url": "http://lucath.tripod.com/"} +{"d:Title": "Bedlam: Alexis Denisof", "d:Description": "Filmography, photos, and clippings.", "topic": "Top/Arts/People/D/Denisof,_Alexis", "url": "http://www.betsyda.com/denisof/denisof.html"} +{"d:Title": "IMDb: Alexis Denisof", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/People/D/Denisof,_Alexis", "url": "http://www.imdb.com/name/nm0219206/"} +{"d:Title": "Unofficial Brian Dennehy Club", "d:Description": "Chat room, message board, and links for fans of the actor.", "topic": "Top/Arts/People/D/Dennehy,_Brian", "url": "http://groups.yahoo.com/group/unofficialbriandennehyclub/"} +{"d:Title": "IMDb: Brian Dennehy", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/D/Dennehy,_Brian", "url": "http://www.imdb.com/name/nm0001133/"} +{"d:Title": "Gerard Depardieu Fanlisting", "d:Description": "Fanlisting for the actor.", "topic": "Top/Arts/People/D/Depardieu,_G\u00e9rard", "url": "http://ensnaring.com/gerarddepardieu/"} +{"d:Title": "G\u00e9rard Depardieu", "d:Description": "Filmography.", "topic": "Top/Arts/People/D/Depardieu,_G\u00e9rard", "url": "http://www.imdb.com/name/nm0000367/"} +{"d:Title": "InDeppth", "d:Description": "Offers articles, filmography and interactive message board.", "topic": "Top/Arts/People/D/Depp,_Johnny", "url": "http://www.indeppth.com/"} +{"d:Title": "Johnny Depp Biography", "d:Description": "A complete biography of the actor.", "topic": "Top/Arts/People/D/Depp,_Johnny", "url": "http://www.kcweb.com/superm/j_depp.htm"} +{"d:Title": "Wikipedia: Johnny Depp", "d:Description": "Article offering filmography, background information and interests.", "topic": "Top/Arts/People/D/Depp,_Johnny", "url": "http://en.wikipedia.org/wiki/Johnny_Depp"} +{"d:Title": "TV Guide - Johnny Depp", "d:Description": "Monthly listing of his movies and appearances on television. Also includes vital statistics and biography.", "topic": "Top/Arts/People/D/Depp,_Johnny", "url": "http://www.tvguide.com/celebrities/johnny-depp/158445"} +{"d:Title": "Movie Times: Johnny Depp", "d:Description": "Includes vital statistics, pictures, filmography, award information and links.", "topic": "Top/Arts/People/D/Depp,_Johnny", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jdepp"} +{"d:Title": "IMDb: Johnny Depp", "d:Description": "Filmography, television appearances, profile, and photographs.", "topic": "Top/Arts/People/D/Depp,_Johnny", "url": "http://www.imdb.com/name/nm0000136/"} +{"d:Title": "Actor Turns New Page as the Family Man", "d:Description": "Interview from the Christian Science Monitor by Bonnie Churchill.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://csmonitor.com/2001/1019/p18s1-alip.html"} +{"d:Title": "PopEntertainment.com: Johnny Depp Gets Deep Into the Character of Willie Wonka", "d:Description": "The star tells Brad Balfour about taking on the classic children's character Willie Wonka in \"Charlie and the Chocolate Factory\" and the \"Pirates of the Caribbean\" sequels.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://www.popentertainment.com/depp.htm"} +{"d:Title": "Topix: Johnny Depp News", "d:Description": "Features a collection of headlines about the actor.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://www.topix.com/who/johnny-depp"} +{"d:Title": "Topix: Johnny Depp", "d:Description": "News about Johnny Depp, collected from various sources on the web.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://www.topix.com/rss/who/johnny-depp.xml"} +{"d:Title": "Johnny Depp collects Bahamas lifetime prize", "d:Description": "Article on the actor receiving lifetime achievement award at the Bahamas International Film Festival.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/entertainment/8411633.stm"} +{"d:Title": "Johnny Depp may quit Pirates", "d:Description": "Article about the actor possibly walking away from PoC4.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://www.chipandco.com/?p=3302"} +{"d:Title": "Johnny Depp On \u2018Public Enemies'", "d:Description": "Article detailing a press conference the actor gave in Japan.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://moviesblog.mtv.com/2009/12/10/johnny-depp-on-public-enemies-i-havent-seen-the-film-yet/"} +{"d:Title": "Johnny Depp to play Mexican hero Pancho Villa?", "d:Description": "Article on possibility of Johnny Depp in new movie role.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://popwatch.ew.com/2009/12/04/is-johnny-depp-the-right-actor-to-play-mexican-hero-pancho-villa/"} +{"d:Title": "Johnny Depp on 'Mad Hatter'", "d:Description": "An interview with the actor about how he brought the Mad Hatter to life.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://latimesblogs.latimes.com/herocomplex/2009/12/johnny-depp-explains-how-he-picked-his-poison-with-the-mad-hatter-.html"} +{"d:Title": "Canoe.ca: Johnny Depp", "d:Description": "Several articles about the actors life and his film work.", "topic": "Top/Arts/People/D/Depp,_Johnny/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/D/Depp_Johnny/"} +{"d:Title": "Johnny Depp: The Unofficial Site", "d:Description": "Includes a biography, quick facts, filmography, box office numbers, interviews, a picture gallery, and multimedia.", "topic": "Top/Arts/People/D/Depp,_Johnny/Fan_Pages", "url": "http://johnyd3pp.20m.com/"} +{"d:Title": "Photos of Johnny Depp", "d:Description": "Contains photographs from various Japanese magazines.", "topic": "Top/Arts/People/D/Depp,_Johnny/Fan_Pages", "url": "http://www.geocities.jp/depp_f_a_n/JPmags/html/index.html"} +{"d:Title": "Depp Impact", "d:Description": "Includes film reviews, sound files, news, postcards, message board, and a photo gallery categorized by movie titles.", "topic": "Top/Arts/People/D/Depp,_Johnny/Fan_Pages", "url": "http://deppimpact.com/"} +{"d:Title": "Johnny Depp Zone", "d:Description": "Features photograph galleries, filmography, biography, discussion boards, news, and multimedia.", "topic": "Top/Arts/People/D/Depp,_Johnny/Fan_Pages", "url": "http://www.johnnydepp-zone.com/"} +{"d:Title": "Depp Perception", "d:Description": "Biography, quotes, movie reviews, and photos.", "topic": "Top/Arts/People/D/Depp,_Johnny/Fan_Pages", "url": "http://www.depp-perception.com/"} +{"d:Title": "Got Depp?", "d:Description": "Fan site dedicated to fans who want to trade Johnny Depp items.", "topic": "Top/Arts/People/D/Depp,_Johnny/Fan_Pages", "url": "http://webspace.webring.com/people/lg/gotdepp/"} +{"d:Title": "Johnny Depp Reads", "d:Description": "Fan-based page consisting of various forums to discuss the actor.", "topic": "Top/Arts/People/D/Depp,_Johnny/Fan_Pages", "url": "http://johnnydeppreads.com/"} +{"d:Title": "Bo Derek News: Topix", "d:Description": "News about Bo Derek continually updated from around the net.", "topic": "Top/Arts/People/D/Derek,_Bo", "url": "http://www.topix.com/who/bo-derek"} +{"d:Title": "IMDb: Bo Derek", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/D/Derek,_Bo", "url": "http://www.imdb.com/name/nm0000137/"} +{"d:Title": "Jackie DeShannon Appreciation Society", "d:Description": "Information, pictures and links featuring this prolific singer songwriter who has provided many hit songs for both herself and other artists.", "topic": "Top/Arts/People/D/DeShannon,_Jackie", "url": "http://jackiedeshannon.tripod.com/index.html"} +{"d:Title": "Jackie DeShannon Official Web Site", "d:Description": "Includes filmography, event calendar, image gallery, and links.", "topic": "Top/Arts/People/D/DeShannon,_Jackie", "url": "http://www.jackiedeshannon.com/"} +{"d:Title": "IMDb: Jackie DeShannon", "d:Description": "Filmography.", "topic": "Top/Arts/People/D/DeShannon,_Jackie", "url": "http://www.imdb.com/name/nm0221176/"} +{"d:Title": "Danny Devito News: Topix", "d:Description": "News about Danny Devito continually updated from around the net.", "topic": "Top/Arts/People/D/DeVito,_Danny", "url": "http://www.topix.com/who/danny-devito"} +{"d:Title": "Actor Danny De Vito", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/D/DeVito,_Danny", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?dannydevito"} +{"d:Title": "IMDb: Danny DeVito", "d:Description": "Includes filmography, trivia, pictures, and links.", "topic": "Top/Arts/People/D/DeVito,_Danny", "url": "http://www.imdb.com/name/nm0000362/"} +{"d:Title": "New York Times Movies: Danny DeVito", "d:Description": "Biography, filmography, awards list, reviews, and news.", "topic": "Top/Arts/People/D/DeVito,_Danny", "url": "http://www.nytimes.com/movies/person/17602/Danny-DeVito"} +{"d:Title": "Colleen Dewhurst", "d:Description": "Includes short biography, film and televisions credits.", "topic": "Top/Arts/People/D/Dewhurst,_Colleen", "url": "http://www.thespiannet.com/actresses/D/dewhurst_colleen/"} +{"d:Title": "Colleen Dewhurst, An Autobiography", "d:Description": "CurtainUp review of the autobiography written with and completed by Tom Viola", "topic": "Top/Arts/People/D/Dewhurst,_Colleen", "url": "http://www.curtainup.com/colleen.html"} +{"d:Title": "IMDb: Colleen Dewhurst", "d:Description": "Provides filmography, TV guest appearances, photography, archive footage and biography.", "topic": "Top/Arts/People/D/Dewhurst,_Colleen", "url": "http://www.imdb.com/name/nm0223157/"} +{"d:Title": "The New York Times: Colleen Dewhurst", "d:Description": "Provides biography, filmography, news and reviews.", "topic": "Top/Arts/People/D/Dewhurst,_Colleen", "url": "http://www.nytimes.com/movies/person/18888/Colleen-Dewhurst"} +{"d:Title": "Rotten Tomatoes: Colleen Dewhurst", "d:Description": "Includes posters, filmography, news, and reviews.", "topic": "Top/Arts/People/D/Dewhurst,_Colleen", "url": "http://www.rottentomatoes.com/celebrity/colleen_dewhurst/"} +{"d:Title": "IBDb: Colleen Dewhurst", "d:Description": "Official Broadway credits for the actress with biographical information and other related facts.", "topic": "Top/Arts/People/D/Dewhurst,_Colleen", "url": "https://www.ibdb.com/broadway-cast-staff/colleen-dewhurst-37984"} +{"d:Title": "Amazing Kathleen", "d:Description": "Fan site with biography, pictures, press and fans' messages.", "topic": "Top/Arts/People/D/de_Leon,_Kathleen", "url": "http://www.kathleen.5u.com/index.htm"} +{"d:Title": "Washingtonpost.com: Rebecca De Mornay Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/People/D/De_Mornay,_Rebecca", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/rebecca_de_mornay.htm"} +{"d:Title": "IMDb: Rebecca De Mornay", "d:Description": "The Internet Movie Database includes filmography, biography, pictures, and links.", "topic": "Top/Arts/People/D/De_Mornay,_Rebecca", "url": "http://www.imdb.com/name/nm0000360/"} +{"d:Title": "TV Guide - Rebecca De Mornay", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/D/De_Mornay,_Rebecca", "url": "http://www.tvguide.com/celebrities/rebecca-de-mornay/171077"} +{"d:Title": "Thespian Net presents Rebecca De Mornay", "d:Description": "Includes biography, movie and television credits, pictures, and links.", "topic": "Top/Arts/People/D/De_Mornay,_Rebecca", "url": "http://www.thespiannet.com/actresses/D/demornay_rebecca/rebecca_demornay.shtml"} +{"d:Title": "Robert De Niro Online", "d:Description": "Includes a biography, filmography, and photos.", "topic": "Top/Arts/People/D/De_Niro,_Robert", "url": "http://www.robertdeniro.com/"} +{"d:Title": "Actor Robert De Niro", "d:Description": "Pictures, Box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/D/De_Niro,_Robert", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?rdeniro"} +{"d:Title": "Thespian Net presents Robert De Niro", "d:Description": "A starting point for celebrity information", "topic": "Top/Arts/People/D/De_Niro,_Robert", "url": "http://www.thespiannet.com/actors/D/deniro_robert/robert_deniro.shtml"} +{"d:Title": "Digital Hit's Robert De Niro Profile", "d:Description": "A short look at his life and career.", "topic": "Top/Arts/People/D/De_Niro,_Robert", "url": "http://www.digitalhit.com/cr/robertdeniro/"} +{"d:Title": "Internet Movie Database: Andy Dick", "d:Description": "Extensive list of credits, including film and TV appearances, trivia and brief biographical information.", "topic": "Top/Arts/People/D/Dick,_Andy", "url": "http://www.imdb.com/name/nm0004873/"} +{"d:Title": "Vin Diesel News: Topix", "d:Description": "News about Vin Diesel continually updated from around the net.", "topic": "Top/Arts/People/D/Diesel,_Vin", "url": "http://www.topix.com/who/vin-diesel"} +{"d:Title": "Rotten Tomatoes: Vin Diesel", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/People/D/Diesel,_Vin", "url": "http://www.rottentomatoes.com/celebrity/vin_diesel/"} +{"d:Title": "TalkTalk: Vin Diesel", "d:Description": "Provides detailed biography, full filmography and related links to movie reviews.", "topic": "Top/Arts/People/D/Diesel,_Vin", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/vin-diesel/biography/132"} +{"d:Title": "IMDb - Vin Diesel", "d:Description": "Filmography, photos and other details.", "topic": "Top/Arts/People/D/Diesel,_Vin", "url": "http://www.imdb.com/name/nm0004874/"} +{"d:Title": "Life's a gas", "d:Description": "[Guardian Unlimited Film ] \"When Vin Diesel stands before you, it feels as though the shadow of Mount Olympus has just knocked you into darkness.\"", "topic": "Top/Arts/People/D/Diesel,_Vin/Articles_and_Interviews", "url": "http://www.theguardian.com/film/2001/sep/15/features"} +{"d:Title": "The Explosive Energy of Diesel", "d:Description": "[Irish Entertainment] Feature following his appearance in the film 'The Fast and the Furious'.", "topic": "Top/Arts/People/D/Diesel,_Vin/Articles_and_Interviews", "url": "http://www.independent.ie/woman/celeb-news/the-explosive-energy-of-diesel-26250610.html"} +{"d:Title": "Jam! Showbiz - Vin Diesel", "d:Description": "Several interviews from Canadian newspapers.", "topic": "Top/Arts/People/D/Diesel,_Vin/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/D/Diesel_Vin/"} +{"d:Title": "Diesel Power", "d:Description": "An online club for people who wish to obsess about Vin Diesel and do it right.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/dieselpower/"} +{"d:Title": "Diesel Powered", "d:Description": "An online Vin Diesel appreciation club.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/dieselpowered/"} +{"d:Title": "Fans of Vin Diesel Club", "d:Description": "A place to talk about Vin Diesel's new movies.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/fansofvindieselclub/"} +{"d:Title": "Nekked Riddick", "d:Description": "Online discussion group dedicated to Vin Diesel.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/nekkedriddick/"} +{"d:Title": "Vin Diesel Obsession", "d:Description": "An online club for persons obsessed with Vin Diesel.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/vindieselobsession/"} +{"d:Title": "Vin World", "d:Description": "An online club for Vin Diesel lovers.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/vinworld/"} +{"d:Title": "Nancy's Vin Diesel Shrine", "d:Description": "A place to talk about Vin Diesel.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/nancysvindieselshrine/"} +{"d:Title": "The Vin Diesel Club", "d:Description": "News and discussions of Vin Diesel's movies.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/thevindieselclub/"} +{"d:Title": "The Vin Diesel Gallery", "d:Description": "Online discussion group, with a gallery of more than six hundred pictures.", "topic": "Top/Arts/People/D/Diesel,_Vin/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/thevindieselgallery/"} +{"d:Title": "Definitive Vin Diesel Website", "d:Description": "Fan site with biography, filmography, images, fan fiction, and links.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://members.tripod.com/Gracie_D/index.htm"} +{"d:Title": "Diesel Droolers", "d:Description": "An adult email list for discussing the actor, Vin Diesel.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://groups.yahoo.com/group/DieselDroolers/"} +{"d:Title": "The Dynamic Diesel", "d:Description": "Vin Diesel biography, pictures, and news.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://www.angelfire.com/dc2/jenns_vin/"} +{"d:Title": "Promote Vin Diesel", "d:Description": "Includes biography, filmography, news, links, and pictures.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://shasta190.tripod.com/vindiesel/index.html"} +{"d:Title": "Love Vin Diesel", "d:Description": "Contests, chats, news updates, message board, pictures, and links.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://lovevindiesel.tripod.com/"} +{"d:Title": "Vin Diesel Fuel Stop", "d:Description": "Biography, annotated list of films, photo album, news, and an article on how Jesika became a fan.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://members.tripod.com/jesika_vindfs/"} +{"d:Title": "You're not afraid of the dark, are you...The Unofficial Vin Diesel Page", "d:Description": "Pictures, fan fiction, interview transcripts, fan art, and message board.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://www.angelfire.com/tx3/phoenixxx/vindiesel/"} +{"d:Title": "The 7th wonder Vin Diesel at his Destiny Palace", "d:Description": "Fan page with pictures, links, profile, TV appearances, archived interview, FAQs, news, and poll.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://www.angelfire.com/celeb2/markvincent/index.html"} +{"d:Title": "Staci's Vin Diesel Dreams", "d:Description": "Pictures, links, biography, and films.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://www.angelfire.com/stars/DieselDreams/"} +{"d:Title": "Vin Diesel Land", "d:Description": "News, interviews, articles, sound files, chatroom, forum, pictures, and information.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://ladiedesire23.tripod.com/vindieselatalentedactor/"} +{"d:Title": "Vin Diesel Site", "d:Description": "Fan site with biography, filmography, interview, picture gallery, wallpapers and links.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://vindiesel01.freewebspace.com/"} +{"d:Title": "Diesel Dreamz", "d:Description": "Pictures and biography of Vin Diesel.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://www.angelfire.com/my/deepdieseldreamz18/"} +{"d:Title": "He's pretty fast and furious : Vin Diesel", "d:Description": "Includes biography, filmography, pictures, guestbook, and links.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://www.angelfire.com/ma3/myhouse/vinmain.html"} +{"d:Title": "Gaby's Vin Diesel", "d:Description": "Includes biography, news items, links, and pictures.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://dellucci_girly.tripod.com/gabysvindieselsite/"} +{"d:Title": "Vin Diesel Fandemonium", "d:Description": "A humorous look at Mr. Vin Diesel, his works, and his online fans.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://vindieselfandemonium.tripod.com/"} +{"d:Title": "Art of Vin Diesel", "d:Description": "Includes biography, filmography, image gallery, message board, chatroom, and fan fiction.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://www.art-of-vin-diesel.com/"} +{"d:Title": "VinXperience", "d:Description": "Fansite offering news, pictures, videos and interviews as well as an international message board.", "topic": "Top/Arts/People/D/Diesel,_Vin/Fan_Pages", "url": "http://vinxperience.net/"} +{"d:Title": "Vin Diesel Gallery.net - Diesel Driven", "d:Description": "Includes a image gallery and screen captures.", "topic": "Top/Arts/People/D/Diesel,_Vin/Image_Galleries", "url": "http://www.vindieselgallery.net/"} +{"d:Title": "VDEB-Main: The Vin Diesel Estrogen Brigade", "d:Description": "[Yahoo! Groups] For fans of Vin Diesel and the character Riddick, from the movie Pitch Black.", "topic": "Top/Arts/People/D/Diesel,_Vin/Mailing_Lists", "url": "http://groups.yahoo.com/group/VDEB-Main"} +{"d:Title": "lecuteVinDiesel", "d:Description": "[Yahoo! Groups] Exchanging information, pictures, and web sites on the acotr.", "topic": "Top/Arts/People/D/Diesel,_Vin/Mailing_Lists", "url": "http://groups.yahoo.com/group/lecuteVinDiesel"} +{"d:Title": "VDEB-Teen", "d:Description": "[Yahoo!Groups] Teen-oriented mailing list from the Vin Diesel Estrogen Brigade.", "topic": "Top/Arts/People/D/Diesel,_Vin/Mailing_Lists", "url": "http://groups.yahoo.com/group/VDEB-Teen"} +{"d:Title": "VDEB-News", "d:Description": "[Yahoo! Groups] News-only mailing list from the Vin Diesel Estrogen Brigade.", "topic": "Top/Arts/People/D/Diesel,_Vin/Mailing_Lists", "url": "http://groups.yahoo.com/group/VDEB-News"} +{"d:Title": "Dieselholics", "d:Description": "[Yahoo! Groups] Mailing list for people who are crazy about Vin Diesel.", "topic": "Top/Arts/People/D/Diesel,_Vin/Mailing_Lists", "url": "http://groups.yahoo.com/group/Dieselholics"} +{"d:Title": "VDEB-Fanfic", "d:Description": "[Yahoo! Groups] Fan fiction about Vin Diesel's characters, suitable for all ages, from the Vin Diesel Estrogen Brigade.", "topic": "Top/Arts/People/D/Diesel,_Vin/Mailing_Lists", "url": "http://groups.yahoo.com/group/VDEB-Fanfic"} +{"d:Title": "TotallyDiesel", "d:Description": "Offers photos, links, and fan fiction. [Yahoo Group]", "topic": "Top/Arts/People/D/Diesel,_Vin/Mailing_Lists", "url": "http://groups.yahoo.com/group/TotallyDiesel"} +{"d:Title": "Vinsanity Pitch Black Sounds", "d:Description": "Clips of Vin Diesel's lines in .wav format.", "topic": "Top/Arts/People/D/Diesel,_Vin/Recordings", "url": "http://www.angelfire.com/tx3/jasper/pitch.html"} +{"d:Title": "That Diesel Sound", "d:Description": "Vin Diesel .wav files from his movies.", "topic": "Top/Arts/People/D/Diesel,_Vin/Recordings", "url": "http://www.angelfire.com/ego/thatdieselsound/enter.html"} +{"d:Title": "Vin Diesel Web Ring", "d:Description": "Sites devoted to the actor/director.", "topic": "Top/Arts/People/D/Diesel,_Vin/Web_Rings", "url": "http://www.webring.org/hub?ring=vindiesel"} +{"d:Title": "Marlene Dietrich [marlene.com]", "d:Description": "Official site.", "topic": "Top/Arts/People/D/Dietrich,_Marlene", "url": "http://www.marlene.com/"} +{"d:Title": "Marlene Dietrich Collector's Page", "d:Description": "Collectibles, unpublished photographs and sound clips. In English and German.", "topic": "Top/Arts/People/D/Dietrich,_Marlene", "url": "http://www.falling-in-love-again.com/"} +{"d:Title": "Jerry Dixon", "d:Description": "Fansite for the actor and director which includes pictures, recordings, and links.", "topic": "Top/Arts/People/D/Dixon,_Jerry", "url": "http://www.jerrydixon.com/"} +{"d:Title": "Micky Dolenz", "d:Description": "A brief biography.", "topic": "Top/Arts/People/D/Dolenz,_Micky", "url": "http://www.amdest.com/stars/dolenz.html"} +{"d:Title": "Micky Dolenz", "d:Description": "Includes a biography, pictures, and tour information.", "topic": "Top/Arts/People/D/Dolenz,_Micky", "url": "http://www.mickydolenz.com/"} +{"d:Title": "The Official Micky Dolenz Home Page", "d:Description": "Includes a biography, filmography, discography, tour schedule, Monkees information, merchandise, links, fan club, and an image gallery.", "priority": "1", "topic": "Top/Arts/People/D/Dolenz,_Micky", "url": "http://www.hooloovoo.com/dolenz/"} +{"d:Title": "I'm Not Your Steppin Stone", "d:Description": "A fan tribute that features a biography and fan fiction.", "topic": "Top/Arts/People/D/Dolenz,_Micky", "url": "http://www.angelfire.com/in/mickydolenz/"} +{"d:Title": "One on One", "d:Description": "An interview with Livewire.", "topic": "Top/Arts/People/D/Dolenz,_Micky", "url": "http://www.concertlivewire.com/interviews/dolenz.htm"} +{"d:Title": "The Monkees Connection: Micky Dolenz", "d:Description": "Features a biography, news, links, and pictures.", "topic": "Top/Arts/People/D/Dolenz,_Micky", "url": "http://www.angelfire.com/band/MickyDolenz/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/People/D/Dolenz,_Micky", "url": "http://www.imdb.com/name/nm0004880/"} +{"d:Title": "Donovan, Kelly", "d:Description": "[TV.com] A biography and credits list.", "topic": "Top/Arts/People/D/Donovan,_Kelly", "url": "http://www.tv.com/people/kelly-donovan/"} +{"d:Title": "IMDb: Michael Dorn", "d:Description": "Includes filmography, photos, and trivia.", "topic": "Top/Arts/People/D/Dorn,_Michael", "url": "http://www.imdb.com/name/nm0000373/"} +{"d:Title": "Dors, Diana", "d:Description": "The life and career of the late Diana Dors. Includes biography, photos, filmography, news, and information about Orchard Manor.", "topic": "Top/Arts/People/D/Dors,_Diana", "url": "http://www.dianadors.co.uk/"} +{"d:Title": "Frankie and Johnny", "d:Description": "Pictures and biography of Donna Douglas.", "topic": "Top/Arts/People/D/Douglas,_Donna", "url": "http://www.angelfire.com/tn2/elvisgirl/johnny.html"} +{"d:Title": "Elvis' Women: Donna Douglas", "d:Description": "Pictures and biography of actress focusing on her appearance with Elvis Presley in the film Frankie and Johnny.", "topic": "Top/Arts/People/D/Douglas,_Donna", "url": "http://elviswomen.greggers.net/douglasdonna.htm"} +{"d:Title": "IMDb: Donna Douglas", "d:Description": "Credits, picture, brief biography for the film and television star.", "topic": "Top/Arts/People/D/Douglas,_Donna", "url": "http://www.imdb.com/name/nm0235031/"} +{"d:Title": "TV.com: Donna Douglas", "d:Description": "Short biography, picture, roles and appearances.", "topic": "Top/Arts/People/D/Douglas,_Donna", "url": "http://www.tv.com/people/donna-douglas/"} +{"d:Title": "Illeana Douglas UnOfficial", "d:Description": "Includes the actress's biography, pictures, articles, trailers, and links.", "topic": "Top/Arts/People/D/Douglas,_Illeana", "url": "http://www.angelfire.com/movies/illeanamain/"} +{"d:Title": "TV Guide - Illeana Douglas", "d:Description": "Television schedule for the month, with dates, times, channels, and descriptions.", "topic": "Top/Arts/People/D/Douglas,_Illeana", "url": "http://www.tvguide.com/celebrities/illeana-douglas/154347"} +{"d:Title": "IMDb: Illeana Douglas", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/D/Douglas,_Illeana", "url": "http://www.imdb.com/name/nm0001152/"} +{"d:Title": "Kirk Douglas: Ragman's Son", "d:Description": "Detailed biography, news, photographs, filmography, movie poll, and other fan information.", "topic": "Top/Arts/People/D/Douglas,_Kirk", "url": "http://www.meredy.com/kirkdouglas/"} +{"d:Title": "Meredy's Kirk Douglas Trivia Mania", "d:Description": "25 trivia questions about the actor and a few wallpapers.", "topic": "Top/Arts/People/D/Douglas,_Kirk", "url": "http://www.meredy.com/kirktriv.html"} +{"d:Title": "Kirk Douglas News: Topix", "d:Description": "News about Kirk Douglas continually updated from around the net.", "topic": "Top/Arts/People/D/Douglas,_Kirk", "url": "http://www.topix.com/who/kirk-douglas"} +{"d:Title": "Reel Classics: Kirk Douglas", "d:Description": "Image gallery and links to other sites.", "topic": "Top/Arts/People/D/Douglas,_Kirk", "url": "http://www.reelclassics.com/Actors/Kirk/kirk.htm"} +{"d:Title": "IMDb: Kirk Douglas (I)", "d:Description": "Filmography includes image gallery and links.", "topic": "Top/Arts/People/D/Douglas,_Kirk", "url": "http://www.imdb.com/name/nm0000018/"} +{"d:Title": "Yahoo! Groups: Queer Eye Kyan Fans", "d:Description": "Message board for fans of the grooming guru and the show. [Yahoo! registration required.]", "topic": "Top/Arts/People/D/Douglas,_Kyan", "url": "http://groups.yahoo.com/group/QueerEyeKyanFans/"} +{"d:Title": "Yahoo! Groups: Kyan Douglas Fans", "d:Description": "Message board, photos, member list, and links. [Yahoo! registration required.]", "topic": "Top/Arts/People/D/Douglas,_Kyan", "url": "http://groups.yahoo.com/group/Kyan_Douglasfans/"} +{"d:Title": "TV Guide - Michael Douglas", "d:Description": "Current month schedule for movies being shown on television and cable.", "topic": "Top/Arts/People/D/Douglas,_Michael", "url": "http://www.tvguide.com/celebrities/michael-douglas/166017"} +{"d:Title": "The Movie Times: Michael Douglas", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/D/Douglas,_Michael", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?mdouglas"} +{"d:Title": "Thespian Net presents Michael Douglas", "d:Description": "Includes a biography, movie and TV credits, interviews, articles, pictures, and links.", "topic": "Top/Arts/People/D/Douglas,_Michael", "url": "http://www.thespiannet.com/actors/D/douglas_michael/michael_douglas.shtml"} +{"d:Title": "IMDb: Michael Douglas (I)", "d:Description": "Filmography, biography, photographs, and articles.", "topic": "Top/Arts/People/D/Douglas,_Michael", "url": "http://www.imdb.com/name/nm0000140/"} +{"d:Title": "Internet Movie Database: Dovima", "d:Description": "Brief biographical details, filmography, and related links.", "topic": "Top/Arts/People/D/Dovima", "url": "http://www.imdb.com/name/nm0235579/"} +{"d:Title": "Robert Downey Jr.-Renaissance Man", "d:Description": "Includes news, the actor's biography, pictures, and links.", "topic": "Top/Arts/People/D/Downey,_Robert,_Jr.", "url": "http://www.angelfire.com/celeb2/r_downey/"} +{"d:Title": "Nabou.com: Robert Downey Jr", "d:Description": "Contains the actors profile, filmography, photo galleries and wallpapers.", "topic": "Top/Arts/People/D/Downey,_Robert,_Jr.", "url": "http://www.nabou.com/celebrities/robert_downey_jr/index.html"} +{"d:Title": "The Hot Bad Boy -- Robert Downey Jr.", "d:Description": "A fan site with pictures, biography, films, poll, address, and links.", "topic": "Top/Arts/People/D/Downey,_Robert,_Jr.", "url": "http://www.angelfire.com/celeb/robertdowneyjr/index.html"} +{"d:Title": "Downey Unlimited", "d:Description": "Includes the actor's biography, pictures, articles, interviews, and a letter from the star.", "topic": "Top/Arts/People/D/Downey,_Robert,_Jr.", "url": "http://downeyunlimited.com/"} +{"d:Title": "CQproject", "d:Description": "Featuring a film guide with information, quotes, photos, mini-reviews and links for over 30 Robert Downey Jr. movies.", "topic": "Top/Arts/People/D/Downey,_Robert,_Jr.", "url": "http://www.dandychick.com/cqproject/"} +{"d:Title": "The Robert Downey Jr. Fanlisting", "d:Description": "A fanlisting includes filmography, profile, quotes, trivia, and related links for the actor and musician.", "topic": "Top/Arts/People/D/Downey,_Robert,_Jr.", "url": "http://www.m00nwalk.com/robertdowneyjr/"} +{"d:Title": "Catherine's Roma Downey Home Page", "d:Description": "Personal and professional background information, including photos of Roma as Grand Marshal of a St. Patrick's Day parade in 2000.", "topic": "Top/Arts/People/D/Downey,_Roma", "url": "http://members.tripod.com/mcatherine_b/roma.htm"} +{"d:Title": "Angelic Roma Downey", "d:Description": "News, quotes, images, and television schedule.", "topic": "Top/Arts/People/D/Downey,_Roma", "url": "http://www.angelfire.com/celeb/romadowney/"} +{"d:Title": "Amie's Roma Downey Page", "d:Description": "Includes images and a fact sheet.", "topic": "Top/Arts/People/D/Downey,_Roma", "url": "http://amie0160.tripod.com/Roma.html"} +{"d:Title": "Yahoo! Groups: Courtnee Draper", "d:Description": "A message forum dedicated to Courtnee.", "topic": "Top/Arts/People/D/Draper,_Courtnee", "url": "http://groups.yahoo.com/group/CourtneeDraperFansUnited/"} +{"d:Title": "TV Guide - Courtnee Draper", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/D/Draper,_Courtnee", "url": "http://www.tvguide.com/celebrities/courtnee-draper/208590"} +{"d:Title": "Courtnee Draper", "d:Description": "Includes pictures, biography, resume.", "topic": "Top/Arts/People/D/Draper,_Courtnee", "url": "https://www.facebook.com/CourtneeDray"} +{"d:Title": "IMDb: Fran Descher", "d:Description": "A mini biography, facts and a filmography.", "topic": "Top/Arts/People/D/Drescher,_Fran", "url": "http://www.imdb.com/name/nm0000376/"} +{"d:Title": "Actor Richard Dreyfuss", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/D/Dreyfuss,_Richard", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?rdreyfuss"} +{"d:Title": "All-Reviews.com: Minnie Driver", "d:Description": "Filmography and reviews.", "topic": "Top/Arts/People/D/Driver,_Minnie", "url": "http://www.all-reviews.com/actors-4/Minnie-Driver.htm"} +{"d:Title": "About.com - Minnie Driver", "d:Description": "Information on actress including movie news and links.", "topic": "Top/Arts/People/D/Driver,_Minnie", "url": "http://romanticmovies.about.com/cs/driverminnie/"} +{"d:Title": "Internet Movie Database: Minnie Driver", "d:Description": "Includes filmography, trivia, pictures, and links.", "topic": "Top/Arts/People/D/Driver,_Minnie", "url": "http://www.imdb.com/name/nm0000378/"} +{"d:Title": "Thespian Net presents Minnie Driver", "d:Description": "Credits, biography and links.", "topic": "Top/Arts/People/D/Driver,_Minnie/Image_Galleries", "url": "http://www.thespiannet.com/actresses/D/driver_minnie/"} +{"d:Title": "IMDb: Fred Dryer", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/D/Dryer,_Fred", "url": "http://www.imdb.com/name/nm0004885/"} +{"d:Title": "Ivan, Newsies and Roundhouse", "d:Description": "Ivan Dudynsky biography, images, and sounds.", "topic": "Top/Arts/People/D/Dudynsky,_Ivan", "url": "http://ivandudynsky.8m.com/"} +{"d:Title": "IMDB: Ivan Dudynsky", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/D/Dudynsky,_Ivan", "url": "http://www.imdb.com/name/nm0240211/"} +{"d:Title": "Lyrics A-Z Universe", "d:Description": "Lyrics for her album, Metamorphosis.", "topic": "Top/Arts/People/D/Duff,_Hilary", "url": "http://www.azlyrics.com/d/duff.html"} +{"d:Title": "Topix: Hilary Duff", "d:Description": "News periodically updated from around the net.", "topic": "Top/Arts/People/D/Duff,_Hilary", "url": "http://www.topix.com/who/hilary-duff"} +{"d:Title": "Topix: Hilary Duff", "d:Description": "News about Hilary Duff, collected from various sources on the web.", "topic": "Top/Arts/People/D/Duff,_Hilary", "url": "http://www.topix.com/rss/who/hilary-duff.xml"} +{"d:Title": "IMDb - Hilary Duff", "d:Description": "Includes the actress's filmography, biography, and a message board.", "topic": "Top/Arts/People/D/Duff,_Hilary", "url": "http://www.imdb.com/name/nm0240381/"} +{"d:Title": "TV Guide - Hilary Duff", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/D/Duff,_Hilary", "url": "http://www.tvguide.com/celebrities/hilary-duff/153833"} +{"d:Title": "All Music Guide: Hilary Duff", "d:Description": "Include biography, discography, and reviews.", "topic": "Top/Arts/People/D/Duff,_Hilary", "url": "http://www.allmusic.com/artist/hilary-duff-mn0000956973"} +{"d:Title": "MTV.com", "d:Description": "Information and media, including a biography and full-length videos.", "topic": "Top/Arts/People/D/Duff,_Hilary", "url": "http://www.mtv.com/artists/hilary-duff/"} +{"d:Title": "HilaryFan.com", "d:Description": "Active message board centered-around Hilary.", "topic": "Top/Arts/People/D/Duff,_Hilary/Chats_and_Forums", "url": "http://www.hilaryfan.com/"} +{"d:Title": "Yahoo! Groups : hilarydufffans", "d:Description": "Active discussion group with public archive of messages.", "topic": "Top/Arts/People/D/Duff,_Hilary/Chats_and_Forums", "url": "http://groups.yahoo.com/group/hilarydufffans/"} +{"d:Title": "Yahoo! Groups : HilaryDuffCheese", "d:Description": "Discussion group for fans who want to see Hilary pose with cheese.", "topic": "Top/Arts/People/D/Duff,_Hilary/Chats_and_Forums", "url": "http://groups.yahoo.com/group/HilaryDuffCheese/"} +{"d:Title": "Hilary Duff Live", "d:Description": "Includes interviews, pictures, news, and media.", "topic": "Top/Arts/People/D/Duff,_Hilary/Fan_Pages", "url": "http://www.angelfire.com/celeb2/hilarydufflive/"} +{"d:Title": "IMDb: Karen Duffy", "d:Description": "The Internet Movie Database includes biographical information, her filmography, and links.", "topic": "Top/Arts/People/D/Duffy,_Karen", "url": "http://www.imdb.com/name/nm0240565/"} +{"d:Title": "Patty Duke", "d:Description": "Child Starlets - Filmography.", "topic": "Top/Arts/People/D/Duke,_Patty", "url": "http://www.childstarlets.com/lobby/bios/patty_duke.html"} +{"d:Title": "Call Me Anna", "d:Description": "Official fan site which includes a biography, pictures, news, links, and upcoming TV appearances.", "topic": "Top/Arts/People/D/Duke,_Patty", "url": "http://www.officialpattyduke.com/"} +{"d:Title": "IMDb: Michael Clarke Duncan", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/D/Duncan,_Michael_Clarke", "url": "http://www.imdb.com/name/nm0003817/"} +{"d:Title": "Thespian Net - Michael Clarke Duncan", "d:Description": "Biography and links to other resources about the actor.", "topic": "Top/Arts/People/D/Duncan,_Michael_Clarke", "url": "http://www.thespiannet.com/actors/D/duncan_michaelclarke/michael_clarke_duncan.shtml"} +{"d:Title": "CNN", "d:Description": "Jamie Allen, CNN Interactive Senior Writer, talks to the actor about 'The Green Mile', his mother, and life before the movies. Site includes the trailer for 'The Green Mile'.", "topic": "Top/Arts/People/D/Duncan,_Michael_Clarke", "url": "http://archives.cnn.com/1999/SHOWBIZ/Movies/12/10/greenmile.duncan/"} +{"d:Title": "Rotten Tomatoes: Michael Clarke Duncan", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/People/D/Duncan,_Michael_Clarke", "url": "http://www.rottentomatoes.com/celebrity/michael_clarke_duncan/"} +{"d:Title": "Duncan, Michael Clarke", "d:Description": "Collection of news articles detailing some of the actor's career highlights.", "topic": "Top/Arts/People/D/Duncan,_Michael_Clarke", "url": "http://jam.canoe.com/Movies/Artists/D/Duncan_Michael_Clarke/"} +{"d:Title": "Jeff Dunham - JeffDunham.com", "d:Description": "Official site with tour dates, fan club and souvenir shop.", "topic": "Top/Arts/People/D/Dunham,_Jeff", "url": "http://www.jeffdunham.com/"} +{"d:Title": "Twitter - Jeff Dunham", "d:Description": "Certified official page.", "topic": "Top/Arts/People/D/Dunham,_Jeff", "url": "http://twitter.com/jeffdunham"} +{"d:Title": "Facebook - Jeff Dunham", "d:Description": "Official fan page. [Membership required.]", "topic": "Top/Arts/People/D/Dunham,_Jeff", "url": "http://www.facebook.com/#!/JeffDunham?ref=ts"} +{"d:Title": "Success for Dummies", "d:Description": "By Tom Morris. [HuffingtonPost.com] \"There is something important to be learned from Jeff Dunham's improbable rise. Passion and belief are often able to create a path forward where none may exist.\"", "topic": "Top/Arts/People/D/Dunham,_Jeff/Articles_and_Interviews", "url": "http://www.huffingtonpost.com/tom-morris/success-for-dummies_b_350592.html"} +{"d:Title": "IMDb", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/People/D/Dunn,_Michael", "url": "http://www.imdb.com/name/nm0242692/"} +{"d:Title": "Fans of Michael Dunn", "d:Description": "Unofficial fan site. Includes FAQ, links, screensaver, and a web ring.", "topic": "Top/Arts/People/D/Dunn,_Michael_Thomas", "url": "http://denise.scriptmania.com/"} +{"d:Title": "IMDb: Michael Thomas Dunn", "d:Description": "Includes statistics, a mini biography, and movie credits.", "topic": "Top/Arts/People/D/Dunn,_Michael_Thomas", "url": "http://www.imdb.com/name/nm0242697/"} +{"d:Title": "IMDb: Griffin Dunne", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/D/Dunne,_Griffin", "url": "http://www.imdb.com/name/nm0001162/"} +{"d:Title": "Jimmy Durante", "d:Description": "Article about the origin of his catchphrase \"Good night, Mrs. Calabash, wherever you are.\"", "topic": "Top/Arts/People/D/Durante,_Jimmy", "url": "http://www.skypoint.com/members/schutz19/durante.htm"} +{"d:Title": "Red Hot Jazz: Jimmy Durante", "d:Description": "Biography, early discography, and filmography.", "topic": "Top/Arts/People/D/Durante,_Jimmy", "url": "http://www.redhotjazz.com/jdurante.html"} +{"d:Title": "Grave of Jimmy Durante", "d:Description": "Photographs and location.", "topic": "Top/Arts/People/D/Durante,_Jimmy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=309"} +{"d:Title": "IMDb - Jimmy Durante", "d:Description": "Filmography.", "topic": "Top/Arts/People/D/Durante,_Jimmy", "url": "http://www.imdb.com/name/nm0002051/"} +{"d:Title": "Robert Duvall News: Topix", "d:Description": "News about Robert Duvall continually updated from around the net.", "topic": "Top/Arts/People/D/Duvall,_Robert", "url": "http://www.topix.com/who/robert-duvall"} +{"d:Title": "Shelley Duvall", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/D/Duvall,_Shelley", "url": "http://www.imdb.com/name/nm0001167/"} +{"d:Title": "Bobbie Eakes", "d:Description": "Official site. Includes biography, photo gallery, and newsletter.", "priority": "1", "topic": "Top/Arts/People/E/Eakes,_Bobbie", "url": "http://www.bobbieeakes.com/"} +{"d:Title": "The Bobbie Eakes Picture Page", "d:Description": "Fan site with picture galleries.", "topic": "Top/Arts/People/E/Eakes,_Bobbie", "url": "http://www.members.tripod.com/bobbie7/index.htm"} +{"d:Title": "Bobbie Eakes On The Web", "d:Description": "Containing images and articles about the star.", "topic": "Top/Arts/People/E/Eakes,_Bobbie", "url": "http://members.tripod.com/~MANSOOR_D/Bobbie/bobbie.htm"} +{"d:Title": "IMDb.com - Bobbie Eakes", "d:Description": "Vital statistics, filmography, notable TV guest appearances, and trivia.", "topic": "Top/Arts/People/E/Eakes,_Bobbie", "url": "http://www.imdb.com/name/nm0247143/"} +{"d:Title": "IMDb.com - Michael Easton", "d:Description": "Vital statistics, trivia, filmography, and notable TV guest appearances.", "topic": "Top/Arts/People/E/Easton,_Michael", "url": "http://www.imdb.com/name/nm0247727/"} +{"d:Title": "Clint Eastwood: The World Wide Web Page", "d:Description": "Biography, interview, web board, and a selection of multimedia and film information.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://www.clinteastwood.net/"} +{"d:Title": "Thespian Net presents Clint Eastwood", "d:Description": "Vital statistics, pictures, filmography, and directing credits.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://www.thespiannet.com/actors/E/eastwood_clint/index.shtml"} +{"d:Title": "Triviatribute.com - Clint Eastwood", "d:Description": "Includes pictures, sound files, and trivia.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://www.triviatribute.com/clinteastwood.html"} +{"d:Title": "WWWF Ground Zero: Clint Eastwood vs. John Wayne", "d:Description": "Humorous fictionalised account of a fight between the two movie legends.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://www.grudge-match.com/History/western.shtml"} +{"d:Title": "Internet Movie Database - Clint Eastwood", "d:Description": "Includes filmography, biography, pictures, trivia, and quotes.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://imdb.com/name/nm0000142/"} +{"d:Title": "Clint Eastwood News: Topix", "d:Description": "News about Clint Eastwood continually updated from around the net.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://www.topix.com/who/clint-eastwood"} +{"d:Title": "Filmbug.com - Clint Eastwood", "d:Description": "Biography, movies, and links.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://www.filmbug.com/db/275"} +{"d:Title": "Canoe - Clint Eastwood", "d:Description": "Collection of articles.", "topic": "Top/Arts/People/E/Eastwood,_Clint", "url": "http://jam.canoe.com/Movies/Artists/E/Eastwood_Clint/"} +{"d:Title": "Buddy Ebsen Tribute Page", "d:Description": "Fan presents details of his career in films and television. Also, a Barnaby Jones episode guide, photograph gallery, related links, and other information.", "topic": "Top/Arts/People/E/Ebsen,_Buddy", "url": "http://www.actorbuddyebsen.info/"} +{"d:Title": "IMDb.com: Buddy Ebsen", "d:Description": "Vital statistics, filmography, television appearances, and photography gallery.", "topic": "Top/Arts/People/E/Ebsen,_Buddy", "url": "http://www.imdb.com/name/nm0001171/"} +{"d:Title": "Skeptical Inquirer: John Edward: Hustling the Bereaved", "d:Description": "An examination of the star and his show \"Crossing Over\", explaining his cold and hot reading techniques, and examples of out-and-out cheating.", "topic": "Top/Arts/People/E/Edward,_John", "url": "http://www.csicop.org/si/show/john_edward_hustling_the_bereaved/"} +{"d:Title": "John Edward", "d:Description": "Official site of the medium, author and talk show host. Features his event schedule, tour dates and other appearances.", "priority": "1", "topic": "Top/Arts/People/E/Edward,_John", "url": "http://www.johnedward.net/"} +{"d:Title": "Wikipedia - John Edward", "d:Description": "Hyperlinked encyclopedia article about the American author, former ballroom dancing instructor and television personality who describes himself as a psychic medium.", "topic": "Top/Arts/People/E/Edward,_John", "url": "http://en.wikipedia.org/wiki/John_Edward"} +{"d:Title": "Anthony Edwards at Thespian Net", "d:Description": "Includes profile, filmography, and pictures.", "topic": "Top/Arts/People/E/Edwards,_Anthony", "url": "http://www.thespiannet.com/actors/E/edwards_anthony/index.shtml"} +{"d:Title": "IMDb.com - Anthony Edwards", "d:Description": "Profile, filmography, trivia, and photo gallery.", "topic": "Top/Arts/People/E/Edwards,_Anthony", "url": "http://www.imdb.com/name/nm0000381/"} +{"d:Title": "IMDb.com - Blake Edwards", "d:Description": "Filmography, vital statistics, photo gallery, and trivia.", "topic": "Top/Arts/People/E/Edwards,_Blake", "url": "http://www.imdb.com/name/nm0001175/"} +{"d:Title": "Forbes.com: Michael Eisner", "d:Description": "Financial profile of Disney's recent years under Michael's power.", "topic": "Top/Arts/People/E/Eisner,_Michael", "url": "http://www.forbes.com/2001/01/16/0116faceseisner.html"} +{"d:Title": "Wikipedia: Michael Eisner", "d:Description": "Biography of the Disney head, and his roles in Paramount and Disney.", "topic": "Top/Arts/People/E/Eisner,_Michael", "url": "http://en.wikipedia.org/wiki/Michael_Eisner"} +{"d:Title": "IMDb.com: Michael Eisner", "d:Description": "Includes a filmography, photos, biography.", "topic": "Top/Arts/People/E/Eisner,_Michael", "url": "http://www.imdb.com/name/nm0004894/"} +{"d:Title": "Abstracts.net: Carmen Electra", "d:Description": "Features biography, and news.", "topic": "Top/Arts/People/E/Electra,_Carmen", "url": "http://abstracts.net/carmen-electra/"} +{"d:Title": "IMDb.com: Carmen Electra", "d:Description": "Offers filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/People/E/Electra,_Carmen", "url": "http://www.imdb.com/name/nm0001182/"} +{"d:Title": "Carmen Electra News: Topix", "d:Description": "News about Carmen Electra continually updated from around the net.", "topic": "Top/Arts/People/E/Electra,_Carmen", "url": "http://www.topix.com/who/carmen-electra"} +{"d:Title": "Rotten Tomatoes: Carmen Electra", "d:Description": "Filmography, links to reviews, news, photos, and forum.", "topic": "Top/Arts/People/E/Electra,_Carmen", "url": "http://www.rottentomatoes.com/celebrity/carmen_electra/"} +{"d:Title": "Carmen Electra", "d:Description": "Official site. Contains a biography, news, images and videos.", "priority": "1", "topic": "Top/Arts/People/E/Electra,_Carmen", "url": "http://www.carmenelectra.com/"} +{"d:Title": "Starmalls.com: Carmen Electra", "d:Description": "A photo gallery of the actress.", "topic": "Top/Arts/People/E/Electra,_Carmen/Image_Galleries", "url": "http://www.starmalls.com/galleries/carmenelectra.htm"} +{"d:Title": "ChicagoBachelor.com: Carmen Electra", "d:Description": "Browse a gallery of pictures that may be sent as postcards.", "topic": "Top/Arts/People/E/Electra,_Carmen/Image_Galleries", "url": "http://www.chicagobachelor.com/gallery/album10"} +{"d:Title": "IMDb.com - Ben Elton", "d:Description": "Filmography, trivia, quotes, and notable TV guest appearances.", "topic": "Top/Arts/People/E/Elton,_Ben", "url": "http://imdb.com/name/nm0255780/"} +{"d:Title": "Guardian Unlimited Film: Ben Elton Live on our Talkboards", "d:Description": "Edited transcript of the questions about his movie, Maybe Baby, his career as a stand-up comedian and scriptwriter, and his future projects.", "topic": "Top/Arts/People/E/Elton,_Ben", "url": "http://www.theguardian.com/film/2000/may/30/2"} +{"d:Title": "Louise English Fan Centre", "d:Description": "Includes new CD, CV, show times, memorabilia, and pictures of the star of television, feature films, stage, musical theatre, and pantomimes, and featured performer with Hill's Angels on The Benny Hill Show.", "topic": "Top/Arts/People/E/English,_Louise", "url": "http://www.louiseenglishfancentre.com/"} +{"d:Title": "IMDb.com - Louise English", "d:Description": "Vital statistics and filmography.", "topic": "Top/Arts/People/E/English,_Louise", "url": "http://www.imdb.com/name/nm0257654/"} +{"d:Title": "BBC News", "d:Description": "Report of Don's death after a long illness, including a brief history of his career.", "topic": "Top/Arts/People/E/Estelle,_Don", "url": "http://news.bbc.co.uk/1/hi/entertainment/3119865.stm"} +{"d:Title": "Guardian Unlimited", "d:Description": "Obituary by Dennis Barker including personal and career histories.", "topic": "Top/Arts/People/E/Estelle,_Don", "url": "http://www.theguardian.com/news/2003/aug/04/guardianobituaries.arts"} +{"d:Title": "Lesley's Odd Little Whose Line Space - Chip Esten", "d:Description": "Fan site including pictures.", "topic": "Top/Arts/People/E/Esten,_Chip", "url": "http://trackster007.tripod.com/lolwls/id6.html"} +{"d:Title": "IMDb.com - Chip Esten", "d:Description": "Vital statistics, filmography, notable TV guest appearances, and trivia.", "topic": "Top/Arts/People/E/Esten,_Chip", "url": "http://www.imdb.com/name/nm0261595/"} +{"d:Title": "IMDb.com - Lee Evans", "d:Description": "Vital statistics, filmography, and photo gallery.", "topic": "Top/Arts/People/E/Evans,_Lee", "url": "http://www.imdb.com/name/nm0262968/"} +{"d:Title": "Eve: First Lady of Ruff Ryders", "d:Description": "Includes news and updates, biography, pictures, album information, and links.", "topic": "Top/Arts/People/E/Eve", "url": "http://www.members.tripod.com/China00/index.html"} +{"d:Title": "All Music Guide: Eve", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/E/Eve", "url": "http://www.allmusic.com/artist/eve-p74676"} +{"d:Title": "IMDb: Eve", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/People/E/Eve", "url": "http://www.imdb.com/name/nm1073992/"} +{"d:Title": "Metacritic: Eve - Scorpion", "d:Description": "Several critic and fan reviews.", "topic": "Top/Arts/People/E/Eve", "url": "http://www.metacritic.com/music/scorpion/eve"} +{"d:Title": "IMDb.com - Rupert Everett", "d:Description": "Profile, filmography, trivia, and photo gallery.", "topic": "Top/Arts/People/E/Everett,_Rupert", "url": "http://www.imdb.com/name/nm0000391/"} +{"d:Title": "Greg Evigan Online", "d:Description": "Official site with news, biography, photos, contact information, and message boards.", "priority": "1", "topic": "Top/Arts/People/E/Evigan,_Greg", "url": "http://www.evigan.com/"} +{"d:Title": "IMDb.com - Greg Evigan", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/People/E/Evigan,_Greg", "url": "http://www.imdb.com/name/nm0263760/"} +{"d:Title": "Fabio International Fan Club", "d:Description": "Includes interviews, news, and pictures.", "topic": "Top/Arts/People/F/Fabio", "url": "http://www.fabioifc.com/"} +{"d:Title": "Thespian Net presents Jeff Fahey", "d:Description": "Filmography and information.", "topic": "Top/Arts/People/F/Fahey,_Jeff", "url": "http://www.thespiannet.com/actors/F/fahey_jeff/index.shtml"} +{"d:Title": "Jeff Fahey Fans", "d:Description": "Unofficial fan club with message board and chat room. [Free membership required.]", "topic": "Top/Arts/People/F/Fahey,_Jeff", "url": "http://groups.yahoo.com/group/jefffaheyfans/"} +{"d:Title": "Jeff Fahey Fan Forum", "d:Description": "A multi forum message board for general discussion.", "topic": "Top/Arts/People/F/Fahey,_Jeff", "url": "http://jefffahey.yuku.com/"} +{"d:Title": "IMDB: Douglas Fairbanks Jr.", "d:Description": "Filmography, biography from Leonard Maltin's Movie Encyclopedia, trivia, quotations and photographs.", "topic": "Top/Arts/People/F/Fairbanks,_Douglas,_Jr.", "url": "http://www.imdb.com/name/nm0001195/"} +{"d:Title": "BBC News: Swashbuckling Fairbanks Jnr Dies", "d:Description": "Obituary of the legendary Hollywood actor, who died in New York aged 90.", "topic": "Top/Arts/People/F/Fairbanks,_Douglas,_Jr.", "url": "http://news.bbc.co.uk/1/hi/world/americas/739947.stm"} +{"d:Title": "Wikipedia: Douglas Fairbanks, Jr.", "d:Description": "An illustrated biography of the veteran American actor from the online collaborative encyclopedia.", "topic": "Top/Arts/People/F/Fairbanks,_Douglas,_Jr.", "url": "http://en.wikipedia.org/wiki/Douglas_Fairbanks_Jr."} +{"d:Title": "Notable Names Database: Douglas Fairbanks, Jr.", "d:Description": "Quick facts, filmography and photograph of the long-time Hollywood actor.", "topic": "Top/Arts/People/F/Fairbanks,_Douglas,_Jr.", "url": "http://www.nndb.com/people/687/000042561/"} +{"d:Title": "Leo's Lyrics: Adam Faith Lyrics", "d:Description": "Lyrics to two Adam Faith hits.", "topic": "Top/Arts/People/F/Faith,_Adam", "url": "http://www.leoslyrics.com/artists/4993/"} +{"d:Title": "BBC News: Stars pay tribute to Adam Faith", "d:Description": "The showbiz world mourns the loss of 60s singer and actor Adam Faith, who has died from a heart attack aged 62.", "topic": "Top/Arts/People/F/Faith,_Adam", "url": "http://news.bbc.co.uk/1/hi/entertainment/music/2832977.stm"} +{"d:Title": "All Music Guide: Adam Faith", "d:Description": "Biography, and discography with reviews.", "topic": "Top/Arts/People/F/Faith,_Adam", "url": "http://www.allmusic.com/artist/adam-faith-p17413"} +{"d:Title": "The Guardian: Adam Faith", "d:Description": "Obituary.", "topic": "Top/Arts/People/F/Faith,_Adam", "url": "http://www.theguardian.com/news/2003/mar/10/guardianobituaries.artsobituaries"} +{"d:Title": "IMDb: Adam Faith", "d:Description": "Biography and filmography. Very detailed section on his musical career.", "topic": "Top/Arts/People/F/Faith,_Adam", "url": "http://www.imdb.com/name/nm0265693/"} +{"d:Title": "USATODAY.com: Oink if you love 'Olivia'", "d:Description": "Article on the author of the precocious girl pig series.", "topic": "Top/Arts/People/F/Falconer,_Ian", "url": "http://www.usatoday.com/life/books/news/2003-10-06-olivia_x.htm"} +{"d:Title": "The Jimmy Fallon Shrine", "d:Description": "Contains information about the actor, including lyrics, impressions, articles, interviews, and polls.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.angelfire.com/pa2/jimmyfallon/"} +{"d:Title": "Dedicated to Jimmy Fallon", "d:Description": "Contains biography, tour dates, poems/songs created by Fallon Aids and links.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.angelfire.com/celeb/dedicatedtojimmy/index.html"} +{"d:Title": "Jimmy Fallon on Fire", "d:Description": "Fan site with biography, pictures, filmography, polls, and wallpaper.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.angelfire.com/celeb/amyshotties/"} +{"d:Title": "Pyro's Jimmy Fallon Page", "d:Description": "Includes a biography, pictures, and articles.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.angelfire.com/in2/jifa/jimmy/jimmy.html"} +{"d:Title": "The Unofficial Weekend Update Page", "d:Description": "News, biographies, and articles featuring Fallon and Tina Fey. Also offers transcripts and images.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.weekendupdate.freeservers.com/"} +{"d:Title": "Jimmy Fallon Central", "d:Description": "A fan's account of a meeting with the comedian. Also offers a photo gallery.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.angelfire.com/tv/jimmyfallon/"} +{"d:Title": "Kiss Jimmy Fallon", "d:Description": "With JavaScript, users can give a virtual kiss to a photo of the comedian.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.angelfire.com/tv2/kissjimmyfallon/"} +{"d:Title": "AskMen.com: Jimmy Fallon", "d:Description": "Pictures, biography, and commentary on the comedian.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://uk.askmen.com/celebs/men/entertainment_60/88_jimmy_fallon.html"} +{"d:Title": "Jimmy Fallon", "d:Description": "Biography, filmography, photographs, and news articles from IMDB.com.", "topic": "Top/Arts/People/F/Fallon,_Jimmy", "url": "http://www.imdb.com/name/nm0266422/"} +{"d:Title": "Rotten Tomatoes: Bobby Farrelly", "d:Description": "Includes filmography, image gallery and news.", "topic": "Top/Arts/People/F/Farelly,_Bobby", "url": "http://www.rottentomatoes.com/celebrity/bobby_farrelly/"} +{"d:Title": "MovieWeb: Bobby Farrelly", "d:Description": "Biography, credits, image gallery and ratings.", "topic": "Top/Arts/People/F/Farelly,_Bobby", "url": "http://www.movieweb.com/person/bobby-farrelly/"} +{"d:Title": "Yahoo! Movies: Bobby Farrelly", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/F/Farelly,_Bobby", "url": "http://movies.yahoo.com/person/bobby-farrelly/"} +{"d:Title": "Internet Movie Database: Bobby Farrelly", "d:Description": "Contains biography, filmography, image gallery, news, awards and nominations.", "topic": "Top/Arts/People/F/Farelly,_Bobby", "url": "http://www.imdb.com/name/nm0268370/"} +{"d:Title": "IMDb - Chris Farley", "d:Description": "Filmography, trivia, photos and links.", "topic": "Top/Arts/People/F/Farley,_Chris", "url": "http://www.imdb.com/name/nm0000394/"} +{"d:Title": "American comic Chris Farley dead at 33", "d:Description": "Article from BBC News.", "topic": "Top/Arts/People/F/Farley,_Chris/Articles_and_Interviews/Obituaries", "url": "http://news.bbc.co.uk/1/hi/world/americas/40866.stm"} +{"d:Title": "Evan Farmer Zone", "d:Description": "Biography, news, poems, transcripts, chat room, and polls.", "topic": "Top/Arts/People/F/Farmer,_Evan", "url": "http://www.angelfire.com/hi2/MPheart/letterstoevan.html"} +{"d:Title": "Evan Farmer", "d:Description": "The official site of the musician offers a photo gallery, and interactivity for fans.", "topic": "Top/Arts/People/F/Farmer,_Evan", "url": "http://www.evanfarmer.net/"} +{"d:Title": "IMDb: Evan Farmer", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/People/F/Farmer,_Evan", "url": "http://www.imdb.com/name/nm0267745/"} +{"d:Title": "IMDb - Mike Farrell", "d:Description": "Filmography, brief biography and links to other sites.", "topic": "Top/Arts/People/F/Farrell,_Mike", "url": "http://www.imdb.com/name/nm0268286/"} +{"d:Title": "Terry Fator - TerryFator.com", "d:Description": "Official site of the ventriloquist and impressionist, winner of America's Got Talent and Las Vegas Headliner at The Mirage Resort and Casino.", "priority": "1", "topic": "Top/Arts/People/F/Fator,_Terry", "url": "http://www.terryfator.com/"} +{"d:Title": "Twitter - Terry Fator", "d:Description": "Certified official page.", "topic": "Top/Arts/People/F/Fator,_Terry", "url": "http://twitter.com/terryfator"} +{"d:Title": "Facebook - Terry Fator", "d:Description": "Official fan page. [Membership required.]", "topic": "Top/Arts/People/F/Fator,_Terry", "url": "http://www.facebook.com/TerryFator"} +{"d:Title": "Mirage.com - Terry Fator", "d:Description": "Official page for the Terry Fator Theatre at the Mirage Resort and Casino in Las Vegas. Includes profile, showtimes, seating chart and ticket info.", "topic": "Top/Arts/People/F/Fator,_Terry", "url": "http://www.mirage.com/entertainment/terry-fator.aspx"} +{"d:Title": "Wikipedia - Terry Fator", "d:Description": "Encyclopedic entry.", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://en.wikipedia.org/wiki/Terry_Fator"} +{"d:Title": "Rumor about ring wrong, Fator says", "d:Description": "By Norm Clarke. [ReviewJournal.com] \"So much for that rumor that Terry Fator's girlfriend Taylor Dew has been flashing a big honking engagement ring.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lvrj.com/news/rumor-about-ring-wrong-fator-says-64197787.html"} +{"d:Title": "Model speaks on Fator relationship", "d:Description": "By Norm Clarke. [ReviewJournal.com] \"The Las Vegas model linked to Mirage headliner Terry Fator doesn't understand what the fuss is all about.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lvrj.com/news/50932397.html"} +{"d:Title": "Terry Fator, wife ending marriage", "d:Description": "By Norm Clarke. [ReviewJournal.com] \"The wife of Las Vegas headliner Terry Fator filed for divorce in February, Fator acknowledged Saturday.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lvrj.com/news/49971947.html"} +{"d:Title": "Ventriloquist careful in kitchen", "d:Description": "By Norm Clarke. [ReviewJournal.com] \"Not long after winning \"America's Got Talent\" and its $1 million prize, ventriloquist Terry Fator moved to protect his good-as-gold assets.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lvrj.com/news/41647937.html"} +{"d:Title": "Show Review: Terry Fator&His Cast of Thousands", "d:Description": "By Mike Weatherford. [ReviewJournal.com] \"Fator's skills remain top notch, but his humor is needlessly divisive.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lvrj.com/neon/41554522.html"} +{"d:Title": "Fator was rejected just two years ago", "d:Description": "By Norm Clarke. [ReviewJournal.com] \"Now that he's arrived as the $100 million man, Terry Fator is amazed at what's coming out of other people's mouths.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lvrj.com/news/41420682.html"} +{"d:Title": "A Few Thoughts On Terry Fator", "d:Description": "Show review by Steve Friess. [VegasHappensHere.com] \"I personally found Fator occasionally enjoyable, occasionally grating, largely cliched and unnecessarily political.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://thestrippodcast.blogspot.com/2009/03/few-thoughts-on-terry-fator.html"} +{"d:Title": "Terry Fator leaves mixed impressions on opening night", "d:Description": "By Joe Brown. [Las Vegas Sun] \"Amid the puppets and takeoffs on famous singers, some humor falls.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lasvegassun.com/news/2009/mar/16/fator-leaves-mixed-impressions/"} +{"d:Title": "Terry Fator Celebrates Opening Night at the Mirage", "d:Description": "[PRNewswire.com] Press release and video announcing Fator's new shows, \"Terry Fator&His Cast of Thousands.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://multivu.prnewswire.com/mnr/mgmmirage/37112/"} +{"d:Title": "Accolades for ventriloquist more than just lip service", "d:Description": "By Doug Elfman. [ReviewJournal.com] \"Fator appears for now to be exactly what Vegas needs: an amicable and pretty entertaining, TV-tested throwback (a singing ventriloquist?) who will do his part to reinvigorate the Strip out of recession.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lvrj.com/news/41301947.html"} +{"d:Title": "Minister of comedy", "d:Description": "By Stacy J. Willis. [Las Vegas Weekly] \"Terry Fator talks about his religious upbringing, an overbearing father and the power of laughter.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://www.lasvegasweekly.com/news/2009/mar/12/minister-comedy/"} +{"d:Title": "Terry Fator Opens In His Own Theater In Las Vegas", "d:Description": "By Ellen Sterling. [BroadwayWorld.com] \"The show was a smash. The audience loved it and it should prove a bright spot in today's bleak Las Vegas entertainment landscape.\"", "topic": "Top/Arts/People/F/Fator,_Terry/Articles_and_Interviews", "url": "http://lasvegas.broadwayworld.com/article/Terry-Fator-Opens-In-His-Own-Theater-In-Las-Vegas-20090315"} +{"d:Title": "Jon Favreau News: Topix", "d:Description": "News about Jon Favreau continually updated from around the net.", "topic": "Top/Arts/People/F/Favreau,_Jon", "url": "http://www.topix.com/who/jon-favreau"} +{"d:Title": "IMDb: Jon Favreau", "d:Description": "Biography, filmography, pictures and news articles.", "topic": "Top/Arts/People/F/Favreau,_Jon", "url": "http://www.imdb.com/name/nm0269463/"} +{"d:Title": "Wikipedia: Marty Feldman", "d:Description": "Hyperlinked encyclopedia article covers life and career, death, filmography and TV series.", "topic": "Top/Arts/People/F/Feldman,_Marty", "url": "http://en.wikipedia.org/wiki/Marty_Feldman"} +{"d:Title": "Roxygirl's Will Ferrell Page", "d:Description": "Includes information about Will Ferrell, pictures, and links.", "topic": "Top/Arts/People/F/Ferrell,_Will", "url": "http://www.angelfire.com/stars/ferrell/"} +{"d:Title": "Will Ferrell News: Topix", "d:Description": "News about Will Ferrell continually updated from around the net.", "topic": "Top/Arts/People/F/Ferrell,_Will", "url": "http://www.topix.com/who/will-ferrell"} +{"d:Title": "Topix: Will Ferrell", "d:Description": "News about Will Ferrell, collected from various sources on the web.", "topic": "Top/Arts/People/F/Ferrell,_Will", "url": "http://www.topix.com/rss/who/will-ferrell.xml"} +{"d:Title": "IMDb: Jos\u00e9 Ferrer (I)", "d:Description": "Filmography, profile, awards, appearances on television and related information.", "topic": "Top/Arts/People/F/Ferrer,_Jos\u00e9", "url": "http://www.imdb.com/name/nm0001207/"} +{"d:Title": "IMDb: Tina Fey", "d:Description": "Filmography.", "topic": "Top/Arts/People/F/Fey,_Tina", "url": "http://imdb.com/name/nm0275486/"} +{"d:Title": "Anchor Woman", "d:Description": "Biographic article on Fey, the first woman to hold the title of Saturday Night Live head writer. [The New Yorker]", "topic": "Top/Arts/People/F/Fey,_Tina", "url": "http://www.newyorker.com/archive/2003/11/03/031103fa_fact"} +{"d:Title": "Thespian Net presents Sally Field", "d:Description": "Contains a biography and a filmography.", "topic": "Top/Arts/People/F/Field,_Sally", "url": "http://www.thespiannet.com/actresses/F/field_sally/index.shtml"} +{"d:Title": "Sally Field", "d:Description": "Includes photographs and trivia.", "topic": "Top/Arts/People/F/Field,_Sally", "url": "http://www.triviatribute.com/sallyfield.html"} +{"d:Title": "IMDb: Sally Field", "d:Description": "Filmography, photo gallery, and information about the actor", "topic": "Top/Arts/People/F/Field,_Sally", "url": "http://www.imdb.com/name/nm0000398/"} +{"d:Title": "Actress Sally Field", "d:Description": "Includes pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/F/Field,_Sally", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?sfield"} +{"d:Title": "Unofficial Kim Fields Fan Page", "d:Description": "Biography, articles, links, and filmography.", "topic": "Top/Arts/People/F/Fields,_Kim", "url": "http://www.drduru.com/Kim/Kim.html"} +{"d:Title": "IMDb: Kim Fields", "d:Description": "Photo gallery, trivia, biography, and filmography.", "topic": "Top/Arts/People/F/Fields,_Kim", "url": "http://www.imdb.com/name/nm0004917/"} +{"d:Title": "Yahoo! Groups: Thoms-Queer-Eye", "d:Description": "Message board, photos, for the design guru and the show. [Yahoo! registration required.]", "topic": "Top/Arts/People/F/Filicia,_Thom", "url": "http://groups.yahoo.com/group/Thoms-Queer-Eye/"} +{"d:Title": "Thespian Net presents Albert Finney", "d:Description": "Biography, filmography, and links.", "topic": "Top/Arts/People/F/Finney,_Albert", "url": "http://www.thespiannet.com/actors/F/finney_albert/index.shtml"} +{"d:Title": "Albert Finney News: Topix", "d:Description": "News about Albert Finney continually updated from around the net.", "topic": "Top/Arts/People/F/Finney,_Albert", "url": "http://www.topix.com/who/albert-finney"} +{"d:Title": "Topix: Albert Finney", "d:Description": "News about Albert Finney, collected from various sources on the web.", "topic": "Top/Arts/People/F/Finney,_Albert", "url": "http://www.topix.com/rss/who/albert-finney.xml"} +{"d:Title": "IMDb: Albert Finney", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/F/Finney,_Albert", "url": "http://www.imdb.com/name/nm0001215/"} +{"d:Title": "The Movie Times: Carrie Fisher", "d:Description": "Pictures, box office information, vital statistics, and awards.", "topic": "Top/Arts/People/F/Fisher,_Carrie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?cfisher"} +{"d:Title": "Carrie Fisher", "d:Description": "The official website of actress and author Carrie Fisher.", "topic": "Top/Arts/People/F/Fisher,_Carrie", "url": "http://www.carriefisher.com/"} +{"d:Title": "IMDb: Eddie Fisher", "d:Description": "Features filmography and short biography.", "topic": "Top/Arts/People/F/Fisher,_Eddie", "url": "http://www.imdb.com/name/nm0279472/"} +{"d:Title": "Salon People : Postcards from the Eddie", "d:Description": "Review of the artist's autobiography.", "topic": "Top/Arts/People/F/Fisher,_Eddie", "url": "http://www.salon.com/1999/09/27/fisher/"} +{"d:Title": "Canoe: School's A Joke for Flaherty", "d:Description": "Toronto Sun Reporter Jim Slotek interviews the actor/producer.", "topic": "Top/Arts/People/F/Flaherty,_Joe", "url": "http://jam.canoe.com/Movies/Artists/F/Flaherty_Joe/2002/05/17/758321.html"} +{"d:Title": "Errol Flynn - Official Web Site", "d:Description": "Errol Flynn's official web site. He defined a male archetype and forever set his mark on movie maleness, in fact, created a constellation of manly virtues that even today is the stuff of dreams.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://www.inlikeflynn.com/"} +{"d:Title": "Errol Flynn: Rogue Hero", "d:Description": "Lots of information about the star along with some great pictures and a list of his movies.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://themave.com/Flynn/"} +{"d:Title": "Errol Flynn Multimedia-Home", "d:Description": "A collection of sounds and videos. [AVI and WAV]", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://homepage.tinet.ie/~mlcummins/"} +{"d:Title": "Yahoo! Groups : Errol-Flynn-fans", "d:Description": "The Egroup where Flynn fans talk about the actor and his work.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://groups.yahoo.com/group/Errol-Flynn-fans"} +{"d:Title": "Jack Marino's Salute to the Fabulous Flynn", "d:Description": "A tribute to an inspirational movie star that inspired him to pursue a career in the film business. Consists of personal photos, biography, film information, and related details.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://www.warriorfilmmakers.com/errolflynn/"} +{"d:Title": "Grave of Errol Flynn", "d:Description": "Find A Grave is a resource for finding the final resting places of notable people. You can search by name, location or claim to fame. Thousands of pictures of notable graves, as well...", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=349"} +{"d:Title": "Errol Flynn News: Topix", "d:Description": "News about Errol Flynn continually updated from around the net.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://www.topix.com/who/errol-flynn"} +{"d:Title": "Reel Classics: Errol Flynn", "d:Description": "Photographs and filmography.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://www.reelclassics.com/Actors/Flynn/flynn.htm"} +{"d:Title": "Rotten Tomatoes: Errol Flynn", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://www.rottentomatoes.com/celebrity/errol_flynn/"} +{"d:Title": "TVGuide.com: Errol Flynn", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/F/Flynn,_Errol", "url": "http://www.tvguide.com/celebrities/errol-flynn/149646"} +{"d:Title": "Tavie's Online Shrine to Dave Foley", "d:Description": "Offers news, photos, sound clips and a filmography.", "topic": "Top/Arts/People/F/Foley,_Dave", "url": "http://www.tavie.com/dave.html"} +{"d:Title": "HeavenlyBlues: The Peter Fonda Page", "d:Description": "Tribute to the screenwriter and actor. Includes links to interviews, TV appearances, and an image gallery.", "topic": "Top/Arts/People/F/Fonda,_Peter", "url": "http://heavenlyblues.freeservers.com/"} +{"d:Title": "Internet Movie Database: Peter Fonda", "d:Description": "Filmography and biographical details.", "topic": "Top/Arts/People/F/Fonda,_Peter", "url": "http://imdb.com/name/nm0001228/"} +{"d:Title": "IMDb: Bob Fosse", "d:Description": "Profile, trivia, filmography, and related information.", "topic": "Top/Arts/People/F/Fosse,_Bob", "url": "http://www.imdb.com/name/nm0002080/"} +{"d:Title": "TVGuide.com: Bob Fosse", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/F/Fosse,_Bob", "url": "http://www.tvguide.com/celebrities/bob-fosse/142335"} +{"d:Title": "Who2 Profile: Bob Fosse", "d:Description": "Profile, facts, and related links.", "topic": "Top/Arts/People/F/Fosse,_Bob", "url": "http://www.who2.com/bio/bob-fosse"} +{"d:Title": "Thespian Net presents Jodie Foster", "d:Description": "Information, credits, and images are included.", "topic": "Top/Arts/People/F/Foster,_Jodie", "url": "http://www.thespiannet.com/actresses/F/foster_jodie/index.shtml"} +{"d:Title": "IMDb: Jodie Foster", "d:Description": "Includes filmography, mini-biography, trivia, quotes, and photos.", "topic": "Top/Arts/People/F/Foster,_Jodie", "url": "http://www.imdb.com/name/nm0000149/"} +{"d:Title": "Actress Jodie Foster", "d:Description": "Box office information on her movies and some pictures.", "topic": "Top/Arts/People/F/Foster,_Jodie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jodie"} +{"d:Title": "Planet Riker", "d:Description": "Updates concerning the next film in the Star Trek series plus TV series information.", "priority": "1", "topic": "Top/Arts/People/F/Frakes,_Jonathan", "url": "http://planetriker.org/"} +{"d:Title": "TV and Movie Trivia Tribute", "d:Description": "Pictures, sounds, links and trivia.", "topic": "Top/Arts/People/F/Frakes,_Jonathan", "url": "http://www.triviatribute.com/jonathanfrakes.html"} +{"d:Title": "Rotten Tomatoes: Jonathan Frakes", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/People/F/Frakes,_Jonathan", "url": "http://www.rottentomatoes.com/celebrity/jonathan_frakes/"} +{"d:Title": "Al Franken Web - A Fair and Balanced Fan Page", "d:Description": "Fan site featuring a discussion forum.", "topic": "Top/Arts/People/F/Franken,_Al", "url": "http://www.alfrankenweb.com/"} +{"d:Title": "Al Franken - Internet Movie Database", "d:Description": "A compendium of Al Franken's filmography, awards, biography, agent, discussions, photos, news articles, and fan sites.", "topic": "Top/Arts/People/F/Franken,_Al", "url": "http://www.imdb.com/name/nm0291253/"} +{"d:Title": "Crazy Like A Fox", "d:Description": "A Boston Phoenix article speculating on the rationale behind the Fox News lawsuit against Al Franken. \"Roger Ailes's 'Fair and Balanced' lawsuit against Al Franken seems stupid - until you take a closer look.\"", "topic": "Top/Arts/People/F/Franken,_Al", "url": "http://www.bostonphoenix.com/boston/news_features/dont_quote_me/documents/03106271.asp"} +{"d:Title": "Fox News Loses Attempt to Block Satirist's Book", "d:Description": "CNN article on the judgement against Fox News in its lawsuit against Al Franken.", "topic": "Top/Arts/People/F/Franken,_Al", "url": "http://us.cnn.com/2003/LAW/08/22/fox.franken/"} +{"d:Title": "Today - Al Franken tells \u2018The Truth\u2019 after telling \u2018Lies\u2019", "d:Description": "An excerpt from Mr. Franken's book 'The Truth (with Jokes)'.", "topic": "Top/Arts/People/F/Franken,_Al", "url": "http://www.today.com/id/9805738"} +{"d:Title": "Al Franken And The 'Lying Liars'", "d:Description": "CBS News coverage of \"The Al Franken Show\", including its potential impact on the upcoming presidential election.", "topic": "Top/Arts/People/F/Franken,_Al", "url": "http://www.cbsnews.com/news/al-franken-and-the-lying-liars/"} +{"d:Title": "Revelations Entertainment", "d:Description": "The official home page of Morgan Freeman's production company. Descriptions of movie and television projects and details on the company.", "topic": "Top/Arts/People/F/Freeman,_Morgan", "url": "http://www.revelationsent.com/"} +{"d:Title": "Thespian Net presents Morgan Freeman", "d:Description": "Biography, movie credits, interviews and articles, pictures, and links.", "topic": "Top/Arts/People/F/Freeman,_Morgan", "url": "http://www.thespiannet.com/actors/F/freeman_morgan/index.shtml"} +{"d:Title": "IMDb: Morgan Freeman", "d:Description": "Includes a filmography, awards, trivia, photos, and news articles.", "topic": "Top/Arts/People/F/Freeman,_Morgan", "url": "http://www.imdb.com/name/nm0000151/"} +{"d:Title": "TVGuide.com: Morgan Freeman", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/F/Freeman,_Morgan", "url": "http://www.tvguide.com/celebrities/morgan-freeman/167201"} +{"d:Title": "Movie-Times.com: Morgan Freeman", "d:Description": "Pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/F/Freeman,_Morgan", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?mfreeman"} +{"d:Title": "Flicks Interview with Morgan Freeman", "d:Description": "Interview by Patrick Stoner.", "topic": "Top/Arts/People/F/Freeman,_Morgan/Articles_and_Interviews", "url": "http://www.whyy.org/flicks/Freeman_Kiss_interview.html"} +{"d:Title": "Morgan Freeman Under Suspicion", "d:Description": "[BBC Online]Morgan Freeman talks about \"Under Suspicion\", in which he plays a policeman investigating the rape and murder of two young girls.", "topic": "Top/Arts/People/F/Freeman,_Morgan/Articles_and_Interviews", "url": "http://www.bbc.co.uk/films/2001/01/11/morgan_freeman_under_suspicion_090101_interview.shtml"} +{"d:Title": "Canoe: Morgan Freeman", "d:Description": "[Canadian Online Explorer] Collection of articles from Canadian newspapers on the life and career of Morgan Freeman.", "topic": "Top/Arts/People/F/Freeman,_Morgan/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/F/Freeman_Morgan/"} +{"d:Title": "The Guardian/NFT Interview: Morgan Freeman", "d:Description": "By Richard Jobson. Includes question and answer session with audience, and several sound clips.", "topic": "Top/Arts/People/F/Freeman,_Morgan/Articles_and_Interviews", "url": "http://www.theguardian.com/film/interview/interviewpages/0,6737,344698,00.html"} +{"d:Title": "Dawn French Online", "d:Description": "News, biographical notes, information on her work, photographs and sound clips.", "topic": "Top/Arts/People/F/French,_Dawn", "url": "http://dawnfrench.tripod.com/"} +{"d:Title": "IMDb: Dawn French", "d:Description": "Filmography, biography, and publicity information.", "topic": "Top/Arts/People/F/French,_Dawn", "url": "http://www.imdb.com/name/nm0294067/"} +{"d:Title": "IMDb: James Frey", "d:Description": "Filmography, very brief biography.", "topic": "Top/Arts/People/F/Frey,_James", "url": "http://imdb.com/name/nm0294580/"} +{"d:Title": "Big Jim Industries", "d:Description": "Official web site of the screenwriter and author James Frey.", "topic": "Top/Arts/People/F/Frey,_James", "url": "http://www.bigjimindustries.com/"} +{"d:Title": "Bold Type: James Frey", "d:Description": "An essay by the memoirist, an excerpt from \"A Million Little Pieces,\" and an MP3 file of the author reading from the same book.", "topic": "Top/Arts/People/F/Frey,_James", "url": "http://www.randomhouse.com/boldtype/0403/frey/"} +{"d:Title": "The New Adventures of Mr Stephen Fry", "d:Description": "Official site. Blog, forum, biography, tweets, audio and video, and merchandise.", "priority": "1", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://www.stephenfry.com/"} +{"d:Title": "BBC Radio 4: The internet and Me", "d:Description": "Transcription of Analysis interview.", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://news.bbc.co.uk/1/hi/technology/7926509.stm"} +{"d:Title": "BBC News: Five minutes with Stephen Fry", "d:Description": "Video interview", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://newsvote.bbc.co.uk/1/hi/entertainment/7895351.stm"} +{"d:Title": "Telegraph: Stephen Fry posts Twitter updates while trapped in lift", "d:Description": "Trapped in a lift for 40 minutes, Stephen Fry posted a series of live updates for his 100,000 followers on Twitter.", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://www.telegraph.co.uk/news/newstopics/celebritynews/4518473/Stephen-Fry-posts-Twitter-updates-while-trapped-in-lift.html"} +{"d:Title": "Wikipedia: Stephen Fry", "d:Description": "Up to date biography including career and personal life.", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://en.wikipedia.org/wiki/Stephen_Fry"} +{"d:Title": "ContactMusic: I Nearly Committed Suicide", "d:Description": "In 1995, Fry was so down after quitting a West End play in London he decided to gas himself in his car, but couldn't go through with it.", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://www.contactmusic.com/news.nsf/story/fry-i-nearly-committed-suicide_1003109"} +{"d:Title": "Guardian: Dork talk", "d:Description": "Fry's weekly gadget column from November, 2007 to October, 2008.", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://www.theguardian.com/technology/series/dorktalk"} +{"d:Title": "Observer: Fry's Puckish Delight", "d:Description": "An interview of Fry by Phil Hogan.", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://www.theguardian.com/theobserver/2001/jan/28/features.review7"} +{"d:Title": "IMDB: Stephen Fry", "d:Description": "Filmography, biography, trivia, and quotes.", "topic": "Top/Arts/People/F/Fry,_Stephen", "url": "http://www.imdb.com/name/nm0000410/"} +{"d:Title": "I Dig Daisy", "d:Description": "A personal tribute to Daisy Fuentes.", "topic": "Top/Arts/People/F/Fuentes,_Daisy", "url": "http://www.idigdaisy.com/"} +{"d:Title": "Childstarlets.com: Annette Funicello", "d:Description": "Filmography, television schedule, and fan features. Mickey Mouse Club pictures available to members.", "topic": "Top/Arts/People/F/Funicello,_Annette", "url": "http://www.childstarlets.com/lobby/bios/annette_funicello.html"} +{"d:Title": "Disney Records: The Best of Annette", "d:Description": "Album information with audio clips.", "topic": "Top/Arts/People/F/Funicello,_Annette", "url": "http://disney.go.com/disneyrecords/song-albums/annette/index.html"} +{"d:Title": "Annette Funicello at Brian's Drive-In Theater", "d:Description": "Fan page with a biography, pictures from her career, and filmography", "topic": "Top/Arts/People/F/Funicello,_Annette", "url": "http://www.briansdriveintheater.com/annette.html"} +{"d:Title": "IMDB: Annette Funicello", "d:Description": "Biographical data, filmography, trivia, and notable TV appearances.", "topic": "Top/Arts/People/F/Funicello,_Annette", "url": "http://imdb.com/name/nm0002088/"} +{"d:Title": "Yahoo Groups: Beautiful Annette Funicello", "d:Description": "A fan discussion group.", "topic": "Top/Arts/People/F/Funicello,_Annette", "url": "http://groups.yahoo.com/group/BeautifulAnnetteFunicello"} +{"d:Title": "Snapshots of Annette Funicello Boomers Fifties Teen Idol Magazine", "d:Description": "Fan page with family pictures and fan magazine articles.", "topic": "Top/Arts/People/F/Funicello,_Annette", "url": "http://boomers-fifties-pinups.com/page8.html"} +{"d:Title": "Biography.com: Annette Funicello", "d:Description": "Biography.", "topic": "Top/Arts/People/F/Funicello,_Annette", "url": "http://www.biography.com/people/annette-funicello-9542632"} +{"d:Title": "IMDb: Zach Galifianakis", "d:Description": "Film information and pictures.", "topic": "Top/Arts/People/G/Galifianakis,_Zach", "url": "http://www.imdb.com/name/nm0302108/"} +{"d:Title": "VincentGallo.com", "d:Description": "Official website maintained by the actor himself. Includes overview of his career as an actor, director, artist, composer, and writer. Photos, bibliography, and contact information.", "topic": "Top/Arts/People/G/Gallo,_Vincent", "url": "http://www.vincentgallo.com/"} +{"d:Title": "IMDb.com : Vincent Gallo", "d:Description": "Biography, filmography, photos, articles, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Gallo,_Vincent", "url": "http://www.imdb.com/name/nm0001252/"} +{"d:Title": "Box Office Data for Vincent Gallo", "d:Description": "Summary of box office statistics for movies Vincent Gallo appeared in.", "topic": "Top/Arts/People/G/Gallo,_Vincent", "url": "http://www.the-numbers.com/people/VGALL.php"} +{"d:Title": "All Music Guide: Vincent Gallo", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/G/Gallo,_Vincent", "url": "http://www.allmusic.com/artist/vincent-gallo-p471620"} +{"d:Title": "TVGuide.com: Vincent Gallo", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/G/Gallo,_Vincent", "url": "http://www.tvguide.com/celebrities/vincent-gallo/178393"} +{"d:Title": "IMDb: Joseph R. Gannascoli", "d:Description": "Filmography, trivia, and titles for sale.", "topic": "Top/Arts/People/G/Gannascoli,_Joseph", "url": "http://www.imdb.com/name/nm0304430/"} +{"d:Title": "IMDb: Andy Garcia", "d:Description": "Trivia, filmography, notable TV guest appearances, photos, awards, articles, and titles for sale.", "topic": "Top/Arts/People/G/Garcia,_Andy", "url": "http://www.imdb.com/name/nm0000412/"} +{"d:Title": "All Music Guide: Odalys", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/G/Garc\u00eda,_Odalys", "url": "http://www.allmusic.com/artist/odalys-mn0000468459"} +{"d:Title": "IMDb: Odalys Garcia", "d:Description": "Profile, filmography, and notable TV guest appearances.", "topic": "Top/Arts/People/G/Garc\u00eda,_Odalys", "url": "http://www.imdb.com/name/nm0306405/"} +{"d:Title": "Judy Garland : The Little Girl with the Big Voice", "d:Description": "Fan site features filmography, photo galleries, multimedia, lyrics, and polls.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.angelfire.com/stars2/judygarland/"} +{"d:Title": "Meredy's Judy Garland Trivia Mania", "d:Description": "Twenty five questions with answers, and photos.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.meredy.com/garlandtriv.htm"} +{"d:Title": "The Judy Room", "d:Description": "Includes timelines, unique fan collections, postcards, and a photo gallery.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.thejudyroom.com/"} +{"d:Title": "Lost Performances of Judy Garland", "d:Description": "Listing of rare performances and memorabilia for trade by video collector R.Rees.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://web.lconn.com/mysterease/garland.htm"} +{"d:Title": "Triviatribute.com : Judy Garland", "d:Description": "Includes profile, pictures, sounds, and links.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.triviatribute.com/judygarland.html"} +{"d:Title": "Judy Garland Page, The", "d:Description": "Includes photos, sounds, fan club information and links.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://thejudygarlandpage.com/"} +{"d:Title": "Judy Garland News: Topix", "d:Description": "News about Judy Garland continually updated from around the net.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.topix.com/who/judy-garland"} +{"d:Title": "Reel Classics : Judy Garland", "d:Description": "Includes a biography, filmography, awards, images, gallery, and downloads.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.reelclassics.com/Actresses/Judy/judy.htm"} +{"d:Title": "Judy Garland Costumes", "d:Description": "Photos and information about costumes and clothing worn by actress Judy Garland.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.costumersguide.com/judy.shtml"} +{"d:Title": "Judy Garland Database", "d:Description": "Detailed archive of information and images relating to Judy Garland's career in film, recordings, radio and television.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.jgdb.com/"} +{"d:Title": "All Music Guide: Judy Garland", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.allmusic.com/artist/judy-garland-p3104"} +{"d:Title": "IMDb: Judy Garland", "d:Description": "Filmography, biography, photo gallery, articles, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Garland,_Judy", "url": "http://www.imdb.com/name/nm0000023/"} +{"d:Title": "Jeff Garlin", "d:Description": "Official site of the actor and comedian, with a brief biography, scheduled appearances, and links to podcasts of his conversations with show biz friends.", "topic": "Top/Arts/People/G/Garlin,_Jeff", "url": "http://jeffgarlin.com/"} +{"d:Title": "James Garner Online", "d:Description": "Tribute to the famous actor, James Garner. Includes biography, photographs, and a mailing list.", "topic": "Top/Arts/People/G/Garner,_James", "url": "http://www.jefflangonline.com/garner/"} +{"d:Title": "Actor Profile : James Garner", "d:Description": "Biography by Brian W. Fairbanks. Posters and filmography.", "topic": "Top/Arts/People/G/Garner,_James", "url": "http://www.angelfire.com/oh2/writer/jamesgarner.html"} +{"d:Title": "All Movie Guide: James Garner", "d:Description": "Includes biography, filmography, and reviews.", "topic": "Top/Arts/People/G/Garner,_James", "url": "http://www.allmovie.com/artist/james-garner-25985"} +{"d:Title": "IMDb: James Garner", "d:Description": "Short biography, photo gallery, filmography, articles, award nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Garner,_James", "url": "http://www.imdb.com/name/nm0001258/"} +{"d:Title": "WebRing: Janeane Garofalo", "d:Description": "A ring of sites related to the humor, work, fandom, and promotion of the actress.", "topic": "Top/Arts/People/G/Garofalo,_Janeane", "url": "http://www.webring.org/hub/jgwr"} +{"d:Title": "IMDb: Janeane Garofalo", "d:Description": "Filmography, biography, photographs, news articles, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Garofalo,_Janeane", "url": "http://www.imdb.com/name/nm0000413/"} +{"d:Title": "Janeane Garofalo", "d:Description": "Excerpted interview in connection with The Truth about Cats and Dogs.", "topic": "Top/Arts/People/G/Garofalo,_Janeane/Articles_and_Interviews", "url": "http://whyy.org/flicks/Garofalo_interview.html"} +{"d:Title": "Janeane Garofalo", "d:Description": "Collection of her quotes from different newspapers and magazines. Compiled by Karl J. Niedershuh.", "topic": "Top/Arts/People/G/Garofalo,_Janeane/Articles_and_Interviews", "url": "http://www.dimensionsmagazine.com/images/future_plumpers/garofalo/"} +{"d:Title": "Janeane Garofalo Web Site", "d:Description": "Includes biography, pictures, articles, and links. Last updated in November 1999.", "topic": "Top/Arts/People/G/Garofalo,_Janeane/Fan_Pages", "url": "http://members.tripod.com/~janeane_garofalo/"} +{"d:Title": "My Love of Leif Garrett", "d:Description": "Information on his music and movies, photos, articles, profile, links, and updates.", "topic": "Top/Arts/People/G/Garrett,_Leif", "url": "http://dophinespage.tripod.com/index.html"} +{"d:Title": "All Music Guide: Leif Garrett", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/G/Garrett,_Leif", "url": "http://www.allmusic.com/artist/leif-garrett-p17801"} +{"d:Title": "IMDb: Leif Garrett", "d:Description": "Filmography, trivia, photos, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Garrett,_Leif", "url": "http://www.imdb.com/name/nm0308161/"} +{"d:Title": "Richard T. Garrick", "d:Description": "Brief biographical sketch and photo of gravesite from Find A Grave.", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=9712"} +{"d:Title": "Wikipedia: Richard Garrick", "d:Description": "Biographical overview provided.", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Garrick"} +{"d:Title": "All Movie Guide: Richard Garrick", "d:Description": "Filmography of the actor/director with list of co-workers and links to movies available to purchase on video.", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "http://www.allmovie.com/artist/richard-garrick-26040"} +{"d:Title": "Richard Garrick", "d:Description": "Tribute site to the actor/director includes filmology, stage and television appearances, and photos.", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "http://richardgarrick.tripod.com/"} +{"d:Title": "IMDb.com : Richard Garrick", "d:Description": "Profile, complete filmography, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "http://www.imdb.com/name/nm0308257/"} +{"d:Title": "TV.com: Richard Garrick", "d:Description": "Television appearances, including episode number, role played and date of first airing.", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "http://www.tv.com/people/richard-garrick/"} +{"d:Title": "Internet Broadway Database: Richard Garrick", "d:Description": "Official Broadway credits for Richard Garrick, biographical information and other related facts", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "https://www.ibdb.com/broadway-cast-staff/richard-garrick-41825"} +{"d:Title": "Richard Garrick | Playbill", "d:Description": "Information on plays in which Garrick appeared includes opening date and role.", "topic": "Top/Arts/People/G/Garrick,_Richard", "url": "http://www.playbill.com/person/richard-garrick-vault-0000097082"} +{"d:Title": "Gene Geter", "d:Description": "Web site of the famous journalist, photographer and musician. Profile, news, photos, work, and poetry.", "topic": "Top/Arts/People/G/Geter,_Gene", "url": "http://www.genegeter.com/"} +{"d:Title": "Sexy Male Celebrities : Mel Gibson", "d:Description": "Includes vital statistics and a biography.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://www.kcweb.com/superm/m_gibson.htm"} +{"d:Title": "Mel Gibson Special Site", "d:Description": "Fan site features Gibson filmography, pictures, awards, screensavers, biography, wallpaper, and trailers.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://melgibson.20fr.com/"} +{"d:Title": "About Romantic Movies: Mel Gibson", "d:Description": "Information on actor Mel Gibson including movie news, biographical info, fansites and movie sites.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://romanticmovies.about.com/cs/gibsonmel/"} +{"d:Title": "Mel Gibson News: Topix", "d:Description": "News about Mel Gibson continually updated from around the net.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://www.topix.com/who/mel-gibson"} +{"d:Title": "Topix: Mel Gibson", "d:Description": "News about Mel Gibson, collected from various sources on the web.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://www.topix.com/rss/who/mel-gibson.xml"} +{"d:Title": "Rotten Tomatoes : Mel Gibson", "d:Description": "Filmography, ratings, photos, links to news and awards, and forum.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://www.rottentomatoes.com/celebrity/mel_gibson/"} +{"d:Title": "AskMen.com - Mel Gibson Rating", "d:Description": "Includes ratings of Mel Gibson versus other celebs, pictures, up-to-date biography and commentary.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://uk.askmen.com/celebs/men/entertainment/41_mel_gibson.html"} +{"d:Title": "IMDb: Mel Gibson", "d:Description": "Includes filmography, biography, photo gallery, articles, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://www.imdb.com/name/nm0000154/"} +{"d:Title": "The Movie Times : Mel Gibson", "d:Description": "Includes photographs, box office information, vital statistics, a message board and links.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?melgibson"} +{"d:Title": "Biography.com : Mel Gibson", "d:Description": "Full biography and selected filmography of the actor. Links to the biographies of related celebrities.", "topic": "Top/Arts/People/G/Gibson,_Mel", "url": "http://www.biography.com/people/mel-gibson-9310680"} +{"d:Title": "Tribute to Thomas Gibson", "d:Description": "Fan site with facts, pictures, selected filmography, quotes, and guestbook.", "topic": "Top/Arts/People/G/Gibson,_Thomas", "url": "http://www.angelfire.com/ca2/chrystal84dharma/thomas.html"} +{"d:Title": "IMDb: Thomas Gibson", "d:Description": "Includes filmography, short biography, image gallery, contact address, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Gibson,_Thomas", "url": "http://www.imdb.com/name/nm0004959/"} +{"d:Title": "KathieLeeGifford.com", "d:Description": "Official site with personal information, news, appearances, musical performances, photos, and Kathie Lee store.", "topic": "Top/Arts/People/G/Gifford,_Kathie_Lee", "url": "http://www.kathieleegifford.com/"} +{"d:Title": "Kathie Lee Gifford News: Topix", "d:Description": "News about Kathie Lee Gifford continually updated from around the net.", "topic": "Top/Arts/People/G/Gifford,_Kathie_Lee", "url": "http://www.topix.com/who/kathie-lee-gifford"} +{"d:Title": "All Music Guide: Kathie Lee Gifford", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/G/Gifford,_Kathie_Lee", "url": "http://www.allmusic.com/artist/kathie-lee-gifford-p2286"} +{"d:Title": "IMDb: Kathie Lee Gifford", "d:Description": "Personal profile, filmography, photo gallery, selection of news articles, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Gifford,_Kathie_Lee", "url": "http://www.imdb.com/name/nm0317537/"} +{"d:Title": "Dreams: The Terry Gilliam Fanzine", "d:Description": "Includes filmography, news, interviews, overviews of his films, message board, and upcoming projects.", "priority": "1", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://www.smart.co.uk/dreams/"} +{"d:Title": "Escape from Gilliamland", "d:Description": "An essay comparing the themes of three of Gilliam's films with the struggles it took to create them.", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://www.bluesock.org/~ben/writinghtml/023.html"} +{"d:Title": "The Animations of Terry Gilliam", "d:Description": "Biographical article by Noell Wolfgram Evans.", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://www.digitalmediafx.com/Features/terry-gilliam.html"} +{"d:Title": "Terry Gilliam and Brazil", "d:Description": "An analysis of the director's visual style and his demonstrations of modernism's absurdities. [Images Journal]", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://www.imagesjournal.com/issue06/features/brazil.htm"} +{"d:Title": "Wikipedia: Terry Gilliam", "d:Description": "Offers a biography and a list of films.", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://en.wikipedia.org/wiki/Terry_Gilliam"} +{"d:Title": "Terry Gilliam: the Man, the Myth, the Filmmaker", "d:Description": "College paper by Craig J. Clark discusses Gilliam's films up to \"The Fisher King.\"", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://dada.warped.com/cjclark/gilliam.htm"} +{"d:Title": "All Movie Guide: Terry Gilliam", "d:Description": "Biography, filmography, awards, and links.", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://www.allmovie.com/artist/terry-gilliam-91577"} +{"d:Title": "The Terry Gilliam Files", "d:Description": "In-depth interviews and production stories on the making of the director's thoughtful films.", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://www.wideanglecloseup.com/tgfilesindex.html"} +{"d:Title": "Yahoo Movies: Terry Gilliam", "d:Description": "Biography, filmography, photographs, awards, message board, and links.", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://movies.yahoo.com/person/terry-gilliam/"} +{"d:Title": "IMDb: Terry Gilliam", "d:Description": "Profile, filmography, photo gallery, articles, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/G/Gilliam,_Terry", "url": "http://www.imdb.com/name/nm0000416/"} +{"d:Title": "Aria Giovanni", "d:Description": "Includes filmography, pictures, a forum, and posters.", "topic": "Top/Arts/People/G/Giovanni,_Aria", "url": "http://www.rottentomatoes.com/celebrity/aria_giovanni/"} +{"d:Title": "Aria Giovanni", "d:Description": "Contains filmography, biography, and links.", "topic": "Top/Arts/People/G/Giovanni,_Aria", "url": "http://www.imdb.com/name/nm1020082/"} +{"d:Title": "Brian's Belly: Jackie Gleason", "d:Description": "Biography and links related to the actor.", "topic": "Top/Arts/People/G/Gleason,_Jackie", "url": "http://www.briansbelly.com/halloffame/jackiegleason.shtml"} +{"d:Title": "University of Miami: The Jackie Gleason Collection", "d:Description": "Information about the University's collection of books, journals and publications.", "topic": "Top/Arts/People/G/Gleason,_Jackie", "url": "http://scholar.library.miami.edu/gleason/"} +{"d:Title": "IMDb: Jackie Gleason", "d:Description": "Filmography, awards, picture gallery, and biography.", "topic": "Top/Arts/People/G/Gleason,_Jackie", "url": "http://www.imdb.com/name/nm0001276/"} +{"d:Title": "TV.com: Jackie Gleason", "d:Description": "Biography and information about roles and appearances.", "topic": "Top/Arts/People/G/Gleason,_Jackie", "url": "http://www.tv.com/people/jackie-gleason/"} +{"d:Title": "The Crispin Hellion Glover Resource Center", "d:Description": "A fan page with articles, reviews, interviews, multimedia, and links.", "topic": "Top/Arts/People/G/Glover,_Crispin", "url": "http://www.angelfire.com/celeb/crispinglover/"} +{"d:Title": "IMDB: Crispin Glover", "d:Description": "Photo, profile, filmography, and other credits.", "topic": "Top/Arts/People/G/Glover,_Crispin", "url": "http://www.imdb.com/name/nm0000417/"} +{"d:Title": "Danny Glover", "d:Description": "In Motion Magazine.", "topic": "Top/Arts/People/G/Glover,_Danny", "url": "http://www.inmotionmagazine.com/fplpd.html"} +{"d:Title": "Danny Glover", "d:Description": "Washingtonpost.com - Filmography.", "topic": "Top/Arts/People/G/Glover,_Danny", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/danny_glover.htm"} +{"d:Title": "Danny Glover News: Topix", "d:Description": "News about Danny Glover continually updated from around the net.", "topic": "Top/Arts/People/G/Glover,_Danny", "url": "http://www.topix.com/who/danny-glover"} +{"d:Title": "IMDb: Danny Glover", "d:Description": "Includes filmography, awards, profile, photos, articles, and upcoming TV appearances.", "topic": "Top/Arts/People/G/Glover,_Danny", "url": "http://www.imdb.com/name/nm0000418/"} +{"d:Title": "Whoopi Goldberg's Hollywood", "d:Description": "Information on locations the actress frequents when in Southern California.", "topic": "Top/Arts/People/G/Goldberg,_Whoopi", "url": "http://www.seeing-stars.com/StarIndexes/Whoopi.shtml"} +{"d:Title": "Whoopi Goldberg Page", "d:Description": "By Ricardo Jos\u00e9 do A.C. Filho.", "topic": "Top/Arts/People/G/Goldberg,_Whoopi", "url": "http://www.angelfire.com/biz/WhoopiGoldberg/index.html"} +{"d:Title": "IMDb: Whoopi Goldberg", "d:Description": "Includes filmography, awards, biography, photos, articles, and upcoming TV appearances.", "topic": "Top/Arts/People/G/Goldberg,_Whoopi", "url": "http://www.imdb.com/name/nm0000155/"} +{"d:Title": "Whoopi Goldberg", "d:Description": "The Movie Times.", "topic": "Top/Arts/People/G/Goldberg,_Whoopi", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?whoopi"} +{"d:Title": "IMDb: Bob Goldthwait", "d:Description": "Includes filmography, awards, biography, and photos.", "topic": "Top/Arts/People/G/Goldthwait,_Bobcat", "url": "http://www.imdb.com/name/nm0001281/"} +{"d:Title": "IMDb: Tony Goldwyn", "d:Description": "Includes filmography, profile, and upcoming TV appearances.", "topic": "Top/Arts/People/G/Goldwyn,_Tony", "url": "http://www.imdb.com/name/nm0001282/"} +{"d:Title": "AmIAnnoying.com: Lecy (Alicia) Goranson", "d:Description": "Mini-biography of the actress and why she might or might not be annoying.", "topic": "Top/Arts/People/G/Goranson,_Lecy", "url": "http://www.amiannoying.com/view.aspx?ID=2280"} +{"d:Title": "Alicia Goranson", "d:Description": "Mini-biography of the actress and an explanation of why she was sporadically missing from TVs Roseanne.", "topic": "Top/Arts/People/G/Goranson,_Lecy", "url": "http://en.wikipedia.org/wiki/Lecy_Goranson"} +{"d:Title": "Don't Shoot The Messenger", "d:Description": "Alicia Goranson's personal weblog.", "topic": "Top/Arts/People/G/Goranson,_Lecy", "url": "http://aliciagoranson.blogspot.com/"} +{"d:Title": "IMDb: Alicia Goranson", "d:Description": "Filmography, biography, and notable TV guest appearances.", "topic": "Top/Arts/People/G/Goranson,_Lecy", "url": "http://www.imdb.com/name/nm0329773/"} +{"d:Title": "Gen Gorder Fans", "d:Description": "Over 50 fans to chat with on the message board and in the chat room.", "topic": "Top/Arts/People/G/Gorder,_Genevieve", "url": "http://groups.yahoo.com/group/Gengorderfans/"} +{"d:Title": "IMDb: Genevieve Gorder", "d:Description": "Includes filmography, mini-biography, and trivia.", "topic": "Top/Arts/People/G/Gorder,_Genevieve", "url": "http://www.imdb.com/name/nm0329884/"} +{"d:Title": "Internet Movie Database: Ruth Gordon", "d:Description": "Contains biography, filmography, image gallery, news, awards and nominations.", "topic": "Top/Arts/People/G/Gordon,_Ruth", "url": "http://www.imdb.com/name/nm0002106/"} +{"d:Title": "Yahoo! Movies: Ruth Gordon", "d:Description": "Includes biography, filmography, awards and nominations.", "topic": "Top/Arts/People/G/Gordon,_Ruth", "url": "http://movies.yahoo.com/person/ruth-gordon/"} +{"d:Title": "The World of Ryan Gosling", "d:Description": "Information, pictures, fan art, fan fiction, and downloads.", "topic": "Top/Arts/People/G/Gosling,_Ryan", "url": "http://www.angelfire.com/scifi/ryangosling/"} +{"d:Title": "IMDb: Ryan Gosling", "d:Description": "Includes filmography, awards, trivia, and photo.", "topic": "Top/Arts/People/G/Gosling,_Ryan", "url": "http://www.imdb.com/name/nm0331516/"} +{"d:Title": "IMDb: Louis Gossett Jr.", "d:Description": "Includes filmography, awards, profile, and upcoming television appearances.", "topic": "Top/Arts/People/G/Gossett,_Louis,_Jr.", "url": "http://www.imdb.com/name/nm0001283/"} +{"d:Title": "Wikipedia: Brother Theodore", "d:Description": "Encyclopedic entry about the comedian, actor, and voice actor.", "topic": "Top/Arts/People/G/Gottlieb,_Theodore", "url": "http://en.wikipedia.org/wiki/Brother_Theodore"} +{"d:Title": "IMDb: Brother Theodore", "d:Description": "Filmography, biography, and trivia.", "topic": "Top/Arts/People/G/Gottlieb,_Theodore", "url": "http://imdb.com/name/nm0857475/"} +{"d:Title": "YouTube: With Every Failure, David...", "d:Description": "Video clip of Brother Theodore on Late Night with David Letterman.", "topic": "Top/Arts/People/G/Gottlieb,_Theodore", "url": "http://www.youtube.com/watch?v=rGt08GUUz1g"} +{"d:Title": "Bob Claster's Funny Stuff: Brother Theodore", "d:Description": "One hour audio interview with Brother Theodore and excerpts from his two albums; originally aired in September 24, 1989. [MP3]", "topic": "Top/Arts/People/G/Gottlieb,_Theodore", "url": "http://www.bobclaster.com/Shows/Brother%20Theodore.mp3"} +{"d:Title": "IMDb: Kelsey Grammer", "d:Description": "Short biography, filmography, guest appearances, and photo gallery.", "topic": "Top/Arts/People/G/Grammer,_Kelsey", "url": "http://www.imdb.com/name/nm0001288/"} +{"d:Title": "IMDb: Kathryn Grayson", "d:Description": "Includes filmography, awards, mini-biography, and upcoming television appearances.", "topic": "Top/Arts/People/G/Grayson,_Kathryn", "url": "http://www.imdb.com/name/nm0337113/"} +{"d:Title": "David Alan Grier - Interesting Motherfucker", "d:Description": "Humorous article by John Saleeby for the Acid Logic e-zine.", "topic": "Top/Arts/People/G/Grier,_David_Alan", "url": "http://www.acidlogic.com/im_davidalanngrier.htm"} +{"d:Title": "IMDb: David Alan Grier", "d:Description": "Brief biography, filmography, awards, and television listings.", "topic": "Top/Arts/People/G/Grier,_David_Alan", "url": "http://imdb.com/name/nm0004979/"} +{"d:Title": "Jokester's Career Has Taken Many Serious Turns", "d:Description": "Article about the actor from the Toronto Sun by Jim Slotek.", "topic": "Top/Arts/People/G/Grier,_David_Alan", "url": "http://jam.canoe.com/Movies/Artists/G/Grier_David_Alan/"} +{"d:Title": "IMDb: Kathy Griffin", "d:Description": "Includes filmography, profile, photos, and upcoming TV appearances.", "topic": "Top/Arts/People/G/Griffin,_Kathy", "url": "http://imdb.com/name/nm0004980/"} +{"d:Title": "AskMen.com - Kathy Griffin", "d:Description": "An interview with the actress/comedienne about her career.", "topic": "Top/Arts/People/G/Griffin,_Kathy", "url": "http://www.askmen.com/toys/interview_60/90_kathy_griffin_interview.html"} +{"d:Title": "Andy Samuel Griffith", "d:Description": "Mayberry.com - Biography.", "topic": "Top/Arts/People/G/Griffith,_Andy", "url": "http://www.mayberry.com/tagsrwc/wbmutbb/anewsome/private/andybio.htm"} +{"d:Title": "Andy Griffith Filmography", "d:Description": "Washingtonpost.com - Born: June 1, 1926.", "topic": "Top/Arts/People/G/Griffith,_Andy", "url": "http://washingtonpost.com/wp-srv/style/longterm/filmgrph/andy_griffith.htm"} +{"d:Title": "IMDb: Andy Griffith", "d:Description": "Includes filmography, awards, mini-biography, trivia, photos, and upcoming television appearances.", "topic": "Top/Arts/People/G/Griffith,_Andy", "url": "http://imdb.com/name/nm0341431/"} +{"d:Title": "D.W. Griffith:: A Bibliography of Materials in the UC Berkeley Libraries", "d:Description": "Books,Journal Articles, Videos", "topic": "Top/Arts/People/G/Griffith,_D._W.", "url": "http://www.lib.berkeley.edu/MRC/GriffithBib.html"} +{"d:Title": "Greatest Films of 1922", "d:Description": "Greatest Films of 1922", "topic": "Top/Arts/People/G/Griffith,_D._W.", "url": "http://www.filmsite.org/1922.html"} +{"d:Title": "IMDb: D.W. Griffith", "d:Description": "Includes filmography, awards, biography, trivia, photos, and articles.", "topic": "Top/Arts/People/G/Griffith,_D._W.", "url": "http://imdb.com/name/nm0000428/"} +{"d:Title": "D.W. Griffith: Father of Film", "d:Description": "Three-part special feature on the filmmaker. Includes full credits and original print information.", "topic": "Top/Arts/People/G/Griffith,_D._W.", "url": "http://www.tcm.com/tcmdb/title.jsp?stid=413804"} +{"d:Title": "VideoETA: Rachel Griffiths", "d:Description": "Trivia and filmography.", "topic": "Top/Arts/People/G/Griffiths,_Rachel", "url": "http://www.videoeta.com/person/853"} +{"d:Title": "Rachel Griffiths a New Year's bride", "d:Description": "Australian actress Rachel Griffiths has wed artist Andrew Taylor in a candle-lit New Year's Eve ceremony in Melbourne. The Sydney Morning Herald.", "topic": "Top/Arts/People/G/Griffiths,_Rachel", "url": "http://www.smh.com.au/articles/2003/01/01/1041196686196.html"} +{"d:Title": "BBC News: Rachel Griffiths: 'Love is a complex thing'", "d:Description": "Rachel Griffith, Oscar nominated for Best Supporting Actress for her role in Hilary and Jackie, talks about the making of the film.", "topic": "Top/Arts/People/G/Griffiths,_Rachel", "url": "http://news.bbc.co.uk/1/hi/298398.stm"} +{"d:Title": "Rotten Tomatoes: Rachel Griffiths", "d:Description": "Filmography, photos, news, and forum.", "topic": "Top/Arts/People/G/Griffiths,_Rachel", "url": "http://www.rottentomatoes.com/celebrity/rachel_griffiths/"} +{"d:Title": "The Guardian: The Rachel capers", "d:Description": "Overview of her career, and her part in the film 'Hilary And Jackie'.", "topic": "Top/Arts/People/G/Griffiths,_Rachel", "url": "http://www.theguardian.com/culture/1998/dec/18/awardsandprizes"} +{"d:Title": "IMDb: Rachel Griffiths", "d:Description": "Biography, awards, filmography, and upcoming television appearances.", "topic": "Top/Arts/People/G/Griffiths,_Rachel", "url": "http://www.imdb.com/name/nm0341737/"} +{"d:Title": "IMDb: Tammy Grimes", "d:Description": "Filmography, photographs, and a biography of the actress.", "topic": "Top/Arts/People/G/Grimes,_Tammy", "url": "http://imdb.com/name/nm0342245/"} +{"d:Title": "Wikipedia: Tammy Grimes", "d:Description": "An encyclopedic entry about the actress and singer.", "topic": "Top/Arts/People/G/Grimes,_Tammy", "url": "http://en.wikipedia.org/wiki/Tammy_Grimes"} +{"d:Title": "IMDb: The Tammy Grimes Show", "d:Description": "1966 comedy show consisting of four episodes.", "topic": "Top/Arts/People/G/Grimes,_Tammy", "url": "http://imdb.com/title/tt0060032/"} +{"d:Title": "First-Nighter: Tammy Grimes Remains Unsinkable at The Metropolitan Room", "d:Description": "Review and commentary by David Finkle of a performance in 2010 at the Metropolitan Room.", "topic": "Top/Arts/People/G/Grimes,_Tammy", "url": "http://www.huffingtonpost.com/david-finkle/first-nighter-tammy-grime_b_628215.html"} +{"d:Title": "Internet Broadway Database: Tammy Grimes", "d:Description": "Official Broadway credits for Tammy Grimes, biographical information, and facts.", "topic": "Top/Arts/People/G/Grimes,_Tammy", "url": "https://www.ibdb.com/broadway-cast-staff/tammy-grimes-43182"} +{"d:Title": "PG-L Paul Gross website", "d:Description": "Paul Gross, Canadian actor, writer, singer and producer. FAQ, latest news, Hamlet, Men With Brooms, music, comedy, WWI, PG-L mailing list.", "topic": "Top/Arts/People/G/Gross,_Paul", "url": "http://www.paulgross.org/"} +{"d:Title": "IMDb: Paul Gross", "d:Description": "Includes filmography, awards, biography, trivia, and photos.", "topic": "Top/Arts/People/G/Gross,_Paul", "url": "http://www.imdb.com/name/nm0343472/"} +{"d:Title": "Paul Gross", "d:Description": "Canoe actor database containing many Canadian press articles about Paul.", "topic": "Top/Arts/People/G/Gross,_Paul", "url": "http://jam.canoe.com/Movies/Artists/G/Gross_Paul/"} +{"d:Title": "Olivier Gruner Interviews", "d:Description": "Features 3 short video interviews with Olivier Gruner.", "topic": "Top/Arts/People/G/Gruner,_Olivier", "url": "http://www.filmscouts.com/scripts/person.cfm?person=81"} +{"d:Title": "IMDb: Olivier Gruner", "d:Description": "Includes filmography and mini-biography.", "topic": "Top/Arts/People/G/Gruner,_Olivier", "url": "http://www.imdb.com/name/nm0344603/"} +{"d:Title": "IMDb: Christopher Guest", "d:Description": "Includes filmography, awards, profile, photos, and upcoming TV appearances.", "topic": "Top/Arts/People/G/Guest,_Christopher", "url": "http://www.imdb.com/name/nm0001302/"} +{"d:Title": "Hoptman, Ari", "d:Description": "Includes his profile, schedule, a CD for sale, and contact details.", "topic": "Top/Arts/People/H", "url": "http://www.arihoptman.com/"} +{"d:Title": "TV and Movie Trivia Tribute: Gene Hackman", "d:Description": "Celebrity page with pictures, links, trivia and merchandise.", "topic": "Top/Arts/People/H/Hackman,_Gene", "url": "http://www.triviatribute.com/genehackman.html"} +{"d:Title": "Gene Hackman Homepage", "d:Description": "Bibliography, filmography, links, and information on Hackman's early years in Danville, IL.", "topic": "Top/Arts/People/H/Hackman,_Gene", "url": "http://www.danville.lib.il.us/Pathfinder/hackman.htm"} +{"d:Title": "Gene Hackman News: Topix", "d:Description": "News about Gene Hackman continually updated from around the net.", "topic": "Top/Arts/People/H/Hackman,_Gene", "url": "http://www.topix.com/who/gene-hackman"} +{"d:Title": "IMDb", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/H/Hackman,_Gene", "url": "http://www.imdb.com/name/nm0000432/"} +{"d:Title": "Actor Gene Hackman", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/H/Hackman,_Gene", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?genehackman"} +{"d:Title": "Digital Hit", "d:Description": "A look at Gene Hackman's life and career.", "topic": "Top/Arts/People/H/Hackman,_Gene", "url": "http://www.digitalhit.com/cr/genehackman/"} +{"d:Title": "Dean Haglund", "d:Description": "Appearances, biography, and demo reel for the actor/comedian.", "topic": "Top/Arts/People/H/Haglund,_Dean", "url": "http://www.deanhaglund.com/"} +{"d:Title": "IMDb.com - Dean Haglund", "d:Description": "Filmography of the actor", "topic": "Top/Arts/People/H/Haglund,_Dean", "url": "http://www.imdb.com/name/nm0353750/"} +{"d:Title": "The Official Larry Hagman Web Page", "d:Description": "Official site. Includes gallery, biography, charitable endeavors through the Ojai Foundation, contact information, and links.", "topic": "Top/Arts/People/H/Hagman,_Larry", "url": "http://www.larryhagman.com/"} +{"d:Title": "IMDb", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites, and trivia.", "topic": "Top/Arts/People/H/Hagman,_Larry", "url": "http://www.imdb.com/name/nm0001306/"} +{"d:Title": "IMDb: Corey Haim", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/H/Haim,_Corey", "url": "http://www.imdb.com/name/nm0000433/"} +{"d:Title": "Official Corey Haim Website, The", "d:Description": "Contains a biography, filmography, articles, photographs, and reviews of the actor.", "topic": "Top/Arts/People/H/Haim,_Corey", "url": "http://www.coreyhaim.us/"} +{"d:Title": "JEH Productions", "d:Description": "Production company of Jackie Earle Haley, with his profile and sample recordings of the company's TV spots.", "topic": "Top/Arts/People/H/Haley,_Jackie_Earle", "url": "http://www.jehproductions.com/"} +{"d:Title": "Jackie Earle Haley", "d:Description": "The Official fan page for the American film actor. Include biography, filmography, articles and images.", "topic": "Top/Arts/People/H/Haley,_Jackie_Earle", "url": "http://jackieearlehaley.com/"} +{"d:Title": "IMDb.com - Anthony Michael Hall", "d:Description": "Filmography, biography, and photo gallery", "topic": "Top/Arts/People/H/Hall,_Anthony_Michael", "url": "http://www.imdb.com/name/nm0001309/"} +{"d:Title": "TV.com: Anthony Michael Hall", "d:Description": "Includes biographical information as well as filmography.", "topic": "Top/Arts/People/H/Hall,_Anthony_Michael", "url": "http://www.tv.com/people/anthony-michael-hall/"} +{"d:Title": "Wikipedia: Arsenio Hall", "d:Description": "Encyclopedia article about the American actor, comedian, and former talk show host. Includes biography, filmography and discography.", "topic": "Top/Arts/People/H/Hall,_Arsenio", "url": "http://en.wikipedia.org/wiki/Arsenio_Hall"} +{"d:Title": "Arsenio Hall", "d:Description": "Official website. Includes photo gallery, biography and FAQ.", "topic": "Top/Arts/People/H/Hall,_Arsenio", "url": "http://www.arseniohall.com/"} +{"d:Title": "IMDb.com: Arsenio Hall", "d:Description": "Arsenio Hall's filmography.", "topic": "Top/Arts/People/H/Hall,_Arsenio", "url": "http://www.imdb.com/name/nm0002119/"} +{"d:Title": "Midwest Today: Deidre Hall", "d:Description": "Interview with the actress about her work on Days of Our Lives.", "topic": "Top/Arts/People/H/Hall,_Deidre", "url": "http://www.midtod.com/bestof/deidre.phtml"} +{"d:Title": "IMDb: Deidre Hall", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hall,_Deidre", "url": "http://www.imdb.com/name/nm0004984/"} +{"d:Title": "Deidre Hall Website", "d:Description": "Articles and interviews, images, and news from her official site.", "topic": "Top/Arts/People/H/Hall,_Deidre", "url": "http://deidrehall.com/"} +{"d:Title": "Dancing Monica Celebrity Photos: Jerry Hall", "d:Description": "Photographs of the model and actress with Mick Jagger, accompanied by comment on their marriage and divorce, and her strip scene in the stage version of \"The Graduate\".", "topic": "Top/Arts/People/H/Hall,_Jerry", "url": "http://www.dancingmonica.com/jerryhall.htm"} +{"d:Title": "IMDB: Jerry Hall", "d:Description": "Filmography about the actress.", "topic": "Top/Arts/People/H/Hall,_Jerry", "url": "http://www.imdb.com/name/nm0355717/"} +{"d:Title": "Hello! Profiles: Jerry Hall", "d:Description": "Biography, filmography and photographs of the Texan-born model-turned-actress.", "topic": "Top/Arts/People/H/Hall,_Jerry", "url": "http://www.hellomagazine.com/profiles/jerry-hall/"} +{"d:Title": "IMDb.com - Andrew Hallett", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/H/Hallet,_Andy", "url": "http://www.imdb.com/name/nm0356467/"} +{"d:Title": "The Luke Halpin Gallery", "d:Description": "Photo gallery devoted entirely to the 1960s teen star of \"Flipper\".", "topic": "Top/Arts/People/H/Halpin,_Luke", "url": "http://www.cpps90.com/luke"} +{"d:Title": "IMDb.com - Luke Halpin", "d:Description": "Luke Halpin's filmography at IMDb", "topic": "Top/Arts/People/H/Halpin,_Luke", "url": "http://www.imdb.com/name/nm0356983/"} +{"d:Title": "Wikipedia: Mark Hamill", "d:Description": "Encyclopedia article about the American actor and voice artist. Early life, career information, filmography and personal life.", "topic": "Top/Arts/People/H/Hamill,_Mark", "url": "http://en.wikipedia.org/wiki/Mark_Hamill"} +{"d:Title": "Mark Hamill Image Gallery", "d:Description": "Gallery of \"original paintings and multimedia images by a devoted artistic fan\".", "topic": "Top/Arts/People/H/Hamill,_Mark", "url": "http://roslin.tripod.com/MHImages.html"} +{"d:Title": "IMDb.com - Mark Hamill", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/H/Hamill,_Mark", "url": "http://www.imdb.com/name/nm0000434/"} +{"d:Title": "An Interview With Mark Hamill", "d:Description": "By Jacquie Kubin. [Animation World Magazine]", "topic": "Top/Arts/People/H/Hamill,_Mark/Articles_and_Interviews", "url": "http://www.awn.com/mag/issue2.1/articles/kubin2.1.html"} +{"d:Title": "Mark Hamill Interview", "d:Description": "By John Morrow. [The Jack Kirby Collector]", "topic": "Top/Arts/People/H/Hamill,_Mark/Articles_and_Interviews", "url": "http://www.twomorrows.com/kirby/articles/28hamill.html"} +{"d:Title": "Spotlight on Batman's Mark Hamill", "d:Description": "By Rob Allstetter. [The Comics Continuum] Hamill discusses his role as the Joker in \"Batman Beyond: Return of the Joker\".", "topic": "Top/Arts/People/H/Hamill,_Mark/Articles_and_Interviews", "url": "http://www.comicscontinuum.com/stories/0012/14/hamill.htm"} +{"d:Title": "Yahoo Groups: Club_Skywalker", "d:Description": "Mailing list for discussing all things related to Mark Hamill, Luke Skywalker, and Star Wars.", "topic": "Top/Arts/People/H/Hamill,_Mark/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Club_Skywalker/"} +{"d:Title": "Yahoo Groups: Mark Hamill Apperciation Society", "d:Description": "Fan club with message board and chat room.", "topic": "Top/Arts/People/H/Hamill,_Mark/Chats_and_Forums", "url": "http://groups.yahoo.com/group/markhamillapperciationsociety/"} +{"d:Title": "The Movie Times: Mark Hamill", "d:Description": "Message board about the actor.", "topic": "Top/Arts/People/H/Hamill,_Mark/Chats_and_Forums", "url": "http://www.the-movie-times.com/thrsdir/actors/reviews/actorboards.mv?hamill+view"} +{"d:Title": "The International Mark Hamill Fan Club", "d:Description": "The official site of Mark Hamill and the International Fan Club.", "priority": "1", "topic": "Top/Arts/People/H/Hamill,_Mark/Fan_Pages", "url": "http://www.markhamill.com/"} +{"d:Title": "Danielle's Mark Hamill/Luke Skywalker Homepage", "d:Description": "Fanpage and chatroom. Quite graphic-heavy. Chat scheduled Saturdays at 1:00pm Eastern time.", "topic": "Top/Arts/People/H/Hamill,_Mark/Fan_Pages", "url": "http://www.angelfire.com/ak/starwarsleia/"} +{"d:Title": "Kimberly's Mark Hamill Page", "d:Description": "Fan site. No longer regularly updated.", "topic": "Top/Arts/People/H/Hamill,_Mark/Fan_Pages", "url": "http://www.angelfire.com/ny/MaraSky/"} +{"d:Title": "Jedi Callie's Mark Hamill Page", "d:Description": "Fan site for Mark Hamill, including a good range of information.", "topic": "Top/Arts/People/H/Hamill,_Mark/Fan_Pages", "url": "http://jedicallie.tripod.com/markhamill.html"} +{"d:Title": "Natalie's Mark Hamill Homepage", "d:Description": "Fan site with fan fiction, links, news, pictures, and other information.", "topic": "Top/Arts/People/H/Hamill,_Mark/Fan_Pages", "url": "http://jedinat.chez.com/"} +{"d:Title": "Linda Hamilton Online Website", "d:Description": "Fan site with news, biography, credits, articles, quotes, audio clips, and image galleries.", "topic": "Top/Arts/People/H/Hamilton,_Linda", "url": "http://www.lhow.com/"} +{"d:Title": "Actress Linda Hamilton", "d:Description": "Pictures, box office information, vital statistics, links and message board.", "topic": "Top/Arts/People/H/Hamilton,_Linda", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?lhamilton"} +{"d:Title": "IMDb.com: Linda Hamilton (I)", "d:Description": "Filmography and biography for the actress.", "topic": "Top/Arts/People/H/Hamilton,_Linda", "url": "http://www.imdb.com/name/nm0000157/"} +{"d:Title": "Patrick Hamilton", "d:Description": "Filmography, including television credits.", "topic": "Top/Arts/People/H/Hamilton,_Patrick", "url": "http://imdb.com/name/nm0358096/"} +{"d:Title": "BBC News: Hancock House for Sale", "d:Description": "'The Birmingham home where comedian Tony Hancock was born is put on the market with an asking price of \u00a3229,500.'", "topic": "Top/Arts/People/H/Hancock,_Tony", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1468764.stm"} +{"d:Title": "Tony Hancock Appreciation Society", "d:Description": "Biographical information and career highlights, club events and news, media library (including tapes, books, and video), membership information, and merchandise.", "topic": "Top/Arts/People/H/Hancock,_Tony", "url": "http://www.tonyhancock.org.uk/"} +{"d:Title": "IMDb: Tony Hancock", "d:Description": "Filmography and mini biography.", "topic": "Top/Arts/People/H/Hancock,_Tony", "url": "http://www.imdb.com/name/nm0359437/"} +{"d:Title": "Taylor Handley Club", "d:Description": "Club for Taylor Handley. Includes pictures, links, articles, and other information.", "topic": "Top/Arts/People/H/Handley,_Taylor", "url": "http://groups.yahoo.com/group/taylorhandleyclub/"} +{"d:Title": "IMDb.com - Taylor Handley", "d:Description": "Taylor Handley's filmography", "topic": "Top/Arts/People/H/Handley,_Taylor", "url": "http://www.imdb.com/name/nm0359623/"} +{"d:Title": "Tom Hanks' Hollywood", "d:Description": "Places and events where Tom Hanks has been seen around town.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.seeing-stars.com/StarIndexes/TomHanks.shtml"} +{"d:Title": "Hank-y Panky", "d:Description": "With pictures, movie images with music, fan fiction, e-cards, polls, IE skins, news, and message board.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.angelfire.com/biz4/BEV/index.html"} +{"d:Title": "Thespian Net", "d:Description": "A starting point for Tom Hanks information.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.thespiannet.com/actors/H/hanks_tom/index.shtml"} +{"d:Title": "Flicks Interview", "d:Description": "An interview with the actor available in 'real audio'", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.whyy.org/flicks/Hanks_interview2.html"} +{"d:Title": "TV and Movie Trivia Tribute: Tom Hanks", "d:Description": "Celebrity page with pictures, sounds, links, trivia and merchandise.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.triviatribute.com/tomhanks.html"} +{"d:Title": "Tom Hanks Fun Site", "d:Description": "Contains the actors biography, filmography, interviews, picture gallery, and multimedia.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://tomhanks.20m.com/"} +{"d:Title": "Tom Hanks News: Topix", "d:Description": "News about Tom Hanks continually updated from around the net.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.topix.com/who/tom-hanks"} +{"d:Title": "Topix: Tom Hanks", "d:Description": "News about Tom Hanks, collected from various sources on the web", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.topix.com/rss/who/tom-hanks.xml"} +{"d:Title": "Wikipedia: Tom Hanks", "d:Description": "Encyclopedia article about the American actor. Includes early life and career, politics, filmography and awards.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://en.wikipedia.org/wiki/Tom_Hanks"} +{"d:Title": "Tom Hanks Online", "d:Description": "Information on the actor, as well as news, photos, videos, and press articles.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.tomhanks-online.com/"} +{"d:Title": "IMDb.com - Tom Hanks", "d:Description": "Tom Hanks's filmography at IMDb", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.imdb.com/name/nm0000158/"} +{"d:Title": "Tom Hanks on Digital Hit's Celebrity Row", "d:Description": "A short article on his life and career.", "topic": "Top/Arts/People/H/Hanks,_Tom", "url": "http://www.digitalhit.com/cr/tomhanks/"} +{"d:Title": "Actor Tom Hanks", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/H/Hanks,_Tom/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?tomhanks"} +{"d:Title": "IMDb.com - Bridget Hanley", "d:Description": "Bridget Hanley's filmography at IMDb", "topic": "Top/Arts/People/H/Hanley,_Bridget", "url": "http://www.imdb.com/name/nm0360063/"} +{"d:Title": "dh love life", "d:Description": "Daryl Hannah's video weblog site covering topics of interest to her.", "topic": "Top/Arts/People/H/Hannah,_Daryl", "url": "http://www.dhlovelife.com/"} +{"d:Title": "Wikipedia: Daryl Hannah", "d:Description": "Encyclopedia article about the American film actress. Includes biography and filmography.", "topic": "Top/Arts/People/H/Hannah,_Daryl", "url": "http://en.wikipedia.org/wiki/Daryl_Hannah"} +{"d:Title": "Guardian Unlimited", "d:Description": "Interview with John Hannah.", "topic": "Top/Arts/People/H/Hannah,_John", "url": "http://www.theguardian.com/film/2000/feb/04/culture.features1"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography of John Hannah.", "topic": "Top/Arts/People/H/Hannah,_John", "url": "http://www.imdb.com/name/nm0001314/"} +{"d:Title": "Alyson Hannigan News: Topix", "d:Description": "News about Alyson Hannigan continually updated from around the net.", "topic": "Top/Arts/People/H/Hannigan,_Alyson", "url": "http://www.topix.com/who/alyson-hannigan"} +{"d:Title": "TVGuide.com: Alyson Hannigan", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Hannigan,_Alyson", "url": "http://www.tvguide.com/celebrities/alyson-hannigan/139278"} +{"d:Title": "The Alyson Hannigan Webring", "d:Description": "Mostly fan sites focusing on Alyson or characters she portrays.", "topic": "Top/Arts/People/H/Hannigan,_Alyson", "url": "http://www.webring.org/hub?ring=willow"} +{"d:Title": "IMDb.com - Alyson Hannigan", "d:Description": "Brief biography, complete filmography, and photos.", "topic": "Top/Arts/People/H/Hannigan,_Alyson", "url": "http://www.imdb.com/name/nm0004989/"} +{"d:Title": "#AlysonHannigan at GoodChatting.com", "d:Description": "Dedicated IRC channel - access through any IRC client, or a java web client provided free.", "topic": "Top/Arts/People/H/Hannigan,_Alyson/Fan_Pages", "url": "http://www.goodchatting.com/hdc.html"} +{"d:Title": "Yahoo Groups: AlysonHannigan Group", "d:Description": "UK based group. Includes pictures, polls and interviews.", "topic": "Top/Arts/People/H/Hannigan,_Alyson/Fan_Pages", "url": "http://uk.groups.yahoo.com/group/AlysonHannigan_Group/"} +{"d:Title": "Alyson Hannigan", "d:Description": "Features a selection of images.", "topic": "Top/Arts/People/H/Hannigan,_Alyson/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Alyson_Hannigan"} +{"d:Title": "IMDb.com - Hanwei Chen", "d:Description": "Filmography of the actor", "topic": "Top/Arts/People/H/Hanwei,_Chen", "url": "http://www.imdb.com/name/nm0155234/"} +{"d:Title": "Tim's World", "d:Description": "Biographical information, photographs, interviews and contact information for the actor/musician.", "topic": "Top/Arts/People/H/Harding,_Tim", "url": "http://www.timharding.5u.com/"} +{"d:Title": "IMDb: Tom Hardy", "d:Description": "Brief biography, links to information about Hardy's film roles.", "topic": "Top/Arts/People/H/Hardy,_Tom", "url": "http://www.imdb.com/name/nm0362766/"} +{"d:Title": "Mariska Hargitay", "d:Description": "Photo gallery and links.", "topic": "Top/Arts/People/H/Hargitay,_Mariska", "url": "http://www.mariskahargitay.org/"} +{"d:Title": "Yahoo Groups : Mariska_Hargitay_fans", "d:Description": "Email discussion for fans.", "topic": "Top/Arts/People/H/Hargitay,_Mariska", "url": "http://tv.groups.yahoo.com/group/mariska_hargitay_fans/"} +{"d:Title": "Yahoo Groups : Mariska Magdolina Hargitay", "d:Description": "Group to talk about Mariska and her career.", "topic": "Top/Arts/People/H/Hargitay,_Mariska", "url": "http://movies.groups.yahoo.com/group/Mariska-Magdolina-Hargitay/"} +{"d:Title": "IMDb.com - Mariska Hargitay", "d:Description": "Complete filmography and biography of the actress.", "topic": "Top/Arts/People/H/Hargitay,_Mariska", "url": "http://www.imdb.com/name/nm0002127/"} +{"d:Title": "Harlowville", "d:Description": "A salute to this classic actress with a biography, filmography, rare images and free downloads.", "topic": "Top/Arts/People/H/Harlow,_Jean", "url": "http://www.meredy.com/harlow/"} +{"d:Title": "Jean Harlow - A Tribute", "d:Description": "Includes biography, filmography, images, information on Paul Bern and William Powell, as well as several articles from the 1930's.", "topic": "Top/Arts/People/H/Harlow,_Jean", "url": "http://www.angelfire.com/film/harlean/"} +{"d:Title": "The Official Jean Harlow Web Site", "d:Description": "Biography, films, quotes and photos of the original blonde bombshell.", "topic": "Top/Arts/People/H/Harlow,_Jean", "url": "http://www.jeanharlow.com/"} +{"d:Title": "IMDb: Angie Harmon", "d:Description": "The Internet Movie Database includes vital statistics, trivia, movie and TV credits, and links.", "topic": "Top/Arts/People/H/Harmon,_Angie", "url": "http://www.imdb.com/name/nm0004990/"} +{"d:Title": "TVGuide.com: Angie Harmon", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Harmon,_Angie", "url": "http://www.tvguide.com/celebrities/angie-harmon/139846"} +{"d:Title": "TV and Movie Trivia Tribute: Woody Harrelson", "d:Description": "Celebrity page with pictures, links and trivia.", "topic": "Top/Arts/People/H/Harrelson,_Woody", "url": "http://www.triviatribute.com/woodyharrelson.html"} +{"d:Title": "IMDb.com - Woody Harrelson", "d:Description": "Woody Harrelson's filmography at IMDb", "topic": "Top/Arts/People/H/Harrelson,_Woody", "url": "http://www.imdb.com/name/nm0000437/"} +{"d:Title": "Actor Woody Harrelson", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/H/Harrelson,_Woody", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?wharrelson"} +{"d:Title": "Thespian Net Presents Ed Harris", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/H/Harris,_Ed", "url": "http://www.thespiannet.com/actors/H/harris_ed/index.shtml"} +{"d:Title": "TVGuide.com: Ed Harris", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Harris,_Ed", "url": "http://www.tvguide.com/celebrities/ed-harris/148350"} +{"d:Title": "IMDb.com - Ed Harris", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/People/H/Harris,_Ed", "url": "http://www.imdb.com/name/nm0000438/"} +{"d:Title": "Actor Ed Harris", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/H/Harris,_Ed", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?eharris"} +{"d:Title": "The Laura Harris Image Gallery", "d:Description": "Fan site with photos, complete filmography and a brief biography.", "topic": "Top/Arts/People/H/Harris,_Laura", "url": "http://www.angelfire.com/mn/lauraharris/index.html"} +{"d:Title": "IMDb.com - Laura Harris", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/H/Harris,_Laura", "url": "http://www.imdb.com/name/nm0364977/"} +{"d:Title": "Green Society's UnOfficial Neil Page", "d:Description": "A site to worship Neil Patrick Harris! Biography, filmography, pictography. The whole nine yards.", "topic": "Top/Arts/People/H/Harris,_Neil_Patrick", "url": "http://www.angelfire.com/ma2/irishbohemian/neilpage.html"} +{"d:Title": "WWWF Grudge Match: Doogie Howser vs. Niles Crane", "d:Description": "The two diminutive doctors face-off in an old-fashioned street fight.", "topic": "Top/Arts/People/H/Harris,_Neil_Patrick", "url": "http://www.grudge-match.com/History/doogie-niles.shtml"} +{"d:Title": "Thespian Net presents Neil Patrick Harris", "d:Description": "Includes a filmography and links.", "topic": "Top/Arts/People/H/Harris,_Neil_Patrick", "url": "http://www.thespiannet.com/actors/H/harris_neilpatrick/"} +{"d:Title": "Wikipedia: Neil Patrick Harris", "d:Description": "Encyclopedia entry, with biography; film, television, and theater work; and awards.", "topic": "Top/Arts/People/H/Harris,_Neil_Patrick", "url": "http://en.wikipedia.org/wiki/Neil_Patrick_Harris"} +{"d:Title": "IMDb.com - Neil Patrick Harris", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/H/Harris,_Neil_Patrick", "url": "http://www.imdb.com/name/nm0000439/"} +{"d:Title": "Neil Patrick Harris", "d:Description": "Contains articles and pictures for the actor.", "topic": "Top/Arts/People/H/Harris,_Neil_Patrick", "url": "http://chloe74.tripod.com/neil.html"} +{"d:Title": "Obituary: Richard Harris", "d:Description": "Profile of the Irish actor, from BBC News.", "topic": "Top/Arts/People/H/Harris,_Richard", "url": "http://news.bbc.co.uk/2/hi/entertainment/film/2361801.stm"} +{"d:Title": "TalkTalk: Richard Harris", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/H/Harris,_Richard", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/richard-harris/biography/103"} +{"d:Title": "IMDb: Richard Harris (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/H/Harris,_Richard", "url": "http://www.imdb.com/name/nm0001321/"} +{"d:Title": "IMDb.com - Rosemary Harris", "d:Description": "Rosemary Harris's filmography at IMDb", "topic": "Top/Arts/People/H/Harris,_Rosemary", "url": "http://www.imdb.com/name/nm0365281/"} +{"d:Title": "IMDb: Gregory Harrison (I)", "d:Description": "Filmography and biographical trivia.", "topic": "Top/Arts/People/H/Harrison,_Gregory", "url": "http://www.imdb.com/name/nm0002129/"} +{"d:Title": "IMDB - Jenilee Harrison", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/H/Harrison,_Jenilee", "url": "http://www.imdb.com/name/nm0365649/"} +{"d:Title": "MyaMya.com", "d:Description": "Her official web site features news, biography, audio and video clips, photos, and tour information.", "topic": "Top/Arts/People/H/Harrison,_Mya", "url": "http://myamya.com/"} +{"d:Title": "Marvelous Mya", "d:Description": "Profile, lyrics, images, multimedia, discography, appearances, fan club, poll, mailing list, quiz and links.", "topic": "Top/Arts/People/H/Harrison,_Mya", "url": "http://www.angelfire.com/rnb/myamya/"} +{"d:Title": "Mya Digital: Where True Fans Go", "d:Description": "Fan site includes news, gossip, pictures, and biography.", "topic": "Top/Arts/People/H/Harrison,_Mya", "url": "http://www.freewebs.com/myadigital/"} +{"d:Title": "AskMen.com: Mya", "d:Description": "Pictures, biography, ratings and links.", "topic": "Top/Arts/People/H/Harrison,_Mya", "url": "http://www.askmen.com/celebs/women/singer/57_mya.html"} +{"d:Title": "IMDb: Mya", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/People/H/Harrison,_Mya", "url": "http://www.imdb.com/name/nm0616550/"} +{"d:Title": "All Music Guide: Mya", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/H/Harrison,_Mya", "url": "http://www.allmusic.com/artist/mya-mn0000621112"} +{"d:Title": "Wikipedia: Randy Harrison", "d:Description": "Encyclopedia article about the American actor. Includes biography, and credits for television, theater and films.", "topic": "Top/Arts/People/H/Harrison,_Randy", "url": "http://en.wikipedia.org/wiki/Randy_Harrison"} +{"d:Title": "Rex Harrison", "d:Description": "Short profile and a publicity photograph.", "topic": "Top/Arts/People/H/Harrison,_Rex", "url": "http://www.wickedlady.com/films/gentlemen/HarrisonRex/index.html"} +{"d:Title": "Rex Harrison at Reel Classics", "d:Description": "Information and images profiling his work in film. Also, downloads and an article from the New York Times when the actor died.", "topic": "Top/Arts/People/H/Harrison,_Rex", "url": "http://www.reelclassics.com/Actors/Rex/rex.htm"} +{"d:Title": "IMDb: Rex Harrison", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Harrison,_Rex", "url": "http://www.imdb.com/name/nm0001322/"} +{"d:Title": "Drop-D Magazine: Debbie Does the Commodore", "d:Description": "Concert review with photographs of The Jazz Passengers with Deborah Harry.", "topic": "Top/Arts/People/H/Harry,_Deborah", "url": "http://dropd.com/issue/15/JazzPassengers/"} +{"d:Title": "Deborah Harry", "d:Description": "Official web site. Includes exclusive web only MP3 releases, news, photos, interviews, and information.", "topic": "Top/Arts/People/H/Harry,_Deborah", "url": "http://www.deborahharry.com/"} +{"d:Title": "IMDb: Deborah Harry", "d:Description": "Profile, pictures, biography, and filmography of the singer and actress.", "topic": "Top/Arts/People/H/Harry,_Deborah", "url": "http://www.imdb.com/name/nm0001323/"} +{"d:Title": "Lyrics Page Lorenz Hart", "d:Description": "A collection of lyrics by Lorenz Hart and a couple of essays by Richard Rodgers and Irving Berlin.", "topic": "Top/Arts/People/H/Hart,_Lorenz", "url": "http://www.thepeaches.com/music/composers/rodgershart/"} +{"d:Title": "Rodgers and Hart: A Celebration", "d:Description": "Review from the Bus Barn Stage Company's production of \"Rodgers and Hart: A Celebration\"", "topic": "Top/Arts/People/H/Hart,_Lorenz", "url": "http://metroactive.com/papers/metro/10.09.97/rodgers-hart-9741.html"} +{"d:Title": "Lorenz Hart", "d:Description": "Picture and information about the grave of Lorenz Hart including cemetery details.", "topic": "Top/Arts/People/H/Hart,_Lorenz", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=454"} +{"d:Title": "Lyrics by Lorenz Hart", "d:Description": "Includes lyrics, sound and MIDI files.", "topic": "Top/Arts/People/H/Hart,_Lorenz", "url": "http://www.lorenzhart.org/"} +{"d:Title": "Lorenz Hart", "d:Description": "Lorenz Hart's filmography at IMDb", "topic": "Top/Arts/People/H/Hart,_Lorenz", "url": "http://www.imdb.com/name/nm0366414/"} +{"d:Title": "Hart, Melissa Joan - Rotten Tomatoes", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan", "url": "http://www.rottentomatoes.com/celebrity/melissa_joan_hart/"} +{"d:Title": "IMDb.com: Melissa Joan Hart", "d:Description": "Melissa Joan Hart's filmography at IMDb", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan", "url": "http://www.imdb.com/name/nm0004997/"} +{"d:Title": "MJH Fan", "d:Description": "A Melissa Joan Hart fansite with pictures and information about the actress. Site in English and German.", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan/Fan_Pages", "url": "http://www.mjhfan.de/"} +{"d:Title": "OBKB", "d:Description": "Media archive, information area, and updated links on the actress Melissa Joan Hart.", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan/Fan_Pages", "url": "http://www.obkb.com/"} +{"d:Title": "Hearts for a Hart - melissajoanhart.net", "d:Description": "Melissa Joan Hart fan site with news, biography, sightings, mailing list, multiple image galleries, multimedia, message board and links.", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan/Fan_Pages", "url": "http://www.melissajoanhart.net/"} +{"d:Title": "The Best Of Melissa Joan Hart", "d:Description": "Includes information, news, links, and photo galleries.", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan/Fan_Pages", "url": "http://pelotera60.tripod.com/"} +{"d:Title": "SuperiorPics.com: Melissa Joan Hart", "d:Description": "Gallery of 60+ thumbnailed scans.", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan/Image_Galleries", "url": "http://www.superiorpics.com/melissa_joan_hart/"} +{"d:Title": "TVGuide.com: Melissa Joan Hart", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Hart,_Melissa_Joan/Television", "url": "http://www.tvguide.com/celebrities/melissa-joan-hart/165755"} +{"d:Title": "IMDb.com - C. Ernst Harth", "d:Description": "Complete filmography of the actor.", "topic": "Top/Arts/People/H/Harth,_C._Ernst", "url": "http://www.imdb.com/name/nm0003210/"} +{"d:Title": "Phil Hartman Online", "d:Description": "Fan site with biography, trivia, image galleries, filmography, list of characters performed on SNL, quotes and sound clips (from SNL, The Simpsons and Newsradio).", "topic": "Top/Arts/People/H/Hartman,_Phil", "url": "http://philhartmanonline.tripod.com/"} +{"d:Title": "Hartmania", "d:Description": "Central location linking to fans' creative works inspired by the former SNL comedian: poetry, fiction, essays, jokes and art.", "topic": "Top/Arts/People/H/Hartman,_Phil", "url": "http://members.tripod.com/~MNL_1221/hartmania.html"} +{"d:Title": "Hartman-izer", "d:Description": "Takes inputted text and distorts it, using a secret code Phil Hartman created during childhood to swear around adults.", "topic": "Top/Arts/People/H/Hartman,_Phil", "url": "http://www.adequatulence.com/hartman/izer.htm"} +{"d:Title": "In Memory of Phil Hartman, 1948-1998", "d:Description": "Tribute page, with many articles, images, and links, as well as a message board for people to enter their memorials.", "topic": "Top/Arts/People/H/Hartman,_Phil", "url": "http://www.adequatulence.com/hartman/"} +{"d:Title": "IMDb.com - Phil Hartman", "d:Description": "Phil Hartman's filmography at IMDb", "topic": "Top/Arts/People/H/Hartman,_Phil", "url": "http://www.imdb.com/name/nm0367005/"} +{"d:Title": "Phil Hartman Tribute", "d:Description": "Relates a touching story about a fan's contact with Phil Hartman.", "topic": "Top/Arts/People/H/Hartman,_Phil", "url": "http://www.apeculture.com/requiems/hartman.htm"} +{"d:Title": "Absolute Josh Hartnett", "d:Description": "Includes articles and interviews, filmography, picture gallery, profile, message board, and links.", "topic": "Top/Arts/People/H/Hartnett,_Josh", "url": "http://www.joshhartnett.com/"} +{"d:Title": "Theiapolis: Josh Hartnett", "d:Description": "Includes filmography, message board, and photo gallery.", "topic": "Top/Arts/People/H/Hartnett,_Josh", "url": "http://people.theiapolis.com/actor-09TB/josh-hartnett/"} +{"d:Title": "IMDB: Josh Hartnett", "d:Description": "Internet Movie Data Base filmography for the star.", "topic": "Top/Arts/People/H/Hartnett,_Josh", "url": "http://www.imdb.com/name/nm0001326/"} +{"d:Title": "Josh Hartnett: He's All Hart", "d:Description": "Fan site with pictures, information, news, and games.", "topic": "Top/Arts/People/H/Hartnett,_Josh/Fan_Pages", "url": "http://www.angelfire.com/nc3/hartnett/"} +{"d:Title": "Josh Hartnett - Melts in Your Mouth, Not in Your Hand", "d:Description": "A fan site with pictures, profile, filmography, contact information, and links.", "topic": "Top/Arts/People/H/Hartnett,_Josh/Fan_Pages", "url": "http://www.angelfire.com/stars/Joshhartnett/index.html"} +{"d:Title": "Josh Hartnett version 1.0", "d:Description": "A fan site with resources from biographical details, pictures, Online fan club, links, and news.", "topic": "Top/Arts/People/H/Hartnett,_Josh/Fan_Pages", "url": "http://www.joshhartnett.8k.com/"} +{"d:Title": "The Josh Hartnett Connection", "d:Description": "Pictures, links, postcards, and a mailing list.", "topic": "Top/Arts/People/H/Hartnett,_Josh/Fan_Pages", "url": "http://hartnettsgirl.tripod.com/"} +{"d:Title": "Abstracts.net: Josh Hartnett", "d:Description": "Biography, pictures, constant news updates with vidcaps and transcripts, filmography, active message board, games, and quiz on the Pearl Harbor star.", "topic": "Top/Arts/People/H/Hartnett,_Josh/Resources", "url": "http://www.abstracts.net/josh-hartnett/"} +{"d:Title": "Rotten Tomatoes - Josh Hartnett", "d:Description": "Links to news, reviews, photos, and forums", "topic": "Top/Arts/People/H/Hartnett,_Josh/Resources", "url": "http://www.rottentomatoes.com/celebrity/josh_hartnett/"} +{"d:Title": "IMBb: Laurence Harvey (I)", "d:Description": "Filmography of the actor's career.", "topic": "Top/Arts/People/H/Harvey,_Laurence", "url": "http://www.imdb.com/name/nm0002131/"} +{"d:Title": "Susan Haskell", "d:Description": "Official site includes news, biography, photos, articles, and filmography.", "topic": "Top/Arts/People/H/Haskell,_Susan", "url": "http://susanhaskell.com/"} +{"d:Title": "IMDb: Susan Haskell", "d:Description": "Filmography, biographical information, photos, and links.", "topic": "Top/Arts/People/H/Haskell,_Susan", "url": "http://www.imdb.com/name/nm0368148/"} +{"d:Title": "Wikipedia: Susan Haskell", "d:Description": "A brief biography plus television credits and links.", "topic": "Top/Arts/People/H/Haskell,_Susan", "url": "http://en.wikipedia.org/wiki/Susan_Haskell"} +{"d:Title": "David Hasselhoff is the Antichrist", "d:Description": "How did the star of Baywatch get so popular? Here's one theory.", "topic": "Top/Arts/People/H/Hasselhoff,_David", "url": "http://www.esquilax.com/baywatch"} +{"d:Title": "IMDb.com - Teri Hatcher", "d:Description": "A filmography and comments.", "topic": "Top/Arts/People/H/Hatcher,_Teri", "url": "http://www.imdb.com/name/nm0000159/"} +{"d:Title": "Teri Hatcher Online", "d:Description": "Picture galleries, press, and notifications of appearances.", "topic": "Top/Arts/People/H/Hatcher,_Teri/Fan_Pages", "url": "http://terihatcheronline.com/"} +{"d:Title": "Teri Hatcher Web", "d:Description": "Sightings, news, pictures, and multimedia.", "topic": "Top/Arts/People/H/Hatcher,_Teri/Fan_Pages", "url": "http://www.terihatcherweb.com/"} +{"d:Title": "Teri Hatcher", "d:Description": "Pictures, biography, and filmography.", "topic": "Top/Arts/People/H/Hatcher,_Teri/Fan_Pages", "url": "http://strelnfan1.tripod.com/welcometomyterihatcherfansite/index.html"} +{"d:Title": "Babestop - Teri Hatcher", "d:Description": "An image collection featuring 690+ photos.", "topic": "Top/Arts/People/H/Hatcher,_Teri/Image_Galleries", "url": "http://babestopterihatcher.tripod.com/home.html"} +{"d:Title": "IMDb.com - Noah Hathaway", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/H/Hathaway,_Noah", "url": "http://www.imdb.com/name/nm0000441/"} +{"d:Title": "The First Ever Shawn Hatosy Fan Site", "d:Description": "Includes the actors biography, fan fiction, picture galleries, and trivia.", "topic": "Top/Arts/People/H/Hatosy,_Shawn", "url": "http://www.angelfire.com/md/tfeshfp/"} +{"d:Title": "The Hatosy Hut", "d:Description": "News, facts, biography, and quotes.", "topic": "Top/Arts/People/H/Hatosy,_Shawn", "url": "http://www.angelfire.com/celeb/ShawnHatosy/index.html"} +{"d:Title": "IMDb - Shawn Hatosy", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/H/Hatosy,_Shawn", "url": "http://www.imdb.com/name/nm0004999/"} +{"d:Title": "Thespian Net presents Rutger Hauer", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/H/Hauer,_Rutger", "url": "http://www.thespiannet.com/actors/H/hauer_rutger/index.shtml"} +{"d:Title": "Rutger Hauer Webring", "d:Description": "Pictures, sounds, news, and films.", "topic": "Top/Arts/People/H/Hauer,_Rutger", "url": "http://www.webring.org/hub?ring=rutger"} +{"d:Title": "IMDB: Rutger Hauer", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hauer,_Rutger", "url": "http://www.imdb.com/name/nm0000442/"} +{"d:Title": "Rutger Hauer", "d:Description": "The official site with his filmography, biography, picture gallery, and a newsletter.", "topic": "Top/Arts/People/H/Hauer,_Rutger", "url": "http://www.rutgerhauer.org/"} +{"d:Title": "IMDb: Lindsey Haun", "d:Description": "Brief biography, filmography and links to message boards.", "topic": "Top/Arts/People/H/Haun,_Lindsey", "url": "http://www.imdb.com/name/nm0369424/"} +{"d:Title": "Internet Graffiti", "d:Description": "Includes images, links, and opinions.", "topic": "Top/Arts/People/H/Hawkes,_John", "url": "http://www.angelfire.com/movies/johnhawkes/"} +{"d:Title": "IMDb.com - John Hawkes", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/H/Hawkes,_John", "url": "http://www.imdb.com/name/nm0370035/"} +{"d:Title": "IMDb: Jack Hawkins", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hawkins,_Jack", "url": "http://www.imdb.com/name/nm0370144/"} +{"d:Title": "Goldie Hawn", "d:Description": "A page dedicated to the star with pictures. Part of the TV and Movie Trivia Tribute site.", "topic": "Top/Arts/People/H/Hawn,_Goldie", "url": "http://www.triviatribute.com/goldiehawn.html"} +{"d:Title": "Topix: Goldie Hawn", "d:Description": "News resource updated from around the net.", "topic": "Top/Arts/People/H/Hawn,_Goldie", "url": "http://www.topix.com/who/goldie-hawn"} +{"d:Title": "IMDb: Goldie Hawn", "d:Description": "Includes filmography, awards, biography, quotes, articles, and photos.", "topic": "Top/Arts/People/H/Hawn,_Goldie", "url": "http://www.imdb.com/name/nm0000443/"} +{"d:Title": "Actress Goldie Hawn", "d:Description": "Pictures, Box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/H/Hawn,_Goldie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?goldie"} +{"d:Title": "IMDb.com - Will Hay", "d:Description": "Filmography of the entertainer.", "topic": "Top/Arts/People/H/Hay,_Will", "url": "http://www.imdb.com/name/nm0370547/"} +{"d:Title": "Sterling Hayden", "d:Description": "IMDB filmography for Sterling Hayden", "topic": "Top/Arts/People/H/Hayden,_Sterling", "url": "http://www.imdb.com/name/nm0001330/"} +{"d:Title": "Abstract.net: Salma Hayek", "d:Description": "Abstract art created from images of the actress.", "topic": "Top/Arts/People/H/Hayek,_Salma", "url": "http://abstracts.net/salma-hayek/"} +{"d:Title": "The Movie Times: Salma Hayek", "d:Description": "Box office information on all her movies, links, pictures, and message area.", "topic": "Top/Arts/People/H/Hayek,_Salma", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?shayek"} +{"d:Title": "Topix: Salma Hayek", "d:Description": "News periodically updated from around the net.", "topic": "Top/Arts/People/H/Hayek,_Salma", "url": "http://www.topix.com/who/salma-hayek"} +{"d:Title": "IMDb: Salma Hayek", "d:Description": "Includes filmography, awards, mini-biography, trivia, and photos.", "topic": "Top/Arts/People/H/Hayek,_Salma", "url": "http://www.imdb.com/name/nm0000161/"} +{"d:Title": "Salma Hayek Ultimate Pic Site", "d:Description": "Biography, filmography, contact information, and pictures of Salma Hayek", "topic": "Top/Arts/People/H/Hayek,_Salma/Fan_Pages", "url": "http://salmahayak.tripod.com/"} +{"d:Title": "Salma Hayek", "d:Description": "Over 500 pictures, full biography and filmography, news, fan-mail addresses and multimedia files.", "topic": "Top/Arts/People/H/Hayek,_Salma/Image_Galleries", "url": "http://home.swipnet.se/salma/"} +{"d:Title": "BBC News: Hayes returns over the Rainbow", "d:Description": "Former Rainbow host Geoffrey Hayes insists he is not bitter as his Fringe show reveals the wrangling that went on over his TV show.", "topic": "Top/Arts/People/H/Hayes,_Geoffrey", "url": "http://news.bbc.co.uk/1/hi/entertainment/arts/2190655.stm"} +{"d:Title": "Guardian Unlimited: Geoffrey Hayes in Over the Rainbow", "d:Description": "A review of the actor's show at Pleasance Dome, Edinburgh.", "topic": "Top/Arts/People/H/Hayes,_Geoffrey", "url": "http://www.theguardian.com/stage/2002/aug/13/comedy.artsfeatures1"} +{"d:Title": "IMDB: Geoffrey Hayes", "d:Description": "Brief background and filmography of the actor who played a major part in the children's tv programme 'Rainbow'.", "topic": "Top/Arts/People/H/Hayes,_Geoffrey", "url": "http://www.imdb.com/name/nm0371024/"} +{"d:Title": "Helen Hayes", "d:Description": "Filmography and photo gallery from the Internet Movie Database.", "topic": "Top/Arts/People/H/Hayes,_Helen", "url": "http://www.imdb.com/name/nm0371040/"} +{"d:Title": "Just Sean", "d:Description": "Personal dedication includes Episode of the week, filmography and fan songs.", "topic": "Top/Arts/People/H/Hayes,_Sean", "url": "http://www.angelfire.com/tv/seanhayes/index.html"} +{"d:Title": "IMDb.com - Damaris Hayman", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/H/Hayman,_Demaris", "url": "http://www.imdb.com/name/nm0371341/"} +{"d:Title": "IMDb: Dick Haymes", "d:Description": "Filmography with television appearances.", "topic": "Top/Arts/People/H/Haymes,_Dick", "url": "http://www.imdb.com/name/nm0371376/"} +{"d:Title": "Susan Hayward: Survivor", "d:Description": "A tribute with remebrances, filmography, biography, images, downloads and related information.", "topic": "Top/Arts/People/H/Hayward,_Susan", "url": "http://www.meredy.com/susanhayward/"} +{"d:Title": "IMDb: Rita Hayworth", "d:Description": "Includes filmography, biography, awards, photos, articles and links to message boards.", "topic": "Top/Arts/People/H/Hayworth,_Rita", "url": "http://www.imdb.com/name/nm0000028/"} +{"d:Title": "Bedlam: Anthony Head", "d:Description": "Credits, photos, and press clippings. Covers actor's entire career.", "topic": "Top/Arts/People/H/Head,_Anthony_Stewart", "url": "http://www.betsyda.com/ash/ash.html"} +{"d:Title": "Grown-up Time at the Espresso Pump", "d:Description": "An Anthony Stewart Head fan site with biography, character profiles, pictures, and links.", "topic": "Top/Arts/People/H/Head,_Anthony_Stewart", "url": "http://anthonystewarthead.electric-chi.com/"} +{"d:Title": "Once More", "d:Description": "Collection of sites offering information about Anthony, image galleries, fan fiction, art work, and information about related fanlistings.", "topic": "Top/Arts/People/H/Head,_Anthony_Stewart", "url": "http://www.wickedsky.com/oncemore/"} +{"d:Title": "Lady SD's Anthony Stewart Head Web Site", "d:Description": "Contains information, convention pictures, galleries, original art work.", "topic": "Top/Arts/People/H/Head,_Anthony_Stewart", "url": "http://www.angelfire.com/celeb2/ladysd/"} +{"d:Title": "The #gasp Home Page", "d:Description": "Information on the IRC channel. Member profiles, quotes, and FAQ.", "topic": "Top/Arts/People/H/Head,_Anthony_Stewart", "url": "http://ashgasp.tripod.com/"} +{"d:Title": "Anthony Head", "d:Description": "Official site including news, charity fundraisers, biography, galleries, filmography, audio work, stage appearances and contact information.", "topic": "Top/Arts/People/H/Head,_Anthony_Stewart", "url": "http://anthonyhead.com/"} +{"d:Title": "TVGuide.com: Lena Headey", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Headey,_Lena", "url": "http://www.tvguide.com/celebrities/lena-headey/161706"} +{"d:Title": "IMDb: Lena Headey", "d:Description": "The Internet Movie Database includes profile, filmography, image gallery, and links.", "topic": "Top/Arts/People/H/Headey,_Lena", "url": "http://www.imdb.com/name/nm0372176/"} +{"d:Title": "The Numbers: Lena Headey", "d:Description": "Summarizes box office statistics for movies the actress appeared in.", "topic": "Top/Arts/People/H/Headey,_Lena", "url": "http://www.the-numbers.com/person/63640401-Lena-Headey"} +{"d:Title": "TVGuide.com: Glenne Headly", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Headly,_Glenne", "url": "http://www.tvguide.com/celebrities/glenne-headly/152321"} +{"d:Title": "IMDb.com - Glenne Headly", "d:Description": "Complete filmography, biography and photos.", "topic": "Top/Arts/People/H/Headly,_Glenne", "url": "http://www.imdb.com/name/nm0000444/"} +{"d:Title": "IMDb: John Heard", "d:Description": "Filmography, trivia, and links.", "topic": "Top/Arts/People/H/Heard,_John", "url": "http://www.imdb.com/name/nm0001334/"} +{"d:Title": "IMDb: Sophie Heathcote", "d:Description": "The Internet Movie Database includes a filmography and links to related sites.", "topic": "Top/Arts/People/H/Heathcote,_Sophie", "url": "http://www.imdb.com/name/nm0372730/"} +{"d:Title": "The Age: Television star Heathcote dies in US", "d:Description": "Article reporting the actress's death, reportedly from an aneurism.", "topic": "Top/Arts/People/H/Heathcote,_Sophie", "url": "http://www.theage.com.au/news/people/television-star-heathcote-dies/2006/01/05/1136387572379.html"} +{"d:Title": "PatriciaHeaton.com", "d:Description": "Includes biography, award information, and information on the actress's book.", "topic": "Top/Arts/People/H/Heaton,_Patricia", "url": "http://www.patriciaheaton.com/"} +{"d:Title": "Patricia Heaton News: Topix", "d:Description": "News about Patricia Heaton continually updated from around the net.", "topic": "Top/Arts/People/H/Heaton,_Patricia", "url": "http://www.topix.com/who/patricia-heaton"} +{"d:Title": "Anne Heche", "d:Description": "Biography, film and book reviews, news, and many pictures from her movies. English and French translations.", "topic": "Top/Arts/People/H/Heche,_Anne", "url": "http://anneheche.free.fr/"} +{"d:Title": "Anne Heche Online", "d:Description": "A fan site that offers pictures, film information, and profile.", "topic": "Top/Arts/People/H/Heche,_Anne", "url": "http://members.tripod.com/heche_anne/"} +{"d:Title": "IMDb: Anne Heche", "d:Description": "The Internet Movie Database includes biographical information, filmography, and links.", "topic": "Top/Arts/People/H/Heche,_Anne", "url": "http://www.imdb.com/name/nm0000162/"} +{"d:Title": "David Hedison Online", "d:Description": "Official site includes news, biography, photos, acting credits, and articles.", "topic": "Top/Arts/People/H/Hedison,_David", "url": "http://www.david-hedison.com/"} +{"d:Title": "Shambala Preserve and The Roar Foundation", "d:Description": "News, events, issues, and information on the home of the wildlife preserve founded and run by Tippi Hedren.", "topic": "Top/Arts/People/H/Hedren,_Tippi", "url": "http://www.shambala.org/"} +{"d:Title": "Infoplease - Tippi Hedren", "d:Description": "A short biography.", "topic": "Top/Arts/People/H/Hedren,_Tippi", "url": "http://www.infoplease.com/ipea/A0763666.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes filmography, a biography, and award information.", "topic": "Top/Arts/People/H/Hedren,_Tippi", "url": "http://www.imdb.com/name/nm0001335/"} +{"d:Title": "IMDb: Hugh M. Hefner", "d:Description": "Includes filmography, trivia, quotes, and photos.", "topic": "Top/Arts/People/H/Hefner,_Hugh", "url": "http://www.imdb.com/name/nm0005005/"} +{"d:Title": "Katherine Heigl", "d:Description": "Official site for the actress and producer. News, biography, gallery, career, press, video, production and philanthropy.", "topic": "Top/Arts/People/H/Heigl,_Katherine", "url": "http://www.kheigl.com/"} +{"d:Title": "Katherine Heigl Online", "d:Description": "Biography, articles, images, and links.", "topic": "Top/Arts/People/H/Heigl,_Katherine", "url": "http://www.angelfire.com/nm/katherineheigl/index2.html"} +{"d:Title": "AskMen.com : Katherine Heigl", "d:Description": "Contains pictures, biography, commentary and links.", "topic": "Top/Arts/People/H/Heigl,_Katherine", "url": "http://www.askmen.com/celebs/women/actress/58_katherine_heigl.html"} +{"d:Title": "Imdb: Katherine Heigl", "d:Description": "Profile, biography and filmography.", "topic": "Top/Arts/People/H/Heigl,_Katherine", "url": "http://www.imdb.com/name/nm0001337/"} +{"d:Title": "Tricia Helfer", "d:Description": "Official website.", "topic": "Top/Arts/People/H/Helfer,_Tricia", "url": "http://www.triciahelfer.com/"} +{"d:Title": "IMDb: Tricia Helfer", "d:Description": "Biography, filmography, pictures and video clips.", "topic": "Top/Arts/People/H/Helfer,_Tricia", "url": "http://www.imdb.com/name/nm1065454/"} +{"d:Title": "All About Marg", "d:Description": "A fan site with profile, movie and television credits, image galleries, and articles.", "topic": "Top/Arts/People/H/Helgenberger,_Marg", "url": "http://allaboutmarg.com/"} +{"d:Title": "TVGuide.com: Marg Helgenberger", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Helgenberger,_Marg", "url": "http://www.tvguide.com/celebrities/marg-helgenberger/163852"} +{"d:Title": "IMDb: Marg Helgenberger", "d:Description": "The Internet Movie Database includes filmography, photos, trivia, and links.", "topic": "Top/Arts/People/H/Helgenberger,_Marg", "url": "http://www.imdb.com/name/nm0001339/"} +{"d:Title": "IMDb: Sonja Henie", "d:Description": "Includes filmography, mini-biography, trivia, and photos of the actress and Olympic ice skater.", "topic": "Top/Arts/People/H/Henie,_Sonja", "url": "http://www.imdb.com/name/nm0377012/"} +{"d:Title": "Sam Hennings", "d:Description": "Official site with clips of his movies, links to buying his movies, pictures, and a filmography.", "topic": "Top/Arts/People/H/Hennings,_Sam", "url": "http://www.samhennings.com/"} +{"d:Title": "IMDb.com - Lance Henriksen", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/H/Henriksen,_Lance", "url": "http://www.imdb.com/name/nm0000448/"} +{"d:Title": "IMDb: Brian Henson (I)", "d:Description": "Filmography, trivia, photos, and other details.", "topic": "Top/Arts/People/H/Henson,_Brian", "url": "http://www.imdb.com/name/nm0005008/"} +{"d:Title": "Don't Hold Grudges", "d:Description": "Archived interview with Brian Henson at Muppet Mania.", "topic": "Top/Arts/People/H/Henson,_Brian/Articles_and_Interviews", "url": "http://www.angelfire.com/me3/muppets/BrianAdvice.html"} +{"d:Title": "Henson, Darrin", "d:Description": "Actor in the TV series Soul Food, and choreographer for N-Sync and other popular singers. Profiles his career, offers videos of appearances, and sales of his hip-hip instructional DVD \"Darrin's Dance Grooves\".", "topic": "Top/Arts/People/H/Henson,_Darrin", "url": "http://www.darrinhenson.com/"} +{"d:Title": "Henson.com - The Jim Henson Company", "d:Description": "The official Jim Henson Company site has media clips about the Muppets and Creature Shop. Links to Henson Online Store and Muppets.com.", "topic": "Top/Arts/People/H/Henson,_Jim", "url": "http://www.henson.com/"} +{"d:Title": "IMDb: Jim Henson", "d:Description": "Filmography with links containing biographical details, trivia, and related links.", "topic": "Top/Arts/People/H/Henson,_Jim", "url": "http://www.imdb.com/name/nm0001345/"} +{"d:Title": "Father of The Muppets", "d:Description": "By Liz Milch. [MyHero.com]", "topic": "Top/Arts/People/H/Henson,_Jim/Articles_and_Interviews", "url": "http://www.myhero.com/hero.asp?hero=jHenson"} +{"d:Title": "Jim Henson Tribute", "d:Description": "By Philip Chapman. [Muppet Central] Also includes archived photos and articles.", "topic": "Top/Arts/People/H/Henson,_Jim/Articles_and_Interviews", "url": "http://www.muppetcentral.com/articles/tributes/henson/index.shtml"} +{"d:Title": "NPR: Interview with Cheryl Henson", "d:Description": "Remembering the legacy of Jim Henson. [8:25 RealAudio broadcast]", "topic": "Top/Arts/People/H/Henson,_Jim/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1000611"} +{"d:Title": "IMDb: Natasha Henstridge", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/H/Henstridge,_Natasha", "url": "http://www.imdb.com/name/nm0000449/"} +{"d:Title": "Just Natasha Henstridge", "d:Description": "Biography, filmography, interviews, pictures and games.", "topic": "Top/Arts/People/H/Henstridge,_Natasha", "url": "http://www.just-natasha.com/"} +{"d:Title": "Thespian Net presents Natasha Henstridge", "d:Description": "Includes biography, interviews, pictures, movie credits, and links.", "topic": "Top/Arts/People/H/Henstridge,_Natasha", "url": "http://www.thespiannet.com/actresses/H/henstridge_natasha/natasha_henstridge.shtml"} +{"d:Title": "The Official Web Site", "d:Description": "From the Audrey Hepburn Children's Fund, includes a photo gallery, and filmography.", "topic": "Top/Arts/People/H/Hepburn,_Audrey", "url": "http://www.audreyhepburn.com/"} +{"d:Title": "Humanitarian Work of Audrey Hepburn", "d:Description": "A tribute to Audrey's work with UNICEF. Includes photos, articles, interviews, quotes, and postcards.", "topic": "Top/Arts/People/H/Hepburn,_Audrey", "url": "http://www.ahepburn.com/"} +{"d:Title": "Audrey Hepburn Handbag", "d:Description": "Official website of The Audrey Hepburn Children's Fund limited edition handbag.", "topic": "Top/Arts/People/H/Hepburn,_Audrey", "url": "http://www.audreybag.com/"} +{"d:Title": "Fabulous Audrey Hepburn, The", "d:Description": "Fan site with filmography, pictures, quotes, news archives and message board.", "topic": "Top/Arts/People/H/Hepburn,_Audrey", "url": "http://www.fabaudrey.com/"} +{"d:Title": "IMDb: Audrey Hepburn", "d:Description": "Includes filmography, a biography, awards, trivia, quotes, and photos.", "topic": "Top/Arts/People/H/Hepburn,_Audrey", "url": "http://www.imdb.com/name/nm0000030/"} +{"d:Title": "Audrey Hepburn: l'Ange des Enfants", "d:Description": "Includes photos, filmography, award information, sounds, videos, scrensavers, articles, quotes, books, and links.", "topic": "Top/Arts/People/H/Hepburn,_Audrey", "url": "http://www.audrey1.org/"} +{"d:Title": "Audrey Hepburn Fan Site", "d:Description": "Contains the actress's biography, filmography, downloads, and photos.", "topic": "Top/Arts/People/H/Hepburn,_Audrey/Fan_Pages", "url": "http://audreyhepburn.50megs.com/"} +{"d:Title": "That Face", "d:Description": "A brief multimedia timeline of the actress's career.", "topic": "Top/Arts/People/H/Hepburn,_Audrey/Fan_Pages", "url": "http://www.thatface.org/"} +{"d:Title": "Elizabeth's Audrey Hepburn Page", "d:Description": "Includes a biography, filmography, and award information.", "topic": "Top/Arts/People/H/Hepburn,_Audrey/Fan_Pages", "url": "http://www.reelclassics.com/Actresses/Audrey/audrey.htm"} +{"d:Title": "TriviaTribute: Katharine Hepburn", "d:Description": "Pictures, profile, and links to related information and merchandise.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://www.triviatribute.com/katharinehepburn.html"} +{"d:Title": "TVGuide.com: Katharine Hepburn", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://www.tvguide.com/celebrities/katharine-hepburn/159911"} +{"d:Title": "Guardian Unlimited Film: Katharine Hepburn", "d:Description": "Obituary.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://www.theguardian.com/news/2003/jul/01/guardianobituaries.film"} +{"d:Title": "Guardian Unlimited Film: Katharine Hepburn: a life in pictures", "d:Description": "Pictures, and brief synopses, of six of the best Katharine Hepburn movies.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://www.theguardian.com/film/gall/0,8544,988061,00.html"} +{"d:Title": "IMDb: Katharine Hepburn", "d:Description": "Photograph gallery, profile, and complete filmography.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://www.imdb.com/name/nm0000031/"} +{"d:Title": "Rotten Tomatoes: Katharine Hepburn", "d:Description": "Filmography, news, awards, and image gallery.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://www.rottentomatoes.com/celebrity/katharine_hepburn/"} +{"d:Title": "Biography.com: Katharine Hepburn", "d:Description": "Biography, list of works, and related information.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://www.biography.com/people/katharine-hepburn-9335828"} +{"d:Title": "TIME.com: Katharine Hepburn: 1907-2003", "d:Description": "Magazine article that reflects on her life and films.", "topic": "Top/Arts/People/H/Hepburn,_Katharine", "url": "http://content.time.com/time/magazine/article/0,9171,463117,00.html"} +{"d:Title": "IMDb: David Herman", "d:Description": "Filmography, biography, photographs and a message board.", "topic": "Top/Arts/People/H/Herman,_David", "url": "http://www.imdb.com/name/nm0379114/"} +{"d:Title": "VoiceChasers: Dave Herman", "d:Description": "Offers a list of notable voice acting credits.", "topic": "Top/Arts/People/H/Herman,_David", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1302"} +{"d:Title": "Kristine Hermosa", "d:Description": "Contains biographical information, career history, photographs, news items, and wallpapers.", "topic": "Top/Arts/People/H/Hermosa,_Kristine", "url": "http://www.angelfire.com/celeb2/khermosa/kenter.html"} +{"d:Title": "Thespian Net Presents Barbara Hershey", "d:Description": "Provides a biography, filmography and links.", "topic": "Top/Arts/People/H/Hershey,_Barbara", "url": "http://www.thespiannet.com/actresses/H/hershey_barbara/"} +{"d:Title": "Benny Hester", "d:Description": "Official site, run by the singer's son Eric. Concert dates, image gallery, discography, MP3 files. How to buy CDs direct from the artist.", "topic": "Top/Arts/People/H/Hester,_Benny", "url": "http://www.bennyhester.com/"} +{"d:Title": "All Music Guide: Benny Hester", "d:Description": "Discography, highest Billboard chart positions for his albums.", "topic": "Top/Arts/People/H/Hester,_Benny", "url": "http://www.allmusic.com/artist/benny-hester-mn0000139372"} +{"d:Title": "IMdb.com - Charlton Heston", "d:Description": "Filmography, biography and photos.", "topic": "Top/Arts/People/H/Heston,_Charlton", "url": "http://www.imdb.com/name/nm0000032/"} +{"d:Title": "Charlton Heston World", "d:Description": "Fan site with biography, filmography, pictures, movie synopsis, up-coming events, books, videos, webpoll, wavs and news.", "topic": "Top/Arts/People/H/Heston,_Charlton/Fan_Pages", "url": "http://charltonhestonworld.homestead.com/GREETING.html"} +{"d:Title": "Elizabeth's Charlton Heston Page", "d:Description": "Fan site with pictures, movie quotes, and filmography.", "topic": "Top/Arts/People/H/Heston,_Charlton/Fan_Pages", "url": "http://www.reelclassics.com/Actors/Heston/heston.htm"} +{"d:Title": "A Charlton Heston Family Album", "d:Description": "Pages devoted to showing Charlton Heston's family in pictures.", "topic": "Top/Arts/People/H/Heston,_Charlton/Fan_Pages", "url": "http://charltonhestonworld.homestead.com/CandidFamilyPictures.html"} +{"d:Title": "Jennifer Love Hewitt is Hard Not to Like", "d:Description": "Cincinnati Enquirer article describing her appearances, role models and personality.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love", "url": "http://enquirer.com/columns/kiese/1999/10/24/jki_jennifer_love_hewitt.html"} +{"d:Title": "Movie Times: Jennifer Love Hewitt", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jlove"} +{"d:Title": "AZLyrics: Jennifer Love Hewitt", "d:Description": "Lyrics to the songs recorded by the artist.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love", "url": "http://www.azlyrics.com/h/hewitt.html"} +{"d:Title": "Topix: Jennifer Love Hewitt", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love", "url": "http://www.topix.com/who/jennifer-love-hewitt"} +{"d:Title": "IMDb: Jennifer Love Hewitt", "d:Description": "Includes filmography, mini-biography, awards, photos, and news articles.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love", "url": "http://www.imdb.com/name/nm0001349/"} +{"d:Title": "All Music Guide: Jennifer Love Hewitt", "d:Description": "Includes biography, discography, profile, and related artists.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love", "url": "http://www.allmusic.com/artist/jennifer-love-hewitt-mn0000317571"} +{"d:Title": "Bestcelebritysites.com: Jennifer Love Hewitt", "d:Description": "Sites are rated, ranked, and reviewed.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Directories", "url": "http://bestcelebritysites.com/jenniferlovehewitt/"} +{"d:Title": "Webfind.net Movie Stars Directory", "d:Description": "Links to Jennifer Love Hewitt pages and her movies.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Directories", "url": "http://www.filmbug.com/db/305"} +{"d:Title": "Cool Wallpaper - Jennifer Love Hewitt", "d:Description": "Six wallpapers.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Downloads", "url": "http://www.coolwallpaper.com/model/lovehewitt.shtml"} +{"d:Title": "Kendal - Jennifer Love Hewitt - Look a Like", "d:Description": "As a look a like of Miss Love Hewitt, Kendal has put together a nice fan page for her.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Fan_Pages", "url": "http://www.angelfire.com/stars/Kendal/lovehewitt.html"} +{"d:Title": "Jennifer Love Hewitt: Love of Your Life", "d:Description": "A fan site devoted to Jennifer Love Hewitt with pictures, biography, and other information.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Fan_Pages", "url": "http://cmatt0.tripod.com/lovehewitt01/"} +{"d:Title": "Scrapbook Of Jennifer Love Hewitt", "d:Description": "Pictures, news, appearances, sounds, lyrics, what's new, contact information, and a biography. Updated often.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Fan_Pages", "url": "http://jenniferlovehewitt.htmlplanet.com/"} +{"d:Title": "Cool With Jennifer Love Hewitt", "d:Description": "Includes biography, filmography, discography, picture gallery, wallpaper, screensavers, and multimedia.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Fan_Pages", "url": "http://www.angelfire.com/celeb2/jennifer0hewitt/"} +{"d:Title": "J To Tha Lo To Tha Hewitt", "d:Description": "Includes biography, news, and links.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Fan_Pages", "url": "http://fromthestorm.tripod.com/"} +{"d:Title": "Justin438's Finest Jennifer Love Hewitt Page", "d:Description": "Includes 25 pictures, contact information, a java chat page, a dead message board, sounds from \"I Know What You Did Last Summer\" and links. No updates since October 1999.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Fan_Pages", "url": "http://jpw438.tripod.com/jlh.html"} +{"d:Title": "Abstracts.net: Jennifer Love Hewitt", "d:Description": "Artistic thumbnailed picture gallery of the sexy actress, plus biography, filmography, chat, discography, the latest news and gossip, hangman game, and quiz.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Image_Galleries", "url": "http://abstracts.net/jennifer-love-hewitt/"} +{"d:Title": "Celebrity Photo Archives: Jennifer Love Hewitt", "d:Description": "Image galleries categorized by source.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Image_Galleries", "url": "http://www.celebrityphotoarchives.com/cgi-bin/imageFolio.cgi?direct=Female_Celebs/Jennifer_Love_Hewitt"} +{"d:Title": "Jaweed Galleries: Jennifer Love Hewitt", "d:Description": "Collection of pictures.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Image_Galleries", "url": "http://jaweed3.tripod.com/jenniferlovehewitt/"} +{"d:Title": "ChicagoBachelor.com: Jennifer Love Hewitt", "d:Description": "Browse a gallery of pictures that may be sent as postcards.", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Image_Galleries", "url": "http://www.chicagobachelor.com/gallery/album01"} +{"d:Title": "Anne Carlini - Exclusive Magazine: Jennifer Love Hewitt - Bare Naked", "d:Description": "Russ Trunk's review: \"These 12 tracks of pure, soft rock are actually, very good and enjoyable\"", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Reviews", "url": "http://www.annecarlini.com/ex_cd.php?id=95"} +{"d:Title": "Entertain Your Brain: Jennifer Love Hewitt - BareNaked", "d:Description": "Shawn McKenzie's review: \"Hewitt has a great voice, and when you listen to the album, you eventually forget the actress and hear the singer.\"", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Reviews", "url": "http://www.entertainyourbrain.com/jenniferlovehewittbarenakedrev.htm"} +{"d:Title": "Popentertainment.com: Jennifer Love Hewitt - BareNaked", "d:Description": "Jay S. Jacobs' review: \"Jennifer Love Hewitt actually has a terrific singing voice and it turns out she's not a bad songwriter either.\"", "topic": "Top/Arts/People/H/Hewitt,_Jennifer_Love/Reviews", "url": "http://www.popentertainment.com/hewitt.htm"} +{"d:Title": "Tom Hewitt Lovers Anonymous", "d:Description": "Fan site for Tom Hewitt who has played Rocky on Broadway. Includes images, mailing list and news.", "topic": "Top/Arts/People/H/Hewitt,_Tom", "url": "http://tom-hewitt-lovers-anonymous.5u.com/index.html"} +{"d:Title": "Hewitt, Tom", "d:Description": "US based actor. Includes photos, news, reviews and contact details.", "topic": "Top/Arts/People/H/Hewitt,_Tom", "url": "http://pamij.tripod.com/Tom-Hewitt.html"} +{"d:Title": "IMDb: Catherine Hickland", "d:Description": "Includes filmography, mini biography, and links.", "topic": "Top/Arts/People/H/Hickland,_Catherine", "url": "http://www.imdb.com/name/nm0382692/"} +{"d:Title": "Dwayne Hickman", "d:Description": "Best known as Dobie Gillis. Official site.", "topic": "Top/Arts/People/H/Hickman,_Dwayne", "url": "http://www.dwaynehickman.com/"} +{"d:Title": "The Lively Set Presents Dwayne Hickman", "d:Description": "Interview with the actor, focusing on his role as Dobie Gillis on 1960s television.", "topic": "Top/Arts/People/H/Hickman,_Dwayne", "url": "http://livelyset.tripod.com/pages/closeup/dwayne/dwayne1.html"} +{"d:Title": "Madison Hildebrand", "d:Description": "Offers properties, resources, biography, news and contact information.", "topic": "Top/Arts/People/H/Hildebrand,_Madison", "url": "http://www.themalibulife.com/"} +{"d:Title": "Lord of the Rings: Bernard Hill as King Theoden of Rohan", "d:Description": "Biography.", "topic": "Top/Arts/People/H/Hill,_Bernard", "url": "http://www.lordoftherings.net/film/cast/ca_bhill.html"} +{"d:Title": "BBC Suffolk: Bernard Hill interview", "d:Description": "Interview in which he talks about his role in the Lord of the Rings.", "topic": "Top/Arts/People/H/Hill,_Bernard", "url": "http://www.bbc.co.uk/suffolk/features/bernard_hill.shtml"} +{"d:Title": "Yahoo! Movies: Bernard Hill", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hill,_Bernard", "url": "http://movies.yahoo.com/person/bernard-hill/"} +{"d:Title": "IMDb: Bernard Hill", "d:Description": "Biography, and filmography.", "topic": "Top/Arts/People/H/Hill,_Bernard", "url": "http://www.imdb.com/name/nm0384060/"} +{"d:Title": "Rotten Tomatoes: Bernard Hill", "d:Description": "Filmography, and forum.", "topic": "Top/Arts/People/H/Hill,_Bernard", "url": "http://www.rottentomatoes.com/celebrity/bernard_hill/"} +{"d:Title": "Faith Hill's World", "d:Description": "Lots of pictures as well as lyrics.", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://www.angelfire.com/tn/TheFaithHillPage/"} +{"d:Title": "You Gotta Have Faith Hill", "d:Description": "Faith Hill's career and life story so far, with information, links and pictures.", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://members.tripod.com/~this_kiss2/index.html"} +{"d:Title": "Faith Hill Site", "d:Description": "Includes biography, profile, discography, lyrics, images, wallpaper, and links.", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://faithill.20fr.com/"} +{"d:Title": "Music Olypus: Faith Hill", "d:Description": "Includes biographical information, images, lyrics, tablature, and downloads.", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://www.musicolympus.com/faithhill/"} +{"d:Title": "Bringing Out The Faith In Faith Hill", "d:Description": "Fan page with photos, album information and reviews, links, coming appearances, and news.", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://www.angelfire.com/mo3/faithhill/"} +{"d:Title": "The Official Faith Hill Website", "d:Description": "Up-to-date news, chart standings, reviews, merchandise, and fan club information.", "priority": "1", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://www.faithhill.com/"} +{"d:Title": "Entertainment Ave: Tim McGraw with Faith Hill", "d:Description": "Review of a concert at The Rosemont Horizon in Rosemont, Illinois.", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/m/tim_mcgraw/tm053096.htm"} +{"d:Title": "CMT: Faith Hill", "d:Description": "News, full biography, musical influences, concert tour dates, audio clips, music videos, boards, and links.", "topic": "Top/Arts/People/H/Hill,_Faith", "url": "http://www.cmt.com/artists/faith-hill/"} +{"d:Title": "IMDb: Steven Hill", "d:Description": "Filmography, profile, trivia, and links.", "topic": "Top/Arts/People/H/Hill,_Steven", "url": "http://www.imdb.com/name/nm0384696/"} +{"d:Title": "Terence Hill: The Official International Website", "d:Description": "Includes biography, filmography, photo gallery, interviews, video clips and a newsletter signup. (English, German and French)", "topic": "Top/Arts/People/H/Hill,_Terence", "url": "http://www.terencehill.com/"} +{"d:Title": "Internet Movie Database: Terence Hill", "d:Description": "Offers filmography, biography, TV guest appearances and credits as writer, producer and director.", "topic": "Top/Arts/People/H/Hill,_Terence", "url": "http://www.imdb.com/name/nm0001352/"} +{"d:Title": "Bud&Terence Fans WebRing", "d:Description": "A list of homepages about the actors.", "topic": "Top/Arts/People/H/Hill,_Terence/Hill_and_Spencer", "url": "http://www.webring.org/hub/budhillring"} +{"d:Title": "The Movies of Bud Spencer and Terence Hill", "d:Description": "Information about both actor's movies in many languages with details about directors, composers and DVD releases.", "topic": "Top/Arts/People/H/Hill,_Terence/Hill_and_Spencer", "url": "http://hopmans.home.xs4all.nl/Budhill.htm"} +{"d:Title": "IMDb", "d:Description": "Includes film credits.", "topic": "Top/Arts/People/H/Hilton,_Paris", "url": "http://www.imdb.com/name/nm0385296/"} +{"d:Title": "Paris Hilton", "d:Description": "Official website offers video clip.", "topic": "Top/Arts/People/H/Hilton,_Paris", "url": "http://www.parishilton.com/"} +{"d:Title": "Topix: Paris Hilton", "d:Description": "News about Paris Hilton, collected from various sources on the web.", "topic": "Top/Arts/People/H/Hilton,_Paris", "url": "http://www.topix.com/rss/who/paris-hilton.xml"} +{"d:Title": "Yahoo! Movies: Paris Hilton", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/H/Hilton,_Paris", "url": "http://movies.yahoo.com/person/paris-hilton/"} +{"d:Title": "Who2 Profile", "d:Description": "Presentation of the heiress, model, and actress.", "topic": "Top/Arts/People/H/Hilton,_Paris/Articles_and_Interviews", "url": "http://www.who2.com/bio/paris-hilton"} +{"d:Title": "Ciar\u00e1n Hinds", "d:Description": "Biography, filmography and photos.", "topic": "Top/Arts/People/H/Hinds,_Ciar\u00e1n", "url": "http://www.tmaw.co.uk/ciaranh.html"} +{"d:Title": "IMDb: Ciar\u00e1n Hinds", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hinds,_Ciar\u00e1n", "url": "http://www.imdb.com/name/nm0001354/"} +{"d:Title": "IMDb: Gregory Hines", "d:Description": "Profile, filmography, television appearances, photograph gallery, and other related information.", "topic": "Top/Arts/People/H/Hines,_Gregory", "url": "http://www.imdb.com/name/nm0002138/"} +{"d:Title": "Telegraph Obituaries: Gregory Hines", "d:Description": "Article looks back over his life, dancing, and film work.", "topic": "Top/Arts/People/H/Hines,_Gregory", "url": "http://www.telegraph.co.uk/news/obituaries/1438569/Gregory-Hines.html"} +{"d:Title": "CigarAficionado.com: Gregory Hines", "d:Description": "Article and interview by Mervyn Rothstein; featuring information, his background, future work, and discussing his role in \"Jelly's Last Jam.\"", "topic": "Top/Arts/People/H/Hines,_Gregory", "url": "http://www.cigaraficionado.com/webfeatures/show/id/The-Man-in-the-Dancing-Shoes_6061"} +{"d:Title": "IBDb: Gregory Hines", "d:Description": "Official Broadway credits.", "topic": "Top/Arts/People/H/Hines,_Gregory", "url": "https://www.ibdb.com/broadway-cast-staff/gregory-hines-1225"} +{"d:Title": "IMDb: Ryoko Hirosue", "d:Description": "Filmography.", "topic": "Top/Arts/People/H/Hirosue,_Ryoko", "url": "http://www.imdb.com/name/nm0386414/"} +{"d:Title": "Lou Hirsch Online", "d:Description": "Actor and voice of Baby Herman in Who Framed Roger Rabbit. Pictures, clips, biography, and resume.", "topic": "Top/Arts/People/H/Hirsch,_Lou", "url": "http://www.louhirsch.com/"} +{"d:Title": "Voice Chasers - Lou Hirsch", "d:Description": "List of animated characters that this actor has created.", "topic": "Top/Arts/People/H/Hirsch,_Lou", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2304"} +{"d:Title": "Lou Hirsch", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/People/H/Hirsch,_Lou", "url": "http://www.imdb.com/name/nm0386516/"} +{"d:Title": "Charming Kenny", "d:Description": "A Kenny Ho fan site with biography, picture galleries, news, and music.", "topic": "Top/Arts/People/H/Ho,_Kenny", "url": "http://kennyhokarking.tripod.com/"} +{"d:Title": "Dustin Hoffman News: Topix", "d:Description": "News about Dustin Hoffman continually updated from around the net.", "topic": "Top/Arts/People/H/Hoffman,_Dustin", "url": "http://www.topix.com/who/dustin-hoffman"} +{"d:Title": "The Movie Times: Dustin Hoffman", "d:Description": "Box office statistics, facts and links.", "topic": "Top/Arts/People/H/Hoffman,_Dustin", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?dustin"} +{"d:Title": "Thespian Net: Dustin Hoffman", "d:Description": "Includes filmography, articles, biography and links.", "topic": "Top/Arts/People/H/Hoffman,_Dustin", "url": "http://www.thespiannet.com/actors/H/hoffman_dustin/dustin_hoffman.shtml"} +{"d:Title": "Don Ignacio Pays Tribute to Dustin Hoffman", "d:Description": "Pictures and a biography of this gifted actor.", "topic": "Top/Arts/People/H/Hoffman,_Dustin", "url": "http://donignacio.tripod.com/acthoffman.html"} +{"d:Title": "Phil Metro", "d:Description": "Has the latest news about the actor.", "topic": "Top/Arts/People/H/Hoffman,_Philip_Seymour", "url": "http://psh.pitas.com/"} +{"d:Title": "Philip Seymour Hoffman News: Topix", "d:Description": "News about Philip Seymour Hoffman continually updated from around the net.", "topic": "Top/Arts/People/H/Hoffman,_Philip_Seymour", "url": "http://www.topix.com/who/philip-seymour-hoffman"} +{"d:Title": "IMDb.com - Philip Seymour Hoffman", "d:Description": "Philip Seymour Hoffman's filmography at IMDb", "topic": "Top/Arts/People/H/Hoffman,_Philip_Seymour", "url": "http://www.imdb.com/name/nm0000450/"} +{"d:Title": "Tabula Rasa: E. T. A. Hoffmann", "d:Description": "An article examining Hoffmann's work and life.", "topic": "Top/Arts/People/H/Hoffmann,_E._T._A.", "url": "http://www.tabula-rasa.info/DarkAges/Hoffmann.html"} +{"d:Title": "Ernst Theodor Wilhelm Hoffmann", "d:Description": "Biography, birth, death, and burial information with portrait, grave photograph, and virtual memorial from Find a Grave.", "topic": "Top/Arts/People/H/Hoffmann,_E._T._A.", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6825677&pt=Ernst+Theodor+Hoffmann"} +{"d:Title": "IMDb: E.T.A. Hoffmann", "d:Description": "Filmography lists movies and television shows based on his writings, especially versions of The Nutcracker and the Mouse King.", "topic": "Top/Arts/People/H/Hoffmann,_E._T._A.", "url": "http://www.imdb.com/name/nm0006782/"} +{"d:Title": "Hoffmann, August Heinrich (1798-1874)", "d:Description": "Biographical entry in the 1911 Encyclop\u00e6dia Britannica. Some scanner errors.", "topic": "Top/Arts/People/H/Hoffmann_von_Fallersleben,_August_Heinrich", "url": "http://encyclopedia.jrank.org/HIG_HOR/HOFFMANN_AUGUST_HEINRICH_179818.html"} +{"d:Title": "Germany", "d:Description": "Information about the use of August Heinrich Hoffmann von Fallersleben's \"Lied der Deutschen\" as the German national anthem. Includes lyrics in German and English, MIDI file, and sheet music for the tune by Joseph Haydn.", "topic": "Top/Arts/People/H/Hoffmann_von_Fallersleben,_August_Heinrich", "url": "http://nationalanthems.info/de.htm"} +{"d:Title": "Hoffmann von Fallersleben, August Heinrich", "d:Description": "Biographical article on the poet and scholar, with particular interest in his connections to Texas.", "topic": "Top/Arts/People/H/Hoffmann_von_Fallersleben,_August_Heinrich", "url": "http://www.tshaonline.org/handbook/online/articles/fho88"} +{"d:Title": "IMDb.com - Drake Hogestyn", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/H/Hogestyn,_Drake", "url": "http://www.imdb.com/name/nm0389693/"} +{"d:Title": "Fabulous Kellie Hoggart", "d:Description": "Biography, pictures and fan art.", "topic": "Top/Arts/People/H/Hoggart,_Kellie", "url": "http://www.kelliehoggart.8m.net/"} +{"d:Title": "IMDb: Amanda Holden (I)", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/H/Holden,_Amanda", "url": "http://www.imdb.com/name/nm0390155/"} +{"d:Title": "LHML", "d:Description": "A mailing for discussing actress and the roles she portrays/portrayed.", "topic": "Top/Arts/People/H/Holden,_Laurie", "url": "http://groups.yahoo.com/group/LHML"} +{"d:Title": "IMDB.com: Laurie Holden", "d:Description": "Internet Movie Database filmography for Laurie Holden.", "topic": "Top/Arts/People/H/Holden,_Laurie", "url": "http://www.imdb.com/name/nm0390229/"} +{"d:Title": "IMDb: William Holden", "d:Description": "Photographs, biography, filmography, and other related career information.", "topic": "Top/Arts/People/H/Holden,_William", "url": "http://www.imdb.com/name/nm0000034/"} +{"d:Title": "IMDb: Charlie Holliday", "d:Description": "Profile, filmography, photographs, and related movie data.", "topic": "Top/Arts/People/H/Holliday,_Charlie", "url": "http://imdb.com/name/nm0391039/"} +{"d:Title": "Lindsay Hollister - a.k.a. Christine Banks on Boston Public", "d:Description": "Fan site dedicated to the actress Lindsay Hollister, who plays Christine Banks on Boston Public. It contains news, pictures, and audio about Lindsay and her acting career.", "topic": "Top/Arts/People/H/Hollister,_Lindsay", "url": "http://lindsayhollisterfan.tripod.com/lindsayhollister/"} +{"d:Title": "TVGuide.com: Lauren Holly", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Holly,_Lauren", "url": "http://www.tvguide.com/celebrities/lauren-holly/161414"} +{"d:Title": "IMDb: Lauren Holly", "d:Description": "Includes filmography, profile, trivia, pictures, and links.", "topic": "Top/Arts/People/H/Holly,_Lauren", "url": "http://www.imdb.com/name/nm0000452/"} +{"d:Title": "Thespian Net presents Ian Holm", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/H/Holm,_Ian", "url": "http://www.thespiannet.com/actors/H/holm_ian/index.shtml"} +{"d:Title": "Holmography: The Study of Sir Ian Holm", "d:Description": "Features biography, filmography, awards and galleries.", "topic": "Top/Arts/People/H/Holm,_Ian", "url": "http://holmography.tripod.com/"} +{"d:Title": "IMDB: Ian Holm", "d:Description": "Filmography and information.", "topic": "Top/Arts/People/H/Holm,_Ian", "url": "http://www.imdb.com/name/nm0000453/"} +{"d:Title": "IMDb: Katie Holmes", "d:Description": "Complete filmography, biography with trivia, and photograph gallery. Related links provided.", "topic": "Top/Arts/People/H/Holmes,_Katie", "url": "http://www.imdb.com/name/nm0005017/"} +{"d:Title": "Topix: Katie Holmes", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/People/H/Holmes,_Katie/Articles_and_Interviews", "url": "http://www.topix.com/who/katie-holmes"} +{"d:Title": "Welcome to Katie Holmes", "d:Description": "Includes profile, gallery, interview, fan clubs, and links.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://www.angelfire.com/movies/katiefans/"} +{"d:Title": "Katie Holmes Online", "d:Description": "Profile, partial filmography, pictures, and links.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://www.angelfire.com/ks2/katieholmes/"} +{"d:Title": "Katie Holmes", "d:Description": "Profile, partial filmography, gossip, wallpapers, and pictures.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://www.angelfire.com/poetry/katienext/index.html"} +{"d:Title": "Josh and Katie: Real Life, True Love", "d:Description": "Includes biography, filmography, pictures, and links concerning the real life relationship of Joshua Jackson and Katie Holmes.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://paceyporn.tripod.com/"} +{"d:Title": "All Katie Holmes", "d:Description": "Includes pictures, profile, filmography, and links.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://www.angelfire.com/mn3/allkatie/"} +{"d:Title": "Free Katie", "d:Description": "Pictures, profile, links to articles, parody song, commentary, and merchandise dedicated to 'freeing' Holmes from Tom Cruise and Scientology.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://www.freekatie.com/"} +{"d:Title": "Katie Holmes Site", "d:Description": "Presents a biography, vital statistics, fun facts, partial filmography, pictures, and multimedia.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://katieholmes01.freewebspace.com/"} +{"d:Title": "Katie Holmes Web", "d:Description": "News, photographs, video clips, and filmography with plot summaries.", "topic": "Top/Arts/People/H/Holmes,_Katie/Fan_Pages", "url": "http://katieholmesweb.com/"} +{"d:Title": "All Pics: Katie Holmes", "d:Description": "Thumbnailed picture gallery of the star.", "topic": "Top/Arts/People/H/Holmes,_Katie/Image_Galleries", "url": "http://allpics.0catch.com/holmes_katie/"} +{"d:Title": "Katie Holmes Pic Mania", "d:Description": "Includes several image galleries, biography, and a partial filmography.", "topic": "Top/Arts/People/H/Holmes,_Katie/Image_Galleries", "url": "http://members.tripod.com/rebel_215/katie.html"} +{"d:Title": "Image Gallery of Katie Holmes", "d:Description": "Contains thumbnailed pictures.", "topic": "Top/Arts/People/H/Holmes,_Katie/Image_Galleries", "url": "http://yasin-katie.8m.com/"} +{"d:Title": "Katie Holmes", "d:Description": "Slideshow contains ten pictures. Related links provided.", "topic": "Top/Arts/People/H/Holmes,_Katie/Image_Galleries", "url": "http://freebikinis.tripod.com/kh/"} +{"d:Title": "ChicagoBachelor: Katie Holmes", "d:Description": "Features several posed photographs.", "topic": "Top/Arts/People/H/Holmes,_Katie/Image_Galleries", "url": "http://www.chicagobachelor.com/gallery/holmes"} +{"d:Title": "Katie Holmes: Beyond Joey", "d:Description": "Several thumbnailed picture galleries.", "topic": "Top/Arts/People/H/Holmes,_Katie/Image_Galleries", "url": "http://thrawn476.tripod.com/pics.htm"} +{"d:Title": "Barclay Hope page", "d:Description": "A fan page with biography, images and appearance information.", "topic": "Top/Arts/People/H/Hope,_Barclay", "url": "http://shasta_hope-24.tripod.com/barclay/"} +{"d:Title": "Yahoo! Groups : Barclay Hope", "d:Description": "A Yahoo discussion/email group to discuss Barclay Hope. [Yahoo! Registration Required.]", "topic": "Top/Arts/People/H/Hope,_Barclay", "url": "http://groups.yahoo.com/group/barclayhope"} +{"d:Title": "IMDb.com - Barclay Hope", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/H/Hope,_Barclay", "url": "http://www.imdb.com/name/nm0393953/"} +{"d:Title": "Bob Hope", "d:Description": "Official site includes news, pictures, jokes, sound files, biography, message boards, and online shopping.", "priority": "1", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.bobhope.com/"} +{"d:Title": "TVparty.com: Bob Hope on TV", "d:Description": "Includes information on Bob Hope's television appearances and his show, \"The Bob Hope Special.\"", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.tvparty.com/movbob.html"} +{"d:Title": "Bob Hope Theatre", "d:Description": "Named after comedian, Bob Hope. Located in Wythfield Road, Eltham, London.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.bobhopetheatre.co.uk/"} +{"d:Title": "Seeing Stars: Bob Hope's Hollywood", "d:Description": "List of Los Angeles, California locations related to his life and career. Also includes pictures and information.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://seeing-stars.com/StarIndexes/BobHope.shtml"} +{"d:Title": "Bob Hope 1903-2003", "d:Description": "CNN special obituary with filmography, media gallery, and life story.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.cnn.com/SPECIALS/2003/hope.obit/"} +{"d:Title": "Bob Hope and American Variety", "d:Description": "Online exhibition from the Library of Congress covering the performer's career from Vaudeville to USO shows.", "priority": "1", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.loc.gov/exhibits/bobhope/"} +{"d:Title": "BBC NEWS: Entertainment: Comedian Bob Hope Dies", "d:Description": "A look back at the legendary entertainer, Bob Hope, with comments from friends and peers. Related links.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://news.bbc.co.uk/2/hi/entertainment/3103751.stm"} +{"d:Title": "Bob Hope 100 Years of Memories", "d:Description": "On Bob Hope's 100th birthday, NPR's Susan Stamberg looks back at the man who entertained audiences for nearly every decade of the 20th century in a career spanning stage, radio, movies and television. See historic photos of Hope and hear samples of his comedy and music.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.npr.org/templates/story/story.php?storyId=1277593"} +{"d:Title": "Bob Hope News: Topix", "d:Description": "News about Bob Hope continually updated from around the net.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.topix.com/who/bob-hope"} +{"d:Title": "TVGuide.com: Bob Hope", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.tvguide.com/celebrities/bob-hope/142347"} +{"d:Title": "Who 2: Bob Hope", "d:Description": "Includes vital statistics, a short profile, and links.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.who2.com/bio/bob-hope"} +{"d:Title": "USAToday.com: 100 Reasons to Toast Bob Hope", "d:Description": "On his 100th birthday, the best of his long life and career are featured though quotes, quips, and commentary. Photograph gallery, video clips, and links to related stories.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://usatoday30.usatoday.com/life/2003-05-28-bob-main_x.htm"} +{"d:Title": "IMDb: Bob Hope", "d:Description": "Complete filmography, television appearances, biography, photographs, and a message board.", "topic": "Top/Arts/People/H/Hope,_Bob", "url": "http://www.imdb.com/name/nm0001362/"} +{"d:Title": "IMDb: Leslie Hope", "d:Description": "Includes filmography, and articles.", "topic": "Top/Arts/People/H/Hope,_Leslie", "url": "http://www.imdb.com/name/nm0394012/"} +{"d:Title": "Hope, Leslie", "d:Description": "Official site, contains biography, filmography, television credits, gallery photos, video clips, and desktop wallpapers.", "topic": "Top/Arts/People/H/Hope,_Leslie", "url": "http://lesliehope.ca/"} +{"d:Title": "IMDb", "d:Description": "Filmography about the actor.", "topic": "Top/Arts/People/H/Hope,_Neil", "url": "http://www.imdb.com/name/nm0394033/"} +{"d:Title": "Anthony Hopkins Fan Forum", "d:Description": "Message board, chat room, photo galleries, links, and all the latest AH news and information (including the AH FAQs).", "topic": "Top/Arts/People/H/Hopkins,_Anthony", "url": "http://mara.htmlplanet.com/"} +{"d:Title": "Anthony Hopkins", "d:Description": "Fan site with pictures, biography, news and gossip, related links.", "topic": "Top/Arts/People/H/Hopkins,_Anthony", "url": "http://zanagin.tripod.com/anthonyhopkins/"} +{"d:Title": "Plum Island", "d:Description": "A fan site dedicated to the actor with photos, links, fan fiction, polls and sound files.", "topic": "Top/Arts/People/H/Hopkins,_Anthony", "url": "http://www.angelfire.com/celeb2/hopkins/"} +{"d:Title": "Thespian Net Presents Anthony Hopkins", "d:Description": "A brief article about the actor, filmography and links.", "topic": "Top/Arts/People/H/Hopkins,_Anthony", "url": "http://www.thespiannet.com/actors/H/hopkins_anthony/index.shtml"} +{"d:Title": "Anthony Hopkins News: Topix", "d:Description": "News about Anthony Hopkins continually updated from around the net.", "topic": "Top/Arts/People/H/Hopkins,_Anthony", "url": "http://www.topix.com/who/anthony-hopkins"} +{"d:Title": "TalkTalk: Anthony Hopkins", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/H/Hopkins,_Anthony", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/anthony-hopkins/biography/4"} +{"d:Title": "IMDb: Anthony Hopkins", "d:Description": "Filmography and information from the Internet Movie Database.", "topic": "Top/Arts/People/H/Hopkins,_Anthony", "url": "http://www.imdb.com/name/nm0000164/"} +{"d:Title": "Bruce Hopkins", "d:Description": "Provides statistics and filmography information.", "topic": "Top/Arts/People/H/Hopkins,_Bruce", "url": "http://www.imdb.com/name/nm0394126/"} +{"d:Title": "IMDb.com - Josh Hopkins", "d:Description": "Josh Hopkins's filmography at IMDb", "topic": "Top/Arts/People/H/Hopkins,_Josh", "url": "http://www.imdb.com/name/nm0005020/"} +{"d:Title": "Dennis Hopper", "d:Description": "Mailing list for fans.", "topic": "Top/Arts/People/H/Hopper,_Dennis", "url": "http://groups.yahoo.com/group/dennishopper/"} +{"d:Title": "James Horan's Domain", "d:Description": "Official site. Includes news, biography, filmography, merchandise and fan club information.", "topic": "Top/Arts/People/H/Horan,_James", "url": "http://www.jameshoran.com/"} +{"d:Title": "IMDb: James Horan", "d:Description": "A detailed filmography.", "topic": "Top/Arts/People/H/Horan,_James", "url": "http://www.imdb.com/name/nm0394533/"} +{"d:Title": "Lena Horne Database", "d:Description": "Detailed filmography and TV appearances from Internet Movie Database.", "topic": "Top/Arts/People/H/Horne,_Lena", "url": "http://imdb.com/name/nm0395043/"} +{"d:Title": "Elizabeth's Lena Horne Page", "d:Description": "Audio clips from \"Stormy Weather,\" \"The Lady is a Tramp\" and \"Where or When,\" with a photograph and links.", "topic": "Top/Arts/People/H/Horne,_Lena", "url": "http://www.reelclassics.com/Actresses/Horne/horne.htm"} +{"d:Title": "Kennedy Center: Lena Horne", "d:Description": "Detailed biography of the artist.", "topic": "Top/Arts/People/H/Horne,_Lena", "url": "http://www.kennedy-center.org/artist/A3743"} +{"d:Title": "Combustible Celluloid - Interview with Jane Horrocks", "d:Description": "'The Little Voice Speaks' by Jeffrey M. Anderson.", "topic": "Top/Arts/People/H/Horrocks,_Jane", "url": "http://www.combustiblecelluloid.com/intjh.shtml"} +{"d:Title": "BBC - The Big Sound of Jane Horrocks", "d:Description": "Jane Horrocks' success as the timid singer in the award winning film Little Voice.", "topic": "Top/Arts/People/H/Horrocks,_Jane", "url": "http://www.bbc.co.uk/worldservice/arts/highlights/001027_horrocks.shtml"} +{"d:Title": "Yahoo! Movies: Jane Horrocks", "d:Description": "Biography, filmography, photos and message board.", "topic": "Top/Arts/People/H/Horrocks,_Jane", "url": "http://movies.yahoo.com/person/jane-horrocks/"} +{"d:Title": "IMDb: Jane Horrocks", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/H/Horrocks,_Jane", "url": "http://www.imdb.com/name/nm0001363/"} +{"d:Title": "Wagon Train - Robert Horton", "d:Description": "Includes biography, credits, and photos.", "topic": "Top/Arts/People/H/Horton,_Robert", "url": "http://www.fiftiesweb.com/wt/robert-horton.htm"} +{"d:Title": "Robert Horton Web Site", "d:Description": "Dedicated to the life and career of the actor. Listen to a personal message, and write a reply.", "topic": "Top/Arts/People/H/Horton,_Robert", "url": "http://roberthorton.com/"} +{"d:Title": "IMDb.com - Robert Horton", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/H/Horton,_Robert", "url": "http://www.imdb.com/name/nm0395667/"} +{"d:Title": "IMDb: Bobby Hosea", "d:Description": "The Internet Movie Database includes movie and television credits.", "topic": "Top/Arts/People/H/Hosea,_Bobby", "url": "http://www.imdb.com/name/nm0395914/"} +{"d:Title": "Introduction to Bob Hoskins", "d:Description": "News, photos, biography and interviews.", "topic": "Top/Arts/People/H/Hoskins,_Bob", "url": "http://www.angelfire.com/celeb/bobhoskins/"} +{"d:Title": "IMDb: Bob Hoskins", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hoskins,_Bob", "url": "http://www.imdb.com/name/nm0001364/"} +{"d:Title": "IMDb: Larry Hovis", "d:Description": "A filmography.", "topic": "Top/Arts/People/H/Hovis,_Larry", "url": "http://www.imdb.com/name/nm0397051/"} +{"d:Title": "IMDb.com - Clint Howard", "d:Description": "Clint Howard's filmography at IMDb", "topic": "Top/Arts/People/H/Howard,_Clint", "url": "http://www.imdb.com/name/nm0397212/"} +{"d:Title": "Box Office Data for Clint Howard", "d:Description": "The Numbers provides box office news and detailed analysis for movie fans", "topic": "Top/Arts/People/H/Howard,_Clint", "url": "http://www.the-numbers.com/person/68000401-Clint-Howard"} +{"d:Title": "IMDB: Ken Howard", "d:Description": "Filmography and information on the actor.", "topic": "Top/Arts/People/H/Howard,_Ken", "url": "http://www.imdb.com/name/nm0397432/"} +{"d:Title": "Ron Howard Biography", "d:Description": "A short biography of Ron Howard who played Richie Cunningham on Happy Days. Includes information on what he did before Happy Days.", "topic": "Top/Arts/People/H/Howard,_Ron", "url": "http://www.sitcomsonline.com/howardbiog.html"} +{"d:Title": "BBC News: Ron Howard's Happy Days", "d:Description": "Review of his acting and directing career, with photos.", "topic": "Top/Arts/People/H/Howard,_Ron", "url": "http://news.bbc.co.uk/1/hi/in_depth/entertainment/2002/oscars_2002/1777174.stm"} +{"d:Title": "Ron Howard News: Topix", "d:Description": "News about Ron Howard continually updated from around the net.", "topic": "Top/Arts/People/H/Howard,_Ron", "url": "http://www.topix.com/who/ron-howard"} +{"d:Title": "CBS News - Ron Howard: Too Good To Be True", "d:Description": "Article about an interview with the child actor who grew up to be a director.", "topic": "Top/Arts/People/H/Howard,_Ron", "url": "http://www.cbsnews.com/news/ron-howard-too-good-to-be-true/"} +{"d:Title": "Traylor Howard Filmography", "d:Description": "From the Internet Movie Database.", "topic": "Top/Arts/People/H/Howard,_Traylor", "url": "http://www.imdb.com/name/nm0005025/"} +{"d:Title": "IMDb: - Trevor Howard (I)", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/People/H/Howard,_Trevor", "url": "http://www.imdb.com/name/nm0002145/"} +{"d:Title": "Roger Howarth Fan Club", "d:Description": "Official fan club for Roger Howarth. Includes biography, fan fiction, message board, photos, reviews and links.", "topic": "Top/Arts/People/H/Howarth,_Roger", "url": "http://rhfc.tvheaven.com/"} +{"d:Title": "Manning Maddness", "d:Description": "Includes message board, photos, quiz, fan fiction, audio clips, quotes and links.", "topic": "Top/Arts/People/H/Howarth,_Roger", "url": "http://www.angelfire.com/il2/manningmadness/ManningMadnesshome.html"} +{"d:Title": "Remember Roger Howarth", "d:Description": "Includes biography, character biography, articles, pictures, quiz and links.", "topic": "Top/Arts/People/H/Howarth,_Roger", "url": "http://ali67328.tripod.com/"} +{"d:Title": "The C. Thomas Howell Website", "d:Description": "Contains a biography, filmography, interesting facts and photos of C. Thomas Howell.", "topic": "Top/Arts/People/H/Howell,_C._Thomas", "url": "http://www.cthowell.net/"} +{"d:Title": "TVGuide.com: C Thomas Howell", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Howell,_C._Thomas", "url": "http://www.tvguide.com/celebrities/c-thomas-howell/143167"} +{"d:Title": "IMDb.com - C. Thomas Howell", "d:Description": "Includes filmography and biographical information.", "topic": "Top/Arts/People/H/Howell,_C._Thomas", "url": "http://www.imdb.com/name/nm0001367/"} +{"d:Title": "Screenonline: Frankie Howerd", "d:Description": "Biography and career highlights.", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://www.screenonline.org.uk/people/id/874448/index.html"} +{"d:Title": "Chortle: Howerd's Way", "d:Description": "Review of the biograhical work 'Frankie Howerd: Stand-up Comic' by Graham McCann.", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://www.chortle.co.uk/books/bkfeatures/frankie.php"} +{"d:Title": "BBC News: Howerd Memorabilia Auctioned Off", "d:Description": "'Personal items belonging to comedy icon Frankie Howerd are sold for more than \u00a37,000 at a Bristol auction.'", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://news.bbc.co.uk/1/hi/england/bristol/4525938.stm"} +{"d:Title": "BBC News: Howerd's OBE Raffled for Charity", "d:Description": "'Frankie Howerd's OBE is to be raffled at his Somerset house to raise money for various charities.'", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://news.bbc.co.uk/1/hi/england/somerset/4417871.stm"} +{"d:Title": "BBC Newsnight: Titter Ye Not - it's Frankie's Pad", "d:Description": "Reports on the late comic's former home being opened to the public.", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://news.bbc.co.uk/1/hi/programmes/newsnight/4813788.stm"} +{"d:Title": "Find A Grave: Frankie Howerd", "d:Description": "The location of his grave, with photographs and tributes from fans.", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSln=howerd&GSfn=frankie&GSbyrel=all&GSdyrel=all&GSob=n&GRid=23389&"} +{"d:Title": "IMDb: Frankie Howerd", "d:Description": "Provides a complete filmography, with a biography, message board and links.", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://www.imdb.com/name/nm0398110/"} +{"d:Title": "h2g2: Frankie Howerd", "d:Description": "Biography and career overview.", "topic": "Top/Arts/People/H/Howerd,_Frankie", "url": "http://h2g2.com/edited_entry/A744914"} +{"d:Title": "IMDb.com - Vivian Hsu", "d:Description": "Filmography of the Asian actress", "topic": "Top/Arts/People/H/Hsu,_Vivian", "url": "http://www.imdb.com/name/nm0398852/"} +{"d:Title": "AsiaFinest.com: Kelly Hu", "d:Description": "Biography and photos gallery.", "topic": "Top/Arts/People/H/Hu,_Kelly", "url": "http://www.asiafinest.com/chinese/kellyhu.htm"} +{"d:Title": "Kelly Hu's official blog", "d:Description": "The official blog for actress Kelly Hu including photos, videos, and her official forum.", "topic": "Top/Arts/People/H/Hu,_Kelly", "url": "http://www.alivenotdead.com/kellyhu"} +{"d:Title": "IMDb: Kelly Hu", "d:Description": "Provides filmography, pictures, biography of Kelly Hu.", "topic": "Top/Arts/People/H/Hu,_Kelly", "url": "http://www.imdb.com/name/nm0005026/"} +{"d:Title": "Thespian Net presents Ernie Hudson", "d:Description": "A starting point for Ernie Hudson information.", "topic": "Top/Arts/People/H/Hudson,_Ernie", "url": "http://www.thespiannet.com/actors/H/hudson_ernie/index.shtml"} +{"d:Title": "Adoring Kate Hudson", "d:Description": "Includes a thumbnailed picture gallery, biography, and filmography, and links.", "topic": "Top/Arts/People/H/Hudson,_Kate", "url": "http://www.adoring.net/katehudson/index.htm"} +{"d:Title": "Topix: Kate Hudson", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/H/Hudson,_Kate", "url": "http://www.topix.com/who/kate-hudson"} +{"d:Title": "Digital Hit: Kate Hudson", "d:Description": "Contains a brief biography and links.", "topic": "Top/Arts/People/H/Hudson,_Kate", "url": "http://www.digitalhit.com/cr/katehudson/"} +{"d:Title": "IMDb.com: Kate Hudson", "d:Description": "Offers a mini-biography, filmography, awards, photos, trivia, and news articles.", "topic": "Top/Arts/People/H/Hudson,_Kate", "url": "http://www.imdb.com/name/nm0005028/"} +{"d:Title": "TeraBabes - Kate Hudson", "d:Description": "Contains thumbnailed pictures, and links.", "topic": "Top/Arts/People/H/Hudson,_Kate/Image_Galleries", "url": "http://www.terababes.com/katehudson.htm"} +{"d:Title": "IMDb.com - Peter Hudson", "d:Description": "Peter Hudson's filmography at IMDb", "topic": "Top/Arts/People/H/Hudson,_Peter", "url": "http://www.imdb.com/name/nm0399940/"} +{"d:Title": "Rakish Rock Hudson", "d:Description": "Biography and facts, images, filmography, eCards, and downloads.", "topic": "Top/Arts/People/H/Hudson,_Rock", "url": "http://www.meredy.com/rockhudson/"} +{"d:Title": "IMDb: Rock Hudson", "d:Description": "Complete filmography, profile, and photograph gallery.", "topic": "Top/Arts/People/H/Hudson,_Rock", "url": "http://www.imdb.com/name/nm0001369/"} +{"d:Title": "Remembering Rock Hudson", "d:Description": "Fan tribute with a biography, photograph and poster galleries, filmography and television listings, and awards.", "topic": "Top/Arts/People/H/Hudson,_Rock", "url": "http://lmscully1.tripod.com/"} +{"d:Title": "Matthias Hues", "d:Description": "IMDB filmography for Matthias Hues", "topic": "Top/Arts/People/H/Hues,_Matthias", "url": "http://www.imdb.com/name/nm0400117/"} +{"d:Title": "Yahoo Groups: Felicity Huffman", "d:Description": "Online club for Felicity Huffman.", "topic": "Top/Arts/People/H/Huffman,_Felicity", "url": "http://groups.yahoo.com/group/felicityhuffman/"} +{"d:Title": "Finola Hughes", "d:Description": "Official website features news, video clips and gallery.", "topic": "Top/Arts/People/H/Hughes,_Finola", "url": "http://www.finolahughes.com/"} +{"d:Title": "IMDb.com - Finola Hughes", "d:Description": "Brief biography and complete filmography.", "topic": "Top/Arts/People/H/Hughes,_Finola", "url": "http://www.imdb.com/name/nm0001370/"} +{"d:Title": "IMDb", "d:Description": "Filmogrophy about the actor.", "topic": "Top/Arts/People/H/Huison,_Steve", "url": "http://www.imdb.com/name/nm0401269/"} +{"d:Title": "Dame-Edna.com", "d:Description": "Official website for Dame Edna Everage and Barry Humphries.", "topic": "Top/Arts/People/H/Humphries,_Barry", "url": "http://www.dame-edna.com/"} +{"d:Title": "Talkin' Broadway Reviews", "d:Description": "Dame Edna's The Royal Tour theatre review by Fergus McGillicuddy in New York, October 17, 1999.", "topic": "Top/Arts/People/H/Humphries,_Barry", "url": "http://www.talkinbroadway.com/page/world/dame.html"} +{"d:Title": "Sammo Hung Kam-Bo", "d:Description": "Filmography for the actor and stunt man, including his television credits.", "topic": "Top/Arts/People/H/Hung,_Sammo", "url": "http://imdb.com/name/nm0005033/"} +{"d:Title": "IMDb: Charlie Hunnam", "d:Description": "Contains filmography, biography and links.", "topic": "Top/Arts/People/H/Hunnam,_Charlie", "url": "http://www.imdb.com/name/nm0402271/"} +{"d:Title": "Bonnie Hunt News: Topix", "d:Description": "News about Bonnie Hunt continually updated from around the net.", "topic": "Top/Arts/People/H/Hunt,_Bonnie", "url": "http://www.topix.com/who/bonnie-hunt"} +{"d:Title": "Topix: Bonnie Hunt", "d:Description": "News about Bonnie Hunt, collected from various sources on the web.", "topic": "Top/Arts/People/H/Hunt,_Bonnie", "url": "http://www.topix.com/rss/who/bonnie-hunt.xml"} +{"d:Title": "IMDb: Bonnie Hunt", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/H/Hunt,_Bonnie", "url": "http://www.imdb.com/name/nm0001372/"} +{"d:Title": "Actress Bonnie Hunt", "d:Description": "Picture galleries, Box office information, vital statistics, links and message board.", "topic": "Top/Arts/People/H/Hunt,_Bonnie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?bhunt"} +{"d:Title": "IMDB: Helen Hunt (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/H/Hunt,_Helen", "url": "http://www.imdb.com/name/nm0000166/"} +{"d:Title": "International Helen Hunt Appreciation Society", "d:Description": "Homepage of the International Helen Hunt Appreciation Society. Includes a picture gallery.", "topic": "Top/Arts/People/H/Hunt,_Helen", "url": "http://n7eim.tripod.com/IHHAS/index.html"} +{"d:Title": "Bill's Helen Hunt Site", "d:Description": "Features photos, filmography, biography and links.", "topic": "Top/Arts/People/H/Hunt,_Helen", "url": "http://billsnyder72.tripod.com/helen/"} +{"d:Title": "The Movie Times: Helen Hunt", "d:Description": "Pictures, Box office information, vital statistics, links and message board.", "topic": "Top/Arts/People/H/Hunt,_Helen", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?hhunt"} +{"d:Title": "My Helen Hunt Gallery", "d:Description": "Hundreds of pictures of Helen Hunt.", "topic": "Top/Arts/People/H/Hunt,_Helen/Image_Galleries", "url": "http://www.najical.com/helen/"} +{"d:Title": "The Official Scott Hunt Site", "d:Description": "The official source for information. Includes pictures and reviews.", "priority": "1", "topic": "Top/Arts/People/H/Hunt,_Scott", "url": "http://www.angelfire.com/hi3/ScottHunt/"} +{"d:Title": "TVGuide.com: Holly Hunter", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Hunter,_Holly", "url": "http://www.tvguide.com/celebrities/holly-hunter/153953"} +{"d:Title": "Thespian Net presents Holly Hunter", "d:Description": "Includes biography, movie and TV credits, pictures, and links.", "topic": "Top/Arts/People/H/Hunter,_Holly", "url": "http://www.thespiannet.com/actresses/H/hunter_holly/holly_hunter.shtml"} +{"d:Title": "IMDb: Holly Hunter", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/H/Hunter,_Holly", "url": "http://www.imdb.com/name/nm0000456/"} +{"d:Title": "CANOE -- JAM!", "d:Description": "Articles and interviews.", "topic": "Top/Arts/People/H/Hunter,_Holly", "url": "http://jam.canoe.com/Movies/Artists/H/Hunter_Holly/"} +{"d:Title": "Meredy's Jeffrey Hunter Trivia Mania", "d:Description": "25 tough trivia questions about classic actor Jeffrey Hunter.", "topic": "Top/Arts/People/H/Hunter,_Jeffrey", "url": "http://www.meredy.com/jhtriv.html"} +{"d:Title": "Jeffrey Hunter at Brian's Drive-In Theater", "d:Description": "Biography and photos of the actor.", "topic": "Top/Arts/People/H/Hunter,_Jeffrey", "url": "http://www.briansdriveintheater.com/jeffreyhunter.html"} +{"d:Title": "IMDb.com - Jeffrey Hunter", "d:Description": "Biography and filmography of the actor.", "topic": "Top/Arts/People/H/Hunter,_Jeffrey", "url": "http://www.imdb.com/name/nm0001374/"} +{"d:Title": "IMDb: Kim Hunter (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hunter,_Kim", "url": "http://www.imdb.com/name/nm0001375/"} +{"d:Title": "IMDb: Sam Huntington", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Huntington,_Sam", "url": "http://www.imdb.com/name/nm0403134/"} +{"d:Title": "Thespian Net presents Elizabeth Hurley", "d:Description": "Biography, links, and filmography.", "topic": "Top/Arts/People/H/Hurley,_Elizabeth", "url": "http://www.thespiannet.com/actresses/H/hurley_elizabeth/elizabeth_hurley.shtml"} +{"d:Title": "IMDb.com: Elizabeth Hurley (I)", "d:Description": "Biography, filmography and photo gallery.", "topic": "Top/Arts/People/H/Hurley,_Elizabeth", "url": "http://www.imdb.com/name/nm0000167/"} +{"d:Title": "Homage to Elizabeth Hurley", "d:Description": "Fan site with background information, pictures, filmography, and links.", "topic": "Top/Arts/People/H/Hurley,_Elizabeth/Fan_Pages", "url": "http://www.angelfire.com/celeb/LizHurley/"} +{"d:Title": "Elizabeth Hurley", "d:Description": "Small image gallery including pictures of her in bikinis.", "topic": "Top/Arts/People/H/Hurley,_Elizabeth/Image_Galleries", "url": "http://wwwloadofpics.50megs.com/Elizabeth.html"} +{"d:Title": "Sherry Hurry", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/People/H/Hurry,_Sherry", "url": "http://www.imdb.com/name/nm0403562/"} +{"d:Title": "Xenaville Cast&Crew", "d:Description": "Short biography of actor/director Michael Hurst and his work on Xena: Warrior Princess.", "topic": "Top/Arts/People/H/Hurst,_Michael", "url": "http://www.xenaville.com/cast/hurst.html"} +{"d:Title": "Michael Hurst Now", "d:Description": "Up-to-date information on the post-Hercules career of New Zealand Shakespearean actor/director, Michael Hurst, including an image archive of recent projects.", "topic": "Top/Arts/People/H/Hurst,_Michael", "url": "http://michaelhurstnow.com/"} +{"d:Title": "IMDb Listing - Michael Hurst", "d:Description": "Includes filmography, biography, guest appearances, and links.", "topic": "Top/Arts/People/H/Hurst,_Michael", "url": "http://www.imdb.com/name/nm0403628/"} +{"d:Title": "IMDb: John Hurt", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Hurt,_John", "url": "http://www.imdb.com/name/nm0000457/"} +{"d:Title": "The Telegraph: \"Sir John Hurt, Legendary British Actor, Dies Aged 77\"", "d:Description": "An article written following his death of pancreatic cancer.", "topic": "Top/Arts/People/H/Hurt,_John", "url": "http://www.telegraph.co.uk/news/2017/01/28/sir-john-hurt-legendary-british-actor-dies-aged-77-battle-pancreatic/"} +{"d:Title": "Thespian Net Presents William Hurt", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/H/Hurt,_William", "url": "http://www.thespiannet.com/actors/H/hurt_william/index.shtml"} +{"d:Title": "Olivia Hussey", "d:Description": "IMDB filmography for Olivia Hussey", "topic": "Top/Arts/People/H/Hussey,_Olivia", "url": "http://www.imdb.com/name/nm0001377/"} +{"d:Title": "IMDb: Anjelica Huston", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/H/Huston,_Anjelica", "url": "http://www.imdb.com/name/nm0001378/"} +{"d:Title": "IMdb.com - Doug Hutchison", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/People/H/Hutchison,_Doug", "url": "http://www.imdb.com/name/nm0006535/"} +{"d:Title": "Lauren Hutton's Good Stuff", "d:Description": "Official site for cosmetics and products created and used by model and celebrity Lauren Hutton. Information, newsletter and make-up.", "topic": "Top/Arts/People/H/Hutton,_Lauren", "url": "http://www.laurenhutton.com/"} +{"d:Title": "TVGuide.com:: Lauren Hutton", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/H/Hutton,_Lauren", "url": "http://www.tvguide.com/celebrities/lauren-hutton/161415"} +{"d:Title": "IMDb.com - Lauren Hutton", "d:Description": "Complete filmography, biography and photo gallery.", "topic": "Top/Arts/People/H/Hutton,_Lauren", "url": "http://www.imdb.com/name/nm0001381/"} +{"d:Title": "IMDb: James Hyde (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/H/Hyde,_James", "url": "http://www.imdb.com/name/nm0005037/"} +{"d:Title": "Tracy Hyde&Melody Home Page", "d:Description": "Largest source of information on the actress Tracy Hyde and the 1971 movie Melody - aka SWALK. Melody also starred Jack Wild and Mark Lester.", "topic": "Top/Arts/People/H/Hyde,_Tracy", "url": "http://www.tracyhyde.net/"} +{"d:Title": "IMDb: Tracy Hyde", "d:Description": "Filmography.", "topic": "Top/Arts/People/H/Hyde,_Tracy", "url": "http://www.imdb.com/name/nm0405028/"} +{"d:Title": "IMDb.com - Alex Hyde-White", "d:Description": "Actor's filmography at IMDb", "topic": "Top/Arts/People/H/Hyde-White,_Alex", "url": "http://www.imdb.com/name/nm0405033/"} +{"d:Title": "Cube: Ice Cube", "d:Description": "Band information and brief interview.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.angelfire.com/bc/stacks/page25.html"} +{"d:Title": "Ice Cube News: Topix", "d:Description": "News about Ice Cube continually updated from around the net.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.topix.com/who/ice-cube"} +{"d:Title": "Topix: Ice Cube", "d:Description": "News about Ice Cube, collected from various sources on the web", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.topix.com/rss/who/ice-cube.xml"} +{"d:Title": "Yahoo Ice Cube Group", "d:Description": "Message board.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://launch.groups.yahoo.com/group/borogangstasicecubeclub/"} +{"d:Title": "Don Mega: Ice Cube", "d:Description": "News, profile, biography, photo gallery, and lyrics. Downloads include desktop theme and winamp skin.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.donmega.com/ice-cube.html"} +{"d:Title": "Rollingstone.com: Ice Cube", "d:Description": "Includes biography, photo gallery, news, discography, album reviews, and message board.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.rollingstone.com/music/artists/ice-cube"} +{"d:Title": "All Music Guide: Ice Cube", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.allmusic.com/artist/ice-cube-p48"} +{"d:Title": "MTV: Ice Cube", "d:Description": "Features music video clips, album reviews and exclusive online interviews.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.mtv.com/artists/ice-cube/"} +{"d:Title": "IMDb: Ice Cube", "d:Description": "Includes filmography, awards, biography, agent, discussions, photos, and articles.", "topic": "Top/Arts/People/I/Ice_Cube", "url": "http://www.imdb.com/name/nm0001084/"} +{"d:Title": "IMDb.com: Eric Idle", "d:Description": "Includes photo gallery, filmography and trivia.", "topic": "Top/Arts/People/I/Idle,_Eric", "url": "http://www.imdb.com/name/nm0001385/"} +{"d:Title": "Wikipedia.org: Eric Idle", "d:Description": "Includes biography, bibliography and information about his comedy and songwriting.", "topic": "Top/Arts/People/I/Idle,_Eric", "url": "http://en.wikipedia.org/wiki/Eric_Idle"} +{"d:Title": "Dom Irrera", "d:Description": "Official site providing biography, tour schedule, booking and publicity contacts, credits and media kit.", "topic": "Top/Arts/People/I/Irrera,_Dom", "url": "http://www.domirrera.com/"} +{"d:Title": "AskMen.com: Dom Irrera", "d:Description": "Interview about stand up act and voice work.o", "topic": "Top/Arts/People/I/Irrera,_Dom", "url": "http://www.askmen.com/toys/interview_60/60_dom_irrera_interview.html"} +{"d:Title": "The Burl Ives International Web Site", "d:Description": "Authorized website for the deceased entertainer. Contains photos, multimedia, and information on his career and work.", "topic": "Top/Arts/People/I/Ives,_Burl", "url": "http://www.burlives.com/"} +{"d:Title": "Wikipedia: Burl Ives", "d:Description": "Article about the folk singer and actor.", "topic": "Top/Arts/People/I/Ives,_Burl", "url": "http://en.wikipedia.org/wiki/Burl_Ives"} +{"d:Title": "IMDb: Burl Ives", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/People/I/Ives,_Burl", "url": "http://www.imdb.com/name/nm0412322/"} +{"d:Title": "Absolutepictures.com", "d:Description": "Large celebrity image archive.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://absolutepictures.com/"} +{"d:Title": "Cool n Cute", "d:Description": "Pictures include several well known actors, actresses, and musical groups and singers.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://coolncute.20m.com/"} +{"d:Title": "English Actors at the Turn of the Twentieth Century", "d:Description": "Pictures of English actors and actress from a book entitled \"Players of the Day\", published in London by George Newnes, circa 1902.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://www.siue.edu/COSTUMES/actors/pics.html"} +{"d:Title": "Celebrity Encounters", "d:Description": "Photographs by Mark Owens of various celebrities captured at film premieres, performances and other public appearances.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://www.markowens.co.uk/me_with_celebrities.htm"} +{"d:Title": "Omzig's Autograph Collection", "d:Description": "Celebrity photograph collection with autographs.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://www.omzigsgraphs.50megs.com/"} +{"d:Title": "Only Legends", "d:Description": "Pictures of legendary movie singers, dancers, comics, and actors.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://onlylegends.8m.com/"} +{"d:Title": "Shoot For The Stars: The Gallery", "d:Description": "Picture gallery for celebrities from the movies and music industry.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://www.angelfire.com/pop/mermaid/enter.html"} +{"d:Title": "Shooting Lee", "d:Description": "An on-going photographic art project by Lee Allen to have his photograph taken by 100 famous people. Some celebrity pictures and information.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://www.shootinglee.co.uk/"} +{"d:Title": "Portroids.com", "d:Description": "Offers polaroid portraits and autographs of celebrities including anecdotes.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://www.portroids.com/"} +{"d:Title": "4 Seasons Photography: Red Carpet Events", "d:Description": "Press photographer displays photographs of celebrities and stars at red carpet events and the Oscars.", "topic": "Top/Arts/People/Image_Galleries", "url": "http://prosites-4seasons.homestead.com/photojournalism.html"} +{"d:Title": "Women Picture Gallery", "d:Description": "Thumbnail pictures of several actresses and supermodels.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://www.tk421.net/gallery/femme/"} +{"d:Title": "Wonderful Girls", "d:Description": "Original images of several of the young actresses and models in today's celebrity world.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://www.wonderfulgirls.com/"} +{"d:Title": "Celebrity Splits", "d:Description": "Photograph collection of female celebrities doing the splits.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://www.angelfire.com/celeb/splits/"} +{"d:Title": "FlashyGirls", "d:Description": "Pictures of female celebrities in bathing suits and revealing outfits.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://flashygirls0.tripod.com/flashygirls/"} +{"d:Title": "J's Celebrity Picture Gallery", "d:Description": "Several of the young new actresses and models are featured.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://www.angelfire.com/celeb/picturegallery/"} +{"d:Title": "Tango's Funhouse", "d:Description": "Several pictures of ten women in entertainment today.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://tango27761.tripod.com/funhouse.html"} +{"d:Title": "Belly Button Bonanza", "d:Description": "Contains galleries of celebrities exposing their navels.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://belly.buttons.tripod.com/"} +{"d:Title": "Role Call", "d:Description": "Movie actress images listed under the names of their characters.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://commandchix.tripod.com/"} +{"d:Title": "Goddess Dreams", "d:Description": "Actress image gallery search engine.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://pictures.goddessdreams.com/"} +{"d:Title": "Celebrities World", "d:Description": "Includes pictures and profiles on a few actresses and singers such as Alicia Silverstone, Nicole Kidman, Britney Spears, Shania Twain, and Catherine Zeta-Jones.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://celebritiesworld.tripod.com/"} +{"d:Title": "Celebrity Girls", "d:Description": "Several galleries of famous women.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://www.celebrity-girls.com/"} +{"d:Title": "TWWL: The Women We Love.", "d:Description": "Video captures of a few female television anchors and reporters.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://www.p-r-o-j-e-c-t.com/twwl/"} +{"d:Title": "Old Socks", "d:Description": "Offers wallpaper galleries from female celebrities in a directory structure.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://www.oldsocks.co.uk/Dir/index.htm"} +{"d:Title": "Mix10 Sexy Celebrities", "d:Description": "Thumbnailed images of various celebrities.", "topic": "Top/Arts/People/Image_Galleries/Female", "url": "http://megamix.ritrix.com/"} +{"d:Title": "Candace's Paradise", "d:Description": "Photograph galleries for many of the current top Asian actors.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://www.candaces-paradise.8m.com/"} +{"d:Title": "Roy's Vamp Vixens", "d:Description": "Dedicated to popular male vampires of television and movies. Pictures, commentary, and related links.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://members.tripod.com/~dkrapture/"} +{"d:Title": "Famous Men", "d:Description": "Pictures of Mark Harmon, Brett Lee, Kevin Spacey, David Duchovny and Pierce Brosnan, with personal profiles of each.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://members.tripod.com/scullyverusha/"} +{"d:Title": "Teen Idols 2000", "d:Description": "Thousands of shirtless pictures and clips of young teen idols from yesterday and today.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://www.teenidols2000.com/sti/index.htm"} +{"d:Title": "Most Beautiful Man", "d:Description": "Celebrity gossip, pictures, and profiles of little known male celebrities, and details of the \"Most Beautiful Man\" contest.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://www.mostbeautifulman.com/"} +{"d:Title": "Photographs by Lois Siegel", "d:Description": "Includes photographs of celebrities, actors, directors, producers, writeres, musicians and athletes.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://www.siegelproductions.ca/galleries/celebrityphotos/index.htm"} +{"d:Title": "Shirtless Celebrities", "d:Description": "Pictures and screen captures of actors, sports figures, and other male celebrities from around the world without shirts.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://www.squarehippies.com/"} +{"d:Title": "Cucomanga", "d:Description": "Picture galleries of male actors, singers, athletes and models.", "topic": "Top/Arts/People/Image_Galleries/Male", "url": "http://www.cucomanga.com/"} +{"d:Title": "Hugh Jackman Fan List", "d:Description": "Mailing list.", "topic": "Top/Arts/People/J/Jackman,_Hugh", "url": "http://groups.yahoo.com/group/Hugh_Jackman"} +{"d:Title": "IMDb: Hugh Jackman", "d:Description": "Biography, filmography, pictures and news.", "topic": "Top/Arts/People/J/Jackman,_Hugh", "url": "http://www.imdb.com/name/nm0413168/"} +{"d:Title": "The Hugh Jackman Art Page", "d:Description": "Drawings by Diane Taurins of the actor and the characters he's portrayed.", "topic": "Top/Arts/People/J/Jackman,_Hugh", "url": "http://www.portraitplanet.com/hugh.html"} +{"d:Title": "Hugh Jackman News: Topix", "d:Description": "News about Hugh Jackman continually updated from around the net.", "topic": "Top/Arts/People/J/Jackman,_Hugh", "url": "http://www.topix.com/who/hugh-jackman"} +{"d:Title": "Hugh Jackman Appreciation Site.", "d:Description": "Pictures and video captures.", "topic": "Top/Arts/People/J/Jackman,_Hugh/Fan_Pages", "url": "http://wolvarianrift.tripod.com/hughjackman/indexhugh.html"} +{"d:Title": "Hugh Jackmania", "d:Description": "Fan site features news, filmography, rumors, photograph gallery, and polls.", "topic": "Top/Arts/People/J/Jackman,_Hugh/Fan_Pages", "url": "http://hughjackmania.tripod.com/"} +{"d:Title": "Wizkid's Hugh Jackman Fansite", "d:Description": "Includes a biography, filmography, quick facts, quotes, images, links to other Hugh Jackman sites, and a guestbook.", "topic": "Top/Arts/People/J/Jackman,_Hugh/Fan_Pages", "url": "http://www.angelfire.com/film/hughjackman/"} +{"d:Title": "Hugh Jackman Entertainment", "d:Description": "Fan site features latest news, filmography, photo gallery, multimedia downloads and online club.", "topic": "Top/Arts/People/J/Jackman,_Hugh/Fan_Pages", "url": "http://www.hughjackmanfan.com/"} +{"d:Title": "Official Gildart Jackson Fan Club, The", "d:Description": "News, message board, interviews, contact address, filmography and pictures.", "topic": "Top/Arts/People/J/Jackson,_Gildart", "url": "http://www.gildartjackson.com/"} +{"d:Title": "IMDb: Gildart Jackson", "d:Description": "Filmography.", "topic": "Top/Arts/People/J/Jackson,_Gildart", "url": "http://www.imdb.com/name/nm0413556/"} +{"d:Title": "IMDb: John M. Jackson", "d:Description": "Filmography and profile.", "topic": "Top/Arts/People/J/Jackson,_John_M.", "url": "http://www.imdb.com/name/nm0413698/"} +{"d:Title": "Jonathan Jackson Fanpage", "d:Description": "German fan site including: News, biography, Scarlet River, pictures, articles, audio clips and links.", "topic": "Top/Arts/People/J/Jackson,_Jonathan", "url": "http://www.starseek.de/jonathan/index.html"} +{"d:Title": "Lindzi.com - Jonathan&Richard Jackson", "d:Description": "Discussing current projects and sibling rivalry.", "topic": "Top/Arts/People/J/Jackson,_Jonathan", "url": "http://www.lindzi.com/interviews/jacksonbros.htm"} +{"d:Title": "IMDb: Jonathan Jackson (I)", "d:Description": "Filmography, biography, pictures and trivia.", "topic": "Top/Arts/People/J/Jackson,_Jonathan", "url": "http://www.imdb.com/name/nm0005044/"} +{"d:Title": "Josh and Katie: Real Life, True Love", "d:Description": "A fan site including biography, filmography, pictures and links.", "topic": "Top/Arts/People/J/Jackson,_Joshua", "url": "http://paceyporn.tripod.com/"} +{"d:Title": "IMDb: Joshua Jackson", "d:Description": "Filmography, biography, pictures and television schedule.", "topic": "Top/Arts/People/J/Jackson,_Joshua", "url": "http://www.imdb.com/name/nm0005045/"} +{"d:Title": "Pacey's Place", "d:Description": "News, pictures, fan fiction, profile, contact address, filmography and links.", "topic": "Top/Arts/People/J/Jackson,_Joshua/Fan_Pages", "url": "http://www.angelfire.com/mt/pacey/"} +{"d:Title": "Joshua Carter Jackson: Pacey Witter", "d:Description": "Pictures, biography, contact address, poll, chat, fan club and filmography.", "topic": "Top/Arts/People/J/Jackson,_Joshua/Fan_Pages", "url": "http://www.angelfire.com/tv/jjpaceywitter/"} +{"d:Title": "Joshua's Creek", "d:Description": "Profile, filmography, interviews, mailing list, pictures and chat.", "topic": "Top/Arts/People/J/Jackson,_Joshua/Fan_Pages", "url": "http://members.tripod.com/~joshuascreek/"} +{"d:Title": "Lindy's Joshua Jackson Fan World", "d:Description": "Episode guide, pictures of the week, profile, poll, filmography, mailing list and links.", "topic": "Top/Arts/People/J/Jackson,_Joshua/Fan_Pages", "url": "http://www.angelfire.com/nc/JoshuaJackson/"} +{"d:Title": "TV Guide - Joshua Jackson", "d:Description": "Weekly television schedule of movies and shows that the actor features in.", "topic": "Top/Arts/People/J/Jackson,_Joshua/Television", "url": "http://www.tvguide.com/celebrities/joshua-jackson/158964"} +{"d:Title": "Wildcolt Homepage", "d:Description": "Sounds, photographs, and links.", "topic": "Top/Arts/People/J/Jackson,_Kate", "url": "http://members.tripod.com/~wild_colt/"} +{"d:Title": "Angelic Heaven: Kate Jackson", "d:Description": "A biography with film and television credits.", "topic": "Top/Arts/People/J/Jackson,_Kate", "url": "http://www.charliesangels.com/kate.html"} +{"d:Title": "Kate Jackson: Star on the Hollywood Walk of Fame", "d:Description": "To raise money to get Kate a star on the Hollywood Walk of Fame.", "topic": "Top/Arts/People/J/Jackson,_Kate", "url": "http://www.angelfire.com/celeb2/kate_star/"} +{"d:Title": "TV Guide - Kate Jackson", "d:Description": "Includes a biography and a listing of her current television schedule.", "topic": "Top/Arts/People/J/Jackson,_Kate", "url": "http://www.tvguide.com/celebrities/kate-jackson/159874"} +{"d:Title": "IMDb - Kate Jackson (I)", "d:Description": "Actress, producer, and director filmography.", "topic": "Top/Arts/People/J/Jackson,_Kate", "url": "http://www.imdb.com/name/nm0000462/"} +{"d:Title": "AskMen.com: LaToya Jackson", "d:Description": "Exclusive online interview with pictures, quotes, and secret facts.", "topic": "Top/Arts/People/J/Jackson,_La_Toya", "url": "http://www.askmen.com/toys/interview_150/174_latoya_jackson_interview.html"} +{"d:Title": "Universal Love of LaToya, The", "d:Description": "Fan site that offers audio clips, lyrics, information and links.", "topic": "Top/Arts/People/J/Jackson,_La_Toya", "url": "http://www.latoyalove.com/"} +{"d:Title": "All Music Guide: LaToya Jackson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/J/Jackson,_La_Toya", "url": "http://www.allmusic.com/artist/latoya-jackson-p18468"} +{"d:Title": "IMDb: LaToya Jackson", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/J/Jackson,_La_Toya", "url": "http://www.imdb.com/name/nm0413765/"} +{"d:Title": "Seeing-Stars: Samuel L. Jackson", "d:Description": "How and where you can see the actor live and in person while in Southern California.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://www.seeing-stars.com/StarIndexes/SamuelLJackson.shtml"} +{"d:Title": "Thespian Net: Samuel L. Jackson", "d:Description": "Biography, pictures, merchandise, articles, interviews, awards, filmography and links.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://www.thespiannet.com/actors/J/jackson_samuell/index.shtml"} +{"d:Title": "IMDb: Samuel L. Jackson", "d:Description": "Filmography, biography, links and television schedule.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://www.imdb.com/name/nm0000168/"} +{"d:Title": "Samuel L. Jackson News: Topix", "d:Description": "News about Samuel L. Jackson continually updated from around the net.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://www.topix.com/who/samuel-l-jackson"} +{"d:Title": "Topix: Samuel L Jackson", "d:Description": "News about Samuel L Jackson, collected from various sources on the web.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://www.topix.com/rss/who/samuel-l-jackson.xml"} +{"d:Title": "AskMen.com: Samuel L. Jackson", "d:Description": "Biography, Commentary and links.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://uk.askmen.com/celebs/men/entertainment_60/77_samuel_l__jackson.html"} +{"d:Title": "TV Guide - Samuel L. Jackson", "d:Description": "Television schedule of movies and shows that the actor features in.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://www.tvguide.com/celebrities/samuel-l-jackson/173733"} +{"d:Title": "Movietimes: Samuel L. Jackson", "d:Description": "Box office information, profile, links and a message board.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?sljackson"} +{"d:Title": "Canoe: Samuel L. Jackson", "d:Description": "Interviews and articles.", "topic": "Top/Arts/People/J/Jackson,_Samuel_L.", "url": "http://jam.canoe.com/Movies/Artists/J/Jackson_Samuel_L/"} +{"d:Title": "IMDb: Ir\u00e8ne Jacob", "d:Description": "Filmography, biography and pictures.", "topic": "Top/Arts/People/J/Jacob,_Ir\u00e8ne", "url": "http://www.imdb.com/name/nm0001393/"} +{"d:Title": "Sparrow's Providence: Sir Derek Jacobi Forum", "d:Description": "News, contact address and message board.", "topic": "Top/Arts/People/J/Jacobi,_Derek", "url": "http://forums.delphiforums.com/DGJacobi/start"} +{"d:Title": "IMDb: Derek Jacobi", "d:Description": "Filmography, biography, pictures and trivia.", "topic": "Top/Arts/People/J/Jacobi,_Derek", "url": "http://www.imdb.com/name/nm0001394/"} +{"d:Title": "Clayton Jacobson", "d:Description": "Filmography.", "topic": "Top/Arts/People/J/Jacobson,_Clayton", "url": "http://www.imdb.com/name/nm0414814/"} +{"d:Title": "RTC - Retiring Leon", "d:Description": "Brief memorial to the actor, lauding his performance in Blade Runner.", "topic": "Top/Arts/People/J/James,_Brion", "url": "http://www.idmonsters.com/rtc/04/retiringleon.html"} +{"d:Title": "Thespian.net: Brion James", "d:Description": "Filmography and links.", "topic": "Top/Arts/People/J/James,_Brion", "url": "http://www.thespiannet.com/actors/J/james_brion/index.shtml"} +{"d:Title": "Internet Movie Database: Brion James (I)", "d:Description": "Biography, filmography, and links.", "topic": "Top/Arts/People/J/James,_Brion", "url": "http://www.imdb.com/name/nm0001397/"} +{"d:Title": "IMDb: Jesse James", "d:Description": "Filmography and pictures", "topic": "Top/Arts/People/J/James,_Jesse", "url": "http://www.imdb.com/name/nm0416596/"} +{"d:Title": "IMDb: Thomas Jane", "d:Description": "Filmography, pictures, biography and links.", "topic": "Top/Arts/People/J/Jane,_Thomas", "url": "http://www.imdb.com/name/nm0005048/"} +{"d:Title": "IMDb: Allison Janney", "d:Description": "Filmography, biography, pictures, links and television schedule.", "topic": "Top/Arts/People/J/Janney,_Allison", "url": "http://www.imdb.com/name/nm0005049/"} +{"d:Title": "Portrait of the Actor Emil Jannings", "d:Description": "Profile, as well as movie and theater history, by Thomas Staedeli.", "topic": "Top/Arts/People/J/Jannings,_Emil", "url": "http://www.cyranos.ch/smjann-e.htm"} +{"d:Title": "Meredy's Emil Jannings Trivia Mania", "d:Description": "25 tough trivia questions with answers. Also, pictures of the actor.", "topic": "Top/Arts/People/J/Jannings,_Emil", "url": "http://www.meredy.com/janningstriv.htm"} +{"d:Title": "IMDb: Emil Jannings", "d:Description": "Profile, pictures, and complete filmography.", "topic": "Top/Arts/People/J/Jannings,_Emil", "url": "http://www.imdb.com/name/nm0417837/"} +{"d:Title": "1440 Media: Famke Janssen", "d:Description": "Fifty pictures, a filmography, and a mailing list.", "topic": "Top/Arts/People/J/Janssen,_Famke", "url": "http://www.radiofree.com/profiles/famke_janssen/"} +{"d:Title": "Thespian Net Presents Famke Janssen", "d:Description": "Includes a biography, movie credits, contact information, and links.", "topic": "Top/Arts/People/J/Janssen,_Famke", "url": "http://www.thespiannet.com/actresses/J/janssen_famke/index.shtml"} +{"d:Title": "IMDb: Famke Janssen", "d:Description": "The Internet Movie Database includes filmography, biography, pictures, and links.", "topic": "Top/Arts/People/J/Janssen,_Famke", "url": "http://www.imdb.com/name/nm0000463/"} +{"d:Title": "All Movie Guide: Famke Janssen", "d:Description": "Includes vital statistics, biography, filmography, and links. May not work in all browsers.", "topic": "Top/Arts/People/J/Janssen,_Famke", "url": "http://www.allmovie.com/artist/famke-janssen-35232"} +{"d:Title": "Smoking List - Janssen", "d:Description": "Information on Famke Janssen's smoking habits in her movies and in real life.", "topic": "Top/Arts/People/J/Janssen,_Famke", "url": "http://smokingsides.com/asfs/J/Janssen.html"} +{"d:Title": "Canoe: Famke Janssen", "d:Description": "The Canadian Online Explorer's collection of articles on the actress' life and career.", "topic": "Top/Arts/People/J/Janssen,_Famke", "url": "http://jam.canoe.com/Movies/Artists/J/Janssen_Famke/"} +{"d:Title": "IMDb: Samantha Janus", "d:Description": "Filmography, interviews and trivia.", "topic": "Top/Arts/People/J/Janus,_Samantha", "url": "http://www.imdb.com/name/nm0418379/"} +{"d:Title": "IMDb: Petra Jared", "d:Description": "Filmography and links.", "topic": "Top/Arts/People/J/Jared,_Petra", "url": "http://www.imdb.com/name/nm0418664/"} +{"d:Title": "Petra Yared", "d:Description": "Biography, profile, filmography, interview, pictures, audio and video clips, contact address, message board, FAQ, and links.", "topic": "Top/Arts/People/J/Jared,_Petra", "url": "http://www.petrayared.com/"} +{"d:Title": "David Jason", "d:Description": "Fan site, with biography and photos.", "topic": "Top/Arts/People/J/Jason,_David", "url": "http://www.tmaw.co.uk/davidj.html"} +{"d:Title": "BBC Comedy: David Jason", "d:Description": "Profile.", "topic": "Top/Arts/People/J/Jason,_David", "url": "http://www.bbc.co.uk/comedy/profiles/david_jason.shtml"} +{"d:Title": "BBC News: Jason's heroic endeavour", "d:Description": "Only Fools and Horses star David Jason is returning to BBC One - this time as a hero of World War One in a powerful drama for Remembrance Sunday.", "topic": "Top/Arts/People/J/Jason,_David", "url": "http://news.bbc.co.uk/1/hi/entertainment/511491.stm"} +{"d:Title": "IMDb: David Jason", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/J/Jason,_David", "url": "http://www.imdb.com/name/nm0419248/"} +{"d:Title": "BBC News: Sir David's lovely jubbly career", "d:Description": "'Sir David Jason's knighthood is another highlight in the career of one of the UK's best-loved TV personalities.'", "topic": "Top/Arts/People/J/Jason,_David", "url": "http://news.bbc.co.uk/1/hi/entertainment/4076444.stm"} +{"d:Title": "MediaGuardian: David Jason", "d:Description": "Profile, as no 71 out of 100 of the most powerful movers and shakers in the media world.", "topic": "Top/Arts/People/J/Jason,_David", "url": "http://www.theguardian.com/media/2001/jul/16/mediatop100200142"} +{"d:Title": "Gregoryjbara.com", "d:Description": "Official site including biography, news, television schedule, contact address, links, pictures and message board.", "topic": "Top/Arts/People/J/Jbara,_Gregory", "url": "http://www.gregoryjbara.com/"} +{"d:Title": "IMDb: Gregory Jbara", "d:Description": "Filmography, biography and television schedule.", "topic": "Top/Arts/People/J/Jbara,_Gregory", "url": "http://www.imdb.com/name/nm0419813/"} +{"d:Title": "Official Myles Jeffrey Site", "d:Description": "Official web site with pictures, filmography, profile, television schedule, message board, chat and links.", "topic": "Top/Arts/People/J/Jeffrey,_Myles", "url": "http://www.mylesjeffrey.com/"} +{"d:Title": "IMDb: Myles Jeffrey", "d:Description": "Filmography, biography, television schedule, agent address and links.", "topic": "Top/Arts/People/J/Jeffrey,_Myles", "url": "http://www.imdb.com/name/nm0420308/"} +{"d:Title": "IMDb: Rebecca Jenkins", "d:Description": "Filmography and television schedule.", "topic": "Top/Arts/People/J/Jenkins,_Rebecca", "url": "http://www.imdb.com/name/nm0420953/"} +{"d:Title": "IMDb: Maren Jensen", "d:Description": "Filmography, biography, links, pictures, interviews, articles and trivia.", "topic": "Top/Arts/People/J/Jensen,_Maren", "url": "http://www.imdb.com/name/nm0421596/"} +{"d:Title": "Mark Jensen", "d:Description": "Pictures, filmography and video clip.", "topic": "Top/Arts/People/J/Jensen,_Mark", "url": "http://www.markjensen.com.au/"} +{"d:Title": "IMDb: Mark Jensen", "d:Description": "Filmography.", "topic": "Top/Arts/People/J/Jensen,_Mark", "url": "http://www.imdb.com/name/nm1230769/"} +{"d:Title": "IMDb: Michael Jeter", "d:Description": "Filmography, biography, television schedule and pictures.", "topic": "Top/Arts/People/J/Jeter,_Michael", "url": "http://www.imdb.com/name/nm0005052/"} +{"d:Title": "IMDb: Paul Johansson", "d:Description": "Filmography, biography, contact address, links, television schedule and pictures.", "topic": "Top/Arts/People/J/Johansson,_Paul", "url": "http://www.imdb.com/name/nm0424035/"} +{"d:Title": "Scarlett Johansson News: Topix", "d:Description": "News about Scarlett Johansson continually updated from around the net.", "topic": "Top/Arts/People/J/Johansson,_Scarlett", "url": "http://www.topix.com/who/scarlett-johansson"} +{"d:Title": "IMDb: Scarlett Johansson", "d:Description": "Filmography, biography, pictures, links, articles, interviews and television schedule.", "topic": "Top/Arts/People/J/Johansson,_Scarlett", "url": "http://www.imdb.com/name/nm0424060/"} +{"d:Title": "Amy Jo Fan", "d:Description": "Amy Jo Johnson filmography.", "topic": "Top/Arts/People/J/Johnson,_Amy_Jo", "url": "http://www.angelfire.com/ky/amyjofan/"} +{"d:Title": "The Amy Jo Johnson Galaxy", "d:Description": "Includes pictures, sounds, facts, filmography, lyrics and links.", "topic": "Top/Arts/People/J/Johnson,_Amy_Jo", "url": "http://www.angelfire.com/id2/PinkRangerShrine/"} +{"d:Title": "Amy Jo Johnson", "d:Description": "The official website. Includes music, art gallery and CDs.", "topic": "Top/Arts/People/J/Johnson,_Amy_Jo", "url": "http://www.amyjojohnson.com/"} +{"d:Title": "Filmbug - Amy Jo Johnson", "d:Description": "Biography, filmography, pictures, and message board.", "topic": "Top/Arts/People/J/Johnson,_Amy_Jo", "url": "http://www.filmbug.com/db/2336"} +{"d:Title": "Child Starlets - Ashley Johnson", "d:Description": "Filmography.", "topic": "Top/Arts/People/J/Johnson,_Ashley", "url": "http://www.childstarlets.com/lobby/bios/ashley_johnson.html"} +{"d:Title": "Haylie and Ashley Johnson", "d:Description": "Biography, links and pictures of both actresses.", "topic": "Top/Arts/People/J/Johnson,_Ashley", "url": "http://www.angelfire.com/pa4/HaylieandAshley/"} +{"d:Title": "Ashley Johnson 2000", "d:Description": "Profile.", "topic": "Top/Arts/People/J/Johnson,_Ashley", "url": "http://www.angelfire.com/pa4/AshleyJohnson2000/"} +{"d:Title": "IMDb.com: Ashley Johnson", "d:Description": "Biography, filmography, pictures, links and television schedule.", "topic": "Top/Arts/People/J/Johnson,_Ashley", "url": "http://www.imdb.com/name/nm0424534/"} +{"d:Title": "Lindzi.com - Bryce Johnson", "d:Description": "Discusses his role in Popular, his high school years, and dating.", "topic": "Top/Arts/People/J/Johnson,_Bryce", "url": "http://www.lindzi.com/interviews/bryce.htm"} +{"d:Title": "IMDb: Bryce Johnson", "d:Description": "Filmography, pictures, articles and trivia.", "topic": "Top/Arts/People/J/Johnson,_Bryce", "url": "http://www.imdb.com/name/nm0424684/"} +{"d:Title": "Celia Johnson", "d:Description": "Brief biography and a photograph.", "topic": "Top/Arts/People/J/Johnson,_Celia", "url": "http://www.wickedlady.com/films/ladies/JohnsonCelia/"} +{"d:Title": "IMDb entry - Celia Johnson", "d:Description": "The Internet Movie Database entry for the British actress Celia Johnson.", "topic": "Top/Arts/People/J/Johnson,_Celia", "url": "http://www.imdb.com/name/nm0424743/"} +{"d:Title": "IMDb: Corey Johnson", "d:Description": "Filmography and television schedule.", "topic": "Top/Arts/People/J/Johnson,_Corey", "url": "http://www.imdb.com/name/nm0424819/"} +{"d:Title": "Don Johnson News: Topix", "d:Description": "News about Don Johnson continually updated from around the net.", "topic": "Top/Arts/People/J/Johnson,_Don", "url": "http://www.topix.com/who/don-johnson"} +{"d:Title": "IMDb: Don Johnson (I)", "d:Description": "Biography, filmography, pictures and news.", "topic": "Top/Arts/People/J/Johnson,_Don", "url": "http://www.imdb.com/name/nm0000467/"} +{"d:Title": "Eric Johnson Site, The", "d:Description": "Poll, pictures, filmography, contact address and links.", "topic": "Top/Arts/People/J/Johnson,_Eric", "url": "http://culver_03.tripod.com/Eric_Johnson_site"} +{"d:Title": "IMDb: Eric Johnson", "d:Description": "Filmography and television schedule.", "topic": "Top/Arts/People/J/Johnson,_Eric", "url": "http://www.imdb.com/name/nm0425053/"} +{"d:Title": "Johnson, Geordie", "d:Description": "News, pictures, television schedule, filmography and biography.", "topic": "Top/Arts/People/J/Johnson,_Geordie", "url": "http://www.geordiejohnson.com/"} +{"d:Title": "IMDb: Geordie Johnson", "d:Description": "Filmography, biography, articles, interview and television schedule.", "topic": "Top/Arts/People/J/Johnson,_Geordie", "url": "http://www.imdb.com/name/nm0425132/"} +{"d:Title": "IMDb: Kenny Johnson", "d:Description": "Filmography, links and television schedule.", "topic": "Top/Arts/People/J/Johnson,_Kenny", "url": "http://www.imdb.com/name/nm0425543/"} +{"d:Title": "Gilligan's Isle: Russell Johnson", "d:Description": "Biography, childhood pictures, film and television rolls and appearances.", "topic": "Top/Arts/People/J/Johnson,_Russell", "url": "http://www.gilligansisle.com/russell.html"} +{"d:Title": "TV Guide - Russell Johnson", "d:Description": "Current month television schedule for the actor.", "topic": "Top/Arts/People/J/Johnson,_Russell", "url": "http://www.tvguide.com/celebrities/russell-johnson/173309"} +{"d:Title": "IMDb.com - Russell Johnson", "d:Description": "Filmography, television credits and biography for actor.", "topic": "Top/Arts/People/J/Johnson,_Russell", "url": "http://www.imdb.com/name/nm0426157/"} +{"d:Title": "IMDb: Kristen Johnston", "d:Description": "Filmography, biography, pictures, links, television schedule, articles and interview.", "topic": "Top/Arts/People/J/Johnston,_Kristen", "url": "http://www.imdb.com/name/nm0005060/"} +{"d:Title": "Abstracts: Angelina Jolie", "d:Description": "Biography, filmography, and links to several picture sites.", "topic": "Top/Arts/People/J/Jolie,_Angelina", "url": "http://abstracts.net/angelina-jolie/"} +{"d:Title": "Nabou: Angelina Jolie", "d:Description": "Includes personal profile, biography, filmography, photograph galleries, and multimedia.", "topic": "Top/Arts/People/J/Jolie,_Angelina", "url": "http://www.nabou.com/celebrities/angelina_jolie/"} +{"d:Title": "IMDb: Angelina Jolie", "d:Description": "Complete filmography, biography, trivia, awards, and photographs.", "topic": "Top/Arts/People/J/Jolie,_Angelina", "url": "http://www.imdb.com/name/nm0001401/"} +{"d:Title": "The Movie Times: Angelina Jolie", "d:Description": "Picture gallery, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/J/Jolie,_Angelina", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?ajolie"} +{"d:Title": "Contactmusic: Angelina Jolie", "d:Description": "Profile with news, film credits and reviews.", "topic": "Top/Arts/People/J/Jolie,_Angelina", "url": "http://www.contactmusic.com/info/angelina_jolie"} +{"d:Title": "AskMen: Angelina Jolie", "d:Description": "Includes pictures, biography, information, ratings, commentary, and links.", "topic": "Top/Arts/People/J/Jolie,_Angelina", "url": "http://www.askmen.com/celebs/women/actress/8_angelina_jolie.html"} +{"d:Title": "Funmunch Celebrities: Angelina Jolie", "d:Description": "Contains a biography, links to news, images, and videos.", "topic": "Top/Arts/People/J/Jolie,_Angelina", "url": "http://celebrities.funmunch.com/angelina-jolie"} +{"d:Title": "BBC News: Jolie Given Cambodian Citizenship", "d:Description": "Cambodia grants actress Angelina Jolie citizenship for her environmental work in the country.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/4144518.stm"} +{"d:Title": "Forbes: Bad Girl Interrupted", "d:Description": "Describes the goals of Jolie's lobbying work related to the plight of poor children in the Third World. Also addresses her image problem and how the lobbying has affected that.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Articles_and_Interviews", "url": "http://www.forbes.com/home/free_forbes/2006/0703/118.html"} +{"d:Title": "Topix: Angelina Jolie", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Articles_and_Interviews", "url": "http://www.topix.com/who/angelina-jolie"} +{"d:Title": "Telegraph: Angelina: 'I'm having Brad's baby'", "d:Description": "The actress confirms she is expecting a child with Brad Pitt.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Articles_and_Interviews", "url": "http://www.telegraph.co.uk/news/worldnews/northamerica/usa/1507654/Angelina-Im-having-Brads-baby.html"} +{"d:Title": "IGN: An On-Location Interview with Angelina Jolie", "d:Description": "An interview with the actress in which she talks about her role as Lara Croft in the \"Tomb Raider\" movies.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Articles_and_Interviews", "url": "http://www.ign.com/articles/2003/05/23/an-on-location-interview-with-angelina-jolie"} +{"d:Title": "Entertainment Wallpaper: Angelina Jolie", "d:Description": "Provides more than 100 desktop wallpapers.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Downloads", "url": "http://www.entertainmentwallpaper.com/celebrity/angelina_jolie/index.html"} +{"d:Title": "Angelina Jolie: The Darkside", "d:Description": "Images, biographical information, filmography, poems, and links.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://www.angelfire.com/ca3/AngelinaJolie/"} +{"d:Title": "Heavenly Angelina", "d:Description": "Biography, FAQs, news, articles, images and links.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://members.tripod.com/~GiaLegs/index-5.html"} +{"d:Title": "Lia's Angelina Jolie Page", "d:Description": "Biography, filmography, photos, interviews, articles, news and Angelina's contact information.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://www.angelfire.com/dc/lia/ajolie.html"} +{"d:Title": "Angelina Fan", "d:Description": "Movie reviews, pictures, biography, filmography and fun facts.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://www.angelinafan.com/"} +{"d:Title": "Purely Angelina Jolie", "d:Description": "A fan presents a filmography, news, quotes, and pictures.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://smilinangel1971.tripod.com/purely_angelina_jolie"} +{"d:Title": "My Angelina Jolie", "d:Description": "Features biography, filmography, news, articles, video, weblogs, and images of the actress.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://www.myangelinajolie.com/"} +{"d:Title": "Angelina Jolie Daily", "d:Description": "Weblog featuring news about Angelina and her family.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://angelina-jolie-daily.blogspot.com/"} +{"d:Title": "CelebrityFanWeb.com: Angelina Jolie", "d:Description": "Features a biography, filmography, image and wallpaper galleries.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://www.celebrityfanweb.com/angelina-jolie-web/"} +{"d:Title": "CelebrityFanWeb: Angelina Jolie", "d:Description": "Includes image galleries, biography and wallpapers.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://www.celebrityfanweb.com/angelina-jolie/"} +{"d:Title": "AngelinaJoliePics.com", "d:Description": "Contains a biography, image galleries, and trivia.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Fan_Pages", "url": "http://www.angelinajoliepics.com/"} +{"d:Title": "All Pics: Angelina Jolie", "d:Description": "Features several thumbnailed pictures.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Image_Galleries", "url": "http://allpics.0catch.com/jolie_angelina/"} +{"d:Title": "Undying Celebrities: Angelina Jolie", "d:Description": "Includes a thumbnailed image gallery and biographical information.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Image_Galleries", "url": "http://www.undying.com/celeb/angelinajolie/index.htm"} +{"d:Title": "Old Socks: Angelina Jolie", "d:Description": "Provides photographs that can be used as wallpapers.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Image_Galleries", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Angelina+Jolie"} +{"d:Title": "Starspage.net: Angelina Jolie", "d:Description": "Contains thumbnailed image galleries.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Image_Galleries", "url": "http://www.starspage.net/photos/angelina_jolie/"} +{"d:Title": "TV Guide - Angelina Jolie", "d:Description": "Current month cable-television schedule to view movies featuring the actress.", "topic": "Top/Arts/People/J/Jolie,_Angelina/Movies", "url": "http://www.tvguide.com/celebrities/angelina-jolie/139828"} +{"d:Title": "IMDb: Ashley Jones", "d:Description": "Filmography, pictures, contact address and trivia.", "topic": "Top/Arts/People/J/Jones,_Ashley", "url": "http://www.imdb.com/name/nm0427521/"} +{"d:Title": "Gareth Jones On-Line", "d:Description": "Official site with news, profile, audio clips, television schedule, pictures and links.", "topic": "Top/Arts/People/J/Jones,_Gareth", "url": "http://www.garethjones.tv/"} +{"d:Title": "TV Guide - James Earl Jones", "d:Description": "Monthly television schedule of the actor.", "topic": "Top/Arts/People/J/Jones,_James_Earl", "url": "http://www.tvguide.com/celebrities/james-earl-jones/155377"} +{"d:Title": "Movietimes: James Earl Jones", "d:Description": "Box office information, contact address, message board, links and merchandise.", "topic": "Top/Arts/People/J/Jones,_James_Earl", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jearljones"} +{"d:Title": "IMDb: James Earl Jones", "d:Description": "Filmography, biography, television schedule, pictures and links.", "topic": "Top/Arts/People/J/Jones,_James_Earl", "url": "http://www.imdb.com/name/nm0000469/"} +{"d:Title": "IMDb: Jeffrey Jones", "d:Description": "Filmography, biography, pictures, links and television schedule.", "topic": "Top/Arts/People/J/Jones,_Jeffrey", "url": "http://www.imdb.com/name/nm0000470/"} +{"d:Title": "TV Guide - Jennifer Jones", "d:Description": "Includes a monthly television schedule for the actress.", "topic": "Top/Arts/People/J/Jones,_Jennifer", "url": "http://www.tvguide.com/celebrities/jennifer-jones/156617"} +{"d:Title": "IMDb: Jennifer Jones", "d:Description": "Filmography, biography, links and television schedule.", "topic": "Top/Arts/People/J/Jones,_Jennifer", "url": "http://www.imdb.com/name/nm0428354/"} +{"d:Title": "Renee Jones Website", "d:Description": "Filmography, biography, news, pictures, links and poll.", "topic": "Top/Arts/People/J/Jones,_Ren\u00e9e", "url": "http://www.angelfire.com/stars/ReneeJones/"} +{"d:Title": "IMDb: Ren\u00e9e Jones (I)", "d:Description": "Filmography, article, pictures and links.", "topic": "Top/Arts/People/J/Jones,_Ren\u00e9e", "url": "http://www.imdb.com/name/nm0429083/"} +{"d:Title": "Shirley Jones", "d:Description": "Official web site with audio clips, pictures, biography, filmography, discography and merchandise.", "topic": "Top/Arts/People/J/Jones,_Shirley", "url": "http://www.shirleyjones.com/"} +{"d:Title": "IMDb: Shirley Jones", "d:Description": "Filmography, biography, links and television schedule.", "topic": "Top/Arts/People/J/Jones,_Shirley", "url": "http://www.imdb.com/name/nm0429250/"} +{"d:Title": "IMDb: Tamala Jones", "d:Description": "Filmography, television schedule, interview, article, contact address and pictures.", "topic": "Top/Arts/People/J/Jones,_Tamala", "url": "http://www.imdb.com/name/nm0005067/"} +{"d:Title": "IMDb:Terry Jones", "d:Description": "Filmography, biography, links and television schedule.", "topic": "Top/Arts/People/J/Jones,_Terry", "url": "http://www.imdb.com/name/nm0001402/"} +{"d:Title": "Tommy Lee Jones at Thespian Net", "d:Description": "Features biography, interviews, screenshots, related links and trivia.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee", "url": "http://www.thespiannet.com/actors/J/jones_tommylee/index.shtml"} +{"d:Title": "The Numbers: Tommy Lee Jones", "d:Description": "Box office information about movies the actor played in.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee", "url": "http://www.the-numbers.com/people/TLJON.php"} +{"d:Title": "Tommy Lee Jones News: Topix", "d:Description": "News about Tommy Lee Jones continually updated from around the net.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee", "url": "http://www.topix.com/who/tommy-lee-jones"} +{"d:Title": "TV Guide - Tommy Lee Jones", "d:Description": "Monthly television schedule of the actor.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee", "url": "http://www.tvguide.com/celebrities/tommy-lee-jones/177288"} +{"d:Title": "MovieTimes: Tommie Lee Jones", "d:Description": "Profile, links, box office information and message board.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?tommyleejones"} +{"d:Title": "IMDb: Tommy Lee Jones", "d:Description": "Filmography, biography, pictures, links and television schedule.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee", "url": "http://www.imdb.com/name/nm0000169/"} +{"d:Title": "Canoe: Tommy Lee Jones", "d:Description": "Articles and interviews.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee", "url": "http://jam.canoe.com/Movies/Artists/J/Jones_Tommy_Lee/"} +{"d:Title": "Tommy Lee Jones", "d:Description": "Pictures of the actor from the movies he appeared in.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee/Fan_Pages", "url": "http://www.angelfire.com/hi2/Kathy2/TLJones.html"} +{"d:Title": "Tommy Lee Jones", "d:Description": "Horoscope and information about the actor.", "topic": "Top/Arts/People/J/Jones,_Tommy_Lee/Fan_Pages", "url": "http://www.angelfire.com/fl2/tommylee/index.html"} +{"d:Title": "BBC News: Vinnie Jones", "d:Description": "\"Hard man with soft centre\" by Bob Chaundry.", "topic": "Top/Arts/People/J/Jones,_Vinnie", "url": "http://news.bbc.co.uk/1/hi/entertainment/793420.stm"} +{"d:Title": "www.VinnieJones.co.uk", "d:Description": "The Vinnie Jones official site. Information on his movie career and past footballing exploits.", "topic": "Top/Arts/People/J/Jones,_Vinnie", "url": "http://www.vinniejones.co.uk/"} +{"d:Title": "Vinnie Jones News: Topix", "d:Description": "News about Vinnie Jones continually updated from around the net.", "topic": "Top/Arts/People/J/Jones,_Vinnie", "url": "http://www.topix.com/who/vinnie-jones"} +{"d:Title": "IMDb: Kimberley Joseph", "d:Description": "Filmography and links.", "topic": "Top/Arts/People/J/Joseph,_Kimberly", "url": "http://www.imdb.com/name/nm0430634/"} +{"d:Title": "IMDb: Arly Jover", "d:Description": "Filmography and pictures.", "topic": "Top/Arts/People/J/Jover,_Arly", "url": "http://www.imdb.com/name/nm0431332/"} +{"d:Title": "MillaJ.com", "d:Description": "Official website with pictures, biography, filmography, news, audio and video clips, links, articles, interviews, software skins and merchandise.", "topic": "Top/Arts/People/J/Jovovich,_Milla", "url": "http://www.millaj.com/"} +{"d:Title": "Nabou.com: Milla Jovovich", "d:Description": "Profile, contact address, filmography and pictures.", "topic": "Top/Arts/People/J/Jovovich,_Milla", "url": "http://www.nabou.com/celebrities/milla_jovovich/"} +{"d:Title": "ThespianNet: Milla Jovovich", "d:Description": "Biography, pictures, links, merchandise, articles and filmography.", "topic": "Top/Arts/People/J/Jovovich,_Milla", "url": "http://www.thespiannet.com/actresses/J/jovovich_milla/milla_jovovich.shtml"} +{"d:Title": "AllMusic: Milla", "d:Description": "Includes photo, discography and a review.", "topic": "Top/Arts/People/J/Jovovich,_Milla", "url": "http://www.allmusic.com/artist/p91933"} +{"d:Title": "IMDb: Milla Jovovich", "d:Description": "Filmography, awards, trivia, and photos.", "topic": "Top/Arts/People/J/Jovovich,_Milla", "url": "http://www.imdb.com/name/nm0000170/"} +{"d:Title": "Infoplease: Milla Jovovich", "d:Description": "Biography.", "topic": "Top/Arts/People/J/Jovovich,_Milla/Articles_and_Interviews", "url": "http://www.infoplease.com/ipea/A0771215.html"} +{"d:Title": "Who 2: Milla Jovovich Profile", "d:Description": "Profile and links.", "topic": "Top/Arts/People/J/Jovovich,_Milla/Articles_and_Interviews", "url": "http://www.who2.com/bio/milla-jovovich"} +{"d:Title": "Star Seeker: Milla Jovovich", "d:Description": "Links to resources, fan sites and newsgroups.", "topic": "Top/Arts/People/J/Jovovich,_Milla/Directories", "url": "http://starseeker.com/tag/milla-jovovich/"} +{"d:Title": "BabeStop: Milla Jovovich", "d:Description": "Pictures.", "topic": "Top/Arts/People/J/Jovovich,_Milla/Image_Galleries", "url": "http://babestopmillajovovic.tripod.com/home.html"} +{"d:Title": "Movie Times: Ashley Judd", "d:Description": "Pictures, box office information, profile, links and message boards.", "topic": "Top/Arts/People/J/Judd,_Ashley", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?ajudd"} +{"d:Title": "Rotten Tomatoes: Ashley Judd", "d:Description": "Filmography and reviews.", "topic": "Top/Arts/People/J/Judd,_Ashley", "url": "http://www.rottentomatoes.com/celebrity/ashley_judd/"} +{"d:Title": "TV Guide - Ashley Judd", "d:Description": "Weekly television schedule of movies and shows that the actress features in.", "topic": "Top/Arts/People/J/Judd,_Ashley", "url": "http://www.tvguide.com/celebrities/ashley-judd/140847"} +{"d:Title": "IMDb: Ashley Judd", "d:Description": "Biography, filmography, pictures and news.", "topic": "Top/Arts/People/J/Judd,_Ashley", "url": "http://www.imdb.com/name/nm0000171/"} +{"d:Title": "Old Socks: Ashley Judd", "d:Description": "Collection of photos that can be used as wallpaper.", "topic": "Top/Arts/People/J/Judd,_Ashley/Image_Galleries", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Ashley+Judd"} +{"d:Title": "ChristopherJudgeFans Mailing List", "d:Description": "Mail list.", "topic": "Top/Arts/People/J/Judge,_Christopher", "url": "http://groups.yahoo.com/group/ChristopherJudgeFans/"} +{"d:Title": "IMDb: Peter Jurasik", "d:Description": "Filmography, biography, links, television schedule and interviews.", "topic": "Top/Arts/People/J/Jurasik,_Peter", "url": "http://www.imdb.com/name/nm0432867/"} +{"d:Title": "The Madeline Kahn Page", "d:Description": "Pictures, sounds, a discussion board and news.", "topic": "Top/Arts/People/K/Kahn,_Madeline", "url": "http://members.tripod.com/~MKahnFan/"} +{"d:Title": "Thespian Net presents Madeline Kahn", "d:Description": "Filmography and links for the actress.", "topic": "Top/Arts/People/K/Kahn,_Madeline", "url": "http://www.thespiannet.com/actresses/K/kahn_madeline/index.shtml"} +{"d:Title": "TriviaTribute.com: Madeline Kahn", "d:Description": "Pictures, sound clips, and related links.", "topic": "Top/Arts/People/K/Kahn,_Madeline", "url": "http://www.triviatribute.com/madelinekahn.html"} +{"d:Title": "TV Guide - Madeline Kahn", "d:Description": "Current month television schedule for the actress.", "topic": "Top/Arts/People/K/Kahn,_Madeline", "url": "http://www.tvguide.com/celebrities/madeline-kahn/163400"} +{"d:Title": "IMDb: Madeline Kahn", "d:Description": "Filmography, TV credits, trivia, biography, and awards.", "topic": "Top/Arts/People/K/Kahn,_Madeline", "url": "http://www.imdb.com/name/nm0001404/"} +{"d:Title": "BBC News | AMERICAS | Actress loses cancer battle", "d:Description": "Madeline Kahn, an Oscar-nominated actress and comedian best known for her work in the films Paper Moon and Blazing Saddles, dies of ovarian cancer.", "topic": "Top/Arts/People/K/Kahn,_Madeline/Ovarian_Cancer", "url": "http://news.bbc.co.uk/1/hi/world/americas/549275.stm"} +{"d:Title": "IMDb: Stacy Kamano", "d:Description": "Includes filmography, biography, and photos.", "topic": "Top/Arts/People/K/Kamano,_Stacy", "url": "http://www.imdb.com/name/nm0436421/bio"} +{"d:Title": "IMDb: Melina Kanakaredes", "d:Description": "Includes a mini biography, filmography, photos and trivia.", "topic": "Top/Arts/People/K/Kanakaredes,_Melina", "url": "http://www.imdb.com/name/nm0005074/"} +{"d:Title": "IMDb: Christian Kane", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/People/K/Kane,_Christian", "url": "http://www.imdb.com/name/nm0437283/"} +{"d:Title": "IMDb: Archie Kao", "d:Description": "Includes a filmography.", "topic": "Top/Arts/People/K/Kao,_Archie", "url": "http://www.imdb.com/name/nm0438055/"} +{"d:Title": "Mitzi Kapture in The Storytellers", "d:Description": "Mitzi Kapture pictures, chat, read the journal or news.", "topic": "Top/Arts/People/K/Kapture,_Mitzi", "url": "http://aviann.tripod.com/MK/"} +{"d:Title": "IMDb: Mitzi Kapture", "d:Description": "Filmography, and photos.", "topic": "Top/Arts/People/K/Kapture,_Mitzi", "url": "http://www.imdb.com/name/nm0001407/"} +{"d:Title": "The Official Boris Karloff Home Page", "d:Description": "Maintained by the descendants of Boris Karloff.", "topic": "Top/Arts/People/K/Karloff,_Boris", "url": "http://www.karloff.com/"} +{"d:Title": "The Pit ~ Boris Karloff", "d:Description": "Includes animations and downloadable sound clips.", "topic": "Top/Arts/People/K/Karloff,_Boris", "url": "http://www.seahaas.com/thepit/karloff.html"} +{"d:Title": "IMDb: Boris Karloff", "d:Description": "Filmography, awards, biography, and photos.", "topic": "Top/Arts/People/K/Karloff,_Boris", "url": "http://www.imdb.com/name/nm0000472/"} +{"d:Title": "IMDb: Takashi Kashiwabara", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/K/Kashiwabara,_Takashi", "url": "http://www.imdb.com/name/nm0440574/"} +{"d:Title": "IMDb: Masaya Kato", "d:Description": "Includes a filmography.", "topic": "Top/Arts/People/K/Kato,_Masaya", "url": "http://www.imdb.com/name/nm0441377/"} +{"d:Title": "IMDb: Andreas Katsulas", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/People/K/Katsulas,_Andreas", "url": "http://www.imdb.com/name/nm0441537/"} +{"d:Title": "The Life of Chris Kattan", "d:Description": "A comical look at the head-bopping upbringing of the actor.", "topic": "Top/Arts/People/K/Kattan,_Chris", "url": "http://www.tvdance.com/chriskattan/"} +{"d:Title": "IMDb: Chris Kattan", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/K/Kattan,_Chris", "url": "http://www.imdb.com/name/nm0441592/"} +{"d:Title": "The Andy Kaufman Home Page", "d:Description": "Biographical information, pictures, audio clips, and news.", "priority": "1", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://andykaufman.jvlnet.com/"} +{"d:Title": "Fresh Air: Interview with Bob Zmuda", "d:Description": "Radio program archived in RealAudio format", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://whyy.org/cgi-bin/FAshowretrieve.cgi?2702"} +{"d:Title": "The Memphis Flyer: A Hollywood Yankee in King Lawler's Court", "d:Description": "An examination of the Jerry Lawler and Andy Kaufman wrestling feud.", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://www.memphisflyer.com/backissues/issue440/cvr440.htm"} +{"d:Title": "Bold Type: Bill Zehme", "d:Description": "Interview with the author of the Kaufman biography \"Lost in the Funhouse.\" Also includes transcripts of Kaufman's appearances on Late Night with David Letterman and an excerpt from the book.", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://www.randomhouse.com/boldtype/1299/zehme/"} +{"d:Title": "NY Rock: The Mad Comedy of Andy Kaufman", "d:Description": "A review of the Andy Kaufman retrospective at the Museum of Television and Radio through January 30, 2000.", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://www.nyrock.com/features/kaufman.htm"} +{"d:Title": "Andy Kaufman", "d:Description": "Photo and location map from Find A Grave with detailed biography and interactive comments from visitors.", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1789"} +{"d:Title": "WWWF Grudge Match: Latka Gravas vs. Balki Bartokomous", "d:Description": "Humorous confrontation between Balki, from \"Perfect Strangers,\" and Latka.", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://www.grudge-match.com/History/latka-balki.shtml"} +{"d:Title": "Andy Land", "d:Description": "Biography, photographs, video and sound clips, FAQs, a memorial, and fan-generated poetry and other writings regarding Andy Kaufman.", "topic": "Top/Arts/People/K/Kaufman,_Andy", "url": "http://www.angelfire.com/art/andyland/"} +{"d:Title": "Kausalya's Page", "d:Description": "Information about Indian actress Kausalya.", "topic": "Top/Arts/People/K/Kausalya", "url": "http://kausalya.8m.com/"} +{"d:Title": "IMDb: Ingrid Kavelaars", "d:Description": "Includes filmography, biographical information, and awards.", "topic": "Top/Arts/People/K/Kavelaars,_Ingrid", "url": "http://www.imdb.com/name/nm0442635/"} +{"d:Title": "Danny Kaye - A Website Tribute", "d:Description": "Includes a full biography, filmography, awards he received, and lyrics to his songs.", "topic": "Top/Arts/People/K/Kaye,_Danny", "url": "http://www.angelfire.com/film/dannykaye/"} +{"d:Title": "American Masters - Danny Kaye", "d:Description": "A tribute to Danny Kaye by a television series.", "topic": "Top/Arts/People/K/Kaye,_Danny", "url": "http://www.pbs.org/wnet/americanmasters/database/kaye_d.html"} +{"d:Title": "Danny Kaye - A Man of Many Faces", "d:Description": "Includes biographies, photographs, a message board, feature articles, multimedia, movie reviews, wallpaper and links to many other Danny Kaye sites.", "topic": "Top/Arts/People/K/Kaye,_Danny", "url": "http://dannykaye.fcpages.com/"} +{"d:Title": "All Music Guide: Danny Kaye", "d:Description": "Includes biography and discography.", "topic": "Top/Arts/People/K/Kaye,_Danny", "url": "http://www.allmusic.com/artist/danny-kaye-mn0000029750"} +{"d:Title": "Thorsten Kaye.com", "d:Description": "Official web site includes sights and sounds from his movie, television and stage roles, along with his poetry.", "topic": "Top/Arts/People/K/Kaye,_Thorsten", "url": "http://thorstenkaye.com/"} +{"d:Title": "Thespian Net presents Charles Keating", "d:Description": "A starting point for Charles Keating information.", "topic": "Top/Arts/People/K/Keating,_Charles", "url": "http://www.thespiannet.com/actors/K/keating_charles/index.shtml"} +{"d:Title": "DominicKeating.com", "d:Description": "Biography, interviews, news, images, and links.", "topic": "Top/Arts/People/K/Keating,_Dominic", "url": "http://www.dominickeating.com/"} +{"d:Title": "IMDb: Dominic Keating", "d:Description": "Filmography, message board, and trivia.", "topic": "Top/Arts/People/K/Keating,_Dominic", "url": "http://www.imdb.com/name/nm0444098/"} +{"d:Title": "Buster Keaton: The Damfino's Official Website", "d:Description": "Home of the Buster Keaton Fan Club.", "topic": "Top/Arts/People/K/Keaton,_Buster", "url": "http://www.busterkeaton.com/"} +{"d:Title": "Buster Keaton's Hollywood", "d:Description": "Los Angeles locations related to the silent star's life and death.", "topic": "Top/Arts/People/K/Keaton,_Buster", "url": "http://www.seeing-stars.com/StarIndexes/BusterKeaton.shtml"} +{"d:Title": "Buster Keaton Celebration Archive", "d:Description": "An archive of the events and the people involved in celebrating the life and art of silent comedian at the Keaton Celebration, held each September in Iola, Kansas.", "topic": "Top/Arts/People/K/Keaton,_Buster", "url": "http://www.washburn.edu/cas/art/cyoho/archive/Events/KeatonCelebration/"} +{"d:Title": "Juha's Buster Keaton Page", "d:Description": "A collection of links, articles and images relating to the famous silent comedian.", "topic": "Top/Arts/People/K/Keaton,_Buster", "url": "http://www.takkinen.se/Articles/buster.html"} +{"d:Title": "Buster Keaton Celebration", "d:Description": "Annual two-day celebration held each September in Iola, Kansas. Event information, articles, videos, and press details.", "topic": "Top/Arts/People/K/Keaton,_Buster", "url": "http://iolakeatoncelebration.org/"} +{"d:Title": "Thespian Net presents Diane Keaton", "d:Description": "A starting point for Diane Keaton information.", "topic": "Top/Arts/People/K/Keaton,_Diane", "url": "http://www.thespiannet.com/actresses/K/keaton_diane/index.shtml"} +{"d:Title": "Diane Keaton News: Topix", "d:Description": "News about Diane Keaton continually updated from around the net.", "topic": "Top/Arts/People/K/Keaton,_Diane", "url": "http://www.topix.com/who/diane-keaton"} +{"d:Title": "Actress Diane Keaton", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/K/Keaton,_Diane", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?dkeaton"} +{"d:Title": "Filmbug: Diane Keaton", "d:Description": "Profile, facts, and filmography.", "topic": "Top/Arts/People/K/Keaton,_Diane", "url": "http://www.filmbug.com/db/4321"} +{"d:Title": "Michael Keaton", "d:Description": "Includes pictures, articles and message board.", "topic": "Top/Arts/People/K/Keaton,_Michael", "url": "http://becky_819.tripod.com/Michael_Keaton/"} +{"d:Title": "IMDb: Michael Keaton", "d:Description": "The Internet Movie Database includes filmography, trivia, pictures, and links.", "topic": "Top/Arts/People/K/Keaton,_Michael", "url": "http://www.imdb.com/name/nm0000474/"} +{"d:Title": "Actor Michael Keaton", "d:Description": "Pictures, Box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/K/Keaton,_Michael", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?keaton"} +{"d:Title": "IMDb: Andrew Keegan", "d:Description": "Filmography, awards, and photos.", "topic": "Top/Arts/People/K/Keegan,_Andrew", "url": "http://www.imdb.com/name/nm0005080/"} +{"d:Title": "IMDb: Monica Keena", "d:Description": "Includes filmography and photo gallery.", "topic": "Top/Arts/People/K/Keena,_Monica", "url": "http://www.imdb.com/name/nm0444621/"} +{"d:Title": "Catherine Keener Fan Club", "d:Description": "A Yahoo based club with message board, chat forum, image galleries, and links.", "topic": "Top/Arts/People/K/Keener,_Catherine", "url": "http://groups.yahoo.com/group/catherinekeener/"} +{"d:Title": "TV Guide - Catherine Keener", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/K/Keener,_Catherine", "url": "http://www.tvguide.com/celebrities/catherine-keener/143771"} +{"d:Title": "IMDb: Catherine Keener", "d:Description": "The Internet Movie Database includes filmography, profile, image gallery, and links.", "topic": "Top/Arts/People/K/Keener,_Catherine", "url": "http://www.imdb.com/name/nm0001416/"} +{"d:Title": "Thespian Net Presents Harvey Keitel", "d:Description": "Offers a profile, movie credits, pictures, and links.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://www.thespiannet.com/actors/K/keitel_harvey/index.shtml"} +{"d:Title": "Harvey Keitel at Conan o'Brien", "d:Description": "Harvey Keitel talks about the ups and downs in his career, Robert de Niro and U-571.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://www.kenjiarai.com/conan/ejokes/keitel/keitel.htm"} +{"d:Title": "Harvey Keitel Fans", "d:Description": "Yahoo-group, with message board, photo- and link-collection.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://groups.yahoo.com/group/harveykeitelfans/"} +{"d:Title": "Keitel's Lounge", "d:Description": "Yahoo-group, with message-board, photo- and link-collection.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://groups.yahoo.com/group/keitelslounge/"} +{"d:Title": "IMDb: Harvey Keitel", "d:Description": "Harvey's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://www.imdb.com/name/nm0000172/"} +{"d:Title": "Box Office Data for Harvey Keitel", "d:Description": "A page that summarizes box office statistics for movies Harvey Keitel appeared in.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://www.the-numbers.com/people/HKEIT.php"} +{"d:Title": "Harvey Keitel Fans", "d:Description": "Topica discussion list.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://lists.topica.com/lists/Harvey_Keitel_Fans/"} +{"d:Title": "Rotten Tomatoes: Harvey Keitel", "d:Description": "Filmography, links to reviews and news.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://www.rottentomatoes.com/celebrity/harvey_keitel/"} +{"d:Title": "TV Guide - Harvey Keitel", "d:Description": "Television schedule for the current month. With dates, times, channels, and movie information.", "topic": "Top/Arts/People/K/Keitel,_Harvey", "url": "http://www.tvguide.com/celebrities/harvey-keitel/153298"} +{"d:Title": "IMDb: Brian Keith", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/K/Keith,_Brian", "url": "http://www.imdb.com/name/nm0001417/"} +{"d:Title": "Thespian Net presents Mary Page Keller", "d:Description": "A starting point for Mary Page Keller information.", "topic": "Top/Arts/People/K/Keller,_Mary_Page", "url": "http://www.thespiannet.com/actresses/K/keller_marypage/index.shtml"} +{"d:Title": "IMDb: Mary Page Keller", "d:Description": "Filmography, awards, and photos.", "topic": "Top/Arts/People/K/Keller,_Mary_Page", "url": "http://www.imdb.com/name/nm0005081/"} +{"d:Title": "DeForest Kelley", "d:Description": "A page dedicated to DeForest Kelley. Part of the TV and Movie Trivia Tribute site.", "topic": "Top/Arts/People/K/Kelley,_DeForest", "url": "http://www.triviatribute.com/deforestkelley.html"} +{"d:Title": "Memorial to DeForest Kelley", "d:Description": "Photos, wav files, character bios, and links about the cantankerous doctor and the actor who portrayed him.", "topic": "Top/Arts/People/K/Kelley,_DeForest", "url": "http://www.sherylfranklin.com/trek_bones.html"} +{"d:Title": "DeForest Kelley Tribute Site", "d:Description": "Images and biography.", "topic": "Top/Arts/People/K/Kelley,_DeForest", "url": "http://www.deforestkelley.com/"} +{"d:Title": "TV and Movie Trivia Tribute: Gene Kelly", "d:Description": "Celebrity page with pictures, links, trivia and merchandise.", "topic": "Top/Arts/People/K/Kelly,_Gene", "url": "http://www.triviatribute.com/genekelly.html"} +{"d:Title": "American Masters - Gene Kelly", "d:Description": "\"Gene Kelly: Anatomy of a Dancer\" is a tribute to Kelly by a television series.", "topic": "Top/Arts/People/K/Kelly,_Gene", "url": "http://www.pbs.org/wnet/americanmasters/database/kelly_g_homepage.html"} +{"d:Title": "IMDb: Gene Kelly (I)", "d:Description": "The Internet Movie Database contains his filmography, a photo gallery, trivia, and links.", "topic": "Top/Arts/People/K/Kelly,_Gene", "url": "http://www.imdb.com/name/nm0000037/"} +{"d:Title": "Astrocartography and biography of Grace Kelly", "d:Description": "A biography with a special focus on how certain planetary symbols were reflected in her life, work and historical role as Princess Grace of Monaco.", "topic": "Top/Arts/People/K/Kelly,_Grace", "url": "http://www.dominantstar.com/b_kelly.htm"} +{"d:Title": "Grace Kelly Online", "d:Description": "Photographs, biography, voice clips, and links.", "topic": "Top/Arts/People/K/Kelly,_Grace", "url": "http://www.gracekellyonline.com/"} +{"d:Title": "IMDb: Grace Kelly", "d:Description": "Filmography, awards, biography, and photos.", "topic": "Top/Arts/People/K/Kelly,_Grace", "url": "http://www.imdb.com/name/nm0000038/"} +{"d:Title": "Jean Louisa Kelly", "d:Description": "Official website.", "topic": "Top/Arts/People/K/Kelly,_Jean_Louisa", "url": "http://www.jeanlouisakelly.com/"} +{"d:Title": "Moira Kelly Fan Page", "d:Description": "Kelly Lucas's Moira Kelly fan site with articles, biography, rumors, and links.", "topic": "Top/Arts/People/K/Kelly,_Moira", "url": "http://members.tripod.com/mkirish/"} +{"d:Title": "The Ultimate Moira Kelly Web Site", "d:Description": "Moria Kelly fan site with pictures, documents, and multimedia.", "topic": "Top/Arts/People/K/Kelly,_Moira", "url": "http://www.moirakelly.net/"} +{"d:Title": "TV Guide - Moira Kelly", "d:Description": "Current month television schedule for the actress.", "topic": "Top/Arts/People/K/Kelly,_Moira", "url": "http://www.tvguide.com/celebrities/moira-kelly/167050"} +{"d:Title": "IMDb: Moira Kelly", "d:Description": "Filmography, awards, mini-biography, and photos.", "topic": "Top/Arts/People/K/Kelly,_Moira", "url": "http://www.imdb.com/name/nm0446702/"} +{"d:Title": "Who needs Hollywood? Not Moira Kelly", "d:Description": "Toronto Sun article on Moira Kelly by Bob Thompson.", "topic": "Top/Arts/People/K/Kelly,_Moira", "url": "http://www.canoe.ca/filmfestor/sep10_kelly.html"} +{"d:Title": "The Pat Kelman Website", "d:Description": "A site devoted to the career, interests and projects of British actor Pat Kelman (\"Lighthouse\", \"The Odyssey\").", "topic": "Top/Arts/People/K/Kelman,_Pat", "url": "http://www.patkelman.com/"} +{"d:Title": "JFK Jr. Air Crash", "d:Description": "Two letters to the editor in response to Bob Arnot's story in Eve's Magazine, Spring 2000.", "topic": "Top/Arts/People/K/Kennedy,_John_Fitzgerald,_Jr.", "url": "http://www.evesmag.com/jfkcrash.htm"} +{"d:Title": "Washingtonpost.com: John F. Kennedy, Jr.", "d:Description": "Archive of the Washington Post's coverage of JFK, Jr.'s death, including photo gallery and video.", "topic": "Top/Arts/People/K/Kennedy,_John_Fitzgerald,_Jr.", "url": "http://www.washingtonpost.com/wp-srv/national/longterm/jfkjr/stories.htm"} +{"d:Title": "TV Guide - Patsy Kensit", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/K/Kensit,_Patsy", "url": "http://www.tvguide.com/celebrities/patsy-kensit/169232"} +{"d:Title": "IMDb: Patsy Kensit", "d:Description": "Includes filmography, biographical information, and links.", "topic": "Top/Arts/People/K/Kensit,_Patsy", "url": "http://www.imdb.com/name/nm0000475/"} +{"d:Title": "Filmbug - Patsy Kensit", "d:Description": "Biography, forum, and movies.", "topic": "Top/Arts/People/K/Kensit,_Patsy", "url": "http://www.filmbug.com/db/1661"} +{"d:Title": "IMDb: Deborah Kerr", "d:Description": "Filmography, including television work.", "topic": "Top/Arts/People/K/Kerr,_Deborah", "url": "http://www.imdb.com/name/nm0000039/"} +{"d:Title": "Alicia Keys - Fallin'", "d:Description": "Fan site featuring a biography, discography, lyrics, fan art, pictures, and press articles.", "topic": "Top/Arts/People/K/Keys,_Alicia", "url": "http://www.aliciakeys.faithweb.com/"} +{"d:Title": "Alicia Keys BBS", "d:Description": "Features chat room and message board.", "topic": "Top/Arts/People/K/Keys,_Alicia", "url": "http://aliciakeys.suddenlaunch.com/"} +{"d:Title": "Alicia Keys", "d:Description": "Official site with news, biography, photo gallery, audio and video, appearance schedule, and message boards.", "priority": "1", "topic": "Top/Arts/People/K/Keys,_Alicia", "url": "http://www.aliciakeys.com/"} +{"d:Title": "Alicia Keys News: Topix", "d:Description": "News about Alicia Keys continually updated from around the net.", "topic": "Top/Arts/People/K/Keys,_Alicia", "url": "http://www.topix.com/who/alicia-keys"} +{"d:Title": "The Alicia Keys Message Board", "d:Description": "Fan posting board - includes forum and chat room.", "topic": "Top/Arts/People/K/Keys,_Alicia", "url": "http://www.network54.com/Forum/154755/"} +{"d:Title": "All Music Guide: Alicia Keys", "d:Description": "Includes biography, discography, track lists, and reviews.", "topic": "Top/Arts/People/K/Keys,_Alicia", "url": "http://www.allmusic.com/artist/alicia-keys-p469431"} +{"d:Title": "Aamir Khan", "d:Description": "Official site features blog and chat.", "topic": "Top/Arts/People/K/Khan,_Aamir", "url": "http://www.aamirkhan.com/"} +{"d:Title": "King of Bollywood Shahrukh Khan", "d:Description": "Includes pictures, wallpapers, filmography, biography, greetings and news.", "topic": "Top/Arts/People/K/Khan,_Shahrukh", "url": "http://members.tripod.com/~zia_ur_rahman/sharukh.html"} +{"d:Title": "Shahrukh Khan Info site and Pics", "d:Description": "Includes Mp3s.", "topic": "Top/Arts/People/K/Khan,_Shahrukh", "url": "http://www.angelfire.com/celeb/shahrukh/"} +{"d:Title": "Shahrukh Khan by Shruti", "d:Description": "Includes news, latest film reviews, photos, posters and SRK greetings.", "topic": "Top/Arts/People/K/Khan,_Shahrukh", "url": "http://members.tripod.com/shruti_a/srk.html"} +{"d:Title": "Destination Shahrukh", "d:Description": "Contains biography, filmography, wallpapers, movies, news, links and pictures.", "topic": "Top/Arts/People/K/Khan,_Shahrukh", "url": "http://www.vluvshahrukh.com/"} +{"d:Title": "PlanetSRK.com", "d:Description": "Biography, picture gallery, filmography, fan forum, emagazine, and information on Shah Rukh Khan.", "topic": "Top/Arts/People/K/Khan,_Shahrukh", "url": "http://www.planetsrk.com/"} +{"d:Title": "James Kiberd ~ an Artist and His Work", "d:Description": "Featuring a color catalog and gallery of current works accompanied by the artist's writings.", "topic": "Top/Arts/People/K/Kiberd,_James", "url": "http://www.jameskiberd.com/"} +{"d:Title": "IMDb: Margot Kidder", "d:Description": "Filmography, awards, biography, and photos.", "topic": "Top/Arts/People/K/Kidder,_Margot", "url": "http://www.imdb.com/name/nm0452288/"} +{"d:Title": "Actress Margot Kidder", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/K/Kidder,_Margot", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?mkidder"} +{"d:Title": "Nicole Kidman News: Topix", "d:Description": "News about Nicole Kidman continually updated from around the net.", "topic": "Top/Arts/People/K/Kidman,_Nicole", "url": "http://www.topix.com/who/nicole-kidman"} +{"d:Title": "Topix: Nicole Kidman", "d:Description": "News about Nicole Kidman, collected from various sources on the web.", "topic": "Top/Arts/People/K/Kidman,_Nicole", "url": "http://www.topix.com/rss/who/nicole-kidman.xml"} +{"d:Title": "Cinema.com: Nicole Kidman", "d:Description": "Includes biography, filmography, photos, and news articles.", "topic": "Top/Arts/People/K/Kidman,_Nicole", "url": "http://www.cinema.com/people/000/596/nicole-kidman/index.phtml"} +{"d:Title": "TV Guide - Nicole Kidman", "d:Description": "Current month schedule for movies showing on cable and television. Dates, channels, and times listed.", "topic": "Top/Arts/People/K/Kidman,_Nicole", "url": "http://www.tvguide.com/celebrities/nicole-kidman/168018"} +{"d:Title": "TalkTalk: Nicole Kidman", "d:Description": "Detailed biography. Also filmography and picture gallery.", "topic": "Top/Arts/People/K/Kidman,_Nicole", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/nicole-kidman/biography/91"} +{"d:Title": "The Movie Times: Nicole Kidman", "d:Description": "Pictures, box office information, and vital statistics.", "topic": "Top/Arts/People/K/Kidman,_Nicole", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?nkidman"} +{"d:Title": "IMDb: Nicole Kidman", "d:Description": "Filmography, biography, photographs, awards, and news articles.", "topic": "Top/Arts/People/K/Kidman,_Nicole", "url": "http://www.imdb.com/name/nm0000173/"} +{"d:Title": "Cosmopolis: Nicole Kidman", "d:Description": "Biography, filmography and links to purchase movies.", "topic": "Top/Arts/People/K/Kidman,_Nicole/Articles_and_Interviews", "url": "http://www.cosmopolis.ch/english/cosmo23/nicole_kidman.htm"} +{"d:Title": "TalkTalk: Nicole Kidman: No Longer On Cruise Control", "d:Description": "Article in which Nicole discusses her divorce from Tom Cruise, family and her recent film projects.", "topic": "Top/Arts/People/K/Kidman,_Nicole/Articles_and_Interviews", "url": "http://www.talktalk.co.uk/entertainment/film/interviews/nicole_kidman.html"} +{"d:Title": "Nicole Kidman", "d:Description": "Biography, pictures, and links.", "topic": "Top/Arts/People/K/Kidman,_Nicole/Fan_Pages", "url": "http://www.angelfire.com/celeb/nicolekidman/"} +{"d:Title": "Nicole Kidman", "d:Description": "Offers pictures, biography, filmography, and an interview about her role in \"Eyes Wide Shut.\"", "topic": "Top/Arts/People/K/Kidman,_Nicole/Fan_Pages", "url": "http://members.tripod.com/nicolefans/"} +{"d:Title": "Nicole Kidman: The Sparkling Diamond", "d:Description": "Vital statistics and information, quotes, large collection of pictures, interviews, message board and chat room, and filmography with links to movie sites.", "topic": "Top/Arts/People/K/Kidman,_Nicole/Fan_Pages", "url": "http://members.tripod.com/~nicole_mary_kidman/index.html"} +{"d:Title": "Nicole Kidman", "d:Description": "Features biography, filmography, awards, picture gallery, wallpaper, and screensavers.", "topic": "Top/Arts/People/K/Kidman,_Nicole/Fan_Pages", "url": "http://www.angelfire.com/celeb2/nkidman01/"} +{"d:Title": "All Pics: Nicole Kidman", "d:Description": "Various publicity poses and pictures from appearances.", "topic": "Top/Arts/People/K/Kidman,_Nicole/Image_Galleries", "url": "http://allpics.0catch.com/kidman_nicole/"} +{"d:Title": "Super Celebrity: Nicole Kidman", "d:Description": "Photographs from movies and publicity shots.", "topic": "Top/Arts/People/K/Kidman,_Nicole/Image_Galleries", "url": "http://www.celebrityphotoarchives.com/cgi-bin/imageFolio.cgi?direct=Female_Celebs/Nicole_Kidman"} +{"d:Title": "Kiel, Richard", "d:Description": "Known primarily for the character \"Jaws\" in the James Bond movies, also a screenwriter, director and producer. Official Richard Kiel fan club - autographed photos, biography, links to other fan sites.", "topic": "Top/Arts/People/K/Kiel,_Richard", "url": "http://www.richardkiel.com/"} +{"d:Title": "The Shrine to Richard Kiel", "d:Description": "Includes pictures, facts, filmography and message board.", "topic": "Top/Arts/People/K/Kiel,_Richard", "url": "http://www.angelfire.com/nh/kiel/"} +{"d:Title": "Val Kilmer News: Topix", "d:Description": "News about Val Kilmer continually updated from around the net.", "topic": "Top/Arts/People/K/Kilmer,_Val", "url": "http://www.topix.com/who/val-kilmer"} +{"d:Title": "The Movie Times: Val Kilmer", "d:Description": "Pictures, Box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/K/Kilmer,_Val", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?vkilmer"} +{"d:Title": "IMDb: Val Kilmer", "d:Description": "Filmography, awards, biography, photos, and trivia.", "topic": "Top/Arts/People/K/Kilmer,_Val", "url": "http://www.imdb.com/name/nm0000174/"} +{"d:Title": "Filmbug - Val Kilmer", "d:Description": "Includes Profile, links to his movies, and other Val Kilmer sites.", "topic": "Top/Arts/People/K/Kilmer,_Val", "url": "http://www.filmbug.com/db/325"} +{"d:Title": "Bethie's Shrine to the Gods", "d:Description": "Page dedicated to Val Kilmer among others. Includes photos and a poll.", "topic": "Top/Arts/People/K/Kilmer,_Val/Fan_Pages", "url": "http://www.angelfire.com/biz6/bethiekins/"} +{"d:Title": "Jennifer's Val Kilmer Homepage", "d:Description": "Includes pictures, description of Val's different characters and links.", "topic": "Top/Arts/People/K/Kilmer,_Val/Fan_Pages", "url": "http://members.tripod.com/~mmcclin/main.html"} +{"d:Title": "Val Kilmers Reading Room", "d:Description": "Yahoo group.", "topic": "Top/Arts/People/K/Kilmer,_Val/Fan_Pages", "url": "http://groups.yahoo.com/group/val_kilmers_reading_room/"} +{"d:Title": "Kilmer Safari", "d:Description": "Includes a collection of photos, interviews, articles and biography.", "topic": "Top/Arts/People/K/Kilmer,_Val/Fan_Pages", "url": "http://www.angelfire.com/ca2/safari/"} +{"d:Title": "Ashida Kim", "d:Description": "The Official Ashida Kim Web Site. Includes FAQ, membership, information and quotes.", "topic": "Top/Arts/People/K/Kim,_Ashida", "url": "http://www.ashidakim.com/"} +{"d:Title": "The Official Andrea King Web Site", "d:Description": "A tribute to 1940's leading lady and screen beauty Andrea King.", "topic": "Top/Arts/People/K/King,_Andrea", "url": "http://www.andreaking.com/"} +{"d:Title": "Absolutely Perry King", "d:Description": "Includes biography, filmography, news/updates and links.", "topic": "Top/Arts/People/K/King,_Perry", "url": "http://www.absolutelyperryking.com/"} +{"d:Title": "BBC: Sir Ben's Sexy Honour", "d:Description": "Sir Ben Kingsley's knighthood crowns a remarkable year which saw him return to the limelight in gangster film Sexy Beast.", "topic": "Top/Arts/People/K/Kingsley,_Ben", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1734960.stm"} +{"d:Title": "Britannia: Ben Kingsley aka Krishna Bhanji", "d:Description": "A lengthy biography by Michael David with quotations from the actor himself.", "topic": "Top/Arts/People/K/Kingsley,_Ben", "url": "http://www.britannia.com/panorama/kngsly.html"} +{"d:Title": "IMDB: Ben Kingsley", "d:Description": "Filmography, biography and photographs.", "topic": "Top/Arts/People/K/Kingsley,_Ben", "url": "http://www.imdb.com/name/nm0001426/"} +{"d:Title": "Ben Kingsley News: Topix", "d:Description": "News about Ben Kingsley continually updated from around the net.", "topic": "Top/Arts/People/K/Kingsley,_Ben", "url": "http://www.topix.com/who/ben-kingsley"} +{"d:Title": "Alex Kingston Online", "d:Description": "Fan site with image gallery, biography, articles, and links to related sites.", "topic": "Top/Arts/People/K/Kingston,_Alex", "url": "http://www.angelfire.com/ct/ako/"} +{"d:Title": "Yahoo! Groups: Alex and Elizabeth", "d:Description": "A discussion list for fans of Alex Kingston and her ER character.", "topic": "Top/Arts/People/K/Kingston,_Alex", "url": "http://groups.yahoo.com/group/AlexandElizabeth/"} +{"d:Title": "TV Guide - Alex Kingston", "d:Description": "Her television schedule for the current month. With dates, times, and movie details.", "topic": "Top/Arts/People/K/Kingston,_Alex", "url": "http://www.tvguide.com/celebrities/alex-kingston/138790"} +{"d:Title": "IMDb: Alex Kingston", "d:Description": "Includes her profile and filmography, trivia, awards, and photo gallery.", "topic": "Top/Arts/People/K/Kingston,_Alex", "url": "http://www.imdb.com/name/nm0005094/"} +{"d:Title": "Renegade: Kathleen Kinmont", "d:Description": "Kathleen's brief profile at a \"Renegade\" fan page. With picture, biography, and filmography.", "topic": "Top/Arts/People/K/Kinmont,_Kathleen", "url": "http://www.angelfire.com/nc/bobby6k/kathleen.html"} +{"d:Title": "IMDb: Kathleen Kinmont", "d:Description": "Kathleen's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/People/K/Kinmont,_Kathleen", "url": "http://www.imdb.com/name/nm0455681/"} +{"d:Title": "Greg Kinnear News: Topix", "d:Description": "News about Greg Kinnear continually updated from around the net.", "topic": "Top/Arts/People/K/Kinnear,_Greg", "url": "http://www.topix.com/who/greg-kinnear"} +{"d:Title": "IMDb.com - Greg Kinnear", "d:Description": "Includes biography, filmography, and photo gallery.", "topic": "Top/Arts/People/K/Kinnear,_Greg", "url": "http://www.imdb.com/name/nm0001427/"} +{"d:Title": "Nastassja Kinski Fan Club", "d:Description": "A Yahoo based fan site with discussion board, photo gallery, chat room, and links.", "topic": "Top/Arts/People/K/Kinski,_Nastassja", "url": "http://groups.yahoo.com/group/nastassjakinskifanclub/"} +{"d:Title": "Box Office Data for Nastassja Kinski", "d:Description": "Summarizes box office statistics for movies the actress appeared in.", "topic": "Top/Arts/People/K/Kinski,_Nastassja", "url": "http://www.the-numbers.com/people/NKINS.php"} +{"d:Title": "All Movie Guide: Nastassja Kinski", "d:Description": "Includes vital statistics, biography, filmography, and related information.", "topic": "Top/Arts/People/K/Kinski,_Nastassja", "url": "http://www.allmovie.com/artist/nastassja-kinski-38430"} +{"d:Title": "TV Guide - Nastassja Kinski", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/K/Kinski,_Nastassja", "url": "http://www.tvguide.com/celebrities/nastassja-kinski/167561"} +{"d:Title": "IMDb: Nastassja Kinski", "d:Description": "Includes filmography, awards, biography, trivia, and photos.", "topic": "Top/Arts/People/K/Kinski,_Nastassja", "url": "http://www.imdb.com/name/nm0000176/"} +{"d:Title": "Stan Kirsch 2", "d:Description": "Mailing list for discussion of the actor and his work on Highlander: The Series.", "topic": "Top/Arts/People/K/Kirsch,_Stan", "url": "http://groups.yahoo.com/group/stankirsch2/"} +{"d:Title": "TV Guide - Stan Kirsch", "d:Description": "His appearances on television, updated monthly.", "topic": "Top/Arts/People/K/Kirsch,_Stan", "url": "http://www.tvguide.com/celebrities/stan-kirsch/175123"} +{"d:Title": "IMDb: Stan Kirsch", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/K/Kirsch,_Stan", "url": "http://www.imdb.com/name/nm0456909/"} +{"d:Title": "Stan Kirsch Studios", "d:Description": "Official site for the acting school. Class details, audition taping studio, and bookings.", "topic": "Top/Arts/People/K/Kirsch,_Stan", "url": "http://stankirschstudios.com/"} +{"d:Title": "IMDb: Mia Kirshner", "d:Description": "Includes a filmography, awards, and pictures.", "topic": "Top/Arts/People/K/Kirshner,_Mia", "url": "http://www.imdb.com/name/nm0000477/"} +{"d:Title": "Canoe: Mia Kirshner", "d:Description": "Three articles from the Toronto Sun.", "topic": "Top/Arts/People/K/Kirshner,_Mia", "url": "http://jam.canoe.com/Movies/Artists/K/Kirshner_Mia/"} +{"d:Title": "Julie Kirvan.com", "d:Description": "Julie Kirvan, a model and dancer before training to be an actress, starring in The Bill and Emmerdale, now a tv presenter for Home Invasions.", "topic": "Top/Arts/People/K/Kirvan,_Julie", "url": "http://www.juliekirvan.com/"} +{"d:Title": "Dervla Kirwan", "d:Description": "Biography and photos.", "topic": "Top/Arts/People/K/Kirwan,_Dervla", "url": "http://www.tmaw.co.uk/dervlak.html"} +{"d:Title": "IMDb: Dervla Kirwan", "d:Description": "Biography, awards, and filmography.", "topic": "Top/Arts/People/K/Kirwan,_Dervla", "url": "http://www.imdb.com/name/nm0457063/"} +{"d:Title": "The Smoking Gun: Tawny Kitaen", "d:Description": "Mugshot and police report from when Kitaen was arrested and charged with spousal abuse and battery.", "topic": "Top/Arts/People/K/Kitaen,_Tawny", "url": "http://www.thesmokinggun.com/archive/kitaen1.html"} +{"d:Title": "IMDb: Tawny Kitaen", "d:Description": "Includes filmography, profile, and news articles.", "topic": "Top/Arts/People/K/Kitaen,_Tawny", "url": "http://www.imdb.com/name/nm0000478/"} +{"d:Title": "Down To Eartha", "d:Description": "Article from Artsmart on a show in Durban by Anthony Stonier paying tribute to the musical talent of Eartha Kitt. Includes some biographical information and song titles.", "topic": "Top/Arts/People/K/Kitt,_Eartha", "url": "http://www.artsmart.co.za/music/archive/872.html"} +{"d:Title": "Eartha Kitt", "d:Description": "The official site of this international, multi-lingual singer and actress, known for her role as Catwoman in the television series Batman. Biography, photographs, news and merchandise.", "topic": "Top/Arts/People/K/Kitt,_Eartha", "url": "http://www.earthakitt.com/"} +{"d:Title": "IMDb: Chris Klein", "d:Description": "Filmography of the actor at IMDb.", "topic": "Top/Arts/People/K/Klein,_Chris/Movies", "url": "http://www.imdb.com/name/nm0005098/"} +{"d:Title": "Werner Klemperer Experience, The", "d:Description": "Tribute site with photos, links, fan fiction and sounds.", "topic": "Top/Arts/People/K/Klemperer,_Werner", "url": "http://www.angelfire.com/celeb/werner/"} +{"d:Title": "IMDb: Werner Klemperer", "d:Description": "Includes filmography, awards, and a mini-biography.", "topic": "Top/Arts/People/K/Klemperer,_Werner", "url": "http://www.imdb.com/name/nm0459252/"} +{"d:Title": "St. Louis Walk of Fame - Kevin Kline", "d:Description": "Get the details on his star on the walk of fame.", "topic": "Top/Arts/People/K/Kline,_Kevin", "url": "http://www.stlouiswalkoffame.org/inductees/kevin-kline.html"} +{"d:Title": "MovieActors.com - Kevin Kline", "d:Description": "Description of his Oscar win and a filmography.", "topic": "Top/Arts/People/K/Kline,_Kevin", "url": "http://www.movieactors.com/actors/kevinkline.htm"} +{"d:Title": "TV Guide - Kevin Kline", "d:Description": "Includes a current month television schedule for the actor.", "topic": "Top/Arts/People/K/Kline,_Kevin", "url": "http://www.tvguide.com/celebrities/kevin-kline/160601"} +{"d:Title": "IMDb: Kevin Kline", "d:Description": "Includes filmography, awards, profile, and photo gallery.", "topic": "Top/Arts/People/K/Kline,_Kevin", "url": "http://www.imdb.com/name/nm0000177/"} +{"d:Title": "KeiraKnightley.com", "d:Description": "News, photographs, filmography, biography, fan art, and mail address.", "topic": "Top/Arts/People/K/Knightley,_Keira", "url": "http://www.keiraknightley.com/"} +{"d:Title": "Topix: Keira Knightley", "d:Description": "News periodically updated from around the net.", "topic": "Top/Arts/People/K/Knightley,_Keira", "url": "http://www.topix.com/who/keira-knightley"} +{"d:Title": "Keira Christina Knightley", "d:Description": "Fan Site includes news, picture gallery, video and discussion board.", "topic": "Top/Arts/People/K/Knightley,_Keira", "url": "http://www.keirachristinaknightley.com/"} +{"d:Title": "Royal Handmaiden Society - Keira Knightley", "d:Description": "Photos of Keira in her role as Sab\u00e9, Queen Amidala's handmaiden and decoy, in Star Wars Episode I: The Phantom Menace.", "topic": "Top/Arts/People/K/Knightley,_Keira", "url": "http://royalhandmaidensociety.org/keira.html"} +{"d:Title": "IMDb: Keira Knightley", "d:Description": "Filmography, awards, mini-biography, trivia, photos, and news articles.", "topic": "Top/Arts/People/K/Knightley,_Keira", "url": "http://www.imdb.com/name/nm0461136/"} +{"d:Title": "CBS News: Keira Knightley Is Sworn To Piracy", "d:Description": "Article and video of interview about her role in Pirates of the Caribbean: Curse of the Black Pearl. Also includes filmography.", "topic": "Top/Arts/People/K/Knightley,_Keira", "url": "http://www.cbsnews.com/news/keira-knightley-is-sworn-to-piracy/"} +{"d:Title": "IMDb: Sascha Knopf", "d:Description": "Includes filmography and photo gallery.", "topic": "Top/Arts/People/K/Knopf,_Sascha", "url": "http://www.imdb.com/name/nm0461355/"} +{"d:Title": "Warm words for Don Knotts", "d:Description": "A tribute to Knotts.", "topic": "Top/Arts/People/K/Knotts,_Don", "url": "http://www.interestingideas.com/ii/knotts.htm"} +{"d:Title": "IMDb: Don Knotts", "d:Description": "Biography, credits, and photo gallery.", "topic": "Top/Arts/People/K/Knotts,_Don", "url": "http://www.imdb.com/name/nm0461455/"} +{"d:Title": "Knoxville's Own Johnny Knoxville", "d:Description": "Includes photos, downloads, video clips, filmography, articles and links.", "topic": "Top/Arts/People/K/Knoxville,_Johnny", "url": "http://knoxvillegirl.tripod.com/johnny_knoxville/"} +{"d:Title": "IMDb: So-young Ko", "d:Description": "A filmography of the Korean actress.", "topic": "Top/Arts/People/K/Ko,_Soyoung", "url": "http://www.imdb.com/name/nm0461925/"} +{"d:Title": "Jeff Kober Tribute Page", "d:Description": "Includes news, biography, photos, filmography and television credits.", "topic": "Top/Arts/People/K/Kober,_Jeff", "url": "http://www.angelfire.com/vamp/star__gazer/JKober.html"} +{"d:Title": "IMDb: Frederick Koehler", "d:Description": "Includes filmography and awards.", "topic": "Top/Arts/People/K/Koehler,_Fred", "url": "http://www.imdb.com/name/nm0462735/"} +{"d:Title": "IMDb: Walter Koenig", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/K/Koenig,_Walter", "url": "http://www.imdb.com/name/nm0000479/"} +{"d:Title": "Louis Koo Photo Gallery", "d:Description": "Photo gallery and links.", "topic": "Top/Arts/People/K/Koo,_Louis", "url": "http://www.louiskoo.fanspace.com/"} +{"d:Title": "Bernie Kopell - The Official Site", "d:Description": "Includes news, photos, and biography.", "topic": "Top/Arts/People/K/Kopell,_Bernie", "url": "http://berniekopell.com/"} +{"d:Title": "The Many Roles Of Bernie Kopell", "d:Description": "Fan site includes news, articles, and photos.", "topic": "Top/Arts/People/K/Kopell,_Bernie", "url": "http://kopell.tripod.com/"} +{"d:Title": "IMDb: Bernie Kopell", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/K/Kopell,_Bernie", "url": "http://www.imdb.com/name/nm0465728/"} +{"d:Title": "IMDb: Lindsay Korman", "d:Description": "Filmography.", "topic": "Top/Arts/People/K/Korman,_Lindsay", "url": "http://www.imdb.com/name/nm0005102/"} +{"d:Title": "All Movie Guide: Sylva Koscina", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/K/Koscina,_Sylva", "url": "http://www.allmovie.com/artist/sylva-koscina-39145"} +{"d:Title": "IMDb: Lauren Koslow", "d:Description": "Filmography, awards, and photos.", "topic": "Top/Arts/People/K/Koslow,_Lauren", "url": "http://www.imdb.com/name/nm0467191/"} +{"d:Title": "IMDb: Ernie Kovacs", "d:Description": "Includes facts, awards, and filmography.", "topic": "Top/Arts/People/K/Kovacs,_Ernie", "url": "http://www.imdb.com/name/nm0468237/"} +{"d:Title": "IMDb: Harley Jane Kozak", "d:Description": "Includes filmography, fan sites and biography.", "topic": "Top/Arts/People/K/Kozak,_Harley_Jane", "url": "http://www.imdb.com/name/nm0468772/"} +{"d:Title": "IMDb: Jane Krakowski", "d:Description": "Includes filmography, awards, mini-biography, and photos.", "topic": "Top/Arts/People/K/Krakowski,_Jane", "url": "http://www.imdb.com/name/nm0005105/"} +{"d:Title": "The Official Stepfanie Kramer Website", "d:Description": "Biography, filmography, pictures, news and articles, and information about the actor's favorite books and recipes.", "topic": "Top/Arts/People/K/Kramer,_Stepfanie", "url": "http://www.stepfaniekramer.com/"} +{"d:Title": "IMDb: Stepfanie Kramer", "d:Description": "Includes profile, filmography, and photos.", "topic": "Top/Arts/People/K/Kramer,_Stepfanie", "url": "http://www.imdb.com/name/nm0469671/"} +{"d:Title": "Peter Krause: Helping To Make T.V. Safe For Smart People", "d:Description": "Interview with the actor for Pop Entertainment.com.", "topic": "Top/Arts/People/K/Krause,_Peter", "url": "http://www.popentertainment.com/krause.htm"} +{"d:Title": "NPR : Stamberg Series:actors' First Auditions", "d:Description": "NPR's Special Correspondent Susan Stamberg talks with Peter Krause, regarding audition for Six Feet Under. Audio file, approximately 6.5 minutes.", "topic": "Top/Arts/People/K/Krause,_Peter", "url": "http://www.npr.org/templates/story/story.php?storyId=1127370"} +{"d:Title": "HBO: Peter Krause as Nate Fisher - Six Feet Under", "d:Description": "Information on Peter Krause, in the role of Nate Fisher, at HBO's official 'Six Feet Under' website.", "topic": "Top/Arts/People/K/Krause,_Peter", "url": "http://www.hbo.com/sixfeetunder/cast/actors/peter_krause.shtml"} +{"d:Title": "NNDB: Peter Krause", "d:Description": "Peter Krause bio and projects.", "topic": "Top/Arts/People/K/Krause,_Peter", "url": "http://www.nndb.com/people/675/000030585/"} +{"d:Title": "The Fisher King", "d:Description": "Synopsis of an interview with Peter Krause. Includes details of his activities and roles in Six Feet Under.", "topic": "Top/Arts/People/K/Krause,_Peter", "url": "http://www.visimag.com/culttimes/c109_feat01.htm"} +{"d:Title": "Peter Krause Set For 'Civic Duty' Drama", "d:Description": "Peter Krause in recent project as an accountant after terrorists", "topic": "Top/Arts/People/K/Krause,_Peter", "url": "http://www.killermovies.com/c/civicduty/articles/5379.html"} +{"d:Title": "Peter Krause Returns In 'Civic Duty', Actor Embodies Post 9/11 Paranoia In New Film - CBS News", "d:Description": "\"Six Feet Under\" alum Peter Krause stars in the new psychological thriller \"Civic Duty,\" which debuted at the Tribeca Film Festival.", "topic": "Top/Arts/People/K/Krause,_Peter", "url": "http://www.cbsnews.com/stories/2006/05/02/tribeca/main1575406.shtml"} +{"d:Title": "IMDb: Nicolette Krebitz", "d:Description": "Includes filmography, awards, and photos.", "topic": "Top/Arts/People/K/Krebitz,_Nicolette", "url": "http://www.imdb.com/name/nm0470514/"} +{"d:Title": "Yahoo! Groups: CarsonKressleyFanClub", "d:Description": "Message board for fans of the fashion guru. [Yahoo! registration required.]", "topic": "Top/Arts/People/K/Kressley,_Carson", "url": "http://groups.yahoo.com/group/CarsonKressleyFanClub/"} +{"d:Title": "IMDb: Thomas Kretschmann", "d:Description": "Filmography.", "topic": "Top/Arts/People/K/Kretschmann,_Thomas", "url": "http://www.imdb.com/name/nm0470981/"} +{"d:Title": "IMDb: Alice Krige", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/K/Krige,_Alice", "url": "http://www.imdb.com/name/nm0000481/"} +{"d:Title": "An Official Marta Kristen Fan Site", "d:Description": "Includes news, biography, collectibles, filmography, articles, image galleries, and a Lost in Space episode guide.", "topic": "Top/Arts/People/K/Kristen,_Marta", "url": "http://www.martakristen.com/"} +{"d:Title": "IMDb: Marta Kristen", "d:Description": "Filmography, mini-biography, and photos.", "topic": "Top/Arts/People/K/Kristen,_Marta", "url": "http://www.imdb.com/name/nm0471549/"} +{"d:Title": "Chapter33.com", "d:Description": "Movie and song lists, articles, interviews, and biography.", "topic": "Top/Arts/People/K/Kristofferson,_Kris", "url": "http://chapter33.hamtwoslices.net/"} +{"d:Title": "Kris Kristofferson News: Topix", "d:Description": "News about Kris Kristofferson continually updated from around the net.", "topic": "Top/Arts/People/K/Kristofferson,_Kris", "url": "http://www.topix.com/who/kris-kristofferson"} +{"d:Title": "Kris Kristofferson", "d:Description": "Profile and biography from a Janis Joplin site.", "topic": "Top/Arts/People/K/Kristofferson,_Kris", "url": "http://www.janisjoplin.net/friends/?id=1"} +{"d:Title": "IMDB: Kris Kristofferson", "d:Description": "Filmography as actor and composer.", "topic": "Top/Arts/People/K/Kristofferson,_Kris", "url": "http://www.imdb.com/name/nm0001434/"} +{"d:Title": "All Music Guide: Kris Kristofferson", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/K/Kristofferson,_Kris", "url": "http://www.allmusic.com/artist/kris-kristofferson-mn0000774588"} +{"d:Title": "The Aleks Krotoski Online Shrine", "d:Description": "Sound files, images and video clips featuring from the late-night British TV video games review program, Bits.", "topic": "Top/Arts/People/K/Krotoski,_Aleks", "url": "http://www.theshrine.connectfree.co.uk/"} +{"d:Title": "IMDb: David Krumholtz", "d:Description": "Biography, filmography, image gallery and trivia.", "topic": "Top/Arts/People/K/Krumholtz,_David", "url": "http://www.imdb.com/name/nm0472710/"} +{"d:Title": "IMDb: Lisa Kudrow", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/K/Kudrow,_Lisa", "url": "http://www.imdb.com/name/nm0001435/"} +{"d:Title": "Web Therapy", "d:Description": "Films, videos and improv hosted by Lisa Kudrow. Free membership.", "topic": "Top/Arts/People/K/Kudrow,_Lisa", "url": "http://lstudio.com/"} +{"d:Title": "Two Warriors", "d:Description": "Fan site for Vladimir Kulich as Buliwyf in The 13th Warrior. Includes images, sound files, information and links.", "topic": "Top/Arts/People/K/Kulich,_Vladimir", "url": "http://members.tripod.com/2warriors/"} +{"d:Title": "Vladimir Kulich", "d:Description": "Information on the Czech actor that appeared in \"Crash\", \"The 13th Warrior\" and other films and TV shows.", "topic": "Top/Arts/People/K/Kulich,_Vladimir", "url": "http://www.imdb.com/name/nm0474520/"} +{"d:Title": "Mamta Kulkarni Webpage", "d:Description": "Lots of pictures.", "topic": "Top/Arts/People/K/Kulkarni,_Mamta", "url": "http://cuteninz.tripod.com/aishwarya/mamtakul.html"} +{"d:Title": "IMDb: Mila Kunis", "d:Description": "Includes filmography, awards, and photos.", "topic": "Top/Arts/People/K/Kunis,_Mila", "url": "http://www.imdb.com/name/nm0005109/"} +{"d:Title": "Lindzi.com: Mila Kunis", "d:Description": "Mila discusses upcoming films, dating, and \"That 70's Show\".", "topic": "Top/Arts/People/K/Kunis,_Mila", "url": "http://www.lindzi.com/interviews/mila.htm"} +{"d:Title": "Remembering Charles Kuralt", "d:Description": "Promotes a book about Kuralt and a book of his writings. Includes excerpts from interviews, articles, and essays.", "topic": "Top/Arts/People/K/Kuralt,_Charles", "url": "http://www.rememberingcharleskuralt.com/"} +{"d:Title": "IMDb: Charles Kuralt", "d:Description": "Includes filmography, awards, and news articles.", "topic": "Top/Arts/People/K/Kuralt,_Charles", "url": "http://www.imdb.com/name/nm0475559/"} +{"d:Title": "IMDb: Ashton Kutcher", "d:Description": "Includes filmography and trivia.", "topic": "Top/Arts/People/K/Kutcher,_Ashton", "url": "http://www.imdb.com/name/nm0005110/"} +{"d:Title": "Suzie Wong Revisited", "d:Description": "Interview with Nancy Kwan, discussing her life and her many film roles. Includes several candid photos.", "topic": "Top/Arts/People/K/Kwan,_Nancy", "url": "http://goldsea.com/Personalities/Kwannancy/kwannancy.html"} +{"d:Title": "Hiroshi Takahashi's Nancy Kwan Page", "d:Description": "Filmography and fan comments.", "topic": "Top/Arts/People/K/Kwan,_Nancy", "url": "http://www.asahi-net.or.jp/~hj7h-tkhs/eng_actress_html/eng_actress_kwan.html"} +{"d:Title": "TV Guide - Nancy Kwan", "d:Description": "Includes a schedule of upcoming television appearances.", "topic": "Top/Arts/People/K/Kwan,_Nancy", "url": "http://www.tvguide.com/celebrities/nancy-kwan/167479"} +{"d:Title": "Who2 Profile: Nancy Kwan", "d:Description": "Brief biography about the Asian-American actress", "topic": "Top/Arts/People/K/Kwan,_Nancy", "url": "http://www.who2.com/bio/nancy-kwan"} +{"d:Title": "IMDb: Nancy Kwan", "d:Description": "Biography and a detailed filmography of the actress.", "topic": "Top/Arts/People/K/Kwan,_Nancy", "url": "http://www.imdb.com/name/nm0477088/"} +{"d:Title": "TV.com: Nancy Kwan", "d:Description": "Biographical information, photographs, and listing of television/film appearances for the Asian-American actress.", "topic": "Top/Arts/People/K/Kwan,_Nancy", "url": "http://www.tv.com/people/nancy-kwan/"} +{"d:Title": "Shirley Espace", "d:Description": "Includes news, gallery, facts, trivia, albums, charts and polls.", "priority": "1", "topic": "Top/Arts/People/K/Kwan,_Shirley", "url": "http://www.espace.org/"} +{"d:Title": "Palace of Paula LaBaredas", "d:Description": "Includes pictures and news.", "topic": "Top/Arts/People/L/LaBaredas,_Paula", "url": "http://fanofpaula.tripod.com/"} +{"d:Title": "IMDB.com - Patrick Labyorteaux", "d:Description": "Patrick Labyorteaux's filmography at IMDb", "topic": "Top/Arts/People/L/Labyorteaux,_Patrick", "url": "http://www.imdb.com/name/nm0479779/"} +{"d:Title": "Mailing List FAQ", "d:Description": "Information on the mailing list and fan club.", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.herald.co.uk/local_info/lackey_faq.html"} +{"d:Title": "Lady Hernalth's Elvenbane Corner", "d:Description": "Characters, discrepancies, fan fiction, and fan art dedicated to the series by Lackey and Andre Norton.", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.angelfire.com/scifi/elvenbane/"} +{"d:Title": "Mercedes Lackey Homepage", "d:Description": "Official site. Includes book news, sample chapters and the author responding to questions from her fans.", "priority": "1", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.mercedeslackey.com/"} +{"d:Title": "Review Archive", "d:Description": "Reviews, information, and covers of the author's works.", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.sfsite.com/revus/revulackey.htm"} +{"d:Title": "Burning Brightly Review", "d:Description": "Book review of Burning Brightly, The Legendary Story Of Herald Lavan Firestorm, by Mercedes Lackey.", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.sfcrowsnest.com/articles/books/2002/Burning-Brightly-The-Legendary-Story-Of-Herald-Lavan-Firestorm-by-Mercedes-Lackey-7136.php"} +{"d:Title": "The Outstretched Shadow", "d:Description": "Review of The Outstretched Shadow (The Obsidian Trilogy book one) by Mercedes Lackey and James Mallory.", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.sfcrowsnest.com/articles/books/2004/The-Outstretched-Shadow-The-Obsidian-Trilogy-book-one-by-Mercedes-Lackey-and-James-Mallory-6857.php"} +{"d:Title": "Mercedes Lackey - eHarlequin", "d:Description": "Publisher site for works from the LUNA imprint of Harlequin, featuring currently available books, an interview, a biography, and a diary.", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.harlequin.com/author.html?authorid=1055"} +{"d:Title": "Mercedes Lackey", "d:Description": "Official page for the author's Daw published books.Includes excerpts, short biography, and booklist.", "topic": "Top/Arts/People/L/Lackey,_Mercedes", "url": "http://www.penguin.com/meet/publishers/daw/"} +{"d:Title": "Queen's Own", "d:Description": "Official fan club. Includes a fanzine and a non-fiction journal, as well as fan fiction guidelines and links.", "topic": "Top/Arts/People/L/Lackey,_Mercedes/Fan_Clubs_and_Fanzines", "url": "http://www.dragonlordsnet.com/qo.htm"} +{"d:Title": "The Vanyel Fan Club", "d:Description": "Online chapter of the Queen's Own fanclub. Includes a mailing list.", "topic": "Top/Arts/People/L/Lackey,_Mercedes/Fan_Clubs_and_Fanzines", "url": "http://www.dragonlordsnet.com/vfcindex.htm"} +{"d:Title": "Dawn's Vale", "d:Description": "Valdemar fansite, including quiz, polls, forum, lists, and the author's views on Valdemar.", "topic": "Top/Arts/People/L/Lackey,_Mercedes/Valdemar_Series", "url": "http://dawnearthsong.tripod.com/DawnsVale/index.html"} +{"d:Title": "Danya Winterborn", "d:Description": "Fan art, information and a dream cast list.", "topic": "Top/Arts/People/L/Lackey,_Mercedes/Valdemar_Series", "url": "http://www.dragonlordsnet.com/danya.htm"} +{"d:Title": "Cheryl Ladd's Official Web Site", "d:Description": "Includes pictures, biography and information.", "topic": "Top/Arts/People/L/Ladd,_Cheryl", "url": "http://www.cherylladd.com/"} +{"d:Title": "IMDb: Cheryl Ladd", "d:Description": "Includes filmography, profile, pictures, and trivia.", "topic": "Top/Arts/People/L/Ladd,_Cheryl", "url": "http://www.imdb.com/name/nm0001440/"} +{"d:Title": "Chris Ladd, Actor", "d:Description": "Official Web Site for Chris Ladd, film and television credits, email address, and links.", "topic": "Top/Arts/People/L/Ladd,_Chris", "url": "http://chrisladd.com/"} +{"d:Title": "Diane Ladd", "d:Description": "Official site for actress includes biography, photo gallery, links, video clips.", "topic": "Top/Arts/People/L/Ladd,_Diane", "url": "http://www.dianeladd.com/"} +{"d:Title": "TV Guide - Diane Ladd", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Ladd,_Diane", "url": "http://www.tvguide.com/celebrities/diane-ladd/147310"} +{"d:Title": "IMDb.com - Diane Ladd", "d:Description": "Filmography, TV appearances and brief biography.", "topic": "Top/Arts/People/L/Ladd,_Diane", "url": "http://www.imdb.com/name/nm0002663/"} +{"d:Title": "Y&R Online", "d:Description": "Brief biography for actor David Lago.", "topic": "Top/Arts/People/L/Lago,_David", "url": "http://soapcentral.com/yr/theactors/lago_david.php"} +{"d:Title": "Filmbug - Christine Lahti", "d:Description": "Picture and brief biography.", "topic": "Top/Arts/People/L/Lahti,_Christine", "url": "http://www.filmbug.com/db/31739"} +{"d:Title": "Francis Lai", "d:Description": "Official site. Includes biographical information, credits, lyrics and concert information.", "topic": "Top/Arts/People/L/Lai,_Francis", "url": "http://www.francis-lai.com/"} +{"d:Title": "Leon Lai On The Internet", "d:Description": "Fan club information, videos, and mailing list.", "topic": "Top/Arts/People/L/Lai,_Leon", "url": "http://www.leon-lai.com/"} +{"d:Title": "Leon Lai's Place", "d:Description": "Includes photos, translated lyrics, audio and video files, filmography, skins, and shutdown screens.", "topic": "Top/Arts/People/L/Lai,_Leon", "url": "http://dawn.leonfamily.com/leon.htm"} +{"d:Title": "Asia Finest", "d:Description": "Pictures and personal information.", "topic": "Top/Arts/People/L/Lai,_Leon", "url": "http://www.asiafinest.com/chinese/leon-lai.htm"} +{"d:Title": "Leah Lail", "d:Description": "Site devoted to actress, includes detailed biography, photo gallery, filmography, video. English and Russian site translations.", "topic": "Top/Arts/People/L/Lail,_Leah", "url": "http://leahlail.narod.ru/index2.html"} +{"d:Title": "IMDb: Leah Lail", "d:Description": "Biography, filmography and appearances.", "topic": "Top/Arts/People/L/Lail,_Leah", "url": "http://www.imdb.com/name/nm0005115/"} +{"d:Title": "IMDb.com: Ricki Lake", "d:Description": "Includes filmography and mini biography.", "topic": "Top/Arts/People/L/Lake,_Ricki", "url": "http://www.imdb.com/name/nm0001442/"} +{"d:Title": "TV Guide - Veronica Lake", "d:Description": "Television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://www.tvguide.com/celebrities/veronica-lake/178163"} +{"d:Title": "SilverScreenSirens - Veronica Lake", "d:Description": "A 48 picture thumbnail gallery of the actress.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://veronicalake.com/"} +{"d:Title": "Wikipedia - Veronica Lake", "d:Description": "Page about the actress in the collaborative online encyclopedia. Includes biography and film credits.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://en.wikipedia.org/wiki/Veronica_Lake"} +{"d:Title": "Brian's Drive-In Theater - Veronica Lake", "d:Description": "Photos, biography and filmography of the actress.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://www.briansdriveintheater.com/veronicalake.html"} +{"d:Title": "TCM - Veronica Lake", "d:Description": "Turner Classic Movies overview of the actress. Archives and biography.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://www.tcm.com/tcmdb/person/107416|150623/Veronica-Lake/"} +{"d:Title": "Findadeath - Veronica Lake", "d:Description": "Article concentrating on the death of the actress.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://www.findadeath.com/Deceased/l/Veronica%20Lake/veronicalake.htm"} +{"d:Title": "IMDb: Veronica Lake (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://www.imdb.com/name/nm0000043/"} +{"d:Title": "The Selvedge Yard - Veronica Lake", "d:Description": "Article entitled \"The Peek-a-Boo Pinup of Hollywood\u2019s Golden Age\". Includes pictures and quotes.", "topic": "Top/Arts/People/L/Lake,_Veronica", "url": "http://selvedgeyard.com/2011/01/26/veronica-lake-the-peek-a-boo-pinup-of-hollywoods-golden-age/"} +{"d:Title": "IMDb.com - Christine Lakin", "d:Description": "Brief profile and complete filmography.", "topic": "Top/Arts/People/L/Lakin,_Christine", "url": "http://www.imdb.com/name/nm0003115/"} +{"d:Title": "IMDb.com - George Lam", "d:Description": "Actor's filmography.", "topic": "Top/Arts/People/L/Lam,_George", "url": "http://www.imdb.com/name/nm0482614/"} +{"d:Title": "Hedy Lamarr House", "d:Description": "A photo of the Beverly Hills home formerly owned by actress Hedy Lamarr.", "topic": "Top/Arts/People/L/Lamarr,_Hedy", "url": "http://seeing-stars.com/ImagePages/LamarrHowardHousePhoto.shtml"} +{"d:Title": "Hedy Lamarr Homepage, The", "d:Description": "Celebrating the life of actress Hedy Lamarr. Includes information, filmography, videos and links.", "topic": "Top/Arts/People/L/Lamarr,_Hedy", "url": "http://www.clevernet.net/hedylamarr/"} +{"d:Title": "TV and Movie Trivia Tribute: Hedy Lamarr", "d:Description": "Pictures and trivia.", "topic": "Top/Arts/People/L/Lamarr,_Hedy", "url": "http://www.triviatribute.com/hedylamarr.html"} +{"d:Title": "Hedy Lamarr at Reel Classics", "d:Description": "Overview of her life and film career.", "topic": "Top/Arts/People/L/Lamarr,_Hedy", "url": "http://www.reelclassics.com/Actresses/Lamarr/lamarr.htm"} +{"d:Title": "The Robia LaMorte Homepage", "d:Description": "A fan site with biography, image gallery, filmography, and links.", "topic": "Top/Arts/People/L/LaMorte,_Robia", "url": "http://robialamorte.tripod.com/"} +{"d:Title": "IMDb: Robia La Morte", "d:Description": "The Internet Movie Database includes biography, filmography, and links.", "topic": "Top/Arts/People/L/LaMorte,_Robia", "url": "http://www.imdb.com/name/nm0478750/"} +{"d:Title": "IMDb: Dorothy Lamour", "d:Description": "Filmography and notable television appearances. Pictures of Lamour from the MPTV collection.", "topic": "Top/Arts/People/L/Lamour,_Dorothy", "url": "http://www.imdb.com/name/nm0483787/"} +{"d:Title": "Actor Profile: Burt Lancaster", "d:Description": "Profile of the Oscar winner by Brian W. Fairbanks.", "topic": "Top/Arts/People/L/Lancaster,_Burt", "url": "http://www.angelfire.com/oh2/writer/burtlancaster.html"} +{"d:Title": "IMDb.com - Burt Lancaster", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/L/Lancaster,_Burt", "url": "http://www.imdb.com/name/nm0000044/bio"} +{"d:Title": "First Sarah Lancaster Fansite", "d:Description": "Biography, filmography, and additional information.", "topic": "Top/Arts/People/L/Lancaster,_Sarah", "url": "http://www.angelfire.com/celeb/SarahLancaster/"} +{"d:Title": "IMDb: Sarah Lancashire", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/Lancaster,_Sarah", "url": "http://www.imdb.com/name/nm0484104/"} +{"d:Title": "Juliet Landau Central", "d:Description": "Photos, information, discussion board relating to Juliet Landau/Drusilla.", "topic": "Top/Arts/People/L/Landau,_Juliet", "url": "http://juliet.5u.com/"} +{"d:Title": "IMDb: Ann Landers", "d:Description": "Brief biographical information and credits.", "topic": "Top/Arts/People/L/Landers,_Ann", "url": "http://www.imdb.com/name/nm0484625/"} +{"d:Title": "Landers Sisters", "d:Description": "Fan site for Judy and Audrey Landers. Includes magazine articles and picture gallery.", "topic": "Top/Arts/People/L/Landers,_Audrey", "url": "http://www.landerssisters.net/"} +{"d:Title": "Landers Sisters", "d:Description": "Fan site for Judy and Audrey Landers. Includes magazine articles and picture gallery.", "topic": "Top/Arts/People/L/Landers,_Judy", "url": "http://www.landerssisters.net/"} +{"d:Title": "Find A Grave - Carole Landis", "d:Description": "Career and last resting place details.", "topic": "Top/Arts/People/L/Landis,_Carole", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSmpid=372&GRid=599&"} +{"d:Title": "The Carole Landis Pages", "d:Description": "Photo gallery courtesy of the 225th AAA Searchlight Battalion.", "topic": "Top/Arts/People/L/Landis,_Carole", "url": "http://www.skylighters.org/landis/"} +{"d:Title": "Brooklyn Armed Guard Center", "d:Description": "Photos of Landis visiting the sick bay of Brooklyn Armed Guard Center in January 1945.", "topic": "Top/Arts/People/L/Landis,_Carole", "url": "http://www.armed-guard.com/bag5.html"} +{"d:Title": "United We Stand by Carole Landis", "d:Description": "Quotation from Mast Magazine about the American Merchant Marine and fighting fascism.", "topic": "Top/Arts/People/L/Landis,_Carole", "url": "http://www.usmm.org/quotes.html#anchor148333"} +{"d:Title": "Carole Landis Photo Gallery", "d:Description": "Images from her movies, USO shows, and publicity appearances.", "topic": "Top/Arts/People/L/Landis,_Carole", "url": "http://www.suspense-movies.com/stars/carole-landis/"} +{"d:Title": "Carole Landis", "d:Description": "Internet Movie Database filmography of the troubled actress.", "topic": "Top/Arts/People/L/Landis,_Carole", "url": "http://www.imdb.com/name/nm0484808/"} +{"d:Title": "Carole Landis Project", "d:Description": "Includes an essay, filmography and photographs.", "topic": "Top/Arts/People/L/Landis,_Carole", "url": "http://www.anthropoetics.ucla.edu/cl/"} +{"d:Title": "The Joe Lando Web Page", "d:Description": "Photos, scripts, chat room, message board, screen-savers, and other information about the actor.", "topic": "Top/Arts/People/L/Lando,_Joe", "url": "http://www.joelando.org/"} +{"d:Title": "IMDb: Joe Lando", "d:Description": "Filmography, including television appearances.", "topic": "Top/Arts/People/L/Lando,_Joe", "url": "http://www.imdb.com/name/nm0484880/"} +{"d:Title": "TV.Com: Joe Lando", "d:Description": "Biography, news and acting roles.", "topic": "Top/Arts/People/L/Lando,_Joe", "url": "http://www.tv.com/people/joe-lando/"} +{"d:Title": "Ali Landry Fun Site", "d:Description": "Fan page with biography, filmography, small picture gallery.", "topic": "Top/Arts/People/L/Landry,_Ali", "url": "http://www.angelfire.com/celeb2/alilandry01/"} +{"d:Title": "Yahoo Clubs - Amazing Ali Landry", "d:Description": "Online message board, photo gallery, chat room and news. [Login required.]", "topic": "Top/Arts/People/L/Landry,_Ali", "url": "http://groups.yahoo.com/group/amazingalilandry/"} +{"d:Title": "TV Guide - Ali Landry", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Landry,_Ali", "url": "http://www.tvguide.com/celebrities/ali-landry/139013"} +{"d:Title": "IMDb.com - Ali Landry", "d:Description": "Includes filmography, television appearances, pictures and information.", "topic": "Top/Arts/People/L/Landry,_Ali", "url": "http://www.imdb.com/name/nm0005118/"} +{"d:Title": "mxdpi: Ali Landry", "d:Description": "176 thumbnail pictures, postcard creator.", "topic": "Top/Arts/People/L/Landry,_Ali/Image_Galleries", "url": "http://www.mxdpi.com/pictures/SUPERMODELS/Ali_Landry"} +{"d:Title": "Child Starlets: Diane Lane", "d:Description": "Includes a filmography and a collection of still images from various movies.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://www.childstarlets.com/lobby/bios/diane_lane.html"} +{"d:Title": "TV Guide - Diane Lane", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://www.tvguide.com/celebrities/diane-lane/147311"} +{"d:Title": "Yahoo Movies: Diane Lane", "d:Description": "Detailed biography, filmography, photos, message board, and links.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://movies.yahoo.com/person/diane-lane/"} +{"d:Title": "Thespian Net presents Diane Lane", "d:Description": "Includes biography, movie and television credits, pictures, and links.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://www.thespiannet.com/actresses/L/lane_diane/diane_lane.shtml"} +{"d:Title": "IMDb: Diane Lane (I)", "d:Description": "The Internet Movie Database includes filmography, profile, pictures, and links.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://www.imdb.com/name/nm0000178/"} +{"d:Title": "New York Times Movies: Diane Lane", "d:Description": "Biography, filmography, awards list, news and reviews from The New York Times.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://www.nytimes.com/movies/person/40350/Diane-Lane"} +{"d:Title": "All Movie Guide: Diane Lane", "d:Description": "Includes biography, filmography, and related information.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://www.allmovie.com/artist/diane-lane-p40350"} +{"d:Title": "Diane Lane - Rotten Tomatoes", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://www.rottentomatoes.com/celebrity/1008730-diane_lane/"} +{"d:Title": "Canoe: Diane Lane", "d:Description": "The Canadian Online Explorer's collection of articles on Lane's life and career.", "topic": "Top/Arts/People/L/Lane,_Diane", "url": "http://jam.canoe.com/Movies/Artists/L/Lane_Diane/"} +{"d:Title": "DianeLane", "d:Description": "Diane Lane Fan Group, for fans to admire her talent and discuss her films. Photos, links.", "topic": "Top/Arts/People/L/Lane,_Diane/Fan_Pages", "url": "http://groups.yahoo.com/group/DianeLane"} +{"d:Title": "Diane's Lane", "d:Description": "A meeting place for fans and admirers of actress Diane Lane", "topic": "Top/Arts/People/L/Lane,_Diane/Fan_Pages", "url": "http://groups.yahoo.com/group/dianeslane/"} +{"d:Title": "IMDb.com - Katherine Kelly Lang", "d:Description": "Brief biography and complete filmography.", "topic": "Top/Arts/People/L/Lang,_Katherine_Kelly", "url": "http://www.imdb.com/name/nm0485810/"} +{"d:Title": "IMDb: Harry Langdon (I)", "d:Description": "Contains biographies and a filmography.", "topic": "Top/Arts/People/L/Langdon,_Harry", "url": "http://www.imdb.com/name/nm0003377/"} +{"d:Title": "Hope Lange News: Topix", "d:Description": "News about Hope Lange continually updated from around the net.", "topic": "Top/Arts/People/L/Lange,_Hope", "url": "http://www.topix.com/who/hope-lange"} +{"d:Title": "TV Guide - Hope Lange", "d:Description": "Current month television schedule for the actress.", "topic": "Top/Arts/People/L/Lange,_Hope", "url": "http://www.tvguide.com/celebrities/hope-lange/153989"} +{"d:Title": "IMDb.com - Hope Lange", "d:Description": "Mini biography, filmography and trivia.", "topic": "Top/Arts/People/L/Lange,_Hope", "url": "http://www.imdb.com/name/nm0486136/"} +{"d:Title": "Jessica Lange News: Topix", "d:Description": "News about Jessica Lange continually updated from around the net.", "topic": "Top/Arts/People/L/Lange,_Jessica", "url": "http://www.topix.com/who/jessica-lange"} +{"d:Title": "Filmbug - Jessica Lange", "d:Description": "Short commentary listing various films and appearances.", "topic": "Top/Arts/People/L/Lange,_Jessica", "url": "http://www.filmbug.com/db/1376"} +{"d:Title": "Frank Langella", "d:Description": "Brief biography and photos of the actor in his most famous role of Dracula.", "topic": "Top/Arts/People/L/Langella,_Frank", "url": "http://www.angelfire.com/tx2/DeathsDomain/langella.html"} +{"d:Title": "Eclipse of the Cross", "d:Description": "Dedicated to Vampire Lore, and a special section devoted to actor Frank Langella.", "topic": "Top/Arts/People/L/Langella,_Frank", "url": "http://gothlupin.tripod.com/vlangella.html"} +{"d:Title": "IMDb: Heather Langenkamp", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/People/L/Langenkamp,_Heather", "url": "http://www.imdb.com/name/nm0000486/"} +{"d:Title": "IMDb.com - A.J. Langer", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/People/L/Langer,_A._J.", "url": "http://www.imdb.com/name/nm0486277/"} +{"d:Title": "IMDb: Chris Langham", "d:Description": "A list of acting, writing and producing credits, with a message board and details of awards and nominations.", "topic": "Top/Arts/People/L/Langham,_Chris", "url": "http://www.imdb.com/name/nm0486413/"} +{"d:Title": "Wikipedia: Chris Langham", "d:Description": "Article providing a career overview, with trivia and links.", "topic": "Top/Arts/People/L/Langham,_Chris", "url": "http://en.wikipedia.org/wiki/Chris_Langham"} +{"d:Title": "BBC News: TV comedian in 'net porn' arrest", "d:Description": "'Award-winning comedian Chris Langham is arrested during an inquiry into internet child pornography.'", "topic": "Top/Arts/People/L/Langham,_Chris", "url": "http://news.bbc.co.uk/1/hi/uk/4533812.stm"} +{"d:Title": "BBC News: Chris Langham", "d:Description": "'Performer Chris Langham reveals his favourite TV shows for BBC News Online.'", "topic": "Top/Arts/People/L/Langham,_Chris", "url": "http://news.bbc.co.uk/2/hi/entertainment/1333978.stm"} +{"d:Title": "Guardian: The show that didn't go on for Chris Langham", "d:Description": "Article examining his brief involvement in the sketch show \"Not the Nine O'Clock News. By Vanessa Thorpe.", "topic": "Top/Arts/People/L/Langham,_Chris", "url": "http://www.theguardian.com/uk/2005/jul/31/arts.media"} +{"d:Title": "Brooke Langton Online", "d:Description": "Official site with biography, filmography, photos, articles, and demo reels.", "topic": "Top/Arts/People/L/Langton,_Brooke", "url": "http://www.brookelangton.info/"} +{"d:Title": "IMDb: Brooke Langton", "d:Description": "Includes filmography, biographical information, photos, video clips, and links.", "topic": "Top/Arts/People/L/Langton,_Brooke", "url": "http://www.imdb.com/name/nm0486728/"} +{"d:Title": "AngelaLansbury.net", "d:Description": "Biography, detailed filmography and fan club information.", "topic": "Top/Arts/People/L/Lansbury,_Angela", "url": "http://www.angelalansbury.net/"} +{"d:Title": "IMDb: Angela Lansbury", "d:Description": "Angela Lansbury's filmography at IMDb", "topic": "Top/Arts/People/L/Lansbury,_Angela", "url": "http://www.imdb.com/name/nm0001450/"} +{"d:Title": "Reelclassics.com", "d:Description": "A section about Angela Lansbury on a very well done website on classic actors and actresses.", "topic": "Top/Arts/People/L/Lansbury,_Angela", "url": "http://www.reelclassics.com/Actresses/Lansbury/lansbury.htm"} +{"d:Title": "Yahoo! Movies: Angela Lansbury", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/L/Lansbury,_Angela", "url": "http://movies.yahoo.com/person/angela-lansbury/"} +{"d:Title": "TV Guide - Angela Lansbury", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Lansbury,_Angela", "url": "http://www.tvguide.com/celebrities/angela-lansbury/139792"} +{"d:Title": "Who2 Profile", "d:Description": "A small bio and some links", "topic": "Top/Arts/People/L/Lansbury,_Angela", "url": "http://www.who2.com/bio/angela-lansbury"} +{"d:Title": "Tribute.ca", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/L/Lansbury,_Angela", "url": "http://www.tribute.ca/people/angela-lansbury/7742/"} +{"d:Title": "IMDb.com - Katie Lansdale", "d:Description": "Actresses filmography and appearances.", "topic": "Top/Arts/People/L/Lansdale,_Katie", "url": "http://www.imdb.com/name/nm0487068/"} +{"d:Title": "IMDb.com - Suzanne Lanza", "d:Description": "Complete filmography.", "topic": "Top/Arts/People/L/Lanza,_Suzanne", "url": "http://www.imdb.com/name/nm0487305/"} +{"d:Title": "Leoville", "d:Description": "Leo's official home page, with a bulletin board, mailing list, weblog, news and sound effects files.", "topic": "Top/Arts/People/L/Laporte,_Leo", "url": "http://www.leoville.com/"} +{"d:Title": "Ali Larter - AskMen", "d:Description": "Offers pictures and a biography.", "topic": "Top/Arts/People/L/Larter,_Ali", "url": "http://www.askmen.com/celebs/women/actress_100/143_ali_larter.html"} +{"d:Title": "MyAliLarter.com", "d:Description": "Features news and images.", "topic": "Top/Arts/People/L/Larter,_Ali", "url": "http://myalilarter.com/"} +{"d:Title": "IMDb.com - Ali Larter", "d:Description": "Picture, filmography and television appearances.", "topic": "Top/Arts/People/L/Larter,_Ali", "url": "http://www.imdb.com/name/nm0005123/"} +{"d:Title": "Eva LaRue Haven", "d:Description": "Fan club and message board.", "topic": "Top/Arts/People/L/LaRue,_Eve", "url": "http://groups.yahoo.com/group/evalaruehaven/"} +{"d:Title": "IMDb.com - Eriq La Salle", "d:Description": "Filmography and brief biography on the actor.", "topic": "Top/Arts/People/L/LaSalle,_Eriq", "url": "http://www.imdb.com/name/nm0005113/"} +{"d:Title": "IMDb - Sanaa Lathan", "d:Description": "Filmography", "topic": "Top/Arts/People/L/Lathan,_Sanaa", "url": "http://www.imdb.com/name/nm0005125/"} +{"d:Title": "IMDB: Andy Lau", "d:Description": "Filmography and brief biographical details of the Hong Kong-born actor and pop singer.", "topic": "Top/Arts/People/L/Lau,_Andy", "url": "http://www.imdb.com/name/nm0490489/"} +{"d:Title": "Love HK Film: Andy Lau Tak-Wah", "d:Description": "Biography, images and filmography of the actor, singer and producer based in Hong Kong.", "topic": "Top/Arts/People/L/Lau,_Andy", "url": "http://www.lovehkfilm.com/people/lau_andy.htm"} +{"d:Title": "IMDb: Charles Laughton", "d:Description": "Filmography, profile, and pictures.", "topic": "Top/Arts/People/L/Laughton,_Charles", "url": "http://www.imdb.com/name/nm0001452/"} +{"d:Title": "Charles Laughton at Reel Classics", "d:Description": "Awards, downloads, images, and links.", "topic": "Top/Arts/People/L/Laughton,_Charles", "url": "http://www.reelclassics.com/Actors/Laughton/laughton.htm"} +{"d:Title": "IMDb: Tammy Lauren", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/People/L/Lauren,_Tammy", "url": "http://www.imdb.com/name/nm0491079/"} +{"d:Title": "Wikipedia: Hugh Laurie", "d:Description": "Article providing biographical information, a career overview, pictures and links to related material.", "topic": "Top/Arts/People/L/Laurie,_Hugh", "url": "http://en.wikipedia.org/wiki/Hugh_Laurie"} +{"d:Title": "The Russian Wodehouse Society: Hugh Laurie.", "d:Description": "Reproduction of an article in which he discusses his admiration for Wodehouse, and playing the role of Bertie Wooster. Originally printed in the Daily Telegraph.", "topic": "Top/Arts/People/L/Laurie,_Hugh", "url": "http://wodehouse.ru/laurart.htm"} +{"d:Title": "The Observer: Doctor Hugh", "d:Description": "\"He's everybody's idea of the feather-brained Englishman, a bumbling Wooster to Stephen Fry's ice-cool Jeeves, yet his latest success is as a misanthropic doctor.\" By David Smith.", "topic": "Top/Arts/People/L/Laurie,_Hugh", "url": "http://www.theguardian.com/media/2005/apr/24/comment.broadcasting"} +{"d:Title": "The Observer: Going straight", "d:Description": "\"With his boggling eyes and idiotic grimaces, Hugh Laurie has cornered the market in blathering English fools. So what's he doing playing a sexy romantic lead?\" By Nicci Gerard.", "topic": "Top/Arts/People/L/Laurie,_Hugh", "url": "http://www.theguardian.com/theobserver/2000/may/07/features.magazine37"} +{"d:Title": "BBC Desert Island Discs: Hugh Laurie", "d:Description": "Hugh Laurie, actor and musician, is interviewed by Kirsty Young.", "topic": "Top/Arts/People/L/Laurie,_Hugh", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/0a7eb1db#b02y0wn6"} +{"d:Title": "IMDb: Hugh Laurie", "d:Description": "Filmography, biography, photographs, a message board and miscellaeous links.", "topic": "Top/Arts/People/L/Laurie,_Hugh", "url": "http://www.imdb.com/name/nm0491402/"} +{"d:Title": "TV Guide - Piper Laurie", "d:Description": "Current month's television schedule.", "topic": "Top/Arts/People/L/Laurie,_Piper", "url": "http://www.tvguide.com/celebrities/piper-laurie/170482"} +{"d:Title": "IMDb.com - Piper Laurie", "d:Description": "Complete filmography and biography of the actress.", "topic": "Top/Arts/People/L/Laurie,_Piper", "url": "http://www.imdb.com/name/nm0001453/"} +{"d:Title": "Rotten Tomatoes - Piper Laurie", "d:Description": "Filmography with reviews.", "topic": "Top/Arts/People/L/Laurie,_Piper", "url": "http://www.rottentomatoes.com/celebrity/piper_laurie/"} +{"d:Title": "M2's Jude Law Page", "d:Description": "Biography, filmography, photo galleries, articles, cards and fan club information.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.angelfire.com/tx2/minh2law/"} +{"d:Title": "Thespian Net Presents: Jude Law", "d:Description": "Basic information, a filmography and links.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.thespiannet.com/actors/L/law_jude/index.shtml"} +{"d:Title": "Jude Law Fun Site", "d:Description": "Biography, vital statistics, filmography, theatre career, interview, picture gallery, wallpaper and multimedia.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://judelaw.20fr.com/"} +{"d:Title": "Hey Jude", "d:Description": "News, galleries, filmography, downloads, articles and biography.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.angelfire.com/ny3/judelaw/"} +{"d:Title": "It's the Law", "d:Description": "Biography, filmography, photos galleries and movies posters.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.angelfire.com/celeb/judelaw/"} +{"d:Title": "Flawless - Jude Law", "d:Description": "News, biography, photo galleries, interviews and songs by Jude Law.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://flawless.free.fr/"} +{"d:Title": "Jude Law News: Topix", "d:Description": "News about Jude Law continually updated from around the net.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.topix.com/who/jude-law"} +{"d:Title": "Topix: Jude Law", "d:Description": "News about Jude Law, collected from various sources on the web.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.topix.com/rss/who/jude-law.xml"} +{"d:Title": "TalkTalk: Jude Law", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/jude-law/biography/60"} +{"d:Title": "IMDb: Jude Law", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/L/Law,_Jude", "url": "http://www.imdb.com/name/nm0000179/"} +{"d:Title": "BigBandsAndBigNames.com", "d:Description": "Editorial on actor Peter Lawford.", "topic": "Top/Arts/People/L/Lawford,_Peter", "url": "http://www.bigbandsandbignames.com/Lawford.html"} +{"d:Title": "IMDb.com - Peter Lawford", "d:Description": "Actor's filmography and trivia.", "topic": "Top/Arts/People/L/Lawford,_Peter", "url": "http://www.imdb.com/name/nm0492444/"} +{"d:Title": "Lucy Lawless Library", "d:Description": "Lucy's appearance on television, in print, and in person.", "topic": "Top/Arts/People/L/Lawless,_Lucy", "url": "http://www.warriorprincess.com/Lucy/Lucyinprint.html"} +{"d:Title": "IMDb: Lucy Lawless", "d:Description": "Includes photographs, a biography, a filmography, and external links.", "topic": "Top/Arts/People/L/Lawless,_Lucy", "url": "http://www.imdb.com/name/nm0005128/mediaindex"} +{"d:Title": "AUSXIP Lucy Lawless", "d:Description": "Offers news, biography, quotes, filmography, forums, news articles, videos and charity affiliations.", "topic": "Top/Arts/People/L/Lawless,_Lucy", "url": "http://www.lucylawless.net/"} +{"d:Title": "Lucy Lawless", "d:Description": "A short annotated biography with small pictures.", "topic": "Top/Arts/People/L/Lawless,_Lucy/Fan_Pages", "url": "http://homepages.iol.ie/~turrican/lucy.htm"} +{"d:Title": "Lucy Lawless Files - Lucy Images", "d:Description": "Thousands of Lucy images available from movies, tv shows, interviews, screencaptures, high resolution scans, magazines, newspapers and many more", "topic": "Top/Arts/People/L/Lawless,_Lucy/Image_Galleries", "url": "http://www.lucylawless.net/picturearchive/"} +{"d:Title": "IMDb.com - Andrew Lawrence (I)", "d:Description": "Photo gallery, complete filmography and biography.", "topic": "Top/Arts/People/L/Lawrence,_Andy", "url": "http://www.imdb.com/name/nm0492620/"} +{"d:Title": "Josie Lawrence Fan Club", "d:Description": "Meeting place for fans.", "topic": "Top/Arts/People/L/Lawrence,_Josie", "url": "http://groups.yahoo.com/group/josielawrence/"} +{"d:Title": "IMDb: Josie Lawrence", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/Lawrence,_Josie", "url": "http://www.imdb.com/name/nm0492856/"} +{"d:Title": "Box Office Mojo - Martin Lawrence", "d:Description": "Includes total domestic and overseas grosses, opening weekends and his Bankability 101 rating.", "topic": "Top/Arts/People/L/Lawrence,_Martin", "url": "http://www.boxofficemojo.com/people/chart/?id=martinlawrence.htm"} +{"d:Title": "IMDb.com - Matthew Lawrence", "d:Description": "Actor's filmography, television appearances and mini biography.", "topic": "Top/Arts/People/L/Lawrence,_Matthew", "url": "http://www.imdb.com/name/nm0492932/"} +{"d:Title": "ADA Sylvia Costas", "d:Description": "Biography of Lawrence and of her NYPD Blue character.", "topic": "Top/Arts/People/L/Lawrence,_Sharon", "url": "http://www.stwing.upenn.edu/~sepinwal/sylvia.txt.html"} +{"d:Title": "IMDb: Sharon Lawrence", "d:Description": "A short biography and credits.", "topic": "Top/Arts/People/L/Lawrence,_Sharon", "url": "http://www.imdb.com/name/nm0005130/"} +{"d:Title": "IMDb: Denis Lawson", "d:Description": "Filmography, biographical information, and links.", "topic": "Top/Arts/People/L/Lawson,_Denis", "url": "http://imdb.com/name/nm0493200/"} +{"d:Title": "The Official Twiggy Lawson Site", "d:Description": "Includes a biography, credits, news, photo gallery and movie information.", "topic": "Top/Arts/People/L/Lawson,_Twiggy", "url": "http://www.twiggylawson.co.uk/"} +{"d:Title": "Yahoo! Movies: Twiggy", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/L/Lawson,_Twiggy", "url": "http://movies.yahoo.com/person/twiggy/"} +{"d:Title": "AskMen.com - Twiggy", "d:Description": "Commentary on the model and actress.", "topic": "Top/Arts/People/L/Lawson,_Twiggy", "url": "http://uk.askmen.com/celebs/women/models_150/178_twiggy_lawson.html"} +{"d:Title": "IMDb: Twiggy Lawson", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/Lawson,_Twiggy", "url": "http://www.imdb.com/name/nm0493341/"} +{"d:Title": "George Lazenby", "d:Description": "Presents vital statistics, a biography, credits, and movie review.", "topic": "Top/Arts/People/L/Lazenby,_George", "url": "http://www.universalexports.net/00Lazenby.shtml"} +{"d:Title": "IMDb: George Lazenby", "d:Description": "A short biography and credits.", "topic": "Top/Arts/People/L/Lazenby,_George", "url": "http://www.imdb.com/name/nm0493872/"} +{"d:Title": "NickLea.com", "d:Description": "A very complete site devoted to actor Nicholas Lea with images, sounds, video clips, the latest news, filmography, and message board.", "priority": "1", "topic": "Top/Arts/People/L/Lea,_Nicholas", "url": "http://www.nicklea.com/"} +{"d:Title": "Nick Lea Online", "d:Description": "News, video and audio, to a long list of pictures and quotes.", "topic": "Top/Arts/People/L/Lea,_Nicholas", "url": "http://www.nickleaonline.5u.com/"} +{"d:Title": "Nicholas Lea Unplugged", "d:Description": "Fan site containing information, including biography, pictures and trivia.", "topic": "Top/Arts/People/L/Lea,_Nicholas", "url": "http://chao_xing.tripod.com/nlea/"} +{"d:Title": "The Nicholas Lea Adoration Gallery", "d:Description": "A screen capture site with over 5000 pictures of Nick.", "topic": "Top/Arts/People/L/Lea,_Nicholas", "url": "http://www.squidge.org/~xchick/nic"} +{"d:Title": "Nick_Lea.fr", "d:Description": "Nicholas Lea est surtout connu en France son interpr\u00e9tation d'Alex Krycek dans les X-Files. Mais ce merveilleux com\u00e9dien canadien a des r\u00f4les tr\u00e8s vari\u00e9s \u00e0 son actif.", "topic": "Top/Arts/People/L/Lea,_Nicholas", "url": "http://cmarillier.free.fr/nicklea/"} +{"d:Title": "Yahoo Groups: Nick Lea", "d:Description": "Sister list to the Krycek/Lea Club on yahoo. Slash friendly.", "topic": "Top/Arts/People/L/Lea,_Nicholas/Chats_and_Forums", "url": "http://groups.yahoo.com/group/nicklea/"} +{"d:Title": "TV and Movie Trivia Tribute: Cloris Leachman", "d:Description": "A page dedicated to the only actress to date (Aug. 1998) who has won five emmys in five separate categories. Includes pictures, links and merchandise.", "topic": "Top/Arts/People/L/Leachman,_Cloris", "url": "http://www.triviatribute.com/clorisleachman.html"} +{"d:Title": "TV Guide - Cloris Leachman", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Leachman,_Cloris", "url": "http://www.tvguide.com/celebrities/cloris-leachman/145244"} +{"d:Title": "Internet Movie Database: Cloris Leachman", "d:Description": "Filmography, trivia, and other details.", "topic": "Top/Arts/People/L/Leachman,_Cloris", "url": "http://www.imdb.com/name/nm0001458/"} +{"d:Title": "Wikipedia", "d:Description": "Open content encyclopedia article covering career, filmography, controversy, and charitable work.", "topic": "Top/Arts/People/L/Leary,_Denis", "url": "http://en.wikipedia.org/wiki/Denis_Leary"} +{"d:Title": "Unofficial Denis Leary Headquarters", "d:Description": "Includes a filmography, sound clips, pictures and links.", "topic": "Top/Arts/People/L/Leary,_Denis", "url": "http://dlhq.holyelvis.com/"} +{"d:Title": "IMDb: Denis Leary", "d:Description": "A short biography and credits.", "topic": "Top/Arts/People/L/Leary,_Denis", "url": "http://www.imdb.com/name/nm0001459/"} +{"d:Title": "IMDb: Matt LeBlanc", "d:Description": "Includes biography, filmography, trivia, awards, photos, articles, links and upcoming television appearances.", "topic": "Top/Arts/People/L/LeBlanc,_Matt", "url": "http://www.imdb.com/name/nm0001455/"} +{"d:Title": "Thespian Net presents Matt LeBlanc", "d:Description": "Includes a biography, movie and TV credits, articles, pictures, and links.", "topic": "Top/Arts/People/L/LeBlanc,_Matt", "url": "http://www.thespiannet.com/actors/L/leblanc_matt/index.shtml"} +{"d:Title": "Matt LeBlanc Homepage", "d:Description": "Contains basic information, photos and links. [English/Portuguese]", "topic": "Top/Arts/People/L/LeBlanc,_Matt", "url": "http://tribbiani.tripod.com/"} +{"d:Title": "IMDb: Brandy Ledford", "d:Description": "Includes filmography, mini biography, trivia, and a photo gallery.", "topic": "Top/Arts/People/L/Ledford,_Brandy", "url": "http://www.imdb.com/name/nm0496555/"} +{"d:Title": "Wikipedia: Brandy Ledford", "d:Description": "Includes biographical information, filmography, and links.", "topic": "Top/Arts/People/L/Ledford,_Brandy", "url": "http://en.wikipedia.org/wiki/Brandy_Ledford"} +{"d:Title": "Thespian Net presents Heath Ledger", "d:Description": "Includes a short biography, plus film, television, and stage credits. Includes links to articles and resources.", "topic": "Top/Arts/People/L/Ledger,_Heath", "url": "http://www.thespiannet.com/actors/L/ledger_heath/index.shtml"} +{"d:Title": "IMDb.com", "d:Description": "Offering a biography, trivia, photo gallery, and complete film and television credits for actor Heath Ledger.", "topic": "Top/Arts/People/L/Ledger,_Heath", "url": "http://www.imdb.com/name/nm0005132/"} +{"d:Title": "Ledger Delight", "d:Description": "Vital statistics, filmography, pictures, FAQs, and links for actor Heath Ledger.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://www.angelfire.com/celeb/LedgerDelight/"} +{"d:Title": "Heath Wave", "d:Description": "Heath Ledger pictures, biography, and filmography.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://www.angelfire.com/stars2/HeathLedger/"} +{"d:Title": "Heath Wave", "d:Description": "Offers a biography, filmography, pictures, and links for actor Heath Ledger.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://www.angelfire.com/celeb/heathwave/index.html"} +{"d:Title": "Ultimate Heath Ledger Experience", "d:Description": "Pictures, information, news, links and message board for Heath Ledger.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://www.angelfire.com/stars/HeathLedger/Heathmain.html"} +{"d:Title": "Heath Ledger Red", "d:Description": "Offers information, interviews, articles, images, and links.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://hl.bappy.com/hl.html"} +{"d:Title": "Hots For Heath", "d:Description": "Heath Ledger biography, filmography, news, and links.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://hotsforheath.tripod.com/hotsforheath/"} +{"d:Title": "HeathHeaven", "d:Description": "Heath Ledger filmography, vital statistics, pictures, message board, and links.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://www.angelfire.com/my/heathheaven/"} +{"d:Title": "Go Fanatical: Heath Ledger", "d:Description": "Offers a biography, filmography, message board, photo gallery, news, and links.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://www.angelfire.com/stars3/hledger/hledger.html"} +{"d:Title": "Heath Ledger Last Memories", "d:Description": "Memorial featuring a short biography, photo gallery, videos, fan memories and condolences.", "topic": "Top/Arts/People/L/Ledger,_Heath/Fan_Pages", "url": "http://heathledger.last-memories.com/"} +{"d:Title": "IMDb.com - Virginie Ledoyen", "d:Description": "Pictures, filmography and trivia.", "topic": "Top/Arts/People/L/Ledoyen,_Virginie", "url": "http://www.imdb.com/name/nm0001461/"} +{"d:Title": "Brandon Lee", "d:Description": "Small fan page containing facts and images.", "topic": "Top/Arts/People/L/Lee,_Brandon", "url": "http://www.ryansweb.8m.net/"} +{"d:Title": "Yahoo! Groups: Brandon Lee Club", "d:Description": "Discussion group for fans of the actor.", "topic": "Top/Arts/People/L/Lee,_Brandon", "url": "http://groups.yahoo.com/group/brandonleeclub/"} +{"d:Title": "IMDb: Brandon Lee (I)", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/L/Lee,_Brandon", "url": "http://www.imdb.com/name/nm0000488/"} +{"d:Title": "All About Bruce Lee", "d:Description": "Includes biography, articles, movies, books and publications, posters, and picture galleries.", "topic": "Top/Arts/People/L/Lee,_Bruce", "url": "http://www.allbrucelee.com/"} +{"d:Title": "Bruce Lee UK", "d:Description": "Club located in the UK with membership, films, images, and news.", "topic": "Top/Arts/People/L/Lee,_Bruce", "url": "http://www.brucelee.org.uk/"} +{"d:Title": "WWWF Grudge Match: Bruce Lee vs. Jackie Chan", "d:Description": "The battle of kung fu movie masters. [humor]", "topic": "Top/Arts/People/L/Lee,_Bruce", "url": "http://www.grudge-match.com/History/bruce-jackie.shtml"} +{"d:Title": "Bruce Lee Foundation", "d:Description": "Resource for those seeking authentic information about Bruce Lee and his teachings. Founded by Bruce Lee\u2019s wife, Linda Lee Cadwell and daughter, Shannon Lee Keasler.", "topic": "Top/Arts/People/L/Lee,_Bruce", "url": "http://www.bruceleefoundation.com/"} +{"d:Title": "Bruce Lee Short Film", "d:Description": "A short montage film about Bruce Lee talking about the philosophy of Jeet Kun Do.", "topic": "Top/Arts/People/L/Lee,_Bruce", "url": "http://www.partow.net/miscellaneous/brucelee.html"} +{"d:Title": "IMDb.com - Bruce Lee (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/L/Lee,_Bruce", "url": "http://www.imdb.com/name/nm0000045/"} +{"d:Title": "Rotten Tomatoes: Bruce Lee", "d:Description": "Filmography, posters and forum.", "topic": "Top/Arts/People/L/Lee,_Bruce", "url": "http://www.rottentomatoes.com/celebrity/bruce_lee/"} +{"d:Title": "Tommy's Bruce Lee Neighborhood", "d:Description": "Includes background information, video captures, and links.", "topic": "Top/Arts/People/L/Lee,_Bruce/Fan_Pages", "url": "http://members.tripod.com/~Bruce_Lee_33/index-2.html"} +{"d:Title": "Christopher Lee and Sherlock Holmes: An Overview", "d:Description": "An examination of actor Christopher Lee's many associations with the character of Sherlock Holmes.", "topic": "Top/Arts/People/L/Lee,_Christopher", "url": "http://bakerstreetdozen.com/lee.html"} +{"d:Title": "TalkTalk: Christopher Lee", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/L/Lee,_Christopher", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/christopher-lee/biography/18"} +{"d:Title": "Christopher Lee", "d:Description": "A fan's tribute with a biography, movie information and pictures.", "topic": "Top/Arts/People/L/Lee,_Christopher", "url": "http://gothlupin.tripod.com/vlee.html"} +{"d:Title": "IMDb: Christopher Lee (I)", "d:Description": "Biography, filmography and pictures.", "topic": "Top/Arts/People/L/Lee,_Christopher", "url": "http://www.imdb.com/name/nm0000489/"} +{"d:Title": "Yahoo!Groups: CKL Lovers Foundation", "d:Description": "Club for fans of the actor.", "topic": "Top/Arts/People/L/Lee,_Christopher_Khayman", "url": "http://groups.yahoo.com/group/cklloversfoundation/"} +{"d:Title": "Ranger Base Shrines", "d:Description": "Actor biography and information about the character of Andros. Also contains screencaps from various other appearances.", "topic": "Top/Arts/People/L/Lee,_Christopher_Khayman", "url": "http://www.angelfire.com/or/RangerBaseHQs/andros.html"} +{"d:Title": "/CKL", "d:Description": "Fan site for Christopher Khayman Lee with biography, filmography, images and media clips.", "topic": "Top/Arts/People/L/Lee,_Christopher_Khayman", "url": "http://johto.nu/ckl/"} +{"d:Title": "IMDb: Christopher Khayman Lee", "d:Description": "Filmography, biography and links to related sites.", "topic": "Top/Arts/People/L/Lee,_Christopher_Khayman", "url": "http://www.imdb.com/name/nm0497036/"} +{"d:Title": "TV.com: Christopher Khayman Lee", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/L/Lee,_Christopher_Khayman", "url": "http://www.tv.com/people/christopher-khayman-lee/"} +{"d:Title": "Unofficial Jason Lee Fan Page", "d:Description": "Fan site with filmography, pictures, interviews, and sounds.", "topic": "Top/Arts/People/L/Lee,_Jason", "url": "http://www.accesswave.ca/~jasonlee/"} +{"d:Title": "IMDb.com: Jason Lee", "d:Description": "Filmography, awards, biography, discussion forum, photos, and news clippings.", "topic": "Top/Arts/People/L/Lee,_Jason", "url": "http://imdb.com/name/nm0005134/"} +{"d:Title": "Jason Lee - Wikipedia", "d:Description": "Open content encyclopedia article detailing biography and filmography.", "topic": "Top/Arts/People/L/Lee,_Jason", "url": "http://en.wikipedia.org/wiki/Jason_Lee_(entertainer)"} +{"d:Title": "Sheryl Lee Homepage", "d:Description": "Sheryl Lee site containing biography, filmography, pictures, links and news.", "topic": "Top/Arts/People/L/Lee,_Sheryl", "url": "http://sherylleehomepage.free.fr/"} +{"d:Title": "IMDb: Sheryl Lee", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/People/L/Lee,_Sheryl", "url": "http://www.imdb.com/name/nm0498247/"} +{"d:Title": "A Directors Journey: Spike Lee", "d:Description": "Resources, articles and information about Spike Lee.", "topic": "Top/Arts/People/L/Lee,_Spike", "url": "http://vancouverbc.freeservers.com/lee.htm"} +{"d:Title": "Spike Lee News: Topix", "d:Description": "News about Spike Lee continually updated from around the net.", "topic": "Top/Arts/People/L/Lee,_Spike", "url": "http://www.topix.com/who/spike-lee"} +{"d:Title": "IMDb: Spike Lee", "d:Description": "Credits, awards, biography, and links.", "topic": "Top/Arts/People/L/Lee,_Spike", "url": "http://www.imdb.com/name/nm0000490/"} +{"d:Title": "Spike Lee Independent Filmmaker [1996]", "d:Description": "Transcript of a talk delivered in San Francisco, June 8, 1996.", "topic": "Top/Arts/People/L/Lee,_Spike/Interviews", "url": "http://www.inmotionmagazine.com/slee.html"} +{"d:Title": "Washington Post : Spike Lee, Holding Court [1998]", "d:Description": "About \"He Got Game\".", "topic": "Top/Arts/People/L/Lee,_Spike/Interviews", "url": "http://www.washingtonpost.com/wp-srv/style/features/lee.htm"} +{"d:Title": "The Guardian interview : Spike Lee [1999]", "d:Description": "interview transcripted in 4 pages by John Akomfrah.", "topic": "Top/Arts/People/L/Lee,_Spike/Interviews", "url": "http://www.theguardian.com/film/1999/nov/18/spikelee.guardianinterviewsatbfisouthbank3"} +{"d:Title": "eFilmCritic: The Spike Lee Interview", "d:Description": "Profile of Lee and transcript of a phone interview givent during the shooting of Bamboozled. By Billy Baxter", "topic": "Top/Arts/People/L/Lee,_Spike/Interviews", "url": "http://www.efilmcritic.com/feature.php?feature=141"} +{"d:Title": "10 burning questions for Spike Lee [2001]", "d:Description": "Page 2 asks 10 questions about basketball and baseball to the Knicks fan : Spike Lee", "topic": "Top/Arts/People/L/Lee,_Spike/Interviews", "url": "http://www.espn.com/page2/s/questions/spikelee.html"} +{"d:Title": "IMDb.com: Will Yun Lee", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/Lee,_Will_Yun", "url": "http://www.imdb.com/name/nm0498449/"} +{"d:Title": "IMDb.com: Erica Leerhsen", "d:Description": "Picture and filmography.", "topic": "Top/Arts/People/L/Leerhsen,_Erica", "url": "http://www.imdb.com/name/nm0498713/"} +{"d:Title": "Facebook: Robert Leeshock", "d:Description": "Interviews, fan fiction, pictures, information on the actor and exclusive \"fun stuff\".", "topic": "Top/Arts/People/L/Leeshock,_Robert", "url": "https://www.facebook.com/RobertLeeshock"} +{"d:Title": "Jane Leeves", "d:Description": "Interview with the popular actress.", "topic": "Top/Arts/People/L/Leeves,_Jane", "url": "http://www-personal.umich.edu/~fwu/frasier/leeves.html"} +{"d:Title": "IMDb.com - Jane Leeves", "d:Description": "Filmography, brief biography, TV roles and appearances.", "topic": "Top/Arts/People/L/Leeves,_Jane", "url": "http://www.imdb.com/name/nm0005137/"} +{"d:Title": "\"The Elements\"", "d:Description": "The famous song set to a Flash animation.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.privatehand.com/flash/elements.html"} +{"d:Title": "Stop Clapping, This Is Serious", "d:Description": "Article from the Sydney Morning Herald examines his views on the current state of politics, comedy, and satire.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.smh.com.au/articles/2003/02/28/1046407753895.html"} +{"d:Title": "Tom Lehrer", "d:Description": "List of songs with full text.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.iankitching.me.uk/humour/lehrer/"} +{"d:Title": "A Conversation with Tom Lehrer", "d:Description": "Paul D. Lehrman interviews Lehrer about his recording career.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.paul-lehrman.com/insider/1997/12insiderfulltext.html"} +{"d:Title": "Wikipedia: Tom Lehrer", "d:Description": "Encyclopedia entry provides biographical information and links.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://en.wikipedia.org/wiki/Tom_Lehrer"} +{"d:Title": "Tom Lehrer", "d:Description": "Profile, lyrics, discussion, writings, a FAQ, and links.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.casualhacker.net/tom.lehrer/"} +{"d:Title": "Internet Archive: Tom Lehrer", "d:Description": "Video of a 1997 performance of five mathematics-related songs.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.archive.org/details/lehrer"} +{"d:Title": "That Was the Wit That Was", "d:Description": "SF Weekly article covers his life and career.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.sfweekly.com/2000-04-19/news/that-was-the-wit-that-was/"} +{"d:Title": "Tom Lehrer", "d:Description": "Includes a discography, biography, lyrics, and historical tour dates.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://dmdb.org/lehrer/"} +{"d:Title": "All Music Guide: Tom Lehrer", "d:Description": "Biography, discography, and reviews.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://www.allmusic.com/artist/tom-lehrer-mn0000611877"} +{"d:Title": "Tom Lehrer Music Community", "d:Description": "LiveJournal community for discussions and links to video, audio, and pictures.", "topic": "Top/Arts/People/L/Lehrer,_Tom", "url": "http://tomlehrer.livejournal.com/"} +{"d:Title": "IMDb: Hudson Leick", "d:Description": "The Internet Movie Database includes her filmography, biographical information, and links.", "topic": "Top/Arts/People/L/Leick,_Hudson", "url": "http://www.imdb.com/name/nm0500098/"} +{"d:Title": "IMDb.com - Chyler Leigh", "d:Description": "Filmography, television appearances and trivia.", "topic": "Top/Arts/People/L/Leigh,_Chyler", "url": "http://www.imdb.com/name/nm0500200/"} +{"d:Title": "NPR : Remembering Actress Janet Leigh", "d:Description": "Fresh Air interview from 1999 with the actress, in Real or Windows formats. Focuses primarily on the movie Psycho.", "topic": "Top/Arts/People/L/Leigh,_Janet", "url": "http://www.npr.org/templates/story/story.php?storyId=4060794"} +{"d:Title": "Reel Classics - Janet Leigh", "d:Description": "Information about the actress and her film career.", "priority": "1", "topic": "Top/Arts/People/L/Leigh,_Janet", "url": "http://reelclassics.com/Actresses/Janet_Leigh/jleigh.htm"} +{"d:Title": "IMDb.com - Janet Leigh", "d:Description": "Filmography, picture and mini biography.", "topic": "Top/Arts/People/L/Leigh,_Janet", "url": "http://www.imdb.com/name/nm0001463/"} +{"d:Title": "IMDb.com - Jennifer Jason Leigh", "d:Description": "Photo gallery, filmography and biography.", "topic": "Top/Arts/People/L/Leigh,_Jennifer_Jason", "url": "http://www.imdb.com/name/nm0000492/"} +{"d:Title": "Filmbug - Jennifer Jason Leigh", "d:Description": "Short commentary of career highlights.", "topic": "Top/Arts/People/L/Leigh,_Jennifer_Jason", "url": "http://www.filmbug.com/db/316"} +{"d:Title": "Vivien Leigh Fan Club", "d:Description": "Pictures, biography, and fan fiction.", "topic": "Top/Arts/People/L/Leigh,_Vivien", "url": "http://www.angelfire.com/ct2/vivienleigh/index.html"} +{"d:Title": "Vivien Leigh: The Last Press Conference", "d:Description": "A look at the critically acclaimed one-woman show complete with reviews, biographies, a detailed production report and contact information.", "topic": "Top/Arts/People/L/Leigh,_Vivien", "url": "http://www.vivien.com/"} +{"d:Title": "Vivien Leigh News: Topix", "d:Description": "News about Vivien Leigh continually updated from around the net.", "topic": "Top/Arts/People/L/Leigh,_Vivien", "url": "http://www.topix.com/who/vivien-leigh"} +{"d:Title": "Everything Laura Leighton", "d:Description": "Dedicated to Laura Leighton of Melrose Place, with biography and picture gallery.", "topic": "Top/Arts/People/L/Leighton,_Laura", "url": "http://laura_leighton.tripod.com/"} +{"d:Title": "IMDb.com - Jeremy Lelliott", "d:Description": "Mini biography and filmography.", "topic": "Top/Arts/People/L/Lelliott,_Jeremy", "url": "http://www.imdb.com/name/nm0500969/"} +{"d:Title": "My Day with Jack Lemmon", "d:Description": "An actor's experiences during the making of My Fellow Americans.", "topic": "Top/Arts/People/L/Lemmon,_Jack", "url": "http://www.healthynewage.com/lemmon.html"} +{"d:Title": "Dreaming of Jack Lemmon", "d:Description": "Mary Jo Melone's tribute to the actor. From the St. Petersburg Times.", "topic": "Top/Arts/People/L/Lemmon,_Jack", "url": "http://www.sptimes.com/News/070101/Columns/Dreaming_of_Jack_Lemm.shtml"} +{"d:Title": "Screen Star Jack Lemmon Dies", "d:Description": "Article from BBC News.", "topic": "Top/Arts/People/L/Lemmon,_Jack", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1411585.stm"} +{"d:Title": "IMDb: Jack Lemmon", "d:Description": "Profile, filmography, and notable TV guest appearances.", "topic": "Top/Arts/People/L/Lemmon,_Jack", "url": "http://www.imdb.com/name/nm0000493/"} +{"d:Title": "Jack Lemmon News: Topix", "d:Description": "News about Jack Lemmon continually updated from around the net.", "topic": "Top/Arts/People/L/Lemmon,_Jack", "url": "http://www.topix.com/who/jack-lemmon"} +{"d:Title": "Duncan Campbell on Jack Lemmon, A 'Beautiful Actor'", "d:Description": "Obituary from The Guardian.", "topic": "Top/Arts/People/L/Lemmon,_Jack", "url": "http://www.theguardian.com/news/2001/jun/29/guardianobituaries.filmnews1"} +{"d:Title": "Actor Jack Lemmon Dead at 76: Something Essential About Postwar America", "d:Description": "Article from the World Socialist Web Site.", "topic": "Top/Arts/People/L/Lemmon,_Jack", "url": "http://www.wsws.org/en/articles/2001/07/lemm-j03.html"} +{"d:Title": "Sarek", "d:Description": "Contains pictures and sound clips.", "topic": "Top/Arts/People/L/Lenard,_Mark", "url": "http://www.sherylfranklin.com/sarek.html"} +{"d:Title": "IMDb.com - Mark Lenard", "d:Description": "Actor's filmography, television appearances and trivia.", "topic": "Top/Arts/People/L/Lenard,_Mark", "url": "http://www.imdb.com/name/nm0501697/"} +{"d:Title": "Jarrett Lennon", "d:Description": "Includes resumes for theatrical, commercial, and other work. Biography and photographs.", "topic": "Top/Arts/People/L/Lennon,_Jarrett", "url": "http://www.jarrettlennon.com/"} +{"d:Title": "Jay Leno News: Topix", "d:Description": "News about Jay Leno continually updated from around the net.", "topic": "Top/Arts/People/L/Leno,_Jay", "url": "http://www.topix.com/who/jay-leno"} +{"d:Title": "Biography.com - Jay Leno", "d:Description": "Synopsis of the performer's career and personal details.", "topic": "Top/Arts/People/L/Leno,_Jay", "url": "http://www.biography.com/people/jay-leno-9542191"} +{"d:Title": "Sony: Lotte Lenya", "d:Description": "Biography and discography.", "topic": "Top/Arts/People/L/Lenya,_Lotte", "url": "http://www.sonymasterworks.com/artists/lottelenya/"} +{"d:Title": "IMDB: Lotte Lenya", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/Lenya,_Lotte", "url": "http://www.imdb.com/name/nm0502322/"} +{"d:Title": "IMDb: Joie Lenz", "d:Description": "A filmography and facts.", "topic": "Top/Arts/People/L/Lenz,_Joie", "url": "http://www.imdb.com/name/nm0502342/"} +{"d:Title": "IMDb: Melissa Leo", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/L/Leo,_Melissa", "url": "http://www.imdb.com/name/nm0502425/"} +{"d:Title": "IMDb.com - T\u00e9a Leoni", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/People/L/Leoni,_T\u00e9a", "url": "http://www.imdb.com/name/nm0000495/"} +{"d:Title": "Tea Online", "d:Description": "Fan page includes magazine covers, pictures, interviews, articles.", "topic": "Top/Arts/People/L/Leoni,_T\u00e9a/Fan_Pages", "url": "http://tealeonionline.50megs.com/index.html"} +{"d:Title": "IMDb: Richard LeParmentier", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/LeParmentier,_Richard", "url": "http://imdb.com/name/nm0503236/"} +{"d:Title": "LawrenceLeritz.com", "d:Description": "Information, pictures and links.", "topic": "Top/Arts/People/L/Leritz,_Lawrence", "url": "http://www.lawrenceleritz.com/"} +{"d:Title": "IMDb.com - Lawrence R. Leritz", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/L/Leritz,_Lawrence", "url": "http://www.imdb.com/name/nm0004328/"} +{"d:Title": "Ken Lerner Acting Studio, The", "d:Description": "Information about the 25 year acting career of Ken Lerner, who has worked in movies, television and the stage.", "topic": "Top/Arts/People/L/Lerner,_Ken", "url": "http://kenlerner.com/"} +{"d:Title": "Thespian Net: Ken Lerner", "d:Description": "Includes a short biography, a filmography and links.", "topic": "Top/Arts/People/L/Lerner,_Ken", "url": "http://www.thespiannet.com/actors/L/lerner_ken/index.shtml"} +{"d:Title": "Anton Lesser Newsgroup", "d:Description": "For discussion of Anton's work.", "topic": "Top/Arts/People/L/Lesser,_Anton", "url": "http://groups.yahoo.com/group/AntonLesser"} +{"d:Title": "Lesserland", "d:Description": "Introduction to Lesser's work. Includes credits, galleries and links.", "topic": "Top/Arts/People/L/Lesser,_Anton", "url": "http://www.burninggold.net/antonlesser/antonlesser/"} +{"d:Title": "IMDb: Anton Lesser", "d:Description": "A filmography.", "topic": "Top/Arts/People/L/Lesser,_Anton", "url": "http://www.imdb.com/name/nm0504320/"} +{"d:Title": "IMDb: Mark Lester", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/L/Lester,_Mark", "url": "http://www.imdb.com/name/nm0504492/"} +{"d:Title": "WWWF Grudge Match: David Letterman vs. Jay Leno", "d:Description": "The battle for late night talk show supremacy. Visitors can add their two cents as to who they think is the true king of late night TV.", "topic": "Top/Arts/People/L/Letterman,_David", "url": "http://www.grudge-match.com/History/letterman-leno.shtml"} +{"d:Title": "DDY's Late Show Fan Page", "d:Description": "Links to Letterman fan sites, plus photo galleries and headline news.", "topic": "Top/Arts/People/L/Letterman,_David", "url": "http://www.ddy.com/dl3.html"} +{"d:Title": "The Love Calculator", "d:Description": "Martha Stewart and David Letterman's relationship score.", "topic": "Top/Arts/People/L/Letterman,_David", "url": "http://www.lovecalculator.com/love.php?name1=Martha+Stewart&name2=David+Letterman"} +{"d:Title": "David Letterman News: Topix", "d:Description": "News about David Letterman continually updated from around the net.", "topic": "Top/Arts/People/L/Letterman,_David", "url": "http://www.topix.com/who/david-letterman"} +{"d:Title": "Topix: David Letterman", "d:Description": "News about David Letterman, collected from various sources on the web", "topic": "Top/Arts/People/L/Letterman,_David", "url": "http://www.topix.com/rss/who/david-letterman.xml"} +{"d:Title": "The Internet Movie Database: David Letterman", "d:Description": "David Letterman's filmography at IMDb, including a listing of TV and movie roles and appearances.", "topic": "Top/Arts/People/L/Letterman,_David", "url": "http://www.imdb.com/name/nm0001468/"} +{"d:Title": "Jade Leung Film Reviews", "d:Description": "An overview of Leung's movies with short reviews and a picture gallery.", "topic": "Top/Arts/People/L/Leung,_Jade", "url": "http://www.brns.com/pages/jaderev.html"} +{"d:Title": "Jade Leung Pictures", "d:Description": "A gallery with five pages of magazine photos, movies stills, and other images.", "topic": "Top/Arts/People/L/Leung,_Jade", "url": "http://www.brns.com/pages2/jadepic1.html"} +{"d:Title": "IMDb: Jade Leung", "d:Description": "The Internet Movie Database includes movie credits and links.", "topic": "Top/Arts/People/L/Leung,_Jade", "url": "http://www.imdb.com/name/nm0504949/"} +{"d:Title": "Jenn's Tony Leung Chiu Wai's Page", "d:Description": "Includes news, links, and photos.", "topic": "Top/Arts/People/L/Leung_Chiu-Wai,_Tony", "url": "http://leungcw.8m.com/"} +{"d:Title": "TotallyPatrick", "d:Description": "Pictures, biography, and other related information about the actor.", "topic": "Top/Arts/People/L/Levis,_Patrick", "url": "http://totallypatrick.tripod.com/"} +{"d:Title": "Patrick Levis Replies", "d:Description": "Site for those who have received responses to fan mail sent to the actor. Includes graphics, surveys, and other information.", "topic": "Top/Arts/People/L/Levis,_Patrick", "url": "http://www.angelfire.com/la2/patricklevis/"} +{"d:Title": "IMDb: Eugene Levy", "d:Description": "Filmography, profile, pictures, notable television appearances, and writing, directing, and producing credits.", "topic": "Top/Arts/People/L/Levy,_Eugene", "url": "http://www.imdb.com/name/nm0506405/"} +{"d:Title": "Canoe.ca: Eugene Levy", "d:Description": "Four articles from 2001 and 2002, in which Levy discusses his role in American Pie, Second City, and starting out in the business.", "topic": "Top/Arts/People/L/Levy,_Eugene", "url": "http://jam.canoe.com/Movies/Artists/L/Levy_Eugene/"} +{"d:Title": "IMDb.com - James Lew", "d:Description": "Filmography and appearances.", "topic": "Top/Arts/People/L/Lew,_James", "url": "http://www.imdb.com/name/nm0506699/"} +{"d:Title": "Greens.org", "d:Description": "Grandpa Al Lewis runs for Governor of New York.", "topic": "Top/Arts/People/L/Lewis,_Al", "url": "http://www.greens.org/s-r/17/17-02.html"} +{"d:Title": "The Munsters - Al Lewis", "d:Description": "Biography of television star.", "topic": "Top/Arts/People/L/Lewis,_Al", "url": "http://www.munsters.com/al_lewis.php"} +{"d:Title": "IMDb.com - Al Lewis", "d:Description": "Actor's filmography, mini biography, television roles and appearances.", "topic": "Top/Arts/People/L/Lewis,_Al", "url": "http://www.imdb.com/name/nm0506891/"} +{"d:Title": "Colin's Un-official Charlotte Lewis Home Page", "d:Description": "Fan site with biography, filmography, and photo gallery.", "topic": "Top/Arts/People/L/Lewis,_Charlotte", "url": "http://www.users.globalnet.co.uk/~thoth/clewis/chrlotte.htm"} +{"d:Title": "IMDb.com - Charlotte Lewis", "d:Description": "Filmography, biography, and pictures.", "topic": "Top/Arts/People/L/Lewis,_Charlotte", "url": "http://www.imdb.com/name/nm0001470/"} +{"d:Title": "The Real Estalker: Jeff Lewis Rides Again", "d:Description": "Includes commentary and photos.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://realestalker.blogspot.com/2008/05/jeff-lewis-rides-again.html"} +{"d:Title": "NYDailyNews: 'Flipping Out's Jeff Lewis has a Topsy-Turvy Life", "d:Description": "\"Flipping Out's\" Jeff Lewis is about to have the tables flipped on him. The man who's become infamous for micromanaging his employees now has to relinquish his power as boss and work for clients who may be even more demanding then he is. By Cristina Kinon.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://www.nydailynews.com/entertainment/tv/2008/06/17/2008-06-17_flipping_outs_jeff_lewis_has_a_topsyturv.html"} +{"d:Title": "ABC News: Jeff Lewis Is 'Flipping Out'", "d:Description": "It's his knack for the little things that scored Jeff Lewis his own reality show on Bravo called \"Flipping Out,\" all about the reality of realty. By Mary Fulginiti and Steven Baker.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://abcnews.go.com/Nightline/story?id=3566840&page=1"} +{"d:Title": "OrganizingLA Blog: Jeff Lewis of Flipping Out", "d:Description": "Jeff Lewis of Bravo TVs Flipping Out, is just so much fun. He's crazy, and obsessively compulsive, and just the kind of person we love to hate.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://www.organizingla.com/organizingla_blog/2007/09/jeff-lewis-of-f.html"} +{"d:Title": "The Big Idea Blog: Jeff Lewis", "d:Description": "Features video clip.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://www.cnbc.com/id/25840754?__source=RSS*blog*&par=RSS"} +{"d:Title": "TVGuide.com: Jeff Lewis Gets Real on Flipping Real Estate", "d:Description": "With the housing market such a mess, we hit up neat-freak real-estate guru Jeff Lewis of Flipping Out for his top tips on how to sell your home without, well, flipping out. By Damian Holbrook.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://www.tvguide.com/celebrities/jeff-lewis/243498"} +{"d:Title": "Jeff Lewis", "d:Description": "Official site provides service information, gallery, auction, biography, press, and contact details.", "priority": "1", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://www.jefflewisdesign.com/"} +{"d:Title": "The Backlot: Interview With Jeff Lewis of Flipping Out", "d:Description": "We recently had the chance to chat with Jeff about the show, living with OCD, and pet integrators. By Josh Aterovis.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://www.thebacklot.com/interview-with-jeff-lewis-of-flipping-out/08/2007/"} +{"d:Title": "OCRegister.com: Life - When He's Not Flipping Houses, He's Flipping Out", "d:Description": "\"You can have people tell you how you are, but until you see yourself on a 40-inch plasma TV, you really can't know,\" says Lewis, 37, who grew up in Orange County. By P. Larsen.", "topic": "Top/Arts/People/L/Lewis,_Jeff", "url": "http://www.ocregister.com/articles/lewis-64908-says-percent.html"} +{"d:Title": "SurfNetKids.com - Jerry Lewis", "d:Description": "The best Jerry Lewis sites, rated and reviewed by columnist, Barbara J. Feldman.", "topic": "Top/Arts/People/L/Lewis,_Jerry", "url": "http://www.surfnetkids.com/jerrylewis.htm"} +{"d:Title": "TV Guide - Jerry Lewis", "d:Description": "Current month television schedule.", "topic": "Top/Arts/People/L/Lewis,_Jerry", "url": "http://www.tvguide.com/celebrities/jerry-lewis/156819"} +{"d:Title": "Salon.com: Jerry Lewis Speaks the Truth", "d:Description": "Article written by Lorenzo W. Milam.", "topic": "Top/Arts/People/L/Lewis,_Jerry", "url": "http://www.salon.com/2001/06/06/lewis_7/"} +{"d:Title": "IMDb.com - Juliette Lewis", "d:Description": "Photo gallery, complete filmography, and brief biography.", "topic": "Top/Arts/People/L/Lewis,_Juliette", "url": "http://www.imdb.com/name/nm0000496/"} +{"d:Title": "Grainy Pictures, Inc. - 1999 Independent Spirit Awards", "d:Description": "An \"alternate\" script for Vicki Lewis's presentation with Randy Quaid.", "topic": "Top/Arts/People/L/Lewis,_Vicki", "url": "http://www.grainypictures.com/spirits99/"} +{"d:Title": "Paul Leyden Fan Club", "d:Description": "An unofficial fan club for fans of Paul Leyden - Simon Frasier on As The World Turns.", "topic": "Top/Arts/People/L/Leyden,_Paul", "url": "http://www.angelfire.com/celeb/paulleyden/"} +{"d:Title": "Paul Leyden Online", "d:Description": "The Official site for the actor. Includes pictures, news, biography and scripts.", "topic": "Top/Arts/People/L/Leyden,_Paul", "url": "http://www.paulleydenonline.com/"} +{"d:Title": "Tony Le Nguyen, Vietnamese-Australian Actor/Writer/Director", "d:Description": "Fan site with filmography, biography, and updated news.", "topic": "Top/Arts/People/L/Le_Nguyen,_Tony", "url": "http://nghesi.tripod.com/tln/"} +{"d:Title": "Jet Li's Birthday Site", "d:Description": "There is a personal message from Jet. Tributes and messages to Jet from fans all over the world. Jet's story is also featured.", "topic": "Top/Arts/People/L/Li,_Jet", "url": "http://jetlifanworks.tripod.com/"} +{"d:Title": "Official Jet Li Web Site, The", "d:Description": "Learn more about Jet Li and his life, send fan mail or post on the message boards. Downloads, merchandise, postcards and even personal messages from Jet.", "topic": "Top/Arts/People/L/Li,_Jet", "url": "http://www.jetli.com/"} +{"d:Title": "Half a Loaf of Jet Li", "d:Description": "News, profile, reviews, women in his movies, and links.", "topic": "Top/Arts/People/L/Li,_Jet", "url": "http://www.angelfire.com/tx2/tommyc/index.html"} +{"d:Title": "A Tribute to Jet Li", "d:Description": "Information, pictures, movie reviews, and links.", "topic": "Top/Arts/People/L/Li,_Jet", "url": "http://msb87.tripod.com/JetLi.html"} +{"d:Title": "Rotten Tomatoes: Jet Li", "d:Description": "Filmography, reviews, posters, news, and forum. Updated regularly.", "topic": "Top/Arts/People/L/Li,_Jet", "url": "http://www.rottentomatoes.com/celebrity/jet_li/"} +{"d:Title": "Filmbug - Jet Li", "d:Description": "Picture and short commentary on actor.", "topic": "Top/Arts/People/L/Li,_Jet", "url": "http://www.filmbug.com/db/3745"} +{"d:Title": "Liberace: The Days of the Understated Suit", "d:Description": "An account of Liberace's successful libel suit against London's Daily Mirror, excerpted from the biography by Darden Asbury Pyron.", "topic": "Top/Arts/People/L/Liberace", "url": "http://www.press.uchicago.edu/Misc/Chicago/686671.html"} +{"d:Title": "IMDb: Jeffrey Licon", "d:Description": "Filmography, including television and guest appearances.", "topic": "Top/Arts/People/L/Licon,_Jeffrey", "url": "http://www.imdb.com/name/nm0509131/"} +{"d:Title": "Jennifer Lien - Beyond the Sub-Atomic", "d:Description": "One of the better fanpages, with pictures and links.", "topic": "Top/Arts/People/L/Lien,_Jennifer", "url": "http://www.tiara.demon.nl/jennifer-lien/"} +{"d:Title": "Jennifer Lien Message Board", "d:Description": "E-mail subscription list to discuss anything and everything related to the actress. Listserv archives also available at this link.", "topic": "Top/Arts/People/L/Lien,_Jennifer", "url": "http://groups.yahoo.com/group/jennifer-lien-news/messages"} +{"d:Title": "Jennifer Lien Guide, The", "d:Description": "Includes pictures and links.", "topic": "Top/Arts/People/L/Lien,_Jennifer", "url": "https://notendur.hi.is/~pallj/jennifer/"} +{"d:Title": "Jimmy Lin's Home", "d:Description": "Includes a profile, pictures, a chat room, forum and links.", "topic": "Top/Arts/People/L/Lin,_Jimmy", "url": "http://www.angelfire.com/stars/myalecsu/jimmy.html"} +{"d:Title": "Ruby Valley", "d:Description": "A Chinese fan site about Ruby Lin.", "topic": "Top/Arts/People/L/Lin,_Ruby", "url": "http://rubyvalley.tripod.com/"} +{"d:Title": "Thespian Net Presents Robert Lindsay", "d:Description": "Credits and links.", "topic": "Top/Arts/People/L/Lindsay,_Robert", "url": "http://www.thespiannet.com/actors/L/lindsay_robert/index.shtml"} +{"d:Title": "IMDb: Robert Lindsay", "d:Description": "Filmography, trivia, a message board and links.", "topic": "Top/Arts/People/L/Lindsay,_Robert", "url": "http://imdb.com/name/nm0512305/"} +{"d:Title": "Robert Lindsay.Net", "d:Description": "Official site providing a biography, credits, photographs, details of awards and nominations, news items, contact information and a list of records selected by the actor during his appearance on 'Desert Island Discs'.", "topic": "Top/Arts/People/L/Lindsay,_Robert", "url": "http://www.robertlindsay.net/"} +{"d:Title": "BBC: Robert Lindsay", "d:Description": "Biography and career overview.", "topic": "Top/Arts/People/L/Lindsay,_Robert", "url": "http://www.bbc.co.uk/derby/features/famous_derby/robert_lindsay.shtml"} +{"d:Title": "Azurite's Robert Lindsay Page", "d:Description": "Fan tribute including a filmography, screen captures, publicity photographs and scanned material.", "topic": "Top/Arts/People/L/Lindsay,_Robert", "url": "http://www.angelfire.com/zine/azurite/robertlindsay.html"} +{"d:Title": "Robert Lindsay", "d:Description": "Email discussion forum for fans. [Registration required].", "topic": "Top/Arts/People/L/Lindsay,_Robert", "url": "http://movies.groups.yahoo.com/group/robertlindsay/"} +{"d:Title": "George \"Goober\" Lindsey", "d:Description": "Offers a biography, press release and interviews.", "topic": "Top/Arts/People/L/Lindsey,_George", "url": "http://www.imayberry.com/tagsrwc/georgelindsey/"} +{"d:Title": "IMDb - Graham Linehan", "d:Description": "Notable writing and directing credits, with a message board, links and details of awards won by the writer.", "topic": "Top/Arts/People/L/Linehan,_Graham", "url": "http://www.imdb.com/name/nm0512627/"} +{"d:Title": "Wikipedia - Graham Linehan", "d:Description": "Offers a concise career overview with links.", "topic": "Top/Arts/People/L/Linehan,_Graham", "url": "http://en.wikipedia.org/wiki/Graham_Linehan"} +{"d:Title": "Guardian: High Priest of Corn", "d:Description": "Concise interview in which the writer discusses Mac ownership, and the general merits of the online world. Conducted by Hamish Mackintosh.", "topic": "Top/Arts/People/L/Linehan,_Graham", "url": "http://www.theguardian.com/technology/1999/mar/04/onlinesupplement"} +{"d:Title": "The Guardian - Funny, strange", "d:Description": "Interview on the subject of \"Black Books\". Conducted by Maxton Walker.", "topic": "Top/Arts/People/L/Linehan,_Graham", "url": "http://www.theguardian.com/media/2000/sep/29/tvandradio.television1"} +{"d:Title": "Channel4.com - Graham Linehan and Dylan Moran", "d:Description": "Interview concentrating on series 1 of \"Black Books\".", "topic": "Top/Arts/People/L/Linehan,_Graham", "url": "http://www.channel4.com/programmes/black-books/articles/all/dylan-moran-and-graham-linehan-interview"} +{"d:Title": "The Movie Times - Laura Linney", "d:Description": "Includes statistics, photos, box office information and a message board.", "topic": "Top/Arts/People/L/Linney,_Laura", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?llinney"} +{"d:Title": "IMDb: Laura Linney", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/Linney,_Laura", "url": "http://www.imdb.com/name/nm0001473/"} +{"d:Title": "IMdb.com - Alex D. Linz", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/L/Linz,_Alex_D.", "url": "http://www.imdb.com/name/nm0513281/"} +{"d:Title": "Thespian Net presents Ray Liotta", "d:Description": "Includes biography, movie credits, pictures, and links.", "topic": "Top/Arts/People/L/Liotta,_Ray", "url": "http://www.thespiannet.com/actors/L/liotta_ray/index.shtml"} +{"d:Title": "TV Guide - Ray Liotta", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Liotta,_Ray", "url": "http://www.tvguide.com/celebrities/ray-liotta/170974"} +{"d:Title": "IMDb: Ray Liotta", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/L/Liotta,_Ray", "url": "http://www.imdb.com/name/nm0000501/"} +{"d:Title": "Maureen Lipman", "d:Description": "Biography, and photos.", "topic": "Top/Arts/People/L/Lipman,_Maureen", "url": "http://www.tmaw.co.uk/maureenl.html"} +{"d:Title": "BBC News: Doctor Is Cure for 'Alien' Lipman", "d:Description": "Article discussing her role in 'Doctor Who'.", "topic": "Top/Arts/People/L/Lipman,_Maureen", "url": "http://news.bbc.co.uk/1/hi/wales/5033144.stm"} +{"d:Title": "BBC Norfolk: An Audience With Maureen Lipman", "d:Description": "Video interview given during her visit to Norwich's Assembly House.", "topic": "Top/Arts/People/L/Lipman,_Maureen", "url": "http://www.bbc.co.uk/norfolk/content/articles/2006/07/17/arts_maureen_lipman_july06_feature.shtml"} +{"d:Title": "IMDb: Maureen Lipman", "d:Description": "Filmography, trivia, photographs and a message board.", "topic": "Top/Arts/People/L/Lipman,_Maureen", "url": "http://www.imdb.com/name/nm0513520/"} +{"d:Title": "John Lithgow", "d:Description": "Lithgow's filmography at IMDb.", "topic": "Top/Arts/People/L/Lithgow,_John", "url": "http://www.imdb.com/name/nm0001475/"} +{"d:Title": "Filmbug - John Lithgow", "d:Description": "Short commentary detailing career highlights and current projects.", "topic": "Top/Arts/People/L/Lithgow,_John", "url": "http://www.filmbug.com/db/1398"} +{"d:Title": "Comedians USA: Rich Little", "d:Description": "Biography, photograph, and booking information.", "topic": "Top/Arts/People/L/Little,_Rich", "url": "http://www.comediansusa.com/Rich-Little"} +{"d:Title": "IMDb: Rich Little (I)", "d:Description": "Filmography, photographs, trivia, and other career details.", "topic": "Top/Arts/People/L/Little,_Rich", "url": "http://www.imdb.com/name/nm0514648/"} +{"d:Title": "Cincinnati Enquirer: Little Earns Big Laughs", "d:Description": "Performance review by Nicole Hamilton.", "topic": "Top/Arts/People/L/Little,_Rich/Articles_and_Interviews", "url": "http://enquirer.com/editions/2000/06/26/loc_little_earns_big.html"} +{"d:Title": "Post-Gazette: Rich Little Delivers Big Pops Performance", "d:Description": "Concert review by Rebecca Redshaw.", "topic": "Top/Arts/People/L/Little,_Rich/Articles_and_Interviews", "url": "http://old.post-gazette.com/magazine/19991112pops6.asp"} +{"d:Title": "IMDb.com - Lucy Liu", "d:Description": "Complete filmography, biography, and photos.", "topic": "Top/Arts/People/L/Liu,_Lucy", "url": "http://www.imdb.com/name/nm0005154/"} +{"d:Title": "Lucy Liu", "d:Description": "Photos and wallpaper.", "topic": "Top/Arts/People/L/Liu,_Lucy/Image_Galleries", "url": "http://www.angelfire.com/celeb/nmd17/liu.html"} +{"d:Title": "Thespian Net presents Eric Lively", "d:Description": "A filmography and links.", "topic": "Top/Arts/People/L/Lively,_Eric", "url": "http://www.thespiannet.com/actors/L/lively_eric/index.shtml"} +{"d:Title": "Saul Lizaso", "d:Description": "A fan site dedicated to an Argentinean actor Saul Lizaso. Includes photos, a biography and articles. [Also in Spanish]", "topic": "Top/Arts/People/L/Lizaso,_Sa\u00fal", "url": "http://saullizaso.tripod.com/"} +{"d:Title": "Robert Llewellyn Launches His Own Online Comedy Channel", "d:Description": "News from PRWeb about Robert launching (with Jonathan Cole) BWebB.", "topic": "Top/Arts/People/L/Llewellyn,_Robert", "url": "http://www.prweb.com/releases/2000/09/prweb18330.htm"} +{"d:Title": "IMDB: Robert Llewellyn", "d:Description": "Details about Robert's TV and film appearances as detailed by the Internet Movie Database.", "topic": "Top/Arts/People/L/Llewellyn,_Robert", "url": "http://www.imdb.com/name/nm0515753/"} +{"d:Title": "WWWF Ground Zero: Q vs. Inspector Gadget", "d:Description": "The battle of the gadgeteers. [Humor]", "topic": "Top/Arts/People/L/Llewelyn,_Desmond", "url": "http://www.grudge-match.com/History/q_gadget.shtml"} +{"d:Title": "Another Unofficial Christopher Lloyd Web Site", "d:Description": "All the information on Christopher Lloyd that you could ask for, including a filmography, guide to Taxi and ways to meet other fans.", "topic": "Top/Arts/People/L/Lloyd,_Christopher", "url": "http://www.christopherlloyd.net/"} +{"d:Title": "Christopher Lloyd", "d:Description": "Vital statistics, photos, box office information, a message board and links.", "topic": "Top/Arts/People/L/Lloyd,_Christopher", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?clloyd"} +{"d:Title": "IMDb: Christopher Lloyd (I)", "d:Description": "Credits and a short biography.", "topic": "Top/Arts/People/L/Lloyd,_Christopher", "url": "http://www.imdb.com/name/nm0000502/"} +{"d:Title": "Harold Lloyd", "d:Description": "Filmography, biography and photographs from the Internet Movie Database.", "topic": "Top/Arts/People/L/Lloyd,_Harold", "url": "http://www.imdb.com/name/nm0516001/"} +{"d:Title": "Harold Lloyd", "d:Description": "Collection of photographs, lobby cards and posters from various films.", "topic": "Top/Arts/People/L/Lloyd,_Harold", "url": "http://www.picking.com/harold.html"} +{"d:Title": "Harold Lloyd", "d:Description": "Biography and filmography from the All Movie Guide.", "topic": "Top/Arts/People/L/Lloyd,_Harold", "url": "http://www.allmovie.com/artist/harold-lloyd-p99974"} +{"d:Title": "Jake Lloyd Links", "d:Description": "Collection of related sites.", "topic": "Top/Arts/People/L/Lloyd,_Jake", "url": "http://www.angelfire.com/al2/jakelloydlinks1/"} +{"d:Title": "Andrew Lloyd Webber", "d:Description": "Fan page, including a biography.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.angelfire.com/wa/alw/"} +{"d:Title": "Rockmagic.net - Andrew Lloyd Webber", "d:Description": "Song lyrics indexed by album and alphabetically.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://lyrics.rockmagic.net/lyrics/webber_andrew_lloyd/"} +{"d:Title": "Andrew Lloyd Webber: Musical for Stage and Screen:", "d:Description": "Offers biography, list of major works, reviews and suggestions for further information and listening.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.mfiles.co.uk/composers/Andrew-Lloyd-Webber.htm"} +{"d:Title": "BBC News: Lloyd Webber Gets Hungary Honour", "d:Description": "Composer Andrew Lloyd Webber is given a high honour by Hungarian Prime Minister Ferenc Gyurcsany.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://news.bbc.co.uk/2/hi/entertainment/4595721.stm"} +{"d:Title": "Andrew Lloyd Webber: From Superstar to Requiem", "d:Description": "Article from Christian Century.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.religion-online.org/showarticle.asp?title=1011"} +{"d:Title": "BBC News: Lloyd Webber's Bollywood Dream", "d:Description": "Composer Andrew Lloyd Webber is to team up with two of the best known names in the Indian film industry for his next musical.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://news.bbc.co.uk/1/hi/world/south_asia/669171.stm"} +{"d:Title": "BBC News: Lloyd-Webber Calls For Tax Breaks", "d:Description": "Composer Andrew Lloyd Webber urges young theatre writers to take more risks and calls for theatre tax breaks.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://news.bbc.co.uk/1/hi/entertainment/4762193.stm"} +{"d:Title": "Forbes.com: The Top 100 Celebrities", "d:Description": "Andrew Lloyd Webber ranked 45 among The Top 100 Celebrities In 2006.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.forbes.com/lists/2006/53/EB4S.html"} +{"d:Title": "Theage.com.au: Lloyd Webber Sells Geelong Masterpiece", "d:Description": "Famed British musical composer Andrew Lloyd Webber may have spent long enough admiring his colonial masterpiece featuring Victoria's second city, Geelong.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.theage.com.au/news/arts/lloyd-webber-sells-geelong-masterpiece/2006/05/12/1146940719620.html"} +{"d:Title": "Andrew Lloyd Webber", "d:Description": "Official site features video diary, news, theatre, recordings, film, art, biographies, newsletter and competitions.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.andrewlloydwebber.com/"} +{"d:Title": "Lloyd Webber Songs Go Online", "d:Description": "Universal Music now owns all digital and mobile rights to the composer songbook.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=11536"} +{"d:Title": "Topix: Andrew Lloyd Webber", "d:Description": "News about Andrew Lloyd Webber, collected from various sources on the web.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.topix.com/rss/who/andrew-lloyd-webber.xml"} +{"d:Title": "BBC News: Lloyd Webber's Saturday Night Fever", "d:Description": "Composer Andrew Lloyd Webber talks to the BBC News website about reality TV, writing musicals, and why Grease is not the word.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://news.bbc.co.uk/1/hi/entertainment/6661311.stm"} +{"d:Title": "Webber Wants Brightman for Upcoming Phantom Follow-Up", "d:Description": "Andrew Lloyd Webber is interested in Sarah Brightman's possibly reprising her role as Christine in his previously announced follow-up to The Phantom of the Opera.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=18709"} +{"d:Title": "Telegraph Arts: \"I'll Never Experience Anything Like It Again\"", "d:Description": "Online diary by Andrew Lloyd Webber as he prepared for 'Phantom of the Opera' becoming the longest-running show in Broadway history.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.telegraph.co.uk/culture/theatre/3649394/Ill-never-experience-anything-like-it-again.html"} +{"d:Title": "Lloyd Webber To Sell His \u00a330 Million Picasso", "d:Description": "Rocketing art prices have prompted Andrew Lloyd Webber to put on the market a Pablo Picasso painting, believed to be the composer's most valuable work. Includes photo of \"The Absinthe Drinker\".", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.telegraph.co.uk/news/uknews/1522802/Lloyd-Webber-to-sell-his-30-million-Picasso-and-give-all-the-money-away.html"} +{"d:Title": "Song Writers Hall of Fame: Andrew Lloyd Webber", "d:Description": "Offers biography, photo, comprehensive discography, audio clip and recommended materials.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.songwritershalloffame.org/exhibits/C313?exhibitId=313"} +{"d:Title": "Guardian Unlimited Arts: Q&A Andrew Lloyd Webber", "d:Description": "The composer discusses his earliest memory, most embarrassing moment, his most treasured possession and his greatest achievement amongst other personal revelations. By Rosanna Greenstreet.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.theguardian.com/music/2007/jun/02/popandrock.theatre"} +{"d:Title": "Andrew Lloyd Webber", "d:Description": "Official biography for the knighted composer and honorary life peer.", "topic": "Top/Arts/People/L/Lloyd_Webber,_Andrew", "url": "http://www.reallyuseful.com/news/andrew-and-gary-barlow-present-sing-to-the-queen/"} +{"d:Title": "IMDb: Amy Locane", "d:Description": "The Internet Movie Database includes filmography, vital statistics, trivia, and photos.", "topic": "Top/Arts/People/L/Locane,_Amy", "url": "http://www.imdb.com/name/nm0000504/"} +{"d:Title": "TV Guide - Amy Locane", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Locane,_Amy", "url": "http://www.tvguide.com/celebrities/amy-locane/139407"} +{"d:Title": "Alpha Control", "d:Description": "Pictures and story of June Lockhart's visit to original Lost In Space set.", "topic": "Top/Arts/People/L/Lockhart,_June", "url": "http://www.alphacontrol.com/lockhart.htm"} +{"d:Title": "IMDb.com - June Lockhart", "d:Description": "Actresses filmography, mini biography and television roles and appearances.", "topic": "Top/Arts/People/L/Lockhart,_June", "url": "http://www.imdb.com/name/nm0001478/"} +{"d:Title": "Heather Locklear", "d:Description": "Part of the TV and Movie Trivia Tribute site. Includes vital statistics and photos.", "topic": "Top/Arts/People/L/Locklear,_Heather", "url": "http://www.triviatribute.com/heatherlocklear.html"} +{"d:Title": "IMDb.com - Heather Locklear", "d:Description": "Filmography, photo gallery, and brief biography.", "topic": "Top/Arts/People/L/Locklear,_Heather", "url": "http://www.imdb.com/name/nm0000181/"} +{"d:Title": "Undying Heather Locklear", "d:Description": "Photo gallery, biography, filmography, contact information, and links.", "topic": "Top/Arts/People/L/Locklear,_Heather/Image_Galleries", "url": "http://www.undying.com/celeb/Heather_Locklear/index.htm"} +{"d:Title": "IMDb.com - Anne Marie Loder", "d:Description": "Complete filmography.", "topic": "Top/Arts/People/L/Loder,_Anne_Marie", "url": "http://www.imdb.com/name/nm0517059/"} +{"d:Title": "\"I'm on a Lost Highway Myself\"", "d:Description": "An interview with Robert Loggia by Markus Tschiedert.", "topic": "Top/Arts/People/L/Loggia,_Robert", "url": "http://www.davidlynch.de/moviestartrans.html"} +{"d:Title": "IMDb: Robert Loggia", "d:Description": "Includes biography, news articles, photo gallery and filmography.", "topic": "Top/Arts/People/L/Loggia,_Robert", "url": "http://www.imdb.com/name/nm0005162/"} +{"d:Title": "LindsayWeb", "d:Description": "Biography, links and a webring.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://lindsaylohan.8m.com/"} +{"d:Title": "Hollywood Babes: Lindsay Lohan", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://www.hollywoodbabes.com/bios/lindsay_lohan.htm"} +{"d:Title": "1Hollywood.com: Lindsay Lohan", "d:Description": "Biography and image gallery.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://www.1hollywood.com/celebs/lindsay_lohan1.htm"} +{"d:Title": "L-Lohan.com", "d:Description": "Biography, news, video clips, avatar downloads, wallpapers and fan fiction.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://www.l-lohan.com/"} +{"d:Title": "Wikipedia: Lindsay Lohan", "d:Description": "Article containing biographical information, a career overview and links.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://en.wikipedia.org/wiki/Lindsay_lohan"} +{"d:Title": "Lindsay Lohan in World", "d:Description": "Biography, discography, filmography, wallpapers and image gallery.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://lindsay-lohan.in-world.info/"} +{"d:Title": "AskMen.com: Lindsay Lohan", "d:Description": "Interview with pictures and quotes.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://www.askmen.com/toys/interview_150/162_lindsay_lohan_interview.html"} +{"d:Title": "Lindsay-Lohan.tv", "d:Description": "Fansite includes gallery, biography, filmography, news and message boards.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://www.lindsay-lohan.tv/"} +{"d:Title": "IMDb: Lindsay Lohan", "d:Description": "Filmography, biography and image gallery.", "topic": "Top/Arts/People/L/Lohan,_Lindsay", "url": "http://www.imdb.com/name/nm0517820/"} +{"d:Title": "Kristanna.com", "d:Description": "News, biography, filmography, gallery, wallpapers and links.", "topic": "Top/Arts/People/L/Loken,_Kristanna", "url": "http://www.kristanna.com/"} +{"d:Title": "Carole: A Celebration of Carole Lombard: A Review", "d:Description": "A review of a one woman show now playing in Los Angeles featuring Tara Walden.", "topic": "Top/Arts/People/L/Lombard,_Carole", "url": "http://www.angelfire.com/celeb2/CaroleLombard/Carole.htm"} +{"d:Title": "Lombard's Lair", "d:Description": "A salute to classic actress Carole Lombard with rare images, and free downloads.", "topic": "Top/Arts/People/L/Lombard,_Carole", "url": "http://www.meredy.com/carolelombard/"} +{"d:Title": "Julie London", "d:Description": "Biography from an Emergency! fan site; includes the AP article about her passing away.", "topic": "Top/Arts/People/L/London,_Julie", "url": "http://www.emergencyfans.com/people/julie_london.htm"} +{"d:Title": "Julie London", "d:Description": "A collection of full length wavs from JD's Song Birds Collection.", "topic": "Top/Arts/People/L/London,_Julie", "url": "http://jdsb26.tripod.com/JLondon.htm"} +{"d:Title": "Julie London Troup (Julie Peck)", "d:Description": "Biography, location and pictures of her crypt, link to others in Forest Lawn Cemetery, and interactive visitor comments.", "topic": "Top/Arts/People/L/London,_Julie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=13119"} +{"d:Title": "IMDb: Julie London", "d:Description": "Filmography, with TV appearances, and biography.", "topic": "Top/Arts/People/L/London,_Julie", "url": "http://www.imdb.com/name/nm0518728/"} +{"d:Title": "TV Guide - John Lone", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Lone,_John", "url": "http://www.tvguide.com/celebrities/john-lone/158103"} +{"d:Title": "IMDB.com - John Lone", "d:Description": "Actor's filmography, mini biography and television appearances.", "topic": "Top/Arts/People/L/Lone,_John", "url": "http://www.imdb.com/name/nm0518821/"} +{"d:Title": "IMDb: Nia Long", "d:Description": "Includes filmography, mini-biography, trivia, awards, and photos.", "topic": "Top/Arts/People/L/Long,_Nia", "url": "http://www.imdb.com/name/nm0000505/"} +{"d:Title": "IMDb.com - Richard Long", "d:Description": "Biography, trivia and filmography.", "topic": "Top/Arts/People/L/Long,_Richard", "url": "http://www.imdb.com/name/nm0519160/"} +{"d:Title": "Actress Shelley Long Attempts Suicide", "d:Description": "Article about the breakup of actress' marriage and her suicide attempt, with photos of her and general advice about coping with depression and suicidal feelings.", "topic": "Top/Arts/People/L/Long,_Shelley", "url": "http://www.suicide.org/shelley-long-attempts-suicide.html"} +{"d:Title": "Cuddle Up With Claudine Longet", "d:Description": "Film and television credits, biography, discography, messages, and news. Includes links to picture sites.", "priority": "1", "topic": "Top/Arts/People/L/Longet,_Claudine", "url": "http://home.earthlink.net/~elbroome/longet/"} +{"d:Title": "Super70s: Claudine Longet", "d:Description": "Biographical article focuses on Longet's shooting of Spider Sabich in 1977.", "topic": "Top/Arts/People/L/Longet,_Claudine", "url": "http://www.super70s.com/Super70s/News/1976/March/21-Claudine_Longet.asp"} +{"d:Title": "Claudine", "d:Description": "Large scan of the singer's 1967 debut album on A&M Records.", "topic": "Top/Arts/People/L/Longet,_Claudine", "url": "http://www.317x.com/albums/l/claudinelonget/enlargement.html"} +{"d:Title": "The Look of Love", "d:Description": "Large scan of the singer's second album on A&M Records.", "topic": "Top/Arts/People/L/Longet,_Claudine", "url": "http://www.317x.com/albums/l/claudinelonget2/enlargement.html"} +{"d:Title": "IMDb: Claudine Longet", "d:Description": "Filmography and television guest appearances.", "topic": "Top/Arts/People/L/Longet,_Claudine", "url": "http://www.imdb.com/name/nm0519296/"} +{"d:Title": "All Music Guide: Claudine Longet", "d:Description": "Biography, album listings with ratings.", "topic": "Top/Arts/People/L/Longet,_Claudine", "url": "http://www.allmusic.com/artist/claudine-longet-mn0000145218"} +{"d:Title": "Lyrics On Demand: Jennifer Lopez", "d:Description": "Lyrics sorted by album.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.lyricsondemand.com/j/jenniferlopezlyrics/"} +{"d:Title": "Jennifer Lopez", "d:Description": "Offers biography, lyrics, gallery and discography.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://jenniferlopezweb.yaia.com/"} +{"d:Title": "Topix: Jennifer Lopez", "d:Description": "News feed periodically updated from around the net.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.topix.com/who/jennifer-lopez"} +{"d:Title": "Digital Hit's Jennifer Lopez Profile", "d:Description": "Biography and photos of the actress. Includes discussion area and e-commerce.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.digitalhit.com/cr/jenniferlopez/"} +{"d:Title": "Jennifer Lopez: The Case of the Billion Dollar Booty", "d:Description": "Investigating allegations that Ms. Lopez took out a billion dollar insurance policy on her behind.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://urbanlegends.about.com/cs/celebrities/a/jennifer_lopez.htm"} +{"d:Title": "IMDb.com - Jennifer Lopez", "d:Description": "Complete filmography, biography, and photo gallery.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.imdb.com/name/nm0000182/"} +{"d:Title": "Rotten Tomatoes : Jennifer Lopez", "d:Description": "Filmography with links to newspaper articles.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.rottentomatoes.com/celebrity/jennifer_lopez/"} +{"d:Title": "All Music Guide: Jennifer Lopez", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.allmusic.com/artist/jennifer-lopez-mn0000317865"} +{"d:Title": "MTV: Jennifer Lopez", "d:Description": "Includes music video clips, album reviews, and an interview.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.mtv.com/artists/jennifer-lopez/"} +{"d:Title": "Music Song Lyrics: Jennifer Lopez", "d:Description": "Sorted by album.", "topic": "Top/Arts/People/L/Lopez,_Jennifer", "url": "http://www.musicsonglyrics.com/jennifer-lopez-lyrics.html"} +{"d:Title": "Thespian Net presents Jennifer Lopez", "d:Description": "A starting point for celebrity information. Biography, credits, and links.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Directories", "url": "http://www.thespiannet.com/actresses/L/lopez_jennifer/jennifer_lopez.shtml"} +{"d:Title": "Jennifer Lopez", "d:Description": "Pictures, wallpapers, screensavers, biography, discography, lyrics and news.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Fan_Pages", "url": "http://j-lo.freewebspace.com/"} +{"d:Title": "Jlo.net", "d:Description": "Features news, lyrics, pictures, music, videos, and a forum.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Fan_Pages", "url": "http://www.jlo.net/"} +{"d:Title": "Beyond Beautiful JLo", "d:Description": "Fansite with the latest news, photos, videos, and exclusives. Includes a busy forum and gallery.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Fan_Pages", "url": "http://www.beyondbeautifuljlo.com/"} +{"d:Title": "Abstracts.net: Jennifer Lopez", "d:Description": "News, gossip and photographs.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Image_Galleries", "url": "http://abstracts.net/jennifer-lopez/"} +{"d:Title": "The Jennifer Lopez Forever Page", "d:Description": "Thumbnailed photo gallery.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Image_Galleries", "url": "http://jlogal1.tripod.com/gallery1/album.htm"} +{"d:Title": "Actress Jennifer Lopez", "d:Description": "Box office information on all her movies, links, pictures, and message boards.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jlopez"} +{"d:Title": "Ent Wagon: Jennifer Lopez", "d:Description": "Includes image gallery, profile, biography, filmography and related links.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Image_Galleries", "url": "http://www.entwagon.com/celeb/Jennifer_Lopez/"} +{"d:Title": "UGO", "d:Description": "Thumbnailed picture gallery.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actress/gallery/galleryThumbs.mv?jlopez+1"} +{"d:Title": "mxdpi: Jennifer Lopez", "d:Description": "High resolution thumbnailed picture galleries; Postcards and slideshows.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Jennifer_Lopez"} +{"d:Title": "Jennifer Lopez Photos", "d:Description": "Image gallery.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Image_Galleries", "url": "http://photos.art-prints-gallery.com/jennifer_lopez/index1.html"} +{"d:Title": "DVD Talk: Jennifer Lopez - Feelin' So Good", "d:Description": "Aaron Beierle reviews and rates the DVD.", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Reviews", "url": "http://www.dvdtalk.com/reviews/1406/jennifer-lopez-feelin-so-good/"} +{"d:Title": "Canoe: Jennifer Lopez - J.Lo", "d:Description": "Mike Ross' review: \"a plasticized pop confection literally piled with bells and whistles where songs should've been\"", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Reviews/J.Lo", "url": "http://jam.canoe.com/Music/Artists/L/Lopez_Jennifer/AlbumReviews/2001/01/20/771294.html"} +{"d:Title": "Music-Critic: Jennifer Lopez - This Is Me... Then", "d:Description": "Tom Reiter's review: \"centers itself around a late 70's Motown feel\"", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Reviews/This_Is_Me..._Then", "url": "http://www.music-critic.com/pop/lopezjennifer_thisisme.htm"} +{"d:Title": "CANOE: Jennifer Lopez - This Is Me...Then", "d:Description": "Jane Stevenson's review: \"This album needs more sass, less sap.\"", "topic": "Top/Arts/People/L/Lopez,_Jennifer/Reviews/This_Is_Me..._Then", "url": "http://jam.canoe.com/Music/Artists/L/Lopez_Jennifer/AlbumReviews/2002/11/25/771295.html"} +{"d:Title": "Through The Years", "d:Description": "Fan page displaying pictures of actor Marco Lopez.", "topic": "Top/Arts/People/L/L\u00f3pez,_Marco", "url": "http://www.angelfire.com/celeb/othermarcopics/"} +{"d:Title": "1Hollywood.com: Mario Lopez", "d:Description": "Picture, short biography and long filmography.", "topic": "Top/Arts/People/L/L\u00f3pez,_Mario", "url": "http://www.1hollywood.com/Celebrities/mario_lopez.htm"} +{"d:Title": "IMDb: Mario L\u00f3pez (I)", "d:Description": "Facts and filmography.", "topic": "Top/Arts/People/L/L\u00f3pez,_Mario", "url": "http://www.imdb.com/name/nm0530249/"} +{"d:Title": "Yahoo! Groups: Jack Lord", "d:Description": "Unmoderated discussion group for fans of the actor.", "topic": "Top/Arts/People/L/Lord,_Jack", "url": "http://groups.yahoo.com/group/jacklord2/"} +{"d:Title": "TV.com", "d:Description": "Page with information about Lord's career and links to other sites.", "topic": "Top/Arts/People/L/Lord,_Jack", "url": "http://www.tv.com/people/jack-lord/"} +{"d:Title": "An Interview with Peter Lord", "d:Description": "By Wendy Jackson. [Animation World Magazine]", "topic": "Top/Arts/People/L/Lord,_Peter", "url": "http://www.awn.com/mag/issue2.2/articles/jacksonlord2.2.html"} +{"d:Title": "IMDb - Peter Lord", "d:Description": "Overview and filmography for the British producer, director, animator and writer.", "topic": "Top/Arts/People/L/Lord,_Peter", "url": "http://www.imdb.com/name/nm0520485/"} +{"d:Title": "Yahoo! Groups: TraciElizabethLords", "d:Description": "An email list to talk about the actress.", "topic": "Top/Arts/People/L/Lords,_Traci", "url": "http://groups.yahoo.com/group/TraciElizabethLords/"} +{"d:Title": "IMDb.com - Traci Lords", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/L/Lords,_Traci", "url": "http://www.imdb.com/name/nm0000183/"} +{"d:Title": "TV and Movie Trivia Tribute: Sophia Loren", "d:Description": "Celebrity page with pictures, links, trivia and merchandise.", "topic": "Top/Arts/People/L/Loren,_Sophia", "url": "http://www.triviatribute.com/sophialoren.html"} +{"d:Title": "IMDb.com - Sophia Loren", "d:Description": "Filmography, photo gallery, and brief biography.", "topic": "Top/Arts/People/L/Loren,_Sophia", "url": "http://www.imdb.com/name/nm0000047/"} +{"d:Title": "The Pit!: Peter Lorre", "d:Description": "Sound files for the actor, in .wav format.", "topic": "Top/Arts/People/L/Lorre,_Peter", "url": "http://www.seahaas.com/thepit/lorre.html"} +{"d:Title": "Peter Lorre Library of Sound", "d:Description": "Archive of audio quotes from Lorre's films (in .wav format.)", "topic": "Top/Arts/People/L/Lorre,_Peter", "url": "http://www.ealasaid.com/fan/lorrelibrary/index.html"} +{"d:Title": "IMDb: Peter Lorre", "d:Description": "Film and television credits.", "topic": "Top/Arts/People/L/Lorre,_Peter", "url": "http://www.imdb.com/name/nm0000048/"} +{"d:Title": "IMDb: Lori Loughlin", "d:Description": "The Internet Movie Database includes biographical information, filmography, and links.", "topic": "Top/Arts/People/L/Loughlin,_Lori", "url": "http://www.imdb.com/name/nm0521753/"} +{"d:Title": "TV Guide - Lori Loughlin", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Loughlin,_Lori", "url": "http://www.tvguide.com/celebrities/lori-loughlin/162712"} +{"d:Title": "Julia Louis-Dreyfus On The Jack Benny Show", "d:Description": "The hip 1990's Seinfeld star does a guest shot on the Jack Benny show.", "topic": "Top/Arts/People/L/Louis-Dreyfus,_Julia", "url": "http://www.angelfire.com/tv/julia/julia.louis.dreyfus.html"} +{"d:Title": "Yahoo Groups: Julia Louis-Dreyfus", "d:Description": "Dedicated to actress, includes pictures and some video files too.", "topic": "Top/Arts/People/L/Louis-Dreyfus,_Julia", "url": "http://groups.yahoo.com/group/julialouis-dreyfus/"} +{"d:Title": "TV and Movie Trivia Tribute: Tina Louise", "d:Description": "Celebrity page with pictures, links, trivia and merchandise.", "topic": "Top/Arts/People/L/Louise,_Tina", "url": "http://www.triviatribute.com/tinalouise.html"} +{"d:Title": "Brian's Drive-In Theater", "d:Description": "Detailed page concerning actress, singer, model, Tina Louise. Pictures, filmography and television appearances.", "topic": "Top/Arts/People/L/Louise,_Tina", "url": "http://www.briansdriveintheater.com/tinalouise.html"} +{"d:Title": "Rahuna Presents Tina Louise", "d:Description": "Large selection of pictures of the famous red head actress and model.", "topic": "Top/Arts/People/L/Louise,_Tina", "url": "http://crm114.tripod.com/tina/"} +{"d:Title": "IMDb.com - Tina Louise", "d:Description": "Filmography, credits, brief biography for the film and television star.", "topic": "Top/Arts/People/L/Louise,_Tina", "url": "http://www.imdb.com/name/nm0001481/"} +{"d:Title": "Absolute Divas - Courtney Love", "d:Description": "Contains pictures, as well as a full biography and discography.", "topic": "Top/Arts/People/L/Love,_Courtney", "url": "http://www.absolutedivas.com/courtney/"} +{"d:Title": "Courtney Love", "d:Description": "Fan site offers a biography and pictures.", "topic": "Top/Arts/People/L/Love,_Courtney", "url": "http://www.angelfire.com/movies/courtney/"} +{"d:Title": "Courtney Love 101", "d:Description": "Offers photos, lyrics and biography.", "topic": "Top/Arts/People/L/Love,_Courtney", "url": "http://www.angelfire.com/freak2/courtneylove/"} +{"d:Title": "IMDb: Courtney Love", "d:Description": "Filmography for the actress.", "topic": "Top/Arts/People/L/Love,_Courtney", "url": "http://www.imdb.com/name/nm0001482/"} +{"d:Title": "The Smoking Gun: Courtney Love", "d:Description": "Legal documents relating to various lawsuits Love is involved in.", "topic": "Top/Arts/People/L/Love,_Courtney", "url": "http://thesmokinggun.com/documents/crime/courtney-love-litigation-festival"} +{"d:Title": "People United Against Courtney Love", "d:Description": "Dedicated to convincing everyone that Courtney murdered Kurt.", "topic": "Top/Arts/People/L/Love,_Courtney/Anti-Courtney", "url": "http://www.angelfire.com/il3/puacl/"} +{"d:Title": "Killer Courtney 2001", "d:Description": "Contains the case introduction and study, notes and police reports, summary of events, media details, and pictures.", "topic": "Top/Arts/People/L/Love,_Courtney/Anti-Courtney", "url": "http://killercourtney.8k.com/"} +{"d:Title": "Anti-Courtney Love", "d:Description": "Message board opposing the glamourization of Courtney Love's behaviour.", "topic": "Top/Arts/People/L/Love,_Courtney/Anti-Courtney", "url": "http://woundedbtrfly.proboards.com/"} +{"d:Title": "TV Guide - Chad Lowe", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Lowe,_Chad", "url": "http://www.tvguide.com/celebrities/chad-lowe/143928"} +{"d:Title": "IMDb.com - Chad Lowe (I)", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/L/Lowe,_Chad", "url": "http://www.imdb.com/name/nm0005165/"} +{"d:Title": "IMDb: Carey Lowell", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/People/L/Lowell,_Carey", "url": "http://www.imdb.com/name/nm0000508/"} +{"d:Title": "Carey Lowell Photos", "d:Description": "A fan's small collection of publicity shots and still images from 'Law and Order'.", "topic": "Top/Arts/People/L/Lowell,_Carey", "url": "http://patburroughs.tripod.com/lowell.htm"} +{"d:Title": "Carey Lowell's Homage Page", "d:Description": "A fan site with biography, filmography, a picture gallery, and links.", "topic": "Top/Arts/People/L/Lowell,_Carey", "url": "http://babalou.tripod.com/"} +{"d:Title": "Montana Marvel - Myrna Loy", "d:Description": "A salute to the classic actress.", "topic": "Top/Arts/People/L/Loy,_Myrna", "url": "http://www.meredy.com/myrnaloy/"} +{"d:Title": "Myrna Loy", "d:Description": "IMDb Filmography and mini biography for this actress.", "topic": "Top/Arts/People/L/Loy,_Myrna", "url": "http://www.imdb.com/name/nm0001485/"} +{"d:Title": "SusanLucci.com", "d:Description": "Official site of the actress best known as Erica Kane from All My Children. Includes news, biography, photo gallery, chat room, and sales of haircare products.", "topic": "Top/Arts/People/L/Lucci,_Susan", "url": "http://www.susanlucci.com/"} +{"d:Title": "IMDb.com - Susan Lucci", "d:Description": "Actresses mini biography, filmography and television appearances.", "topic": "Top/Arts/People/L/Lucci,_Susan", "url": "http://www.imdb.com/name/nm0005166/"} +{"d:Title": "Lorna Luft Online", "d:Description": "The official site of the singer. Includes biography, news, calendar of appearances and information on her memoir of her mother Judy Garland.", "topic": "Top/Arts/People/L/Luft,_Lorna", "url": "https://www.facebook.com/LornaLuftOfficial"} +{"d:Title": "The Pit - Bela Lugosi", "d:Description": "Sound wavs and animation.", "topic": "Top/Arts/People/L/Lugosi,_Bela", "url": "http://www.seahaas.com/thepit/lugosi.html"} +{"d:Title": "IMDb.com - Jackie Lui Chung-yin", "d:Description": "Filmography and links to movies he has appeared in.", "topic": "Top/Arts/People/L/Lui_Chung_Yin,_Jackie", "url": "http://www.imdb.com/name/nm0525308/"} +{"d:Title": "IMDb: Paul Lukas", "d:Description": "Profile, filmography, television appearances, awards and nominations, and related information.", "topic": "Top/Arts/People/L/Lukas,_Paul", "url": "http://www.imdb.com/name/nm0510134/"} +{"d:Title": "Joanna Lumley", "d:Description": "A detailed biography, news and pictures.", "topic": "Top/Arts/People/L/Lumley,_Joanna", "url": "http://www.tmaw.co.uk/joannal.html"} +{"d:Title": "BBC News: Joanna Lumley", "d:Description": "Report and video interview discussing her visit to Bangladesh with the charity Sightsavers.", "topic": "Top/Arts/People/L/Lumley,_Joanna", "url": "http://news.bbc.co.uk/1/hi/programmes/breakfast/4070606.stm"} +{"d:Title": "BBC News: Lumley Pleas For Cyclone Aid", "d:Description": "The actress appeals for funds to assist victims of a cyclone in India. With a video clip.", "topic": "Top/Arts/People/L/Lumley,_Joanna", "url": "http://news.bbc.co.uk/1/hi/uk/511195.stm"} +{"d:Title": "The Makeup Gallery: Joanna Lumley", "d:Description": "Offers a variety of pictures featuring makeup techniques used on the actress in various roles.", "topic": "Top/Arts/People/L/Lumley,_Joanna", "url": "http://www.themakeupgallery.info/character/gross/lumley/index.htm"} +{"d:Title": "IMDb: Joanna Lumley", "d:Description": "Includes a filmography, trivia, photographs, a message board and links.", "topic": "Top/Arts/People/L/Lumley,_Joanna", "url": "http://www.imdb.com/name/nm0525921/"} +{"d:Title": "Affinity - Family for Anthony Lun's Supporters", "d:Description": "a fan site of Anthony Lun, a Hong Kong singer/songwriter", "topic": "Top/Arts/People/L/Lun,_Anthony", "url": "http://www.anthonylun.com/"} +{"d:Title": "Imdb.com: Lydia Lunch", "d:Description": "Brief introduction and filmography.", "topic": "Top/Arts/People/L/Lunch,_Lydia", "url": "http://www.imdb.com/name/nm0526120/"} +{"d:Title": "Furious.com: Lydia Lunch", "d:Description": "Interview, links, and tour dates.", "topic": "Top/Arts/People/L/Lunch,_Lydia", "url": "http://www.furious.com/perfect/lydialunch.html"} +{"d:Title": "Sensational Jamie Luner Page", "d:Description": "Fan site with biography, pictures, articles, sound clips, wallpaper, and links.", "topic": "Top/Arts/People/L/Luner,_Jamie", "url": "http://members.tripod.com/~Jamie_Luner/"} +{"d:Title": "IMDb: Jamie Luner", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/People/L/Luner,_Jamie", "url": "http://www.imdb.com/name/nm0005168/"} +{"d:Title": "TV Guide - Jamie Luner", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/L/Luner,_Jamie", "url": "http://www.tvguide.com/celebrities/jamie-luner/155603"} +{"d:Title": "IMDb: Cherie Lunghi", "d:Description": "Filmography.", "topic": "Top/Arts/People/L/Lunghi,_Cherie", "url": "http://www.imdb.com/name/nm0526724/"} +{"d:Title": "Washingtonpost.com: Kelly Lynch Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/People/L/Lynch,_Kelly", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/kelly_lynch.htm"} +{"d:Title": "TV Guide - Kelly Lynch", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie information.", "topic": "Top/Arts/People/L/Lynch,_Kelly", "url": "http://www.tvguide.com/celebrities/kelly-lynch/160315"} +{"d:Title": "IMDb: Kelly Lynch (I)", "d:Description": "Profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/People/L/Lynch,_Kelly", "url": "http://www.imdb.com/name/nm0001488/"} +{"d:Title": "The Sad Death of Paul Lynde", "d:Description": "By Billy Ingram. [TVParty.com] Pictures and biographical article.", "topic": "Top/Arts/People/L/Lynde,_Paul", "url": "http://www.tvparty.com/myslynde.html"} +{"d:Title": "Paul Lynde", "d:Description": "Pictures of him and his grave with a detailed profile and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/People/L/Lynde,_Paul", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1598"} +{"d:Title": "Paul Lynde Remembered", "d:Description": "Articles, photos, video clips, and playbills.", "topic": "Top/Arts/People/L/Lynde,_Paul", "url": "http://www.paullynde.info/"} +{"d:Title": "NNDB: Paul Lynde", "d:Description": "A collection of details about Paul Lynde.", "topic": "Top/Arts/People/L/Lynde,_Paul", "url": "http://www.nndb.com/people/953/000043824/"} +{"d:Title": "Internet Movie Database: Paul Lynde", "d:Description": "Filmography, TV credits, trivia and links.", "topic": "Top/Arts/People/L/Lynde,_Paul", "url": "http://www.imdb.com/name/nm0001489/"} +{"d:Title": "Paul Lynde", "d:Description": "Actor profile.", "topic": "Top/Arts/People/L/Lynde,_Paul", "url": "http://www.biography.com/people/paul-lynde-9542425"} +{"d:Title": "IMdb.com - Melanie Lynskey", "d:Description": "Photo gallery, complete filmography, and biography.", "topic": "Top/Arts/People/L/Lynskey,_Melanie", "url": "http://www.imdb.com/name/nm0001491/"} +{"d:Title": "Sue Lyon Tribute", "d:Description": "Tribute to the actress of \"Lolita\" \"Night of the Iguana\" and other obscure films.", "topic": "Top/Arts/People/L/Lyon,_Sue", "url": "http://www.angelfire.com/la2/annettecentral/"} +{"d:Title": "TV Guide - Sue Lyon", "d:Description": "Current month television schedule of the films in which the actress appears.", "topic": "Top/Arts/People/L/Lyon,_Sue", "url": "http://www.tvguide.com/celebrities/sue-lyon/175644"} +{"d:Title": "IMDb.com - Sue Lyon", "d:Description": "Biography, links and trivia for the actress.", "topic": "Top/Arts/People/L/Lyon,_Sue", "url": "http://www.imdb.com/name/nm0528987/bio"} +{"d:Title": "The Slums Of Natasha Lyonne", "d:Description": "Fan site containing pictures, interviews and filmography.", "topic": "Top/Arts/People/L/Lyonne,_Natasha", "url": "http://www.angelfire.com/on2/NatashaLyonne/"} +{"d:Title": "Molinier, Pierre", "d:Description": "Biography of the French painter and photographer.", "topic": "Top/Arts/People/M", "url": "http://en.citizendium.org/wiki/Pierre_Molinier"} +{"d:Title": "Internet Movie Database: Bernie Mac", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/People/M/Mac,_Bernie", "url": "http://www.imdb.com/name/nm0005170/"} +{"d:Title": "Topix: Bernie Mac", "d:Description": "News aggregator.", "topic": "Top/Arts/People/M/Mac,_Bernie", "url": "http://www.topix.com/who/bernie-mac"} +{"d:Title": "Yahoo! Movies: Bernie Mac", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/M/Mac,_Bernie", "url": "http://movies.yahoo.com/person/bernie-mac/"} +{"d:Title": "Rotten Tomatoes: Bernie Mac", "d:Description": "Contains image gallery, news and filmography.", "topic": "Top/Arts/People/M/Mac,_Bernie", "url": "http://www.rottentomatoes.com/celebrity/bernie_mac/"} +{"d:Title": "Yahoo! Groups : James MacArthur Fans Discussion Group", "d:Description": "Moderated discussion list which also contains notices of updates to the James MacArthur Official Website and/or current news about the actor.", "topic": "Top/Arts/People/M/MacArthur,_James", "url": "http://groups.yahoo.com/group/James_MacArthur_Fans_Discussion_Group"} +{"d:Title": "Yahoo! Groups: James MacArthur Official Discussion List", "d:Description": "Unmoderated discussion group for fans of James MacArthur, sponsored by his official fan club.", "topic": "Top/Arts/People/M/MacArthur,_James", "url": "http://groups.yahoo.com/group/JamesMacArthurOfficialForum/?yguid=166737058"} +{"d:Title": "James MacArthur Official Website", "d:Description": "Official site for the actor that includes over 5000 photographs and images, video clips, sound files, articles and interviews, detailed career highlights (film, television, stage, radio), email link, chatroom, FAQ, discussion group, mailing list, and interactive games, plus current news and information.", "topic": "Top/Arts/People/M/MacArthur,_James", "url": "http://jamesmacarthur.com/"} +{"d:Title": "TVGuide.com: James MacArthur", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/MacArthur,_James", "url": "http://www.tvguide.com/celebrities/james-macarthur/155455"} +{"d:Title": "TV.com: James MacArthur", "d:Description": "Contains biography, career information, and an image.", "topic": "Top/Arts/People/M/MacArthur,_James", "url": "http://www.tv.com/people/james-macarthur/"} +{"d:Title": "Simon MacCorkindale site", "d:Description": "Dedicated to British actor Simon MacCorkindale, pictures from movies", "topic": "Top/Arts/People/M/MacCorkindale,_Simon", "url": "http://dapper.50megs.com/"} +{"d:Title": "Cindy's Counterstrike page", "d:Description": "Dedicated to the television show, with photos, news, links, and other information.", "topic": "Top/Arts/People/M/MacCorkindale,_Simon", "url": "http://www.angelfire.com/il/Cindyshomepage/"} +{"d:Title": "Simon MacCorkindale Fans Online", "d:Description": "Largest photo site for the actor's films and television shows, Counterstrike, Manimal, Falcon Crest and others.", "topic": "Top/Arts/People/M/MacCorkindale,_Simon", "url": "http://www.simonfans.com/"} +{"d:Title": "TVGuide.com: Simon MacCorkindale", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/MacCorkindale,_Simon", "url": "http://www.tvguide.com/celebrities/simon-maccorkindale/174813"} +{"d:Title": "Simon MacCorkindale Fan Page", "d:Description": "Features news, biography and interviews.", "topic": "Top/Arts/People/M/MacCorkindale,_Simon", "url": "http://www.simonmaccorkindale.net/"} +{"d:Title": "IMDb.com - Simon MacCorkindale", "d:Description": "Filmography, brief profile, and other information about the actor.", "topic": "Top/Arts/People/M/MacCorkindale,_Simon", "url": "http://www.imdb.com/name/nm0531546/"} +{"d:Title": "IMdb.com - Andie MacDowell", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/M/MacDowell,_Andie", "url": "http://www.imdb.com/name/nm0000510/"} +{"d:Title": "A Tribute to Andie MacDowell", "d:Description": "Contains image galleries.", "topic": "Top/Arts/People/M/MacDowell,_Andie", "url": "http://olivier.felix.pagesperso-orange.fr/"} +{"d:Title": "Actress Andie MacDowell", "d:Description": "Includes vital statistics, image galleries and box office information.", "topic": "Top/Arts/People/M/MacDowell,_Andie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?amacdowell"} +{"d:Title": "Thespian Net presents Andie MacDowell", "d:Description": "Contains information, credits, and images.", "topic": "Top/Arts/People/M/MacDowell,_Andie", "url": "http://www.thespiannet.com/actresses/M/macdowell_andie/andie_macdowell.shtml"} +{"d:Title": "Cameron Mackintosh", "d:Description": "Official site offers links to Sir Mackintosh's theatrical productions.", "topic": "Top/Arts/People/M/Mackintosh,_Cameron", "url": "http://www.cameronmackintosh.com/"} +{"d:Title": "IMDb: Cameron Mackintosh", "d:Description": "Provides filmography, biography, news articles, contact information and discussion board.", "topic": "Top/Arts/People/M/Mackintosh,_Cameron", "url": "http://www.imdb.com/name/nm0533583/"} +{"d:Title": "Wikipedia: Cameron Mackintosh", "d:Description": "Offers biography and career credits.", "topic": "Top/Arts/People/M/Mackintosh,_Cameron", "url": "http://en.wikipedia.org/wiki/Cameron_Mackintosh"} +{"d:Title": "PBS: Broadway: The American Musical: Stars Over Broadway, Cameron Mackintosh", "d:Description": "Features hyperlinked biography, photo and video interview.", "topic": "Top/Arts/People/M/Mackintosh,_Cameron", "url": "http://www.pbs.org/wnet/broadway/stars/cameron-mackintosh/"} +{"d:Title": "BroadwayWorld.com: Cameron Mackintosh Photos", "d:Description": "Offers updated image gallery and new photo alert option.", "topic": "Top/Arts/People/M/Mackintosh,_Cameron", "url": "http://broadwayworld.com/people/Cameron-Mackintosh/"} +{"d:Title": "The Observer: Food monthly: Sir Cameron Mackintosh and His Culinary Brother, Nicky", "d:Description": "The producer and chef talk about their culinary skills.", "topic": "Top/Arts/People/M/Mackintosh,_Cameron", "url": "http://www.theguardian.com/lifeandstyle/2004/jul/11/foodanddrink.features7"} +{"d:Title": "IBDb: Cameron Mackintosh Credits on Broadway", "d:Description": "Provides official Broadway credits, biographical information, nominations and awards.", "topic": "Top/Arts/People/M/Mackintosh,_Cameron", "url": "https://www.ibdb.com/broadway-cast-staff/cameron-mackintosh-21395"} +{"d:Title": "Shirley MacLaine", "d:Description": "News, seminars, spirituality, and a biography are among the contents on Shirley MacLaine's official site.", "topic": "Top/Arts/People/M/MacLaine,_Shirley", "url": "http://www.shirleymaclaine.com/"} +{"d:Title": "Shirley MacLaine", "d:Description": "Shirley MacLaine's IMDb filmography.", "topic": "Top/Arts/People/M/MacLaine,_Shirley", "url": "http://www.imdb.com/name/nm0000511/"} +{"d:Title": "IMDb.com - Peter MacNicol", "d:Description": "Filmography and brief biography of the actor.", "topic": "Top/Arts/People/M/MacNicol,_Peter", "url": "http://www.imdb.com/name/nm0001493/"} +{"d:Title": "Thespian Net presents William H. Macy", "d:Description": "Includes a biography, movie and television credits, articles, pictures, and links.", "topic": "Top/Arts/People/M/Macy,_William_H.", "url": "http://www.thespiannet.com/actors/M/macy_williamh/index.shtml"} +{"d:Title": "All-Reviews.com: William H. Macy", "d:Description": "Filmography with movie reviews and ratings.", "topic": "Top/Arts/People/M/Macy,_William_H.", "url": "http://www.all-reviews.com/actors-5/William-H-Macy.htm"} +{"d:Title": "William H. Macy News: Topix", "d:Description": "News about William H. Macy continually updated from around the net.", "topic": "Top/Arts/People/M/Macy,_William_H.", "url": "http://www.topix.com/who/william-h-macy"} +{"d:Title": "TVGuide.com: William H Macy", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Macy,_William_H.", "url": "http://www.tvguide.com/celebrities/william-h-macy/179171"} +{"d:Title": "IMDb: Amy Madigan", "d:Description": "Filmography, biography, photographs, a message board and links.", "topic": "Top/Arts/People/M/Madigan,_Amy", "url": "http://www.imdb.com/name/nm0001496/"} +{"d:Title": "TVGuide.com: Amy Madigan", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Madigan,_Amy", "url": "http://www.tvguide.com/celebrities/amy-madigan/139410"} +{"d:Title": "Brian's Drive in Theater: Guy Madison", "d:Description": "Biographical information and photographs of this actor, best known for \"The Adventures of Wild Bill Hickok.\"", "topic": "Top/Arts/People/M/Madison,_Guy", "url": "http://www.briansdriveintheater.com/guymadison.html"} +{"d:Title": "IMDb: Guy Madison", "d:Description": "Biography and complete filmography.", "topic": "Top/Arts/People/M/Madison,_Guy", "url": "http://www.imdb.com/name/nm0534972/"} +{"d:Title": "Madonna", "d:Description": "Official site features audio, video and lyrics from her new album Music.", "priority": "1", "topic": "Top/Arts/People/M/Madonna", "url": "http://www.madonna.com/"} +{"d:Title": "Everybody Fan Club", "d:Description": "Unofficial Madonna fan club with profiles, fan art galleries, and event listings.", "topic": "Top/Arts/People/M/Madonna", "url": "http://everybodyfanclub.com/"} +{"d:Title": "MLVC.org", "d:Description": "Madonna-related mailing lists.", "topic": "Top/Arts/People/M/Madonna", "url": "http://mlvc.org/"} +{"d:Title": "All About Madonna", "d:Description": "Offers news, image galleries, billboard data, forum and downloads.", "topic": "Top/Arts/People/M/Madonna", "url": "http://allaboutmadonna.com/"} +{"d:Title": "Madonna Tribe", "d:Description": "Features news, updates, reviews, picture galleries and community forum.", "topic": "Top/Arts/People/M/Madonna", "url": "http://www.madonnatribe.com/"} +{"d:Title": "Madonna News: Topix", "d:Description": "News about Madonna continually updated from around the net.", "topic": "Top/Arts/People/M/Madonna", "url": "http://www.topix.com/who/madonna"} +{"d:Title": "AskMen.com: Madonna", "d:Description": "Includes pictures, a biography, information, ratings and links.", "topic": "Top/Arts/People/M/Madonna", "url": "http://www.askmen.com/celebs/women/singer/5_madonna.html"} +{"d:Title": "Madonna Forums", "d:Description": "Features a forum, news, pictures, biography and discography.", "topic": "Top/Arts/People/M/Madonna", "url": "http://www.madonna-forums.com/"} +{"d:Title": "madonna.startkabel.nl", "d:Description": "madonna and links about her!", "topic": "Top/Arts/People/M/Madonna/Directories", "url": "http://madonna.startkabel.nl/"} +{"d:Title": "Madonna Song Lyrics: Home Page", "d:Description": "Madonna lyrics archive.", "topic": "Top/Arts/People/M/Madonna/Discographies", "url": "http://www.madonnalyrics.org/"} +{"d:Title": "Madonna Lyrics", "d:Description": "Lyrics archive.", "topic": "Top/Arts/People/M/Madonna/Discographies", "url": "http://mp3lyrics.com/Artist/64565/"} +{"d:Title": "Madonna-TV.com", "d:Description": "Video clip library.", "topic": "Top/Arts/People/M/Madonna/Downloads", "url": "http://www.madonna-tv.com/"} +{"d:Title": "Madonna Musik", "d:Description": "A site dedicated to bring fans news, pictures, links, videos, and music.", "topic": "Top/Arts/People/M/Madonna/Fan_Pages", "url": "http://madonnamusik.8m.com/"} +{"d:Title": "Stefan's Madonna Ramma", "d:Description": "Includes lyrics, news, message board, slide show, music videos, and movies.", "topic": "Top/Arts/People/M/Madonna/Fan_Pages", "url": "http://www.angelfire.com/ma/stefanabba/"} +{"d:Title": "MadonnaMedia", "d:Description": "Fan site with MIDIs, rare audio, video clips, and lyrics.", "topic": "Top/Arts/People/M/Madonna/Fan_Pages", "url": "http://www.madonnamedia.de/"} +{"d:Title": "Madonna Fansite", "d:Description": "Offers biography, discography, filmography, news, blogs, forums, chat, lyrics and videos.", "topic": "Top/Arts/People/M/Madonna/Fan_Pages", "url": "http://www.madonnafansite.com/"} +{"d:Title": "MLVC : Madonna Live Video and Concert Performances", "d:Description": "Offers database of performances.", "topic": "Top/Arts/People/M/Madonna/Fan_Pages", "url": "http://mlvcperformance.free.fr/"} +{"d:Title": "Madonnanation", "d:Description": "Includes a chart archive, news, forum and chatroom.", "topic": "Top/Arts/People/M/Madonna/Fan_Pages", "url": "http://www.madonnanation.com/"} +{"d:Title": "Madonnalicious", "d:Description": "A fan site about Madonna featuring artwork, animated avatars, Drowned World Tour photos and magazine covers.", "topic": "Top/Arts/People/M/Madonna/Fan_Pages", "url": "http://madonnalicious.typepad.com/madonnalicious/"} +{"d:Title": "Babestop: Madonna", "d:Description": "Large thumbnailed picture gallery.", "topic": "Top/Arts/People/M/Madonna/Image_Galleries", "url": "http://babestopm.tripod.com/home.html"} +{"d:Title": "MadonnaShots", "d:Description": "An archive of photographs, arranged chronologically. Includes wallpapers and videos.", "topic": "Top/Arts/People/M/Madonna/Image_Galleries", "url": "http://www.madonnashots.net/"} +{"d:Title": "Madonna", "d:Description": "Official Madonna site with galleries, news, music, media, and fan club.", "topic": "Top/Arts/People/M/Madonna/Image_Galleries", "url": "http://www.madonna.com/"} +{"d:Title": "Old Socks - Madonna", "d:Description": "Provides photos that can be used as wallpapers.", "topic": "Top/Arts/People/M/Madonna/Image_Galleries", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Madonna"} +{"d:Title": "Music Olympus : Madonna", "d:Description": "Numerous resources for the actress/singer.", "topic": "Top/Arts/People/M/Madonna/Resources", "url": "http://www.musicolympus.com/madonna/"} +{"d:Title": "RollingStone.com: Madonna", "d:Description": "Includes a biography, discography, photos, articles, links and message board.", "topic": "Top/Arts/People/M/Madonna/Resources", "url": "http://www.rollingstone.com/music/artists/madonna"} +{"d:Title": "Biography.com: Madonna", "d:Description": "Profile and related links.", "topic": "Top/Arts/People/M/Madonna/Resources", "url": "http://www.biography.com/people/madonna-9394994"} +{"d:Title": "Internet Movie Database: Madonna", "d:Description": "Filmography, photographs, and trivia.", "topic": "Top/Arts/People/M/Madonna/Resources", "url": "http://www.imdb.com/name/nm0000187/"} +{"d:Title": "MTV: Madonna", "d:Description": "Features music video clips, album reviews and exclusive online interviews.", "topic": "Top/Arts/People/M/Madonna/Resources", "url": "http://www.mtv.com/artists/madonna/"} +{"d:Title": "Acting Her Way Into Our Hearts", "d:Description": "Review of \"Something to Remember,\" a collection of slow songs and ballads.", "topic": "Top/Arts/People/M/Madonna/Reviews", "url": "http://www.joeclark.org/QiYE55.html"} +{"d:Title": "UnRated Magazine: Madonna", "d:Description": "Live review from Chicago at the United Center on July 12, 2004.", "topic": "Top/Arts/People/M/Madonna/Reviews", "url": "http://www.unratedmagazine.com/Document.cfm?Page=Articles/index.cfm&Article_ID=167"} +{"d:Title": "CNN.com - 'Mature' Madonna wows London", "d:Description": "Press release and review of the British leg of her Drowned World tour. Related links.", "topic": "Top/Arts/People/M/Madonna/Reviews", "url": "http://edition.cnn.com/2001/SHOWBIZ/Music/07/05/madonna.opening/"} +{"d:Title": "New York Metro: Dance Fevered", "d:Description": "\"Mostly, as on Ray of Light, sophisticated production masks Madonna's shortcomings as a songwriter.\"", "topic": "Top/Arts/People/M/Madonna/Reviews", "url": "http://nymag.com/nymetro/arts/music/pop/reviews/3812/"} +{"d:Title": "All Music Guide: Music", "d:Description": "Review by Stephen Thomas Erlewine.", "topic": "Top/Arts/People/M/Madonna/Reviews", "url": "http://www.allmusic.com/album/music-mw0000088531"} +{"d:Title": "Village Voice: Act of Contrition", "d:Description": "\"Music breaks down neatly into three discrete sections, on which I'll hang the very technical names the dance part, the good part, and the dirge part.\" Album review and short overview of Madonna's career.", "topic": "Top/Arts/People/M/Madonna/Reviews", "url": "http://www.villagevoice.com/music/act-of-contrition-6417502"} +{"d:Title": "Nunn, Debbie", "d:Description": "UK based Madonna tribute act. Includes videos, sound files, gig guide, and pictures.", "topic": "Top/Arts/People/M/Madonna/Tribute_Bands", "url": "http://www.madonher.co.uk/"} +{"d:Title": "Madonnabes", "d:Description": "Tribute band that includes Mike Watt on bass. Includes photos from a 1998 show.", "topic": "Top/Arts/People/M/Madonna/Tribute_Bands", "url": "http://www.hootpage.com/hoot_madonnabes.html"} +{"d:Title": "Madonna Tribute: Diva Eve", "d:Description": "Offers information, references and contact information.", "topic": "Top/Arts/People/M/Madonna/Tribute_Bands", "url": "http://www.madonnatribute.com/"} +{"d:Title": "Washington Post: Michael Madsen", "d:Description": "Filmography of the artist.", "topic": "Top/Arts/People/M/Madsen,_Michael", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/michael_madsen.htm"} +{"d:Title": "Addicted To Madsen", "d:Description": "Fan site with pictures, news, filmography, biography, and other information about the actor.", "topic": "Top/Arts/People/M/Madsen,_Michael", "url": "http://www.angelfire.com/celeb/addictedtomadsen/index.html"} +{"d:Title": "Em's Madsen Page", "d:Description": "Fan site with pictures, sounds, and Mr. Blonde quotes.", "topic": "Top/Arts/People/M/Madsen,_Michael", "url": "http://emozlov.tripod.com/madsen.html"} +{"d:Title": "Yahoo! Group - Jolina Magdangal", "d:Description": "Forum and news. Membership required.", "topic": "Top/Arts/People/M/Magdangal,_Jolina", "url": "http://groups.yahoo.com/group/jolinamagdangal/"} +{"d:Title": "IMDb: Garett Maggart", "d:Description": "Filmography, biography, message board and links.", "topic": "Top/Arts/People/M/Maggart,_Garett", "url": "http://www.imdb.com/name/nm0535934/"} +{"d:Title": "IMDb.com - Sean Maguire", "d:Description": "Filmography and brief biography of the actor.", "topic": "Top/Arts/People/M/Maguire,_Sean", "url": "http://www.imdb.com/name/nm0536630/"} +{"d:Title": "Maguireman", "d:Description": "Biography, filmography, quotes and some pictures.", "topic": "Top/Arts/People/M/Maguire,_Tobey", "url": "http://www.maguireman.com/"} +{"d:Title": "Tobeyfan.com", "d:Description": "News, information, pictures, forum, icons and downloads.", "topic": "Top/Arts/People/M/Maguire,_Tobey", "url": "http://www.tobeyfan.com/"} +{"d:Title": "Tobey Maguire News: Topix", "d:Description": "News about Tobey Maguire continually updated from around the net.", "topic": "Top/Arts/People/M/Maguire,_Tobey", "url": "http://www.topix.com/who/tobey-maguire"} +{"d:Title": "Starlink :Tobey Maguire", "d:Description": "Filmography and life story from the early days to the present.", "topic": "Top/Arts/People/M/Maguire,_Tobey", "url": "http://www.kcweb.com/superm/t_maguire.htm"} +{"d:Title": "IMDb.com - Tobey Maguire", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/M/Maguire,_Tobey", "url": "http://www.imdb.com/name/nm0001497/"} +{"d:Title": "Bill Maher", "d:Description": "Official website. Includes news, television and appearance schedule, video clips, transcripts, articles, product information, and a forum.", "topic": "Top/Arts/People/M/Maher,_Bill", "url": "http://www.billmaher.com/"} +{"d:Title": "Michael Mahonen Discussion Group", "d:Description": "A mailing list for discussion of Michael's professional career.", "topic": "Top/Arts/People/M/Mahonen,_Michael", "url": "http://groups.yahoo.com/group/MM/"} +{"d:Title": "Michael Mahonen Lounge", "d:Description": "Yahoo! group dedicated to Mahonen.", "topic": "Top/Arts/People/M/Mahonen,_Michael", "url": "http://groups.yahoo.com/group/michaelmahonenlounge/"} +{"d:Title": "IMDb: Michael Mahonen", "d:Description": "Filmography, biography, message board and links.", "topic": "Top/Arts/People/M/Mahonen,_Michael", "url": "http://www.imdb.com/name/nm0537117/"} +{"d:Title": "IMDb: Jock Mahoney", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/Mahoney,_Jock", "url": "http://www.imdb.com/name/nm0537146/"} +{"d:Title": "IMDb.com - Stephen Mailer", "d:Description": "Complete filmography and biography on the actor.", "topic": "Top/Arts/People/M/Mailer,_Stephen", "url": "http://www.imdb.com/name/nm0537554/"} +{"d:Title": "IMDb: Tina Majorino", "d:Description": "The Internet Movie Database includes a filmography, a mini-biography, and links for Tina Majorino.", "topic": "Top/Arts/People/M/Majorino,_Tina", "url": "http://www.imdb.com/name/nm0001499/"} +{"d:Title": "Tina Majorino", "d:Description": "Includes a filmography, a biography, pictures, and a message board.", "topic": "Top/Arts/People/M/Majorino,_Tina", "url": "http://tmj.homeip.net/"} +{"d:Title": "IMDb: Lee Majors (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Majors,_Lee", "url": "http://www.imdb.com/name/nm0000516/"} +{"d:Title": "Chris Makepeace Tribute Page", "d:Description": "Biography and photographs.", "topic": "Top/Arts/People/M/Makepeace,_Chris", "url": "http://www.chrismakepeace.homestead.com/"} +{"d:Title": "Mako - A Tribute to a Fine Actor", "d:Description": "Photos, biography, filmography, television appearances, news and links.", "topic": "Top/Arts/People/M/Mako", "url": "http://if_i_could_only_fly.tripod.com/makotribute/index.html"} +{"d:Title": "IMDb: Mako", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/Mako", "url": "http://www.imdb.com/name/nm0538683/"} +{"d:Title": "IMDb: Patrick Malahide", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Malahide,_Patrick", "url": "http://www.imdb.com/name/nm0538869/"} +{"d:Title": "Wendie Malick Forever", "d:Description": "Contains a biography, a filmography, transcripts, and pictures.", "topic": "Top/Arts/People/M/Malick,_Wendie", "url": "http://www.wendiemalick.itgo.com/"} +{"d:Title": "Popentertainment.com: Joshua Malina interview", "d:Description": "Malina chats with Jay S. Jacobs about his career and being the new man in 'The West Wing.'", "topic": "Top/Arts/People/M/Malina,_Joshua", "url": "http://www.popentertainment.com/malina.htm"} +{"d:Title": "IMDb: Joshua Malina", "d:Description": "The actor's filmography.", "topic": "Top/Arts/People/M/Malina,_Joshua", "url": "http://www.imdb.com/name/nm0539651/"} +{"d:Title": "Contactmusic.com: John Malkovich on Directing", "d:Description": "The actor/director talks about the movie \"The Dancer Upstairs\".", "topic": "Top/Arts/People/M/Malkovich,_John", "url": "http://www.contactmusic.com/new/home.nsf/webpages/thedancerupstairsx02x12x02"} +{"d:Title": "Topix: John Malkovich", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/M/Malkovich,_John", "url": "http://www.topix.com/who/john-malkovich"} +{"d:Title": "IMDb: John Malkovich", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Malkovich,_John", "url": "http://www.imdb.com/name/nm0000518/"} +{"d:Title": "Actor John Malkovich", "d:Description": "Box office information, vital statistics, links, and amessage board are contained here.", "topic": "Top/Arts/People/M/Malkovich,_John", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jmalkovich"} +{"d:Title": "John Malkovich Malktionary", "d:Description": "A dictionary dedicated to John Malkovich, containing pictures and meanings.", "topic": "Top/Arts/People/M/Malkovich,_John", "url": "http://malkslave.tripod.com/"} +{"d:Title": "Timmy Mallett", "d:Description": "Includes information and wallpaper.", "topic": "Top/Arts/People/M/Mallett,_Timmy", "url": "http://www.brillianttv.co.uk/timmymallett/"} +{"d:Title": "CaseNet: Jena Malone", "d:Description": "Inspiring young actress already established in both TV and movies. Profile and upcoming projects.", "topic": "Top/Arts/People/M/Malone,_Jena", "url": "http://www.casenet.com/people/jenamalone.htm"} +{"d:Title": "IMDb: Jena Malone", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/M/Malone,_Jena", "url": "http://www.imdb.com/name/nm0540441/"} +{"d:Title": "Ultimate Temple Of Jena Malone", "d:Description": "Includes biography, news, interviews, and quotes.", "topic": "Top/Arts/People/M/Malone,_Jena/Fan_Pages", "url": "http://www.angelfire.com/oh/ultimatejena/index.html"} +{"d:Title": "Yahoo! Groups JenaMalonesFanClub", "d:Description": "A fan club dedicated to the actress, although there is often little activity.", "topic": "Top/Arts/People/M/Malone,_Jena/Fan_Pages", "url": "http://groups.yahoo.com/group/jenamalonesfanclub/"} +{"d:Title": "IMDb: Lauren Maltby", "d:Description": "Filmography for the actress.", "topic": "Top/Arts/People/M/Maltby,_Lauren", "url": "http://www.imdb.com/name/nm0540767/"} +{"d:Title": "IMDb: Natassia Malthe", "d:Description": "Includes filmography, television guest appearances and image gallery.", "topic": "Top/Arts/People/M/Malthe,_Natassia", "url": "http://www.imdb.com/name/nm0853573/"} +{"d:Title": "Nick Mancuso Fan Network", "d:Description": "Contains a biography, a filmography, credits, and a picture gallery.", "topic": "Top/Arts/People/M/Mancuso,_Nick", "url": "http://www.actordatabase.com/nickmancuso/"} +{"d:Title": "Official Howie Mandel Website, The", "d:Description": "Includes a biography, personal pictures, tour dates, merchandise, and ticket giveaways. [In English/Italian]", "topic": "Top/Arts/People/M/Mandel,_Howie", "url": "http://www.howiemandel.com/"} +{"d:Title": "Costas Mandylor FanSite", "d:Description": "Fan site with filmography, tribute, pictures, and links.", "topic": "Top/Arts/People/M/Mandylor,_Costas", "url": "http://www.angelfire.com/celeb2/costasmandylor/index.html"} +{"d:Title": "TVGuide.com: Costas Mandylor", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Mandylor,_Costas", "url": "http://www.tvguide.com/celebrities/costas-mandylor/145499"} +{"d:Title": "The Unofficial Mandylor Bro.s Page", "d:Description": "Site has information about both brothers, including filmography, biography, and photos.", "topic": "Top/Arts/People/M/Mandylor,_Costas", "url": "http://mothmacleod.tripod.com/mandylor_index.html"} +{"d:Title": "IMDb.com - Costas Mandylor", "d:Description": "Complete filmography and biography of the actor.", "topic": "Top/Arts/People/M/Mandylor,_Costas", "url": "http://www.imdb.com/name/nm0541908/"} +{"d:Title": "Lata Mangeshkar", "d:Description": "Eminent Indian singer with a huge number of recordings; Guinness Records voice of the millennium.", "topic": "Top/Arts/People/M/Mangeshkar,_Lata", "url": "http://gaurav-kumar.tripod.com/"} +{"d:Title": "Indian Melody : Female Singers - Lata Mangeshkar", "d:Description": "Contains links to songs and articles.", "topic": "Top/Arts/People/M/Mangeshkar,_Lata", "url": "http://www.indianmelody.com/latamangeshkar.htm"} +{"d:Title": "Geetmanjusha: Marathi", "d:Description": "Lyrics for Marathi movie songs, sung by Lata Mangeshkar (uses Hindi fonts).", "topic": "Top/Arts/People/M/Mangeshkar,_Lata", "url": "http://www.geetmanjusha.com/marathi/singer/3.html"} +{"d:Title": "Yahoo! Groups : Lata Mangeshkar", "d:Description": "A Club For Lata Fans.", "topic": "Top/Arts/People/M/Mangeshkar,_Lata", "url": "http://groups.yahoo.com/group/latamangeshkar2/"} +{"d:Title": "ITRANS Song Book", "d:Description": "Songs of Lata Mangeshkar in ASCII and Devnagri fonts.", "topic": "Top/Arts/People/M/Mangeshkar,_Lata", "url": "http://pages.cs.wisc.edu/~navin/india/songs/isongs/indexes/singer/lata_mangeshkar.html"} +{"d:Title": "IMDb.com - Lata Mangeshkar", "d:Description": "Filmography of the Playback singer.", "topic": "Top/Arts/People/M/Mangeshkar,_Lata", "url": "http://www.imdb.com/name/nm0542196/"} +{"d:Title": "Camryn Manheim", "d:Description": "A site for the star of \"The Practice.\" Includes news, awards, articles and links.", "topic": "Top/Arts/People/M/Manheim,_Camryn", "url": "http://www.camryn.com/"} +{"d:Title": "IMDb: Taryn Manning", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Manning,_Taryn", "url": "http://www.imdb.com/name/nm0543383/"} +{"d:Title": "Taryn Manning: Triple Threat", "d:Description": "Article and picture from Paper Magazine.", "topic": "Top/Arts/People/M/Manning,_Taryn", "url": "http://www.papermag.com/?section=article&parid=781"} +{"d:Title": "The Jayne Mansfield Online Fan Club", "d:Description": "Includes pictures, links, and stories.", "topic": "Top/Arts/People/M/Mansfield,_Jayne", "url": "http://www.angelfire.com/nj/jaynemansfield/"} +{"d:Title": "Official Jayne Mansfield Web Site", "d:Description": "Biography, photos, quotes and a message board.", "topic": "Top/Arts/People/M/Mansfield,_Jayne", "url": "http://www.jaynemansfield.com/"} +{"d:Title": "Jayne Mansfield Online Fan Club", "d:Description": "Site about the beautiful, late actress. Rare pictures, biography, links and message board.", "topic": "Top/Arts/People/M/Mansfield,_Jayne", "url": "http://jaynemansfield.net/"} +{"d:Title": "Jayne Mansfield - Too Hot To Handle", "d:Description": "Includes a huge photograph gallery, biographical information, and a filmography.", "topic": "Top/Arts/People/M/Mansfield,_Jayne", "url": "http://www.bombshells.com/jayne/index.php"} +{"d:Title": "Joe Mantegna", "d:Description": "Official web site with filmography, biography, photograph gallery, and interviews.", "priority": "1", "topic": "Top/Arts/People/M/Mantegna,_Joe", "url": "http://www.joemantegna.com/"} +{"d:Title": "Popentertainment.com: Joe Mantegna - One of Us", "d:Description": "The respected actor speaks with Jay S. Jacobs about his long career and new series \"Joan of Arcadia.\"", "topic": "Top/Arts/People/M/Mantegna,_Joe", "url": "http://www.popentertainment.com/mantegna.htm"} +{"d:Title": "CelebrityGolf.com: Interview with Joe Mantegna", "d:Description": "The actor discusses his participation in the Mark and Brian Celebrity Challenge. (Media player needed.)", "topic": "Top/Arts/People/M/Mantegna,_Joe", "url": "http://www.celebritygolf.com/celebrity-list.asp?search=joe+mantegna#"} +{"d:Title": "TVGuide.com: Joe Mantegna", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Mantegna,_Joe", "url": "http://www.tvguide.com/celebrities/joe-mantegna/157552"} +{"d:Title": "IMDb: Joe Mantegna", "d:Description": "A filmography, pictures, and trivia for Joe Mantegna.", "topic": "Top/Arts/People/M/Mantegna,_Joe", "url": "http://www.imdb.com/name/nm0001505/"} +{"d:Title": "Randolph Mantooth's Filmography", "d:Description": "TV and movie credits from the 1970s to the present.", "topic": "Top/Arts/People/M/Mantooth,_Randolph", "url": "http://www.angelfire.com/tv2/emergencyweb/mantoothfilm.html"} +{"d:Title": "Randy Mantooth", "d:Description": "News, photos, projects, biography, filmography, \"Ask Randy\", and fun facts.", "topic": "Top/Arts/People/M/Mantooth,_Randolph", "url": "http://randolphmantooth.com/"} +{"d:Title": "AskMen.com: Josie Maran", "d:Description": "Includes background information, pictures, rankings, a biography, and links.", "topic": "Top/Arts/People/M/Maran,_Josie", "url": "http://www.askmen.com/women/models/36_josie_maran.html"} +{"d:Title": "Biogs.com: Josie Maran", "d:Description": "Features a biography.", "topic": "Top/Arts/People/M/Maran,_Josie", "url": "http://www.biogs.com/dancing/maran.html"} +{"d:Title": "Josie Maran World", "d:Description": "Features photos, biography, articles and a message board.", "topic": "Top/Arts/People/M/Maran,_Josie", "url": "http://josiemaran-world.com/"} +{"d:Title": "Julia Maraval Gallery", "d:Description": "Collection of photographs and screen captures.", "topic": "Top/Arts/People/M/Maraval,_Julia", "url": "http://julia.maraval.free.fr/gallery.php"} +{"d:Title": "IMDb: Julia Maraval", "d:Description": "Filmography for the French actress.", "topic": "Top/Arts/People/M/Maraval,_Julia", "url": "http://www.imdb.com/name/nm0544944/"} +{"d:Title": "AskMen.com: Sophie Marceau", "d:Description": "Offers pictures, biography, commentary and links.", "topic": "Top/Arts/People/M/Marceau,_Sophie", "url": "http://www.askmen.com/women/actress_60/84_sophie_marceau.html"} +{"d:Title": "Actress Sophie Marceau", "d:Description": "Includes galleries, box office information, and vital statistics.", "topic": "Top/Arts/People/M/Marceau,_Sophie/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?smarceau"} +{"d:Title": "Official Forbes March Board", "d:Description": "Offers a message board.", "topic": "Top/Arts/People/M/March,_Forbes", "url": "http://z15.invisionfree.com/ForbesMarch/index.php?act=idx"} +{"d:Title": "The Fire Escape", "d:Description": "A message board for the actor.", "topic": "Top/Arts/People/M/March,_Forbes", "url": "http://z13.invisionfree.com/TheFireEscape/index.php?act=idx"} +{"d:Title": "IMDb: Forbes March", "d:Description": "Filmography, as well as birth date and place information.", "topic": "Top/Arts/People/M/March,_Forbes", "url": "http://www.imdb.com/name/nm0545296/"} +{"d:Title": "TVGuide.com: Fredric March", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/March,_Fredric", "url": "http://www.tvguide.com/celebrities/fredric-march/150966"} +{"d:Title": "IMDb.com - Fredric March", "d:Description": "Complete filmography, brief biography, and photos.", "topic": "Top/Arts/People/M/March,_Fredric", "url": "http://www.imdb.com/name/nm0545298/"} +{"d:Title": "IMDb: Jane March", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/March,_Jane", "url": "http://www.imdb.com/name/nm0001506/"} +{"d:Title": "Yahoo! Club: Stephanie March", "d:Description": "Fan club with message board and photos. Requires registration to access.", "topic": "Top/Arts/People/M/March,_Stephanie", "url": "http://groups.yahoo.com/group/thestephaniemarchfanclub/"} +{"d:Title": "IMDb.com: Stephanie March", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/M/March,_Stephanie", "url": "http://www.imdb.com/name/nm0545335/"} +{"d:Title": "IMDb: David Marciano", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Marciano,_David", "url": "http://www.imdb.com/name/nm0545687/"} +{"d:Title": "AskMen.com: Vanessa Marcil", "d:Description": "Offers biographical information with photos.", "topic": "Top/Arts/People/M/Marcil,_Vanessa", "url": "http://www.askmen.com/women/actress/39_vanessa_marcil.html"} +{"d:Title": "Vanessa Marcil", "d:Description": "Offers biography and information.", "topic": "Top/Arts/People/M/Marcil,_Vanessa", "url": "http://vanessamarcil.com/"} +{"d:Title": "IMDb: Vitina Marcus", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/M/Marcus,_Vitina", "url": "http://www.imdb.com/name/nm0546200/"} +{"d:Title": "Cindy Margolis", "d:Description": "The official site offers a profile of the model, actress and celebrity, together with photographs, news, and merchandise.", "priority": "1", "topic": "Top/Arts/People/M/Margolis,_Cindy", "url": "http://www.cindymargolis.com/"} +{"d:Title": "AskMen.com: Cindy Margolis", "d:Description": "Exclusive online interview with pictures, quotes, and biography.", "topic": "Top/Arts/People/M/Margolis,_Cindy", "url": "http://www.askmen.com/toys/interview_150/163_cindy_margolis_interview.html"} +{"d:Title": "Abstracts.net: Cindy Margolis", "d:Description": "Picture gallery, biography, filmography, news, games, chat, message board, opinion poll, and quiz.", "topic": "Top/Arts/People/M/Margolis,_Cindy/Image_Galleries", "url": "http://www.abstracts.net/cindy-margolis/"} +{"d:Title": "Undying Cindy Margolis", "d:Description": "Thumbnailed photo gallery, biography, and links.", "topic": "Top/Arts/People/M/Margolis,_Cindy/Image_Galleries", "url": "http://www.undying.com/celeb/Cindy_Margolis/index.htm"} +{"d:Title": "Adoring Cindy Margolis", "d:Description": "Images in a thumbnailed picture gallery, biography, and filmography.", "topic": "Top/Arts/People/M/Margolis,_Cindy/Image_Galleries", "url": "http://www.adoring.net/cindymargolis/index.htm"} +{"d:Title": "Cindy Margolis Pictures and Screensavers", "d:Description": "17 picture galleries and screensavers.", "topic": "Top/Arts/People/M/Margolis,_Cindy/Image_Galleries", "url": "http://www.angelfire.com/celeb/iadorecindymargolis/"} +{"d:Title": "Thespian Net presents Julianna Margulies", "d:Description": "Includes pictures, credits, a biography, and links.", "topic": "Top/Arts/People/M/Margulies,_Julianna", "url": "http://www.thespiannet.com/actresses/M/margulies_julianna/julianna_margulies.shtml"} +{"d:Title": "IMDb: Cheech Marin", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Marin,_Cheech", "url": "http://www.imdb.com/name/nm0001507/"} +{"d:Title": "IMdb.com - Ted Markland", "d:Description": "Filmography, biography and photos.", "topic": "Top/Arts/People/M/Markland,_Ted", "url": "http://www.imdb.com/name/nm0548524/"} +{"d:Title": "The Official Kelli Maroney Fan Club", "d:Description": "Includes current information, photographs, a biography, film and audio clips, FAQs, reviews, and a fan club.", "topic": "Top/Arts/People/M/Maroney,_Kelli", "url": "http://www.kellimaroney.com/"} +{"d:Title": "The Amazing Kelli Maroney", "d:Description": "New head shots of actress Kelli Maroney by Amy Barnard.", "topic": "Top/Arts/People/M/Maroney,_Kelli", "url": "http://home.earthlink.net/%7Etelawson/kellimaroney/"} +{"d:Title": "The Lovely Kelli Maroney", "d:Description": "Featuring photos.", "topic": "Top/Arts/People/M/Maroney,_Kelli", "url": "http://cboats1.tripod.com/kellimaroney/"} +{"d:Title": "'N Love with James", "d:Description": "Real-life pictures and pictures of him from X-Men.", "topic": "Top/Arts/People/M/Marsden,_James", "url": "http://www.angelfire.com/celeb/jmarsden/"} +{"d:Title": "IMDb: Jason Marsden", "d:Description": "Features a biography, filmography and photos.", "topic": "Top/Arts/People/M/Marsden,_Jason", "url": "http://www.imdb.com/name/nm0005189/"} +{"d:Title": "Film Scouts: Garry Marshall", "d:Description": "Includes interviews about his films The Other Sister, and Dear God.", "topic": "Top/Arts/People/M/Marshall,_Garry", "url": "http://www.filmscouts.com/scripts/person.cfm?Person=143"} +{"d:Title": "IMDb: Garry Marshall", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/People/M/Marshall,_Garry", "url": "http://www.imdb.com/name/nm0005190/"} +{"d:Title": "Garry Marshall Defends Family Movies", "d:Description": "An interview by Rod Gustafson about his film Raising Helen.", "topic": "Top/Arts/People/M/Marshall,_Garry", "url": "http://parentpreviews.com/news/post/garry-marshall-defends-family-movies"} +{"d:Title": "Paula Marshall Light Appreciation Society", "d:Description": "Fan site with poems, pictures, and links to related sites.", "topic": "Top/Arts/People/M/Marshall,_Paula", "url": "http://tillthen.tripod.com/paula-start.html"} +{"d:Title": "IMBb.com: Penny Marshall", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/People/M/Marshall,_Penny", "url": "http://www.imdb.com/name/nm0001508/"} +{"d:Title": "James Marsters Q&A Database", "d:Description": "Media interviews, convention questions and answers transcripts featuring answers to hundreds of questions James Marsters has answered over the course of his public life.", "topic": "Top/Arts/People/M/Marsters,_James", "url": "http://www.jamesdb.com/"} +{"d:Title": "James Marsters - Wikipedia, the free encyclopedia", "d:Description": "Wikipedia entry for actor James Marsters features extensive biographical and career information. Also includes list of awards and nomations, references, and links.", "topic": "Top/Arts/People/M/Marsters,_James", "url": "http://en.wikipedia.org/wiki/James_Marsters"} +{"d:Title": "Official James Marsters Website", "d:Description": "Personal messages to fans including news of upcoming events and appearances, a filmography, and a biography. Features officially endorsed autographed pictures and music.", "priority": "1", "topic": "Top/Arts/People/M/Marsters,_James", "url": "https://www.facebook.com/JamesMarstersLive"} +{"d:Title": "IMDb.com - James Marsters", "d:Description": "Actor page featuring biographical information, trivia, photos, and a filmography.", "topic": "Top/Arts/People/M/Marsters,_James", "url": "http://www.imdb.com/name/nm0551346/"} +{"d:Title": "More Than Spike", "d:Description": "Guide includes the actor's biography and filmography. Site also features forums, unique games, and an image gallery.", "priority": "1", "topic": "Top/Arts/People/M/Marsters,_James/Fan_Pages", "url": "http://www.morethanspike.com/"} +{"d:Title": "FilmBug: Andrea Martin", "d:Description": "Short profile of her career.", "topic": "Top/Arts/People/M/Martin,_Andrea", "url": "http://www.filmbug.com/db/170568&e=6251"} +{"d:Title": "IMDb: Andrea Martin", "d:Description": "Filmagraphy, profile, photographs, and notable television appearances.", "topic": "Top/Arts/People/M/Martin,_Andrea", "url": "http://www.imdb.com/name/nm0551908/"} +{"d:Title": "Dean Martin Fan Center", "d:Description": "Official site for entertainer Dean Martin. Anything and Everything Dino including fan club, newsletters, merchandise, auctions, and information.", "topic": "Top/Arts/People/M/Martin,_Dean", "url": "http://www.deanmartinfancenter.com/"} +{"d:Title": "Dean Martin's Hollywood", "d:Description": "A list of L.A. locations related to Death Martin's life and death.", "topic": "Top/Arts/People/M/Martin,_Dean", "url": "http://www.seeing-stars.com/StarIndexes/DeanMartin.shtml"} +{"d:Title": "Actor Profile: Dean Martin", "d:Description": "Profile of the legendary crooner by Brian W. Fairbanks.", "topic": "Top/Arts/People/M/Martin,_Dean", "url": "http://www.angelfire.com/oh2/writer/deanmartin.html"} +{"d:Title": "Dean Martin Informationcenter Germany", "d:Description": "Fan site includes biography, filmography, discography and listing of songs, and MIDI files.", "topic": "Top/Arts/People/M/Martin,_Dean", "url": "http://www.dean-martin.de/"} +{"d:Title": "Dean Martin", "d:Description": "Editorial on the legacy of the performance artist. Includes bibliography.", "topic": "Top/Arts/People/M/Martin,_Dean", "url": "http://www.bigbandsandbignames.com/Dino.html"} +{"d:Title": "Happiness Is ... Dean Martin", "d:Description": "Fan site features news, biography, discography, and filmography.", "topic": "Top/Arts/People/M/Martin,_Dean", "url": "http://www.linevision.com/"} +{"d:Title": "Dean Martin Fan Center", "d:Description": "Dedicated site provides club information, news, and everything about the man.", "topic": "Top/Arts/People/M/Martin,_Dean", "url": "http://www.deanmartin.org/"} +{"d:Title": "A Quicksilver Mind: Sir George Martin", "d:Description": "News, biography, interviews, a concert review, and related links.", "topic": "Top/Arts/People/M/Martin,_George", "url": "http://www.pcug.org.au/~jhenry/"} +{"d:Title": "George Martin Music", "d:Description": "Official site of Sir George Martin's recording company and music publishing house.", "topic": "Top/Arts/People/M/Martin,_George", "url": "http://www.georgemartinmusic.com/"} +{"d:Title": "Sir George Martin Interview", "d:Description": "Transcipt from a radio interview in which he talks of his musical production and involvement with \"Yellow Submarine.\"", "topic": "Top/Arts/People/M/Martin,_George", "url": "http://www.21stcenturyradio.com/NP8-22-99-1.html"} +{"d:Title": "George Martin Portfolio", "d:Description": "His work and production history is featured. Sound clips from three interviews.", "topic": "Top/Arts/People/M/Martin,_George", "url": "http://www.beatlesagain.com/bgeorgem.html"} +{"d:Title": "Rock and Roll Hall of Fame", "d:Description": "Inductee biography.", "topic": "Top/Arts/People/M/Martin,_George", "url": "http://rockhall.com/inductees/george-martin/"} +{"d:Title": "Salon.com: Sir George Martin", "d:Description": "Article detailing his career as a music producer and his relationship with The Beatles.", "topic": "Top/Arts/People/M/Martin,_George", "url": "http://www.salon.com/2000/07/25/martin_6/"} +{"d:Title": "IMDb: Jesse L. Martin", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/People/M/Martin,_Jesse_L.", "url": "http://www.imdb.com/name/nm0552509/"} +{"d:Title": "All Jesse L. Martin.Net", "d:Description": "Includes pictures, articles, and transcripts of television appearances.", "topic": "Top/Arts/People/M/Martin,_Jesse_L.", "url": "http://alljesse.bravepages.com/"} +{"d:Title": "TVGuide.com: Kellie Martin", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Martin,_Kellie", "url": "http://www.tvguide.com/celebrities/kellie-martin/193672"} +{"d:Title": "Kellie's Corner", "d:Description": "Biography, filmography, image gallery, archived articles and links.", "topic": "Top/Arts/People/M/Martin,_Kellie", "url": "http://members.tripod.com/~Constance_2/kellie.html"} +{"d:Title": "IMDb: Kellie Martin", "d:Description": "Filmography, trivia, photos, awards, and links.", "topic": "Top/Arts/People/M/Martin,_Kellie", "url": "http://www.imdb.com/name/nm0005192/"} +{"d:Title": "'ER''s Kellie Martin staying busy for the holidays", "d:Description": "By Laurin Sydney. [CNN.com] \"Kellie Martin might be just 23 years old, but she's already been operating on the small screen for 16 years.\"", "topic": "Top/Arts/People/M/Martin,_Kellie/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/TV/9812/15/kellie.martin/"} +{"d:Title": "Kellie Martin Club", "d:Description": "Message board and chat room.", "topic": "Top/Arts/People/M/Martin,_Kellie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/officialkelliemartinclub/"} +{"d:Title": "Kellie Martin - The Original", "d:Description": "The largest Yahoo! fan club to date.", "topic": "Top/Arts/People/M/Martin,_Kellie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/kelliefans/"} +{"d:Title": "Wikipedia.com: Mary Martin", "d:Description": "Credits for her stage, television, and film work, as well as her many awards.", "topic": "Top/Arts/People/M/Martin,_Mary", "url": "http://en.wikipedia.org/wiki/Mary_Martin"} +{"d:Title": "IMDb: Mary Martin", "d:Description": "Profile, filmography, television appearances, awards, and images.", "topic": "Top/Arts/People/M/Martin,_Mary", "url": "http://www.imdb.com/name/nm0552756/"} +{"d:Title": "Pamela Sue Martin", "d:Description": "Official site, features her career details, current projects and related news.", "topic": "Top/Arts/People/M/Martin,_Pamela_Sue", "url": "http://www.pamelasuemartin.com/"} +{"d:Title": "IMBd: Pamela Sue Martin", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/People/M/Martin,_Pamela_Sue", "url": "http://www.imdb.com/name/nm0552863/"} +{"d:Title": "Seth Martin: The Official Site", "d:Description": "Includes statistics, a filmography, pictures, and links.", "topic": "Top/Arts/People/M/Martin,_Seth", "url": "http://www.angelfire.com/ak3/sethmartin/"} +{"d:Title": "All-Reviews: Steve Martin", "d:Description": "Filmography with links to reviews.", "topic": "Top/Arts/People/M/Martin,_Steve", "url": "http://www.all-reviews.com/actors-5/Steve-Martin.htm"} +{"d:Title": "A Wild and Crazy Guy", "d:Description": "Fan club and message board for Steve Martin.", "topic": "Top/Arts/People/M/Martin,_Steve", "url": "http://groups.yahoo.com/group/awildandcrazyguy/"} +{"d:Title": "Steve Martin News: Topix", "d:Description": "News about Steve Martin continually updated from around the net.", "topic": "Top/Arts/People/M/Martin,_Steve", "url": "http://www.topix.com/who/steve-martin"} +{"d:Title": "Topix: Steve Martin", "d:Description": "News about Steve Martin, collected from various sources on the web", "topic": "Top/Arts/People/M/Martin,_Steve", "url": "http://www.topix.com/rss/who/steve-martin.xml"} +{"d:Title": "Steve Martin", "d:Description": "Official site includes news, articles, movies, records, books, screenplays, plays, and message board.", "priority": "1", "topic": "Top/Arts/People/M/Martin,_Steve", "url": "http://www.stevemartin.com/"} +{"d:Title": "The Movie Times: Steve Martin", "d:Description": "Box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/M/Martin,_Steve", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?smartin"} +{"d:Title": "IMDb: Steve Martin", "d:Description": "Picture, filmography, profile, television credits, and trivia.", "topic": "Top/Arts/People/M/Martin,_Steve", "url": "http://www.imdb.com/name/nm0000188/"} +{"d:Title": "Tony Martin", "d:Description": "Internet Movie Database filmography of Tony Martin.", "topic": "Top/Arts/People/M/Martin,_Tony", "url": "http://www.imdb.com/name/nm0553150/"} +{"d:Title": "A&Marcy Admiration Society", "d:Description": "Messages to discuss the work A Martinez and Marcy Walker.", "topic": "Top/Arts/People/M/Martinez,_A", "url": "http://www.voy.com/14205/"} +{"d:Title": "Jokeland", "d:Description": "The official Jackie \"The Jokeman\" Martling webpage. Jokes, stories, and merchandise available.", "topic": "Top/Arts/People/M/Martling,_Jackie", "url": "http://www.jokeland.com/"} +{"d:Title": "Lee Marvin, USMC", "d:Description": "Biographical sketch including his wartime service, photographs of him and his Arlington Cemetery tombstone, map, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/People/M/Marvin,_Lee", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1600"} +{"d:Title": "Lee Marvin", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/M/Marvin,_Lee", "url": "http://www.imdb.com/name/nm0001511/"} +{"d:Title": "The Marx Brothers: The Winsome Foursome", "d:Description": "Extensive, searchable, Marx Brothers biography, filmography, bibliography and discography covering all aspects of their individual and group work in theatre, film, radio, television and publishing.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://www.marx-brothers.org/"} +{"d:Title": "The Marx Brothers' Hollywood", "d:Description": "L.A. locations related to the lives and times of Groucho, Chico and Harpo.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://seeing-stars.com/StarIndexes/MarxBros.shtml"} +{"d:Title": "Marxist Propaganda", "d:Description": "Quicktime movie of Groucho dancing and MPEG video of mirror scene from the movie Duck Soup, as well as film credits and photo gallery.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://www.evl.uic.edu/pape/Marx/"} +{"d:Title": "The Marx Borthers vs. Monty Python", "d:Description": "Message board for fans to debate which comedy team was funnier.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://pw2.netcom.com/~toymoose/contest.html"} +{"d:Title": "Giraffes on Horseback Salads", "d:Description": "Unproduced 1937 film script written for the Marx Brothers by Salvador Dali.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://www.miskatonic.org/dali-marx.html"} +{"d:Title": "WWWF Ground Zero: Three Stooges vs. Marx Brothers", "d:Description": "The two trios of comedy legends fight it out for the last lifeboat on the Titanic. [humor]", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://www.grudge-match.com/History/stooge_marx.shtml"} +{"d:Title": "Marx Brothers Wavs", "d:Description": "Sound archive featuring .wav files from several Marx Brothers movies.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://www.earthstation1.com/Marx_Bros.html"} +{"d:Title": "Harpo Marx: A Dossier", "d:Description": "Takes an inquisitive look into why people loved Harpo Marx so much.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://www.benjisamit.com/harpo"} +{"d:Title": "Brad's Marx Brothers Page", "d:Description": "Presented in black and white. Includes a photo gallery, biographies, filmography, quotes and .wav files.", "topic": "Top/Arts/People/M/Marx_Brothers", "url": "http://cleo256.tripod.com/marx/"} +{"d:Title": "The One, The Only, Groucho!", "d:Description": "Part of the TV Party site, these pages provide information about Groucho's long running quiz show \"You Bet Your Life\" and coverage of Groucho's later television appearances in the 1960's and '70's. Includes media files.", "topic": "Top/Arts/People/M/Marx_Brothers/Groucho_Marx", "url": "http://www.tvparty.com/moviemarx.html"} +{"d:Title": "Groucho Marx: The Writer", "d:Description": "Excerpts from \"The Groucho Letters\"", "topic": "Top/Arts/People/M/Marx_Brothers/Groucho_Marx", "url": "http://www.jgkeegan.com/groucho.html"} +{"d:Title": "My Acid Trip with Groucho", "d:Description": "Article \"My Acid Trip with Groucho\" which appeared in the February, 1981 issue of High Times magazine. Written by Paul Krassner.", "topic": "Top/Arts/People/M/Marx_Brothers/Groucho_Marx", "url": "http://www.sirbacon.org/4membersonly/groucho.htm"} +{"d:Title": "GrouchoWorld.Com", "d:Description": "Site created by Groucho impersonator Frank Ferrante and Groucho's son Arthur Marx. Includes biography and items for sale.", "topic": "Top/Arts/People/M/Marx_Brothers/Groucho_Marx", "url": "http://www.grouchoworld.com/"} +{"d:Title": "The Smoking Gun: Groucho Marx's FBI File", "d:Description": "1953 summary memo on the comedian.", "topic": "Top/Arts/People/M/Marx_Brothers/Groucho_Marx", "url": "http://www.thesmokinggun.com/documents/crime/groucho-marxist-0"} +{"d:Title": "Dan Mason", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/M/Mason,_Dan", "url": "http://www.imdb.com/name/nm0556660/"} +{"d:Title": "The Official Chase Masterson Website", "d:Description": "Includes biographical information, pictures, quotes, and links.", "topic": "Top/Arts/People/M/Masterson,_Chase", "url": "http://www.chasemasterson.com/"} +{"d:Title": "Chase Masterson Official Fan Club", "d:Description": "Includes a biography, news, pictures, and fan club information.", "topic": "Top/Arts/People/M/Masterson,_Chase", "url": "http://www.chaseclub.com/"} +{"d:Title": "IMDb.com - Chase Masterson", "d:Description": "Filmography, brief biography, and photos.", "topic": "Top/Arts/People/M/Masterson,_Chase", "url": "http://www.imdb.com/name/nm0135895/"} +{"d:Title": "IMDb.com - Christopher Masterson", "d:Description": "Complete filmography, biography, and photos.", "topic": "Top/Arts/People/M/Masterson,_Christopher", "url": "http://www.imdb.com/name/nm0557733/"} +{"d:Title": "Oh, Danny Boy: An Unofficial Danny Masterson Fan Page", "d:Description": "Fan site with pictures, information, a biography, quotes, autographs, links, filmography, and sightings.", "topic": "Top/Arts/People/M/Masterson,_Danny", "url": "http://danny-masterson.tripod.com/"} +{"d:Title": "The Original Danny Masterson Fan Page", "d:Description": "Contains news, pictures, and biographical information.", "topic": "Top/Arts/People/M/Masterson,_Danny", "url": "http://the-grand-panjandrum.tripod.com/dannymasterson.html"} +{"d:Title": "IMDb: Mary Stuart Masterson", "d:Description": "Mary's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/People/M/Masterson,_Mary_Stuart", "url": "http://www.imdb.com/name/nm0000524/"} +{"d:Title": "Thespian Net Presents Mary Stuart Masterson", "d:Description": "Thespian Net's site offers a profile, movie credits, pictures, and contact information.", "topic": "Top/Arts/People/M/Masterson,_Mary_Stuart", "url": "http://www.thespiannet.com/actresses/M/masterson_marystuart/mary_stuart_masterson.shtml"} +{"d:Title": "Mary Stuart Masterson: Some Kind of Webpage", "d:Description": "Includes biography, filmography, transcripts, contact information, mailing list, and images.", "topic": "Top/Arts/People/M/Masterson,_Mary_Stuart/Fan_Pages", "url": "http://www.mindspring.com/~jjyoung/msm/"} +{"d:Title": "Mary Stu's Tavern", "d:Description": "Biography, a filmography, pictures, a television schedule, contact information, and links.", "topic": "Top/Arts/People/M/Masterson,_Mary_Stuart/Fan_Pages", "url": "http://www.marystustavern.com/"} +{"d:Title": "Actress Mary Elizabeth Mastrantonio", "d:Description": "Pictures, box office information, vital statistics, links, and a message board are included here.", "topic": "Top/Arts/People/M/Mastrantonio,_Mary_Elizabeth", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?maryem"} +{"d:Title": "The Mary Elizabeth Mastrantonio Page", "d:Description": "Fan site with news, filmography, film synopsis, and pictures.", "topic": "Top/Arts/People/M/Mastrantonio,_Mary_Elizabeth", "url": "http://andreas1.tripod.com/mary.html"} +{"d:Title": "CNN - Marcello Mastroianni dies, Dec. 19, 1996", "d:Description": "CNN obituary - life story, quotes, brief filmography", "topic": "Top/Arts/People/M/Mastroianni,_Marcello", "url": "http://www.cnn.com/SHOWBIZ/9612/19/mastroianni.obit/"} +{"d:Title": "Astrocartography of Marcello Mastroianni", "d:Description": "Focuses on how the planetary metaphor of the sun was reflected in his life and work.", "topic": "Top/Arts/People/M/Mastroianni,_Marcello", "url": "http://www.dominantstar.com/b_mas.htm"} +{"d:Title": "IMDb - Marcello Mastroianni", "d:Description": "Includes a filmography and links.", "topic": "Top/Arts/People/M/Mastroianni,_Marcello", "url": "http://www.imdb.com/name/nm0000052/"} +{"d:Title": "IMDb - Arthur Mathews", "d:Description": "Filmography, notable television appearances and a list of awards won by the writer.", "topic": "Top/Arts/People/M/Mathews,_Arthur", "url": "http://www.imdb.com/name/nm0558612/"} +{"d:Title": "Wikipedia - Arthur Mathews", "d:Description": "Concise article providing a career overview, biographical details and links.", "topic": "Top/Arts/People/M/Mathews,_Arthur", "url": "http://en.wikipedia.org/wiki/Arthur_Mathews"} +{"d:Title": "IMDb: Samantha Mathis", "d:Description": "Includes filmography, a biography, and links.", "topic": "Top/Arts/People/M/Mathis,_Samantha", "url": "http://www.imdb.com/name/nm0000526/"} +{"d:Title": "TVGuide.com: Samantha Mathis", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Mathis,_Samantha", "url": "http://www.tvguide.com/celebrities/samantha-mathis/173698"} +{"d:Title": "Cam's Buddy Board", "d:Description": "Interactive Delphi message board where fans can post messages to the star himself and he sometimes responds.", "topic": "Top/Arts/People/M/Mathison,_Cameron", "url": "http://forums.delphiforums.com/cameronmathison"} +{"d:Title": "Internet Movie Database: Cameron Mathison", "d:Description": "Features filmography on the actor, who currently portrays \"Ryan Lavery\" on the soap opera \"All My Children\".", "topic": "Top/Arts/People/M/Mathison,_Cameron", "url": "http://www.imdb.com/name/nm0558950/"} +{"d:Title": "Marlee Matlin's Authorized Website", "d:Description": "Articles, a biography, a filmography, and photographs are included here.", "topic": "Top/Arts/People/M/Matlin,_Marlee", "url": "http://www.marleematlinsite.com/"} +{"d:Title": "IMDb: Marlee Matlin", "d:Description": "Profile, complete filmography, and television appearances from the Internet Movie Database.", "topic": "Top/Arts/People/M/Matlin,_Marlee", "url": "http://www.imdb.com/name/nm0559144/"} +{"d:Title": "About Deafness: Marlee Matlin and Terrylene", "d:Description": "Article about the careers of both Marlee Matlin and Terrylene, two deaf actresses.", "topic": "Top/Arts/People/M/Matlin,_Marlee", "url": "http://deafness.about.com/od/deafpeople/a/marleematlin.htm"} +{"d:Title": "IMDb: Takako Matsu", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Matsu,_Takako", "url": "http://www.imdb.com/name/nm0559381/"} +{"d:Title": "Matsu Takako Fansite", "d:Description": "General information, recordings.", "topic": "Top/Arts/People/M/Matsu,_Takako", "url": "http://majchan.8m.com/matsu/"} +{"d:Title": "The Matthau Company", "d:Description": "Biography, credits, career highlights and anecdotal information. Includes information about Walter, Carol, Charlie and Ashley Matthau.", "topic": "Top/Arts/People/M/Matthau,_Walter", "url": "http://www.matthau.com/"} +{"d:Title": "The Victor Mature Fan Club", "d:Description": "This site contains galleries, vintage articles, pictures, message boards, links, a mailing list, merchandise, and autographs.", "topic": "Top/Arts/People/M/Mature,_Victor", "url": "http://www.victormature.net/"} +{"d:Title": "Victor Mature", "d:Description": "Internet Movie Database listing with all pertinent career details.", "topic": "Top/Arts/People/M/Mature,_Victor", "url": "http://www.imdb.com/name/nm0001514/"} +{"d:Title": "IMDb.com - Wayne Maunder", "d:Description": "Filmography, brief biography of the actor.", "topic": "Top/Arts/People/M/Maunder,_Wayne", "url": "http://www.imdb.com/name/nm0560932/"} +{"d:Title": "IMDb: Elaine May", "d:Description": "Profile, filmography, message board, and other related information.", "topic": "Top/Arts/People/M/May,_Elaine", "url": "http://www.imdb.com/name/nm0561938/"} +{"d:Title": "IMDb: Jodhi May", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/May,_Jodhi", "url": "http://www.imdb.com/name/nm0562003/"} +{"d:Title": "Paul May's Fanbase", "d:Description": "Contains pictures, a biography, and news.", "topic": "Top/Arts/People/M/May,_Paul", "url": "http://www.angelfire.com/ne/paulmay/"} +{"d:Title": "IMDb: Aidan McArdle", "d:Description": "Filmography and photographs.", "topic": "Top/Arts/People/M/McArdle,_Aidan", "url": "http://www.imdb.com/name/nm1264521/"} +{"d:Title": "IMDb: James McCaffrey", "d:Description": "Filmography for the actor.", "topic": "Top/Arts/People/M/McCaffrey,_James", "url": "http://www.imdb.com/name/nm0564548/"} +{"d:Title": "The Shalane McCall Appreciation Page", "d:Description": "Includes pictures, audio, history.", "topic": "Top/Arts/People/M/McCall,_Shalane", "url": "http://www.shalane.de/"} +{"d:Title": "David McCallum Fans Online", "d:Description": "The newest version of the website for all David McCallum fans - the ultimate McCallum fan playground - pictures, news, sounds, lists, articles, games, filmography, souvenirs, and everything else. One-stop shopping for all David fans, and McCallum's favorite home on the web.", "topic": "Top/Arts/People/M/McCallum,_David", "url": "http://www.davidmccallumfansonline.com/"} +{"d:Title": "Channel M", "d:Description": "Mailing list focuses on the career and work of David McCallum. It is a place where fans of his work meet and chat.", "topic": "Top/Arts/People/M/McCallum,_David", "url": "http://groups.yahoo.com/group/Channel_M"} +{"d:Title": "IMDb: Rick McCallum", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/McCallum,_Rick", "url": "http://imdb.com/name/nm0564768/"} +{"d:Title": "Brian McCardie", "d:Description": "Contains pictures, biographical information, a filmography, news, and links.", "topic": "Top/Arts/People/M/McCardie,_Brian", "url": "http://hazmart.tripod.com/"} +{"d:Title": "Undying Jenny McCarthy", "d:Description": "Includes photo gallery, biography, and links.", "topic": "Top/Arts/People/M/McCarthy,_Jenny", "url": "http://www.undying.com/celeb/Jenny_McCarthy"} +{"d:Title": "Abstracts.net: Jenny McCarthy", "d:Description": "Thumbnail picture galleries, biography, filmography, games, news, and quiz.", "topic": "Top/Arts/People/M/McCarthy,_Jenny", "url": "http://www.abstracts.net/jenny-mccarthy/"} +{"d:Title": "Jenny McCarthy Site", "d:Description": "Picture galleries, wallpapers, biography, filmography and interview.", "topic": "Top/Arts/People/M/McCarthy,_Jenny/Fan_Pages", "url": "http://www.angelfire.com/super/j3nnymccarthy/"} +{"d:Title": "Adoring.net: Jenny McCarthy", "d:Description": "Contains pictures in a thumbnailed image gallery, a biography, and links.", "topic": "Top/Arts/People/M/McCarthy,_Jenny/Image_Galleries", "url": "http://www.adoring.net/jennymccarthy/index.htm"} +{"d:Title": "Linda McCartney Biography: 1997", "d:Description": "Features important highlights of Linda's life; with a link to a catalogue of her photographs and other related sites.", "topic": "Top/Arts/People/M/McCartney,_Linda", "url": "http://www.sfmuseum.org/hist6/lindabio.html"} +{"d:Title": "The Linda McCartney List", "d:Description": "Tribute and remembrances.", "topic": "Top/Arts/People/M/McCartney,_Linda", "url": "http://www.macca-l.org/lindamac/"} +{"d:Title": "Linda McCartney Remembered", "d:Description": "Information on her work as a photographer, artist, musician, businesswoman, and animal rights activist. Links to merchandise sites.", "topic": "Top/Arts/People/M/McCartney,_Linda", "url": "http://archer2000.tripod.com/lindaremembered/"} +{"d:Title": "Linda McCartney", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/People/M/McCartney,_Linda", "url": "http://www.imdb.com/name/nm0565371/"} +{"d:Title": "Court TV Online: Famous Wills", "d:Description": "The Will of Linda McCartney.", "topic": "Top/Arts/People/M/McCartney,_Linda", "url": "http://www.doyourownwill.com/famous-will-of/linda-mccartney.html"} +{"d:Title": "Linda McCartney: Beautiful Angel", "d:Description": "Online fan club with a message board and chat room.", "topic": "Top/Arts/People/M/McCartney,_Linda/Chats_and_Forums", "url": "http://groups.yahoo.com/group/lindamccartneybeautifulangel/"} +{"d:Title": "Linda McCartney's Wide Prairie", "d:Description": "Yahoo! group with chat room, message board, and pictures. Related sites.", "topic": "Top/Arts/People/M/McCartney,_Linda/Chats_and_Forums", "url": "http://groups.yahoo.com/group/lindamccartneyswideprairie/"} +{"d:Title": "Farewell Linda", "d:Description": "Features two articles about Linda's life, with links to a public condolence book.", "topic": "Top/Arts/People/M/McCartney,_Linda/Fan_Pages", "url": "http://bmmorrison.tripod.com/linda.html"} +{"d:Title": "IMDb: Rue McClanahan", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/M/McClanahan,_Rue", "url": "http://www.imdb.com/name/nm0001516/"} +{"d:Title": "Rotten Tomatoes: Edie McClurg", "d:Description": "Includes filmography with Tomatometer ratings.", "topic": "Top/Arts/People/M/McClurg,_Edie", "url": "http://www.rottentomatoes.com/celebrity/edie_mcclurg/"} +{"d:Title": "MovieWeb: Edie McClurg", "d:Description": "Biography, acting credits and photographs.", "topic": "Top/Arts/People/M/McClurg,_Edie", "url": "http://www.movieweb.com/person/edie-mcclurg"} +{"d:Title": "Yahoo! Movies: Edie McClurg", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/M/McClurg,_Edie", "url": "http://movies.yahoo.com/person/edie-mcclurg/"} +{"d:Title": "Internet Movie Database: Edie McClurg", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/People/M/McClurg,_Edie", "url": "http://www.imdb.com/name/nm0566052/"} +{"d:Title": "TV.com: Edie McClurg", "d:Description": "Biography, trivia and credits.", "topic": "Top/Arts/People/M/McClurg,_Edie", "url": "http://www.tv.com/people/edie-mcclurg/"} +{"d:Title": "IMDb: Heather McComb", "d:Description": "Includes filmography, awards, trivia, news articles, and photos.", "topic": "Top/Arts/People/M/McComb,_Heather", "url": "http://www.imdb.com/name/nm0566187/"} +{"d:Title": "The Ultimate Matthew McConaughey Fan Page", "d:Description": "Includes television appearances, photographs, a biography, facts, and a filmography.", "topic": "Top/Arts/People/M/McConaughey,_Matthew", "url": "http://www.angelfire.com/stars/mcconaughey/"} +{"d:Title": "Thespian Net Presents Matthew McConaughey", "d:Description": "Includes a biography, credits, articles, pictures, and links.", "topic": "Top/Arts/People/M/McConaughey,_Matthew", "url": "http://www.thespiannet.com/actors/M/mcconaughey_matthew/index.shtml"} +{"d:Title": "Matthew McConaughey", "d:Description": "A brief fan site with movie information and photographs.", "topic": "Top/Arts/People/M/McConaughey,_Matthew", "url": "http://rach77.tripod.com/matthew.html"} +{"d:Title": "Catherine McCormack", "d:Description": "Biography, photos, and links.", "topic": "Top/Arts/People/M/McCormack,_Catherine", "url": "http://www.tmaw.co.uk/catherinem.html"} +{"d:Title": "The Catherine McCormack Page", "d:Description": "Includes a filmography, statistics, and news.", "topic": "Top/Arts/People/M/McCormack,_Catherine", "url": "http://surfergirl415.tripod.com/cmcormack.html"} +{"d:Title": "IMDb: Catherine McCormack", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/McCormack,_Catherine", "url": "http://www.imdb.com/name/nm0001517/"} +{"d:Title": "Thespian Net Presents Eric McCormack", "d:Description": "Includes a filmography, pictures, and links.", "topic": "Top/Arts/People/M/McCormack,_Eric", "url": "http://www.thespiannet.com/actors/M/mccormack_eric/index.shtml"} +{"d:Title": "Maureen McCormick Fan Club", "d:Description": "Contains news, a biography, a filmography, and a store.", "topic": "Top/Arts/People/M/McCormick,_Maureen", "url": "http://www.ttinet.com/mmfc/"} +{"d:Title": "Sylvester McCoy", "d:Description": "Devoted to the versatile British actor Sylvester McCoy. Featuring convention photographs, books, videos, and links.", "topic": "Top/Arts/People/M/McCoy,_Sylvester", "url": "http://www.sylvestermccoy.com/"} +{"d:Title": "IMDb: Sylvester McCoy", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/M/McCoy,_Sylvester", "url": "http://www.imdb.com/name/nm0566809/"} +{"d:Title": "Paul McCrane Fan Page", "d:Description": "Fan site includes pictures, links, and biography.", "topic": "Top/Arts/People/M/McCrane,_Paul", "url": "http://mary2006er.wordpress.com/"} +{"d:Title": "IMDb.com - Paul McCrane", "d:Description": "Biography, filmography, and pictures.", "topic": "Top/Arts/People/M/McCrane,_Paul", "url": "http://www.imdb.com/name/nm0005204/"} +{"d:Title": "Brucio", "d:Description": "Offers photos and downloadable soundclips of McCulloch's bits.", "topic": "Top/Arts/People/M/McCulloch,_Bruce", "url": "http://www.angelfire.com/rock/guitar687/"} +{"d:Title": "IMDb: Julie McCullough", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/McCullough,_Julie", "url": "http://www.imdb.com/name/nm0567204/"} +{"d:Title": "NPR: The Life and Struggles of Hattie McDaniel", "d:Description": "Jill Watts talks about her biography of the actress.", "topic": "Top/Arts/People/M/McDaniel,_Hattie", "url": "http://www.npr.org/templates/story/story.php?storyId=4946312"} +{"d:Title": "Hollywood Remains to Be Seen: Hattie McDaniel", "d:Description": "Memorial to the actress at the Hollywood Forever Cemetery.", "topic": "Top/Arts/People/M/McDaniel,_Hattie", "url": "http://www.cemeteryguide.com/mcdaniel.html"} +{"d:Title": "All Movie Guide: Hattie McDaniel", "d:Description": "Includes biography, filmography, and awards.", "topic": "Top/Arts/People/M/McDaniel,_Hattie", "url": "http://www.allmovie.com/cg/avg.dll?p=avg&sql=2:30998"} +{"d:Title": "Wikipedia: Hattie McDaniel", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/M/McDaniel,_Hattie", "url": "http://en.wikipedia.org/wiki/Hattie_McDaniel"} +{"d:Title": "IMDb: Hattie McDaniel", "d:Description": "Includes filmography, soundtracks she performed on, and photographs.", "topic": "Top/Arts/People/M/McDaniel,_Hattie", "url": "http://www.imdb.com/name/nm0567408/"} +{"d:Title": "Reel Classics: Hattie McDaniel", "d:Description": "Includes biography, filmography, bibliography, photographs, and links.", "topic": "Top/Arts/People/M/McDaniel,_Hattie", "url": "http://www.reelclassics.com/Actresses/McDaniel/mcdaniel.htm"} +{"d:Title": "Captain Arthur Fancy", "d:Description": "Biography of McDaniel and of his character on NYPD Blue.", "topic": "Top/Arts/People/M/McDaniel,_James", "url": "http://www.stwing.upenn.edu/~sepinwal/fancy.txt.html"} +{"d:Title": "Obsessive Attitudes: James McDaniel", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/McDaniel,_James", "url": "http://obsessive.freeservers.com/blue/mcdaniel.htm"} +{"d:Title": "IMDb: James McDaniel (I)", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/M/McDaniel,_James", "url": "http://www.imdb.com/name/nm0005206/"} +{"d:Title": "The Official John McDaniel Web Site", "d:Description": "Photos, message boards, biography, store and a members only area.", "priority": "1", "topic": "Top/Arts/People/M/McDaniel,_John", "url": "http://www.johnmcdaniel.com/"} +{"d:Title": "Theater Mania.com - Being John McDaniel", "d:Description": "An interview with the musician.", "topic": "Top/Arts/People/M/McDaniel,_John", "url": "http://www.theatermania.com/news/feature/index.cfm?story=393&cid=1"} +{"d:Title": "Yahoo! Clubs McDsPlace", "d:Description": "Message Board, Chat room, Photo Album, News. A Place for John McDaniel fans to gather.", "topic": "Top/Arts/People/M/McDaniel,_John", "url": "http://groups.yahoo.com/group/mcdsplace/"} +{"d:Title": "John McDaniel", "d:Description": "Filmography and Biography.", "topic": "Top/Arts/People/M/McDaniel,_John", "url": "http://www.imdb.com/name/nm0567413/"} +{"d:Title": "IMDb: Kristi McDaniel", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/McDaniel,_Kristi", "url": "http://www.imdb.com/name/nm0567417/"} +{"d:Title": "IMDb: Ian McDiarmid", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/M/McDiarmid,_Ian", "url": "http://imdb.com/name/nm0001519/"} +{"d:Title": "Yahoo! Movies: Ian McDiarmid", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/McDiarmid,_Ian", "url": "http://movies.yahoo.com/person/ian-mcdiarmid/"} +{"d:Title": "Audra McDonald", "d:Description": "Discography and music samples from Nonesuch Records.", "topic": "Top/Arts/People/M/McDonald,_Audra", "url": "http://www.nonesuch.com/artists/audra-mcdonald"} +{"d:Title": "TVGuide.com: Christopher McDonald", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/McDonald,_Christopher", "url": "http://www.tvguide.com/celebrities/christopher-mcdonald/144797"} +{"d:Title": "IMDb.com - Christopher McDonald (I)", "d:Description": "Complete filmography, biography, photo gallery and other information on the actor.", "topic": "Top/Arts/People/M/McDonald,_Christopher", "url": "http://www.imdb.com/name/nm0001520/"} +{"d:Title": "Kevin Admirers", "d:Description": "About Kevin Mcdonald, formerly of the Kid In The Hall.", "topic": "Top/Arts/People/M/McDonald,_Kevin", "url": "http://kevin.admirers.tripod.com/"} +{"d:Title": "IMDb.com - Neal McDonough", "d:Description": "Complete filmography, brief biography and pictures.", "topic": "Top/Arts/People/M/McDonough,_Neal", "url": "http://www.imdb.com/name/nm0568180/"} +{"d:Title": "A Tribute to Roddy McDowall", "d:Description": "Contains career and biographical information, image gallery, sound clips, links, articles, US TV guide, and a fan club with mailing list.", "topic": "Top/Arts/People/M/McDowall,_Roddy", "url": "http://www.xmoppet.org/"} +{"d:Title": "IMDb: Malcolm McDowell", "d:Description": "Filmography, notable television appearances, biography, photographs and news articles.", "topic": "Top/Arts/People/M/McDowell,_Malcolm", "url": "http://www.imdb.com/name/nm0000532/"} +{"d:Title": "Natascha McElhone Online", "d:Description": "Fan site with movie images, videos clips, biography, filmography, articles and interviews.", "topic": "Top/Arts/People/M/McElhone,_Natascha", "url": "http://www.oxygenesis.com/natascha/"} +{"d:Title": "IMDb: Natascha McElhone", "d:Description": "The Internet Movie Database includes filmography, biography, pictures, and links.", "topic": "Top/Arts/People/M/McElhone,_Natascha", "url": "http://www.imdb.com/name/nm0001523/"} +{"d:Title": "Box Office Data for Natascha McElhone", "d:Description": "A page that summarizes box office statistics for movies McElhone appeared in.", "topic": "Top/Arts/People/M/McElhone,_Natascha", "url": "http://www.the-numbers.com/person/95590401-Natascha-McElhone"} +{"d:Title": "IMDb: John McEnery", "d:Description": "A filmography for John McEnery.", "topic": "Top/Arts/People/M/McEnery,_John", "url": "http://www.imdb.com/name/nm0568491/"} +{"d:Title": "TV and Movie Trivia Tribute: Gates McFadden", "d:Description": "Celebrity page with pictures, sounds, links, trivia and merchandise.", "topic": "Top/Arts/People/M/McFadden,_Gates", "url": "http://www.triviatribute.com/gatesmcfadden.html"} +{"d:Title": "WebRing: Gates McFadden", "d:Description": "A growing list of sites linked together.", "topic": "Top/Arts/People/M/McFadden,_Gates", "url": "http://www.webring.org/hub/crusher_gates"} +{"d:Title": "BBC News: McFadden named TV's jungle queen", "d:Description": "Former Atomic Kitten singer Kerry McFadden wins ITV1's I'm A Celebrity...Get Me Out Of Here.", "topic": "Top/Arts/People/M/McFadden,_Kerry", "url": "http://news.bbc.co.uk/1/hi/entertainment/3472451.stm"} +{"d:Title": "BBC News: Kerry McFadden: Kitten gets the cream", "d:Description": "Kerry McFadden's surprise success on I'm A Celebrity... Get Me Out Of Here! will almost certainly lead to a flood of job offers for the former Atomic Kitten singer.", "topic": "Top/Arts/People/M/McFadden,_Kerry", "url": "http://news.bbc.co.uk/1/hi/entertainment/3472613.stm"} +{"d:Title": "The Guardian: Ex-Kitten crowned queen of the jungle", "d:Description": "Kerry wins the third series of \"I'm a Celebrity ... Get Me Out of Here!\" and was crowned Queen of the Jungle. Once an Atomic Kitten, now a lioness.", "topic": "Top/Arts/People/M/McFadden,_Kerry", "url": "http://www.theguardian.com/media/2004/feb/10/broadcasting.realitytv"} +{"d:Title": "IMDb: Kerry Katona", "d:Description": "TV programmes she has appeared in.", "topic": "Top/Arts/People/M/McFadden,_Kerry", "url": "http://www.imdb.com/name/nm1067540/"} +{"d:Title": "Paul McGann Estrogen Brigade", "d:Description": "Includes a biography, news, galleries, audio, and film and television information.", "topic": "Top/Arts/People/M/McGann,_Paul", "url": "http://www.pmeb.org/"} +{"d:Title": "The Trouble with the Tabloids", "d:Description": "Article in The Guardian, October 1997, about how a tabloid story of Paul kissing Catherine Zeta Jones affected the lives of the McGann family.", "topic": "Top/Arts/People/M/McGann,_Paul", "url": "http://www.pmeb.org/guardian.html"} +{"d:Title": "IMDb: Paul McGann", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/People/M/McGann,_Paul", "url": "http://www.imdb.com/name/nm0001524/"} +{"d:Title": "Darren McGavin and Kathie Browne's Internet Web Page", "d:Description": "This site is dedicated to Darren McGavin and Kathie Browne, two actors who have starred in numerous television shows and TV movies including Kolchak: The Night Stalker.", "topic": "Top/Arts/People/M/McGavin,_Darren", "url": "http://www.darrenmcgavin.net/"} +{"d:Title": "TVGuide.com: Darren McGavin", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/McGavin,_Darren", "url": "http://www.tvguide.com/celebrities/darren-mcgavin/146236"} +{"d:Title": "IMDb.com - Darren McGavin", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/M/McGavin,_Darren", "url": "http://www.imdb.com/name/nm0569000/"} +{"d:Title": "IMDb: Howard McGillin", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/People/M/McGillin,_Howard", "url": "http://www.imdb.com/name/nm0569294/"} +{"d:Title": "Howard McGillin and the People of South Park Lyrics", "d:Description": "Lyrics for \"La Resistance\" as sung by the tenor playing the character of Gregory.", "topic": "Top/Arts/People/M/McGillin,_Howard", "url": "http://www.lyricsondemand.com/soundtracks/s/southparkbiggerlongeruncutlyrics/laresistancemedleylyrics.html"} +{"d:Title": "BWW TV: Howard McGillin: Unmasked", "d:Description": "The star talks candidly about his career and performing in the record breaking performance in this video interview.", "topic": "Top/Arts/People/M/McGillin,_Howard", "url": "http://www.broadwayworld.com/article/BWW-TV-Howard-McGillin-UNMASKED-20060522"} +{"d:Title": "The New York Times: Howard McGillin", "d:Description": "Includes filmography, news, trailers and reviews with links to viewer commentary. Free registration required for some areas.", "topic": "Top/Arts/People/M/McGillin,_Howard", "url": "http://www.nytimes.com/movies/person/220332/Howard-McGillin"} +{"d:Title": "IBDb: Howard McGillin", "d:Description": "Official Broadway credits for the tenor listing role, dates of production, nominations and awards.", "topic": "Top/Arts/People/M/McGillin,_Howard", "url": "https://www.ibdb.com/broadway-cast-staff/howard-mcgillin-71233"} +{"d:Title": "Talkin' Broadway", "d:Description": "The tenor discusses his role in \"Peter Pan\", the energy required for physically demanding stunts, and what it's like to work with the leading ladies of theater.", "topic": "Top/Arts/People/M/McGillin,_Howard", "url": "http://www.talkinbroadway.com/page/regional/seattle/se215.html"} +{"d:Title": "TV and Movie Trivia Tribute: Kelly McGillis", "d:Description": "Celebrity page with pictures, links, trivia and merchandise.", "topic": "Top/Arts/People/M/McGillis,_Kelly", "url": "http://www.triviatribute.com/kellymcgillis.html"} +{"d:Title": "IMDb: Kelly McGillis", "d:Description": "The Internet Movie Database includes a filmography, a profile, and links for Kelly McGillis.", "topic": "Top/Arts/People/M/McGillis,_Kelly", "url": "http://www.imdb.com/name/nm0000534/"} +{"d:Title": "An Interview with Patrick McGoohan", "d:Description": "Patrick McGoohan talks about The Prisoner and his career. 1979 Ireland interview. Includes photographs.", "topic": "Top/Arts/People/M/McGoohan,_Patrick", "url": "http://www.roger-goodman.supanet.com/"} +{"d:Title": "Patrick McGoohan", "d:Description": "He lived in Sheffield, England for the early part of his life and was a stage manager at the Sheffield Repertory Theatre. Biography, photographs and links.", "topic": "Top/Arts/People/M/McGoohan,_Patrick", "url": "http://en.wikipedia.org/wiki/Patrick_McGoohan"} +{"d:Title": "Filmography for Patrick McGoohan", "d:Description": "Offers a guide to his other roles and work.", "topic": "Top/Arts/People/M/McGoohan,_Patrick", "url": "http://www.imdb.com/name/nm0001526/"} +{"d:Title": "Patrick McGoohan", "d:Description": "Patrick McGoohan biography, credits, reviews, trivia and notes, unillustrated", "topic": "Top/Arts/People/M/McGoohan,_Patrick", "url": "http://www.tv.com/people/patrick-mcgoohan/"} +{"d:Title": "IMDb: Daniel McGowan", "d:Description": "Filmography for the actor.", "topic": "Top/Arts/People/M/McGowan,_Daniel", "url": "http://www.imdb.com/name/nm0569626/"} +{"d:Title": "Thespian Net Presents Rose McGowan", "d:Description": "Includes pictures, a biography, interviews, and credits.", "topic": "Top/Arts/People/M/McGowan,_Rose", "url": "http://www.thespiannet.com/actresses/M/mcgowan_rose/rose_mcgowan.shtml"} +{"d:Title": "Ring Around Rose", "d:Description": "Rose McGowan webring, star of Charmed, The Doom Generation, Scream and Jawbreaker.", "topic": "Top/Arts/People/M/McGowan,_Rose/Directories", "url": "http://ringaroundrose.tripod.com/"} +{"d:Title": "The Rose McGowan Web Ring", "d:Description": "A growing list of sites linked together.", "topic": "Top/Arts/People/M/McGowan,_Rose/Directories", "url": "http://www.webring.org/hub/tatumrose"} +{"d:Title": "The Realm Of Rose", "d:Description": "A fan page with graphics. The contents include pictures, movies, interviews, downloads, wallpaper, and news.", "topic": "Top/Arts/People/M/McGowan,_Rose/Fan_Pages", "url": "http://www.rosemcgowan.8m.com/"} +{"d:Title": "Blood Rose: A Rose McGowan Site", "d:Description": "A gothic look at Rose McGowan with quotes, pictures, biography and information on The Doom Generation and Charmed.", "topic": "Top/Arts/People/M/McGowan,_Rose/Fan_Pages", "url": "http://bloodrosemcgowan.tripod.com/"} +{"d:Title": "Rose McGowan Picture Gallery", "d:Description": "Huge thumbnailed gallery of pictures for the actress.", "topic": "Top/Arts/People/M/McGowan,_Rose/Image_Galleries", "url": "http://alsrose.tripod.com/rose/index.htm"} +{"d:Title": "Thespian Net: Ewan McGregor", "d:Description": "A short biography, film credits, photographs, articles, and links.", "topic": "Top/Arts/People/M/McGregor,_Ewan", "url": "http://www.thespiannet.com/actors/M/mcgregor_ewan/index.shtml"} +{"d:Title": "Topix: Ewan McGregor", "d:Description": "News about Ewan McGregor, collected from various sources on the web.", "topic": "Top/Arts/People/M/McGregor,_Ewan", "url": "http://www.topix.com/rss/who/ewan-mcgregor.xml"} +{"d:Title": "Rotten Tomatoes : Ewan McGregor", "d:Description": "Filmography with links to newspaper articles.", "topic": "Top/Arts/People/M/McGregor,_Ewan", "url": "http://www.rottentomatoes.com/celebrity/ewan_mcgregor/"} +{"d:Title": "IMDb: Ewan McGregor", "d:Description": "Biography, pictures, video clips, filmography and news articles.", "topic": "Top/Arts/People/M/McGregor,_Ewan", "url": "http://www.imdb.com/name/nm0000191/"} +{"d:Title": "TVGuide.com: Ewan McGregor", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/McGregor,_Ewan", "url": "http://www.tvguide.com/celebrities/ewan-mcgregor/149961"} +{"d:Title": "AskMen.com - Ewan McGregor", "d:Description": "Pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/People/M/McGregor,_Ewan", "url": "http://uk.askmen.com/celebs/men/entertainment_100/123_ewan_mcgregor.html"} +{"d:Title": "TalkTalk: Ewan McGregor", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/M/McGregor,_Ewan", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/ewan-mcgregor/biography/31"} +{"d:Title": "Ewan2", "d:Description": "A discussion list.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ewan2"} +{"d:Title": "A Man Less Ordinary", "d:Description": "A mailing list to discuss Ewan McGregor and his films.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/amanlessordinary"} +{"d:Title": "Satellite of Love", "d:Description": "Dedicated to Ewan McGregor fan fiction, especially about the film \"Velvet Goldmine,\" and general discussion.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Satellite_of_Love"} +{"d:Title": "Natural Nylon", "d:Description": "A discussion list for fans of the founders of the Natural Nylon Production Company: Ewan McGregor, Jude Law, Sadie Frost, Jonny Lee Miller, and Sean Pertwee.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/naturalnylon/"} +{"d:Title": "Obi WanKenobi", "d:Description": "For fans of Ewan McGregor's Obi-Wan to share fan fiction, pictures, and other information.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Obi-WanKenobi"} +{"d:Title": "Ewan Altar Chat", "d:Description": "A Yahoo! Groups forum featuring a message board and chat room.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ewanaltarchat/"} +{"d:Title": "Ewan McGregor", "d:Description": "Message board, chat room, and an invitation to join.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ewanmcgregor2/"} +{"d:Title": "Ewan McGregor as Obi Wan Club", "d:Description": "A conglomeration of fans. Yahoo! Groups site features message board and chat room.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ewanmcgregorasobiwanclub/"} +{"d:Title": "Choose Ewan", "d:Description": "Discussion group dedicated to Ewan McGregor.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ChooseEwan/"} +{"d:Title": "Ewans Eden", "d:Description": "Ewan McGregor discussion group that includes picture sharing.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/EwansEden/"} +{"d:Title": "Ewan-Spotters", "d:Description": "Open discussion about Ewan McGregor's life, films and fanfiction.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Ewan-Spotters/"} +{"d:Title": "The Ewan McGregor Drool Pool", "d:Description": "Open discussion group that includes a photo album.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://groups.yahoo.com/group/EM-DP/"} +{"d:Title": "EwanFest", "d:Description": "A discussion group that offers fans a chance to interact and discuss topics related to Ewan McGregor.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/ewanfest/"} +{"d:Title": "For the Loveof Ewan", "d:Description": "A Ewan McGregor link exchange.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Directories", "url": "http://www.angelfire.com/ga2/ewanlinks/main.html"} +{"d:Title": "Ewan McGregor Webring", "d:Description": "Small web ring for fans of Ewan McGregor.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Directories", "url": "http://nav.webring.org/navcgi?ring=ewan"} +{"d:Title": "Ewan McGregor Addicts", "d:Description": "Large picture collection, contact information, facts, film information, links, and quotes.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Fan_Pages", "url": "http://www.angelfire.com/oh3/ewanaddict/index.html"} +{"d:Title": "Ewan McGregor's Ladder to the Starz", "d:Description": "Several picture galleries, wallpapers, filmography, quotes, and other fan information.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Fan_Pages", "url": "http://www.angelfire.com/celeb2/scarlettraces/"} +{"d:Title": "Ewan McGregor", "d:Description": "Facts and pictures.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Fan_Pages", "url": "http://www.angelfire.com/celeb2/EwanMcgregor782/"} +{"d:Title": "Everything Ewan", "d:Description": "Photos, screen captures, sound waves, and articles.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Fan_Pages", "url": "http://www.angelfire.com/film/ewanmcgregor/"} +{"d:Title": "Ewan Reliquary", "d:Description": "Features awards, adoptions, pictures and quotes.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Fan_Pages", "url": "http://ewanreliquary.tripod.com/index.html"} +{"d:Title": "Ewanspotting.Com", "d:Description": "Provides news, a biography, a filmography, media, and fan-to-fan interaction.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Fan_Pages", "url": "http://www.ewanspotting.com/"} +{"d:Title": "Viva Ewan!", "d:Description": "Mexican site dedicated to Scottish actor Ewan McGregor; biography, filmography, photo galleries, postcards, and polls.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Fan_Pages", "url": "http://www.angelfire.com/celeb2/viva_ewan/"} +{"d:Title": "The Ewan McGregor Gallery", "d:Description": "Publicity photographs and movie stills.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Image_Galleries", "url": "http://www.angelfire.com/tn/thisisridiculous/EwanMcGregor.html"} +{"d:Title": "Best of Ewan", "d:Description": "Extensive image gallery and desktop wallpapers.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Image_Galleries", "url": "http://www.bestofewan.com/"} +{"d:Title": "Ewan McGregor Pictures World", "d:Description": "Pictures and animations sorted by category. In English and Japanese.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Image_Galleries", "url": "http://www.asahi-net.or.jp/~mq6s-tgsk/ewan/top.html"} +{"d:Title": "Celebrities-Pictures.com: Ewan McGregor", "d:Description": "Gallery of pictures and original wallpapers as well as facts, trivia and quotes.", "topic": "Top/Arts/People/M/McGregor,_Ewan/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/ewan%20mcgregor"} +{"d:Title": "The Musical World of Rocky Horror: Tim McInnerny", "d:Description": "Various sound files from the Blackadder TV series, and from the London Cast of The Rocky Horror Show (he played Dr. Frank-N-Furter).", "topic": "Top/Arts/People/M/McInnerny,_Tim", "url": "http://www.rockymusic.org/sounds/tim-mcinnerny-frames.html"} +{"d:Title": "IMDb: Tim McInnerny", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/McInnerny,_Tim", "url": "http://www.imdb.com/name/nm0570570/"} +{"d:Title": "Gormenghast : Fuchsia", "d:Description": "Brief biography, and detail and photos of Neve's role in the BBC drama Gormenghast.", "topic": "Top/Arts/People/M/McIntosh,_Neve", "url": "http://www.pbs.org/wgbh/gormenghast/characters/fuchsia.html"} +{"d:Title": "IMDb.com - Neve McIntosh", "d:Description": "Complete filmography of the actress.", "topic": "Top/Arts/People/M/McIntosh,_Neve", "url": "http://www.imdb.com/name/nm0570679/"} +{"d:Title": "Christine McIntyre", "d:Description": "Dedicated to screen actress Christine McIntyre (1911-84).", "topic": "Top/Arts/People/M/McIntyre,_Christine", "url": "http://taillefer.tripod.com/ChristineMcIntyre/"} +{"d:Title": "The Newlyweds and Their Baby", "d:Description": "Information and photographs of forgotten child movie star Sunny McKeen.", "topic": "Top/Arts/People/M/McKeen,_Sunny", "url": "http://www.angelfire.com/movies/babysnookums/"} +{"d:Title": "IMDb: Danica McKellar", "d:Description": "Filmography and photographs.", "topic": "Top/Arts/People/M/McKellar,_Danica", "url": "http://www.imdb.com/name/nm0005211/"} +{"d:Title": "Sir Ian McKellen Official Home Page", "d:Description": "Includes Sir Ian's personal commentary on many of his stage plays, films/cinema, and television/video productions.", "topic": "Top/Arts/People/M/McKellen,_Ian", "url": "http://www.mckellen.com/"} +{"d:Title": "TalkTalk: Ian McKellen", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/M/McKellen,_Ian", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/ian-mckellen/biography/43"} +{"d:Title": "Ian McKellen", "d:Description": "Features pictures, biography, and filmography at IMDb.", "topic": "Top/Arts/People/M/McKellen,_Ian", "url": "http://www.imdb.com/name/nm0005212/"} +{"d:Title": "Figwit Lives", "d:Description": "Includes current news about McKenzie, gallery with each scene Figwit appears in, fan art, animations, songs, and articles.", "topic": "Top/Arts/People/M/McKenzie,_Bret", "url": "http://www.figwitlives.net/"} +{"d:Title": "The Age: The Elf who turned into a Chick Magnet", "d:Description": "Article about the nameless elf in The Lord of the Rings who inspired a cult following on the Web.", "topic": "Top/Arts/People/M/McKenzie,_Bret", "url": "http://www.theage.com.au/articles/2003/01/10/1041990093364.html"} +{"d:Title": "The Argonath: Bret McKenzie", "d:Description": "Features photographs, news, and brief biography.", "topic": "Top/Arts/People/M/McKenzie,_Bret", "url": "http://www.theargonath.cc/cast/bmckenzie/bmckenzie.html"} +{"d:Title": "Nancy McKeon's Unofficial Site", "d:Description": "News, biography, filmography, gallery, message board, links, fan fiction.", "topic": "Top/Arts/People/M/McKeon,_Nancy", "url": "http://www.angelfire.com/celeb/nancyjmckeon/index.html"} +{"d:Title": "IMDb: Nancy McKeon", "d:Description": "Biography, filmography, and links.", "topic": "Top/Arts/People/M/McKeon,_Nancy", "url": "http://www.imdb.com/name/nm0001529/"} +{"d:Title": "Leo McKern", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/People/M/McKern,_Leo", "url": "http://www.imdb.com/name/nm0571674/"} +{"d:Title": "IMDb: Kevin McKidd", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/McKidd,_Kevin", "url": "http://www.imdb.com/name/nm0571727/"} +{"d:Title": "Mark McKinney Madness", "d:Description": "A collection of articles, interviews, pictures, a biography, fan experiences, a club to join, and links.", "topic": "Top/Arts/People/M/McKinney,_Mark", "url": "http://www.angelfire.com/il2/markmckinney/mmm.html"} +{"d:Title": "CyberBoxingZone.com: Victor McLaglen", "d:Description": "Lists his bouts as a professional boxer.", "topic": "Top/Arts/People/M/McLaglen,_Victor", "url": "http://www.cyberboxingzone.com/boxing/mclaglen.htm"} +{"d:Title": "Wikipedia: Victor McLaglen", "d:Description": "Profile with searchable links and a movie list.", "topic": "Top/Arts/People/M/McLaglen,_Victor", "url": "http://en.wikipedia.org/wiki/Victor_McLaglen"} +{"d:Title": "IMDb: Victor McLaglen", "d:Description": "Profile, complete filmography, and television appearances.", "topic": "Top/Arts/People/M/McLaglen,_Victor", "url": "http://www.imdb.com/name/nm0572142/"} +{"d:Title": "Sally McLean", "d:Description": "Official site of the Australian film, television, and theatre actress. Photographs, news, filmography, and video.", "priority": "1", "topic": "Top/Arts/People/M/McLean,_Sally", "url": "http://www.sallymclean.com/"} +{"d:Title": "Kinlochaline Castle: Sally McLean", "d:Description": "Biography, credits, and links.", "topic": "Top/Arts/People/M/McLean,_Sally", "url": "http://kinlochalinecastle.tripod.com/ladies/sallymc.html"} +{"d:Title": "IMDb: Sally McLean", "d:Description": "Television and movie credits, profile, and contact details.", "topic": "Top/Arts/People/M/McLean,_Sally", "url": "http://www.imdb.com/name/nm0572643/"} +{"d:Title": "The Winter of Our Discontent", "d:Description": "Includes biography, filmography, photographs, news, and general industry information.", "topic": "Top/Arts/People/M/McLean,_Sally", "url": "http://discontented-winter.tripod.com/"} +{"d:Title": "Zoe McLellan", "d:Description": "Offers a thumbnailed image gallery.", "topic": "Top/Arts/People/M/McLellan,_Zoe", "url": "http://www.earth62.net/miscitems/zoe/zoemclellan.htm"} +{"d:Title": "IMDb", "d:Description": "Includes images and a filmography.", "topic": "Top/Arts/People/M/McLellan,_Zoe", "url": "http://www.imdb.com/name/nm0572721/"} +{"d:Title": "IMDb - Pauline McLynn", "d:Description": "Biography and trivia, with a list of acting credits and details of awards won by the actress.", "topic": "Top/Arts/People/M/McLynn,_Pauline", "url": "http://www.imdb.com/name/nm0572965/"} +{"d:Title": "BBC - Ask Pauline McLynn", "d:Description": "Transcript of a live chat with fans.", "topic": "Top/Arts/People/M/McLynn,_Pauline", "url": "http://www.bbc.co.uk/comedy/tvtogo/ask/pauline_mclynn1.shtml"} +{"d:Title": "Pauline McLynn", "d:Description": "Official agent's page providing biographical information and curriculum vitae.", "topic": "Top/Arts/People/M/McLynn,_Pauline", "url": "http://www.lisarichards.ie/actorsf/pauline-mclynn-actor"} +{"d:Title": "Yahoo Groups: JMEB", "d:Description": "Mailing list for fans of Julian McMahon. Age verification required.", "topic": "Top/Arts/People/M/McMahon,_Julian", "url": "http://groups.yahoo.com/group/JMEB/"} +{"d:Title": "The Julian McMahon Fan Club", "d:Description": "Home page for the club on Yahoo!", "topic": "Top/Arts/People/M/McMahon,_Julian", "url": "http://groups.yahoo.com/group/thejulianmcmahonfanclub/"} +{"d:Title": "IMDb: Julian McMahon", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/McMahon,_Julian", "url": "http://www.imdb.com/name/nm0573037/"} +{"d:Title": "IMDb: Michael McManus", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/McManus,_Michael", "url": "http://www.imdb.com/name/nm0573158/"} +{"d:Title": "IMDb: Brian McNamara", "d:Description": "The actor's filmography.", "topic": "Top/Arts/People/M/McNamara,_Brian", "url": "http://www.imdb.com/name/nm0573658/"} +{"d:Title": "Robert Duncan McNeill", "d:Description": "Official homepage offers news, photographs, archived chats and interviews, a biography and credits for actor/director Robert Duncan McNeill.", "topic": "Top/Arts/People/M/McNeill,_Robert_Duncan", "url": "http://www.robertduncanmcneill.net/"} +{"d:Title": "Jimmy and Kristy Yahoo Group", "d:Description": "Fan club about the brother and sister.", "topic": "Top/Arts/People/M/McNichol,_Jimmy", "url": "http://groups.yahoo.com/group/jimmyandkristymcnicholclub/"} +{"d:Title": "IMDb.com - Jimmy McNichol", "d:Description": "Filmography and biography of the actor.", "topic": "Top/Arts/People/M/McNichol,_Jimmy", "url": "http://www.imdb.com/name/nm0574000/"} +{"d:Title": "The Kristy McNichol mailing list", "d:Description": "Join this mailing list for news about the actress.", "topic": "Top/Arts/People/M/McNichol,_Kristy", "url": "http://groups.yahoo.com/group/Kristy_McNichol"} +{"d:Title": "Jimmy and Kristy Yahoo Club", "d:Description": "Fan club about the brother and sister.", "topic": "Top/Arts/People/M/McNichol,_Kristy", "url": "http://groups.yahoo.com/group/jimmyandkristymcnicholclub/"} +{"d:Title": "SergeElnitsky's Kristy McNichol Page", "d:Description": "Fan site with pictures, links, news, biography, and filmography.", "topic": "Top/Arts/People/M/McNichol,_Kristy", "url": "http://www.elnitsky.com/KristyMcNichol/"} +{"d:Title": "TVGuide.com: Kristy McNichol", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/McNichol,_Kristy", "url": "http://www.tvguide.com/celebrities/kristy-mcnichol/161004"} +{"d:Title": "Kristy McNichol en ik", "d:Description": "Fan page for Kristy McNichol.", "topic": "Top/Arts/People/M/McNichol,_Kristy", "url": "http://mayday.home.xs4all.nl/"} +{"d:Title": "IMDB - Kristy McNichol", "d:Description": "Complete filmography and biography of the actress.", "topic": "Top/Arts/People/M/McNichol,_Kristy", "url": "http://www.imdb.com/name/nm0001531/"} +{"d:Title": "The First Steve McQueen Site", "d:Description": "Includes a biography, photographs, trivia, and magazine articles.", "topic": "Top/Arts/People/M/McQueen,_Steve", "url": "http://stvmcqueen.tripod.com/"} +{"d:Title": "The Steve McQueen Film Poster Site", "d:Description": "This web site displays over 700 posters from the films of Steve McQueen.", "topic": "Top/Arts/People/M/McQueen,_Steve", "url": "http://www.stevemcqueen.org.uk/"} +{"d:Title": "IMDb: Steve McQueen (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/M/McQueen,_Steve", "url": "http://www.imdb.com/name/nm0000537/"} +{"d:Title": "IMDb: Daniel McVicar", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/McVicar,_Daniel", "url": "http://www.imdb.com/name/nm0002743/"} +{"d:Title": "TVGuide.com: Colm Meaney", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Meaney,_Colm", "url": "http://www.tvguide.com/celebrities/colm-meaney/145335"} +{"d:Title": "IMDb.com - Colm Meaney", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/M/Meaney,_Colm", "url": "http://www.imdb.com/name/nm0000538/"} +{"d:Title": "IMDb: Heather Medway", "d:Description": "The Internet Movie Database includes her filmography, biographical information, and links.", "topic": "Top/Arts/People/M/Medway,_Heather", "url": "http://www.imdb.com/name/nm0575977/"} +{"d:Title": "TVGuide.com: Heather Medway", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Medway,_Heather", "url": "http://www.tvguide.com/celebrities/heather-medway/153371"} +{"d:Title": "TVGuide.com: Jeffrey Meek", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Meek,_Jeffrey", "url": "http://www.tvguide.com/celebrities/jeffrey-meek/156531"} +{"d:Title": "IMDb.com - Jeffrey Meek", "d:Description": "Complete filmography of the actor.", "topic": "Top/Arts/People/M/Meek,_Jeffrey", "url": "http://www.imdb.com/name/nm0576088/"} +{"d:Title": "Ralph Meeker", "d:Description": "Internet Movie Database filmography as well as notable television appearances.", "topic": "Top/Arts/People/M/Meeker,_Ralph", "url": "http://www.imdb.com/name/nm0576127/"} +{"d:Title": "A Tamara Mello Fan Site", "d:Description": "A fan site with photographs, a filmography, and a short biography about the actress who plays Lily Esposito on the WB's \"Popular.\"", "topic": "Top/Arts/People/M/Mello,_Tamara", "url": "http://tamaramello.tripod.com/"} +{"d:Title": "Christopher Meloni", "d:Description": "Christopher Meloni's filmography at IMDb.", "topic": "Top/Arts/People/M/Meloni,_Christopher", "url": "http://www.imdb.com/name/nm0005221/"} +{"d:Title": "Box Office Data for Christopher Meloni", "d:Description": "The Numbers provides box office news and detailed analysis for movie fans", "topic": "Top/Arts/People/M/Meloni,_Christopher", "url": "http://www.the-numbers.com/person/97810401-Christopher-Meloni"} +{"d:Title": "Justin Melvey", "d:Description": "Contains pictures, interviews, and links.", "topic": "Top/Arts/People/M/Melvey,_Justin", "url": "http://nadinetisdell.tripod.com/JustinMelvey/"} +{"d:Title": "The Official Sam Melville Homepage", "d:Description": "Sam Melville is best known as Mike Danko from the hit television show \"The Rookies\" and from \"Big Wednesday.\" This site includes pictures, sounds, and links.", "topic": "Top/Arts/People/M/Melville,_Sam", "url": "http://sam.mkbmemorial.com/"} +{"d:Title": "IMDb: Sam Melville", "d:Description": "Provides filmography and brief biography.", "topic": "Top/Arts/People/M/Melville,_Sam", "url": "http://www.imdb.com/name/nm0578505/"} +{"d:Title": "AskMen.com : Eva Mendes Q&A", "d:Description": "Exclusive interview.", "topic": "Top/Arts/People/M/Mendes,_Eva", "url": "http://www.askmen.com/toys/interview/42_eva_mendes_interview.html"} +{"d:Title": "IMDb.com - Eva Mendes", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/M/Mendes,_Eva", "url": "http://www.imdb.com/name/nm0578949/"} +{"d:Title": "Oleg Menshikov", "d:Description": "The site dedicated to the best Russian actor: biography, filmography, theatre works, gallery, interviews, and articles.", "topic": "Top/Arts/People/M/Menshikov,_Oleg", "url": "http://members.tripod.com/gore_ot_oma/"} +{"d:Title": "IMdb.com - Oleg Menshikov", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/M/Menshikov,_Oleg", "url": "http://www.imdb.com/name/nm0579823/"} +{"d:Title": "Idina Menzel", "d:Description": "Official site includes news, music, stories, and bio.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.idinamenzel.com/"} +{"d:Title": "Idina Menzel and Wicked the Musical", "d:Description": "Information about her recordings and role in the musical Wicked.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.musicalschwartz.com/recordings/menzel.htm"} +{"d:Title": "Review - Still I Can't Be Still", "d:Description": "Music-Critic.com review by Kriste Matrisch.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.music-critic.com/pop/menzel_bestill.htm"} +{"d:Title": "Idina and Rent", "d:Description": "Photos and links.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.angelfire.com/musicals/rentlm/idina.html"} +{"d:Title": "MusicMoz - Menzel, Idina", "d:Description": "Submissions for music related information.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://musicmoz.org/Bands_and_Artists/M/Menzel,_Idina/"} +{"d:Title": "AskMen.com - Idina Menzel", "d:Description": "Feature includes pictures, in-depth biography, and commentary with ratings.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.askmen.com/women/singer_200/223_idina_menzel.html"} +{"d:Title": "IMDb: Idina Menzel", "d:Description": "Filmography, mini-biography, and photos.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.imdb.com/name/nm0579953/"} +{"d:Title": "Yahoo! Groups - Idina Menzel", "d:Description": "Mailing list with photos, links, and member surveys.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://groups.yahoo.com/group/idina/"} +{"d:Title": "Wikipedia: Idina Menzel", "d:Description": "Encyclopedia entry with biography and links.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://en.wikipedia.org/wiki/Idina_Menzel"} +{"d:Title": "Idina-Here.com", "d:Description": "Fan site with pictures, video and audio clips, news and general information.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.idina-here.com/"} +{"d:Title": "MTV.com: Idina Menzel", "d:Description": "Artist profile including biography, photos, and message board.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "http://www.mtv.com/artists/idina-menzel/"} +{"d:Title": "IBDB: Idina Menzel", "d:Description": "Idina Broadway credits, nominations and awards from the Internet Broadway Database.", "topic": "Top/Arts/People/M/Menzel,_Idina", "url": "https://www.ibdb.com/broadway-cast-staff/idina-menzel-52769"} +{"d:Title": "Idina Menzel Refuses to be Still", "d:Description": "CNN.com interview from Bill Tush about the release of Still I Can't Be Still.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://us.cnn.com/SHOWBIZ/Music/9810/27/menzel/"} +{"d:Title": "Green Day: A Witch's Transformation", "d:Description": "New York Times article by Liesl Schillinger documenting Idna's transformation to the Elphaba character in Wicked.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.nytimes.com/2004/05/23/theater/theaterspecial/23SCHI.html"} +{"d:Title": "BroadwayWorld.com: Idina Menzel Breaks Records as Fastest Selling Provincetown Theater Show", "d:Description": "In what has been a first for The Provincetown Theater, tickets for all four Idina Menzel performances from August 20 - 23, 2005 sold in record time.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=4340"} +{"d:Title": "Playbill News: Wicked's Menzel to Be Featured on \"Desperate Housewives\" CD", "d:Description": "Tony Award winner Idina Menzel will be one of the many artists featured on the new CD.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.playbill.com/news/article/wickeds-menzel-to-be-featured-on-desperate-housewives-cd-127632"} +{"d:Title": "Playbill News: Wicked's Idina Menzel Sings \"Uncharted: Andrew Lippa\"", "d:Description": "Wicked's not-so-Wicked-Witch-of-the-West, Idina Menzel, will be among the theatre stars singing the works of Andrew Lippa Dec. 15.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.playbill.com/news/article/wickeds-idina-menzel-sings-uncharted-andrew-lippa-dec.-15-116779"} +{"d:Title": "Diva Talk: Idina Menzel", "d:Description": "A Playbill interview by Andrew Gans.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.playbill.com/celebritybuzz/article/diva-talk-a-chat-with-wickeds-idina-menzel-plus-news-of-cook-and-ripley-116991"} +{"d:Title": "Playbill News: Wicked's Menzel and Grey Sign on To 2005", "d:Description": "Those wishing to catch Idina Menzel and Joel Grey in the hit new musical Wicked have a bit more time to do so.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.playbill.com/news/article/wickeds-menzel-and-grey-sign-on-to-2005-119203"} +{"d:Title": "Playbill News: Wicked Tony Winner Takes Brief Hiatus Mid-June", "d:Description": "Idina Menzel, who won a 2004 Tony Award for her performance in Wicked, will take a two-week hiatus from the hit musical to film a new movie.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.playbill.com/news/article/wicked-tony-winner-takes-brief-hiatus-mid-june-120212"} +{"d:Title": "Playbill News: Wicked's Menzel and McIntyre Will Exit Oz Jan. 9", "d:Description": "Fans of Tony-winning actress Idina Menzel will have one additional week to catch her award-winning performance in the hit musical Wicked.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.playbill.com/news/article/wickeds-menzel-and-mcintyre-will-exit-oz-jan.-9-121975"} +{"d:Title": "Playbill News: Injured Menzel Makes Surprise Appearance at Jan. 9 Wicked Performance", "d:Description": "Tony Award winner Idina Menzel, who was to play her final performance in Wicked Jan. 9, was injured during the Jan. 8 matinee of the hit Stephen Schwartz musical.", "topic": "Top/Arts/People/M/Menzel,_Idina/Articles", "url": "http://www.playbill.com/news/article/injured-menzel-makes-surprise-appearance-at-jan.-9-wicked-performance-123479"} +{"d:Title": "Rick Mercer Online", "d:Description": "Fan site with photo galleries, upcoming television listings, as well as biography and contact information.", "topic": "Top/Arts/People/M/Mercer,_Rick", "url": "http://www.angelfire.com/celeb/rickmercer/"} +{"d:Title": "IMDb.com - Rick Mercer", "d:Description": "Filmography and brief biography of the actor.", "topic": "Top/Arts/People/M/Mercer,_Rick", "url": "http://www.imdb.com/name/nm0580300/"} +{"d:Title": "IMDb - Melina Mercouri", "d:Description": "Melina Mercouri's filmography at IMDb", "topic": "Top/Arts/People/M/Mercouri,_Melina", "url": "http://www.imdb.com/name/nm0580479/"} +{"d:Title": "A Tribute to Melina Mercouri", "d:Description": "A fans dedication to the actress Melina Mercouri.", "topic": "Top/Arts/People/M/Mercouri,_Melina", "url": "http://abitabit.tripod.com/tribute.html"} +{"d:Title": "Paul Mercurio Online", "d:Description": "Resource for Paul's career in dance and film including biography, pictures, articles, films, and links.", "topic": "Top/Arts/People/M/Mercurio,_Paul", "url": "http://www.paulmercurio.net/"} +{"d:Title": "Australian Dancing - Paul Mercurio", "d:Description": "Profile from the Australian Dance Collection including pictures, articles, and accomplishments.", "topic": "Top/Arts/People/M/Mercurio,_Paul", "url": "http://www.australiadancing.org/subjects/43.html"} +{"d:Title": "IMDb.com - Paul Mercurio", "d:Description": "Filmography, biography, and other information about the actor.", "topic": "Top/Arts/People/M/Mercurio,_Paul", "url": "http://www.imdb.com/name/nm0580527/"} +{"d:Title": "Musicals101.com Bio: Ethel Merman", "d:Description": "Biography with a listing of her Broadway shows.", "topic": "Top/Arts/People/M/Merman,_Ethel", "url": "http://www.musicals101.com/mermbio.htm"} +{"d:Title": "IMDb - Ethel Merman", "d:Description": "Filmography, with television appearances.", "topic": "Top/Arts/People/M/Merman,_Ethel", "url": "http://www.imdb.com/name/nm0581062/"} +{"d:Title": "Ryan Merriman", "d:Description": "A web site with pictures of Ryan Merriman.", "topic": "Top/Arts/People/M/Merriman,_Ryan", "url": "http://www.angelfire.com/sc/actors/ryan.html"} +{"d:Title": "Allyson's Ryan Merriman Page", "d:Description": "A fan site with pictures, TV listings, message boards, and links.", "topic": "Top/Arts/People/M/Merriman,_Ryan", "url": "http://www.angelfire.com/ns/allyspage/"} +{"d:Title": "Ryan Merriman Street Team", "d:Description": "This is a Ryan Merriman e-mail list.", "topic": "Top/Arts/People/M/Merriman,_Ryan", "url": "http://groups.yahoo.com/group/RyanMerrimanTeam"} +{"d:Title": "TVGuide.com: Ryan Merriman", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Merriman,_Ryan", "url": "http://www.tvguide.com/celebrities/ryan-merriman/173425"} +{"d:Title": "IMDb.com - Ryan Merriman", "d:Description": "Filmography, biography and photos.", "topic": "Top/Arts/People/M/Merriman,_Ryan", "url": "http://www.imdb.com/name/nm0581365/"} +{"d:Title": "Paul Merton Unofficial", "d:Description": "Includes pictures, biographical information, news, and links.", "topic": "Top/Arts/People/M/Merton,_Paul", "url": "http://www.users.globalnet.co.uk/~hkev/"} +{"d:Title": "BBC Comedy Profiles: Paul Merton", "d:Description": "A short biography of the comedian whose real life name is 'Paul Martin'.", "topic": "Top/Arts/People/M/Merton,_Paul", "url": "http://www.bbc.co.uk/comedy/profiles/paul_merton.shtml"} +{"d:Title": "IMDb: Paul Merton", "d:Description": "Information from the Internet Movie Database about Paul Merton includes a filmography and trivia.", "topic": "Top/Arts/People/M/Merton,_Paul", "url": "http://www.imdb.com/name/nm0581615/"} +{"d:Title": "Debra Messing News: Topix", "d:Description": "News about Debra Messing continually updated from around the net.", "topic": "Top/Arts/People/M/Messing,_Debra", "url": "http://www.topix.com/who/debra-messing"} +{"d:Title": "Thespian Net Presents Debra Messing", "d:Description": "Contains a biography, a filmography, pictures, contact information, and links.", "topic": "Top/Arts/People/M/Messing,_Debra", "url": "http://www.thespiannet.com/actresses/M/messing_debra/debra_messing.shtml"} +{"d:Title": "IMDb.com - Debra Messing", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/M/Messing,_Debra", "url": "http://www.imdb.com/name/nm0005226/"} +{"d:Title": "Debramessing.com", "d:Description": "Contains a biography, images, video, articles, and links.", "topic": "Top/Arts/People/M/Messing,_Debra/Fan_Pages", "url": "http://www.debramessing.com/"} +{"d:Title": "AskMen.com : Debra Messing", "d:Description": "Pictures, biography, commentary and links on the beautiful actress.", "topic": "Top/Arts/People/M/Messing,_Debra/Image_Galleries", "url": "http://www.askmen.com/women/actress/31_debra_messing.html"} +{"d:Title": "JesseMetcalfe.net", "d:Description": "Offers news, photo gallery, icons, and video.", "topic": "Top/Arts/People/M/Metcalfe,_Jesse", "url": "http://www.jessemetcalfe.net/"} +{"d:Title": "Interviews Askew", "d:Description": "1999 interview with the actor who plays one of the world's most famous stoners.", "topic": "Top/Arts/People/M/Mewes,_Jason", "url": "http://www.viewaskew.com/interviews/mewes/"} +{"d:Title": "IMDb: Jason Mewes", "d:Description": "A filmography and biographical information from the Internet Movie Database for Jason Mewes.", "topic": "Top/Arts/People/M/Mewes,_Jason", "url": "http://www.imdb.com/name/nm0582939/"} +{"d:Title": "TVGuide.com: Dina Meyer", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Meyer,_Dina", "url": "http://www.tvguide.com/celebrities/dina-meyer/147452"} +{"d:Title": "Dina Meyer", "d:Description": "Official site with news, biography, articles, filmography, images, and links.", "topic": "Top/Arts/People/M/Meyer,_Dina", "url": "https://www.facebook.com/TheRealDinaMeyer"} +{"d:Title": "IMDb.com - Dina Meyer", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/M/Meyer,_Dina", "url": "http://www.imdb.com/name/nm0000539/"} +{"d:Title": "IMDb: Ari Meyers", "d:Description": "Overview, biography and filmography.", "topic": "Top/Arts/People/M/Meyers,_Ari", "url": "http://www.imdb.com/name/nm0583487/"} +{"d:Title": "IMDb: Giovanna Mezzogiorno", "d:Description": "Filmography, trivia, and discussion.", "topic": "Top/Arts/People/M/Mezzogiorno,_Giovanna", "url": "http://www.imdb.com/name/nm0583856/"} +{"d:Title": "Detective Adrienne Lesniak", "d:Description": "Biography of Miceli and of her NYPD Blue character.", "topic": "Top/Arts/People/M/Miceli,_Justine", "url": "http://www.stwing.upenn.edu/~sepinwal/lesniak.txt.html"} +{"d:Title": "IMDb: Justine Miceli", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Miceli,_Justine", "url": "http://www.imdb.com/name/nm0584049/"} +{"d:Title": "Marilyn Michaels Web Site", "d:Description": "A biography, reviews, recordings, and news are included here.", "topic": "Top/Arts/People/M/Michaels,_Marilyn", "url": "http://www.marilynmichaels.com/"} +{"d:Title": "IMDb: Tammy Lynn Michaels", "d:Description": "Includes a filmography, mini-biography, and photos.", "topic": "Top/Arts/People/M/Michaels,_Tammy_Lynn", "url": "http://www.imdb.com/name/nm0005582/"} +{"d:Title": "IMDb.com - Melissa Michaelsen", "d:Description": "Filmography, brief biography and photos.", "topic": "Top/Arts/People/M/Michaelsen,_Melissa", "url": "http://www.imdb.com/name/nm0584544/"} +{"d:Title": "IMDb.com - Julianne Michelle", "d:Description": "Filmography, biography and photos.", "topic": "Top/Arts/People/M/Michelle,_Julianne", "url": "http://www.imdb.com/name/nm0585034/"} +{"d:Title": "Wikipedia: Dale Midkiff", "d:Description": "Offers biography, career highlights and filmography.", "topic": "Top/Arts/People/M/Midkiff,_Dale", "url": "http://en.wikipedia.org/wiki/Dale_Midkiff"} +{"d:Title": "IMDb: Dale Midkiff", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/People/M/Midkiff,_Dale", "url": "http://www.imdb.com/name/nm0001535/"} +{"d:Title": "Bette Midler at Romwell.com", "d:Description": "Discography, vidography and bibliography of works by and about Bette Midler. Includes links for purchasing material.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.romwell.com/books/music/Bette/MidlerMusic.htm"} +{"d:Title": "everything bette", "d:Description": "Quotes, news updates, photos and links to other Bette sites.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://sadie-rose.tripod.com/index.html/"} +{"d:Title": "Divine Madness", "d:Description": "Separate sections devoted to movies and music as well as quotes, news, links and photos.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.angelfire.com/celeb/divinemissm/"} +{"d:Title": "Topix: Bette Midler", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.topix.com/who/bette-midler"} +{"d:Title": "Topix: Bette Midler", "d:Description": "News about Bette Midler, collected from various sources on the web.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.topix.com/rss/who/bette-midler.xml"} +{"d:Title": "Bette on the Boards", "d:Description": "Site dedicated to Bette Midler's early career, with photos and songs, concert set lists, a magazine archive, quotes and song directory.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.betteontheboards.com/"} +{"d:Title": "Bootleg Betty", "d:Description": "Blog features news, information on albums, concerts and films, and multimedia.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.bootlegbetty.com/"} +{"d:Title": "Divinely Bette Web Ring", "d:Description": "A collection of fan pages.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.webring.org/hub?ring=divinelybette"} +{"d:Title": "Actress Bette Midler", "d:Description": "Includes pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?bmidler"} +{"d:Title": "Bette Midler - Rotten Tomatoes", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/People/M/Midler,_Bette", "url": "http://www.rottentomatoes.com/celebrity/bette_midler/"} +{"d:Title": "Toshiro Mifune", "d:Description": "A biography, filmography and images.", "topic": "Top/Arts/People/M/Mifune,_Toshiro", "url": "http://www.toshiromifune.org/"} +{"d:Title": "Izabella Miko", "d:Description": "Pictures, biography, articles, information on where Miko shops and hangs out, and news. [Macromedia Flash]", "topic": "Top/Arts/People/M/Miko,_Izabella", "url": "http://izabellamiko.com/"} +{"d:Title": "Alyssa Milano - The Official Website", "d:Description": "Includes news, biography, filmography, photo album, multimedia, message board, and merchandise.", "priority": "1", "topic": "Top/Arts/People/M/Milano,_Alyssa", "url": "http://www.alyssa.com/"} +{"d:Title": "IMDb: Alyssa Milano", "d:Description": "Includes filmography, mini-biography, trivia, awards, photos, articles, and upcoming TV appearances.", "topic": "Top/Arts/People/M/Milano,_Alyssa", "url": "http://www.imdb.com/name/nm0000192/"} +{"d:Title": "WebRing: Alyssa Milano", "d:Description": "Ring of celebrity and fan sites.", "topic": "Top/Arts/People/M/Milano,_Alyssa/Directories", "url": "http://www.webring.org/hub/lyssa"} +{"d:Title": "Undying Celebrities: Alyssa Milano", "d:Description": "Profile, trivia, filmography, and 3 dozen thumbnailed pictures.", "topic": "Top/Arts/People/M/Milano,_Alyssa/Image_Galleries", "url": "http://www.undying.com/celeb/Alyssa_Milano/"} +{"d:Title": "Alyssa Milano Page", "d:Description": "Fan page with profile and 22 pictures.", "topic": "Top/Arts/People/M/Milano,_Alyssa/Image_Galleries", "url": "http://www.angelfire.com/stars/alyssamilano/"} +{"d:Title": "Oliver International", "d:Description": "Includes information on film, television, theatre, and radio performances by Oliver Milburn, as well as reviews, pictures, and links.", "topic": "Top/Arts/People/M/Milburn,_Oliver", "url": "http://angelfire.com/stars/olivermilburn"} +{"d:Title": "IMDb: Elaine Miles", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/People/M/Miles,_Elaine", "url": "http://www.imdb.com/name/nm0587111/"} +{"d:Title": "Bright Lights Film Journal: Sylvia Miles interview", "d:Description": "An interview with Oscar-nominated actress Sylvia Miles ('Midnight Cowboy,' 'Farewell My Lovely').", "topic": "Top/Arts/People/M/Miles,_Sylvia", "url": "http://www.brightlightsfilm.com/24/24miles.php"} +{"d:Title": "Meredy's Ray Milland Trivia Mania", "d:Description": "25 tough trivia questions about classic performer, Ray Milland.", "topic": "Top/Arts/People/M/Milland,_Ray", "url": "http://www.meredy.com/millandtriv.htm"} +{"d:Title": "IMDb: Ray Milland", "d:Description": "Profile, filmography, television appearances, awards, and links.", "topic": "Top/Arts/People/M/Milland,_Ray", "url": "http://www.imdb.com/name/nm0001537/"} +{"d:Title": "Wikipedia.com: Ray Milland", "d:Description": "Short profile with searchable links.", "topic": "Top/Arts/People/M/Milland,_Ray", "url": "http://en.wikipedia.org/wiki/Ray_Milland"} +{"d:Title": "Dick Miller", "d:Description": "An ongoing project by his fan club to list all his acting credits and time on-screen.", "topic": "Top/Arts/People/M/Miller,_Dick", "url": "http://www.home.earthlink.net/~iwalsh/dickmill.html"} +{"d:Title": "JonnyLeeMiller.co.uk", "d:Description": "A site devoted to the star of \"Trainspotting.\" Presents news, a filmography, interviews, photographs, multimedia files, FAQs and links.", "topic": "Top/Arts/People/M/Miller,_Jonny_Lee", "url": "http://www.jonnyleemiller.co.uk/"} +{"d:Title": "IMDb: Jonny Lee Miller", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Miller,_Jonny_Lee", "url": "http://www.imdb.com/name/nm0001538/"} +{"d:Title": "Lara Jill Miller Online", "d:Description": "A biography, pictures, articles, and links for this former Gimme a Break actress.", "topic": "Top/Arts/People/M/Miller,_Lara_Jill", "url": "http://www.sitcomsonline.com/larajillmiller.html"} +{"d:Title": "Weekly Standard: Whosoever Blesses Them", "d:Description": "The intifada and its defenders. Written by Larry Miller, contributing humorist. More articles available through public search.", "topic": "Top/Arts/People/M/Miller,_Larry", "url": "http://www.weeklystandard.com/Content/Public/Articles/000/000/001/161yaihr.asp"} +{"d:Title": "IMDb: Larry Miller", "d:Description": "Includes biography, trivia, filmography, photo gallery and message board.", "topic": "Top/Arts/People/M/Miller,_Larry", "url": "http://www.imdb.com/name/nm0588777/"} +{"d:Title": "Yahoo! Groups: Paul Miller", "d:Description": "A Yahoo Group devoted to Miller. [Yahoo Registration is required.]", "topic": "Top/Arts/People/M/Miller,_Paul", "url": "http://groups.yahoo.com/group/Paul_Miller"} +{"d:Title": "The Internet Movie Database", "d:Description": "Information page on Paul Miller including appearances.", "topic": "Top/Arts/People/M/Miller,_Paul", "url": "http://www.imdb.com/name/nm0589107/"} +{"d:Title": "Paul Miller (III)", "d:Description": "TV.com guide to Paul Miller (III). Biography, roles and appearances, and gossip.", "topic": "Top/Arts/People/M/Miller,_Paul", "url": "http://www.tv.com/people/paul-miller-iii/"} +{"d:Title": "IMDb.com - Penelope Ann Miller", "d:Description": "Complete filmography, biography, and photo gallery.", "topic": "Top/Arts/People/M/Miller,_Penelope_Ann", "url": "http://www.imdb.com/name/nm0000542/"} +{"d:Title": "Tangi Miller", "d:Description": "Official site includes biography, accomplishments, and image gallery.", "topic": "Top/Arts/People/M/Miller,_Tangi", "url": "http://tangimiller.com/"} +{"d:Title": "IMDb: Tangi Miller", "d:Description": "Includes filmography, awards, mini-biography, photos, and awards.", "topic": "Top/Arts/People/M/Miller,_Tangi", "url": "http://www.imdb.com/name/nm0005233/"} +{"d:Title": "Yahoo! Groups: Spike Milligan", "d:Description": "Mailing list for discussion of Milligan, his works, and related people and topics.", "topic": "Top/Arts/People/M/Milligan,_Spike", "url": "http://groups.yahoo.com/group/spikemilligan/"} +{"d:Title": "BBC News: Funniest Person of the Last Thousand Years", "d:Description": "Spike Milligan wins a BBC News Online poll as the funniest man of the millennium.", "topic": "Top/Arts/People/M/Milligan,_Spike", "url": "http://news.bbc.co.uk/hi/english/static/events/millennium/aug/winner.stm"} +{"d:Title": "BBC Online Cult Vault: Q", "d:Description": "Video clips, reviews and trivia about Spike Milligan's 1969 TV show, Q.", "topic": "Top/Arts/People/M/Milligan,_Spike", "url": "http://www.bbc.co.uk/cult/classic/q/"} +{"d:Title": "Hayley Mills", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Mills,_Hayley", "url": "http://www.imdb.com/name/nm0001539/"} +{"d:Title": "BBC News: Mills' Triumph Over Tragedy", "d:Description": "Biographical news article. Related links.", "topic": "Top/Arts/People/M/Mills,_Heather", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1458962.stm"} +{"d:Title": "BBC News: Heather Mills: Can't Buy Me Love", "d:Description": "Overview of her life and accomplishments, and media opinion of her impending marriage to Paul McCartney.", "topic": "Top/Arts/People/M/Mills,_Heather", "url": "http://news.bbc.co.uk/1/hi/in_depth/uk/2000/newsmakers/2031333.stm"} +{"d:Title": "AskMen.com: Heather Mills", "d:Description": "Pictures, commentary, and biography.", "topic": "Top/Arts/People/M/Mills,_Heather", "url": "http://uk.askmen.com/celebs/women/models_150/175_heather_mills.html"} +{"d:Title": "Heather Mills", "d:Description": "Official site with biography, news, and information.", "priority": "1", "topic": "Top/Arts/People/M/Mills,_Heather", "url": "http://www.heathermills.org/"} +{"d:Title": "USA Today: Paul McCartney's wife, Heather Mills, Gives Birth to Girl", "d:Description": "Birth announcement of their first child together; a daughter, named Beatrice.", "topic": "Top/Arts/People/M/Mills,_Heather", "url": "http://usatoday30.usatoday.com/life/music/news/2003-10-30-mccartney_x.htm"} +{"d:Title": "USA Today: McCartney, Bride going to The Chapel", "d:Description": "Details of the impending wedding of McCartney and Mills, that will occur later today.", "topic": "Top/Arts/People/M/Mills,_Heather", "url": "http://usatoday30.usatoday.com/life/2002/2002-06-11-mccartney-wedding.htm"} +{"d:Title": "IMDb: Juliet Mills", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Mills,_Juliet", "url": "http://www.imdb.com/name/nm0005236/"} +{"d:Title": "Salmineo.com", "d:Description": "This is the official website of Sal Mineo. It includes a biography, a filmography, pictures, news, and links.", "topic": "Top/Arts/People/M/Mineo,_Sal", "url": "http://www.salmineo.com/"} +{"d:Title": "Ming-Na Wen: Asian American Personality", "d:Description": "Gold Sea goes in-depth on Ming-Na's unique personality.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://goldsea.com/Personalities/Wenmingna/wenmingna.html"} +{"d:Title": "Ming-Na Wen", "d:Description": "A fan's tribute including photographs, articles, a biography, and information regarding theater, films, and television.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://www.leyden.com/mingnawen"} +{"d:Title": "IMDb: Ming-Na", "d:Description": "Biography, filmography, video clips and pictures.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://www.imdb.com/name/nm0001840/"} +{"d:Title": "TVGuide: Ming-Na", "d:Description": "Biography, news, image gallery and filmography.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://www.tvguide.com/celebrities/ming-na/190636"} +{"d:Title": "Movies.com: Ming-Na", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://www.movies.com/actors/ming-na-wen/p189188"} +{"d:Title": "Official Site: Ming-Na", "d:Description": "Biography, credits, image gallery and frequently asked questions.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://www.ming-na.com/"} +{"d:Title": "Rotten Tomatoes: Ming-Na", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://www.rottentomatoes.com/celebrity/mingna-wen/"} +{"d:Title": "MovieWeb: Ming-Na", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://www.movieweb.com/person/ming-na"} +{"d:Title": "Yahoo! Movies: Ming-Na", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/M/Ming-Na", "url": "http://movies.yahoo.com/person/ming-na/"} +{"d:Title": "Thespian Net Presents Dani Minnick", "d:Description": "Contains credits, awards, and links.", "topic": "Top/Arts/People/M/Minnick,_Dani", "url": "http://www.thespiannet.com/actresses/M/minnick_dani/dani_minnick.shtml"} +{"d:Title": "Kylie.com", "d:Description": "Official site with biography, news, lyrics, forums and downloads. [Flash plug-in required.]", "priority": "1", "topic": "Top/Arts/People/M/Minogue,_Kylie", "url": "http://www.kylie.com/"} +{"d:Title": "Her Name is Kylie; She is a Showgirl", "d:Description": "Review of Kylie Minogue's \"On A Night Like This\" live show, with pictures and biographical information.", "topic": "Top/Arts/People/M/Minogue,_Kylie", "url": "http://www.apeculture.com/kylielive.htm"} +{"d:Title": "Kylie Minogue www.pages", "d:Description": "Pictures, artworks, links, news, chat room and links.", "topic": "Top/Arts/People/M/Minogue,_Kylie", "url": "http://www.users.globalnet.co.uk/~chutney/index.htm"} +{"d:Title": "Kylie Minogue News: Topix", "d:Description": "News about Kylie Minogue continually updated from around the net.", "topic": "Top/Arts/People/M/Minogue,_Kylie", "url": "http://www.topix.com/who/kylie-minogue"} +{"d:Title": "Topix: Kylie Minogue", "d:Description": "News about Kylie Minogue, collected from various sources on the web.", "topic": "Top/Arts/People/M/Minogue,_Kylie", "url": "http://www.topix.com/rss/who/kylie-minogue.xml"} +{"d:Title": "Kylie Minogue Mailing List", "d:Description": "Long-running mailing list for fans of Kylie Minogue.", "topic": "Top/Arts/People/M/Minogue,_Kylie", "url": "http://kmlist.net/"} +{"d:Title": "Fly Kylie Minogue", "d:Description": "Offers forums, news, polls and images.", "topic": "Top/Arts/People/M/Minogue,_Kylie/Fan_Pages", "url": "http://www.flykm.com/"} +{"d:Title": "kylie-minogue.com", "d:Description": "International fansite includes pictures, lyrics, news, links, video and sound clips, and forum.", "topic": "Top/Arts/People/M/Minogue,_Kylie/Fan_Pages", "url": "http://www.kylie-minogue.com/"} +{"d:Title": "Australian Kylie Minogue Network", "d:Description": "News, interviews, audio and video clips, pictures, tour information and links.", "topic": "Top/Arts/People/M/Minogue,_Kylie/Fan_Pages", "url": "http://hrvatska.tripod.com/kylie.html"} +{"d:Title": "Kylie - Rare and Promotional", "d:Description": "A display of rare and promotional materials for Kylie Minogue.", "topic": "Top/Arts/People/M/Minogue,_Kylie/Image_Galleries", "url": "http://kylie_rare.tripod.com/"} +{"d:Title": "Old Socks - Kylie Minogue", "d:Description": "Collection of thumbnailed images that can be used as wallpaper.", "topic": "Top/Arts/People/M/Minogue,_Kylie/Image_Galleries", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Kylie+Minogue"} +{"d:Title": "AskMen.com: Kylie Minogue", "d:Description": "Pictures, biography, ratings and links on the beautiful singer.", "topic": "Top/Arts/People/M/Minogue,_Kylie/Image_Galleries", "url": "http://www.askmen.com/celebs/women/singer_60/63_kylie_minogue.html"} +{"d:Title": "Kylie Minogue Webring", "d:Description": "List of sites dedicated to the musician.", "topic": "Top/Arts/People/M/Minogue,_Kylie/Web_Rings", "url": "http://www.webring.org/hub?ring=kylie"} +{"d:Title": "Helen Mirren Appreciation Society", "d:Description": "Fan site includes biography, news, photos, causes, performance list and resources.", "topic": "Top/Arts/People/M/Mirren,_Helen", "url": "http://www.helenmirren.com/"} +{"d:Title": "Helen Mirren", "d:Description": "Biography, photos, and links.", "topic": "Top/Arts/People/M/Mirren,_Helen", "url": "http://www.tmaw.co.uk/helenm.html"} +{"d:Title": "Helen Mirren News: Topix", "d:Description": "News about Helen Mirren continually updated from around the net.", "topic": "Top/Arts/People/M/Mirren,_Helen", "url": "http://www.topix.com/who/helen-mirren"} +{"d:Title": "TalkTalk: Helen Mirren", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/M/Mirren,_Helen", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/helen-mirren/biography/39"} +{"d:Title": "IMDb: Helen Mirren", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Mirren,_Helen", "url": "http://www.imdb.com/name/nm0000545/"} +{"d:Title": "IMDb: Karen Mistal", "d:Description": "Filmography for the actress.", "topic": "Top/Arts/People/M/Mistal,_Karen", "url": "http://www.imdb.com/name/nm0592960/"} +{"d:Title": "IMDb: Beverly Mitchell", "d:Description": "The Internet Movie Database includes a filmography, a small biography, and links.", "topic": "Top/Arts/People/M/Mitchell,_Beverley", "url": "http://www.imdb.com/name/nm0005238/"} +{"d:Title": "Lindzi.com: Beverley Mitchell", "d:Description": "Interview discussing the show and life in general.", "topic": "Top/Arts/People/M/Mitchell,_Beverley", "url": "http://www.lindzi.com/interviews/beverley.htm"} +{"d:Title": "TVGuide.com: Elizabeth Mitchell", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Mitchell,_Elizabeth", "url": "http://www.tvguide.com/celebrities/elizabeth-mitchell/149013"} +{"d:Title": "Entertainment Tonight: Into 'The Beast'", "d:Description": "Transcript of an interview Elizabeth did on the TV show as she was moving from 'ER' to a new series.", "topic": "Top/Arts/People/M/Mitchell,_Elizabeth", "url": "http://chalupaer.tripod.com/id53.htm"} +{"d:Title": "IMDb.com: Elizabeth Mitchell", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/People/M/Mitchell,_Elizabeth", "url": "http://www.imdb.com/name/nm0593310/bio"} +{"d:Title": "John Cameron Mitchell News: Topix", "d:Description": "News about John Cameron Mitchell continually updated from around the net.", "topic": "Top/Arts/People/M/Mitchell,_John_Cameron", "url": "http://www.topix.com/who/john-cameron-mitchell"} +{"d:Title": "IMDb - John Cameron Mitchell", "d:Description": "Filmography, biography, and links.", "topic": "Top/Arts/People/M/Mitchell,_John_Cameron", "url": "http://www.imdb.com/name/nm0593463/"} +{"d:Title": "Radha Mitchell Biography", "d:Description": "Her page at a Pitch Black fan site with a brief profile and an image gallery.", "topic": "Top/Arts/People/M/Mitchell,_Radha", "url": "http://www.angelfire.com/movies/pitchblack/mitchell.html"} +{"d:Title": "TVGuide.com: Radha Mitchell", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Mitchell,_Radha", "url": "http://www.tvguide.com/celebrities/radha-mitchell/170687"} +{"d:Title": "IMDb: Radha Mitchell", "d:Description": "The Internet Movie Database includes filmography, profile, trivia, and an image gallery.", "topic": "Top/Arts/People/M/Mitchell,_Radha", "url": "http://www.imdb.com/name/nm0593664/"} +{"d:Title": "Actor Profile: Robert Mitchum", "d:Description": "Essay by Brian W. Fairbanks examining the actors career. Also includes images and a filmography.", "topic": "Top/Arts/People/M/Mitchum,_Robert", "url": "http://www.angelfire.com/oh2/writer/robertmitchum.html"} +{"d:Title": "IMDb: Roger Mobley", "d:Description": "Complete filmography, biography and photos.", "topic": "Top/Arts/People/M/Mobley,_Roger", "url": "http://imdb.com/name/nm0595040/"} +{"d:Title": "The Official Colin Mochrie Website", "d:Description": "Includes a biography, media, news, and a forum.", "topic": "Top/Arts/People/M/Mochrie,_Colin", "url": "http://www.colinmochrie.com/"} +{"d:Title": "Matthew Modine Official Site", "d:Description": "Flash intro with the actor's filmography, biography, and large photo gallery.", "topic": "Top/Arts/People/M/Modine,_Matthew", "url": "http://www.matthewmodine.com/"} +{"d:Title": "Jay Mohr", "d:Description": "The comedian's site with photographs, audio and video recordings of appearances, biography, and message board.", "topic": "Top/Arts/People/M/Mohr,_Jay", "url": "http://www.jaymohr.com/"} +{"d:Title": "Zakes Mokae", "d:Description": "A site with information about South African actor, Zakes Mokae.", "topic": "Top/Arts/People/M/Mokae,_Zakes", "url": "http://www.iainfisher.com/mokae.html"} +{"d:Title": "Yahoo Groups: Gretchen Club", "d:Description": "A mailing list for Gretchen Mol fans with message archive, photo gallery, and links.", "topic": "Top/Arts/People/M/Mol,_Gretchen", "url": "http://groups.yahoo.com/group/gretchenclub/"} +{"d:Title": "Gretchen Mol News: Topix", "d:Description": "News about Gretchen Mol continually updated from around the net.", "topic": "Top/Arts/People/M/Mol,_Gretchen", "url": "http://www.topix.com/who/gretchen-mol"} +{"d:Title": "TVGuide.com: Gretchen Mol", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Mol,_Gretchen", "url": "http://www.tvguide.com/celebrities/gretchen-mol/152662"} +{"d:Title": "IMDb: Gretchen Mol", "d:Description": "The Internet Movie Database includes profile, filmography, trivia, and an image gallery.", "topic": "Top/Arts/People/M/Mol,_Gretchen", "url": "http://www.imdb.com/name/nm0001543/"} +{"d:Title": "Canoe: Gretchen Mol", "d:Description": "The Canadian Online Explorer's collection of articles on the actress' life and career.", "topic": "Top/Arts/People/M/Mol,_Gretchen", "url": "http://jam.canoe.com/Movies/Artists/M/Mol_Gretchen/"} +{"d:Title": "Alfred Molina", "d:Description": "Filmography with known or credited movie and television roles, biographies, and related material from the Internet Movie Database (IMDb).", "topic": "Top/Arts/People/M/Molina,_Alfred", "url": "http://www.imdb.com/name/nm0000547/"} +{"d:Title": "IMDb: Kelly Monaco", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/Monaco,_Kelly", "url": "http://www.imdb.com/name/nm0597450/"} +{"d:Title": "TV.com: Kelly Monaco", "d:Description": "Biography, TV credits, pictures, trivia, news, and fan comments.", "topic": "Top/Arts/People/M/Monaco,_Kelly", "url": "http://www.tv.com/people/kelly-monaco/"} +{"d:Title": "Dominic Monaghan News: Topix", "d:Description": "News about Dominic Monaghan continually updated from around the net.", "topic": "Top/Arts/People/M/Monaghan,_Dominic", "url": "http://www.topix.com/who/dominic-monaghan"} +{"d:Title": "Dominic Monaghan", "d:Description": "Features picture, brief biography, and filmography at IMDb.", "topic": "Top/Arts/People/M/Monaghan,_Dominic", "url": "http://www.imdb.com/name/nm0597480/"} +{"d:Title": "IMDb: Michelle Monaghan", "d:Description": "Includes biography, filmography, trivia, a photo gallery, and links.", "topic": "Top/Arts/People/M/Monaghan,_Michelle", "url": "http://www.imdb.com/name/nm1157358/"} +{"d:Title": "Wikipedia: Michelle Monaghan", "d:Description": "Includes biographical information and links.", "topic": "Top/Arts/People/M/Monaghan,_Michelle", "url": "http://en.wikipedia.org/wiki/Michelle_Monaghan"} +{"d:Title": "Steve Monarque", "d:Description": "Biography, filmography, photos and links.", "topic": "Top/Arts/People/M/Monarque,_Steve", "url": "http://stevemonarque.tripod.com/"} +{"d:Title": "Wendy Moniz as Dinah Marler", "d:Description": "Fanpage focusing on Moniz's character on The Guiding Light. Includes commentary and photographs.", "topic": "Top/Arts/People/M/Moniz,_Wendy", "url": "http://glfan.tripod.com/dinah.html"} +{"d:Title": "MarilynMonroe.com", "d:Description": "Official site featuring biography, filmography, photo gallery, favourite things, quotes, merchandise, fan club, desktop wallpapers, and screen savers.", "priority": "1", "topic": "Top/Arts/People/M/Monroe,_Marilyn", "url": "http://www.marilynmonroe.com/"} +{"d:Title": "Marilyn Monroe Art by Frank Rozasy", "d:Description": "Frank Rozasy and Marilyn Monroe photographers Tom Kelley, Earl Leaf, Ed Feingersh, and the Michael Ochs Archives have produced an authorized collaboration of one of a kind artworks.", "topic": "Top/Arts/People/M/Monroe,_Marilyn", "url": "http://www.rozasy.com/marilyn"} +{"d:Title": "Marilyncollector.com", "d:Description": "A site for collectors of Marilyn Monroe memorabilia, contains custom made wallpapers and interviews with collectors.", "topic": "Top/Arts/People/M/Monroe,_Marilyn", "url": "http://www.marilyncollector.com/"} +{"d:Title": "An Everlasting Star", "d:Description": "High quality scans, a message board, screen captures, a filmography, and quotations from those who photographed her.", "topic": "Top/Arts/People/M/Monroe,_Marilyn", "url": "http://www.everlasting-star.net/"} +{"d:Title": "LikeTelevision: The Legend of Marilyn Monroe", "d:Description": "Summary of the biographical movie, with video clip and downloadable mpeg.", "topic": "Top/Arts/People/M/Monroe,_Marilyn", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=135&format=movie&theme=guide"} +{"d:Title": "Marilyn Monroe Web", "d:Description": "Includes her biography, a large selection of pictures, and filmography.", "topic": "Top/Arts/People/M/Monroe,_Marilyn", "url": "http://www.celebrityfanweb.com/marilyn-monroe/"} +{"d:Title": "Forever Marilyn Fan Club", "d:Description": "Photos, discussions, quotes, latest news all for free. Make new friends just by joining.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Clubs", "url": "http://www.angelfire.com/my/forevermarilyn/"} +{"d:Title": "Falling For Marilyn Fan Club", "d:Description": "Offers a web-based message forum, photo archives and chat for members.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Clubs", "url": "http://groups.yahoo.com/group/fallingformarilyn/"} +{"d:Title": "Immortal Marilyn Fan Club", "d:Description": "An online fan club to learn and talk about the actress.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Clubs", "url": "http://groups.yahoo.com/group/ImmortalMarilyn"} +{"d:Title": "Marilyn Monroe Website", "d:Description": "Marilyn Monroe Website in English and German. Includes biography, pictures, facts, filmography and discography.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://www.normajeane.de/"} +{"d:Title": "Anna's Tribute To Marilyn Monroe", "d:Description": "Features include a biography, stories to submit, awards to win, pictures, and song lyrics.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://scarlett745836.tripod.com/Marilyn4Ever/"} +{"d:Title": "Cooldog's Marilyn Page", "d:Description": "Fan site with links, pictures, and biography.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/ky/cooldog/home.html"} +{"d:Title": "Marilyn Monroe: Goddess", "d:Description": "Books, quotes, biography, lyrics, filmography, links, and photographs.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/ny/marilynmonroegoddess/index.html"} +{"d:Title": "My Special Paradise", "d:Description": "A fansite, including poetry.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://members.tripod.com/~LadyShalene/Marilyn.html"} +{"d:Title": "Legend", "d:Description": "A tribute to Marilyn Monroe. Includes biography, filmography, quotes, and poems written by Marilyn.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://www.marilyncollector.com/legend/index.html"} +{"d:Title": "Marilyn and the Camera", "d:Description": "This fan's tribute includes; films, dolls, collectibles, tattoos, photos.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://www.marilynmonroe.ca/"} +{"d:Title": "Always Norma Jeane", "d:Description": "A website to learn about Norma Jeane/Marilyn Monroe. Pics, bio, and quotes.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Fan_Pages", "url": "http://www.angelfire.com/mn/alwaysnormajeane/index.html"} +{"d:Title": "The Marilyn Monroe Organisation", "d:Description": "Photos, sound gallery, filmography, and links.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Image_Galleries", "url": "http://www.marilyn-monroe.org.uk/"} +{"d:Title": "Marilyn Monroe Collection", "d:Description": "Postcard Shop, 16 gorgeous images to select.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Image_Galleries", "url": "http://www.groovynet.com/groovycards/marilyn/index.shtml"} +{"d:Title": "Marilyn Monroe Photos", "d:Description": "Marilyn Monroe Photos and Poster Gallery", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Image_Galleries", "url": "http://www.art-prints-gallery.com/marilyn_monroe_love/"} +{"d:Title": "Suzie Kennedy as Marilyn Monroe", "d:Description": "Biography, pictures, and reviews of this London-based impersonator.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Impersonators", "url": "http://www.topmarilynmonroelookalike.co.uk/"} +{"d:Title": "Marilyngirl", "d:Description": "Pauline Bailey, UK-based international impersonator. COntains pictures, r\u00e9sum\u00e9, and biography.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Impersonators", "url": "http://www.marilyngirl.com/"} +{"d:Title": "Jane Maddox", "d:Description": "Monroe impersonator in Austin, Texas. Provides photos, audio and appearance fees, as well as other characters she portrays.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Impersonators", "url": "http://www.janeismarilyn.com/"} +{"d:Title": "Some Like It Marilyn", "d:Description": "A web ring of fan sites.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Web_Rings", "url": "http://pub7.bravenet.com/sitering/nav.php?usernum=586452203&action=list&s"} +{"d:Title": "WebRing: Marilyn Monroe Sites", "d:Description": "Dedicated to keeping the memory of the legendary actress alive.", "topic": "Top/Arts/People/M/Monroe,_Marilyn/Web_Rings", "url": "http://www.webring.org/hub/mmonroe"} +{"d:Title": "Lt. Mayweather", "d:Description": "Biography and pictures.", "topic": "Top/Arts/People/M/Montgomery,_Anthony", "url": "http://www.scifispace.com/html/stmayweather.php"} +{"d:Title": "IMDb - Anthony Montgomery", "d:Description": "Filmography, biography, and a message board.", "topic": "Top/Arts/People/M/Montgomery,_Anthony", "url": "http://www.imdb.com/name/nm0599719/"} +{"d:Title": "IMDb: Poppy Montgomery", "d:Description": "Profile, filmography, and pictures from the Internet Movie Database.", "topic": "Top/Arts/People/M/Montgomery,_Poppy", "url": "http://www.imdb.com/name/nm0599889/"} +{"d:Title": "Sara Montiel's InfoMontiel", "d:Description": "Includes biography, filmography, and discography.", "topic": "Top/Arts/People/M/Montiel,_Sara", "url": "http://infomontiel.tripod.com/"} +{"d:Title": "Clayton Moore Tribute", "d:Description": "Features photographs, biography, filmography, comic books, memorial, and links.", "topic": "Top/Arts/People/M/Moore,_Clayton", "url": "http://www.celebhost.net/claytonmoore/"} +{"d:Title": "Clayton Moore Memorial", "d:Description": "Personal memories of Clayton Moore, The Lone Ranger, include a large picture gallery with commentary, facts, related links, and trivia.", "topic": "Top/Arts/People/M/Moore,_Clayton", "url": "http://nimst.tripod.com/cgi-bin/UC1.html"} +{"d:Title": "Clayton Moore, the Lone Ranger", "d:Description": "Information on the actor and the series such as news, FAQs, credits, television series synopsis and guide, pictures, music/audio clip, stories and memorials.", "topic": "Top/Arts/People/M/Moore,_Clayton", "url": "http://claytonmoore.tripod.com/"} +{"d:Title": "Actress Demi Moore", "d:Description": "Includes pictures, box office information, links and vital statistics.", "topic": "Top/Arts/People/M/Moore,_Demi", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?dmoore"} +{"d:Title": "TV Tribute - Demi Moore", "d:Description": "A page dedicated to the star with pictures. Part of the TV and Movie Trivia Tribute site.", "topic": "Top/Arts/People/M/Moore,_Demi/Image_Galleries", "url": "http://www.triviatribute.com/demimoore.html"} +{"d:Title": "Actor Dudley Moore Dies at 66", "d:Description": "British comedian and actor Dudley Moore has died at age 66 at his home in the United States after a long illness. [CNN]", "topic": "Top/Arts/People/M/Moore,_Dudley", "url": "http://europe.cnn.com/2002/SHOWBIZ/Movies/03/27/death.dudley/index.html"} +{"d:Title": "Derek and Clive (Cook and Moore) Newsgroup", "d:Description": "A tribute newsgroup to the kings of British comedy, Peter Cook and Dudley Moore. As Derek and Clive they delve into the absurd and the obscene that became the catalist for comedy as we know it today.", "topic": "Top/Arts/People/M/Moore,_Dudley", "url": "http://groups.yahoo.com/group/derek_and_clive/"} +{"d:Title": "IMDb: Dudley Moore", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/M/Moore,_Dudley", "url": "http://www.imdb.com/name/nm0001545/"} +{"d:Title": "Actress Julianne Moore", "d:Description": "Picture galleries, Box office information, vital statistics, links and message board.", "topic": "Top/Arts/People/M/Moore,_Julianne", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jmoore"} +{"d:Title": "IMDb.com - Julianne Moore", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/M/Moore,_Julianne", "url": "http://www.imdb.com/name/nm0000194/"} +{"d:Title": "Mary Tyler Moore News: Topix", "d:Description": "News about Mary Tyler Moore continually updated from around the net.", "topic": "Top/Arts/People/M/Moore,_Mary_Tyler", "url": "http://www.topix.com/who/mary-tyler-moore"} +{"d:Title": "Thespian Net presents Mary Tyler Moore", "d:Description": "Includes biographical information, articles, pictures, and links.", "topic": "Top/Arts/People/M/Moore,_Mary_Tyler", "url": "http://www.thespiannet.com/actresses/M/moore_marytyler/mary_tyler_moore.shtml"} +{"d:Title": "Mary Tyler Moore", "d:Description": "Biography of the late actress perhaps most known for her roles in TV sitcoms The Dick Van Dyke Show and The Mary Tyler Moore Show.", "topic": "Top/Arts/People/M/Moore,_Mary_Tyler", "url": "http://www.biography.com/people/mary-tyler-moore-9413674"} +{"d:Title": "Michael Moore", "d:Description": "Official site of the gadfly of corporations, creator of the film Roger and Me and the television show The Awful Truth. Includes mailing list, message board, and news.", "priority": "1", "topic": "Top/Arts/People/M/Moore,_Michael", "url": "http://www.michaelmoore.com/"} +{"d:Title": "Banned by Borders", "d:Description": "Account by Moore on how he was banned from speaking or signing books at any Borders store in the US.", "topic": "Top/Arts/People/M/Moore,_Michael", "url": "http://www.labournet.net/ukunion/9912/borders4.html"} +{"d:Title": "Guardian - Is it just me or...", "d:Description": "Explores how for some people, saying \"he's fat\" is an adequate rebuttal of the works of Michael Moore.", "topic": "Top/Arts/People/M/Moore,_Michael", "url": "http://www.theguardian.com/film/2004/jul/17/features.weekend"} +{"d:Title": "Guardian - 'Put His Chubby Face on a Banknote'", "d:Description": "Writer John Patterson salutes Michael Moore for doing the job he says the US media were too scared to tackle.", "topic": "Top/Arts/People/M/Moore,_Michael", "url": "http://www.theguardian.com/film/2004/jul/10/johnpatterson"} +{"d:Title": "The Observer: Michael and Me", "d:Description": "Portrait of and interview with the film-maker reveals him to be a difficult person.", "topic": "Top/Arts/People/M/Moore,_Michael", "url": "http://www.theguardian.com/film/2004/may/23/cannes2004.features"} +{"d:Title": "IMDb: Michael Moore", "d:Description": "Filmography as actor, director, producer, and writer.", "topic": "Top/Arts/People/M/Moore,_Michael", "url": "http://www.imdb.com/name/nm0601619/"} +{"d:Title": "USA Today - Moore on the Republican National Convention", "d:Description": "Articles by Moore on attending the Republican National Convention in 2004, and his impressions of George W. Bush's policies.", "topic": "Top/Arts/People/M/Moore,_Michael", "url": "http://usatoday30.usatoday.com/news/politicselections/nation/president/2004-08-31-moore-index-page_x.htm"} +{"d:Title": "Roger-Moore.com", "d:Description": "A fan site constructed by admirers of Roger Moore, and focusing on his life and also his work as a UNICEF agent. Includes facts, news, photographs and links.", "topic": "Top/Arts/People/M/Moore,_Roger", "url": "http://www.roger-moore.com/"} +{"d:Title": "Shemar Moores Paradise - Message Board", "d:Description": "A fan community discussion board about everything related to Shemar Moore.", "topic": "Top/Arts/People/M/Moore,_Shemar", "url": "http://shemarmooresparadise.yuku.com/"} +{"d:Title": "Agnes Moorehead Video Clips From Password", "d:Description": "Video clips of Agnes Moorehead playing Password in the 1960's, also clips from interviews of her talking about her home and her one woman show.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.bewitched.net/aggvideo.htm"} +{"d:Title": "Agnes Moorehead, She Bewitched Us With Charm and Talent", "d:Description": "Photo of her in her Endora role on the TV show, Bewitched, plus biography.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.bewitched.net/aggart.htm"} +{"d:Title": "The Star of Aggie", "d:Description": "Photos, brief biography.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.bewitched.net/aggstar.htm"} +{"d:Title": "St. Louis Walk of Fame: Agnes Moorehead", "d:Description": "Page announcing her induction into the St. Louis Walk of Fame, includes brief biography.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.stlouiswalkoffame.org/inductees/agnes-moorehead.html"} +{"d:Title": "Bewitched Beography on Agnes Moorhead", "d:Description": "Detail biography with photographs.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.harpiesbizarre.com/ambeography.htm"} +{"d:Title": "Agnes Moorehead", "d:Description": "Photographs of the actress and her grave marker, brief biographical information, and interactive fan comments.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1409"} +{"d:Title": "ReelClassics.com: Agnes Moorehead", "d:Description": "Pictures, reproductions of movie posters, brief biographical information, and links to her co-stars.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.reelclassics.com/Actresses/Moorehead/moorehead.htm"} +{"d:Title": "Agnes Moorehead", "d:Description": "Filmography, including one uncredited stint as a dialog coach, list of notable TV guest appearances.", "topic": "Top/Arts/People/M/Moorehead,_Agnes", "url": "http://www.imdb.com/name/nm0001547/"} +{"d:Title": "BBC Films - Dylan Moran", "d:Description": "Interview in which he discusses his role in \"The Actors\". Conducted by Nev Pierce.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.bbc.co.uk/films/2003/05/09/dylan_moran_the_actors_interview.shtml"} +{"d:Title": "IMDb - Dylan Moran", "d:Description": "Biographical information, filmography, photographs and a message board.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.imdb.com/name/nm0602836/"} +{"d:Title": "Chortle.co.uk - A Novel Idea", "d:Description": "\"Dylan Moran is planning to write a novel, because he's growing frustrated with stand-up\".", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.chortle.co.uk/news/may04/dylan.html"} +{"d:Title": "IndieLondon.co.uk - Dylan Moran Q&A", "d:Description": "Brief interview concentrating on his role in \"The Actors\".", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.indielondon.co.uk/film/actors_moranQ&A.html"} +{"d:Title": "Downtown Express - A Wit Freighted With Fatigue", "d:Description": "\"Dylan Moran, Irish-born toast of London comedy, gigs at the Village Theatre.\" By Jerry Tallmer.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.downtownexpress.com/de_59/awitfreightdwith.html"} +{"d:Title": "Dylan Moran: Monster II", "d:Description": "Review of his performance at the Palace Theatre, London.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.cix.co.uk/~shutters/reviews/04038.htm"} +{"d:Title": "Laughing Matters - Dylan Moran", "d:Description": "Article detailing his work on the film \"The Actors\".", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.anythingmatters.com/archives-comedy/moran.htm"} +{"d:Title": "PBJ Management - Dylan Moran", "d:Description": "Includes a list of live performances and film and television credits.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.pbjmgt.co.uk/artist/dylan-moran"} +{"d:Title": "The Dylan Moran Forum", "d:Description": "Message board for fans, with sections devoted to \"How Do You Want Me?\" and \"Black Books\".", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://dylanmoranforum.yuku.com/"} +{"d:Title": "The Observer - Dylan Moran", "d:Description": "Brian Logan reviews his performance at the Wedgewood Rooms, Portsmouth.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.theguardian.com/stage/2003/jan/22/comedy.artsfeatures"} +{"d:Title": "The Guardian - A rare comic hero.", "d:Description": "Review of his performance at the Dancehouse, Manchester. By Dave Simpson.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.theguardian.com/stage/2000/nov/27/comedy.artsfeatures"} +{"d:Title": "The Observer Review - Ranting at the modern world.", "d:Description": "Stephanie Merritt reviews a performance of \"Monster II\" at the Gatehouse Theatre, Stafford.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.theguardian.com/theobserver/2004/apr/18/features.review57"} +{"d:Title": "The Observer Review - He will stand for any nonsense", "d:Description": "\"As screen roles multiply, Dylan Moran's shambolic, brilliant stand-up routine continues. But for how long? \" By Stephanie Merritt.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.theguardian.com/theobserver/2003/jan/12/features.review77"} +{"d:Title": "The Guardian - Funny, peculiar", "d:Description": "\"Director-playwright Conor McPherson and actor-comedian Dylan Moran are an odd couple. At work on the set of their new film The Actors, all is smooth. \" By Sally Vincent.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.theguardian.com/film/2002/jul/13/artsfeatures.features"} +{"d:Title": "Guardian - Dylan Moran", "d:Description": "Concise review of a performance of his stand-up show at Wyndhams, London.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.theguardian.com/stage/2004/nov/03/comedy"} +{"d:Title": "The Observer - This much I know: Dylan Moran", "d:Description": "Interview conducted by Ursula Kennedy.", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.theguardian.com/theobserver/2004/mar/07/magazine.features7"} +{"d:Title": "Channel4.com - Black Books: Graham Linehan and Dylan Moran", "d:Description": "Interview concentrating on the first series of \"Black Books\".", "topic": "Top/Arts/People/M/Moran,_Dylan", "url": "http://www.channel4.com/programmes/black-books/articles/all/dylan-moran-and-graham-linehan-interview"} +{"d:Title": "The Rick Moranis Fan Page", "d:Description": "Biography, filmography, pictures, articles, TV listings, e-mail cards and a newsletter.", "topic": "Top/Arts/People/M/Moranis,_Rick", "url": "http://rickmoranisfanpage.com/"} +{"d:Title": "Actor Rick Moranis", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/M/Moranis,_Rick", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?moranis"} +{"d:Title": "Jeanne Moreau", "d:Description": "IMDB entry, including filmography and biography.", "topic": "Top/Arts/People/M/Moreau,_Jeanne", "url": "http://www.imdb.com/name/nm0603402/"} +{"d:Title": "IMDb - Dermot Morgan", "d:Description": "Filmography and notable television guest appaearances, with a biography, trivia, message board and links.", "topic": "Top/Arts/People/M/Morgan,_Dermot", "url": "http://www.imdb.com/name/nm0604607/"} +{"d:Title": "Find A Grave - Dermot Morgan", "d:Description": "The location of his grave, photographs, a biography and tributes from fans.", "topic": "Top/Arts/People/M/Morgan,_Dermot", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6284860&pt=Dermot+Morgan"} +{"d:Title": "BBC News - Father Ted star dies", "d:Description": "\"TVs Father Ted - Irish actor Dermot Morgan - has died at his home in London, aged 45.\"", "topic": "Top/Arts/People/M/Morgan,_Dermot", "url": "http://news.bbc.co.uk/1/hi/uk/61112.stm"} +{"d:Title": "BBC News - Tributes paid to 'comedic meteor'", "d:Description": "\"Actors, television producers and politicians have paid tribute to the actor, Dermot Morgan, who has died at the age of 45.\"", "topic": "Top/Arts/People/M/Morgan,_Dermot", "url": "http://news.bbc.co.uk/1/hi/uk/61144.stm"} +{"d:Title": "Trevor Morgan", "d:Description": "Biographical information and images.", "topic": "Top/Arts/People/M/Morgan,_Trevor", "url": "http://liz_4_ever.tripod.com/hotstar/"} +{"d:Title": "IMDb: Trevor Morgan", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/M/Morgan,_Trevor", "url": "http://www.imdb.com/name/nm0605080/"} +{"d:Title": "IMDb: Stephanie Morgenstern", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/People/M/Morgenstern,_Stephanie", "url": "http://www.imdb.com/name/nm0605174/"} +{"d:Title": "Thespian Net presents Cathy Moriarty", "d:Description": "Includes biographical information, articles, and credits.", "topic": "Top/Arts/People/M/Moriarty,_Cathy", "url": "http://www.thespiannet.com/actresses/M/moriarty_cathy/cathy_moriarty.shtml"} +{"d:Title": "A Muse For Moriarty", "d:Description": "Fan fictions, biography and news. Can only be navigated in Internet Explorer.", "topic": "Top/Arts/People/M/Moriarty,_Michael", "url": "http://girlstone.tripod.com/"} +{"d:Title": "IMDb: Michael Moriarty", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/People/M/Moriarty,_Michael", "url": "http://www.imdb.com/name/nm0605363/"} +{"d:Title": "Yahoo Clubs: Julianne Morris Appreciation", "d:Description": "Online club with message board, pictures and links.", "topic": "Top/Arts/People/M/Morris,_Julianne", "url": "http://groups.yahoo.com/group/juliannemorrisappreciation/"} +{"d:Title": "IMDb.com - Julianne Morris", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/M/Morris,_Julianne", "url": "http://www.imdb.com/name/nm0606691/"} +{"d:Title": "Kathryn Morris: A Photo Essay", "d:Description": "Includes pictures and sound clips from her movies and television programs.", "topic": "Top/Arts/People/M/Morris,_Kathryn", "url": "http://www.cyberpursuits.com/essays/morris/default.asp"} +{"d:Title": "IMDb: Kathryn Morris", "d:Description": "Includes biographical information, filmography, photos, trivia, and links.", "topic": "Top/Arts/People/M/Morris,_Kathryn", "url": "http://www.imdb.com/name/nm0606700/"} +{"d:Title": "James Morrison - The Life Of An Actor", "d:Description": "Featuring a history of actor James Morrison's past works including Theater, TV and Movies.", "topic": "Top/Arts/People/M/Morrison,_James", "url": "http://www.lifeofanactor.com/"} +{"d:Title": "The James Morrison Discussion List", "d:Description": "Moderated by Yahoo! Focuses mostly on his work in Space: Above and Beyond", "topic": "Top/Arts/People/M/Morrison,_James", "url": "http://groups.yahoo.com/group/jmdg/"} +{"d:Title": "IMDb: Jennifer Morrison", "d:Description": "Includes filmography, biographical information, trivia, and links.", "topic": "Top/Arts/People/M/Morrison,_Jennifer", "url": "http://www.imdb.com/name/nm0607185/"} +{"d:Title": "Wikipedia: Jennifer Morrison", "d:Description": "Includes biographical information, film and television credits, and links to related sites.", "topic": "Top/Arts/People/M/Morrison,_Jennifer", "url": "http://en.wikipedia.org/wiki/Jennifer_Morrison"} +{"d:Title": "Twitter: Chris Morrissey", "d:Description": "The filmmaker's micro-blog.", "topic": "Top/Arts/People/M/Morrissey,_Chris", "url": "http://twitter.com/chrismorrissey"} +{"d:Title": "IMDb: Chris Morrissey", "d:Description": "Detailed filmography.", "topic": "Top/Arts/People/M/Morrissey,_Chris", "url": "http://www.imdb.com/name/nm0607373/"} +{"d:Title": "Vic Morrow", "d:Description": "Biography, filmography with reviews and character biography of Sgt. Saunders.", "topic": "Top/Arts/People/M/Morrow,_Vic", "url": "http://www.jodavidsmeyer.com/combat/bookstore/vicmorrow.html"} +{"d:Title": "TVGuide.com: Vic Morrow", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/M/Morrow,_Vic", "url": "http://www.tvguide.com/celebrities/vic-morrow/178200"} +{"d:Title": "IMDb.com - Vic Morrow", "d:Description": "Filmography, brief biography, and photos.", "topic": "Top/Arts/People/M/Morrow,_Vic", "url": "http://www.imdb.com/name/nm0607558/"} +{"d:Title": "Barry Morse", "d:Description": "News and career information, pictures, and upcoming appearances.", "topic": "Top/Arts/People/M/Morse,_Barry", "url": "http://www.barrymorse.com/"} +{"d:Title": "IMDb: Barry Morse", "d:Description": "Complete filmography, profile, and television appearances from the Internet Movie Database.", "topic": "Top/Arts/People/M/Morse,_Barry", "url": "http://www.imdb.com/name/nm0607579/"} +{"d:Title": "David Morse International Fan Club", "d:Description": "The fan club for actor David Morse.", "topic": "Top/Arts/People/M/Morse,_David", "url": "http://www.angelfire.com/nv/dmifc/"} +{"d:Title": "David Morse Online", "d:Description": "News, photo and movie galleries, biography and links.", "topic": "Top/Arts/People/M/Morse,_David", "url": "http://www.davidmorse.org/"} +{"d:Title": "IMDb.com - David Morse (I)", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/People/M/Morse,_David", "url": "http://www.imdb.com/name/nm0001556/"} +{"d:Title": "IMDb.com - Natalie Morse", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/M/Morse,_Natalie", "url": "http://www.imdb.com/name/nm0607651/"} +{"d:Title": "Corner of Viggo Mortensen", "d:Description": "Interviews, pictures, and news on Viggo.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.frostyland.com/Viggo/viggo.index.shtml"} +{"d:Title": "Perceval Press", "d:Description": "A small press founded by Viggo Mortensen, publishing photography, painting and other arts-related books by Mortensen and others. Books and CDs, exhibitions and events, recommended reading.", "priority": "1", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.percevalpress.com/"} +{"d:Title": "Thank You, Viggo", "d:Description": "Tribute site features writings and photographs inspired by Viggo Mortensen, along with commentary on his work.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.thankyouviggo.com/"} +{"d:Title": "Brego.net", "d:Description": "Fan site features movie details, photographs and video clips, articles, information on art, music and political views.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.brego.net/"} +{"d:Title": "Viggo Mortensen News: Topix", "d:Description": "News about Viggo Mortensen continually updated from around the net.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.topix.com/who/viggo-mortensen"} +{"d:Title": "Wikipedia: Viggo Mortensen", "d:Description": "Biography, filmography, bibliography and references.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://en.wikipedia.org/wiki/Viggo_Mortensen"} +{"d:Title": "Viggo-Works", "d:Description": "Forum, news updates, archived articles and photographs. Emphasis on politics and art as well as films.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.viggo-works.com/"} +{"d:Title": "The Farthest Outpost", "d:Description": "Friendly message board for fans of Viggo Mortensen.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.thefarthestoutpost.com/bb/index.php"} +{"d:Title": "IMDb: Viggo Mortensen", "d:Description": "Find a detailed filmography, biography, picture gallery and links for the \"Lord of the Rings\" star.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.imdb.com/name/nm0001557/"} +{"d:Title": "The Numbers: box office data for Viggo Mortensen", "d:Description": "Provides box office news and detailed analysis for Viggo Mortensen's movies.", "topic": "Top/Arts/People/M/Mortensen,_Viggo", "url": "http://www.the-numbers.com/person/102510401-Viggo-Mortensen"} +{"d:Title": "My Image Daily", "d:Description": "Collection of scans from magazines featuring Viggo, most of them Japanese, plus photographs from the Japanese premiere of Return of the King and from trips to see Lord of the Rings filming locations.", "topic": "Top/Arts/People/M/Mortensen,_Viggo/Image_Galleries", "url": "http://alnor.or.tv/"} +{"d:Title": "Sagralisse - Viggo Mortensen Screencaps", "d:Description": "Several hundred screen captures from Viggo's movies, with slide show navigation. Site also has images from The Two Towers DVD extras and a Viggo mood theme for LiveJournal.", "topic": "Top/Arts/People/M/Mortensen,_Viggo/Image_Galleries", "url": "http://sagralisse.mediawood.net/viggo/"} +{"d:Title": "Celebrities-Pictures.com: Viggo Mortensen", "d:Description": "A gallery of pictures and wallpapers, biographical notes, quotes, trivia and links of the \"Lord of the Rings\" star.", "topic": "Top/Arts/People/M/Mortensen,_Viggo/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/viggo%20Mortensen"} +{"d:Title": "Cannes 2001: Interview with Viggo Mortensen and Sean Bean", "d:Description": "TheOneRing.net presents an interview with Viggo Mortensen and Sean Bean from the 2001 Cannes Film Festival.", "topic": "Top/Arts/People/M/Mortensen,_Viggo/Interviews_and_Articles", "url": "http://www.theonering.net/features/newsroom/files/091201_vbsbint.html"} +{"d:Title": "Canoe: Viggo Mortensen", "d:Description": "A collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/People/M/Mortensen,_Viggo/Interviews_and_Articles", "url": "http://jam.canoe.com/Movies/Artists/M/Mortensen_Viggo/"} +{"d:Title": "Cinema.com: Joe Morton", "d:Description": "Biography and filmography of the actor.", "topic": "Top/Arts/People/M/Morton,_Joe", "url": "http://www.cinema.com/people/001/318/joe-morton/"} +{"d:Title": "IMDb.com - Joe Morton", "d:Description": "Complete biography and filmography", "topic": "Top/Arts/People/M/Morton,_Joe", "url": "http://www.imdb.com/name/nm0608012/"} +{"d:Title": "Absolute Goddess: Carrie-Anne Moss", "d:Description": "A collection of thumbnailed images that can be sent as e-postcards.", "topic": "Top/Arts/People/M/Moss,_Carrie-Anne", "url": "http://carrieanne.goddessdreams.com/"} +{"d:Title": "IMDb: Carrie-Anne Moss", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/M/Moss,_Carrie-Anne", "url": "http://www.imdb.com/name/nm0005251/"} +{"d:Title": "Thespian Net presents Carrie-Anne Moss", "d:Description": "Includes a short biography, movie and TV credits, articles, pictures, and links.", "topic": "Top/Arts/People/M/Moss,_Carrie-Anne", "url": "http://www.thespiannet.com/actresses/M/moss_carrieanne/carrie_anne_moss.shtml"} +{"d:Title": "Filmbug - Carrie-Anne Moss", "d:Description": "Biography, movies, forum, and links.", "topic": "Top/Arts/People/M/Moss,_Carrie-Anne", "url": "http://www.filmbug.com/db/2225"} +{"d:Title": "Bridget Moynahan Online", "d:Description": "Offers a biography, filmography, images, anrticles, and links.", "topic": "Top/Arts/People/M/Moynahan,_Bridget", "url": "http://bridgetmoynahan.tripod.com/"} +{"d:Title": "IMDb: Bridget Moynahan", "d:Description": "Includes a biography, filmography, and images.", "topic": "Top/Arts/People/M/Moynahan,_Bridget", "url": "http://www.imdb.com/name/nm0005256/"} +{"d:Title": "The FoolFiles", "d:Description": "Fan site with a biography, filmography, images and a special section devoted to the A-Team.", "topic": "Top/Arts/People/M/Mr._T", "url": "http://www.orangecup.com/fool/"} +{"d:Title": "Mr. T Goes For a Drive", "d:Description": "A flash film featuring Mr. T.", "topic": "Top/Arts/People/M/Mr._T", "url": "http://www.highlyillogical.org/mrtgoesforadrive/"} +{"d:Title": "Mr. T vs. cancer", "d:Description": "An article discussing Mr. T's battle with T-cell lymphoma, a rare form of cancer.", "topic": "Top/Arts/People/M/Mr._T/Vs._Competitions", "url": "http://www.grudge-match.com/Real/mrt-cancer.html"} +{"d:Title": "Mr T vs Harry Potter", "d:Description": "Also known as Harry Potter and the Power of Mr T.", "topic": "Top/Arts/People/M/Mr._T/Vs._Competitions", "url": "http://mrtvshp.4mg.com/"} +{"d:Title": "Totally Kate", "d:Description": "Endorsed site. Features photographs, interviews, articles, convention reports, biography, filmography and episode reviews.", "priority": "1", "topic": "Top/Arts/People/M/Mulgrew,_Kate", "url": "http://www.totallykate.com/"} +{"d:Title": "The Kate Mulgrew Fan Club", "d:Description": "Fan club which includes a message board, photos, links and files.", "topic": "Top/Arts/People/M/Mulgrew,_Kate", "url": "http://groups.yahoo.com/group/KateMulgrewFanClub/"} +{"d:Title": "MeganMullally.net", "d:Description": "Megan Mullally's official website.", "topic": "Top/Arts/People/M/Mullally,_Megan", "url": "http://www.meganmullally.net/"} +{"d:Title": "Megan Mullally Forever", "d:Description": "Fan site with photos.", "topic": "Top/Arts/People/M/Mullally,_Megan", "url": "http://meganmullallyforever.tripod.com/"} +{"d:Title": "Sexy&Sassy: The Megan Mullally Fan Site", "d:Description": "A fan site inspired by the talented and beautiful Megan Mullally. Includes screen caps, article, lyrics and photos.", "topic": "Top/Arts/People/M/Mullally,_Megan", "url": "http://members.tripod.com/shai_girl/index.html"} +{"d:Title": "IMDb.com - Dermot Mulroney", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/M/Mulroney,_Dermot", "url": "http://www.imdb.com/name/nm0000551/"} +{"d:Title": "IMDb: Callan Mulvey", "d:Description": "Facts and a filmography.", "topic": "Top/Arts/People/M/Mulvey,_Callan", "url": "http://www.imdb.com/name/nm0612534/"} +{"d:Title": "Jelena's Drazic Den", "d:Description": "Devoted to Callan Mulvey. Includes photos, interviews, articles, and sound clips.", "topic": "Top/Arts/People/M/Mulvey,_Callan", "url": "http://jelenas.tripod.com/"} +{"d:Title": "Yahoo Groups - Fan Club of Mumtaz", "d:Description": "Message board, chat, photos and links.", "topic": "Top/Arts/People/M/Mumtaz", "url": "http://groups.yahoo.com/group/mumtaz"} +{"d:Title": "Mumtaz", "d:Description": "Details about the actress of Hindi Films", "topic": "Top/Arts/People/M/Mumtaz", "url": "http://www.angelfire.com/celeb/mumtaz/"} +{"d:Title": "IMDb: Mumtaz (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/M/Mumtaz", "url": "http://www.imdb.com/name/nm0612614/"} +{"d:Title": "The Official Bill Mumy Page", "d:Description": "Biography, filmography, updates, music and messages from Bill Mumy, of Lost in Space fame.", "topic": "Top/Arts/People/M/Mumy,_Bill", "url": "http://www.billmumy.com/"} +{"d:Title": "The Bill Mumy Official Fan Club", "d:Description": "Keep up to date on fan club news on actor Bill Mumy. All aspects of Bill's career: acting, music, writing.", "topic": "Top/Arts/People/M/Mumy,_Bill", "url": "http://www.billmumyfanclub.com/"} +{"d:Title": "IMDb: Paul Muni", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Muni,_Paul", "url": "http://www.imdb.com/name/nm0612847/"} +{"d:Title": "Muniz-Mania", "d:Description": "Includes news, wallpapers, and photographs.", "topic": "Top/Arts/People/M/Muniz,_Frankie", "url": "http://munizmania.tripod.com/"} +{"d:Title": "Frankie Freak Out", "d:Description": "News, pictures, biography and links.", "topic": "Top/Arts/People/M/Muniz,_Frankie", "url": "http://www.angelfire.com/celeb/frankiefreakout/"} +{"d:Title": "Frankie-Muniz.info", "d:Description": "Information, pictures, and multimedia.", "topic": "Top/Arts/People/M/Muniz,_Frankie", "url": "http://www.frankie-muniz.info/"} +{"d:Title": "IMDb: Frankie Muniz", "d:Description": "A mini biography, trivia and a detailed filmography.", "topic": "Top/Arts/People/M/Muniz,_Frankie", "url": "http://www.imdb.com/name/nm0005260/"} +{"d:Title": "IMDb: Janet Munro", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/M/Munro,_Janet", "url": "http://www.imdb.com/name/nm0613130/"} +{"d:Title": "Everybody say \"Yeah!\"", "d:Description": "Fan site with photos from various films, links, and other related information.", "topic": "Top/Arts/People/M/Munro,_Lochlyn", "url": "http://members.tripod.com/~Nikki_Deedle/LOCHLYN.html"} +{"d:Title": "The Lochlyn Munro Fansite", "d:Description": "Includes news, pictures, movie/tv appearances, and other information.", "topic": "Top/Arts/People/M/Munro,_Lochlyn", "url": "http://www.angelfire.com/yt/munro/index.html"} +{"d:Title": "IMDb.com - Lochlyn Munro", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/M/Munro,_Lochlyn", "url": "http://www.imdb.com/name/nm0613147/"} +{"d:Title": "Box Office Data for Lochlyn Munro", "d:Description": "The Numbers provides box office news and detailed analysis for movie fans.", "topic": "Top/Arts/People/M/Munro,_Lochlyn", "url": "http://www.the-numbers.com/person/103480401-Lochlyn-Munro"} +{"d:Title": "Adoring Brittany Murphy", "d:Description": "Includes pictures in a thumbnailed image gallery, a biography, and a filmography.", "topic": "Top/Arts/People/M/Murphy,_Brittany", "url": "http://www.adoring.net/brittanymurphy/index.htm"} +{"d:Title": "The Brittany Murphy Fan Page", "d:Description": "Includes a filmography, magazine articles, interviews, theater, contact information, and pictures.", "topic": "Top/Arts/People/M/Murphy,_Brittany", "url": "http://www.brittanymurphy.8m.com/"} +{"d:Title": "IMDb: Brittany Murphy", "d:Description": "Includes filmography, awards, mini-biography, trivia, and photos.", "topic": "Top/Arts/People/M/Murphy,_Brittany", "url": "http://www.imdb.com/name/nm0005261/"} +{"d:Title": "IMDb: Donna Murphy", "d:Description": "The Internet Movie Database includes filmography, biographical information, pictures, and links.", "topic": "Top/Arts/People/M/Murphy,_Donna", "url": "http://www.imdb.com/name/nm0614220/"} +{"d:Title": "Sexy Male Celebrities - Eddie Murphy", "d:Description": "Includes a brief profile and a biography.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.kcweb.com/superm/e_murphy.htm"} +{"d:Title": "Div's Crib-Eddie Murphy", "d:Description": "Audio clips, pictures, reviews, and a short biography.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.div.ca/eddie/"} +{"d:Title": "Topix: Eddie Murphy", "d:Description": "News about the actor continually updated from around the net.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.topix.com/who/eddie-murphy"} +{"d:Title": "AskMen.com - Eddie Murphy", "d:Description": "Photos, comments and biography.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.askmen.com/celebs/men/entertainment_60/87_eddie_murphy.html"} +{"d:Title": "IMDb: Eddie Murphy (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.imdb.com/name/nm0000552/"} +{"d:Title": "Actor Eddie Murphy", "d:Description": "Box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?eddiemurphy"} +{"d:Title": "Digital Hits: Eddie Murphy", "d:Description": "An article on his life and career.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.digitalhit.com/cr/eddiemurphy/"} +{"d:Title": "Filmbug: Eddie Murphy", "d:Description": "Biography, movies, links and forum.", "topic": "Top/Arts/People/M/Murphy,_Eddie", "url": "http://www.filmbug.com/db/378"} +{"d:Title": "The Completely Unofficial and Unauthorized Erin Murphy Site", "d:Description": "Pictures, links and information on appearances.", "topic": "Top/Arts/People/M/Murphy,_Erin", "url": "http://broph.homesite.net/ErinMurphy/"} +{"d:Title": "The Many Faces Of Tabitha", "d:Description": "Includes photos and interviews.", "topic": "Top/Arts/People/M/Murphy,_Erin", "url": "http://www.bewitched.net/tabithas.htm"} +{"d:Title": "IMDb: Erin Murphy", "d:Description": "Offers a biography and a filmography.", "topic": "Top/Arts/People/M/Murphy,_Erin", "url": "http://www.imdb.com/name/nm0614249/"} +{"d:Title": "Genesis Creations Entertainment", "d:Description": "Booking information for actress Erin Murphy.", "topic": "Top/Arts/People/M/Murphy,_Erin", "url": "http://www.genesiscreations.biz/Erin_Murphy_Booking.html"} +{"d:Title": "TV.com: Erin Murphy", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/M/Murphy,_Erin", "url": "http://www.tv.com/people/erin-murphy/"} +{"d:Title": "IMDb: Gerard Murphy (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/M/Murphy,_Gerard", "url": "http://www.imdb.com/name/nm0614285/"} +{"d:Title": "Bill Murray News: Topix", "d:Description": "News about Bill Murray continually updated from around the net.", "topic": "Top/Arts/People/M/Murray,_Bill", "url": "http://www.topix.com/who/bill-murray"} +{"d:Title": "Topix: Bill Murray", "d:Description": "News about Bill Murray, collected from various sources on the web.", "topic": "Top/Arts/People/M/Murray,_Bill", "url": "http://www.topix.com/rss/who/bill-murray.xml"} +{"d:Title": "The Movie Times: Bill Murray", "d:Description": "Contains pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/M/Murray,_Bill", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?murray"} +{"d:Title": "IMDb: Bill Murray", "d:Description": "A filmography, profile, pictures, and related career data.", "topic": "Top/Arts/People/M/Murray,_Bill", "url": "http://www.imdb.com/name/nm0000195/"} +{"d:Title": "The-Numbers: Bill Murray", "d:Description": "Filmography with box office information.", "topic": "Top/Arts/People/M/Murray,_Bill", "url": "http://www.the-numbers.com/person/103790401-Bill-Murray"} +{"d:Title": "Chad Michael Murray", "d:Description": "Biography, filmography, news, links and photos.", "topic": "Top/Arts/People/M/Murray,_Chad", "url": "http://jens_creek.tripod.com/"} +{"d:Title": "IMDb: Chad Michael Murray", "d:Description": "Filmography, including television work.", "topic": "Top/Arts/People/M/Murray,_Chad", "url": "http://www.imdb.com/name/nm0614877/"} +{"d:Title": "Voice Chasers: Lorenzo Music", "d:Description": "List of animation voice credits.", "topic": "Top/Arts/People/M/Music,_Lorenzo", "url": "http://www.voicechasers.com/database/showactor.php?actorid=2314"} +{"d:Title": "Internet Movie Database: Lorenzo Music", "d:Description": "Filmography, trivia, and other credits.", "topic": "Top/Arts/People/M/Music,_Lorenzo", "url": "http://www.imdb.com/name/nm0615718/"} +{"d:Title": "Carlton, Your Doorman--Dead", "d:Description": "Posted by John Nelson to alt.obituaries, archived at Google Groups.", "topic": "Top/Arts/People/M/Music,_Lorenzo/Articles_and_Interviews", "url": "http://groups.google.com/groups?hl=en&safe=off&th=f307711760a6793,34"} +{"d:Title": "The Day The Music Died", "d:Description": "By Craig Crumpton. [Toon Zone] \"Remembering Lorenzo Music, Voice of Garfield.\"", "topic": "Top/Arts/People/M/Music,_Lorenzo/Articles_and_Interviews", "url": "http://www.toonzone.net/forums/toonzone-news-archive/3949-day-music-died-remembering-lorenzo-music-voice-garfield-[updated].html"} +{"d:Title": "Lorenzo Music, R.I.P.", "d:Description": "Fans discuss Lorenzo Music on rec.arts.animation, archived at Google Groups.", "topic": "Top/Arts/People/M/Music,_Lorenzo/Chats_and_Forums", "url": "http://groups.google.com/groups?hl=en&safe=off&th=2cd7b1132b10767d,9"} +{"d:Title": "Toon Zone Forums", "d:Description": "Fans discuss their memories of Lorenzo Music.", "topic": "Top/Arts/People/M/Music,_Lorenzo/Chats_and_Forums", "url": "http://www.toonzone.net/forums/toonzone-general-animation-discussion/4008-news-flash-lorenzo-music-voice-garfield-dies.html"} +{"d:Title": "Ornella Muti Fan Club", "d:Description": "Several photo galleries.", "topic": "Top/Arts/People/M/Muti,_Ornella", "url": "http://www.ornellamuti.it/"} +{"d:Title": "IMDb: Ornella Muti", "d:Description": "A filmography.", "topic": "Top/Arts/People/M/Muti,_Ornella", "url": "http://www.imdb.com/name/nm0001560/"} +{"d:Title": "Coffee Talk: With Linda Richman", "d:Description": "Mike Myers as Linda Richman, the hostess of Coffee Talk on SNL. Includes pictures and sound waves.", "topic": "Top/Arts/People/M/Myers,_Mike", "url": "http://www.angelfire.com/tv2/coffeetalk/"} +{"d:Title": "Mike Myers News: Topix", "d:Description": "News about Mike Myers continually updated from around the net.", "topic": "Top/Arts/People/M/Myers,_Mike", "url": "http://www.topix.com/who/mike-myers"} +{"d:Title": "Mike Myers - Rotten Tomatoes", "d:Description": "Biography, profile, interviews, articles, and news on Mike Myers.", "topic": "Top/Arts/People/M/Myers,_Mike", "url": "http://www.rottentomatoes.com/celebrity/mike_myers/"} +{"d:Title": "Filmbug - Mike Myers", "d:Description": "Biography, movies, links and forum.", "topic": "Top/Arts/People/M/Myers,_Mike", "url": "http://www.filmbug.com/db/1571"} +{"d:Title": "Jim Nabors Official Website", "d:Description": "With his superb music and exclusive fan shop.", "topic": "Top/Arts/People/N/Nabors,_Jim", "url": "http://www.jimnabors.com/"} +{"d:Title": "George Nader at Brian's Drive-In Theater", "d:Description": "Biographical information, filmography, pictures, and links.", "topic": "Top/Arts/People/N/Nader,_George", "url": "http://www.briansdriveintheater.com/nader.html"} +{"d:Title": "IMDb: George Nader", "d:Description": "Mini biography and filmography.", "topic": "Top/Arts/People/N/Nader,_George", "url": "http://www.imdb.com/name/nm0618865/"} +{"d:Title": "Michael Nader Loyalists' Homepage", "d:Description": "Late news, photo galleries, biography, Dimitri timeline, message board and links.", "topic": "Top/Arts/People/N/Nader,_Michael", "url": "http://www.angelfire.com/celeb2/nader/"} +{"d:Title": "Asia Society: Ajay Naidu", "d:Description": "Interview examining his involvement in the theatrical work 'In What Language?'", "topic": "Top/Arts/People/N/Naidu,_Ajay", "url": "http://asiasociety.org/arts/performing-arts/theater/what-language-interview-ajay-naidu"} +{"d:Title": "IMDb: Ajay Naidu", "d:Description": "Filmography, trivia, photographs and a message board.", "topic": "Top/Arts/People/N/Naidu,_Ajay", "url": "http://www.imdb.com/name/nm0619651/"} +{"d:Title": "IMDb: Yukie Nakama", "d:Description": "Filmography.", "topic": "Top/Arts/People/N/Nakama,_Yukie", "url": "http://www.imdb.com/name/nm0620090/"} +{"d:Title": "IMDb: Charles Napier", "d:Description": "Mini biography and filmography.", "topic": "Top/Arts/People/N/Napier,_Charles", "url": "http://www.imdb.com/name/nm0621008/"} +{"d:Title": "IMDb: Elise Neal", "d:Description": "Trivia, detailed filmography, and pictures.", "topic": "Top/Arts/People/N/Neal,_Elise", "url": "http://www.imdb.com/name/nm0005264/"} +{"d:Title": "IMDb: Tracey Needham", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/People/N/Needham,_Tracey", "url": "http://www.imdb.com/name/nm0624121/"} +{"d:Title": "Tracey Needham", "d:Description": "Yahoo club for fans of the actress, with message board, chat room, pictures, and links.", "topic": "Top/Arts/People/N/Needham,_Tracey", "url": "http://movies.groups.yahoo.com/group/traceyneedham/"} +{"d:Title": "TVGuide.com: Tracey Needham", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/N/Needham,_Tracey", "url": "http://www.tvguide.com/celebrities/tracey-needham/177544"} +{"d:Title": "Irish Creme: the charm of Liam Neeson", "d:Description": "Photos, information, interviews and news.", "topic": "Top/Arts/People/N/Neeson,_Liam", "url": "http://liamneeson.8m.com/"} +{"d:Title": "Liam Neeson on Digital Hit's Celebrity Row", "d:Description": "Biography, news stories, videos for sale, surveys and discussions.", "topic": "Top/Arts/People/N/Neeson,_Liam", "url": "http://digitalhit.com/row/liamneeson.shtml"} +{"d:Title": "Thespian Net presents Liam Neeson", "d:Description": "Includes biography, movie credits, articles and interviews, pictures, and links.", "topic": "Top/Arts/People/N/Neeson,_Liam", "url": "http://www.thespiannet.com/actors/N/neeson_liam/index.shtml"} +{"d:Title": "Box Office Data for Liam Neeson", "d:Description": "Box office information.", "topic": "Top/Arts/People/N/Neeson,_Liam", "url": "http://www.the-numbers.com/people/LNEES.php"} +{"d:Title": "Who 2: Liam Neeson Profile", "d:Description": "Links and biography.", "topic": "Top/Arts/People/N/Neeson,_Liam", "url": "http://www.who2.com/bio/liam-neeson"} +{"d:Title": "IMDb: Liam Neeson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/N/Neeson,_Liam", "url": "http://www.imdb.com/name/nm0000553/"} +{"d:Title": "Actor: Liam Neeson [The Movie Times]", "d:Description": "Box office records, showcase on awards, links, message board.", "topic": "Top/Arts/People/N/Neeson,_Liam", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?lneeson"} +{"d:Title": "Wonderful Sam Neill", "d:Description": "A web site started in apprecation of his 25 years in the movies. Features pictures, information and poems.", "topic": "Top/Arts/People/N/Neill,_Sam", "url": "http://wonderfulsamneill.freewebspace.com/"} +{"d:Title": "Tabula Rasa: Sam Neill", "d:Description": "Article about Sam Neill's work, particularly in the horror field.", "topic": "Top/Arts/People/N/Neill,_Sam", "url": "http://www.tabula-rasa.info/Horror/SamNeill.html"} +{"d:Title": "Thespian Net Presents Sam Neill", "d:Description": "Contains a biography, filmography and links.", "topic": "Top/Arts/People/N/Neill,_Sam", "url": "http://www.thespiannet.com/actors/N/neill_sam/"} +{"d:Title": "Official Sam Neill Home Page", "d:Description": "News, articles, contacts, biography, complete filmography, and photographs.", "topic": "Top/Arts/People/N/Neill,_Sam", "url": "http://www.ibiblio.org/samneill/"} +{"d:Title": "TalkTalk: Sam Neill", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/N/Neill,_Sam", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/sam-neill/biography/108"} +{"d:Title": "Sam Neill", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/People/N/Neill,_Sam", "url": "http://www.imdb.com/name/nm0000554/"} +{"d:Title": "IMDb: Haywood Nelson", "d:Description": "Filmography, including television work and notable guest appearances.", "topic": "Top/Arts/People/N/Nelson,_Haywood", "url": "http://www.imdb.com/name/nm0625406/"} +{"d:Title": "Judd Nelson website", "d:Description": "Personal dedication includes biography, filmography, theatre and TV show appearances.", "topic": "Top/Arts/People/N/Nelson,_Judd", "url": "http://www.judd-website.co.uk/"} +{"d:Title": "Tim Blake Nelson", "d:Description": "Yahoo Club with message board.", "topic": "Top/Arts/People/N/Nelson,_Tim_Blake", "url": "http://movies.groups.yahoo.com/group/timblakenelson2/"} +{"d:Title": "IMDb: Tim Blake Nelson", "d:Description": "His profile at the Internet Movie Database. With filmography, biography, and picture gallery.", "topic": "Top/Arts/People/N/Nelson,_Tim_Blake", "url": "http://www.imdb.com/name/nm0625789/"} +{"d:Title": "IMDb: Tracy Nelson", "d:Description": "Mini biography, filmography and pictures.", "topic": "Top/Arts/People/N/Nelson,_Tracy", "url": "http://www.imdb.com/name/nm0625796/"} +{"d:Title": "Midsomer Murders Starring John Nettles", "d:Description": "Includes episode guides, news and location information.", "topic": "Top/Arts/People/N/Nettles,_John", "url": "http://midsomermurders.org/"} +{"d:Title": "IMDb: John Nettles", "d:Description": "Filmography.", "topic": "Top/Arts/People/N/Nettles,_John", "url": "http://www.imdb.com/name/nm0626719/"} +{"d:Title": "Yahoo!Groups: brooke-nevin", "d:Description": "A newsletter packed with the latest news about the actress.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://groups.yahoo.com/group/brooke-nevin"} +{"d:Title": "Scholastic: Behind the Scenes", "d:Description": "A behind the scenes interview with Brooke, pictures, biography, and a chat transcript.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://www.scholastic.com/animorphs/characters/yearbook_rachel.htm"} +{"d:Title": "The Brooke Nevin Website", "d:Description": "The latest news, downloads, and information about actress Brooke Nevin.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://brooke-nevin.com/"} +{"d:Title": "Wikipedia: Brooke Nevin", "d:Description": "Brief biography, selected filmography and links to related sites.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://en.wikipedia.org/wiki/Brooke_Nevin"} +{"d:Title": "Sequential Tart: Super Women: Brooke Nevin", "d:Description": "Interview with Brooke focusing on her genre work.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://www.sequentialtart.com/article.php?id=445"} +{"d:Title": "TVGuide: Brooke Nevin", "d:Description": "Filmography and upcoming appearances.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://www.tvguide.com/celebrities/brooke-nevin/207257"} +{"d:Title": "IMDb: Brooke Nevin", "d:Description": "Filmography and links to related sites.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://www.imdb.com/name/nm0627492/"} +{"d:Title": "TV.com: Brooke Nevin", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/N/Nevin,_Brooke", "url": "http://www.tv.com/people/brooke-nevin/"} +{"d:Title": "IMDb: Bob Newhart", "d:Description": "Filmography", "topic": "Top/Arts/People/N/Newhart,_Bob", "url": "http://www.imdb.com/name/nm0627878/"} +{"d:Title": "Alec's Abode", "d:Description": "A fan site with biography, a filmography, and photographs.", "topic": "Top/Arts/People/N/Newman,_Alec", "url": "http://alecsabode.00go.com/"} +{"d:Title": "Alec Newman Club", "d:Description": "Yahoo! Groups: The Official Alec Newman Club", "topic": "Top/Arts/People/N/Newman,_Alec", "url": "http://groups.yahoo.com/group/officialalecnewmanclub/"} +{"d:Title": "IMDb: Alec Newman", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/N/Newman,_Alec", "url": "http://www.imdb.com/name/nm0627995/"} +{"d:Title": "IMDb: Laraine Newman", "d:Description": "Filmography, trivia, and pictures.", "topic": "Top/Arts/People/N/Newman,_Laraine", "url": "http://www.imdb.com/name/nm0628170/"} +{"d:Title": "Newman's Own", "d:Description": "Organic (and tasty) ingredients. Profits after taxes go to progressive causes.", "topic": "Top/Arts/People/N/Newman,_Paul", "url": "http://www.newmansown.com/"} +{"d:Title": "Paul Newman News: Topix", "d:Description": "News about Paul Newman continually updated from around the net.", "topic": "Top/Arts/People/N/Newman,_Paul", "url": "http://www.topix.com/who/paul-newman"} +{"d:Title": "Paul Newman at Reel Classics", "d:Description": "Biography, filmography and awards information.", "topic": "Top/Arts/People/N/Newman,_Paul", "url": "http://www.reelclassics.com/Actors/Newman/newman.htm"} +{"d:Title": "Paul Newman Page", "d:Description": "Site dedicated to actor, director, producer, philanthropist, race car driver, father, husband all around nice guy Paul Newman. Filmography and links.", "topic": "Top/Arts/People/N/Newman,_Paul", "url": "http://www.simplicityconnection.com/PAULPAGE/paul.htm"} +{"d:Title": "Official Robert Newman Site", "d:Description": "Contains full tour dates, a biography, photographs and exclusive writings.", "topic": "Top/Arts/People/N/Newman,_Rob", "url": "http://www.robnewman.com/"} +{"d:Title": "The Rob Newman Pages", "d:Description": "Includes a biography, filmography, tour news, reviews, articles, audio clips, pictures and a messageboard.", "topic": "Top/Arts/People/N/Newman,_Rob", "url": "http://micaelita.net/robnewman/"} +{"d:Title": "IMDb: Rob Newman", "d:Description": "Offers a full list of film and television credits.", "topic": "Top/Arts/People/N/Newman,_Rob", "url": "http://imdb.com/name/nm0628240/"} +{"d:Title": "Wikipedia: Robert Newman", "d:Description": "Brief biography with links.", "topic": "Top/Arts/People/N/Newman,_Rob", "url": "http://en.wikipedia.org/wiki/Robert_Newman"} +{"d:Title": "Rob Newman's History of Oil", "d:Description": "Streaming video of his 2006 stand up show on the subject of peak oil.", "topic": "Top/Arts/People/N/Newman,_Rob", "url": "http://www.informationclearinghouse.info/article13416.htm"} +{"d:Title": "Guardian: It's Capitalism or a Habitable Planet - You Can't Have Both", "d:Description": "Article penned by the performer, detailing his views on the impending global energy crisis.", "topic": "Top/Arts/People/N/Newman,_Rob", "url": "http://www.theguardian.com/environment/2006/feb/02/energy.comment"} +{"d:Title": "Guardian: On Blueberry Hill", "d:Description": "Interview on the subject of his third novel 'The Fountain at the Centre of the World'.", "topic": "Top/Arts/People/N/Newman,_Rob", "url": "http://www.theguardian.com/stage/2003/aug/23/comedy.fiction"} +{"d:Title": "Julie Newmar", "d:Description": "Dedicated to the actress with pictures and information.", "topic": "Top/Arts/People/N/Newmar,_Julie", "url": "http://www.triviatribute.com/julienewmar.html"} +{"d:Title": "IMDb: Robert Newton (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/N/Newton,_Robert", "url": "http://www.imdb.com/name/nm0628579/"} +{"d:Title": "Thandie Newton: A Dedication", "d:Description": "Thandie Newton fan site by Irina Rodriguez with filmography and reviews.", "topic": "Top/Arts/People/N/Newton,_Thandie", "url": "http://members.tripod.com/~gialegs/thandie.html"} +{"d:Title": "Thespian Net Presents Thandie Newton", "d:Description": "Thandie Newton biography and filmography.", "topic": "Top/Arts/People/N/Newton,_Thandie", "url": "http://www.thespiannet.com/actresses/N/newton_thandie/"} +{"d:Title": "Yahoo! Movies: Thandie Newton", "d:Description": "Biography, filmography, and photo gallery.", "topic": "Top/Arts/People/N/Newton,_Thandie", "url": "http://movies.yahoo.com/person/thandie-newton/"} +{"d:Title": "IMDb: Thandie Newton", "d:Description": "Filmography and biography for Thandie Newton from the Internet Movie Database.", "topic": "Top/Arts/People/N/Newton,_Thandie", "url": "http://www.imdb.com/name/nm0628601/"} +{"d:Title": "Thandie Newton", "d:Description": "Features a collection of news articles.", "topic": "Top/Arts/People/N/Newton,_Thandie", "url": "http://jam.canoe.com/Movies/Artists/N/Newton_Thandie/"} +{"d:Title": "Dustin Nguyen Fan Site", "d:Description": "Profile, articles, credits, photos, fan fiction and links.", "topic": "Top/Arts/People/N/Nguyen,_Dustin", "url": "http://h.t.ioki.tripod.com/Dustin/DnMain.htm"} +{"d:Title": "Barbara Nichols at Brian's Drive-In Theater", "d:Description": "Contains biographical information and many photos.", "topic": "Top/Arts/People/N/Nichols,_Barbara", "url": "http://www.briansdriveintheater.com/barbaranichols.html"} +{"d:Title": "Uhura.com", "d:Description": "Hailing Frequencies are open.", "topic": "Top/Arts/People/N/Nichols,_Nichelle", "url": "http://www.uhura.com/"} +{"d:Title": "The Official Stephen Nichols Website", "d:Description": "Official site dedicated to Stephen Nichols, the actor who played Steve \"Patch\" Johnson on NBC's Days of Our Lives and Stefan Cassadine on ABC's General Hospital. Site features articles, reviews, transcripts, photo galleries, and a fan forum.", "topic": "Top/Arts/People/N/Nichols,_Stephen", "url": "http://www.stephennichols.net/"} +{"d:Title": "TV.com: Stephen Nichols", "d:Description": "News, notes, credits and biography.", "topic": "Top/Arts/People/N/Nichols,_Stephen", "url": "http://www.tv.com/people/stephen-nichols/"} +{"d:Title": "Young Jack Nicholson: Auspicious Beginnings", "d:Description": "A biographical study of the film actor, Jack Nicholson, written by journalist Eve Berliner.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://www.evesmag.com/nicholson.htm"} +{"d:Title": "Jack Nicholson for Sentimental Reasons", "d:Description": "A fan presents favorite pictures of Jack Nicholson with a special song playing in the background. Links to related information and films.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://pbatey.tripod.com/jacknicholson.html"} +{"d:Title": "Wikipedia: Jack Nicholson", "d:Description": "Includes biographical data, trivia, filmography and links.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://en.wikipedia.org/wiki/Jack_Nicholson"} +{"d:Title": "Topix: Jack Nicholson News", "d:Description": "News about the actor continually updated from around the net.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://www.topix.com/who/jack-nicholson"} +{"d:Title": "Topix: Jack Nicholson", "d:Description": "News about Jack Nicholson, collected from various sources on the web.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://www.topix.com/rss/who/jack-nicholson.xml"} +{"d:Title": "IMDb: Jack Nicholson", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://www.imdb.com/name/nm0000197/"} +{"d:Title": "The Movie Times: Jack Nicholson", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jnicholson"} +{"d:Title": "Filmbug: Jack Nicholson", "d:Description": "Profile and career facts. Related links.", "topic": "Top/Arts/People/N/Nicholson,_Jack", "url": "http://www.filmbug.com/db/337"} +{"d:Title": "The Julianne Nicholson Website", "d:Description": "One of the first fansites on the web dedicated to Julianne Nicholson, featuring a vast photo gallery and an interview with Julianne.", "priority": "1", "topic": "Top/Arts/People/N/Nicholson,_Julianne", "url": "http://www.angelfire.com/stars/juliannenicholson/"} +{"d:Title": "The Others Webring", "d:Description": "Webring dedicated to cancelled NBC show, The Others.", "topic": "Top/Arts/People/N/Nicholson,_Julianne", "url": "http://webspin1.tripod.com/theothers.html"} +{"d:Title": "IMDb - Julianne Nicholson", "d:Description": "Offers mini biography, detailed filmography and notable TV appearances.", "topic": "Top/Arts/People/N/Nicholson,_Julianne", "url": "http://www.imdb.com/name/nm0629855/"} +{"d:Title": "Thespian Net Presents Connie Nielsen", "d:Description": "Biography, credits and links.", "topic": "Top/Arts/People/N/Nielsen,_Connie", "url": "http://www.thespiannet.com/actresses/N/nielsen_connie/index.shtml"} +{"d:Title": "IMDb: Connie Nielsen (I)", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/People/N/Nielsen,_Connie", "url": "http://www.imdb.com/name/nm0001567/"} +{"d:Title": "Trivia Tribute: Leonard Nimoy", "d:Description": "Provides photos, short WAV clips, links, trivia and related merchandise.", "topic": "Top/Arts/People/N/Nimoy,_Leonard", "url": "http://www.triviatribute.com/leonardnimoy.html"} +{"d:Title": "Leonard Nimoy Estrogen Brigade", "d:Description": "Fan page of photos, links, original fan stories and art. Link to LNEB yahoo club/digest.", "topic": "Top/Arts/People/N/Nimoy,_Leonard", "url": "http://www.angelfire.com/trek/lneb/"} +{"d:Title": "The Official Leonard Nimoy Fan Club", "d:Description": "Biography, index to films and other works, and information about the club and its newsletter. Based in the UK, authorised by Leonard Nimoy.", "topic": "Top/Arts/People/N/Nimoy,_Leonard", "url": "http://www.theofficialleonardnimoyfanclub.com/"} +{"d:Title": "TVGuide.com: Leonard Nimoy", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/N/Nimoy,_Leonard", "url": "http://www.tvguide.com/celebrities/leonard-nimoy/161833"} +{"d:Title": "Thanks to Leonard Nimoy", "d:Description": "Documentation about Leonard Nimoy's work, with summaries and a lot of pictures", "topic": "Top/Arts/People/N/Nimoy,_Leonard", "url": "http://www.leonardnimoy.de/"} +{"d:Title": "Leonard Nimoy", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/N/Nimoy,_Leonard", "url": "http://www.imdb.com/name/nm0000559/"} +{"d:Title": "Leonard Nimoy Photography", "d:Description": "Leonard Nimoy's official photography website; fine art images, galleries, updates.", "topic": "Top/Arts/People/N/Nimoy,_Leonard", "url": "http://www.rmichelson.com/Artist_Pages/Nimoy/pages/Leonard-Nimoy-Gallery.html"} +{"d:Title": "IMDb: Michiko Nishiwaki", "d:Description": "Mini biography and filmography.", "topic": "Top/Arts/People/N/Nishiwaki,_Michiko", "url": "http://www.imdb.com/name/nm0632811/"} +{"d:Title": "The Official Barbara Niven Website", "d:Description": "Official website for actress Barbara Niven who is currently starring in Pensacola:Wings of Gold.", "topic": "Top/Arts/People/N/Niven,_Barbara", "url": "http://www.barbaraniven.com/"} +{"d:Title": "IMDb: David Niven (I)", "d:Description": "Listing of the actor's film roles and television appearances.", "topic": "Top/Arts/People/N/Niven,_David", "url": "http://www.imdb.com/name/nm0000057/"} +{"d:Title": "John Noble", "d:Description": "Provides brief biography and filmography at IMDb.", "topic": "Top/Arts/People/N/Noble,_John", "url": "http://www.imdb.com/name/nm0633604/"} +{"d:Title": "BBC - Films - Interview - Christopher Nolan", "d:Description": "The director talks about his film, the memory loss thriller \"Memento\", starring Guy Pearce and Carrie-Anne Moss.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.bbc.co.uk/films/2000/10/16/christopher_nolan_i_interview.shtml"} +{"d:Title": "BBC Films: Interview: Christopher Nolan", "d:Description": "The British director of \"Memento\" discusses revenge, Guy Pearce and casting.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.bbc.co.uk/films/2000/10/16/christopher_nolan_ii_interview.shtml"} +{"d:Title": "IMDb: Christopher Nolan", "d:Description": "Features filmography, nominations and awards, biography, photos, news articles and discussion board.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.imdb.com/name/nm0634240/"} +{"d:Title": "Wikipedia: Christopher Nolan", "d:Description": "Provides information on the director's early life, his professional career and themes used in his films.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://en.wikipedia.org/wiki/Christopher_Nolan"} +{"d:Title": "Blackfilm.com: Batman Begins: An Interview with Director Christopher Nolan", "d:Description": "Reviewing the film with interviewer Wilson Morales, the director talks about what Christian Bale brings to the role, the choice of Scarecrow and Ra's al Ghul as villains and the darkness of Gotham City.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.blackfilm.com/20050610/features/chrisnolan.shtml"} +{"d:Title": "The Unofficial Christopher Nolan Website", "d:Description": "Information on the director and his movies. News, interviews, upcoming projects.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.christophernolan.net/"} +{"d:Title": "SplicedWire: Wide Awake and Living A Dream", "d:Description": "Rob Blackwelder interviews director Christopher Nolan as he talks about the budget for \"Insomnia\" and what the cast members brought to their roles.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.splicedwire.com/02features/cnolan.html"} +{"d:Title": "Comic Book Resources: Direct The Dark Knight - Christopher Nolan", "d:Description": "Comprehensive interview with the film's director interviews was conducted prior to the death of Heath Ledger. Includes photos. By Andy Khouri.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.comicbookresources.com/?page=article&id=16888"} +{"d:Title": "Rottentomatoes.com: Christopher Nolan", "d:Description": "Provides movie reviews from critics and audiences, trailers, photos, news, articles and discussion forum.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://www.rottentomatoes.com/celebrity/christopher_nolan/"} +{"d:Title": "Yahoo Movies: Christopher Nolan", "d:Description": "Includes biography, filmography, photos, message board, nominations and awards.", "topic": "Top/Arts/People/N/Nolan,_Christopher", "url": "http://movies.yahoo.com/person/christopher-nolan/"} +{"d:Title": "Nick Nolte News: Topix", "d:Description": "News about Nick Nolte continually updated from around the net.", "topic": "Top/Arts/People/N/Nolte,_Nick", "url": "http://www.topix.com/who/nick-nolte"} +{"d:Title": "Mabel Normand Home Page", "d:Description": "Biography, filmography, bibliography, links and photos of the silent film \"Queen of Comedy\".", "topic": "Top/Arts/People/N/Normand,_Mabel", "url": "http://www.angelfire.com/mn/hp/"} +{"d:Title": "All Movie Guide: Mabel Normand", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/N/Normand,_Mabel", "url": "http://www.allmovie.com/artist/mabel-normand-104650"} +{"d:Title": "Mabel Normand", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/People/N/Normand,_Mabel", "url": "http://www.imdb.com/name/nm0635667/"} +{"d:Title": "The Official King Norris Web Site", "d:Description": "Biography, music and band information, appearance schedule, song clips, and merchandise. Featuring Fred Norris from the Howard Stern Show.", "topic": "Top/Arts/People/N/Norris,_Fred", "url": "http://kingnorris.com/"} +{"d:Title": "Northamholic", "d:Description": "A fan site with biography, filmography, reviews and news.", "topic": "Top/Arts/People/N/Northam,_Jeremy", "url": "http://jeremynortham.tripod.com/home/"} +{"d:Title": "Jeremy Northam Online", "d:Description": "Frequently updated fansite linked to the net's largest discussion list with biography and pictures.", "topic": "Top/Arts/People/N/Northam,_Jeremy", "url": "http://webspin1.tripod.com/northam/index.html"} +{"d:Title": "The Jeremy Northam Picture Archive", "d:Description": "Profile, credits, articles, interviews, photos and links.", "topic": "Top/Arts/People/N/Northam,_Jeremy", "url": "http://northamcisters.tripod.com/"} +{"d:Title": "IMDb: Jeremy Northam", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/N/Northam,_Jeremy", "url": "http://www.imdb.com/name/nm0000562/"} +{"d:Title": "Edward-Norton.org", "d:Description": "News on his upcoming projects, FAQ and multimedia files.", "topic": "Top/Arts/People/N/Norton,_Edward", "url": "http://edward-norton.org/"} +{"d:Title": "Thespian Net presents Edward Norton", "d:Description": "Credits, awards list and links.", "topic": "Top/Arts/People/N/Norton,_Edward", "url": "http://www.thespiannet.com/actors/N/norton_edward/"} +{"d:Title": "TVGuide.com: Edward Norton", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/N/Norton,_Edward", "url": "http://www.tvguide.com/celebrities/edward-norton/148638"} +{"d:Title": "IMDb: Edward Norton (I)", "d:Description": "Filmography, trivia and list of other works.", "topic": "Top/Arts/People/N/Norton,_Edward", "url": "http://www.imdb.com/name/nm0001570/"} +{"d:Title": "Canoe.com: Edward Norton", "d:Description": "Offers a collection of news articles.", "topic": "Top/Arts/People/N/Norton,_Edward", "url": "http://jam.canoe.com/Movies/Artists/N/Norton_Edward/"} +{"d:Title": "Ribisi Norton 4Ever", "d:Description": "About Giovanni Ribisi and Edward Norton.", "topic": "Top/Arts/People/N/Norton,_Edward/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/ribisi_norton_4ever"} +{"d:Title": "Ed-Norton", "d:Description": "Designed to help fans be aware of other's opinions and ideas about the actor's performance.", "topic": "Top/Arts/People/N/Norton,_Edward/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Ed-Norton"} +{"d:Title": "Edward Norton Mail List Page", "d:Description": "Offers mailing lists about media appearances and current projects, and a general discussion list.", "topic": "Top/Arts/People/N/Norton,_Edward/Chats_and_Forums/Mailing_Lists", "url": "http://edward-norton.org/list.html"} +{"d:Title": "EdwardNorton", "d:Description": "Community for fans.", "topic": "Top/Arts/People/N/Norton,_Edward/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/edwardnorton"} +{"d:Title": "Inside Edition", "d:Description": "Nationally syndicated newsmagazine show.", "topic": "Top/Arts/People/N/Norville,_Deborah", "url": "http://www.insideedition.com/"} +{"d:Title": "Ryan Notch - The Official Site", "d:Description": "Provides a view into the life of actor, cinematographer and photographer.", "topic": "Top/Arts/People/N/Notch,_Ryan", "url": "http://www.ryannotch.com/"} +{"d:Title": "Thespian Net presents Chris Noth", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/N/Noth,_Chris", "url": "http://www.thespiannet.com/actors/N/noth_chris/index.shtml"} +{"d:Title": "Chris Noth @IMDb", "d:Description": "His page at the Internet Movie Data Base.", "topic": "Top/Arts/People/N/Noth,_Chris", "url": "http://www.imdb.com/name/nm0636562/"} +{"d:Title": "Joanne Nova", "d:Description": "Science activities and demonstrations by Joanne Nova the host of 'Y?'", "topic": "Top/Arts/People/N/Nova,_Joanne", "url": "http://joannenova.com.au/"} +{"d:Title": "Vampira", "d:Description": "Filmography and photo gallery.", "topic": "Top/Arts/People/N/Nurmi,_Maila", "url": "http://www.imdb.com/name/nm0885533/"} +{"d:Title": "IMDb - Conan O'Brien", "d:Description": "Includes a filmography, biography, and pictures.", "topic": "Top/Arts/People/O/O'Brien,_Conan", "url": "http://www.imdb.com/name/nm0005277/"} +{"d:Title": "The Official Logan O'Brien Web Site", "d:Description": "Pictures, schedules, biography, resume, and other information on the young actor.", "topic": "Top/Arts/People/O/O'Brien,_Logan", "url": "http://www.loganobrien.com/"} +{"d:Title": "IMDb.com : Logan O'Brien", "d:Description": "Basic facts, a complete filmography, TV schedule and items for sale.", "topic": "Top/Arts/People/O/O'Brien,_Logan", "url": "http://www.imdb.com/name/nm0639679/"} +{"d:Title": "Class Act : Margaret O'Brien", "d:Description": "Brief biography, complete filmography with movie reviews, photos and a link to her autobiography.", "topic": "Top/Arts/People/O/O'Brien,_Margaret", "url": "http://www.classicmoviemusicals.com/obrienm.htm"} +{"d:Title": "WIC Biography : Margaret O'Brien", "d:Description": "A short biography of the famous child star of 1940s.", "topic": "Top/Arts/People/O/O'Brien,_Margaret", "url": "http://www.wic.org/bio/mobrien.htm"} +{"d:Title": "ReelClassics : Margaret O'Brien", "d:Description": "Illustrated biography of the actress on three pages plus filmography, awards, news and bibliography.", "topic": "Top/Arts/People/O/O'Brien,_Margaret", "url": "http://www.reelclassics.com/Actresses/O'Brien/obrien.htm"} +{"d:Title": "IMDb.com : Margaret O'Brien", "d:Description": "Basic facts, a complete filmography, photo gallery, awards and titles for sale.", "topic": "Top/Arts/People/O/O'Brien,_Margaret", "url": "http://www.imdb.com/name/nm0639684/"} +{"d:Title": "IMDb.com : Mariah O'Brien", "d:Description": "Trivia, filmography, TV schedule, and titles for sale.", "topic": "Top/Arts/People/O/O'Brien,_Mariah", "url": "http://www.imdb.com/name/nm0639686/"} +{"d:Title": "IMDb.com: Pat O'Brien (I)", "d:Description": "Filmography, awards and biography.", "topic": "Top/Arts/People/O/O'Brien,_Pat", "url": "http://www.imdb.com/name/nm0002285/"} +{"d:Title": "The Richard O'Brien Crusade", "d:Description": "Dedicated to the writer and star of the Rocky Horror Picture Show. Biography, career, news, articles, and multimedia.", "topic": "Top/Arts/People/O/O'Brien,_Richard", "url": "http://www.robcrusade.com/"} +{"d:Title": "IMDb: Richard O'Brien", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/O/O'Brien,_Richard", "url": "http://www.imdb.com/name/nm0639782/"} +{"d:Title": "Fin's Tina O'Brien Page", "d:Description": "A fansite with biographical information, pictures, chat and mailing list.", "topic": "Top/Arts/People/O/O'Brien,_Tina", "url": "http://www.angelfire.com/ak2/findlay38/"} +{"d:Title": "IMDb.com: Tina O'Brien", "d:Description": "Filmography, basic facts, contact information, and titles for sale.", "topic": "Top/Arts/People/O/O'Brien,_Tina", "url": "http://www.imdb.com/name/nm0639854/"} +{"d:Title": "CNN: I Think I'm Outta Here : A Memoir of All My Families", "d:Description": "Review of Carroll O'Connor's autobiography by Chuck Westbrook.", "topic": "Top/Arts/People/O/O'Connor,_Carroll", "url": "http://www.cnn.com/books/reviews/9807/23/outta.here/index.html"} +{"d:Title": "Carroll O'Connor", "d:Description": "In memorium, by Ronald Bergan from the Guardian newspaper (United Kingdom).", "topic": "Top/Arts/People/O/O'Connor,_Carroll", "url": "http://www.theguardian.com/news/2001/jun/27/guardianobituaries1"} +{"d:Title": "IMDb: Carroll O'Connor", "d:Description": "Biography, photos, filmography, and links.", "topic": "Top/Arts/People/O/O'Connor,_Carroll", "url": "http://www.imdb.com/name/nm0005279/"} +{"d:Title": "Donald O'Connor Fan Club", "d:Description": "Unofficial site with pictures, filmography, and reviews.", "topic": "Top/Arts/People/O/O'Connor,_Donald", "url": "http://www.angelfire.com/mn/donocon/"} +{"d:Title": "Donald O'Connor Web Site", "d:Description": "Fan site with biography, photos, filmography, discography, listings of television and theatre appearances, and memorabilia museum.", "topic": "Top/Arts/People/O/O'Connor,_Donald", "url": "http://www.eviltwinltd.com/muppetlabs/oconnor/donald.htm"} +{"d:Title": "IMDb: Donald O'Connor", "d:Description": "Filmography, with television appearances.", "topic": "Top/Arts/People/O/O'Connor,_Donald", "url": "http://www.imdb.com/name/nm0640307/"} +{"d:Title": "Frances O'Connor", "d:Description": "Fan site with biography, filmography and photos.", "topic": "Top/Arts/People/O/O'Connor,_Frances", "url": "http://www.angelfire.com/mi3/greeneggsandham/jonny/francesoconnor.html"} +{"d:Title": "Frances o'connor News: Topix", "d:Description": "News about Frances o'connor continually updated from around the net.", "topic": "Top/Arts/People/O/O'Connor,_Frances", "url": "http://www.topix.com/who/frances-oconnor"} +{"d:Title": "IMDb: Frances O'Connor", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/O/O'Connor,_Frances", "url": "http://www.imdb.com/name/nm0640323/"} +{"d:Title": "The Renee O'Connor Happy Place", "d:Description": "Biography, career guide, articles, picture galleries, icons, wave files, links and merchandise.", "topic": "Top/Arts/People/O/O'Connor,_Renee", "url": "http://members.tripod.com/~Joxur/ROCHAPPY.HTM"} +{"d:Title": "Taiko's Renee O'Connor Picture Gallery", "d:Description": "Range of picture collections of Renee with her family, her directing debut, and roles prior to Xena.", "topic": "Top/Arts/People/O/O'Connor,_Renee", "url": "http://www.xenite.net/ROC/index-roc.htm"} +{"d:Title": "Deianeira's Lost Kingdom - A Visual Tribute to Renee O'Connor", "d:Description": "Features collections of high-quality images from Renee O'Connor's various roles with an emphasis on her early, lesser-known work, as well as other information.", "topic": "Top/Arts/People/O/O'Connor,_Renee", "url": "http://www.reneeoconnor.org/"} +{"d:Title": "The ROC File - Renee O'Connor Information Page", "d:Description": "Dedicated to Renee O'Connor star of Xena as Gabrielle. Contains articles, interviews, videos, audio and wallpapers with current news and information on Renee O'Connor", "topic": "Top/Arts/People/O/O'Connor,_Renee", "url": "http://www.reneeoconnor.info/"} +{"d:Title": "Jamie's Joint: Renee O'Connor Page", "d:Description": "Biography, credits, Gabscan, Gabschat list, and episode list.", "topic": "Top/Arts/People/O/O'Connor,_Renee", "url": "http://web.ncf.ca/at816/renee.html"} +{"d:Title": "Actor Chris O'Donnell", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/O/O'Donnell,_Chris", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?codonnell"} +{"d:Title": "IMDb.com : Chris O'Donnell (I)", "d:Description": "Biography, complete filmography, photo gallery, articles, contact information, and titles for sale.", "topic": "Top/Arts/People/O/O'Donnell,_Chris", "url": "http://www.imdb.com/name/nm0000563/"} +{"d:Title": "The Impastable Dream : Rosie O'Donnell", "d:Description": "A short biography of the popular actress and TV host.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie", "url": "http://littlelalu.tripod.com/rosie.html"} +{"d:Title": "R Blog", "d:Description": "Official site of Rosie O'Donnell offers journal, photos, videos, questions and answers, merchandise and foundation information.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie", "url": "http://www.rosie.com/"} +{"d:Title": "Topix: Rosie O'Donnell", "d:Description": "News items collected from multiple sources across the net.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie", "url": "http://www.topix.com/who/rosie-odonnell"} +{"d:Title": "TVGuide.com: Rosie O'Donnell", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie", "url": "http://www.tvguide.com/celebrities/rosie-odonnell/173084"} +{"d:Title": "E! Online : Rosie O'Donnell", "d:Description": "Profile, credits, awards, multimedia features, and links to fan sites.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie", "url": "http://www.eonline.com/news/rosie_odonnell"} +{"d:Title": "Actress Rosie O'Donnell", "d:Description": "Pictures, box office information, profile, links, and message board.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?rosie"} +{"d:Title": "IMDb.com : Rosie O'Donnell", "d:Description": "Biography, film and TV credits, photos, articles, TV schedule, and items for sale.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie", "url": "http://www.imdb.com/name/nm0005280/"} +{"d:Title": "Rosie is the 'Queen' of What?", "d:Description": "Columnist Tony Snow on Rosie O'Donnell's attack on actor Tom Selleck.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie/Articles_and_Interviews", "url": "http://www.jewishworldreview.com/tony/snow052899.asp"} +{"d:Title": "Stars War on Rosie", "d:Description": "Columnist Larry Elder on Rosie O'Donnell's attack on actor Tom Selleck.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie/Articles_and_Interviews", "url": "http://www.jewishworldreview.com/cols/elder052899.asp"} +{"d:Title": "Everything Rosie", "d:Description": "Biography, news, upcoming guests in her show and links to other resources.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie/Fan_Pages", "url": "http://rosie123.tripod.com/"} +{"d:Title": "What A Cutie-patootie Rosie Page!", "d:Description": "News, Rosie bulletin, ticket information, and upcoming guests.", "topic": "Top/Arts/People/O/O'Donnell,_Rosie/Fan_Pages", "url": "http://www.angelfire.com/ca/robdoggspage/rosie.html"} +{"d:Title": "PAA Donna Abandando", "d:Description": "Biography of O'Grady and of her NYPD Blue character.", "topic": "Top/Arts/People/O/O'Grady,_Gail", "url": "http://www.stwing.upenn.edu/~sepinwal/donna.txt.html"} +{"d:Title": "IMDb: Gail O'Grady", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/O/O'Grady,_Gail", "url": "http://www.imdb.com/name/nm0641097/"} +{"d:Title": "TV.com : Gail O'Grady", "d:Description": "A complete filmography of the talented actress.", "topic": "Top/Arts/People/O/O'Grady,_Gail", "url": "http://www.tv.com/people/gail-ogrady/"} +{"d:Title": "IMDb - Ardal O'Hanlon", "d:Description": "Provides a filmography, biographical information, trivia, links, a message board and details of awards won by the performer.", "topic": "Top/Arts/People/O/O'Hanlon,_Ardal", "url": "http://www.imdb.com/name/nm0641192/"} +{"d:Title": "New Sun - Ardal O'Hanlon", "d:Description": "Concise article in which he discusses the development of his career, and the popularity of \"Father Ted\".", "topic": "Top/Arts/People/O/O'Hanlon,_Ardal", "url": "http://www.newsun.com/ardal.html"} +{"d:Title": "Maureen O'Hara Magazine", "d:Description": "Contains news, career highlights, film reviews, photographs, articles, links, and biographical information.", "topic": "Top/Arts/People/O/O'Hara,_Maureen", "url": "http://www.moharamagazine.com/"} +{"d:Title": "Reel Classics: Maureen O'Hara", "d:Description": "Biography, filmography, articles, photographs, and reviews of her famous films.", "topic": "Top/Arts/People/O/O'Hara,_Maureen", "url": "http://www.reelclassics.com/Actresses/O'Hara/ohara.htm"} +{"d:Title": "IMDb.com: Maureen O'Hara", "d:Description": "Filmography, mini biography, photograph gallery, and television appearances.", "topic": "Top/Arts/People/O/O'Hara,_Maureen", "url": "http://www.imdb.com/name/nm0000058/"} +{"d:Title": "Interview - Dark Star", "d:Description": "Interview from Dark Star magazine September 1996", "topic": "Top/Arts/People/O/O'Hare,_Michael", "url": "http://www.dso.co.uk/intv1.htm"} +{"d:Title": "Internet Movie Database: Michael O'Hare", "d:Description": "Film and TV credits and a brief bio.", "topic": "Top/Arts/People/O/O'Hare,_Michael", "url": "http://www.imdb.com/name/nm0641365/"} +{"d:Title": "Official Site for Michael O'Keefe", "d:Description": "Site maintained by Michael O'Keefe. Overview of his career and new projects, answers to questions and contact address.", "topic": "Top/Arts/People/O/O'Keefe,_Michael", "url": "http://www.michaelokeefe.com/"} +{"d:Title": "Miles O'Keeffe", "d:Description": "Basic facts, a complete filmography, introduction and photos of well-known roles, and titles for sale.", "topic": "Top/Arts/People/O/O'Keeffe,_Miles", "url": "http://www.briansdriveintheater.com/milesokeeffe.html"} +{"d:Title": "IMDb.com : Miles O'Keeffe", "d:Description": "Biographical data, a complete filmography, nominations, TV schedule and titles for sale.", "topic": "Top/Arts/People/O/O'Keeffe,_Miles", "url": "http://www.imdb.com/name/nm0641509/"} +{"d:Title": "Matt O'Leary Lovers", "d:Description": "Unofficial fan site. Biography, filmography, pictures and fun stuff.", "topic": "Top/Arts/People/O/O'Leary,_Matt", "url": "http://www.angelfire.com/celeb2/mattoleary0/"} +{"d:Title": "Lochlann O'Mearain's Corner On the Web", "d:Description": "A tribute to the Irish actor. Biography, articles, interviews, photos and contact information.", "topic": "Top/Arts/People/O/O'Mearain,_Lochlann", "url": "http://www.angelfire.com/celeb2/lissiarose28/index.html"} +{"d:Title": "Lochlann O'Mearain", "d:Description": "A fansite with biography, articles, many galleries, sounds and a short filmography.", "topic": "Top/Arts/People/O/O'Mearain,_Lochlann", "url": "http://kimberly_dee1.tripod.com/lochlannomearain/"} +{"d:Title": "IMDb.com : Lochlainn O'Mearain", "d:Description": "Basic facts, filmography and titles for sale.", "topic": "Top/Arts/People/O/O'Mearain,_Lochlann", "url": "http://www.imdb.com/name/nm0641859/"} +{"d:Title": "IMDb.com : Ryan O'Neal", "d:Description": "Basic facts, trivia, filmography and notable TV guest appearances.", "topic": "Top/Arts/People/O/O'Neal,_Ryan", "url": "http://www.imdb.com/name/nm0641939/"} +{"d:Title": "Tatum O'Neal", "d:Description": "Tribute to Tatum O'Neal with biography, filmography and a picture gallery.", "topic": "Top/Arts/People/O/O'Neal,_Tatum", "url": "http://www.angelfire.com/ia/tommr/tatum.html"} +{"d:Title": "IMDb.com : Tatum O'Neal", "d:Description": "Basic facts and filmography of the talented actress Tatum O'Neal, the daughter of Ryan O'Neal.", "topic": "Top/Arts/People/O/O'Neal,_Tatum", "url": "http://www.imdb.com/name/nm0001575/"} +{"d:Title": "IMDb", "d:Description": "Includes trivia, actor filmography, and pictures.", "topic": "Top/Arts/People/O/O'Neill,_Ed", "url": "http://www.imdb.com/name/nm0642145/"} +{"d:Title": "IMDb.com : Heather O'Rourke", "d:Description": "Basic facts, filmography and notable TV guest appearances of the child actress.", "topic": "Top/Arts/People/O/O'Rourke,_Heather", "url": "http://www.imdb.com/name/nm0001576/"} +{"d:Title": "Friends Of Peter O'Toole", "d:Description": "An e-group at Yahoo! Groups for fans of the Irish actor Peter O'Toole.", "topic": "Top/Arts/People/O/O'Toole,_Peter", "url": "http://groups.yahoo.com/group/fopo"} +{"d:Title": "My Peter O'Toole Shrine", "d:Description": "A fansite for the actor Peter O'Toole. Includes biographical data, TV and cinema appearances, pictures, articles and links.", "topic": "Top/Arts/People/O/O'Toole,_Peter", "url": "http://members.tripod.com/peter_otoole/"} +{"d:Title": "Thespian.Net: Peter O'Toole", "d:Description": "Biography, film and television credits, awards, pictures and fan mail address of the actor.", "topic": "Top/Arts/People/O/O'Toole,_Peter", "url": "http://www.thespiannet.com/actors/O/otoole_peter/index.shtml"} +{"d:Title": "Peter O'Toole", "d:Description": "A detailed biography and photograph galleries. Links and related merchandise for sale.", "topic": "Top/Arts/People/O/O'Toole,_Peter", "url": "http://www.tmaw.co.uk/peterot.html"} +{"d:Title": "Peter O'Toole (I)", "d:Description": "Provides filmography, biography, pictures, news and links.", "topic": "Top/Arts/People/O/O'Toole,_Peter", "url": "http://imdb.com/name/nm0000564/"} +{"d:Title": "ReelClassics.com: Peter O'Toole", "d:Description": "Filmography, images, awards, articles, and information on his performances in movies such as \"Lawrence Of Arabia,\" and \"Becket.\"", "topic": "Top/Arts/People/O/O'Toole,_Peter", "url": "http://www.reelclassics.com/Actors/O'Toole/otoole.htm"} +{"d:Title": "Unofficial Peter O'Toole Pages", "d:Description": "A fansite with links, pictures, and articles, also some biographical information.", "topic": "Top/Arts/People/O/O'Toole,_Peter", "url": "http://hame.ca/otoole/"} +{"d:Title": "Bedlam: Natsuko Ohama", "d:Description": "Fan site with a list of her acting credits. Plus links to pictures and related sites.", "topic": "Top/Arts/People/O/Ohama,_Natsuko", "url": "http://www.betsyda.com/ohama/ohama.html"} +{"d:Title": "About Natsuko Ohama", "d:Description": "A biography and credits, including stage performances.", "topic": "Top/Arts/People/O/Ohama,_Natsuko", "url": "http://www.loftworks.com/FK/Cast_Crew/ohama.html"} +{"d:Title": "IMDb: Natsuko Ohama", "d:Description": "The Internet Movie Database includes her filmography and links to related sites.", "topic": "Top/Arts/People/O/Ohama,_Natsuko", "url": "http://www.imdb.com/name/nm0644916/"} +{"d:Title": "Carol Ohmart", "d:Description": "Information and photos regarding the actress who starred in a number of cult classic films in the 1950s and 1960s.", "topic": "Top/Arts/People/O/Ohmart,_Carol", "url": "http://www.briansdriveintheater.com/carolohmart.html"} +{"d:Title": "Soft Distortion", "d:Description": "Review, quotes, biography, filmography, pictures, sounds, awards list and contact information.", "topic": "Top/Arts/People/O/Oldman,_Gary", "url": "http://www.suburbia.com.au/~jade/oldman/"} +{"d:Title": "Man of Many Faces", "d:Description": "Pictures from several of Oldman's films, filmography, and a focus on the film Bram Stoker's Dracula.", "topic": "Top/Arts/People/O/Oldman,_Gary", "url": "http://www.angelfire.com/me2/Lizzibeth/oldman.html"} +{"d:Title": "Thespian.net : Gary Oldman", "d:Description": "Biography, filmography, several pictures, awards list and fan comments.", "topic": "Top/Arts/People/O/Oldman,_Gary", "url": "http://www.thespiannet.com/actors/O/oldman_gary/index.shtml"} +{"d:Title": "The Gary Oldman Experience", "d:Description": "Photo galleries and songs listed by movie titles, links and a guestbook.", "topic": "Top/Arts/People/O/Oldman,_Gary", "url": "http://www.angelfire.com/md/newstrek/oldman.html"} +{"d:Title": "TVGuide.com: Gary Oldman", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/O/Oldman,_Gary", "url": "http://www.tvguide.com/celebrities/gary-oldman/151282"} +{"d:Title": "IMDb: Gary Oldman", "d:Description": "Filmography with links to pages on each film, mini-biography, trivia, quotes, contact information and a few photographs.", "topic": "Top/Arts/People/O/Oldman,_Gary", "url": "http://www.imdb.com/name/nm0000198/"} +{"d:Title": "GaryOldman", "d:Description": "E-group at Yahoo! Groups for constructive discussions.", "topic": "Top/Arts/People/O/Oldman,_Gary/Chats_and_Forums", "url": "http://groups.yahoo.com/group/GaryOldman/"} +{"d:Title": "Bic's Oldman Spot", "d:Description": "Yahoo! group for discussion and posting pictures of Gary Oldman.", "topic": "Top/Arts/People/O/Oldman,_Gary/Chats_and_Forums", "url": "http://groups.yahoo.com/group/bicsoldmanspot/"} +{"d:Title": "Vivian Rose's Interview", "d:Description": "Teen columnist Vivian Rose interviews Larisa Oleynik.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Articles_and_Interviews", "url": "http://www.dreamagic.com/vivianrose/oleynik.html"} +{"d:Title": "Yahoo! Groups: Larisaoleynik", "d:Description": "A private email discussion group on Larisa Oleynik.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Chats_and_Forums", "url": "http://groups.yahoo.com/group/larisaoleynik/"} +{"d:Title": "larisa.com", "d:Description": "Brian Kelly's \"Unofficial Larisa Oleynik Internet Fan Club\" - One of the longest running and best Larisa sites out there.", "priority": "1", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://www.larisa.com/"} +{"d:Title": "The Bestest World of Larisa Oleynik", "d:Description": "Links, profile and pictures.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://www.angelfire.com/az/rosyna/"} +{"d:Title": "Larisa: Proper Young Starlet or Indecent Harlot?", "d:Description": "A series of Larisa pictures trying to answer the question.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://members.tripod.com/~RutherfordBarnes/larisa.html"} +{"d:Title": "Calling All Stations' Larisa Oleynik page", "d:Description": "Fan's personal page.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://members.tripod.com/callingallstations/me/larisa.html"} +{"d:Title": "Larisa Oleynik Downunder", "d:Description": "Includes pictures, chat, profile, MP3s, mpegs, news and links.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://www.angelfire.com/ak/larisaolyenik/index.html"} +{"d:Title": "lainey08's Larisa Oleynik page", "d:Description": "A dossier and profile.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://www.angelfire.com/ca/hollywood8/oleynik.html"} +{"d:Title": "Larisa Larisa Larisa", "d:Description": "Pictures, information, chat, and forum.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://members.tripod.com/~BGula/larisa.html"} +{"d:Title": "Larisa Oleynik Fan Club and Web Site", "d:Description": "Biography, chat, message board, pictures, classifieds, audio clips and desktop wallpaper.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://www.angelfire.com/celeb2/larisa/"} +{"d:Title": "Larisa Oleynik WWW Headquarters", "d:Description": "Includes biography, pictures and links.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://members.tripod.com/lowwwhq/"} +{"d:Title": "Larisa Oleynik Link Emporium", "d:Description": "Includes links, survey, pictures, articles and a profile.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Fan_Pages", "url": "http://www.angelfire.com/sc/6811/larisa.html"} +{"d:Title": "Trumpet Master's Larisa Oleynik page", "d:Description": "Includes a photo page.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Image_Galleries", "url": "http://members.tripod.com/trumpet_master/larisa_pix.html"} +{"d:Title": "Rsejgs's Larisa Oleynik Page", "d:Description": "Includes a collection of photos.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Image_Galleries", "url": "http://members.tripod.com/rsejgs/larisa.html"} +{"d:Title": "Taod's Larisa Oleynik Page", "d:Description": "Includes a thumbnailed gallery.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Image_Galleries", "url": "http://members.tripod.com/larisa_page/"} +{"d:Title": "Amazing Beauty Larisa Oleynik Picture Gallery", "d:Description": "Among the largest collection of Alex Mack vidcaps of her.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Image_Galleries", "url": "http://members.tripod.com/babestopo/home.html"} +{"d:Title": "CelebrityPalace: Larisa Oleynik", "d:Description": "Contains photo gallery, links, information and a forum.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Resources", "url": "http://www.celebritypalace.com/larisaoleynik/"} +{"d:Title": "Star Hotties: Larisa Oleynik Picture Book", "d:Description": "Includes 10 pictures.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Resources", "url": "http://starhotties.8m.com/lobook.html"} +{"d:Title": "Childstarlet: Larisa Oleynik", "d:Description": "Includes pictures from \"10 things\" and Alex Mack.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Resources", "url": "http://www.childstarlets.com/lobby/bios/larisa_oleynik.html"} +{"d:Title": "Who 2: Larisa Oleynik Profile", "d:Description": "Profile sheet and links.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Resources", "url": "http://www.who2.com/bio/larisa-oleynik"} +{"d:Title": "Special Guest Stars in The Adventures of Pete&Pete: Larisa Oleynik", "d:Description": "A list of guest stars in this TV show.", "topic": "Top/Arts/People/O/Oleynik,_Larisa/Television", "url": "http://www.cs.indiana.edu/entertainment/pete-and-pete/cameos.html#Larisa-Oleynik"} +{"d:Title": "Thespian Net Presents Lena Olin", "d:Description": "A starting point for Lena Olin information.", "topic": "Top/Arts/People/O/Olin,_Lena", "url": "http://www.thespiannet.com/actresses/O/olin_lena/index.shtml"} +{"d:Title": "New York Times Movies: Lena Olin", "d:Description": "Biography, filmography, awards list, and news and reviews from The New York Times.", "topic": "Top/Arts/People/O/Olin,_Lena", "url": "http://movies.nytimes.com/person/1548274/Lena-Olin"} +{"d:Title": "IMDb: Lena Olin", "d:Description": "Includes a mini biography, filmography, and an image gallery.", "topic": "Top/Arts/People/O/Olin,_Lena", "url": "http://www.imdb.com/name/nm0000565/"} +{"d:Title": "TV.com: Lena Olin", "d:Description": "Includes a filmography, biography and news.", "topic": "Top/Arts/People/O/Olin,_Lena", "url": "http://www.tv.com/people/lena-olin/"} +{"d:Title": "Christian Oliver Mailing List", "d:Description": "Discussion list for the fans of Christian Oliver at Yahoo! Groups.", "topic": "Top/Arts/People/O/Oliver,_Christian", "url": "http://members.tripod.com/~BillyJoe2177/oliver.html"} +{"d:Title": "Christian Oliver's Official Web Site", "d:Description": "Homepage of the German-born actor Christian Oliver. Biography, credits, picture gallery, articles, video clip, guestbook, e-cards and mailing list.", "topic": "Top/Arts/People/O/Oliver,_Christian", "url": "http://www.christianoliver.com/"} +{"d:Title": "IMDb.com : Christian Oliver", "d:Description": "Basic facts, a complete filmography, TV schedule, contacts of agents and titles for sale.", "topic": "Top/Arts/People/O/Oliver,_Christian", "url": "http://www.imdb.com/name/nm0646792/"} +{"d:Title": "Shannon's Sir Laurence Olivier Page", "d:Description": "The author explains her interest in Olivier and his work.", "topic": "Top/Arts/People/O/Olivier,_Laurence", "url": "http://www.angelfire.com/ca3/writer2u/index.html"} +{"d:Title": "Laurence Olivier News: Topix", "d:Description": "News about Laurence Olivier continually updated from around the net.", "topic": "Top/Arts/People/O/Olivier,_Laurence", "url": "http://www.topix.com/who/laurence-olivier"} +{"d:Title": "The Official Web Site of Sir Laurence Olivier", "d:Description": "Biography, career highlights, photos, awards, and business information.", "topic": "Top/Arts/People/O/Olivier,_Laurence", "url": "http://www.laurenceolivier.com/"} +{"d:Title": "IMDb: Laurence Olivier", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/O/Olivier,_Laurence", "url": "http://www.imdb.com/name/nm0000059/"} +{"d:Title": "Edward James Olmos News: Topix", "d:Description": "News about Edward James Olmos continually updated from around the net.", "topic": "Top/Arts/People/O/Olmos,_Edward_James", "url": "http://www.topix.com/who/edward-james-olmos"} +{"d:Title": "All Movie Guide : Edward James Olmos", "d:Description": "A full biography and filmography of Edward James Olmos, actor and humanitarian.", "topic": "Top/Arts/People/O/Olmos,_Edward_James", "url": "http://www.allmovie.com/artist/edward-james-olmos-105065"} +{"d:Title": "IMDb.com : Edward James Olmos", "d:Description": "Basic facts, a complete filmography, awards listing, gallery and TV schedule of the actor. Videos for sale.", "topic": "Top/Arts/People/O/Olmos,_Edward_James", "url": "http://www.imdb.com/name/nm0001579/"} +{"d:Title": "Ashley and Mary-Kate Olsen Fan Pages", "d:Description": "Images of Ashley and Mary-Kate Olsen from their ABC-TV series \"Two of a Kind\".", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://members.tripod.com/~hobos2/amkofp.html"} +{"d:Title": "Olsen Fan Page", "d:Description": "Facts, chat and pictures.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://www.angelfire.com/bc/Olsenfanpage/"} +{"d:Title": "Mike's Mary-Kate and Ashley Page", "d:Description": "A great page with loads a stuff concerning the most beautiful twin teens on TV.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://www.angelfire.com/ma2/olsenpage/"} +{"d:Title": "Twin Power", "d:Description": "The place to be to view Olsen photos, play games, and color Olsen photos.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://www.angelfire.com/hi3/TwinPower/index.html"} +{"d:Title": "Mary Kate", "d:Description": "A tribute to Mary-Kate Olsen through pictures as a child through the present.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://users.skynet.be/red.pepper/marykate/"} +{"d:Title": "Mary-Kate&Ashley's Hangout", "d:Description": "A fan site with biography, fashion information, video clips, polls, e-cards and pictures. Several other features available.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://www.angelfire.com/pop2/mkahangout/"} +{"d:Title": "Olsen Twins.NET", "d:Description": "Includes latest USA news, message board, link directory, media directory, and multimedia.", "priority": "1", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://www.olsentwins.net/"} +{"d:Title": "Mary-Kate and Ashley Olsen Message Forum", "d:Description": "A place for fans of the Olsen twins to share on the discussion board about the actors. Includes user guide.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://www.sweetin.com/cgi-local/messagesummary.pl?olsen"} +{"d:Title": "Howard Stern Loves The Olsen Twins", "d:Description": "Yahoo Group with Transcripts of Howard Stern's radio shows concerning the Olsen Twins.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://groups.yahoo.com/group/Howard_Stern_loves_the_Olsen_Twins/"} +{"d:Title": "OlsenUniverse", "d:Description": "Basic information and picture galleries of Ashley and Mary-Kate Olsen.", "topic": "Top/Arts/People/O/Olsen,_Mary-Kate_and_Ashley", "url": "http://ddolsen.tripod.com/"} +{"d:Title": "Dazzling Heather Lauren Olson", "d:Description": "Website about actress Heather Lauren Olson: biography, photo gallery, news, quotes, articles, interviews and contact address.", "topic": "Top/Arts/People/O/Olson,_Heather_Lauren", "url": "http://www.angelfire.com/tv/HeatherLaurenOlson/"} +{"d:Title": "IMDb.com : Heather Lauren Olson", "d:Description": "Basic information, filmography, notable TV guest appearances and a TV schedule.", "topic": "Top/Arts/People/O/Olson,_Heather_Lauren", "url": "http://www.imdb.com/name/nm0006579/"} +{"d:Title": "IMDb.com : Timothy Omundson", "d:Description": "Basic facts, filmography and TV appearance of the actor.", "topic": "Top/Arts/People/O/Omundson,_Tim", "url": "http://www.imdb.com/name/nm0648486/"} +{"d:Title": "JasonOrangeWorld", "d:Description": "An e-group at Yahoo! Groups for Jason Orange fans.", "topic": "Top/Arts/People/O/Orange,_Jason", "url": "http://groups.yahoo.com/group/JasonOrangeWorld/"} +{"d:Title": "IMDb: Jerry Orbach", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/People/O/Orbach,_Jerry", "url": "http://www.imdb.com/name/nm0001583/"} +{"d:Title": "Natalia Oreiro World", "d:Description": "A fansite with 60 photos, biography, filmography and discography.", "topic": "Top/Arts/People/O/Oreiro,_Natalia", "url": "http://nataliaoreiroworld.tripod.com/"} +{"d:Title": "Thespian.net: Julia Ormond", "d:Description": "Includes biography, movie and television credits, and a small image gallery.", "topic": "Top/Arts/People/O/Ormond,_Julia", "url": "http://www.thespiannet.com/actresses/O/ormond_julia/index.shtml"} +{"d:Title": "IMDb: Julia Ormond", "d:Description": "The Internet Movie Database includes her filmography, trivia, pictures, and links.", "topic": "Top/Arts/People/O/Ormond,_Julia", "url": "http://www.imdb.com/name/nm0000566/"} +{"d:Title": "Official Website of Laura Orrico", "d:Description": "Site features her resume, portfolio, galleries, news and updates, as well as her e-mail.", "topic": "Top/Arts/People/O/Orrico,_Laura", "url": "http://lauraorrico.com/"} +{"d:Title": "Yahoo! Groups: Scarlet-Ortiz", "d:Description": "A fan club with message board, chat room, and image galleries.", "topic": "Top/Arts/People/O/Ortiz,_Scarlet", "url": "http://groups.yahoo.com/group/Scarlet-Ortiz/"} +{"d:Title": "IMDb: Scarlet Ortiz", "d:Description": "Includes filmography, biographical information, and links.", "topic": "Top/Arts/People/O/Ortiz,_Scarlet", "url": "http://www.imdb.com/name/nm0651263/"} +{"d:Title": "Haley Original Club", "d:Description": "Biography, 8 galleries, filmography, news, downloads, polls and a store.", "topic": "Top/Arts/People/O/Osment,_Haley_Joel", "url": "http://haleyoriginalclub.tripod.com/haleyjoelonline/"} +{"d:Title": "Haley's Comet", "d:Description": "An unofficial fan site with biography, filmography, photo gallery, links, trivia, movie features and quotes.", "topic": "Top/Arts/People/O/Osment,_Haley_Joel", "url": "http://comets_tail.tripod.com/"} +{"d:Title": "The Official Haley Joel Osment Web Site", "d:Description": "Facts, filmography, TV appearances, photos, chat, and message boards.", "topic": "Top/Arts/People/O/Osment,_Haley_Joel", "url": "http://www.kidactors.com/haley/"} +{"d:Title": "The Unofficial Donny Osmond Fan Site", "d:Description": "Website featuring his pictures, biography, songs, writings and news about him. Last updated in January 2001.", "topic": "Top/Arts/People/O/Osmond,_Donny", "url": "http://www.angelfire.com/ut/donnyosmondfansite/"} +{"d:Title": "Donny Osmond", "d:Description": "Featuring many colorful photographs, real audio samples and news updates. Also available Donny's beliefs page and items for sale.", "topic": "Top/Arts/People/O/Osmond,_Donny", "url": "http://www.donny.com/"} +{"d:Title": "Osmond Family", "d:Description": "Official website of the Osmond Family. Introduction of family members, news, projects, contact information.", "topic": "Top/Arts/People/O/Osmond,_Donny", "url": "http://www.osmond.com/"} +{"d:Title": "Danny Bonaduce vs. Donny Osmond", "d:Description": "An article describing an actual boxing match between Danny Bonaduce and Donny Osmond in 1994.", "topic": "Top/Arts/People/O/Osmond,_Donny", "url": "http://www.grudge-match.com/Real/danny-donny.html"} +{"d:Title": "Osmond Tribute Book", "d:Description": "Webpage offering a possibility to submit messages and memoirs about the Osmonds for the book about the family.", "topic": "Top/Arts/People/O/Osmond,_Donny", "url": "http://www.angelfire.com/music3/osmondbook/"} +{"d:Title": "BroadwayWorld.com: Donny Osmond to Star as Gaston in Beauty and the Beast", "d:Description": "Donny Osmond will be making his return to Broadway on Tuesday, September 19, 2006 at the Lunt-Fontanne Theatre for a limited 9-week engagement.", "topic": "Top/Arts/People/O/Osmond,_Donny", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=9749"} +{"d:Title": "IMDb: Cheri Oteri", "d:Description": "Filmography.", "topic": "Top/Arts/People/O/Oteri,_Cheri", "url": "http://imdb.com/name/nm0652783/"} +{"d:Title": "IMDb.com: Barry Otto", "d:Description": "A complete filmography, small photo gallery, listing of awards and titles for sale.", "topic": "Top/Arts/People/O/Otto,_Barry", "url": "http://www.imdb.com/name/nm0653222/"} +{"d:Title": "USA Today: Miranda Otto slips into the 'Rings'", "d:Description": "Article By Jeannie Williams examines Otto's role as Eowyn in the Lord of the Rings.", "topic": "Top/Arts/People/O/Otto,_Miranda", "url": "http://www.usatoday.com/life/enter/movies/2002/2002-04-10-otto.htm"} +{"d:Title": "Topix: Miranda Otto", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/O/Otto,_Miranda", "url": "http://www.topix.com/who/miranda-otto"} +{"d:Title": "IMDb: Miranda Otto", "d:Description": "Features filmography, awards, trivia, and photos.", "topic": "Top/Arts/People/O/Otto,_Miranda", "url": "http://www.imdb.com/name/nm0001584/"} +{"d:Title": "IMDb.com : Tamzin Outhwaite", "d:Description": "Basic facts, a complete filmography and titles for sale.", "topic": "Top/Arts/People/O/Outhwaite,_Tamzin", "url": "http://www.imdb.com/name/nm0653666/"} +{"d:Title": "IMDb: Rick Overton", "d:Description": "Photographs, profile, filmography and television appearances, and other related information.", "topic": "Top/Arts/People/O/Overton,_Rick", "url": "http://www.imdb.com/name/nm0653959/"} +{"d:Title": "IMDb.com : Chris Owen", "d:Description": "Basic information, filmography, notable TV appearances and two photo galleries.", "topic": "Top/Arts/People/O/Owen,_Chris", "url": "http://www.imdb.com/name/nm0654104/"} +{"d:Title": "Owen, Clive", "d:Description": "Offers a biography and photographs.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.tmaw.co.uk/cliveo.html"} +{"d:Title": "IMDb: Clive Owen", "d:Description": "Features filmography, biographical information, nominations, awards, photo gallery, news articles and message board.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.imdb.com/name/nm0654110/"} +{"d:Title": "Clive Owen.Net", "d:Description": "Information includes news, gallery, fan fiction, biography, games, filmography and reviews.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.cliveowen.net/"} +{"d:Title": "Owen, Clive", "d:Description": "Offers biography, filmography, news articles, trivia, awards, wallpaper and links.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.aceshowbiz.com/celebrity/clive_owen/"} +{"d:Title": "Clive Owen BMW Commercials", "d:Description": "Features images from BMW commercials \"The Hire: Hostage\" and \"The Hire: Ticker\" plus feature films \"Greenfingers\", \"I'll Sleep When I'm Dead\" and \"Croupier\".", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://fanzone50.com/Clive/"} +{"d:Title": "BoxOfficeMojo: Clive Owen", "d:Description": "Offers box office information regarding the actor's filmography including studio affiliation, lifetime gross receipts, theater release and opening details.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.boxofficemojo.com/people/chart/?view=Actor&id=cliveowen.htm"} +{"d:Title": "Topix: Headline Links from Media Sources Worldwide", "d:Description": "Offers a collection of news items regarding actor Clive Owen.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.topix.com/search/?q=clive+owen&x=0&y=0"} +{"d:Title": "Topix", "d:Description": "Clive Owen news feed", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.topix.com/rss/who/clive-owen.xml"} +{"d:Title": "AskMen.com: Top 49 Men - Clive Owen", "d:Description": "His work in 2005\u2019s cult-classic Sin City and Spike Lee\u2019s 2006 heist drama Inside Man seems to have cemented his star status, and there\u2019s little doubt that Clive is in this for the long haul.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.askmen.com/specials/2006_top_49/clive-owen-11.html"} +{"d:Title": "Clive Owen Online", "d:Description": "Offers news, career data, photo gallery, video link and message board.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://clive-owen.org/"} +{"d:Title": "The New York Times: Movies: People: Clive Owen", "d:Description": "Features filmography with links, biography, movie reviews, news, video trailers and awards. Free registration required for some areas.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://movies.nytimes.com/person/54491/Clive-Owen"} +{"d:Title": "AskMen.com - Clive Owen", "d:Description": "Features pictures, biography, news, vital statistics and commentary.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.askmen.com/celebs/men/entertainment_150/179_clive_owen.html"} +{"d:Title": "TVGuide.com: Clive Owen", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.tvguide.com/celebrities/clive-owen/145237"} +{"d:Title": "TalkTalk: Clive Owen", "d:Description": "Features biography, full filmography and links to related movie reviews.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/clive-owen/biography/21"} +{"d:Title": "Clive Owen Daily's Journal", "d:Description": "Fan page features photos, ecards and interactive journal entries.", "topic": "Top/Arts/People/O/Owen,_Clive", "url": "http://clive-daily.livejournal.com/"} +{"d:Title": "BBC Radio 4 - The Film Programme - Clive Owen", "d:Description": "In this audio interview, the actor talks about his role in \"Sin City\", depictions of violence, script adaptation and the film noir genre. Requires RealPlayer or Windows Media.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.bbc.co.uk/radio4/arts/filmprogramme/filmprogramme_20050528.shtml"} +{"d:Title": "NPR : Clive Owen, 'Inside Man'", "d:Description": "In this 13 minute audio interview with Terry Gross, the actor talks about attending casino school, the anatomy of his voice overs, his action shorts for BMW and seeing his face for the first time on screen. Includes audio movie clips from 'Inside Man' and 'Croupier'.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=5315109"} +{"d:Title": "Clive Owen on Closer, James Bond, and Sin City", "d:Description": "Interview with Clive Owen about the movie Closer, the James Bond rumors and Sin City.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://movies.about.com/od/closer/a/closerco112804.htm"} +{"d:Title": "ComingSoon.net: Clive Owen on Shoot 'Em Up", "d:Description": "Interview with the action star includes photos. By Edward Douglas.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.comingsoon.net/news/movienews.php?id=36808"} +{"d:Title": "Clive Owen's Family Problems", "d:Description": "The British actor and wife Sarah-Jane have two daughters, ten-year-old Hannah and eight-year-old Eve, and they hate it when he has to shoot his movies abroad.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.femalefirst.co.uk/celebrity/Clive+Owen-17436.html"} +{"d:Title": "New York Magazine: We Would Give Anything to Fug Clive Owen", "d:Description": "Demi Moore temporarily swapped her man-candy husband for the company of none other than devastating British hottie Clive Owen.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://nymag.com/daily/fashion/2007/09/we_would_give_anything_to_fug.html"} +{"d:Title": "Times Online: Clive Owen's Orgy of Violence", "d:Description": "Owen shot to fame as the gambling guru in the 1998 thriller Croupier, and has more recently aced a string of heavy-hitting roles, some Oscar-nominated, that have included the screen adaptation Closer,the dystopian sci-fi Children of Men, and the impeccable heist movie Inside Man. By Kevin Maher.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://entertainment.timesonline.co.uk/tol/arts_and_entertainment/film/article2386393.ece"} +{"d:Title": "Frank Miller to Adapt Trouble Is My Business", "d:Description": "The film, set up as a starring role for Clive Owen, will give the actor a chance to marry a compelling voice-over to the hard boiled private eye Philip Marlowe.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.movieweb.com/news/NEEP8GHEy23XIF"} +{"d:Title": "icWales - Owen on Track", "d:Description": "In this interview with Rob Driscoll, the actor reveals how he keeps a handle on fame and what it was like working with Jennifer Aniston in his latest movie.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.walesonline.co.uk/showbiz-and-lifestyle/2009/02/27/clive-owen-speaks-about-his-new-film-the-international-91466-23021923/"} +{"d:Title": "After Lizzie and Uma, the New Face of Lancome is...Clive Owen?", "d:Description": "He's the epitome of firm-jawed ruggedness, an actor as renowned for his smouldering looks as his tough-guy roles.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.dailymail.co.uk/tvshowbiz/article-387078/After-Lizzie-Uma-new-face-Lancome--Clive-Owen.html"} +{"d:Title": "Clive Owen: Beyond the Pale", "d:Description": "The actor discusses his role as a refugee doctor in the film \"Beyond Borders\", his love for making movies and the importance of being successful.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.ivillage.com/clive-owen-beyond-pale-0/1-a-33642"} +{"d:Title": "This is London: Owen Voted Best Dressed", "d:Description": "Actor Clive Owen has been voted the 'Best Dressed' man of 2006.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.standard.co.uk/showbiz/owen-voted-best-dressed-7256876.html"} +{"d:Title": "Guardian Unlimited Film | Interview: Clive Owen", "d:Description": "Detailed interview affirming the actor's secret key for success, unsentimental relationships with audiences, his working-class Midlands family and ardent devotion to his daughters.", "topic": "Top/Arts/People/O/Owen,_Clive/Articles_and_Interviews", "url": "http://www.theguardian.com/film/2004/jul/11/features.magazine"} +{"d:Title": "IMDb.com : Chris Owens", "d:Description": "General information, a complete filmography, photo galleries and TV schedule for Chris Owens.", "topic": "Top/Arts/People/O/Owens,_Chris", "url": "http://www.imdb.com/name/nm0654334/"} +{"d:Title": "Broadway to Vegas November 1998", "d:Description": "Very interesting article featuring 14 photos of Catherine Oxenberg and her trip to The Treasures of Russia art collection.", "topic": "Top/Arts/People/O/Oxenberg,_Catherine", "url": "http://www.broadwaytovegas.com/nov9pic.html"} +{"d:Title": "IMDb.com : Catherine Oxenberg", "d:Description": "Basic facts, a complete filmography, photo gallery, awards and TV schedule for Catherine Oxenberg.", "topic": "Top/Arts/People/O/Oxenberg,_Catherine", "url": "http://www.imdb.com/name/nm0005289/"} +{"d:Title": "IMDb: Jack Paar", "d:Description": "Biography, credits, and related career information.", "topic": "Top/Arts/People/P/Paar,_Jack", "url": "http://imdb.com/name/nm0654967/"} +{"d:Title": "PBS: American Masters: Jack Paar", "d:Description": "Detailed profile highlighting his achievements in television. Related links.", "topic": "Top/Arts/People/P/Paar,_Jack", "url": "http://www.pbs.org/wnet/americanmasters/database/paar_j.html"} +{"d:Title": "Jacksonville.com: Jack Paar: A TV pioneer turns 80", "d:Description": "Article written by Frazier Moore around the time of Paar's 80th birthday. Extends birthday greetings as well as what should be done to honor him.", "topic": "Top/Arts/People/P/Paar,_Jack", "url": "http://www.jacksonville.com/tu-online/stories/043098/ent_Sjackpaar.html"} +{"d:Title": "TVParty: Great TV Goodbyes", "d:Description": "Career profiles and listings of personal goodbyes, including Jack Paar's from this television show. Audio clips of his leaving and return, as well as an interview clip with Judy Garland.", "topic": "Top/Arts/People/P/Paar,_Jack", "url": "http://www.tvparty.com/good.html"} +{"d:Title": "New York Times: Jack Paar, 85, Former 'Tonight' Show Host, Dies", "d:Description": "Obituary notice. General overview of his life and work.", "topic": "Top/Arts/People/P/Paar,_Jack", "url": "http://www.nytimes.com/2004/01/27/obituaries/27CND-PAAR.html?ex=1233464400&en=101622bfc2ef58d6&ei=5070"} +{"d:Title": "A Steven Pacey Bio", "d:Description": "News, biographical information, contact address, credits, images and guestbook.", "topic": "Top/Arts/People/P/Pacey,_Steven", "url": "http://www.tarrantnostra.com/pacey/"} +{"d:Title": "IMDb.com : Steven Pacey", "d:Description": "Filmography, notable TV guest appearances, some personal information, and titles for sale.", "topic": "Top/Arts/People/P/Pacey,_Steven", "url": "http://www.imdb.com/name/nm0655218/"} +{"d:Title": "Jason's Al Pacino Page", "d:Description": "Extensive fan resource on Al Pacino. Includes biography, filmography, images from movies, quotes, magazine covers, and links.", "topic": "Top/Arts/People/P/Pacino,_Al", "url": "http://alpacino_fan.tripod.com/"} +{"d:Title": "Al Pacino's Hollywood", "d:Description": "Locations in Hollywood related to Al Pacino and his career, plus photos.", "topic": "Top/Arts/People/P/Pacino,_Al", "url": "http://seeing-stars.com/StarIndexes/AlPacino.shtml"} +{"d:Title": "Al Pacino's Loft", "d:Description": "Fan site with gallery, credits, biography, articles and interviews, soundtracks, quotes, trivia, chat room, and links.", "topic": "Top/Arts/People/P/Pacino,_Al", "url": "http://velvet_peach.tripod.com/apacino.html"} +{"d:Title": "Al Pacino News: Topix", "d:Description": "News about Al Pacino continually updated from around the net.", "topic": "Top/Arts/People/P/Pacino,_Al", "url": "http://www.topix.com/who/al-pacino"} +{"d:Title": "DigitalHit.com : Al Pacino", "d:Description": "Profile of the actor, photo gallery, posters, and links.", "topic": "Top/Arts/People/P/Pacino,_Al", "url": "http://www.digitalhit.com/cr/alpacino/"} +{"d:Title": "IMDb.com : Al Pacino", "d:Description": "Complete filmography, biographical information, photo gallery, news articles, TV schedule, titles for sale, and contact information.", "topic": "Top/Arts/People/P/Pacino,_Al", "url": "http://www.imdb.com/name/nm0000199/"} +{"d:Title": "The Movie Times : Al Pacino", "d:Description": "Box office information, vital statistics, picture galleries, major awards, and links.", "topic": "Top/Arts/People/P/Pacino,_Al", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?apacino"} +{"d:Title": "All-Reviews.com : Al Pacino", "d:Description": "Movie reviews and ratings from years 1972 to 2002.", "topic": "Top/Arts/People/P/Pacino,_Al/Movies", "url": "http://www.all-reviews.com/actors-1/Al-Pacino.htm"} +{"d:Title": "IMDb.com: Kelly Packard", "d:Description": "Personal profile, filmography, photo gallery, nominations, and titles for sale.", "topic": "Top/Arts/People/P/Packard,_Kelly", "url": "http://www.imdb.com/name/nm0001585/"} +{"d:Title": "Jared Padalecki on the Web", "d:Description": "Information resource with news, biography, pictures, articles, downloads, polls, and greeting cards.", "topic": "Top/Arts/People/P/Padalecki,_Jared", "url": "http://jaredpadalecki.tripod.com/"} +{"d:Title": "IMDb.com: Jared Padalecki", "d:Description": "Biographical profile, filmography, photo gallery, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Padalecki,_Jared", "url": "http://www.imdb.com/name/nm0655585/"} +{"d:Title": "IMDb.com : Debra Paget", "d:Description": "Complete filmography, mini biography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Paget,_Debra", "url": "http://www.imdb.com/name/nm0656428/"} +{"d:Title": "Michael Palin", "d:Description": "Career details and travel documentaries.", "topic": "Top/Arts/People/P/Palin,_Michael", "url": "http://en.wikipedia.org/wiki/Michael_Palin"} +{"d:Title": "Michael Palin [The Onion AV Club]", "d:Description": "The Onion AV Club interviews the Monty Python star on his various jaunts about the globe.", "topic": "Top/Arts/People/P/Palin,_Michael", "url": "http://www.avclub.com/article/michael-palin-13489"} +{"d:Title": "Erik is Dr. Dave", "d:Description": "Includes biography, filmography, articles, pictures and ER episode summaries.", "topic": "Top/Arts/People/P/Palladino,_Erik", "url": "http://erikisdrdave.tripod.com/"} +{"d:Title": "Erik Palladino", "d:Description": "Question and answer interview discussing the actor's thoughts on AIDS, faith, love, and death.", "topic": "Top/Arts/People/P/Palladino,_Erik", "url": "http://www.popentertainment.com/palladino.htm"} +{"d:Title": "The Movie Times: Actress Gwyneth Paltrow", "d:Description": "Box office information on all her movies, links, pictures, and message area.", "topic": "Top/Arts/People/P/Paltrow,_Gwyneth", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?gpaltrow"} +{"d:Title": "Topix: Gwyneth Paltrow", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/P/Paltrow,_Gwyneth", "url": "http://www.topix.com/who/gwyneth-paltrow"} +{"d:Title": "AskMen.com: Gwyneth Paltrow", "d:Description": "Biography, ratings, and links.", "topic": "Top/Arts/People/P/Paltrow,_Gwyneth", "url": "http://uk.askmen.com/celebs/women/actress/19_gwyneth_paltrow.html"} +{"d:Title": "IMDb.com: Gwyneth Paltrow", "d:Description": "Includes biography, filmography, quotes, awards, and picture gallery.", "topic": "Top/Arts/People/P/Paltrow,_Gwyneth", "url": "http://www.imdb.com/name/nm0000569/"} +{"d:Title": "NY Rock.com - Gwyneth Paltrow", "d:Description": "Interview with the actress by Prairie Miller.", "topic": "Top/Arts/People/P/Paltrow,_Gwyneth/Articles_and_Interviews", "url": "http://www.nyrock.com/interviews/2001/paltrow_int.asp"} +{"d:Title": "In brief: Gwyneth takes London play back to Hollywood", "d:Description": "Article of the Guardian Unlimited.", "topic": "Top/Arts/People/P/Paltrow,_Gwyneth/Articles_and_Interviews", "url": "http://www.theguardian.com/film/2002/may/07/news1"} +{"d:Title": "IMDb: Hayden Panettiere", "d:Description": "List of credits, biography, trivia, awards and links.", "topic": "Top/Arts/People/P/Panettiere,_Hayden", "url": "http://www.imdb.com/name/nm0659363/"} +{"d:Title": "Joe Pantoliano News: Topix", "d:Description": "News about Joe Pantoliano continually updated from around the net.", "topic": "Top/Arts/People/P/Pantoliano,_Joe", "url": "http://www.topix.com/who/joe-pantoliano"} +{"d:Title": "IMDb.com : Joe Pantoliano", "d:Description": "Filmography, trivia, photo gallery, nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Pantoliano,_Joe", "url": "http://www.imdb.com/name/nm0001592/"} +{"d:Title": "IMDb - Irene Papas", "d:Description": "Irene Papas's filmography at IMDb.", "topic": "Top/Arts/People/P/Papas,_Irene", "url": "http://www.imdb.com/name/nm0660327/"} +{"d:Title": "All Movie Guide - Irene Papas", "d:Description": "Biography, filmography, and awards won by Irene Papas.", "topic": "Top/Arts/People/P/Papas,_Irene", "url": "http://www.allmovie.com/artist/irene-papas-p54947"} +{"d:Title": "The Best Anna Paquin Fan Club", "d:Description": "Yahoo! group with messageboard, chat, photos, and links. Yahoo! membership required.", "topic": "Top/Arts/People/P/Paquin,_Anna", "url": "http://groups.yahoo.com/group/thebestannapaquinfanclub/"} +{"d:Title": "IMDb.com: Anna Paquin", "d:Description": "Mini biography, complete filmography, picture gallery, articles, nominations and awards, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Paquin,_Anna", "url": "http://www.imdb.com/name/nm0001593/"} +{"d:Title": "The Unofficial Anna Paquin Homepage", "d:Description": "News, biographical information, filmography, articles, photo gallery, store, and links.", "topic": "Top/Arts/People/P/Paquin,_Anna", "url": "http://www.djuna.kr/anna/"} +{"d:Title": "Vanessa Paradis by Jhiss", "d:Description": "Discography, filmography, lyrics, photographs and links.", "topic": "Top/Arts/People/P/Paradis,_Vanessa", "url": "http://jhiss.pagesperso-orange.fr/html/vanessa.htm"} +{"d:Title": "IMDb: Vanessa Paradis", "d:Description": "Mini biography, filmography, photograph galleries, news articles, contact information, television schedule, and titles for sale.", "topic": "Top/Arts/People/P/Paradis,_Vanessa", "url": "http://www.imdb.com/name/nm0660854/"} +{"d:Title": "Michael Pare", "d:Description": "Fanpage with photographs, video gallery, filmography, and forum. In English and Russian.", "topic": "Top/Arts/People/P/Par\u00e9,_Michael", "url": "http://michaelpare.narod.ru/index.htm"} +{"d:Title": "IMDb.com : Michael Par\u00e9", "d:Description": "Biography, complete filmography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Par\u00e9,_Michael", "url": "http://www.imdb.com/name/nm0001595/"} +{"d:Title": "IMDb.com : Dan Paris", "d:Description": "Biographical profile and filmography.", "topic": "Top/Arts/People/P/Paris,_Dan", "url": "http://www.imdb.com/name/nm0661539/"} +{"d:Title": "Hoshi Sato aka Linda Park", "d:Description": "A Yahoo based fan club with message board, chat room, an image gallery, and links.", "topic": "Top/Arts/People/P/Park,_Linda", "url": "http://groups.yahoo.com/group/hoshisatoakalindapark/"} +{"d:Title": "IMDb: Linda Park", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/People/P/Park,_Linda", "url": "http://www.imdb.com/name/nm0971133/"} +{"d:Title": "IMDb: Ray Park", "d:Description": "Complete filmography, trivia, photo gallery, articles, and a TV schedule.", "topic": "Top/Arts/People/P/Park,_Ray", "url": "http://www.imdb.com/name/nm0661917/"} +{"d:Title": "Andrea Parker Fan Club", "d:Description": "Large Yahoo! club dedicated to the actress.", "topic": "Top/Arts/People/P/Parker,_Andrea", "url": "http://groups.yahoo.com/group/andreaparkerfanclub/"} +{"d:Title": "IMDb.com : Andrea Parker", "d:Description": "Mini biography, complete filmography, photo gallery, nominations, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Parker,_Andrea", "url": "http://www.imdb.com/name/nm0662042/"} +{"d:Title": "Craig-Parker.net", "d:Description": "Unofficial website dedicated to New Zealand actor Craig Parker features news, biography, downloads, guest book, and links.", "topic": "Top/Arts/People/P/Parker,_Craig", "url": "http://www.craig-parker.net/"} +{"d:Title": "Craig Parker: The actor behind Haldir", "d:Description": "Unofficial fan site provides news, pictures, information, and links.", "topic": "Top/Arts/People/P/Parker,_Craig", "url": "http://jmsstyxnyes.tripod.com/craig/craig.html"} +{"d:Title": "Craig Parker", "d:Description": "Short biography and filmography provided.", "topic": "Top/Arts/People/P/Parker,_Craig", "url": "http://www.imdb.com/name/nm0662164/"} +{"d:Title": "Nam's Mary-Louise Parker Page", "d:Description": "Fan site with biographical information, filmography, image galleries, and interviews.", "topic": "Top/Arts/People/P/Parker,_Mary-Louise", "url": "http://mlparker.cafe24.com/"} +{"d:Title": "TV Guide - Mary-Louise Parker", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/P/Parker,_Mary-Louise", "url": "http://www.tvguide.com/celebrities/mary-louise-parker/165173"} +{"d:Title": "IMDb: Mary-Louise Parker", "d:Description": "The Internet Movie Database includes filmography, trivia, an image gallery, and links.", "topic": "Top/Arts/People/P/Parker,_Mary-Louise", "url": "http://www.imdb.com/name/nm0000571/"} +{"d:Title": "Gajo's Tribute to Molly Parker", "d:Description": "Filmography, audio, mailing list and articles.", "priority": "1", "topic": "Top/Arts/People/P/Parker,_Molly", "url": "http://www.angelfire.com/on/mollyparker/"} +{"d:Title": "Rotten Tomatoes - Molly Parker", "d:Description": "Filmography with tomatometer, news, and forum.", "topic": "Top/Arts/People/P/Parker,_Molly", "url": "http://www.rottentomatoes.com/celebrity/molly_parker/"} +{"d:Title": "HSX - Molly Parker", "d:Description": "Short biography and historical trading prices of Molly Parker's StarBond in the Hollywood Stock Exchange game.", "topic": "Top/Arts/People/P/Parker,_Molly", "url": "http://www.hsx.com/security/view/MOPAR"} +{"d:Title": "Guardian Unlimited - Good golly Miss Molly", "d:Description": "Interview by Emma Brockes about Molly's roles in \"The Center of the World\" and \"Suspicious River\".", "topic": "Top/Arts/People/P/Parker,_Molly", "url": "http://www.theguardian.com/film/2001/aug/24/artsfeatures2"} +{"d:Title": "IMDb - Molly Parker", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/People/P/Parker,_Molly", "url": "http://www.imdb.com/name/nm0662504/"} +{"d:Title": "Tribute.ca - Molly Parker", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/P/Parker,_Molly", "url": "http://www.tribute.ca/people/molly-parker/3179/"} +{"d:Title": "Jam Movies - Molly Parker", "d:Description": "Articles about Molly Parker from Canoe's Jam! Movies.", "topic": "Top/Arts/People/P/Parker,_Molly", "url": "http://jam.canoe.com/Movies/Artists/P/Parker_Molly/"} +{"d:Title": "Nathaniel Parker", "d:Description": "Biography, photos and links.", "topic": "Top/Arts/People/P/Parker,_Nathaniel", "url": "http://www.tmaw.co.uk/natp.html"} +{"d:Title": "Nathaniel Parker", "d:Description": "Biography, credits, video captures, audio clips, articles and links.", "topic": "Top/Arts/People/P/Parker,_Nathaniel", "url": "http://www.nathanielparker.com/"} +{"d:Title": "IMDb.com : Noelle Parker", "d:Description": "Full filmography, biographical information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Parker,_Noelle", "url": "http://www.imdb.com/name/nm0662523/"} +{"d:Title": "Sarah Jessica Parker News: Topix", "d:Description": "News about Sarah Jessica Parker continually updated from around the net.", "topic": "Top/Arts/People/P/Parker,_Sarah_Jessica", "url": "http://www.topix.com/who/sarah-jessica-parker"} +{"d:Title": "Topix: Sarah Jessica Parker", "d:Description": "News about Sarah Jessica Parker, collected from various sources on the web.", "topic": "Top/Arts/People/P/Parker,_Sarah_Jessica", "url": "http://www.topix.com/rss/who/sarah-jessica-parker.xml"} +{"d:Title": "DigitalHit.com : Sarah Jessica Parker", "d:Description": "Includes profile and small collection of photographs and posters.", "topic": "Top/Arts/People/P/Parker,_Sarah_Jessica", "url": "http://www.digitalhit.com/cr/sarahjessicaparker/"} +{"d:Title": "IMDb.com : Sarah Jessica Parker", "d:Description": "Biography, complete filmography, photo gallery, news articles, nominations and awards, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Parker,_Sarah_Jessica", "url": "http://www.imdb.com/name/nm0000572/"} +{"d:Title": "A Trey Parker Page", "d:Description": "Fan page featuring biography, photographs, downloads, and links.", "topic": "Top/Arts/People/P/Parker,_Trey", "url": "http://www.treyparker.iwarp.com/"} +{"d:Title": "Trivia Tribute : Trey Parker", "d:Description": "Pictures, sound files, biographical profile, and links to IMDb and eBay resources.", "topic": "Top/Arts/People/P/Parker,_Trey", "url": "http://www.triviatribute.com/treyparker.html"} +{"d:Title": "IMDb.com : Trey Parker", "d:Description": "Biographical profile, complete filmography, photo gallery, articles, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Parker,_Trey", "url": "http://www.imdb.com/name/nm0005295/"} +{"d:Title": "Dian Parkinson Swimsuit Site", "d:Description": "Includes pictures from her classic The Price is Right days.", "topic": "Top/Arts/People/P/Parkinson,_Dian", "url": "http://www.angelfire.com/fl4/polo2/Home.html"} +{"d:Title": "The Gordon Parks Collection", "d:Description": "Description of still photos from the movie \"The Learning Tree,\" at Leonard H. Axe Library, Pittsburg State University. Links.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://library.pittstate.edu/spcoll/ndxparks.html"} +{"d:Title": "Ella Watson, U.S. Government Charwoman", "d:Description": "A baker's dozen of photographs from Gordon Parks' series for the Farm Security Administration. Includes one of his most famous pictures, \"American Gothic.\" Accompanying text sheds light on how Roy Stryker helped Parks become a better artist.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://memory.loc.gov/ammem/fsahtml/fachap07.html"} +{"d:Title": "Legends Online: Gordon Parks", "d:Description": "Biography, and a photo gallery.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://www.pdngallery.com/legends/parks/intro_set.shtml"} +{"d:Title": "Gordon Parks: Appreciation of an artist's life work", "d:Description": "A photography instructor outlines the career of the groundbreaking black movie director and photographer. Includes several photographs. [Online Art Magazine]", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://www.ebsqart.com/ArtMagazine/za_264.htm"} +{"d:Title": "A Great Day for Gordon Parks", "d:Description": "Feature story on the legendary photographer's 90th birthday. RealAudio. Includes links to earlier stories on Parks. Requires JavaScript. [All Things Considered]", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://discover.npr.org/features/feature.jhtml?wfId=870441"} +{"d:Title": "Wikipedia: Gordon Parks", "d:Description": "Encyclopedia-style entry on the African American photographer, pianist, film director, and novelist.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://en.wikipedia.org/wiki/Gordon_Parks"} +{"d:Title": "The Films&Photography of Gordon Parks, Sr.", "d:Description": "Was part of a film series put on by New York State Writers Institute, at SUNY Albany. How he got his big break, and his work in photography and films. Links to film notes and to two articles.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://www.albany.edu/writers-inst/webpages4/archives/parksflm.html"} +{"d:Title": "Spartacus Educational: Gordon Parks", "d:Description": "Short biography of the American photographer, for schoolchildren.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://spartacus-educational.com/USAPparks.htm"} +{"d:Title": "IMDb: Gordon Parks", "d:Description": "Filmography, brief biography, trivia.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://www.imdb.com/name/nm0662953/"} +{"d:Title": "Half Past Autumn", "d:Description": "An interview of photographer Gordon Parks on the occasion of a retrospective exhibition at the Corcoran Gallery. RealAudio and a transcript. Transcript uses some Windows-only characters. [NewsHour]", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://www.pbs.org/newshour/bb/entertainment-jan-june98-gordon_1-6/"} +{"d:Title": "A Kansas Portrait: Gordon Parks", "d:Description": "Biographical essay on the photographer, writer, and filmmaker.", "topic": "Top/Arts/People/P/Parks,_Gordon", "url": "http://www.kshs.org/kansapedia/gordon-parks/12164"} +{"d:Title": "The Unofficial Adrian Pasdar Fan Page", "d:Description": "Photos, biography, filmography, and sounds.", "topic": "Top/Arts/People/P/Pasdar,_Adrian", "url": "http://www.angelfire.com/wa/pasdar/index2.html"} +{"d:Title": "IMDb.com: Adrian Pasdar", "d:Description": "Filmography, biography, and links to related sites.", "topic": "Top/Arts/People/P/Pasdar,_Adrian", "url": "http://www.imdb.com/name/nm0664499/"} +{"d:Title": "Yahoo Groups: Amy Wynn Pastor", "d:Description": "Dedicated to Trading Spaces Amy Wynn Pastor. Message board, polls, and over 30 fans.", "topic": "Top/Arts/People/P/Pastor,_Amy_Wynn", "url": "http://groups.yahoo.com/group/AmyWynnPastor/"} +{"d:Title": "IMDb: Mandy Patinkin", "d:Description": "Photograph gallery, profile, filmography, and notable television appearances.", "topic": "Top/Arts/People/P/Patinkin,_Mandy", "url": "http://www.imdb.com/name/nm0001597/"} +{"d:Title": "Mandy Patinkin: Dress Casual Picture Gallery", "d:Description": "Downloads, screensavers, pictures, information, and FAQ.", "topic": "Top/Arts/People/P/Patinkin,_Mandy", "url": "http://aater.tripod.com/"} +{"d:Title": "IMDb.com : Jason Patric", "d:Description": "Full filmography, personal trivia, photo gallery, articles, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Patric,_Jason", "url": "http://www.imdb.com/name/nm0000574/"} +{"d:Title": "Jason Patric", "d:Description": "Collection of articles on his career and personal life.", "topic": "Top/Arts/People/P/Patric,_Jason", "url": "http://jam.canoe.com/Movies/Artists/P/Patric_Jason/"} +{"d:Title": "Yahoo! Groups : robert_patrick", "d:Description": "Mailing list for fans of the actor and discussion of his roles in film and on television. Yahoo! membership required.", "topic": "Top/Arts/People/P/Patrick,_Robert", "url": "http://groups.yahoo.com/group/robert_patrick/"} +{"d:Title": "IMDb.com : Robert Patrick (I)", "d:Description": "Biographical information, full filmography, photographs, articles, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Patrick,_Robert", "url": "http://www.imdb.com/name/nm0001598/"} +{"d:Title": "Audrina Patridge", "d:Description": "Official Website. Offers a biography, images, and news.", "priority": "1", "topic": "Top/Arts/People/P/Patridge,_Audrina", "url": "http://audrinapatridge.com/"} +{"d:Title": "Made Men: Audrina Patridge", "d:Description": "Offers a biography, pictures, videos, and measurements.", "topic": "Top/Arts/People/P/Patridge,_Audrina", "url": "http://www.mademan.com/chickipedia/audrina-patridge/"} +{"d:Title": "All-Reviews.com : Will Patton", "d:Description": "Filmography with movie reviews and ratings from 1994 to 2002.", "topic": "Top/Arts/People/P/Patton,_Will", "url": "http://www.all-reviews.com/actors-5/Will-Patton.htm"} +{"d:Title": "IMDb.com : Will Patton (I)", "d:Description": "Complete filmography, mini biography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Patton,_Will", "url": "http://www.imdb.com/name/nm0001599/"} +{"d:Title": "Adrian Paul", "d:Description": "Overview of new projects, photos, videos, interviews, fan club, merchandise, and message board.", "topic": "Top/Arts/People/P/Paul,_Adrian", "url": "http://www.adrianpaul.net/"} +{"d:Title": "TV Guide - Adrian Paul", "d:Description": "Current television schedule for the actor, updated every month.", "topic": "Top/Arts/People/P/Paul,_Adrian", "url": "http://www.tvguide.com/celebrities/adrian-paul/138248"} +{"d:Title": "IMDb.com : Adrian Paul (I)", "d:Description": "Full filmography, biographical information, images, articles, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Paul,_Adrian", "url": "http://www.imdb.com/name/nm0001600/"} +{"d:Title": "IMDb.com : Alexandra Paul", "d:Description": "Full filmography, biographical information, photo gallery, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Paul,_Alexandra", "url": "http://www.imdb.com/name/nm0000575/"} +{"d:Title": "Thespian Net : Bill Paxton", "d:Description": "Includes a short biography, film credits, photographs, and links.", "topic": "Top/Arts/People/P/Paxton,_Bill", "url": "http://www.thespiannet.com/actors/P/paxton_bill/index.shtml"} +{"d:Title": "All-Reviews.com : Bill Paxton", "d:Description": "Filmography with movie reviews and ratings from 1984 to 2002.", "topic": "Top/Arts/People/P/Paxton,_Bill", "url": "http://www.all-reviews.com/actors-1/Bill-Paxton.htm"} +{"d:Title": "The Movie Times : Bill Paxton", "d:Description": "Biographical profile, filmography, box office information, contact address, and links.", "topic": "Top/Arts/People/P/Paxton,_Bill", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?billpaxton"} +{"d:Title": "IMDb.com : Bill Paxton", "d:Description": "Complete filmography, biography, pictures, articles, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Paxton,_Bill", "url": "http://www.imdb.com/name/nm0000200/"} +{"d:Title": "Guy Edward Pearce", "d:Description": "Featuring images, video clips, contact information, and collection of articles (1994-2002).", "topic": "Top/Arts/People/P/Pearce,_Guy", "url": "http://guyedwardpearce.tripod.com/"} +{"d:Title": "IMDb.com : Guy Pearce (I)", "d:Description": "Filmography, short biography, photo gallery, articles, contact information, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Pearce,_Guy", "url": "http://www.imdb.com/name/nm0001602/"} +{"d:Title": "Gregory Peck Online", "d:Description": "A tribute to the actor. Includes biography, filmography, photos, sounds, encounters, merchandise, and links.", "topic": "Top/Arts/People/P/Peck,_Gregory", "url": "http://www.jefflangonline.com/peck/"} +{"d:Title": "Reel Classics : Gregory Peck", "d:Description": "Illustrated filmography, collection of movie stills and posters, and contact address.", "topic": "Top/Arts/People/P/Peck,_Gregory", "url": "http://www.reelclassics.com/Actors/Peck/peck.htm"} +{"d:Title": "IMDb.com : Gregory Peck", "d:Description": "Complete filmography, biography, photo gallery, articles, contact information, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Peck,_Gregory", "url": "http://www.imdb.com/name/nm0000060/"} +{"d:Title": "Amanda Peet News: Topix", "d:Description": "News about Amanda Peet continually updated from around the net.", "topic": "Top/Arts/People/P/Peet,_Amanda", "url": "http://www.topix.com/who/amanda-peet"} +{"d:Title": "Thespian Net : Amanda Peet", "d:Description": "Biography, filmography, links to articles and pictures.", "topic": "Top/Arts/People/P/Peet,_Amanda", "url": "http://www.thespiannet.com/actresses/P/peet_amanda/amanda_peet.shtml"} +{"d:Title": "IMDb.com : Amanda Peet (I)", "d:Description": "Filmography, biographical information, photographs, articles, awards and nominations, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Peet,_Amanda", "url": "http://www.imdb.com/name/nm0001605/"} +{"d:Title": "IMDb: Simon Pegg", "d:Description": "Filmography, trivia, message board, links and photographs.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.imdb.com/name/nm0670408/"} +{"d:Title": "Wikipedia: Simon Pegg", "d:Description": "Concise biography with a filmography and links to related articles.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://en.wikipedia.org/wiki/Simon_Pegg"} +{"d:Title": "Simon Pegg Page", "d:Description": "Contains interviews, pictures, and a profile.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.angelfire.com/tv/simonpegg/website.html"} +{"d:Title": "Roofdog Interviews - Simon Pegg", "d:Description": "Discusses his career as a stand-up comedian.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.angelfire.com/ab/roofdog/music/ints/simonpegg.html"} +{"d:Title": "Blackfilm: Simon Pegg", "d:Description": "Interview in which he discusses his various influences, and the importance of the zombie as a metaphor for modern living.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.blackfilm.com/20040917/features/simonpegg.shtml"} +{"d:Title": "BBC: Simon Pegg plays The Editor", "d:Description": "'Actor Simon Pegg isn't likely to ever forget appearing in Doctor Who - and certainly won't fail to recall the tongue twister line he had to deliver in his role as The Editor.'", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.bbc.co.uk/pressoffice/pressreleases/stories/2005/05_may/04/who.shtml"} +{"d:Title": "Working Title Films: Simon Pegg Talks Training", "d:Description": "Behind-the-scenes video diary from the film \"Hot Fuzz\". [Requires Quicktime]", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.workingtitlefilms.com/featureProductionDiary.php?featureID=97"} +{"d:Title": "BBC: Simon Pegg and Edgar Wright Web Access", "d:Description": "Question and answer session with fans.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.bbc.co.uk/films/webaccess/simon_pegg_1.shtml"} +{"d:Title": "TalkTalk: Simon Pegg Interview", "d:Description": "Discusses his preoccupation with zombies.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://www.talktalk.co.uk/entertainment/film/interviews/simon_pegg.html"} +{"d:Title": "Peggster", "d:Description": "Official site providing a biography, filmography, screen captures, photographs, fan art, audio clips, interviews, a forum and links.", "topic": "Top/Arts/People/P/Pegg,_Simon", "url": "http://simonpegg.net/"} +{"d:Title": "IMDb.com : Ashley Peldon", "d:Description": "Complete filmography, trivia, photo gallery, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Peldon,_Ashley", "url": "http://www.imdb.com/name/nm0670779/"} +{"d:Title": "IMDb.com : Courtney Peldon", "d:Description": "Filmography, profile, photo gallery, awards and nominations, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Peldon,_Courtney", "url": "http://www.imdb.com/name/nm0670780/"} +{"d:Title": "Valentine Pelka Fanclub", "d:Description": "Information about the club mailing list and newsletter, as well as images, biography, and filmography of the actor.", "topic": "Top/Arts/People/P/Pelka,_Valentine", "url": "http://www.vpfc.org/"} +{"d:Title": "VPFC-L", "d:Description": "Mailing list for discussion of actor Valentine Pelka, of Highlander, Queen of Swords, and Robin of Sherwood.", "topic": "Top/Arts/People/P/Pelka,_Valentine", "url": "http://groups.yahoo.com/group/vpfc-l"} +{"d:Title": "IMDb: Valentine Pelka", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/P/Pelka,_Valentine", "url": "http://www.imdb.com/name/nm0670893/"} +{"d:Title": "Official Website of Thaao Penghlis", "d:Description": "Includes autobiography, filmography, news, photo galleries, interviews and articles, message board.", "topic": "Top/Arts/People/P/Penghlis,_Thaao", "url": "http://thaao.tripod.com/"} +{"d:Title": "The Days of Thaao's Life", "d:Description": "The place to find articles and pictures about the actor going back to 1981.", "topic": "Top/Arts/People/P/Penghlis,_Thaao", "url": "http://jacobean96.tripod.com/"} +{"d:Title": "IMDb.com : Thaao Penghlis", "d:Description": "Filmography, notable TV guest appearances, biographical facts, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Penghlis,_Thaao", "url": "http://www.imdb.com/name/nm0671837/"} +{"d:Title": "Let's Hear It For The Boy", "d:Description": "Chris Penn biography and filmography. Also includes a photo gallery.", "topic": "Top/Arts/People/P/Penn,_Chris", "url": "http://www.angelfire.com/yt/stephenbaldwin/chrispenn.html"} +{"d:Title": "IMDb: Chris Penn (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/P/Penn,_Chris", "url": "http://www.imdb.com/name/nm0001606/"} +{"d:Title": "Chris Penn", "d:Description": "Penn and the art.", "topic": "Top/Arts/People/P/Penn,_Chris", "url": "http://jam.canoe.com/Movies/Artists/P/Penn_Chris/"} +{"d:Title": "Thespian Net : Sean Penn", "d:Description": "Contains film and TV credits, picture gallery, and links to articles about the actor.", "topic": "Top/Arts/People/P/Penn,_Sean", "url": "http://www.thespiannet.com/actors/P/penn_sean/index.shtml"} +{"d:Title": "Sean Penn News: Topix", "d:Description": "News about Sean Penn continually updated from around the net.", "topic": "Top/Arts/People/P/Penn,_Sean", "url": "http://www.topix.com/who/sean-penn"} +{"d:Title": "IMDb.com : Sean Penn", "d:Description": "Full filmography, biographical information, awards and nominations, photo gallery, articles, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Penn,_Sean", "url": "http://www.imdb.com/name/nm0000576/"} +{"d:Title": "Yahoo! Groups : The Ty Pennington Fan Club", "d:Description": "E-group with message board, polls, pictures, and chat room. Yahoo! membership required.", "topic": "Top/Arts/People/P/Pennington,_Ty", "url": "http://groups.yahoo.com/group/TheTyPenningtonFanClub/"} +{"d:Title": "Yahoo! Groups : Ty Pennington", "d:Description": "Fansite for posting messages and photographs about Ty the carpenter. [Registration required.]", "topic": "Top/Arts/People/P/Pennington,_Ty", "url": "http://tv.groups.yahoo.com/group/typennington/"} +{"d:Title": "Yahoo! Groups : George Peppard Memorial Page", "d:Description": "A tribute to the actor with message board, photo gallery, and chat room. Yahoo! membership required.", "topic": "Top/Arts/People/P/Peppard,_George", "url": "http://groups.yahoo.com/group/georgepeppardmemorialpage/"} +{"d:Title": "IMDb.com : George Peppard", "d:Description": "Filmography, trivia, photo gallery, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Peppard,_George", "url": "http://www.imdb.com/name/nm0000577/"} +{"d:Title": "A Tribute to Saving Private Ryan and Barry Pepper", "d:Description": "Includes biography, filmography, quotes, audio clips and pictures of the actor. Also information on the movie and other cast members.", "topic": "Top/Arts/People/P/Pepper,_Barry", "url": "http://www.angelfire.com/movies/sprbarrypepper/"} +{"d:Title": "IMDb.com : Barry Pepper", "d:Description": "Filmography, biographical information, photographs, articles, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/People/P/Pepper,_Barry", "url": "http://www.imdb.com/name/nm0001608/"} +{"d:Title": "Actor Profile: Anthony Perkins", "d:Description": "Detailed profile of the actor by writer Brian W. Fairbanks, and filmography.", "topic": "Top/Arts/People/P/Perkins,_Anthony", "url": "http://www.angelfire.com/oh2/writer/anthonyperkins.html"} +{"d:Title": "Actress Elizabeth Perkins", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/P/Perkins,_Elizabeth", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?eperkins"} +{"d:Title": "Hellboy.com", "d:Description": "Official site for Mike Mignola's comic-book creation 'Hellboy.' Merchandise, forum, comics, and books.", "topic": "Top/Arts/People/P/Perlman,_Ron/Movies", "url": "http://www.hellboy.com/"} +{"d:Title": "Luke Perry News: Topix", "d:Description": "News about Luke Perry continually updated from around the net.", "topic": "Top/Arts/People/P/Perry,_Luke", "url": "http://www.topix.com/who/luke-perry"} +{"d:Title": "Grace`s Matthew Perry/Chandler Bing Site", "d:Description": "Includes a message board, mailing list and links.", "topic": "Top/Arts/People/P/Perry,_Matthew", "url": "http://www.angelfire.com/ca/BingAling/"} +{"d:Title": "Matthew Perry Page", "d:Description": "Includes biography, filmography, message board and poll.", "topic": "Top/Arts/People/P/Perry,_Matthew", "url": "http://www.angelfire.com/ar/mattperry/"} +{"d:Title": "Matthew Perry", "d:Description": "A fan site that includes career information, photos and links for Matthew Perry. In Portuguese and English.", "topic": "Top/Arts/People/P/Perry,_Matthew", "url": "http://mperryhp.tripod.com/"} +{"d:Title": "Matthew Perry Scrapbook", "d:Description": "Includes pictures and fan fiction. It even plays music while you browse.", "topic": "Top/Arts/People/P/Perry,_Matthew", "url": "http://citizennancy.tripod.com/"} +{"d:Title": "Gina's Matthew Perry Page", "d:Description": "Includes a biography, trivia, video.", "topic": "Top/Arts/People/P/Perry,_Matthew", "url": "http://gmc78.tripod.com/"} +{"d:Title": "Perry Online", "d:Description": "Biography, filmography, photos, audio and video clips, interviews, and links.", "topic": "Top/Arts/People/P/Perry,_Matthew", "url": "http://perryonline.tripod.com/"} +{"d:Title": "IMDb.com: Matthew Perry (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/P/Perry,_Matthew", "url": "http://www.imdb.com/name/nm0001612/"} +{"d:Title": "Joe Pesci News: Topix", "d:Description": "News about Joe Pesci continually updated from around the net.", "topic": "Top/Arts/People/P/Pesci,_Joe", "url": "http://www.topix.com/who/joe-pesci"} +{"d:Title": "Actor Joe Pesci", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/P/Pesci,_Joe", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?pesci"} +{"d:Title": "Beloved Bernadette", "d:Description": "A fan page with photographs, lyrics, related links, filmography, and other details.", "topic": "Top/Arts/People/P/Peters,_Bernadette", "url": "http://www.angelfire.com/nj2/musicalthearter/index.html"} +{"d:Title": "Bernadette Peters - Broadway's Best", "d:Description": "Contains the latest news, biography, photo album, links, film and CD credits and an interactive fan community.", "topic": "Top/Arts/People/P/Peters,_Bernadette", "url": "http://www.bernadette-peters.com/"} +{"d:Title": "The Bernadette Peters Website", "d:Description": "Official site features current news, appearances and activities, biography and filmography, print articles, stage reviews, and image galleries.", "priority": "1", "topic": "Top/Arts/People/P/Peters,_Bernadette", "url": "http://www.bernadettepeters.com/"} +{"d:Title": "Bernadette Peters News: Topix", "d:Description": "News about Bernadette Peters continually updated from around the net.", "topic": "Top/Arts/People/P/Peters,_Bernadette", "url": "http://www.topix.com/who/bernadette-peters"} +{"d:Title": "Bernadette Peters: Doin' What Comes Naturally", "d:Description": "Fanpage with mailing list and other information.", "topic": "Top/Arts/People/P/Peters,_Bernadette", "url": "http://bernadettepeters.tripod.com/index.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, publicity, trivia and other details.", "topic": "Top/Arts/People/P/Peters,_Bernadette", "url": "http://www.imdb.com/name/nm0001613/"} +{"d:Title": "The William Petersen Appreciation Page", "d:Description": "Images, video clips, articles, and information.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://www.billypetersen.com/"} +{"d:Title": "IMDb: William Petersen", "d:Description": "Biography, filmography, images, video clips and news articles.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://www.imdb.com/name/nm0676973/"} +{"d:Title": "Rotten Tomatoes: William Petersen", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://www.rottentomatoes.com/celebrity/william_l_petersen/"} +{"d:Title": "MovieWeb: William Petersen", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://www.movieweb.com/person/william-petersen"} +{"d:Title": "Movies.com: William Petersen", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://www.movies.com/actors/william-l.-petersen/p290310"} +{"d:Title": "TVGuide: William Petersen", "d:Description": "Biography, filmography, image gallery, clips and news articles.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://www.tvguide.com/celebrities/william-petersen/179245"} +{"d:Title": "Yahoo! Movies: William Petersen", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://movies.yahoo.com/person/william-petersen/"} +{"d:Title": "TV.com: William Petersen", "d:Description": "Biography, image gallery, forums and filmography.", "topic": "Top/Arts/People/P/Petersen,_William", "url": "http://www.tv.com/people/william-petersen/"} +{"d:Title": "Star One", "d:Description": "News, filmography, pictures, articles and interviews, and video and audio clips from films, shows and interviews.", "topic": "Top/Arts/People/P/Pfeiffer,_Dedee", "url": "http://dedee.org/"} +{"d:Title": "TV Guide - DeDee Pfeiffer", "d:Description": "Schedule of her appearances on television.", "topic": "Top/Arts/People/P/Pfeiffer,_Dedee", "url": "http://www.tvguide.com/celebrities/dedee-pfeiffer/146925"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography.", "topic": "Top/Arts/People/P/Pfeiffer,_Dedee", "url": "http://www.imdb.com/name/nm0679410/"} +{"d:Title": "Thespian Net presents Michelle Pfeiffer", "d:Description": "Biography, filmography, an awards list and links.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle", "url": "http://www.thespiannet.com/actresses/P/pfeiffer_michelle/"} +{"d:Title": "Michelle Pfeiffer News: Topix", "d:Description": "News about Michelle Pfeiffer continually updated from around the net.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle", "url": "http://www.topix.com/who/michelle-pfeiffer"} +{"d:Title": "TV Guide - Michelle Pfeiffer", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle", "url": "http://www.tvguide.com/celebrities/michelle-pfeiffer/166518"} +{"d:Title": "The Movie Times", "d:Description": "Pictures, and a table of the gross of her movies", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?mpfeiffer"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, biography, trivia, quotes, list of publicity, agent contact information, pictures.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle", "url": "http://www.imdb.com/name/nm0000201/"} +{"d:Title": "Rotten Tomatoes : Michelle Pfeiffer", "d:Description": "Contains movie news, trailers and reviews.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle", "url": "http://www.rottentomatoes.com/celebrity/michelle_pfeiffer/"} +{"d:Title": "CNN", "d:Description": "\"Stars of 'One Fine Day' knew each other back when...\" Also with George Clooney.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle/Interviews", "url": "http://www.cnn.com/SHOWBIZ/9612/19/one.fine.day/"} +{"d:Title": "Flicks", "d:Description": "Also with George Clooney, about One Fine Day.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle/Interviews", "url": "http://whyy.org/flicks/PfeifferClooney_interview.html"} +{"d:Title": "Michelle Pfeiffer WebRing", "d:Description": "Includes a collection of sites about Michelle Pfeiffer.", "topic": "Top/Arts/People/P/Pfeiffer,_Michelle/Web_Rings", "url": "http://www.webring.org/hub?ring=mpfeiffer"} +{"d:Title": "ChrysteePharris.com", "d:Description": "Official website contains her biography, photos, motivational thoughts, resume and contact information.", "topic": "Top/Arts/People/P/Pharris,_Chrystee", "url": "http://www.chrysteepharris.com/"} +{"d:Title": "Chrystee Pharris Fan Club", "d:Description": "A Yahoo! club devoted to the actress.", "topic": "Top/Arts/People/P/Pharris,_Chrystee", "url": "http://groups.yahoo.com/group/chrysteepharrisfanclub/"} +{"d:Title": "IMDb: Chrystee Pharris", "d:Description": "Filmography, including television appearances.", "topic": "Top/Arts/People/P/Pharris,_Chrystee", "url": "http://www.imdb.com/name/nm0679690/"} +{"d:Title": "IMDb: Regis Philbin", "d:Description": "Biography, trivia, pictures, and TV and film appearances.", "topic": "Top/Arts/People/P/Philbin,_Regis", "url": "http://www.imdb.com/name/nm0005310/"} +{"d:Title": "Biography: Regis Philbin", "d:Description": "Short biography from Arts and Entertainment Television.", "topic": "Top/Arts/People/P/Philbin,_Regis", "url": "http://www.biography.com/people/regis-philbin-9542101"} +{"d:Title": "Canoe Jam: Ryan Phillippe", "d:Description": "Collection of news articles.", "topic": "Top/Arts/People/P/Phillippe,_Ryan", "url": "http://jam.canoe.com/Movies/Artists/P/Phillippe_Ryan/"} +{"d:Title": "Bijou Phillips", "d:Description": "Lyrics and audio clips.", "topic": "Top/Arts/People/P/Phillips,_Bijou", "url": "http://www.angelfire.com/fl3/bijouphillips/"} +{"d:Title": "Hip Online: Bijou Phillips", "d:Description": "Biography, interviews, CD review and links.", "topic": "Top/Arts/People/P/Phillips,_Bijou", "url": "http://www.hiponline.com/2731/bijou-phillips.html"} +{"d:Title": "IMDb: Bobbie Phillips", "d:Description": "Includes filmography, biographical information, and links.", "topic": "Top/Arts/People/P/Phillips,_Bobbie", "url": "http://www.imdb.com/name/nm0004095/"} +{"d:Title": "TV Guide - Bobbie Phillips", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/P/Phillips,_Bobbie", "url": "http://www.tvguide.com/celebrities/bobbie-phillips/142407"} +{"d:Title": "The Lou Diamond Phillips Page", "d:Description": "Biography, filmography, image gallery, and news.", "topic": "Top/Arts/People/P/Phillips,_Lou_Diamond", "url": "http://www.angelfire.com/or/akasteve/lou1.html"} +{"d:Title": "IMDb: Lou Diamond Phillips", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/People/P/Phillips,_Lou_Diamond", "url": "http://www.imdb.com/name/nm0001617/"} +{"d:Title": "Sian Phillips Fanpage", "d:Description": "Brief career highlights and some photos.", "topic": "Top/Arts/People/P/Phillips,_Si\u00e2n", "url": "http://www.jadis.demon.co.uk/pictures/goddess.htm"} +{"d:Title": "IMDb: Si\u00e2n Phillips", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/P/Phillips,_Si\u00e2n", "url": "http://imdb.com/name/nm0680795/"} +{"d:Title": "TV Guide - Sian Phillips", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/P/Phillips,_Si\u00e2n", "url": "http://www.tvguide.com/celebrities/sian-phillips/174698"} +{"d:Title": "Joaquin's Mount Olympus", "d:Description": "Fan site that includes photos, filmography, links, and TV schedules for movies that star Joaquin.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://lyssa740.tripod.com/jmo.htm"} +{"d:Title": "The Sexy Joaquin Phoenix Picture Page", "d:Description": "Extensive picture collection taken from magazines, public appearances, and movies. Also includes brief filmography and profile.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://www.angelfire.com/retro/phoenix_tnt/"} +{"d:Title": "Phoenix from the Flames", "d:Description": "A collection of photos, biography, filmography, and quiz.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://www.kitten.fws1.com/"} +{"d:Title": "Joaqrophenia", "d:Description": "Joaquin Phoenix Yahoo! Group.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://groups.yahoo.com/group/Joaqrophenia2/"} +{"d:Title": "Rotten Tomatoes: Joaquin Phoenix", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://www.rottentomatoes.com/celebrity/joaquin_phoenix/"} +{"d:Title": "Digital Hit: Joaquin Phoenix", "d:Description": "A brief profile of the actor.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://www.digitalhit.com/cr/joaquinphoenix/"} +{"d:Title": "IMDb: Joaquin Phoenix", "d:Description": "Filmography on the Internet Movie Database (IMDb).", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://www.imdb.com/name/nm0001618/"} +{"d:Title": "Box Office Data for Joaquin Phoenix", "d:Description": "List of movies that include release date, Joaquin's role in the flim, as well as the opening weekend and total box office gross of each film.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin", "url": "http://www.the-numbers.com/person/113940401-Joaquin-Phoenix"} +{"d:Title": "Rochester Goes Out- Joaquin Phoenix...in Clay Pigeons", "d:Description": "Joaquin Phoenix talks about his family and his career.", "topic": "Top/Arts/People/P/Phoenix,_Joaquin/Interviews", "url": "http://www.rochestergoesout.com/mov/c/clasid.html"} +{"d:Title": "Rio's Attic", "d:Description": "A site celebrating the life and times of the late River Phoenix.", "topic": "Top/Arts/People/P/Phoenix,_River", "url": "http://www.river-phoenix.org/"} +{"d:Title": "Only One River Phoenix", "d:Description": "Biography, filmography, images, and links.", "topic": "Top/Arts/People/P/Phoenix,_River", "url": "http://foxcheer02.tripod.com/OnlyOneRiverPhoenix/"} +{"d:Title": "The River Phoenix Pages", "d:Description": "Filmography, his songs, articles, tributes, fans poetry and art, and links.", "topic": "Top/Arts/People/P/Phoenix,_River", "url": "http://www.aleka.org/"} +{"d:Title": "The Official Robert Picardo Web Site", "d:Description": "Biography, filmography, stage credits, interviews, official fan club, convention reports and fan fiction.", "topic": "Top/Arts/People/P/Picardo,_Robert", "url": "http://www.robertpicardo.com/"} +{"d:Title": "Chuck's Robert Picardo Filmography", "d:Description": "Filmography with plot synopses and production details", "topic": "Top/Arts/People/P/Picardo,_Robert", "url": "http://www.angelfire.com/stars3/rpicardo/"} +{"d:Title": "EMH Journal", "d:Description": "Fan-created \"journal\" for Star Trek: Voyager's Doctor, describing his adventures on Earth in the early 21st century", "topic": "Top/Arts/People/P/Picardo,_Robert", "url": "http://emhjournal.ddgalleries.com/"} +{"d:Title": "Cindy Pickett", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/P/Pickett,_Cindy", "url": "http://www.imdb.com/name/nm0681882/"} +{"d:Title": "The Mary Pickford Foundation", "d:Description": "Biography, filmography, photo gallery and information about the Mary Pickford Library and the Mary Pickford Foundation.", "topic": "Top/Arts/People/P/Pickford,_Mary", "url": "http://marypickford.org/"} +{"d:Title": "Julie Piekarski", "d:Description": "Filmography and images of the actress.", "topic": "Top/Arts/People/P/Piekarski,_Julie", "url": "http://www.juliepiekarski.com/"} +{"d:Title": "Office of the Assistant Director", "d:Description": "Biography, X-Files information, fan club, pictures, and links.", "topic": "Top/Arts/People/P/Pileggi,_Mitch", "url": "http://www.hieran.com/office/"} +{"d:Title": "Matt Pinfield's Dome", "d:Description": "Pictures and biography.", "topic": "Top/Arts/People/P/Pinfield,_Matt", "url": "http://www.angelfire.com/nd/mattpinfield/"} +{"d:Title": "Pinkard Project", "d:Description": "Brief profile, images, and links.", "topic": "Top/Arts/People/P/Pinkard,_Ron", "url": "http://suealien.tripod.com/entertainment/"} +{"d:Title": "IMDb: Jada Pinkett", "d:Description": "Complete filmography.", "topic": "Top/Arts/People/P/Pinkett_Smith,_Jada", "url": "http://www.imdb.com/name/nm0000586/"} +{"d:Title": "Billie: Sweet As Honey", "d:Description": "Includes news, tour dates, biography and discography.", "topic": "Top/Arts/People/P/Piper,_Billie", "url": "http://www.angelfire.com/or/bvsb/"} +{"d:Title": "Billie", "d:Description": "Biography, lyrics, discography and galleries.", "topic": "Top/Arts/People/P/Piper,_Billie", "url": "http://www.angelfire.com/music/billiepiper/"} +{"d:Title": "AskMen.com: Billie Piper", "d:Description": "Magazine article. Images, biography, commentary and links.", "topic": "Top/Arts/People/P/Piper,_Billie", "url": "http://www.askmen.com/celebs/women/singer_60/66_billie_piper.html"} +{"d:Title": "All Music Guide: Billie Piper", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/P/Piper,_Billie", "url": "http://www.allmusic.com/artist/billie-piper-mn0000644262"} +{"d:Title": "Actor Gordon 'Bob the plumber' Piper dies", "d:Description": "Article from the Age.", "topic": "Top/Arts/People/P/Piper,_Gordon", "url": "http://www.theage.com.au/articles/2004/09/18/1095394065685.html?oneclick=true"} +{"d:Title": "IMDb.com - Gordon Piper", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/P/Piper,_Gordon", "url": "http://www.imdb.com/name/nm0684892/"} +{"d:Title": "Chris Pirillo", "d:Description": "Chris' own weblog.", "topic": "Top/Arts/People/P/Pirillo,_Chris", "url": "http://chris.pirillo.com/"} +{"d:Title": "IMDb: Joe Piscopo", "d:Description": "Includes biography, filmography, credits and photographs", "topic": "Top/Arts/People/P/Piscopo,_Joe", "url": "http://imdb.com/name/nm0685472/"} +{"d:Title": "Joe Piscopo", "d:Description": "Transcript of an interview by Peter Anthony Holder.", "topic": "Top/Arts/People/P/Piscopo,_Joe", "url": "http://www.peteranthonyholder.com/cjad24.htm"} +{"d:Title": "CNN: Joe Piscopo", "d:Description": "Transcript of a chat group interview.", "topic": "Top/Arts/People/P/Piscopo,_Joe", "url": "http://us.cnn.com/COMMUNITY/transcripts/2000/8/3/piscopo/"} +{"d:Title": "IMDb: Brad Pitt", "d:Description": "Complete filmography, biography with trivia and personal quotes, photographs, news articles, and related links.", "topic": "Top/Arts/People/P/Pitt,_Brad", "url": "http://imdb.com/name/nm0000093/"} +{"d:Title": "Wikipedia: Brad Pitt", "d:Description": "Open source encyclopedia with detailed information.", "topic": "Top/Arts/People/P/Pitt,_Brad", "url": "http://en.wikipedia.org/wiki/Brad_pitt"} +{"d:Title": "Box Office Mojo: Brad Pitt", "d:Description": "Charts his bankability in films. Lists release date of films with lifetime gross and opening totals", "topic": "Top/Arts/People/P/Pitt,_Brad", "url": "http://www.boxofficemojo.com/people/chart/?id=bradpitt.htm"} +{"d:Title": "Biography Channel: Brad Pitt", "d:Description": "Biography and partial list of related works.", "topic": "Top/Arts/People/P/Pitt,_Brad", "url": "http://www.biography.com/people/brad-pitt-9441989"} +{"d:Title": "CNN: Meet Brad Pitt", "d:Description": "Short interview in which the actor answers some personal questions.", "topic": "Top/Arts/People/P/Pitt,_Brad/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9811/13/brad.pitt/"} +{"d:Title": "CNN: Brad Pitt: Baby's Birth 'Imminent'", "d:Description": "Brad Pitt has told fans he cannot attend the Cannes Film Festival because of the \"imminent arrival\" of his new baby.", "topic": "Top/Arts/People/P/Pitt,_Brad/Articles_and_Interviews", "url": "http://edition.cnn.com/2006/SHOWBIZ/Movies/05/23/pitt.cannes/"} +{"d:Title": "BBC News: Brad Pitt to Adopt Jolie Children", "d:Description": "The actor applies to become the adoptive father of Angelina Jolie's two children.", "topic": "Top/Arts/People/P/Pitt,_Brad/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/entertainment/4494544.stm"} +{"d:Title": "Topix: Brad Pitt", "d:Description": "Headline links from media sources worldwide.", "topic": "Top/Arts/People/P/Pitt,_Brad/Articles_and_Interviews", "url": "http://www.topix.com/who/brad-pitt"} +{"d:Title": "Telegraph: Brad Pitt 'to Buy an Italian Vineyard'", "d:Description": "Article on the actor's alleged interest in owning a vineyard and his touring of two estates in the Italian countryside.", "topic": "Top/Arts/People/P/Pitt,_Brad/Articles_and_Interviews", "url": "http://www.telegraph.co.uk/news/worldnews/europe/italy/1514604/Brad-Pitt-to-buy-an-Italian-vineyard.html"} +{"d:Title": "Beaner's Brad Pitt Page", "d:Description": "Biography, filmography, some pictures, related links and polls.", "topic": "Top/Arts/People/P/Pitt,_Brad/Fan_Pages", "url": "http://www.bradpitt.tvheaven.com/"} +{"d:Title": "The Brad Connection", "d:Description": "Message board for fans.", "topic": "Top/Arts/People/P/Pitt,_Brad/Fan_Pages", "url": "http://members.boardhost.com/Jimbo209/"} +{"d:Title": "Cool Brad Pitt", "d:Description": "Features biography, vital statistics, filmography, picture gallery, wallpaper and multimedia files.", "topic": "Top/Arts/People/P/Pitt,_Brad/Fan_Pages", "url": "http://coolbradpitt.20m.com/"} +{"d:Title": "Simply Brad", "d:Description": "Biography with timeline, filmography, news, interviews, photograph galleries, and a fan forum.", "topic": "Top/Arts/People/P/Pitt,_Brad/Fan_Pages", "url": "http://www.simplybrad.com/"} +{"d:Title": "Brad Pitt Webring", "d:Description": "Webring for fans of the actor. Information and site listings.", "topic": "Top/Arts/People/P/Pitt,_Brad/Fan_Pages", "url": "http://www.webring.org/hub?ring=thebrad"} +{"d:Title": "Dream World of Brad Pitt", "d:Description": "Pictures, biography, and related fan information. Last updated June 2001.", "topic": "Top/Arts/People/P/Pitt,_Brad/Fan_Pages", "url": "http://bradnval.tripod.com/bradnval.html"} +{"d:Title": "Give Us Our Daily Brad", "d:Description": "Features photographs, as well as magazine and book covers.", "topic": "Top/Arts/People/P/Pitt,_Brad/Image_Galleries", "url": "http://web.tiscali.it/silviabrad/"} +{"d:Title": "TV Guide - Brad Pitt", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/P/Pitt,_Brad/Movies", "url": "http://www.tvguide.com/celebrities/brad-pitt/142557"} +{"d:Title": "IMDb: Ingrid Pitt", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/P/Pitt,_Ingrid", "url": "http://www.imdb.com/name/nm0685839/"} +{"d:Title": "Michael [dot] Pitt", "d:Description": "Biography, filmography and trivia.", "topic": "Top/Arts/People/P/Pitt,_Michael", "url": "http://www.angelfire.com/in3/mikepitt/"} +{"d:Title": "The Dana Plato Memorial Site", "d:Description": "Includes a biography, filmography, photo gallery, message board, and related links.", "topic": "Top/Arts/People/P/Plato,_Dana", "url": "http://www.sitcomsonline.com/danaplato.html"} +{"d:Title": "IMDb: Donald Pleasence", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/P/Pleasence,_Donald", "url": "http://www.imdb.com/name/nm0000587/"} +{"d:Title": "Suzanne Pleshette - More Than Emily Hartley", "d:Description": "Biography, sound clips, wallpaper, and image gallery.", "topic": "Top/Arts/People/P/Pleshette,_Suzanne", "url": "http://www.meredy.com/suzannepleshette/"} +{"d:Title": "IMDb.com: Suzanne Pleshette", "d:Description": "Filmography, biography, and trivia.", "topic": "Top/Arts/People/P/Pleshette,_Suzanne", "url": "http://www.imdb.com/name/nm0687189/"} +{"d:Title": "Infoplease.com", "d:Description": "Includes a short biography.", "topic": "Top/Arts/People/P/Plimpton,_Martha", "url": "http://www.infoplease.com/ipea/A0762212.html"} +{"d:Title": "Rio's Attic: Friends of a Phoenix", "d:Description": "Biography and information about her relationship with River Phoenix.", "topic": "Top/Arts/People/P/Plimpton,_Martha", "url": "http://www.river-phoenix.org/friends/martha-plimpton/"} +{"d:Title": "IMDb.com", "d:Description": "Includes a short biography, and a filmography of movies and television appearances.", "topic": "Top/Arts/People/P/Plimpton,_Martha", "url": "http://www.imdb.com/name/nm0000588/"} +{"d:Title": "Sidney Poitier", "d:Description": "Filmography at IMDB including links to biography, news articles, image gallery and miscellaneous sites.", "topic": "Top/Arts/People/P/Poitier,_Sidney", "url": "http://www.imdb.com/name/nm0001627/"} +{"d:Title": "IMDb: Tracy Pollan", "d:Description": "Filmography and information from the Internet Movie Database.", "topic": "Top/Arts/People/P/Pollan,_Tracy", "url": "http://www.imdb.com/name/nm0001630/"} +{"d:Title": "Sarah Polley Discussion Group", "d:Description": "A mailing list to discuss Polley's film, television, and music career.", "topic": "Top/Arts/People/P/Polley,_Sarah", "url": "http://groups.yahoo.com/group/SarahPolley"} +{"d:Title": "Sarah Polley Fan Club", "d:Description": "A Yahoo! club for discussion of Sarah's acting career.", "topic": "Top/Arts/People/P/Polley,_Sarah", "url": "http://groups.yahoo.com/group/sarahpolleyfanclub/"} +{"d:Title": "IMDb: Sarah Polley", "d:Description": "Filmography, biography, and photographs.", "topic": "Top/Arts/People/P/Polley,_Sarah", "url": "http://imdb.com/name/nm0001631/"} +{"d:Title": "IMDB: Teri Polo", "d:Description": "Filmography and photo gallery.", "topic": "Top/Arts/People/P/Polo,_Teri", "url": "http://www.imdb.com/name/nm0001632/"} +{"d:Title": "Sparklet - A Scarlett Pomers Website", "d:Description": "Includes biography, filmography, articles, and pictures.", "topic": "Top/Arts/People/P/Pomers,_Scarlett", "url": "http://sparklet.iwarp.com/"} +{"d:Title": "Scarlett's Place", "d:Description": "Includes biography, filmography, FAQ, pictures, and articles.", "topic": "Top/Arts/People/P/Pomers,_Scarlett", "url": "http://scarlettsplace.iwarp.com/"} +{"d:Title": "The Scarlett Pomers Club", "d:Description": "Discussion group at Yahoo.", "topic": "Top/Arts/People/P/Pomers,_Scarlett", "url": "http://movies.groups.yahoo.com/group/thescarlettpomersclub/"} +{"d:Title": "Scarlett's Web", "d:Description": "Includes biography, filmography, multimedia, and games.", "topic": "Top/Arts/People/P/Pomers,_Scarlett", "url": "http://www.scarlettsweb.net/"} +{"d:Title": "IMDb: Scarlett Pomers", "d:Description": "Filmography, profile, and pictures.", "topic": "Top/Arts/People/P/Pomers,_Scarlett", "url": "http://www.imdb.com/name/nm0690125/"} +{"d:Title": "IMDb: Chris Pontius", "d:Description": "Filmography, biography, photos, and agent.", "topic": "Top/Arts/People/P/Pontius,_Chris", "url": "http://www.imdb.com/name/nm0690686/"} +{"d:Title": "The Unofficial Chris Pontius Homepage", "d:Description": "Pictures, news, downloads, and biography.", "topic": "Top/Arts/People/P/Pontius,_Chris", "url": "http://members.tele2.nl/r.schreuders/pontius/"} +{"d:Title": "Carly Pope", "d:Description": "Profile, photos and links.", "topic": "Top/Arts/People/P/Pope,_Carly", "url": "http://master_linh.tripod.com/"} +{"d:Title": "The Radiance of Carly Pope", "d:Description": "Biography, filmography, articles, photos and links.", "topic": "Top/Arts/People/P/Pope,_Carly", "url": "http://www.carlypope.8k.com/"} +{"d:Title": "Carly Pope Net", "d:Description": "Pictures, biography, and filmography.", "topic": "Top/Arts/People/P/Pope,_Carly", "url": "http://carly_pope_net.tripod.com/"} +{"d:Title": "Carly Pope UK", "d:Description": "Biography, filmography, images, video clips, desktop enhancements and links.", "topic": "Top/Arts/People/P/Pope,_Carly", "url": "http://carlypopeuk.tripod.com/"} +{"d:Title": "Matthew Porretta Mania", "d:Description": "A fan site with photograph gallery, information and links to more sites.", "topic": "Top/Arts/People/P/Porretta,_Matthew", "url": "http://www.angelfire.com/fl/MatthewNo1/"} +{"d:Title": "Matthew Porrettas Robin Hood Online Fanzine", "d:Description": "Fan page with photos and information.", "topic": "Top/Arts/People/P/Porretta,_Matthew", "url": "http://www.ldynwaitin.com/naorhfanzine/"} +{"d:Title": "Matthew Porretta's Fan Site", "d:Description": "Biography, photo gallery, sound clips and fan club.", "topic": "Top/Arts/People/P/Porretta,_Matthew", "url": "http://www.ldynwaitin.com/matthew/"} +{"d:Title": "Cole Porter: The Great Sophisticate", "d:Description": "Biography of composer and lyricist Cole Porter, plus analysis of his contributions to the development of American musical comedy.", "topic": "Top/Arts/People/P/Porter,_Cole", "url": "http://www.theatrehistory.com/american/porter002.html"} +{"d:Title": "New York Times: Cole Porter", "d:Description": "Various articles on the composer and lyricist.", "topic": "Top/Arts/People/P/Porter,_Cole", "url": "http://www.nytimes.com/books/98/11/29/specials/porter.html"} +{"d:Title": "Todd's Cole Porter Page", "d:Description": "Collection of Cole Porter lyrics.", "topic": "Top/Arts/People/P/Porter,_Cole", "url": "http://www.thepeaches.com/music/composers/cole/"} +{"d:Title": "Cole Porter News: Topix", "d:Description": "News about Cole Porter continually updated from around the net.", "topic": "Top/Arts/People/P/Porter,_Cole", "url": "http://www.topix.com/who/cole-porter"} +{"d:Title": "The Cole Porter Reference Guide", "d:Description": "A chronological tour of the works of Cole Porter.", "topic": "Top/Arts/People/P/Porter,_Cole", "url": "http://www.sondheimguide.com/porter/index.html"} +{"d:Title": "American Masters - Cole Porter", "d:Description": "A brief biography.", "topic": "Top/Arts/People/P/Porter,_Cole", "url": "http://www.pbs.org/wnet/americanmasters/episodes/cole-porter/about-the-musician-and-composer/507/"} +{"d:Title": "Frank Portman", "d:Description": "The leader of pop punk group the Mr. T Experience, also known as Dr. Frank, presents his books and music, including tour and reading schedule, mp3s of selected tracks, and a personal weblog.", "topic": "Top/Arts/People/P/Portman,_Frank", "url": "http://www.frankportman.com/"} +{"d:Title": "AskMen.com: Natalie Portman", "d:Description": "Biography, ratings and links.", "topic": "Top/Arts/People/P/Portman,_Natalie", "url": "http://www.askmen.com/women/actress_60/64_natalie_portman.html"} +{"d:Title": "The Movie Times: Natalie Portman", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/P/Portman,_Natalie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?nportman"} +{"d:Title": "Topix: Natalie Portman", "d:Description": "News feed periodically updated from around the web.", "topic": "Top/Arts/People/P/Portman,_Natalie", "url": "http://www.topix.com/who/natalie-portman"} +{"d:Title": "Rotten Tomatoes: Natalie Portman", "d:Description": "Includes movie stills, reviews, trailer, news, cast and crew.", "topic": "Top/Arts/People/P/Portman,_Natalie", "url": "http://www.rottentomatoes.com/celebrity/natalie_portman/"} +{"d:Title": "Wikipedia: Natalie Portman", "d:Description": "Contains a biography, career details, filmography and awards.", "topic": "Top/Arts/People/P/Portman,_Natalie", "url": "http://en.wikipedia.org/wiki/Natalie_Portman"} +{"d:Title": "IMDb: Natalie Portman", "d:Description": "Includes biography, filmography, photos, awards, news articles, and upcoming television appearances.", "topic": "Top/Arts/People/P/Portman,_Natalie", "url": "http://www.imdb.com/name/nm0000204/"} +{"d:Title": "All Movie Guide: Natalie Portman", "d:Description": "Includes biography, filmography, and reviews.", "topic": "Top/Arts/People/P/Portman,_Natalie", "url": "http://www.allmovie.com/artist/natalie-portman-p197461"} +{"d:Title": "Undying Natalie Portman", "d:Description": "Photo gallery, biography, filmography, and links.", "topic": "Top/Arts/People/P/Portman,_Natalie/Fan_Pages", "url": "http://www.undying.com/celeb/Natalie_Portman"} +{"d:Title": "The Wonderful Natalie Portman", "d:Description": "A tribute to the actress, including biography, picture galleries, and message board.", "topic": "Top/Arts/People/P/Portman,_Natalie/Fan_Pages", "url": "http://scottieg87.tripod.com/thewonderfulnatalieportman/"} +{"d:Title": "NataliePortman.com", "d:Description": "Includes biography, news, quotes, filmography, categorized image galleries, articles, audio and video downloads, and upcoming TV appearances. [English, French, German, and Spanish]", "priority": "1", "topic": "Top/Arts/People/P/Portman,_Natalie/Fan_Pages", "url": "http://www.natalieportman.com/"} +{"d:Title": "Natali Portman Club", "d:Description": "Includes pictures, video clips, news and information.", "topic": "Top/Arts/People/P/Portman,_Natalie/Fan_Pages", "url": "http://www.natalieportmanclub.com/"} +{"d:Title": "Natalie Portman Fan Web", "d:Description": "Offers photos, profile and wallpapers.", "topic": "Top/Arts/People/P/Portman,_Natalie/Fan_Pages", "url": "http://www.celebrityfanweb.com/natalie_portman/"} +{"d:Title": "Natalie -NP- Portman", "d:Description": "Includes pictures, video clips, news and information.", "topic": "Top/Arts/People/P/Portman,_Natalie/Fan_Pages", "url": "http://skr33m.tripod.com/natalie.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, biography and trivia.", "topic": "Top/Arts/People/P/Posey,_Parker", "url": "http://www.imdb.com/name/nm0000205/"} +{"d:Title": "Markie Post", "d:Description": "Fan personal page with pictures and information.", "topic": "Top/Arts/People/P/Post,_Markie", "url": "http://www.triviatribute.com/markiepost.html"} +{"d:Title": "Thespian Net Presents Markie Post", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/P/Post,_Markie", "url": "http://www.thespiannet.com/actresses/P/post_markie/markie_post.shtml"} +{"d:Title": "IMDb: Monica Potter", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/People/P/Potter,_Monica", "url": "http://imdb.com/name/nm0005321/"} +{"d:Title": "The Movie Times: Annie Potts", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/P/Potts,_Annie", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?apotts"} +{"d:Title": "The First Unofficial Jim Poulos Site", "d:Description": "Dedicated to the actor Jim Poulos, best known for his roles in Rent and The Adventures of Tom Sawyer on Broadway", "topic": "Top/Arts/People/P/Poulos,_Jim", "url": "http://www.angelfire.com/nj/inte/jimp.html"} +{"d:Title": "JimPoulos.com - The Official Site", "d:Description": "official site for Broadway actor Jim Poulos", "topic": "Top/Arts/People/P/Poulos,_Jim", "url": "http://www.jimpoulos.com/"} +{"d:Title": "the Jimblog", "d:Description": "all Jim Poulos-related news, up to the minute!", "topic": "Top/Arts/People/P/Poulos,_Jim", "url": "http://www.lifeaskew.com/misc/camp/jimstuff.shtml"} +{"d:Title": "An Esteban Louis Powell Page", "d:Description": "Images, news and information on the actor's work.", "topic": "Top/Arts/People/P/Powell,_Esteban_Louis", "url": "http://the-grand-panjandrum.tripod.com/esteban.html"} +{"d:Title": "TV Guide - Jane Powell", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/P/Powell,_Jane", "url": "http://www.tvguide.com/celebrities/jane-powell/155787"} +{"d:Title": "IMDb: Robert Powell (I)", "d:Description": "The Internet Movie Database's biography and filmography.", "topic": "Top/Arts/People/P/Powell,_Robert", "url": "http://www.imdb.com/name/nm0694293/"} +{"d:Title": "William Powell Pages", "d:Description": "Website devoted to the star including biography, filmography, and video clips.", "topic": "Top/Arts/People/P/Powell,_William", "url": "http://themave.com/Powell/"} +{"d:Title": "Silent Era Filmography for William Powell", "d:Description": "A complete listing of the actor's silent films, with production notes on each of them.", "topic": "Top/Arts/People/P/Powell,_William", "url": "http://www.silentera.com/PSFL/filmographies/actors/Powell-William.html"} +{"d:Title": "Stefanie Powers Webring", "d:Description": "Photos, episode guides, and link to mailing list for fans.", "topic": "Top/Arts/People/P/Powers,_Stefanie", "url": "http://stefanie-powers.tripod.com/"} +{"d:Title": "Victoria Pratt's PrattPack", "d:Description": "Coverage of the latest news, pictures, and information on this actress and fitness model.", "topic": "Top/Arts/People/P/Pratt,_Vicky", "url": "http://prattpack.com/"} +{"d:Title": "The Very First Laura Prepon Web Page", "d:Description": "TV guide profile and pictures.", "topic": "Top/Arts/People/P/Prepon,_Laura", "url": "http://www.angelfire.com/ma/LauraPrepon/main.html"} +{"d:Title": "Presley's Pride", "d:Description": "Pictures, news, information, and links.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://www.presleyspride.com/"} +{"d:Title": "Lisa Marie Presley Official Website", "d:Description": "Biography, gallery, news, and audio.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://www.lisapresley.com/"} +{"d:Title": "Little Princess", "d:Description": "Biography, pictures and links.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://lmplp.tripod.com/"} +{"d:Title": "Elvis World - Lisa Marie Presley News Center", "d:Description": "News and photos.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://www.biwa.ne.jp/~presley/index-lisa.html"} +{"d:Title": "PopEntertainment.com: Lisa Marie Presley", "d:Description": "Ken Sharp talks to the singer about taking another step out of her father's shadow with her second solo album.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://www.popentertainment.com/lisamariepresley.htm"} +{"d:Title": "AskMen.com: Lisa Marie Presley", "d:Description": "Pictures, quotes and secret facts.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://www.askmen.com/toys/interview_150/180_lisa_marie_presley_interview.html"} +{"d:Title": "Lisa Marie Presley", "d:Description": "Articles, photos, information and links.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://lisamarie.elvispresley.com.au/"} +{"d:Title": "MTV: Lisa Marie Presley", "d:Description": "Biography, music clips, video clips, albums, and a message board.", "topic": "Top/Arts/People/P/Presley,_Lisa_Marie", "url": "http://www.mtv.com/artists/lisa-marie-presley/"} +{"d:Title": "Priscilla Presley", "d:Description": "Biography, images, quotes, articles and links.", "topic": "Top/Arts/People/P/Presley,_Priscilla", "url": "http://www.members.tripod.com/~PriscillaPresley/Priscilla.htm"} +{"d:Title": "Abstracts.net: Jaime Pressly", "d:Description": "Biography, news, picture resources, filmography, games, and message board for the sexy actress.", "topic": "Top/Arts/People/P/Pressly,_Jaime", "url": "http://abstracts.net/jaime-pressly/"} +{"d:Title": "mxdpi: Jaime Pressly", "d:Description": "Large selection of thumbnailed picture galleries of the actress. Also watch a slideshow and send postcards.", "topic": "Top/Arts/People/P/Pressly,_Jaime", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Jaime_Pressly"} +{"d:Title": "Thespian Net Presents Cynthia Preston", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/P/Preston,_Cynthia", "url": "http://www.thespiannet.com/actresses/P/preston_cynthia/cynthia_preston.shtml"} +{"d:Title": "Kelly Preston", "d:Description": "Collection of articles about the actress from Sun Media Corp. newspapers.", "topic": "Top/Arts/People/P/Preston,_Kelly", "url": "http://jam.canoe.com/Movies/Artists/P/Preston_Kelly/"} +{"d:Title": "Day Star", "d:Description": "Lenghty interview and pictures of the actress.", "topic": "Top/Arts/People/P/Price,_Lindsay", "url": "http://goldsea.com/Personalities/Price/price.html"} +{"d:Title": "Vincent Price", "d:Description": "Sound files for the actor.", "topic": "Top/Arts/People/P/Price,_Vincent", "url": "http://www.seahaas.com/thepit/price.html"} +{"d:Title": "Elizabeth's Vincent Price Page", "d:Description": "Movie posters and summaries of some of Vincent Price's movies.", "topic": "Top/Arts/People/P/Price,_Vincent", "url": "http://www.reelclassics.com/Actors/Price/price.htm"} +{"d:Title": "Elvis' Women: Pat Priest", "d:Description": "Includes a biography and various pictures.", "topic": "Top/Arts/People/P/Priest,_Pat", "url": "http://elviswomen.greggers.net/priestpat.htm"} +{"d:Title": "Victoria Principal", "d:Description": "Talk to other Victoria fans and read what other fans have posted.", "topic": "Top/Arts/People/P/Principal,_Victoria", "url": "http://groups.yahoo.com/group/victoriaprincipalissolovely/"} +{"d:Title": "Living Principal", "d:Description": "Learn about the actress, attitude adjustment, diet, exercise, hair care, and skin care, among other components of overall mind-body health.", "topic": "Top/Arts/People/P/Principal,_Victoria", "url": "http://www.victoriaprincipal.com/"} +{"d:Title": "Jenna's Freddie Prinze Jr. Page", "d:Description": "Pictures, interviews, articles, chat transcripts and links.", "topic": "Top/Arts/People/P/Prinze,_Freddie,_Jr.", "url": "http://www.angelfire.com/me/JennaAngelfire/"} +{"d:Title": "I Love Freddie.com", "d:Description": "A fan site with a biography, a filmography, photographs, wallpapers and a message board.", "topic": "Top/Arts/People/P/Prinze,_Freddie,_Jr.", "url": "http://www.ilovefreddie.com/"} +{"d:Title": "IMDb: Freddie Prinze, Sr.", "d:Description": "Provides brief filmography and biography for the star of Chico and the Man.", "topic": "Top/Arts/People/P/Prinze,_Freddie,_Sr.", "url": "http://www.imdb.com/name/nm0697905/"} +{"d:Title": "Emily Procter", "d:Description": "Has biography, filmography, and pictures of the actress.", "topic": "Top/Arts/People/P/Procter,_Emily", "url": "http://www.emilyprocter.com/"} +{"d:Title": "TV Guide - Emily Procter", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/P/Procter,_Emily", "url": "http://www.tvguide.com/celebrities/emily-procter/149271"} +{"d:Title": "IMDb: Emily Procter", "d:Description": "Filmography and other information about the actress.", "topic": "Top/Arts/People/P/Procter,_Emily", "url": "http://www.imdb.com/name/nm0698346/"} +{"d:Title": "TV.com-Emily Procter", "d:Description": "Biography, roles and appearances.", "topic": "Top/Arts/People/P/Procter,_Emily", "url": "http://www.tv.com/people/emily-procter/"} +{"d:Title": "The Official Greg Proops Website", "d:Description": "Biography, filmography, articles, message board, notes from the comedian himself, photographs and links.", "topic": "Top/Arts/People/P/Proops,_Greg", "url": "http://www.gregproops.com/"} +{"d:Title": "The Official Jon Provost Website", "d:Description": "Biography, filmography, recollections, photos, appearance schedule and memorabilia for the former child actor.", "topic": "Top/Arts/People/P/Provost,_Jon", "url": "http://www.jonprovost.com/"} +{"d:Title": "The Official Dave Prowse Web Site", "d:Description": "News, blog, filmography, recent and upcoming appearances, photographs, biography, and links.", "topic": "Top/Arts/People/P/Prowse,_Dave", "url": "http://www.darthvader-starwars.com/"} +{"d:Title": "IMDb: David Prowse", "d:Description": "Filmography.", "topic": "Top/Arts/People/P/Prowse,_Dave", "url": "http://www.imdb.com/name/nm0001190/"} +{"d:Title": "Richard Pryor", "d:Description": "Official site providing history, forum, sound clips and contact information.", "priority": "1", "topic": "Top/Arts/People/P/Pryor,_Richard", "url": "http://richardpryor.com/"} +{"d:Title": "IMDb: Richard Pryor", "d:Description": "Providing filmography, credits, biography and photos.", "topic": "Top/Arts/People/P/Pryor,_Richard", "url": "http://imdb.com/name/nm0001640/"} +{"d:Title": "BBC News: Comedy pioneer Pryor honoured", "d:Description": "Article about the performer receiving an award at a film premiere.", "topic": "Top/Arts/People/P/Pryor,_Richard", "url": "http://news.bbc.co.uk/1/hi/entertainment/876220.stm"} +{"d:Title": "The Bill Pullman Fan Page", "d:Description": "The ultimate site for fans of Bill to find out the latest facts, films, and photos.", "topic": "Top/Arts/People/P/Pullman,_Bill", "url": "http://billpullman.org/"} +{"d:Title": "Actor Bill Pullman", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/P/Pullman,_Bill", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?pullman"} +{"d:Title": "All-Reviews.com: Dennis Quaid", "d:Description": "Partial filmography with links to reviews.", "topic": "Top/Arts/People/Q/Quaid,_Dennis", "url": "http://www.all-reviews.com/actors-2/Dennis-Quaid.htm"} +{"d:Title": "IMDb: Dennis Quaid", "d:Description": "Includes filmography, biography, and trivia of the actor.", "topic": "Top/Arts/People/Q/Quaid,_Dennis", "url": "http://www.imdb.com/name/nm0000598/"} +{"d:Title": "Yahoo! Groups: We Love Randy Quaid", "d:Description": "Fan club with message board.", "topic": "Top/Arts/People/Q/Quaid,_Randy", "url": "http://groups.yahoo.com/group/weloverandyquaid/"} +{"d:Title": "IMDb: Randy Quaid", "d:Description": "Includes filmography, awards, mini-biography, photos, and trivia.", "topic": "Top/Arts/People/Q/Quaid,_Randy", "url": "http://www.imdb.com/name/nm0001642/"} +{"d:Title": "IMDb: Robert Quarry", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/People/Q/Quarry,_Robert", "url": "http://www.imdb.com/name/nm0702933/"} +{"d:Title": "The Philip Quast Continuum", "d:Description": "Official site includes filmography, recordings, theatre credits, articles, interviews and news.", "topic": "Top/Arts/People/Q/Quast,_Philip", "url": "http://www.empirenet.com/~wildcard/quast/index.htm"} +{"d:Title": "Philip Quast Guide, The", "d:Description": "Presents a biography, stage and screen career information, articles and reviews, recordings, news, and discussion forum.", "topic": "Top/Arts/People/Q/Quast,_Philip", "url": "http://www.allthingsquast.info/"} +{"d:Title": "IMDb: Philip Quast", "d:Description": "Biography and filmography of the actor.", "topic": "Top/Arts/People/Q/Quast,_Philip", "url": "http://www.imdb.com/name/nm0702985/"} +{"d:Title": "Quddus: Model and MTV Summer VJ", "d:Description": "Pictures, links, and a biography.", "topic": "Top/Arts/People/Q/Quddus", "url": "http://www.angelfire.com/hiphop2/quddus/index2.html"} +{"d:Title": "Queen Latifah", "d:Description": "Reviews of her first four albums from Wilson and Alroy's Record Reviews.", "topic": "Top/Arts/People/Q/Queen_Latifah", "url": "http://www.warr.org/latifah.html"} +{"d:Title": "Rock On The Net: Queen Latifah", "d:Description": "Biography, timeline, links and facts.", "topic": "Top/Arts/People/Q/Queen_Latifah", "url": "http://www.rockonthenet.com/artists-q/queenlatifah_main.htm"} +{"d:Title": "Queen Latifah News: Topix", "d:Description": "News about Queen Latifah continually updated from around the net.", "topic": "Top/Arts/People/Q/Queen_Latifah", "url": "http://www.topix.com/who/queen-latifah"} +{"d:Title": "IMDb: Queen Latifah", "d:Description": "Biography, trivia, filmography, and links.", "topic": "Top/Arts/People/Q/Queen_Latifah", "url": "http://www.imdb.com/name/nm0001451/"} +{"d:Title": "MTV: Queen Latifah", "d:Description": "Biography, discography, audio clips, reviews, and links.", "topic": "Top/Arts/People/Q/Queen_Latifah", "url": "http://www.mtv.com/artists/queen-latifah/"} +{"d:Title": "IMDb: Linnea Quigley", "d:Description": "Filmography and notable TV appearances.", "topic": "Top/Arts/People/Q/Quigley,_Linnea", "url": "http://www.imdb.com/name/nm0001643/"} +{"d:Title": "Maggie Q: Maggie Quigley Information Resource", "d:Description": "Profile, links, and image gallery.", "topic": "Top/Arts/People/Q/Quigley,_Maggie", "url": "http://www.maggiequigley.com/"} +{"d:Title": "Maggie Q Little Paradise", "d:Description": "Fan site with profile, photographs, news, and related links.", "topic": "Top/Arts/People/Q/Quigley,_Maggie", "url": "http://www.maggie-q.net/"} +{"d:Title": "Thespian Net: Kathleen Quinlan", "d:Description": "Film and television credits, pictures, and profile.", "topic": "Top/Arts/People/Q/Quinlan,_Kathleen", "url": "http://www.thespiannet.com/actresses/Q/quinlan_kathleen/index.shtml"} +{"d:Title": "IMDb: Kathleen Quinlan", "d:Description": "Includes a filmography, notable television appearances, and trivia.", "topic": "Top/Arts/People/Q/Quinlan,_Kathleen", "url": "http://www.imdb.com/name/nm0000599/"} +{"d:Title": "The Movie Times: Kathleen Quinlan", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/Q/Quinlan,_Kathleen", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?kquinlan"} +{"d:Title": "Thespian Net: Aidan Quinn", "d:Description": "Profile, film and television credits, pictures, articles, and other related links.", "topic": "Top/Arts/People/Q/Quinn,_Aidan", "url": "http://www.thespiannet.com/actors/Q/quinn_aidan/index.shtml"} +{"d:Title": "IMDb: Aidan Quinn (I)", "d:Description": "Includes a filmography and trivia of the actor.", "topic": "Top/Arts/People/Q/Quinn,_Aidan", "url": "http://www.imdb.com/name/nm0001644/"} +{"d:Title": "Thespian Net Presents Anthony Quinn", "d:Description": "Resource site with a biography, filmography, articles, and pictures.", "topic": "Top/Arts/People/Q/Quinn,_Anthony", "url": "http://www.thespiannet.com/actors/Q/quinn_anthony/index.shtml"} +{"d:Title": "IMDb: Anthony Quinn", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/People/Q/Quinn,_Anthony", "url": "http://www.imdb.com/name/nm0000063/"} +{"d:Title": "Need I Say More? Cleo's Colin Quinn Page", "d:Description": "Fan site with biography, news, photographs and links.", "topic": "Top/Arts/People/Q/Quinn,_Colin", "url": "http://members.tripod.com/cleopatra77/ColinQuinn.html"} +{"d:Title": "IMDb: Colin Quinn", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles and fan sites.", "topic": "Top/Arts/People/Q/Quinn,_Colin", "url": "http://www.imdb.com/name/nm0703800/"} +{"d:Title": "Popentertainment.com: Colin Quinn - Tough Guy", "d:Description": "Comedy Central's 'Tough Crowd' host talks with Ronald Sklar about comedy, political correctness and surviving in show biz.", "topic": "Top/Arts/People/Q/Quinn,_Colin", "url": "http://www.popentertainment.com/quinn.htm"} +{"d:Title": "AskMen.com: Colin Quinn - Irish Comic Standing", "d:Description": "Interview with the comedian about the comedy shows 'Saturday Night Live,' 'Last Comic Standing' and his latest series 'Tough Crowd.'", "topic": "Top/Arts/People/Q/Quinn,_Colin", "url": "http://askmen.com/toys/interview_60/99_colin_quinn_interview.html"} +{"d:Title": "IMDb: Glenn Quinn", "d:Description": "Complete filmography and biography, with related fan information.", "topic": "Top/Arts/People/Q/Quinn,_Glenn", "url": "http://www.imdb.com/name/nm0703849/"} +{"d:Title": "The Quivers Page", "d:Description": "Short profile, images, and related links.", "topic": "Top/Arts/People/Q/Quivers,_Robin", "url": "http://quivers.tripod.com/index.html"} +{"d:Title": "IMDb: Robin Quivers", "d:Description": "Profile, filmography, and notable television appearances.", "topic": "Top/Arts/People/Q/Quivers,_Robin", "url": "http://www.imdb.com/name/nm0704472/"} +{"d:Title": "DanRadcliffe.co.uk", "d:Description": "Contains a biography and photograph gallery.", "topic": "Top/Arts/People/R/Radcliffe,_Daniel", "url": "http://www.danradcliffe.co.uk/"} +{"d:Title": "AskMen.com - Daniel Radcliffe", "d:Description": "Includes picture, biography, commentary, voting poll and multimedia.", "topic": "Top/Arts/People/R/Radcliffe,_Daniel", "url": "http://uk.askmen.com/celebs/men/celeb_profiles_entertainment/2_daniel_radcliffe.html"} +{"d:Title": "Rotten Tomatoes: Daniel Radcliffe", "d:Description": "Filmography, photos and news.", "topic": "Top/Arts/People/R/Radcliffe,_Daniel", "url": "http://www.rottentomatoes.com/celebrity/daniel_radcliffe/"} +{"d:Title": "IMDb.com: Daniel Radcliffe", "d:Description": "Includes filmography, awards, quotes, trivia, and photos.", "topic": "Top/Arts/People/R/Radcliffe,_Daniel", "url": "http://www.imdb.com/name/nm0705356/"} +{"d:Title": "Yahoo Groups: Ingo's Paradise", "d:Description": "A place where fans can post messages.", "topic": "Top/Arts/People/R/Rademacher,_Ingo", "url": "http://groups.yahoo.com/group/ingosparadise/"} +{"d:Title": "Gilda Radner's Place", "d:Description": "A fan tribute with photos and information.", "topic": "Top/Arts/People/R/Radner,_Gilda", "url": "http://www.angelfire.com/ny3/gildaradner/"} +{"d:Title": "Gilda Radner", "d:Description": "Photos and information from Find A Grave.", "topic": "Top/Arts/People/R/Radner,_Gilda", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=848"} +{"d:Title": "Mark Raffety", "d:Description": "Fan site with a biography, image gallery, and press interviews.", "topic": "Top/Arts/People/R/Raffety,_Mark", "url": "http://chastity70.tripod.com/"} +{"d:Title": "AskMen: Aishwarya Rai", "d:Description": "Photos, biography, quotes, editors' rating, and articles.", "topic": "Top/Arts/People/R/Rai,_Aishwarya", "url": "http://www.askmen.com/celebs/women/models_150/169_aishwarya_rai.html"} +{"d:Title": "Wikipedia", "d:Description": "Article describing her early life, film career, personal life, awards, and filmography.", "topic": "Top/Arts/People/R/Rai,_Aishwarya", "url": "http://en.wikipedia.org/wiki/Aishwarya_Rai"} +{"d:Title": "Aishwarya Rai", "d:Description": "Includes a biography, pictures, and songs in RealAudio format.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://aishwarya-world.tripod.com/"} +{"d:Title": "Rit's Aishwarya Rai", "d:Description": "Features few high quality wallpaper size pictures.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://rit2com.tripod.com/"} +{"d:Title": "Aishwarya Rai's World", "d:Description": "Profile, wallpapers, screen saver, video and audio clips, and picture galleries.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://www.angelfire.com/ms/aishwarya/"} +{"d:Title": "Anand's Page for Aishwarya Rai", "d:Description": "Features profile, startup and shutdown screens, picture galleries, and wallpapers.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://www.angelfire.com/in/anayak/"} +{"d:Title": "Ash Online", "d:Description": "Includes thumbnailed galleries, wallpapers, and movie pictures.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://vortex_mp.tripod.com/ashonline.htm"} +{"d:Title": "Aishwarya Rai Paradise", "d:Description": "Pictures categorized into movies, miss world, classics, and best shots. Includes links to other pictures sites of Aishwarya and few other Bollywood actresses.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://aishwarya28.tripod.com/"} +{"d:Title": "Beauty Incarnate - Aishwarya Rai", "d:Description": "Features categorized image galleries, biography, filmography, a fan section and latest news about the actress.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://kprad.tripod.com/aishwarya"} +{"d:Title": "Aishwarya Rai - Mesmorizing the World", "d:Description": "Contains filmography, pics, bio, gossip.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://dhswnt.freeservers.com/index.htm"} +{"d:Title": "Aish Fan Club", "d:Description": "Email based discussion group. Requires Yahoo ID! and registration.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://groups.yahoo.com/group/aish_fanclub/"} +{"d:Title": "Aishwarya Rai Fan Club", "d:Description": "Email based discussion group. Requires Yahoo ID! and registration.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://movies.groups.yahoo.com/group/aishwaryafanclub/"} +{"d:Title": "Aishwarya Rai Online", "d:Description": "Includes a biography, facts, picture gallery, wallpaper, and a message board.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Fan_Pages", "url": "http://madeinatlantis.com/aishwarya_rai/"} +{"d:Title": "Aishwarya Rai", "d:Description": "Few high quality pictures and wallpapers.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Image_Galleries", "url": "http://www.bollywoodpicturesgallery.com/ash.htm"} +{"d:Title": "Aishwarya Rai", "d:Description": "Features galleries of promotional pictures and screen captures.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Image_Galleries", "url": "http://www.aishwarya-rai.com/"} +{"d:Title": "Aishwarya Rai Photo Gallery", "d:Description": "A collection of photographs.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Image_Galleries", "url": "http://mathewscaria.tripod.com/photogal/aishwarya1.htm"} +{"d:Title": "Entwagon.com : Aishwarya Rai", "d:Description": "Offers Image gallery, Profile, Biography and Filmography.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Image_Galleries", "url": "http://www.entwagon.com/celeb/Aishwarya_Rai/"} +{"d:Title": "Aishwarya's Mobile and Desktop wallpapers", "d:Description": "Offers Aishwarya Rai wallpapers.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Image_Galleries", "url": "http://bollywood.go4wallpapers.com/aishwarya_rai_360.html"} +{"d:Title": "Aishwarya Magic", "d:Description": "Collection of categorized photographs of the actress.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Image_Galleries", "url": "http://ashmagic.tripod.com/frmain.htm"} +{"d:Title": "Aishwarya Rai Wallpapers", "d:Description": "A collection of thumbnailed wallpaper images of Aishwarya and other Bollywood stars.", "topic": "Top/Arts/People/R/Rai,_Aishwarya/Image_Galleries", "url": "http://www.bollywood4u.com/wallpapers/aishwarya_rai_01.htm"} +{"d:Title": "IMDb: Ted Raimi", "d:Description": "The Internet Movie Database includes his filmography, biographical information, and links.", "topic": "Top/Arts/People/R/Raimi,_Ted", "url": "http://www.imdb.com/name/nm0001646/"} +{"d:Title": "IMDb: Ella Raines", "d:Description": "The Internet Movie Database includes biographical information, filmography, and links.", "topic": "Top/Arts/People/R/Raines,_Ella", "url": "http://www.imdb.com/name/nm0707048/"} +{"d:Title": "Meredy's Claude Rains Trivia Page", "d:Description": "Trivia questions about Rains' career.", "topic": "Top/Arts/People/R/Rains,_Claude", "url": "http://www.meredy.com/crtriv.html"} +{"d:Title": "The Sublime Claude Rains", "d:Description": "A fan site with a biography, filmography, and image gallery.", "topic": "Top/Arts/People/R/Rains,_Claude", "url": "http://www.meredy.com/clauderains/"} +{"d:Title": "Claude Rains", "d:Description": "Photographs of Rains and his grave, brief biography, information on cause of death, and interactive visitor comments from Find A Grave.", "topic": "Top/Arts/People/R/Rains,_Claude", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=850"} +{"d:Title": "Elizabeth's Claude Rains Page", "d:Description": "Profile with pictures and movie posters.", "topic": "Top/Arts/People/R/Rains,_Claude", "url": "http://www.reelclassics.com/Actors/Rains/rains.htm"} +{"d:Title": "IMDb: Claude Rains", "d:Description": "Filmography, trivia, and links.", "topic": "Top/Arts/People/R/Rains,_Claude", "url": "http://www.imdb.com/name/nm0001647/"} +{"d:Title": "Admirers of Gregg Rainwater", "d:Description": "A place where fans can show their support.", "topic": "Top/Arts/People/R/Rainwater,_Gregg", "url": "http://www.angelfire.com/ca4/workingman/"} +{"d:Title": "Yahoo Groups Natalie Raitano", "d:Description": "Provides links, pictures, message board, has thumbnails.", "topic": "Top/Arts/People/R/Raitano,_Natalie", "url": "http://groups.yahoo.com/group/natalieraitanopics/"} +{"d:Title": "Natalie Raitano", "d:Description": "Fan site includes brief biography, pictures, and chat transcripts.", "topic": "Top/Arts/People/R/Raitano,_Natalie", "url": "http://cwalker1.tripod.com/vip.html"} +{"d:Title": "Internet Movie Database: Mary Lynn Rajskub", "d:Description": "List of film and television credits, pictures and articles.", "topic": "Top/Arts/People/R/Rajskub,_Mary_Lynn", "url": "http://www.imdb.com/name/nm0707476/"} +{"d:Title": "Yahoo!Groups: christopher-ralph", "d:Description": "Newsletter sent out weekly with information about the actor.", "topic": "Top/Arts/People/R/Ralph,_Christopher", "url": "http://groups.yahoo.com/group/christopher-ralph"} +{"d:Title": "Scholastic: Behind the Scenes", "d:Description": "Interview with Christopher and a chat transcript.", "topic": "Top/Arts/People/R/Ralph,_Christopher", "url": "http://www.scholastic.com/animorphs/characters/yearbook_tobias.htm"} +{"d:Title": "The Christopher Ralph Website", "d:Description": "Fan site with information, downloads, multimedia, and news.", "topic": "Top/Arts/People/R/Ralph,_Christopher", "url": "http://christopher-ralph.com/"} +{"d:Title": "IMDb: Christopher Ralph", "d:Description": "Christopher's listing at the Internet Movie Database. Contains links and a brief filmography.", "topic": "Top/Arts/People/R/Ralph,_Christopher", "url": "http://www.imdb.com/name/nm0707761/"} +{"d:Title": "TV.com: Christopher Ralph", "d:Description": "Filmography, biographical information and links to related sites.", "topic": "Top/Arts/People/R/Ralph,_Christopher", "url": "http://www.tv.com/people/christopher-ralph/"} +{"d:Title": "Wikipedia - Dack Rambo", "d:Description": "Provides biography, links and a photo.", "topic": "Top/Arts/People/R/Rambo,_Dack", "url": "http://en.wikipedia.org/wiki/Dack_Rambo"} +{"d:Title": "IMDb - Dack Rambo", "d:Description": "Features photos, filmography, discussions, biography, news, awards, agent and links.", "topic": "Top/Arts/People/R/Rambo,_Dack", "url": "http://www.imdb.com/name/nm0708105/"} +{"d:Title": "The Dack Rambo Memorial Tribute", "d:Description": "A fan site with a biography, filmography, quotes, and photographs.", "topic": "Top/Arts/People/R/Rambo,_Dack", "url": "http://dackrambo.synthasite.com/"} +{"d:Title": "Dack Rambo's Brave New World : People.com", "d:Description": "Article about being HIV positive and out of the Closet.", "topic": "Top/Arts/People/R/Rambo,_Dack", "url": "http://www.people.com/people/archive/article/0,,20112643,00.html"} +{"d:Title": "P Ramlee", "d:Description": "Fan site includes sound files and lyrics for the Malaysian artist.", "topic": "Top/Arts/People/R/Ramlee,_P.", "url": "http://www.p-ramlee.com/"} +{"d:Title": "The Avengers Forever: Charlotte Rampling", "d:Description": "Photograph and guest actor biography.", "topic": "Top/Arts/People/R/Rampling,_Charlotte", "url": "http://theavengers.tv/forever/pnote-rampling.htm"} +{"d:Title": "The Charlotte Rampling Website", "d:Description": "This site is dedicated to the life and work of the English actress Charlotte Rampling.", "topic": "Top/Arts/People/R/Rampling,_Charlotte", "url": "http://www.charlotterampling.net/"} +{"d:Title": "Charlotte Rampling - Wikipedia", "d:Description": "Acticle in open online encyclopedia.", "topic": "Top/Arts/People/R/Rampling,_Charlotte", "url": "http://en.wikipedia.org/wiki/Charlotte_Rampling"} +{"d:Title": "IMDb: Charlotte Rampling", "d:Description": "Biography, filmography, awards and photos.", "topic": "Top/Arts/People/R/Rampling,_Charlotte", "url": "http://www.imdb.com/name/nm0001648/"} +{"d:Title": "Mike Randall", "d:Description": "Has received rave reviews in his one-man portrayal of \"Mark Twain Live!\" and continues to perform for Corporate groups, theatres, schools, colleges and historical organizations.", "topic": "Top/Arts/People/R/Randall,_Mike", "url": "http://www.marktwainlive.com/"} +{"d:Title": "Thespian Net Presents Stacie Randall", "d:Description": "Filmography, a photograph and links.", "topic": "Top/Arts/People/R/Randall,_Stacie", "url": "http://www.thespiannet.com/actresses/R/randall_stacie/"} +{"d:Title": "IMDb: Chris Rankin", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Rankin,_Chris", "url": "http://www.imdb.com/name/nm0710242/"} +{"d:Title": "The Michael Rapaport Website", "d:Description": "Fan site with biography, filmography, photo gallery, message board, and links.", "topic": "Top/Arts/People/R/Rapaport,_Michael", "url": "http://www.michaelrapaport.tumblr.com/"} +{"d:Title": "Anthony Rapp...'Nuff Said", "d:Description": "Fan site with profile, guitar tablature, and pictures.", "topic": "Top/Arts/People/R/Rapp,_Anthony", "url": "http://www.angelfire.com/musicals/Arapp/"} +{"d:Title": "IMDb: Sheeri Rappaport", "d:Description": "The Internet Movie Database includes her filmography, a mini biography, and links.", "topic": "Top/Arts/People/R/Rappaport,_Sheeri", "url": "http://www.imdb.com/name/nm0005338/"} +{"d:Title": "Basil Rathbone on IMDb", "d:Description": "Complete listing of the actor's film appearances and a brief biography.", "topic": "Top/Arts/People/R/Rathbone,_Basil", "url": "http://www.imdb.com/name/nm0001651/"} +{"d:Title": "Basil Rathbone: His Life and His Films", "d:Description": "Biography and list of Sherlock Holmes films the actor performed in.", "topic": "Top/Arts/People/R/Rathbone,_Basil", "url": "http://pw1.netcom.com/~druxy/basil/index.html"} +{"d:Title": "The Rat Pack Special", "d:Description": "Provides biographies, pictures, and news updates.", "topic": "Top/Arts/People/R/Rat_Pack,_The", "url": "http://home.arcor.de/deanmartin/ratpack_index.htm"} +{"d:Title": "Topix: The Rat Pack", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/R/Rat_Pack,_The", "url": "http://www.topix.com/who/the-rat-pack"} +{"d:Title": "Topix: The Rat Pack", "d:Description": "News about The Rat Pack, collected from various sources on the web.", "topic": "Top/Arts/People/R/Rat_Pack,_The", "url": "http://www.topix.com/rss/who/the-rat-pack.xml"} +{"d:Title": "Rat Pack Tribute", "d:Description": "Contains set list, sound clips, photos, and information about the originals. Based out of the United Kingdom.", "topic": "Top/Arts/People/R/Rat_Pack,_The/Tribute_Bands", "url": "http://www.ratpack.biz/"} +{"d:Title": "Terry Thompson Productions: Rat Pack Revue", "d:Description": "Includes a description of the show and song clips. Based in the United States.", "topic": "Top/Arts/People/R/Rat_Pack,_The/Tribute_Bands", "url": "http://www.thompsonproductions.com/ratpack.html"} +{"d:Title": "Rat Pack Singer: Tom Rust", "d:Description": "Vocalist available with a jazz quartet, orchestra or solo. Site contains MP3s and movies for download, contact information, and photo gallery. Based out of the United Kingdom.", "topic": "Top/Arts/People/R/Rat_Pack,_The/Tribute_Bands", "url": "http://www.theratpacksinger.co.uk/"} +{"d:Title": "Every Day with Rachael Ray", "d:Description": "Rachael Ray's cooking tips and 30 Minute Meal recipes. Also travel, party and food ideas straight out of the Every Day with Rachael Ray magazine.", "topic": "Top/Arts/People/R/Ray,_Rachael", "url": "http://www.rachaelraymag.com/"} +{"d:Title": "All Things Rachael Ray", "d:Description": "Rachael Ray's TV shows, recipes, magazine, and books.", "priority": "1", "topic": "Top/Arts/People/R/Ray,_Rachael", "url": "http://www.rachaelray.com/"} +{"d:Title": "Rachael Ray Show", "d:Description": "Site for the daytime show. Tour the set and see bloopers.", "topic": "Top/Arts/People/R/Ray,_Rachael", "url": "http://www.rachaelrayshow.com/"} +{"d:Title": "Wikipedia: Rachael Ray", "d:Description": "Background information including media criticism, personal, cooking and books and history.", "topic": "Top/Arts/People/R/Ray,_Rachael", "url": "http://en.wikipedia.org/wiki/Rachael_Ray"} +{"d:Title": "Food Network; Rachael Ray", "d:Description": "Learn more about TV chef Rachael Ray, on Food Network.", "topic": "Top/Arts/People/R/Ray,_Rachael", "url": "http://www.foodnetwork.com/rachael-ray/index.html"} +{"d:Title": "Slate Magazine: In Defense of Rachael Ray", "d:Description": "Article by Jill Hunter Pellettieri.", "topic": "Top/Arts/People/R/Ray,_Rachael", "url": "http://www.slate.com/articles/life/food/2005/07/rachael_ray.html"} +{"d:Title": "TIME.com: Rachael Ray", "d:Description": "Article by Mario Batali.", "topic": "Top/Arts/People/R/Ray,_Rachael", "url": "http://content.time.com/time/specials/packages/article/0,28804,1975813_1975838_1976219,00.html"} +{"d:Title": "Stephen Rea: A New Appreciation", "d:Description": "Pictures, video clips, and information on this Irish actor's film, television, and theatre performances.", "topic": "Top/Arts/People/R/Rea,_Stephen", "url": "http://www.stephenrea.net/"} +{"d:Title": "IMDb: Stephen Rea (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Rea,_Stephen", "url": "http://www.imdb.com/name/nm0001653/"} +{"d:Title": "IMDb: James Read", "d:Description": "Presents facts and a filmography.", "topic": "Top/Arts/People/R/Read,_James", "url": "http://www.imdb.com/name/nm0713832/"} +{"d:Title": "Robert Redford - Sent From Heaven", "d:Description": "A fan site with news, a biography, filmography, image gallery, quotes, press articles and interviews.", "topic": "Top/Arts/People/R/Redford,_Robert", "url": "http://www.angelfire.com/realm/robertredford/"} +{"d:Title": "TV and Movie Trivia Tribute - Robert Redford", "d:Description": "Contains photos and trivia.", "topic": "Top/Arts/People/R/Redford,_Robert", "url": "http://www.triviatribute.com/robertredford.html"} +{"d:Title": "Robert Redford", "d:Description": "Filmography as actor, producer, and director, awards, biography, agent, discussions, photos, news, and links from the Internet Movie Database.", "topic": "Top/Arts/People/R/Redford,_Robert", "url": "http://imdb.com/name/nm0000602/"} +{"d:Title": "Robert Redford News: Topix", "d:Description": "News about Robert Redford continually updated from around the net.", "topic": "Top/Arts/People/R/Redford,_Robert", "url": "http://www.topix.com/who/robert-redford"} +{"d:Title": "Robert Redford Forum", "d:Description": "Forum for Robert Redford fans and a place to learn more about Redford.", "topic": "Top/Arts/People/R/Redford,_Robert", "url": "http://rebecca1960.proboards.com/"} +{"d:Title": "Filmbug.com: Robert Redford", "d:Description": "Biography, movies, facts, and links.", "topic": "Top/Arts/People/R/Redford,_Robert", "url": "http://www.filmbug.com/db/878"} +{"d:Title": "Astrocartography of Vanessa Redgrave", "d:Description": "Biography of the actress and activist, focus on how the planetary metaphors of Saturn and Uranus were reflected in her life and work, by astrocartographer Rob Couteau.", "topic": "Top/Arts/People/R/Redgrave,_Vanessa", "url": "http://www.dominantstar.com/b_red.htm"} +{"d:Title": "Vanessa Redgrave", "d:Description": "Biography and photos.", "topic": "Top/Arts/People/R/Redgrave,_Vanessa", "url": "http://www.tmaw.co.uk/vanessar.html"} +{"d:Title": "Vanessa Redgrave News: Topix", "d:Description": "News about Vanessa Redgrave continually updated from around the net.", "topic": "Top/Arts/People/R/Redgrave,_Vanessa", "url": "http://www.topix.com/who/vanessa-redgrave"} +{"d:Title": "TalkTalk: Vanessa Redgrave", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/R/Redgrave,_Vanessa", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/vanessa-redgrave/biography/130"} +{"d:Title": "Vanessa Redgrave", "d:Description": "A fan site with photos, links, and information.", "topic": "Top/Arts/People/R/Redgrave,_Vanessa", "url": "http://britwish.tripod.com/vredgrave.html"} +{"d:Title": "IMDb: Vanessa Redgrave", "d:Description": "Biography, filmography, awards and photographs.", "topic": "Top/Arts/People/R/Redgrave,_Vanessa", "url": "http://www.imdb.com/name/nm0000603/"} +{"d:Title": "All Movie Guide: Donna Reed", "d:Description": "Biographical sketch and filmography.", "topic": "Top/Arts/People/R/Reed,_Donna", "url": "http://www.allmovie.com/artist/donna-reed-p59251"} +{"d:Title": "BBC News: Oliver Reed: The original hellraiser", "d:Description": "Oliver Reed - the prolific actor better known for his drinking binges - dies aged 61.", "topic": "Top/Arts/People/R/Reed,_Oliver", "url": "http://news.bbc.co.uk/1/hi/uk/334066.stm"} +{"d:Title": "Yahoo! Movies: Oliver Reed", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/R/Reed,_Oliver", "url": "http://movies.yahoo.com/person/oliver-reed/"} +{"d:Title": "The Observer: Famous drinkers - Oliver Reed", "d:Description": "Brief biography.", "topic": "Top/Arts/People/R/Reed,_Oliver", "url": "http://www.theguardian.com/lifeandstyle/2003/nov/09/foodanddrink.features10"} +{"d:Title": "IMDb: Oliver Reed", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/People/R/Reed,_Oliver", "url": "http://www.imdb.com/name/nm0001657/"} +{"d:Title": "Norman Reedus and Such", "d:Description": "A fan site with a filmography, press articles, pictures, and news.", "topic": "Top/Arts/People/R/Reedus,_Norman", "url": "http://texaschild1029.tripod.com/"} +{"d:Title": "BBC News: Obituary: Christopher Reeve", "d:Description": "BBC News Online looks back on the life of actor Christopher Reeve, who has died at the age of 52.", "topic": "Top/Arts/People/R/Reeve,_Christopher", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3732462.stm"} +{"d:Title": "Christopher Reeve Paralysis Foundation", "d:Description": "Funds research that develops treatments and cures for paralysis caused by spinal cord injury and other central nervous system disorders. Includes a biography of its founder Christopher Reeve.", "topic": "Top/Arts/People/R/Reeve,_Christopher", "url": "http://www.christopherreeve.org/"} +{"d:Title": "Christopher Reeve Homepage", "d:Description": "A fan site with news, a biography, fundraising information, film reviews, picture gallery, speech and chat transcripts, e-postcards, FAQ, message board, links, and the actor's contact information.", "topic": "Top/Arts/People/R/Reeve,_Christopher", "url": "http://www.chrisreevehomepage.com/"} +{"d:Title": "Christopher Reeve News: Topix", "d:Description": "News about Christopher Reeve continually updated from around the net.", "topic": "Top/Arts/People/R/Reeve,_Christopher", "url": "http://www.topix.com/who/christopher-reeve"} +{"d:Title": "George Reeves", "d:Description": "A tribute to George Reeves (Superman) and his mysterious death in 1959.", "topic": "Top/Arts/People/R/Reeves,_George", "url": "http://www.angelfire.com/fl/JackCraig/SUPERMAN1.html"} +{"d:Title": "JAB's Interviews for PREMIERE", "d:Description": "Interview from Friday, April 23, 1999.", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://jabondy.free.fr/267Matrix/1UK.html"} +{"d:Title": "Keanu Reeves Learns Kung Fu", "d:Description": "Keanu Reeves learned all those flashy Kung Fu moves in the Matrix from the master blaster of Hong Kong chop-and-sock cinematography, Yuen Wo-ping.", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://www.gluckman.com/Matrix.htm"} +{"d:Title": "Thespian Net: Keanu Reeves", "d:Description": "Includes a biography, filmography and links.", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://www.thespiannet.com/actors/R/reeves_keanu/"} +{"d:Title": "The Movie Times: Keanu Reeves", "d:Description": "Box office information of all his movies, biography, links, and pictures.", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?kreeves"} +{"d:Title": "Yahoo! Groups: KeanuVillage", "d:Description": "Discuss and share news and photos. [Free membership required]", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://movies.groups.yahoo.com/group/KeanuVillage/"} +{"d:Title": "Topix: Keanu Reeves", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://www.topix.com/who/keanu-reeves"} +{"d:Title": "The Keanu Reeves Ring of Fire", "d:Description": "This webring joins sites devoted to the actor.", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://www.webring.org/hub?ring=keanuring"} +{"d:Title": "Canoe: Keanu Reeves", "d:Description": "An archive of entertainment articles about the actor.", "topic": "Top/Arts/People/R/Reeves,_Keanu", "url": "http://jam.canoe.com/Movies/Artists/R/Reeves_Keanu/"} +{"d:Title": "Heather's All Keanu Page", "d:Description": "Features information on his movies and his band.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Fan_Pages", "url": "http://members.tripod.com/~Maggie_jo/allkeanu.html"} +{"d:Title": "Keanu Addiction", "d:Description": "Includes FAQ, biography, filmography, news, quotes, images, and links.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Fan_Pages", "url": "http://www.angelfire.com/nm/keanu/Splash.html"} +{"d:Title": "Keanu Reeves", "d:Description": "Features filmography, news, pictures, articles and links.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Fan_Pages", "url": "http://www.angelfire.com/ms/feelingminnesota/"} +{"d:Title": "KeanuZine", "d:Description": "Your Online Keanu Magazine. Editorials and Keanu movie reviews by fans for fans.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Fan_Pages", "url": "http://www.angelfire.com/celeb/keanuzine/"} +{"d:Title": "Zara Maria's Keanuland", "d:Description": "Includes a biography, filmography, and pictures.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Fan_Pages", "url": "http://www.angelfire.com/md/keanuland/"} +{"d:Title": "999 Squares", "d:Description": "Featuring news, a gallery with rare Japanese images, filmography and links.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Fan_Pages", "url": "http://www.999.squares.net/"} +{"d:Title": "Keanu Reeves Picture Page", "d:Description": "Image gallery and links to other sites.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Image_Galleries", "url": "http://www.angelfire.com/film/keanu/"} +{"d:Title": "Celebrities-Pictures.com: Keanu Reeves", "d:Description": "Gallery of pictures, wallpapers, and screensavers.", "topic": "Top/Arts/People/R/Reeves,_Keanu/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/keanu%20reeves"} +{"d:Title": "Steve Reeves: The Ultimate Hercules", "d:Description": "Contains a biography and photographs.", "topic": "Top/Arts/People/R/Reeves,_Steve", "url": "http://www.briansdriveintheater.com/stevereeves.html"} +{"d:Title": "NativeCelebs: Steve Reevis", "d:Description": "Features a biography, pictures, and links.", "topic": "Top/Arts/People/R/Reevis,_Steve", "url": "http://www.nativecelebs.com/profiles/steve_reevis.htm"} +{"d:Title": "Duncan Regehr Fan Club and Official Website", "d:Description": "Information on film, tv, art, and appearances.", "topic": "Top/Arts/People/R/Regehr,_Duncan", "url": "http://www.duncanregehr.com/"} +{"d:Title": "IMDb.com: Tara Reid", "d:Description": "Provides filmography, biography, and pictures.", "topic": "Top/Arts/People/R/Reid,_Tara", "url": "http://www.imdb.com/name/nm0005346/"} +{"d:Title": "Film Unlimited: Triumphant Loser", "d:Description": "Interview with the actor about his role in Magnolia, working with Paul Thomas Anderson, and the role of randomness in everyday life.", "topic": "Top/Arts/People/R/Reilly,_John_C.", "url": "http://www.theguardian.com/film/2000/mar/11/2"} +{"d:Title": "Guardian Unlimited Film: The real star of Magnolia, John C. Reilly", "d:Description": "Article about \"Magnolia\" and some of Reilly's previous films. Includes some biographical information.", "topic": "Top/Arts/People/R/Reilly,_John_C.", "url": "http://www.theguardian.com/film/2000/feb/27/comment.akinojumu"} +{"d:Title": "IMDb: John C. Reilly (I)", "d:Description": "Filmography, trivia, photo gallery.", "topic": "Top/Arts/People/R/Reilly,_John_C.", "url": "http://www.imdb.com/name/nm0000604/"} +{"d:Title": "The Story of Us: Rob Reiner", "d:Description": "Short profile of his work.", "topic": "Top/Arts/People/R/Reiner,_Rob", "url": "http://www.thestoryofus.net/filmmakers.html"} +{"d:Title": "IMDb: Rob Reiner", "d:Description": "Profile, filmography, pictures, news and related information.", "topic": "Top/Arts/People/R/Reiner,_Rob", "url": "http://www.imdb.com/name/nm0001661/"} +{"d:Title": "Ray Reinhardt (I)", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/R/Reinhardt,_Ray", "url": "http://www.imdb.com/name/nm0718126/"} +{"d:Title": "The Movie Times: Judge Reinhold", "d:Description": "Contains box office information and a message board.", "topic": "Top/Arts/People/R/Reinhold,_Judge", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?judge"} +{"d:Title": "IMDB.com: Judge Reinhold", "d:Description": "Includes a listing of films the actor has appeared in and a biography.", "topic": "Top/Arts/People/R/Reinhold,_Judge", "url": "http://www.imdb.com/name/nm0001662/"} +{"d:Title": "Paul Reiser", "d:Description": "Includes filmography, awards, mini-biography, trivia, and photos.", "topic": "Top/Arts/People/R/Reiser,_Paul", "url": "http://www.imdb.com/name/nm0001663/"} +{"d:Title": "James Remar", "d:Description": "A fan site with a profile and photographs.", "topic": "Top/Arts/People/R/Remar,_James", "url": "http://www.angelfire.com/tv2/jremar/"} +{"d:Title": "IMDb: James Remar", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Remar,_James", "url": "http://www.imdb.com/name/nm0001664/"} +{"d:Title": "The Remick Galleries", "d:Description": "A fan site with photos, articles, sounds, and links.", "topic": "Top/Arts/People/R/Remick,_Lee", "url": "http://www.littlereview.com/remick/remick.htm"} +{"d:Title": "IMDb: Leah Remini", "d:Description": "Filmography, pictures, trivia, and links.", "topic": "Top/Arts/People/R/Remini,_Leah", "url": "http://www.imdb.com/name/nm0718957/"} +{"d:Title": "IMDb: Richie Ren", "d:Description": "Richie Ren's filmography and profile at the Internet Movie Database.", "topic": "Top/Arts/People/R/Ren,_Richie", "url": "http://www.imdb.com/name/nm0719104/"} +{"d:Title": "Brad Renfro Homepage by Venny", "d:Description": "Fan's personal page.", "topic": "Top/Arts/People/R/Renfro,_Brad", "url": "http://www.angelfire.com/nt/renfro/"} +{"d:Title": "The Brad Renfro Zone", "d:Description": "A fan site with news, film information, biography, and photographs.", "topic": "Top/Arts/People/R/Renfro,_Brad", "url": "http://www.angelfire.com/tn/bradrenfro/"} +{"d:Title": "IMDb: Jeremy Renner", "d:Description": "Filmography, personal data and discussion boards.", "topic": "Top/Arts/People/R/Renner,_Jeremy", "url": "http://www.imdb.com/name/nm0719637/"} +{"d:Title": "The Jean Reno's Tribute", "d:Description": "Includes news, quotes, lookalikes, commercial and movie trailer video clips, and photo galleries.", "topic": "Top/Arts/People/R/Reno,_Jean", "url": "http://jean.reno.free.fr/"} +{"d:Title": "The World of Jean Reno", "d:Description": "Contains news, photo collection, sounds, videos, interviews and related links and information.", "topic": "Top/Arts/People/R/Reno,_Jean", "url": "http://worldofjeanreno.tripod.com/"} +{"d:Title": "Yahoo! Groups: Jean Reno Fan Club", "d:Description": "Mailing list for fans.", "topic": "Top/Arts/People/R/Reno,_Jean", "url": "http://groups.yahoo.com/group/jeanrenofanclub/"} +{"d:Title": "Japander.com", "d:Description": "Stills and video clips of the actor's appearances in a number of Japanese commercials.", "topic": "Top/Arts/People/R/Reno,_Jean", "url": "http://www.japander.com/japander/reno.htm"} +{"d:Title": "IMDb.com - Jean Reno", "d:Description": "Includes information and filmography.", "topic": "Top/Arts/People/R/Reno,_Jean", "url": "http://www.imdb.com/name/nm0000606/"} +{"d:Title": "The Jean Reno Page", "d:Description": "Includes pictures, audio clips, history, biography, and links.", "topic": "Top/Arts/People/R/Reno,_Jean", "url": "http://jeanreno.tripod.com/"} +{"d:Title": "Canoe.ca - Jean Reno", "d:Description": "Collection of articles from Canadian papers.", "topic": "Top/Arts/People/R/Reno,_Jean", "url": "http://jam.canoe.com/Movies/Artists/R/Reno_Jean/"} +{"d:Title": "Grudge Match: Pee-Wee Herman vs. Gilligan", "d:Description": "A fantasy match between the two. [Humour]", "topic": "Top/Arts/People/R/Reubens,_Paul", "url": "http://www.grudge-match.com/History/peewee-gilligan.shtml"} +{"d:Title": "Simon Rex: Heaven in His Eyes", "d:Description": "Profiles the actor, who plays 'Mikey' from Jack and Jill. Features exclusive pictures, information, and credits.", "topic": "Top/Arts/People/R/Rex,_Simon", "url": "http://www.angelfire.com/in2/simonrex/"} +{"d:Title": "Burt Reynolds' Hollywood", "d:Description": "Los Angeles locations related to the actor's life and career.", "topic": "Top/Arts/People/R/Reynolds,_Burt", "url": "http://seeing-stars.com/StarIndexes/BurtReynolds.shtml"} +{"d:Title": "IMDb: Burt Reynolds (I)", "d:Description": "Filmography, television appearances, biography, and photographs.", "topic": "Top/Arts/People/R/Reynolds,_Burt", "url": "http://www.imdb.com/name/nm0000608/"} +{"d:Title": "Filmbug: Burt Reynolds", "d:Description": "Biography, movies, forum, and links.", "topic": "Top/Arts/People/R/Reynolds,_Burt", "url": "http://www.filmbug.com/db/2866"} +{"d:Title": "The Debbie Reynolds Page", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/People/R/Reynolds,_Debbie", "url": "http://gloriawalters.tripod.com/Debbiesite/"} +{"d:Title": "Class Act: Debbie Reynolds", "d:Description": "Contains a brief biography, filmography with movie reviews, and pictures.", "topic": "Top/Arts/People/R/Reynolds,_Debbie", "url": "http://www.classicmoviemusicals.com/reynolds.htm"} +{"d:Title": "Yahoo! Groups: Debbie Reynolds", "d:Description": "Fan club site containing photos, links, calendar of events and chat room.", "topic": "Top/Arts/People/R/Reynolds,_Debbie", "url": "http://groups.yahoo.com/group/debbiereynoldsonlinefanclub/"} +{"d:Title": "Reel Classics: Debbie Reynolds", "d:Description": "Contains a biography, photographs, quotes, and MP3 files.", "topic": "Top/Arts/People/R/Reynolds,_Debbie", "url": "http://reelclassics.com/Actresses/Reynolds/reynolds.htm"} +{"d:Title": "Ryan Reynolds HomePage", "d:Description": "News, biography, and filmography.", "topic": "Top/Arts/People/R/Reynolds,_Ryan", "url": "http://www.angelfire.com/me2/ryanrodneyreynolds/"} +{"d:Title": "The Un-Official Ryan Reynolds Home Page", "d:Description": "Fan's personal page with pictures and information.", "topic": "Top/Arts/People/R/Reynolds,_Ryan", "url": "http://members.tripod.com/~Ryan_Reynolds/ryan.html"} +{"d:Title": "My Ryan Reynolds Page", "d:Description": "Berg on Two Guys, a Girl, and a Pizza Place.", "topic": "Top/Arts/People/R/Reynolds,_Ryan", "url": "http://ryanreynolds.itgo.com/main.htm"} +{"d:Title": "IMDb.com Ryan Reynolds (I)", "d:Description": "Features a list of acting credits, biography, and photographs.", "topic": "Top/Arts/People/R/Reynolds,_Ryan", "url": "http://www.imdb.com/name/nm0005351/"} +{"d:Title": "IMDb: Ving Rhames", "d:Description": "Includes filmography, awards, trivia, quotes, photos, and news articles.", "topic": "Top/Arts/People/R/Rhames,_Ving", "url": "http://www.imdb.com/name/nm0000609/"} +{"d:Title": "TVGuide.com: Caroline Rhea", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/R/Rhea,_Caroline", "url": "http://www.tvguide.com/celebrities/caroline-rhea/195066"} +{"d:Title": "IMDb: Caroline Rhea", "d:Description": "A filmography and trivia.", "topic": "Top/Arts/People/R/Rhea,_Caroline", "url": "http://www.imdb.com/name/nm0005352/"} +{"d:Title": "Caroline Rhea Fan Club Home Page, The", "d:Description": "A biography, fan club information and links.", "topic": "Top/Arts/People/R/Rhea,_Caroline", "url": "http://rheafan.tripod.com/"} +{"d:Title": "Ashlie Rhey", "d:Description": "Official site with pictures, biography, filmography, contact information, wallpapers and links.", "topic": "Top/Arts/People/R/Rhey,_Ashlie", "url": "http://www.ashlierhey.com/"} +{"d:Title": "IMDb: Ashlie Rhey", "d:Description": "Filmography and television schedule.", "topic": "Top/Arts/People/R/Rhey,_Ashlie", "url": "http://www.imdb.com/name/nm0722269/"} +{"d:Title": "IMDb: Julian Rhind-Tutt", "d:Description": "Filmography, trivia, a message board and links.", "topic": "Top/Arts/People/R/Rhind-Tutt,_Julian", "url": "http://imdb.com/name/nm0722279/"} +{"d:Title": "Julian Rhind-Tutt", "d:Description": "Fan page containing a biography, photographs, articles, a message board and links.", "topic": "Top/Arts/People/R/Rhind-Tutt,_Julian", "url": "http://www.julianrhind-tutt.co.uk/"} +{"d:Title": "Guardian: Winging It", "d:Description": "Interview conducted by Zoe Williams.", "topic": "Top/Arts/People/R/Rhind-Tutt,_Julian", "url": "http://www.theguardian.com/stage/2006/mar/18/comedy"} +{"d:Title": "Rotten Tomatoes: Julian Rhind-Tutt", "d:Description": "Includes a filmography with ratings, news, and message board.", "topic": "Top/Arts/People/R/Rhind-Tutt,_Julian", "url": "http://www.rottentomatoes.com/celebrity/julian_rhindtutt/"} +{"d:Title": "Jonathan Rhodes", "d:Description": "The official website. Jonathan Rhodes is the UK's number one rising star of the film and theatre world.", "topic": "Top/Arts/People/R/Rhodes,_Jonathan", "url": "http://www.jonathanrhodes.com/"} +{"d:Title": "The Unofficial Matthew Rhys Web Site", "d:Description": "About Matthew Rhys, Demetrius in Titus and Benjamin in the London production of The Graduate.", "topic": "Top/Arts/People/R/Rhys,_Matthew", "url": "http://www.angelfire.com/celeb/mattrhys/"} +{"d:Title": "TheOneRing.net: John Rhys-Davies", "d:Description": "Profile, photos, quotes and links.", "topic": "Top/Arts/People/R/Rhys-Davies,_John", "url": "http://www.theonering.net/movie/cast/rhys-davies.html"} +{"d:Title": "John Rhys Davies&the Fansite of Doom", "d:Description": "A fan site with biography, photographs, fan art, and sound clips and WAV format.", "topic": "Top/Arts/People/R/Rhys-Davies,_John", "url": "http://members.shaw.ca/johnrhysdavies/"} +{"d:Title": "TVGuide.com: John Rhys-Davies", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/R/Rhys-Davies,_John", "url": "http://www.tvguide.com/celebrities/john-rhys-davies/158261"} +{"d:Title": "John Rhys-Davies", "d:Description": "Provides pictures, biography, and filmography at IMDb.", "topic": "Top/Arts/People/R/Rhys-Davies,_John", "url": "http://www.imdb.com/name/nm0722636/"} +{"d:Title": "JRM fansite", "d:Description": "A fansite with biography, filmography, up-to-date news, photo galleries (with childhood photos), press clippings, and discussion forum. Unofficial, but the actor's management refers fans here.", "priority": "1", "topic": "Top/Arts/People/R/Rhys-Meyers,_Jonathan", "url": "http://www.jrmfansite.org/"} +{"d:Title": "Jonathan Rhys Meyers Fans", "d:Description": "Fan club with photo gallery, mailing list, and message boards.", "topic": "Top/Arts/People/R/Rhys-Meyers,_Jonathan", "url": "http://groups.yahoo.com/group/JonathanRhysMeyersFans/"} +{"d:Title": "Jonathan Rhys Meyers: Images", "d:Description": "Fanpage with annotated photo gallery.", "topic": "Top/Arts/People/R/Rhys-Meyers,_Jonathan", "url": "http://www.angelfire.com/rock/clubhouse/jon.html"} +{"d:Title": "IMDb: Jonathan Rhys-Meyers", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Rhys-Meyers,_Jonathan", "url": "http://www.imdb.com/name/nm0001667/"} +{"d:Title": "JustJared: Jonathan Rhys Meyers Honored At Trinity College", "d:Description": "The actor received the Honorary Patronage Award from the Trinity College Philosophical Society during a special ceremony in Dublin, Ireland. Includes small photo gallery.", "topic": "Top/Arts/People/R/Rhys-Meyers,_Jonathan", "url": "http://www.justjared.com/2008/10/05/jonathan-rhys-meyers-honored-at-trinity-college/"} +{"d:Title": "IMDb: Giovanni Ribisi", "d:Description": "The Internet Movie Database includes profile, filmography, pictures, and links.", "topic": "Top/Arts/People/R/Ribisi,_Giovanni", "url": "http://www.imdb.com/name/nm0000610/"} +{"d:Title": "A Goddess: Christina Ricci", "d:Description": "Includes e-postcards, links, and nine pages of thumbnailed images.", "topic": "Top/Arts/People/R/Ricci,_Christina", "url": "http://christina.goddessdreams.com/"} +{"d:Title": "Christina Ricci News: Topix", "d:Description": "News about Christina Ricci continually updated from around the net.", "topic": "Top/Arts/People/R/Ricci,_Christina", "url": "http://www.topix.com/who/christina-ricci"} +{"d:Title": "Digital Hit: Christina Ricci", "d:Description": "A biography of the actress.", "topic": "Top/Arts/People/R/Ricci,_Christina", "url": "http://www.digitalhit.com/cr/christinaricci/"} +{"d:Title": "The Movie Times: Christina Ricci", "d:Description": "Picture gallery, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/People/R/Ricci,_Christina", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?cricci"} +{"d:Title": "Bean007's Christina Ricci Page", "d:Description": "Biography, filmography, news, downloads, quotes, autography information, upcoming projects, rumors, chat, links, and two large galleries of thumbnailed pictures.", "topic": "Top/Arts/People/R/Ricci,_Christina/Fan_Pages", "url": "http://www.members.tripod.com/~bean007/ricci3.htm"} +{"d:Title": "Stacy's Christina Ricci Page", "d:Description": "News, articles, a biography, film information, quotes, a poll, links, and a large, categorized and thumbnailed photo gallery. Updated monthly.", "topic": "Top/Arts/People/R/Ricci,_Christina/Fan_Pages", "url": "http://www.angelfire.com/ak2/myChristinapage/index.html"} +{"d:Title": "Christina Ricci By Zeleniak And Jezinc", "d:Description": "Includes a filmography, press reviews, and image galleries.", "topic": "Top/Arts/People/R/Ricci,_Christina/Fan_Pages", "url": "http://kainelez.tripod.com/RICCIHOME.html"} +{"d:Title": "Ben's Christina Ricci Pictures", "d:Description": "Over seventy five thumbnailed pictures split into three galleries.", "topic": "Top/Arts/People/R/Ricci,_Christina/Image_Galleries", "url": "http://riccipics.freeservers.com/ricci/index.htm"} +{"d:Title": "Christina Ricci Pictures", "d:Description": "Two galleries of thumbnailed pictures.", "topic": "Top/Arts/People/R/Ricci,_Christina/Image_Galleries", "url": "http://nmd28.tripod.com/ricci.html"} +{"d:Title": "Tim Rice", "d:Description": "Filmography with lyricist, writer, and related credits, biography, and awards from the Internet Movie Database.", "topic": "Top/Arts/People/R/Rice,_Tim", "url": "http://www.imdb.com/name/nm0005358/"} +{"d:Title": "Tim Rice", "d:Description": "Wikipedia article with brief biography and list of shows. Includes internal references to related topics.", "topic": "Top/Arts/People/R/Rice,_Tim", "url": "http://en.wikipedia.org/wiki/Tim_Rice"} +{"d:Title": "Tim Rice Homepage", "d:Description": "\"Tim Rice is one of the most versatile and prolific lyricists writing today. He has written for the musical stage and Disney animated films, provided the lyrics to numerous pop songs, and authored non-fiction books\".", "topic": "Top/Arts/People/R/Rice,_Tim", "url": "http://www.timrice.co.uk/"} +{"d:Title": "Tim Rice", "d:Description": "Official credits, biographical information, awards and nominations, and list of shows from the Internet Broadway Database.", "topic": "Top/Arts/People/R/Rice,_Tim", "url": "https://www.ibdb.com/broadway-cast-staff/tim-rice-8890"} +{"d:Title": "Ariana Richards Internet Fan Community", "d:Description": "The official site with a biography, filmography, news, and photographs.", "topic": "Top/Arts/People/R/Richards,_Ariana", "url": "http://www.ariana.org/"} +{"d:Title": "IMDb.com: Ariana Richards", "d:Description": "Features a list of films the actress appears in, short profile, and photographs.", "topic": "Top/Arts/People/R/Richards,_Ariana", "url": "http://imdb.com/name/nm0000611/"} +{"d:Title": "IMDb: Denise Richards", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Richards,_Denise", "url": "http://www.imdb.com/name/nm0000612/"} +{"d:Title": "The Movie Times: Denise Richards", "d:Description": "Features picture galleries, box office information, vital statistics, link and message board.", "topic": "Top/Arts/People/R/Richards,_Denise", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?drichards"} +{"d:Title": "E! Online Fact Sheet", "d:Description": "Biography, credits, and multimedia.", "topic": "Top/Arts/People/R/Richards,_Denise", "url": "http://www.eonline.com/news/denise_richards"} +{"d:Title": "Denise Richards Place", "d:Description": "Biography, filmography, interviews, and gallery of pictures.", "topic": "Top/Arts/People/R/Richards,_Denise/Fan_Pages", "url": "http://deniserichardspage.tripod.com/"} +{"d:Title": "Denise Richards Fanpage", "d:Description": "Pictures, some videos and texts about Denise.", "topic": "Top/Arts/People/R/Richards,_Denise/Fan_Pages", "url": "http://www.denise-richards.handshake.de/"} +{"d:Title": "American Beauty: Denise Richards", "d:Description": "Includes biography, picture gallery and contact address.", "topic": "Top/Arts/People/R/Richards,_Denise/Fan_Pages", "url": "http://home.ipoline.com/~legends/insatiable/deniserichards/"} +{"d:Title": "Denise Richards Place", "d:Description": "A fan site with biography, filmography, image galleries, and interviews.", "topic": "Top/Arts/People/R/Richards,_Denise/Image_Galleries", "url": "http://deniserichardspage.tripod.com/"} +{"d:Title": "Beautiful Denise Richards", "d:Description": "Ten pages of magazine photos, publicity shots, movie stills, and other images.", "topic": "Top/Arts/People/R/Richards,_Denise/Image_Galleries", "url": "http://zooe.tripod.com/DeniseRichardsPhotos/index.html"} +{"d:Title": "Abstracts.net: Denise Richards", "d:Description": "40+ abstract style thumbnailed pictures, biography, news and gossip.", "topic": "Top/Arts/People/R/Richards,_Denise/Image_Galleries", "url": "http://abstracts.net/denise-richards/"} +{"d:Title": "Undying Celebrities: Denise Richards", "d:Description": "Features 60 thumbnailed pictures and a profile.", "topic": "Top/Arts/People/R/Richards,_Denise/Image_Galleries", "url": "http://www.undying.com/celeb/Denise_Richards/"} +{"d:Title": "IMDb: J. August Richards", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/People/R/Richards,_J._August", "url": "http://www.imdb.com/name/nm0724124/"} +{"d:Title": "Kim Richards Family Website", "d:Description": "Pictures and information about Kim, Kyle, and Kathy Richards.", "topic": "Top/Arts/People/R/Richards,_Kim", "url": "http://www.kimrichards.net/"} +{"d:Title": "Kim Richards Fan Site", "d:Description": "Pictures and updates on the actress.", "topic": "Top/Arts/People/R/Richards,_Kim", "url": "http://www.angelfire.com/az/kimjet/"} +{"d:Title": "Kim Richards", "d:Description": "IMdB.com profile of Kim Richards, including a biography, photos and filmography.", "topic": "Top/Arts/People/R/Richards,_Kim", "url": "http://www.imdb.com/name/nm0001668/"} +{"d:Title": "Cosmo Kramer", "d:Description": "A fan page with a biography, photos, sound clips and games.", "topic": "Top/Arts/People/R/Richards,_Michael", "url": "http://www.angelfire.com/ca3/cosmokramer/"} +{"d:Title": "IMDb: Ian Richardson (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Richardson,_Ian", "url": "http://www.imdb.com/name/nm0007183/"} +{"d:Title": "Rotten Tomatoes: Ian Richardson", "d:Description": "Filmography, photos, news, and forum.", "topic": "Top/Arts/People/R/Richardson,_Ian", "url": "http://www.rottentomatoes.com/celebrity/ian_richardson/"} +{"d:Title": "Joely Richardson", "d:Description": "Biography, photos and links.", "topic": "Top/Arts/People/R/Richardson,_Joely", "url": "http://www.tmaw.co.uk/joelyr.html"} +{"d:Title": "Joely Richardson News: Topix", "d:Description": "News about Joely Richardson continually updated from around the net.", "topic": "Top/Arts/People/R/Richardson,_Joely", "url": "http://www.topix.com/who/joely-richardson"} +{"d:Title": "IMDb: Joely Richardson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Richardson,_Joely", "url": "http://www.imdb.com/name/nm0000613/"} +{"d:Title": "Guardian Unlimited Film : Joely Richardson", "d:Description": "Biography and trivia.", "topic": "Top/Arts/People/R/Richardson,_Joely", "url": "http://www.theguardian.com/film/Player/Player_Page/0,4159,506353,00.html"} +{"d:Title": "Miranda Richardson Appreciation Page", "d:Description": "Miranda Richardson information. Includes biography, film, tv, radio, stage appearances, pictures, multimedia.", "topic": "Top/Arts/People/R/Richardson,_Miranda", "url": "http://www.miranda-richardson.com/"} +{"d:Title": "IMDb: Miranda Richardson (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Richardson,_Miranda", "url": "http://www.imdb.com/name/nm0001669/"} +{"d:Title": "Thespian Net presents Miranda Richardson", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/People/R/Richardson,_Miranda", "url": "http://www.thespiannet.com/actresses/R/richardson_miranda/miranda_richardson.shtml"} +{"d:Title": "Natasha Richardson", "d:Description": "Biography and photos.", "topic": "Top/Arts/People/R/Richardson,_Natasha", "url": "http://www.tmaw.co.uk/natashar.html"} +{"d:Title": "CNN.com: Natasha Richardson Dies After Ski Fall", "d:Description": "Film star, Tony-winning stage actress and member of the famed Redgrave acting family, the performer died March 18, 2009 after suffering injuries in a ski accident.", "topic": "Top/Arts/People/R/Richardson,_Natasha", "url": "http://www.cnn.com/2009/SHOWBIZ/Movies/03/18/obit.richardson/"} +{"d:Title": "BBC NEWS: Obituary: Natasha Richardson 1963 - 2009", "d:Description": "A look at her life and career.", "topic": "Top/Arts/People/R/Richardson,_Natasha", "url": "http://news.bbc.co.uk/2/hi/entertainment/7949125.stm"} +{"d:Title": "Natasha Richardson: Tragic Delays After Her Fatal Fall", "d:Description": "People.com has confirmed new details about the day of her ultimately-deadly fall at a Canadian ski resort and critical lapses in her care that may have contributed to her death. By Kathy Ehrich Dowd and Dana Kennedy.", "topic": "Top/Arts/People/R/Richardson,_Natasha", "url": "http://www.people.com/people/package/article/0,,20266545_20267163,00.html"} +{"d:Title": "IMDb: Natasha Richardson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Richardson,_Natasha", "url": "http://www.imdb.com/name/nm0001670/"} +{"d:Title": "USATODAY.com: Coroner: - Richardson Death Result of Blunt Impact to Head", "d:Description": "On Thursday, the New York City coroner issued a preliminary report ruling Natasha Richardson's death the result of a epidural hematoma due to blunt impact to the head.", "topic": "Top/Arts/People/R/Richardson,_Natasha", "url": "http://usatoday30.usatoday.com/news/health/2009-03-18-richardson-obit_N.htm"} +{"d:Title": "Thespian Net presents Caryn Richman", "d:Description": "A small amount of information on Caryn Richman.", "topic": "Top/Arts/People/R/Richman,_Caryn", "url": "http://www.thespiannet.com/actresses/R/richman_caryn/caryn_richman.shtml"} +{"d:Title": "Yahoo! Groups : branscomberichmond", "d:Description": "Founded in 1999 this group has a forum, message list, and file archives.", "topic": "Top/Arts/People/R/Richmond,_Branscombe", "url": "http://groups.yahoo.com/group/branscomberichmond/"} +{"d:Title": "IMDb: Branscombe Richmond", "d:Description": "Features filmography, trivia, and photo gallery.", "topic": "Top/Arts/People/R/Richmond,_Branscombe", "url": "http://www.imdb.com/name/nm0725079/"} +{"d:Title": "DanRichter.com", "d:Description": "Photos, brief articles.", "topic": "Top/Arts/People/R/Richter,_Dan", "url": "http://danrichter.com/"} +{"d:Title": "The Kubrick Site: Interview with Dan Richter", "d:Description": "Interview touces on his background and his performance in 2001: A Space Odyssey.", "topic": "Top/Arts/People/R/Richter,_Dan", "url": "http://www.visual-memory.co.uk/amk/doc/0090.html"} +{"d:Title": "The Maria Richwine Fan Page", "d:Description": "Features a profile and image gallery.", "topic": "Top/Arts/People/R/Richwine,_Maria", "url": "http://www.angelfire.com/nm/mariarichwinefans/"} +{"d:Title": "Adam Rickitt", "d:Description": "Fan page with a biography and a few pictures.", "topic": "Top/Arts/People/R/Rickitt,_Adam", "url": "http://members.tripod.com/~Tricia_T/rickitt.html"} +{"d:Title": "Unofficial Adam Rickitt Homepage", "d:Description": "Features a fact file and screen shots from the \"I Breathe Again\" video.", "topic": "Top/Arts/People/R/Rickitt,_Adam", "url": "http://adam.rickett.freehosting.net/"} +{"d:Title": "Lissa's Adam Rickitt Pictures", "d:Description": "A fan site with pictures and links.", "topic": "Top/Arts/People/R/Rickitt,_Adam", "url": "http://www.angelfire.com/ne/adamr/"} +{"d:Title": "Adam Rickitt Web Ring", "d:Description": "Includes information, pictures and membership.", "topic": "Top/Arts/People/R/Rickitt,_Adam", "url": "http://adamrickitt.tripod.com/"} +{"d:Title": "Alan Rickman Fan Site", "d:Description": "Fan site featuring a biography, filmography, and image gallery.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://headtripdrama.tripod.com/rickman.html"} +{"d:Title": "Thespian Net: Alan Rickman", "d:Description": "Contains a biography, acting credits, and links.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://www.thespiannet.com/actors/R/rickman_alan/index.shtml"} +{"d:Title": "Alan Rickman Rocks", "d:Description": "Collection of photos of the actor. Also features biography and links.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://www.alanrickmanrocks.1colony.com/"} +{"d:Title": "Alan Rickman Bio", "d:Description": "A biography and filmography.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://www.tmaw.co.uk/alanr.html"} +{"d:Title": "Alan Rickman Download Haven", "d:Description": "Masses of Rickman video and audio clips.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://britbitsandclips.com/"} +{"d:Title": "IMDb: Alan Rickman", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://www.imdb.com/name/nm0000614/"} +{"d:Title": "Movie Times", "d:Description": "Box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?arickman"} +{"d:Title": "Unofficial Alan Rickman Homepage", "d:Description": "Fan site with photographs and links.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://beafores.tripod.com/page1.html"} +{"d:Title": "Daily Telegiraffe: Alan Rickman", "d:Description": "Contains press articles, an interview transcript, and photographs of his London and Broadway performance in Private Lives (2002).", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://dailytelegiraffe.tripod.com/rickmanmain.html"} +{"d:Title": "Wikipedia: Alan Rickman", "d:Description": "Article on the actor in the collaborative online encyclopedia. Includes career and filmography.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "https://en.wikipedia.org/wiki/Alan_Rickman"} +{"d:Title": "Alan Rickman Daily", "d:Description": "Fan blog dedicated to the British actor and his roles.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://alanrickmandaily.com/"} +{"d:Title": "movieThemes: Alan Rickman", "d:Description": "Pictures, news, rumors, biography, and movie reviews. [Flash required]", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://cybamuse.travelblips.com/movieThemes/actors/arickman/index.htm"} +{"d:Title": "Alan Rickman", "d:Description": "Blog including photos and videos of the actor.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://alanrickman.com/"} +{"d:Title": "Huffington Post: For Alan Rickman", "d:Description": "Blog article about the actor by Ruby Wax.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://www.huffingtonpost.co.uk/ruby-wax/alan-rickman_b_9065860.html"} +{"d:Title": "AllMovie: Alan Rickman", "d:Description": "Includes a biography by Rebecca Flint Marx, movie highlights and photos.", "topic": "Top/Arts/People/R/Rickman,_Alan", "url": "http://www.allmovie.com/artist/p60157"} +{"d:Title": "IMDb: Richard Ridings", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Ridings,_Richard", "url": "http://www.imdb.com/name/nm0725956/"} +{"d:Title": "Eden Riegel", "d:Description": "A fan site with a biography, filmography, pictures, interviews, fan thoughts, message board, and the actress' contact information.", "topic": "Top/Arts/People/R/Riegel,_Eden", "url": "http://www.angelfire.com/hi4/edenriegel/"} +{"d:Title": "Eden Riegel", "d:Description": "Eden's personal page while attending Harvard University featuring her biography, filmography, photo gallery, and news.", "topic": "Top/Arts/People/R/Riegel,_Eden", "url": "http://www.people.fas.harvard.edu/~riegel/"} +{"d:Title": "IMDb.com: Ron Rifkin", "d:Description": "Features a list of film and television credits, and photographs.", "topic": "Top/Arts/People/R/Rifkin,_Ron", "url": "http://www.imdb.com/name/nm0726492/"} +{"d:Title": "IMDb: Molly Ringwald", "d:Description": "Filmography, notable TV appearances, biography, photographs and news articles.", "topic": "Top/Arts/People/R/Ringwald,_Molly", "url": "http://www.imdb.com/name/nm0000208/"} +{"d:Title": "Lisa Rinna's Paradise", "d:Description": "A fan site with facts about the actress, filmography, pictures, and audio clips.", "topic": "Top/Arts/People/R/Rinna,_Lisa", "url": "http://billiereed.tripod.com/"} +{"d:Title": "IMDb.com: Lisa Rinna", "d:Description": "Features a filmography, short biography, photo gallery, and links.", "topic": "Top/Arts/People/R/Rinna,_Lisa", "url": "http://www.imdb.com/name/nm0005362/"} +{"d:Title": "Kelly Ripa Haven", "d:Description": "Photographs, latest news, biography and polls on the actress Kelly Ripa from All My Children.", "topic": "Top/Arts/People/R/Ripa,_Kelly", "url": "http://www.angelfire.com/celeb/kellyripa/"} +{"d:Title": "Yahoo! Groups: Kelly Ripa", "d:Description": "Discussion mailing list for fans of the soap star and talk show host.", "topic": "Top/Arts/People/R/Ripa,_Kelly", "url": "http://movies.groups.yahoo.com/group/kellyripa/"} +{"d:Title": "Alice Ripley and Emily Skinner", "d:Description": "This site is dedicated to the stars who played siamese twins in the short-lived, but cult classic, Side Show.", "topic": "Top/Arts/People/R/Ripley,_Alice", "url": "http://www.angelfire.com/md/kini/"} +{"d:Title": "IMDb.com - Jill Ritchie", "d:Description": "Filmography and short biography on the actress.", "topic": "Top/Arts/People/R/Ritchie,_Jill", "url": "http://www.imdb.com/name/nm0728579/"} +{"d:Title": "Weekly Standard: John Ritter, 1948-2003", "d:Description": "Written by co-star and fellow comedian Larry Miller.", "topic": "Top/Arts/People/R/Ritter,_John", "url": "http://www.weeklystandard.com/Content/Public/Articles/000/000/003/133oersd.asp"} +{"d:Title": "John Ritter News: Topix", "d:Description": "News about John Ritter continually updated from around the net.", "topic": "Top/Arts/People/R/Ritter,_John", "url": "http://www.topix.com/who/john-ritter"} +{"d:Title": "John Ritter", "d:Description": "Fan page with biography, career overview, and photos.", "topic": "Top/Arts/People/R/Ritter,_John", "url": "http://www.fluffytails.ca/johnritter.asp"} +{"d:Title": "IMDb: John Ritter", "d:Description": "Includes filmography, biography, trivia, quotes, awards, and photos.", "topic": "Top/Arts/People/R/Ritter,_John", "url": "http://www.imdb.com/name/nm0000615/"} +{"d:Title": "Tim Ritter", "d:Description": "The official site with news, a biography, bibliography, and an interview.", "topic": "Top/Arts/People/R/Ritter,_Tim", "url": "http://www.timritter.com/"} +{"d:Title": "JoanRivers.com", "d:Description": "The official site. Includes a biography, photographs, appearance calendar, gossip, joke archive, quotes, fashion news, designer spotlight, mailing list, and merchandise.", "topic": "Top/Arts/People/R/Rivers,_Joan", "url": "http://www.joanrivers.com/"} +{"d:Title": "IMDb: Linus Roache", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Roache,_Linus", "url": "http://imdb.com/name/nm0730070/"} +{"d:Title": "IMDb:Jana Robbins", "d:Description": "Filmography for Jan Robbins", "topic": "Top/Arts/People/R/Robbins,_Jana", "url": "http://www.imdb.com/name/nm0730370/"} +{"d:Title": "Tim Robbins News: Topix", "d:Description": "News about Tim Robbins continually updated from around the net.", "topic": "Top/Arts/People/R/Robbins,_Tim", "url": "http://www.topix.com/who/tim-robbins"} +{"d:Title": "IMDb: Tim Robbins (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Robbins,_Tim", "url": "http://www.imdb.com/name/nm0000209/"} +{"d:Title": "Fabulous-Emma.com", "d:Description": "Fansite with news, photo gallery, forum, audio and video clips.", "topic": "Top/Arts/People/R/Roberts,_Emma", "url": "http://www.fabulous-emma.com/"} +{"d:Title": "Wikipedia: Emma Roberts", "d:Description": "Encyclopedia article including biography, filmography and discography.", "topic": "Top/Arts/People/R/Roberts,_Emma", "url": "http://en.wikipedia.org/wiki/Emma_Roberts"} +{"d:Title": "iFilm: bigLove", "d:Description": "Video stream of the 10-minute short film \"bigLove\" (2001) the actress stars in.", "topic": "Top/Arts/People/R/Roberts,_Emma", "url": "http://www.ifilm.com/video/922950"} +{"d:Title": "IMDb: Emma Roberts", "d:Description": "Filmography, along with photo gallery, awards listing, and message board.", "topic": "Top/Arts/People/R/Roberts,_Emma", "url": "http://www.imdb.com/name/nm0731075/"} +{"d:Title": "Emma Roberts on Boys and 'Sweet 16'", "d:Description": "People.com interview: \"The Nancy Drew star kisses and tells\"", "topic": "Top/Arts/People/R/Roberts,_Emma", "url": "http://www.people.com/people/article/0,,20041037,00.html"} +{"d:Title": "Dooney's Sausage: The New Emma Roberts Bag", "d:Description": "Article about the Emma handbag by Dooney and Bourke.", "topic": "Top/Arts/People/R/Roberts,_Emma", "url": "http://www.bagbliss.com/dooney-bourke/dooney%E2%80%99s-sausage-the-emma-roberts-bag/"} +{"d:Title": "Washingtonpost.com: Eric Roberts", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Roberts,_Eric", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/eric_roberts.htm"} +{"d:Title": "The Official Eric Roberts Site", "d:Description": "Features news, a biography, filmography, and photographs.", "priority": "1", "topic": "Top/Arts/People/R/Roberts,_Eric", "url": "http://www.ericrobertsactor.com/"} +{"d:Title": "IMDb: Eric Roberts (I)", "d:Description": "Profile and full list of movies and television appearances.", "topic": "Top/Arts/People/R/Roberts,_Eric", "url": "http://www.imdb.com/name/nm0000616/"} +{"d:Title": "Filmbug: Eric Roberts", "d:Description": "Biography, facts, filmography, forum and links.", "topic": "Top/Arts/People/R/Roberts,_Eric", "url": "http://www.filmbug.com/db/2629"} +{"d:Title": "IMDb: Julia Roberts", "d:Description": "Filmography, biography, photographs, news articles, and awards.", "topic": "Top/Arts/People/R/Roberts,_Julia", "url": "http://imdb.com/name/nm0000210/"} +{"d:Title": "The Movie Times: Julia Roberts", "d:Description": "Features pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/R/Roberts,_Julia", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jroberts"} +{"d:Title": "Topix: Julia Roberts", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/R/Roberts,_Julia", "url": "http://www.topix.com/who/julia-roberts"} +{"d:Title": "Box Office Mojo: Julia Roberts", "d:Description": "Contains box office data with averages, totals, and domestic and international box office breakdowns for each movie.", "topic": "Top/Arts/People/R/Roberts,_Julia", "url": "http://www.boxofficemojo.com/people/chart/?id=juliaroberts.htm"} +{"d:Title": "Thespian Net: Julia Roberts", "d:Description": "Contains a biography, filmography, pictures, and links.", "topic": "Top/Arts/People/R/Roberts,_Julia", "url": "http://www.thespiannet.com/actresses/R/roberts_julia/julia_roberts.shtml"} +{"d:Title": "Pretty Julia Roberts Site", "d:Description": "Contains a biography, filmography, awards, quotes, pictures, wallpapers, and caricatures.", "topic": "Top/Arts/People/R/Roberts,_Julia/Fan_Pages", "url": "http://www.angelfire.com/celeb2/prettyjulia/"} +{"d:Title": "Julia Roberts on the Web", "d:Description": "Includes biography, filmography, interviews, awards, photos, chat, boards and news.", "topic": "Top/Arts/People/R/Roberts,_Julia/Fan_Pages", "url": "http://juliaroberts.narod.ru/"} +{"d:Title": "Julia Roberts Pretty Site", "d:Description": "Includes a biography, awards, an interview, slide shows, pictures, caricatures, and quotes.", "topic": "Top/Arts/People/R/Roberts,_Julia/Fan_Pages", "url": "http://prettyjulia.20m.com/"} +{"d:Title": "Starring Julia Roberts", "d:Description": "Gallery of 1800 pictures, fan zone, interviews and video captures.", "topic": "Top/Arts/People/R/Roberts,_Julia/Fan_Pages", "url": "http://www.aboutjulia.com/"} +{"d:Title": "Julia Roberts Website", "d:Description": "Includes biography, movie credits, and a picture gallery.", "topic": "Top/Arts/People/R/Roberts,_Julia/Fan_Pages", "url": "http://ppk99.tripod.com/"} +{"d:Title": "USAToday.com: Julia Roberts' Show Grosses Nearly $1M", "d:Description": "Three Days of Rain, which stars Roberts, Paul Rudd and Bradley Cooper, grossed a whopping $988,298 for its first full week of preview performances.", "topic": "Top/Arts/People/R/Roberts,_Julia/Theater", "url": "http://usatoday30.usatoday.com/life/theater/news/2006-04-03-roberts-show-gross_x.htm?POE=LIFISVA"} +{"d:Title": "FOXNews.com: Julia Takes Pay Cut for Broadway Boost", "d:Description": "Why would the Oscar-winning Roberts, who makes about $20 million a movie, want to settle for the reported $35,000 a week she'll make breaking a leg? By Sara Bonisteel.", "topic": "Top/Arts/People/R/Roberts,_Julia/Theater", "url": "http://www.foxnews.com/story/2006/03/28/julia-takes-pay-cut-for-broadway-boost/"} +{"d:Title": "Digital Spy Showbiz: Julia Roberts' Broadway Show Flops", "d:Description": "Julia Roberts' Broadway debut is becoming less and less popular as it continues.", "topic": "Top/Arts/People/R/Roberts,_Julia/Theater", "url": "http://www.digitalspy.com/showbiz/news/a33858/julia-roberts-broadway-show-flops/"} +{"d:Title": "IMDb.com: Tanya Roberts", "d:Description": "Includes a list of acting credits, biography, and photographs.", "topic": "Top/Arts/People/R/Roberts,_Tanya", "url": "http://www.imdb.com/name/nm0000617/"} +{"d:Title": "IMDb: Cliff Robertson", "d:Description": "Filmography, television appearances, profile, photograph gallery, and related information.", "topic": "Top/Arts/People/R/Robertson,_Cliff", "url": "http://www.imdb.com/name/nm0731772/"} +{"d:Title": "Canoe.ca: Hollywood Vet Takes it Easy", "d:Description": "Cliff Robertson article and interview, by Bruce Kirkland from the Toronto Sun.", "topic": "Top/Arts/People/R/Robertson,_Cliff", "url": "http://jam.canoe.com/Movies/Artists/R/Robertson_Cliff/"} +{"d:Title": "IMDb: Kathleen Robertson", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/People/R/Robertson,_Kathleen", "url": "http://www.imdb.com/name/nm0005370/"} +{"d:Title": "IMDb: Bruce Robinson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Robinson,_Bruce", "url": "http://www.imdb.com/name/nm0732430/"} +{"d:Title": "Tony Robinson's Cunning Plan", "d:Description": "Tony Robinson is elected to the Labour Party's National Executive Council. BBC News profiles his cunning career, with references to Time Team and quotes from Mick Aston.", "topic": "Top/Arts/People/R/Robinson,_Tony", "url": "http://news.bbc.co.uk/1/hi/entertainment/765633.stm"} +{"d:Title": "The Unofficial Website for Tony Robinson", "d:Description": "Fan site by Cally for the British actor, author and presenter best known as Baldrick in Blackadder and as the presenter of the popular archaeology programme Time Team.", "topic": "Top/Arts/People/R/Robinson,_Tony", "url": "http://www.unofficialtonyrobinsonwebsite.co.uk/"} +{"d:Title": "Interviews in Sherwood: Tony Robinson", "d:Description": "Interview with Tony Robinson, focusing on the Maid Marian series but also covering other projects.", "topic": "Top/Arts/People/R/Robinson,_Tony", "url": "http://www.boldoutlaw.com/robint/tonyrob1.html"} +{"d:Title": "Wikipedia: Tony Robinson", "d:Description": "Biography of the British actor, television presenter and political campaigner from this online encyclopedia.", "topic": "Top/Arts/People/R/Robinson,_Tony", "url": "http://en.wikipedia.org/wiki/Tony_Robinson"} +{"d:Title": "Zuleikha Robinson Online", "d:Description": "A fan site with biography, filmography, picture gallery, interviews, and forum. [Graphics Intensive]", "topic": "Top/Arts/People/R/Robinson,_Zuleikha", "url": "http://www.angelfire.com/celeb2/zuleikharobinson/index.html"} +{"d:Title": "IMDb: Zuleikha Robinson", "d:Description": "Filmography and related links.", "topic": "Top/Arts/People/R/Robinson,_Zuleikha", "url": "http://www.imdb.com/name/nm0733196/"} +{"d:Title": "Wade J. Robson - A True Prodigy", "d:Description": "A fan site with profile, news, and photos.", "topic": "Top/Arts/People/R/Robson,_Wade", "url": "http://www.angelfire.com/celeb2/prodigywaderobson/"} +{"d:Title": "DebbieRochon.com", "d:Description": "The official site with news, appearance dates, filmography, pictures, and contact information.", "topic": "Top/Arts/People/R/Rochon,_Debbie", "url": "http://www.debbierochon.com/"} +{"d:Title": "IMDb.com: Lela Rochon", "d:Description": "Features a list of acting credits, profile, and image gallery.", "topic": "Top/Arts/People/R/Rochon,_Lela", "url": "http://www.imdb.com/name/nm0005375/"} +{"d:Title": "IMDb: Chris Rock", "d:Description": "Includes a small biography, filmographies, and an image gallery.", "topic": "Top/Arts/People/R/Rock,_Chris", "url": "http://www.imdb.com/name/nm0001674/"} +{"d:Title": "Rotten Tomatoes: Chris Rock", "d:Description": "Offers news and a filmography.", "topic": "Top/Arts/People/R/Rock,_Chris", "url": "http://www.rottentomatoes.com/celebrity/chris_rock/"} +{"d:Title": "IMDB Rick Rockwell", "d:Description": "Biography and career information.", "topic": "Top/Arts/People/R/Rockwell,_Rick", "url": "http://www.imdb.com/name/nm0734351/"} +{"d:Title": "TV and Movie Trivia Tribute: Majel Barrett", "d:Description": "Includes several pictures and links.", "topic": "Top/Arts/People/R/Roddenberry,_Majel_Barrett", "url": "http://www.triviatribute.com/majelbarrett.html"} +{"d:Title": "IMDb: Michelle Rodriguez", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Rodriguez,_Michelle", "url": "http://www.imdb.com/name/nm0735442/"} +{"d:Title": "Channon Roe - A Photo Essay", "d:Description": "Provides multimedia files and pictures.", "priority": "1", "topic": "Top/Arts/People/R/Roe,_Channon", "url": "http://www.cyberpursuits.com/essays/channon/"} +{"d:Title": "Channon Roe", "d:Description": "A few pictures and short guide to some of his work. The page also includes a link, describing the web keeper's encounter with Channon Roe.", "topic": "Top/Arts/People/R/Roe,_Channon", "url": "http://dreamrthts.tripod.com/Channon_Roe.htm"} +{"d:Title": "Channon Roe", "d:Description": "Channon Roe's filmography at IMDb", "topic": "Top/Arts/People/R/Roe,_Channon", "url": "http://www.imdb.com/name/nm0736204/"} +{"d:Title": "IMDb.com: Joe Rogan", "d:Description": "Internet Movie Database filmography.", "topic": "Top/Arts/People/R/Rogan,_Joe", "url": "http://www.imdb.com/name/nm0736579/"} +{"d:Title": "Bio: Seth Rogan", "d:Description": "Image, profile, and links.", "topic": "Top/Arts/People/R/Rogen,_Seth", "url": "http://www.gbdesigns.com/freaksandgeeks/bios/rogan_seth.html"} +{"d:Title": "IMDB: Seth Rogen", "d:Description": "Filmography, TV appearances, and links.", "topic": "Top/Arts/People/R/Rogen,_Seth", "url": "http://www.imdb.com/name/nm0736622/"} +{"d:Title": "Ginger Rogers News: Topix", "d:Description": "News about Ginger Rogers continually updated from around the net.", "topic": "Top/Arts/People/R/Rogers,_Ginger", "url": "http://www.topix.com/who/ginger-rogers"} +{"d:Title": "Reel Classics: Ginger Rogers", "d:Description": "Contains a biography, filmography, articles, bibliography, image gallery, and quotes.", "topic": "Top/Arts/People/R/Rogers,_Ginger", "url": "http://www.reelclassics.com/Actresses/Ginger/ginger.htm"} +{"d:Title": "IMDB: Lisa Rogers (II)", "d:Description": "Filmography of the Welsh actress.", "topic": "Top/Arts/People/R/Rogers,_Lisa", "url": "http://www.imdb.com/name/nm0737041/"} +{"d:Title": "Washingtonpost.com: Mimi Rogers Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/People/R/Rogers,_Mimi", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/mimi_rogers.htm"} +{"d:Title": "IMDb: Mimi Rogers", "d:Description": "The Internet Movie Database includes filmography, pictures, trivia, and links.", "topic": "Top/Arts/People/R/Rogers,_Mimi", "url": "http://www.imdb.com/name/nm0000211/"} +{"d:Title": "TVGuide.com: Mimi Rogers", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/R/Rogers,_Mimi", "url": "http://www.tvguide.com/celebrities/mimi-rogers/166859"} +{"d:Title": "Thespian Net presents Mimi Rogers", "d:Description": "Includes interviews, pictures, movie credits, and links.", "topic": "Top/Arts/People/R/Rogers,_Mimi", "url": "http://www.thespiannet.com/actresses/R/rogers_mimi/mimi_rogers.shtml"} +{"d:Title": "Canoe: Mimi Rogers", "d:Description": "The Canadian Online Explorer's collection of articles on her movies and career.", "topic": "Top/Arts/People/R/Rogers,_Mimi", "url": "http://jam.canoe.com/Movies/Artists/R/Rogers_Mimi/"} +{"d:Title": "IMDb.com: Nicholas Rogers", "d:Description": "Includes a profile and list of acting credits.", "topic": "Top/Arts/People/R/Rogers,_Nicholas", "url": "http://www.imdb.com/name/nm0737109/"} +{"d:Title": "The Official Roy Rogers - Dale Evans Web Site", "d:Description": "Features museum information, photographs, message board, and links.", "topic": "Top/Arts/People/R/Rogers,_Roy", "url": "http://www.royrogers.com/"} +{"d:Title": "The Will Rogers Memorial Museums", "d:Description": "Two locations in Claremore and Oologah, Oklahoma. Included are hours, directions, quotes, writings, virtual tour and events.", "topic": "Top/Arts/People/R/Rogers,_Will", "url": "http://www.willrogers.com/"} +{"d:Title": "Will Rogers State Historic Park", "d:Description": "Located in Pacific Palisades, California. Information on tours of the ranch house, hiking and equestrian activities including riding and polo.", "topic": "Top/Arts/People/R/Rogers,_Will", "url": "http://www.parks.ca.gov/?page_id=626"} +{"d:Title": "Will Rogers Quotes", "d:Description": "From Brainy Quote.", "topic": "Top/Arts/People/R/Rogers,_Will", "url": "http://www.brainyquote.com/quotes/authors/w/will_rogers.html"} +{"d:Title": "IMDb: Elisabeth R\u00f6hm", "d:Description": "Includes filmography, biographical information, trivia, and a photo gallery.", "topic": "Top/Arts/People/R/Rohm,_Elisabeth", "url": "http://www.imdb.com/name/nm0737533/"} +{"d:Title": "Wikipedia: Elisabeth R\u00f6hm", "d:Description": "Includes biographical information, personal trivia, a photo, and links.", "topic": "Top/Arts/People/R/Rohm,_Elisabeth", "url": "http://en.wikipedia.org/wiki/Elisabeth_R%C3%B6hm"} +{"d:Title": "Al Roker", "d:Description": "Information from IMDb.com.", "topic": "Top/Arts/People/R/Roker,_Al", "url": "http://www.imdb.com/name/nm0737963/"} +{"d:Title": "Al Roker Entertainment", "d:Description": "Home page of Al Roker, NBC weatherman and entertainer.", "topic": "Top/Arts/People/R/Roker,_Al", "url": "http://alroker.com/"} +{"d:Title": "Today: Al Roker", "d:Description": "Biographical information from msnbc.com.", "topic": "Top/Arts/People/R/Roker,_Al", "url": "http://www.today.com/about/al-roker-co-anchor-weather-feature-anchor-6C10110723"} +{"d:Title": "TVGuide.com: Christy Romano", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/R/Romano,_Christy", "url": "http://www.tvguide.com/celebrities/christy-romano/228054"} +{"d:Title": "Exposure for Christy Carlson Romano", "d:Description": "Petition to get the actress featured in Teen People.", "topic": "Top/Arts/People/R/Romano,_Christy", "url": "http://www.gopetition.com/petitions/exposure-for-christy-romano.html"} +{"d:Title": "IMDb: Christy Romano", "d:Description": "Includes photos, awards and filmography.", "topic": "Top/Arts/People/R/Romano,_Christy", "url": "http://www.imdb.com/name/nm0005579/"} +{"d:Title": "Christy_Romano", "d:Description": "Messages, file collection and photographs.", "topic": "Top/Arts/People/R/Romano,_Christy/Fan_Clubs", "url": "http://groups.yahoo.com/group/christy_romano/"} +{"d:Title": "Christy Romano-Ren Stevens", "d:Description": "Group of girls who see Christy as a role model.", "topic": "Top/Arts/People/R/Romano,_Christy/Fan_Clubs", "url": "http://groups.yahoo.com/group/chrisyromanorenstevensclub"} +{"d:Title": "Justin's Christy Romano club", "d:Description": "Mailing list", "topic": "Top/Arts/People/R/Romano,_Christy/Fan_Clubs", "url": "http://groups.yahoo.com/group/justinchristyromanoshotclub/"} +{"d:Title": "Kim Possible", "d:Description": "For Christy's character Kim Possible.", "topic": "Top/Arts/People/R/Romano,_Christy/Fan_Clubs", "url": "http://groups.yahoo.com/group/kimpossible/"} +{"d:Title": "Christy Romano Newsletter", "d:Description": "Active mailing list includes exclusive news about upcoming projects.", "topic": "Top/Arts/People/R/Romano,_Christy/Fan_Clubs", "url": "http://groups.yahoo.com/group/ChristyRomanoNews"} +{"d:Title": "RayRomano.com", "d:Description": "Features photos, quotes, and interviews.", "topic": "Top/Arts/People/R/Romano,_Ray", "url": "http://www.rayromano.com/"} +{"d:Title": "IMDb.com: Stephanie Romanov", "d:Description": "Features a list of film and television credits, a brief biography, and photographs.", "topic": "Top/Arts/People/R/Romanov,_Stephanie", "url": "http://www.imdb.com/name/nm0739013/"} +{"d:Title": "The Rebecca Romijn Fanpage", "d:Description": "Information and pictures about the supermodel.", "topic": "Top/Arts/People/R/Romijn,_Rebecca", "url": "http://www.rebecca-fanpage.com/"} +{"d:Title": "Thespian Net Presents Rebecca Romijn-Stamos", "d:Description": "Links, biography, and filmography.", "topic": "Top/Arts/People/R/Romijn,_Rebecca", "url": "http://www.thespiannet.com/actresses/R/romijn_rebecca/rebecca_romijn.shtml"} +{"d:Title": "Timilee Romolini Photo Album", "d:Description": "A fan's collection of movie stills, publicity shots, and other images.", "topic": "Top/Arts/People/R/Romolini,_Timi", "url": "http://takenbytimi.tripod.com/Timi_Romolini.images/"} +{"d:Title": "Reel Classics: Mickey Rooney", "d:Description": "Contains photographs, quotes, and links.", "topic": "Top/Arts/People/R/Rooney,_Mickey", "url": "http://www.reelclassics.com/Actors/Rooney/rooney.htm"} +{"d:Title": "MovieActors.com: Mickey Rooney", "d:Description": "Profile, pictures, and movie posters.", "topic": "Top/Arts/People/R/Rooney,_Mickey", "url": "http://www.movieactors.com/superstars/mickey-rooney.htm"} +{"d:Title": "IMDb: Mickey Rooney (I)", "d:Description": "Filmography and profile of the actor.", "topic": "Top/Arts/People/R/Rooney,_Mickey", "url": "http://www.imdb.com/name/nm0001682/"} +{"d:Title": "Amanda Root", "d:Description": "Biography, filmography and photos.", "topic": "Top/Arts/People/R/Root,_Amanda", "url": "http://www.tmaw.co.uk/amandar.html"} +{"d:Title": "IMDb: Amanda Root", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Root,_Amanda", "url": "http://www.imdb.com/name/nm0740500/"} +{"d:Title": "IMDb: Stephen Root", "d:Description": "Biography, filmography a message board, photographs and links.", "topic": "Top/Arts/People/R/Root,_Stephen", "url": "http://www.imdb.com/name/nm0740535/"} +{"d:Title": "Bravo Richie Rosati", "d:Description": "A fan site with a biography and photographs.", "topic": "Top/Arts/People/R/Rosati,_Richie", "url": "http://www.angelfire.com/celeb/richierosati/"} +{"d:Title": "Rosati, Richie", "d:Description": "All about the on-air personality and actor.", "topic": "Top/Arts/People/R/Rosati,_Richie", "url": "http://www.angelfire.com/ri/richierosati/"} +{"d:Title": "My Michael Rosenbaum Page", "d:Description": "Fan site with biography and pictures.", "topic": "Top/Arts/People/R/Rosenbaum,_Michael", "url": "http://michaelrosenbaum.8m.com/"} +{"d:Title": "My Unofficial Michael Rosenbaum Page", "d:Description": "Includes biography, pictures, and interviews.", "topic": "Top/Arts/People/R/Rosenbaum,_Michael", "url": "http://www.angelfire.com/celeb2/m_rosenbaum0/"} +{"d:Title": "The Michael Rosenbaum Tribute Page", "d:Description": "Fan site with biography, filmography, image gallery, wallpaper, fan art, and news.", "topic": "Top/Arts/People/R/Rosenbaum,_Michael", "url": "http://www.angelfire.com/celeb2/michaelro/"} +{"d:Title": "IMDb: Michael Rosenbaum (I)", "d:Description": "Complete filmography along with a biography, links and a photo gallery.", "topic": "Top/Arts/People/R/Rosenbaum,_Michael", "url": "http://www.imdb.com/name/nm0742146/"} +{"d:Title": "Detective Connie McDowell", "d:Description": "Picture and brief profile of Ross's NYPD Blue character.", "topic": "Top/Arts/People/R/Ross,_Charlotte", "url": "http://www.stwing.upenn.edu/~sepinwal/mcdowell.txt.html"} +{"d:Title": "IMDb: Charlotte Ross", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Ross,_Charlotte", "url": "http://www.imdb.com/name/nm0005383/"} +{"d:Title": "Twitter: Charlotte Ross", "d:Description": "An overview of actress Charlotte Ross, from Days Of Our Lives to Beggars And Choosers and beyond.", "topic": "Top/Arts/People/R/Ross,_Charlotte", "url": "https://twitter.com/charlotteross/"} +{"d:Title": "IMDb: Katharine Ross (I)", "d:Description": "Filmography.", "topic": "Top/Arts/People/R/Ross,_Katharine", "url": "http://www.imdb.com/name/nm0001684/"} +{"d:Title": "Isabella Rossellini Fun Site", "d:Description": "A fan site with a biography, filmography, awards, and image gallery.", "topic": "Top/Arts/People/R/Rossellini,_Isabella", "url": "http://isabella-rossellini.20fr.com/"} +{"d:Title": "IMDb: Isabella Rossellini", "d:Description": "Filmography and pictures.", "topic": "Top/Arts/People/R/Rossellini,_Isabella", "url": "http://imdb.com/name/nm0000618/"} +{"d:Title": "IMDb.com: Richard Rossi", "d:Description": "A list of acting credits, profile, and a photograph.", "topic": "Top/Arts/People/R/Rossi,_Richard", "url": "http://www.imdb.com/name/nm0744302/"} +{"d:Title": "IMDb: Leonard Rossiter", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/People/R/Rossiter,_Leonard", "url": "http://www.imdb.com/name/nm0744436/"} +{"d:Title": "Leonard Rossiter", "d:Description": "A complete biography and career guide to one of Britain's most versatile and sadly-missed actors. Famous for his roles as Reginald Perrin and as landlord Rigsby in Rising Damp.", "topic": "Top/Arts/People/R/Rossiter,_Leonard", "url": "http://www.leonardrossiter.com/"} +{"d:Title": "IMDb: Emmy Rossum", "d:Description": "Includes a filmography and image galleries.", "topic": "Top/Arts/People/R/Rossum,_Emmy", "url": "http://www.imdb.com/name/nm0002536/"} +{"d:Title": "Tribute.ca: Emmy Rossum", "d:Description": "Offers a biography and filmography.", "topic": "Top/Arts/People/R/Rossum,_Emmy", "url": "http://www.tribute.ca/people/emmy-rossum/9768/"} +{"d:Title": "IMDb: Andrea Roth", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/People/R/Roth,_Andrea", "url": "http://www.imdb.com/name/nm0744776/"} +{"d:Title": "Tim Roth: The Officially Unofficial Web Page", "d:Description": "Includes pictures, FAQ, filmography, and biography.", "topic": "Top/Arts/People/R/Roth,_Tim", "url": "http://www.tim-roth.com/"} +{"d:Title": "The Tim Roth Web Ring", "d:Description": "A listing of sites about the actor.", "topic": "Top/Arts/People/R/Roth,_Tim", "url": "http://www.webring.org/hub?ring=timroth"} +{"d:Title": "TalkTalk: Tim Roth", "d:Description": "Detailed biography.", "topic": "Top/Arts/People/R/Roth,_Tim", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/tim-roth/biography/122"} +{"d:Title": "IMDb: Tim Roth", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/R/Roth,_Tim", "url": "http://www.imdb.com/name/nm0000619/"} +{"d:Title": "The Official Todd Rotondi Website", "d:Description": "Features a brief biography, news, appearance dates, fan encounters, photo gallery, poetry, FAQ, message board, links, and contact information.", "topic": "Top/Arts/People/R/Rotondi,_Todd", "url": "http://kactlng0.tripod.com/"} +{"d:Title": "Kelly Rowan", "d:Description": "Discussion of her part in \"Evangeline\", brief biography, and film credits.", "topic": "Top/Arts/People/R/Rowan,_Kelly", "url": "http://jam.canoe.com/Movies/Artists/R/Rowan_Kelly/"} +{"d:Title": "Yahoo! Groups: Brad Rowe All The Time Anytime", "d:Description": "A club where fans may post messages or chat.", "topic": "Top/Arts/People/R/Rowe,_Brad", "url": "http://groups.yahoo.com/group/bradroweallthetimeanytime/"} +{"d:Title": "IMDb: Paige Rowland", "d:Description": "The Internet Movie Database includes profile, filmography, and links.", "topic": "Top/Arts/People/R/Rowland,_Paige", "url": "http://www.imdb.com/name/nm0746734/"} +{"d:Title": "IMDb: Rodney Rowland", "d:Description": "Biography, filmography, trailers and images.", "topic": "Top/Arts/People/R/Rowland,_Rodney", "url": "http://www.imdb.com/name/nm0746737/"} +{"d:Title": "Thespian Net presents Gena Rowlands", "d:Description": "Biography, filmography and links.", "topic": "Top/Arts/People/R/Rowlands,_Gena", "url": "http://www.thespiannet.com/actresses/R/rowlands_gena/"} +{"d:Title": "Diva Called Daphne", "d:Description": "Pictures, articles, biography, and song downloads.", "topic": "Top/Arts/People/R/Rubin-Vega,_Daphne", "url": "http://www.angelfire.com/celeb2/daphnerubinvega/"} +{"d:Title": "Definitely Daphne", "d:Description": "An unofficial fan club. Includes pictures, sounds, and information.", "topic": "Top/Arts/People/R/Rubin-Vega,_Daphne", "url": "http://www.angelfire.com/nj2/Daphnerubinvega/index.html"} +{"d:Title": "IMDb.com", "d:Description": "Internet Movie Database entry. Includes picture gallery, filmography, and list of guest appearances on TV.", "topic": "Top/Arts/People/R/Rubin-Vega,_Daphne", "url": "http://www.imdb.com/name/nm0748189/"} +{"d:Title": "IMDb: Richard Ruccolo", "d:Description": "Features a filmography and a photograph.", "topic": "Top/Arts/People/R/Ruccolo,_Richard", "url": "http://www.imdb.com/name/nm0748483/"} +{"d:Title": "RitaFunny.com - The Official Web Site for Rita Rudner", "d:Description": "The actress, comedian, and author, with schedule, links, and biography.", "topic": "Top/Arts/People/R/Rudner,_Rita", "url": "http://www.ritafunny.com/"} +{"d:Title": "Rupaul.com", "d:Description": "News, poll, and mailing list.", "topic": "Top/Arts/People/R/RuPaul", "url": "http://www.rupaul.com/"} +{"d:Title": "IMDB: RuPaul", "d:Description": "Profile and credits.", "topic": "Top/Arts/People/R/RuPaul", "url": "http://www.imdb.com/name/nm0750412/"} +{"d:Title": "IMDB - Geoffrey Rush", "d:Description": "Filmography, biography, news articles, photo gallery and miscellaneous links.", "topic": "Top/Arts/People/R/Rush,_Geoffrey", "url": "http://www.imdb.com/name/nm0001691/"} +{"d:Title": "The Geoffrey Rush Corner", "d:Description": "Profile, honors and awards, films, theatre credits, future projects, photographs, and fan information.", "topic": "Top/Arts/People/R/Rush,_Geoffrey", "url": "http://rushchick.tripod.com/"} +{"d:Title": "Filmbug: Geoffrey Rush", "d:Description": "Biography, movies, forum, and links.", "topic": "Top/Arts/People/R/Rush,_Geoffrey", "url": "http://www.filmbug.com/db/1272"} +{"d:Title": "Official Tim Russ Homepage", "d:Description": "Site with a biography and filmography.", "topic": "Top/Arts/People/R/Russ,_Tim", "url": "http://www.timrusswebpage.com/"} +{"d:Title": "A Tribute to Tim Russ", "d:Description": "A fan site with a biography, charity work, convention schedule, current projects, pictures, and acting credits.", "topic": "Top/Arts/People/R/Russ,_Tim", "url": "http://www.timrusstribute.com/"} +{"d:Title": "IMDb: Jane Russell", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/R/Russell,_Jane", "url": "http://www.imdb.com/name/nm0000066/"} +{"d:Title": "Keri Russell Online", "d:Description": "Fan page with unique pictures, little known facts, and a beauty guide.", "topic": "Top/Arts/People/R/Russell,_Keri", "url": "http://www.angelfire.com/hi2/keriguide/"} +{"d:Title": "Keri Russell Webring", "d:Description": "List of sites about Keri Russell.", "topic": "Top/Arts/People/R/Russell,_Keri", "url": "http://www.webring.org/hub?ring=kehau"} +{"d:Title": "Infoplease: Kurt Russell", "d:Description": "A short profile.", "topic": "Top/Arts/People/R/Russell,_Kurt", "url": "http://www.infoplease.com/ipea/A0762297.html"} +{"d:Title": "ThespianNet Presents Kurt Russell", "d:Description": "Filmography, posters, pictures, and comments by fans.", "topic": "Top/Arts/People/R/Russell,_Kurt", "url": "http://www.thespiannet.com/actors/R/russell_kurt/index.shtml"} +{"d:Title": "IMDb: Kurt Russell (I)", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/R/Russell,_Kurt", "url": "http://www.imdb.com/name/nm0000621/"} +{"d:Title": "The Movie Times: Kurt Russell", "d:Description": "Pictures, Box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/R/Russell,_Kurt", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?krussell"} +{"d:Title": "Interview With Kurt Russell", "d:Description": "Excerpts from an interview with Kurt Russell (mostly about his movie, Escape from LA).", "topic": "Top/Arts/People/R/Russell,_Kurt/Articles_and_Interviews", "url": "http://whyy.org/flicks/Russell_interview.html"} +{"d:Title": "15 Minutes: Kurt Russell, Thespian", "d:Description": "A RealAudio interview with Kurt Russell, talking mostly about \"Soldier\" and his role in it.", "topic": "Top/Arts/People/R/Russell,_Kurt/Articles_and_Interviews", "url": "http://www.zeldman.com/15/kurtf.html"} +{"d:Title": "The Seattle Times: Kurt Russell", "d:Description": "An older news article on Kurt, from the Seattle Times.", "topic": "Top/Arts/People/R/Russell,_Kurt/Articles_and_Interviews", "url": "http://community.seattletimes.nwsource.com/archive/?date=19970502&slug=2536779"} +{"d:Title": "Digital Hit: Academy Awards", "d:Description": "Kurt Russell and Goldie Hawn at the 71st Annual Academy Awards.", "topic": "Top/Arts/People/R/Russell,_Kurt/Articles_and_Interviews", "url": "http://www.digitalhit.com/galleries/4/1/79"} +{"d:Title": "Kurt Russell", "d:Description": "Varied news articles about Kurt, and a few interviews with him.", "topic": "Top/Arts/People/R/Russell,_Kurt/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/R/Russell_Kurt/"} +{"d:Title": "Lynne Russell", "d:Description": "A fan site with a biography, screen captures, and press articles.", "topic": "Top/Arts/People/R/Russell,_Lynne", "url": "http://www.his.com/~blev/lynnepages.html"} +{"d:Title": "Yahoo! Clubs lynnerussell", "d:Description": "An online discussion area about Lynne Russell.", "topic": "Top/Arts/People/R/Russell,_Lynne", "url": "http://groups.yahoo.com/group/lynnerussell/"} +{"d:Title": "IMDb: Rene Russo", "d:Description": "Includes filmography, pictures, and trivia.", "topic": "Top/Arts/People/R/Russo,_Rene", "url": "http://www.imdb.com/name/nm0000623/"} +{"d:Title": "Thespian Net presents Rene Russo", "d:Description": "Biography, credits and links.", "topic": "Top/Arts/People/R/Russo,_Rene", "url": "http://www.thespiannet.com/actresses/R/russo_rene/"} +{"d:Title": "Actress Rene Russo", "d:Description": "Box office information and vital statistics.", "topic": "Top/Arts/People/R/Russo,_Rene", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?rrusso"} +{"d:Title": "Dez Ryan Studio", "d:Description": "The official site featuring a biography, lamp designs, textiles, and contact information.", "topic": "Top/Arts/People/R/Ryan,_Dez", "url": "http://www.dezryanstudio.com/"} +{"d:Title": "Seven of Nine vs. Susan Ivanova vs. Princess Leia", "d:Description": "A sci-fi catfight. [humor]", "topic": "Top/Arts/People/R/Ryan,_Jeri", "url": "http://www.grudge-match.com/History/catfight.shtml"} +{"d:Title": "Jeri Ryan", "d:Description": "Features a filmography and biography, photos, and links.", "topic": "Top/Arts/People/R/Ryan,_Jeri", "url": "http://abinne.tripod.com/jeriryan/"} +{"d:Title": "Jerioholics", "d:Description": "A Jeri Ryan fan page that includes news, multimedia, filmography, biography, interviews, and pictures.", "topic": "Top/Arts/People/R/Ryan,_Jeri", "url": "http://www.jerioholics.com/"} +{"d:Title": "Jeri Lynn Ryan", "d:Description": "A small site with pictures and information.", "topic": "Top/Arts/People/R/Ryan,_Jeri", "url": "http://www.angelfire.com/scifi/jeri/"} +{"d:Title": "TriviaTribute: Jeri Lynn Ryan", "d:Description": "Includes facts, photos, and audio clips.", "topic": "Top/Arts/People/R/Ryan,_Jeri/Resources", "url": "http://www.triviatribute.com/jerilynnryan.html"} +{"d:Title": "Undying Meg Ryan", "d:Description": "Photo gallery, biography, and links.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://www.undying.com/celeb/Meg_Ryan/index.htm"} +{"d:Title": "Meg Ryan Co UK", "d:Description": "A UK site with information and photos.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://www.megryan.co.uk/"} +{"d:Title": "Beauty of Meg Ryan", "d:Description": "Contains a biography, filmography, pictures, wallpaper, and screen savers.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://megryan.freewebspace.com/"} +{"d:Title": "TV and Movie Trivia Tribute: Meg Ryan", "d:Description": "Celebrity page with pictures, sounds, links, trivia and merchandise.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://www.triviatribute.com/megryan.html"} +{"d:Title": "Meg Ryan", "d:Description": "Includes pictures, filmography, biography and links.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://www.angelfire.com/movies/megfans/"} +{"d:Title": "Meg Ryan News: Topix", "d:Description": "News about Meg Ryan continually updated from around the net.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://www.topix.com/who/meg-ryan"} +{"d:Title": "TVGuide.com: Meg Ryan", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://www.tvguide.com/celebrities/meg-ryan/165662"} +{"d:Title": "The Movie Times: Ryan", "d:Description": "Box office information on her movies and pictures.", "topic": "Top/Arts/People/R/Ryan,_Meg", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?mryan"} +{"d:Title": "Robert Ryan Tribute", "d:Description": "A fan site with biography, filmography, pictures, and links.", "topic": "Top/Arts/People/R/Ryan,_Robert", "url": "http://www.hillebrander.de/ryan.html"} +{"d:Title": "Steve Ryan Games", "d:Description": "Creator of games and puzzles, developer and contributor for TV game shows including Password Plus and Blockbusters. Includes his biography and details of numerous books about puzzles and game shows that he has written or compiled.", "topic": "Top/Arts/People/R/Ryan,_Steve", "url": "http://www.steveryangames.com/"} +{"d:Title": "Jack Ryder Unlimited", "d:Description": "A fan site with a biography, photo gallery, articles, interviews, and links. [Requires Flash]", "topic": "Top/Arts/People/R/Ryder,_Jack", "url": "http://www.angelfire.com/stars2/jackryder/jrpage.html"} +{"d:Title": "Jack's Pyjama Party", "d:Description": "A fan site with profile, pictures, message board, and polls.", "topic": "Top/Arts/People/R/Ryder,_Jack", "url": "http://www.angelfire.com/ga/jackrydershrine1/"} +{"d:Title": "The Winona Ryder Film Page", "d:Description": "Fan site featuring a filmography with personal ratings, thumb-nailed pictures, film scripts, audio clips, and a forum.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://www.suburbia.com.au/~keene/"} +{"d:Title": "Bigrose Winona Ryder Site", "d:Description": "Pictures, wallpaper, biography, and a filmography.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://winryder.tripod.com/"} +{"d:Title": "Winona Ryder Fun Site", "d:Description": "Fan site with a biography, box office data, press interview, image gallery, wallpapers, and screen savers.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://winonaryd3r.20m.com/"} +{"d:Title": "IMDb: Winona Ryder", "d:Description": "The Internet Movie Database includes filmography, a mini biography, images, and links.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://www.imdb.com/name/nm0000213/"} +{"d:Title": "The Winona Ryder Site", "d:Description": "Fan site with photos, biography, filmography, articles, interviews, and links.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://winona.www3.50megs.com/"} +{"d:Title": "The Winona Ryder Patchwork", "d:Description": "Fan site with biography, filmography, film reviews, and pictures.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://zoodle.tripod.com/winonaryder/"} +{"d:Title": "Welcome Home Winona Ryder", "d:Description": "Focusing on her role in the film Welcome Home Roxy Carmichael.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://winonaworship.tripod.com/"} +{"d:Title": "The Smoking Gun: Winona Ryder", "d:Description": "A court document regarding the 2001 shoplifting case.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://www.thesmokinggun.com/documents/crime/winona-ryder-criminal-charges"} +{"d:Title": "Winona Forever", "d:Description": "Fan site with news, image galleries, movie scripts, and multi-media files.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://winona-ryder.org/"} +{"d:Title": "Winona Ryder Home Page", "d:Description": "Biography and pictures.", "topic": "Top/Arts/People/R/Ryder,_Winona", "url": "http://winona.xpg.uol.com.br/"} +{"d:Title": "The Movie Times: Winona Ryder", "d:Description": "Features pictures and box office information on the actress' movies.", "topic": "Top/Arts/People/R/Ryder,_Winona/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?wryder"} +{"d:Title": "Scalia, Jack", "d:Description": "Emmy-nominated actor, with news on his latest projects, biography, filmography, articles, sports and modeling careers.", "topic": "Top/Arts/People/S", "url": "http://www.jackscalia.net/"} +{"d:Title": "Male Supermodel Antonio Sabato Jr.", "d:Description": "Details about Antonio Sabato Jr. including inside information, and facts about Antonio Sabato Jr.", "topic": "Top/Arts/People/S/Sabato,_Antonio,_Jr.", "url": "http://supermodelguide.com/supermodels/antonio.html"} +{"d:Title": "Rotten Tomatoes: Antonio Sabato Jr.", "d:Description": "Contains filmography and photo gallery.", "topic": "Top/Arts/People/S/Sabato,_Antonio,_Jr.", "url": "http://www.rottentomatoes.com/celebrity/antonio_sabato_jr/"} +{"d:Title": "TVGuide: Antonio Sabato Jr.", "d:Description": "Biography, image gallery, filmography and news articles.", "topic": "Top/Arts/People/S/Sabato,_Antonio,_Jr.", "url": "http://www.tvguide.com/celebrities/antonio-sabato-jr/189501"} +{"d:Title": "Yahoo! Movies: Antonio Sabato Jr", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sabato,_Antonio,_Jr.", "url": "http://movies.yahoo.com/person/antonio-sabato-jr/"} +{"d:Title": "IMDb.com - Antonio Sabato Jr.", "d:Description": "Brief biography, complete filmography, and photo gallery.", "topic": "Top/Arts/People/S/Sabato,_Antonio,_Jr.", "url": "http://www.imdb.com/name/nm0004645/"} +{"d:Title": "BBC Radio - Interview - Andrew Sachs", "d:Description": "Interview about his early life as a Jew in Germany, his escape to England, and his later acting career.", "topic": "Top/Arts/People/S/Sachs,_Andrew", "url": "http://www.bbc.co.uk/doctorwho/classic/webcasts/shada/interviews/sachs/"} +{"d:Title": "Yahoo! Movies: Andrew Sachs", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Sachs,_Andrew", "url": "http://movies.yahoo.com/person/andrew-sachs-2/"} +{"d:Title": "IMDb: Andrew Sachs", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Sachs,_Andrew", "url": "http://www.imdb.com/name/nm0755133/"} +{"d:Title": "Rotten Tomatoes: Andrew Sachs", "d:Description": "Filmography and image gallery.", "topic": "Top/Arts/People/S/Sachs,_Andrew", "url": "http://www.rottentomatoes.com/celebrity/andrew_sachs/"} +{"d:Title": "Yahoo! Movies: Robin Sachs", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sachs,_Robin", "url": "http://movies.yahoo.com/person/robin-sachs/"} +{"d:Title": "IMDb.com - Robin Sachs", "d:Description": "Robin Sachs's filmography", "topic": "Top/Arts/People/S/Sachs,_Robin", "url": "http://www.imdb.com/name/nm0755179/"} +{"d:Title": "Rotten Tomatoes: Robin Sachs", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Sachs,_Robin", "url": "http://www.rottentomatoes.com/celebrity/robin_sachs/"} +{"d:Title": "Rotten Tomatoes: William Sadler", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Sadler,_William", "url": "http://www.rottentomatoes.com/celebrity/william_sadler/"} +{"d:Title": "Yahoo! Movies: William Sadler", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sadler,_William", "url": "http://movies.yahoo.com/person/william-sadler/"} +{"d:Title": "Internet Movie Database: William Sadler", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sadler,_William", "url": "http://www.imdb.com/name/nm0006669/"} +{"d:Title": "Double Trouble", "d:Description": "A tribute to Jean and Liz Sagal.", "topic": "Top/Arts/People/S/Sagal,_Jean_and_Liz", "url": "http://www2.gol.com/users/noman/dt/index.htm"} +{"d:Title": "IMDb.com - Jean Sagal", "d:Description": "Complete filmography of the actress.", "topic": "Top/Arts/People/S/Sagal,_Jean_and_Liz", "url": "http://www.imdb.com/name/nm0755965/"} +{"d:Title": "IMDb.com - Liz Sagal", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/S/Sagal,_Jean_and_Liz", "url": "http://www.imdb.com/name/nm0755967/"} +{"d:Title": "Rotten Tomatoes: Katey Sagal", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/People/S/Sagal,_Katey", "url": "http://www.rottentomatoes.com/celebrity/katey_sagal/"} +{"d:Title": "Yahoo! Movies: Katey Sagal", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Sagal,_Katey", "url": "http://movies.yahoo.com/person/katey-sagal/"} +{"d:Title": "TV Guide - Katey Sagal", "d:Description": "Current month television schedule for the actress.", "topic": "Top/Arts/People/S/Sagal,_Katey", "url": "http://www.tvguide.com/celebrities/katey-sagal/159905"} +{"d:Title": "IMDb.com - Katey Sagal", "d:Description": "Brief biography, complete filmography and photos.", "topic": "Top/Arts/People/S/Sagal,_Katey", "url": "http://www.imdb.com/name/nm0005408/"} +{"d:Title": "Meredith Salenger Unofficial Page", "d:Description": "Recent news, photos, film and television updates.", "topic": "Top/Arts/People/S/Salenger,_Meredith", "url": "http://web.telia.com/~u87704415/index.html/pihead.html"} +{"d:Title": "Yahoo! Movies: Meredith Salenger", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Salenger,_Meredith", "url": "http://movies.yahoo.com/person/meredith-salenger/"} +{"d:Title": "Internet Movie Database: Meredith Salenger", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Salenger,_Meredith", "url": "http://www.imdb.com/name/nm0001694/"} +{"d:Title": "Rotten Tomatoes: Meredith Salenger", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Salenger,_Meredith", "url": "http://www.rottentomatoes.com/celebrity/meredith_salenger/"} +{"d:Title": "A Night With Lea Salonga", "d:Description": "Internet chat session at the TalkCity Pavillion August 1, 1997.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.newsflash.org/chat/leanight.htm"} +{"d:Title": "CyberChat With Lea - Part 2", "d:Description": "Chat interview conducted by the Philippine Headline News Online last June 25, 1998.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.newsflash.org/chat/leachat2.htm"} +{"d:Title": "CyberChat With Lea", "d:Description": "Chat Interview done last April 16, 1997 by Rey Carolino for the Showtime feature of the Filipino Today Newspaper.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.newsflash.org/chat/leachat1.htm"} +{"d:Title": "Lea Salonga Live", "d:Description": "Internet chat session done at the Talk City Live Auditorium on July 17, 1998.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.newsflash.org/chat/leatalk2.htm"} +{"d:Title": "The Making", "d:Description": "A night with Lea Salonga.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.newsflash.org/chat/leamaking.htm"} +{"d:Title": "Wikipedia: Lea Salonga", "d:Description": "A biography of Lea.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://en.wikipedia.org/wiki/Lea_Salonga"} +{"d:Title": "Lea Salonga", "d:Description": "Official web site of the artist containing her biography, pictures, updates, and news clippings of her performances.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.leasalonga.com/"} +{"d:Title": "Yahoo! Movies: Lea Salonga", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://movies.yahoo.com/person/lea-salonga/"} +{"d:Title": "IMDb: Lea Salonga", "d:Description": "Filmography for Lea Salonga.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.imdb.com/name/nm0758964/"} +{"d:Title": "Rotten Tomatoes: Lea Salonga", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.rottentomatoes.com/celebrity/lea_salonga/"} +{"d:Title": "TV.com: Lea Salonga", "d:Description": "With biography and acting appearances on TV.", "topic": "Top/Arts/People/S/Salonga,_Lea", "url": "http://www.tv.com/people/lea-salonga/"} +{"d:Title": "The Lea Salonga Tribute", "d:Description": "Includes photos, audio files, song lyrics, links, and a lesson in Tagalog; maintained by Rey Trajano.", "topic": "Top/Arts/People/S/Salonga,_Lea/Fan_Pages", "url": "http://members.tripod.com/~isang_muli/index2.html"} +{"d:Title": "The Marco Sanchez Home Page", "d:Description": "A page dedicated to the Sons of Thunder Star.", "topic": "Top/Arts/People/S/Sanchez,_Marco", "url": "http://www.hooloovoo.com/marco/"} +{"d:Title": "Yahoo! Movies: Marco Sanchez", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Sanchez,_Marco", "url": "http://movies.yahoo.com/person/marco-sanchez/"} +{"d:Title": "IMDb: Marco Sanchez", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Sanchez,_Marco", "url": "http://www.imdb.com/name/nm0007188/"} +{"d:Title": "AskMen.com: Roselyn Sanchez", "d:Description": "Biography and comments about this actress.", "topic": "Top/Arts/People/S/Sanchez,_Roselyn", "url": "http://uk.askmen.com/celebs/women/actress_200/203_roselyn_sanchez.html"} +{"d:Title": "IMDb: Roselyn Sanchez", "d:Description": "Includes filmography, biography, photos, and a message board.", "topic": "Top/Arts/People/S/Sanchez,_Roselyn", "url": "http://www.imdb.com/name/nm0761052/"} +{"d:Title": "William Sanderson", "d:Description": "Official website containing biography, resume, autographs and upcoming events.", "topic": "Top/Arts/People/S/Sanderson,_William", "url": "http://www.williamsanderson.net/"} +{"d:Title": "IMDb: William Sanderson", "d:Description": "Profile, filmography, and television appearances.", "topic": "Top/Arts/People/S/Sanderson,_William", "url": "http://www.imdb.com/name/nm0761836/"} +{"d:Title": "BladeZone - A Chat With William Sanderson", "d:Description": "Article by the Blade Runner Fanclub focusing the actor; interview conducted and transcribed by Aaron Brinkley.", "topic": "Top/Arts/People/S/Sanderson,_William", "url": "http://media.bladezone.com/contents/film/interviews/bill-sanderson/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Includes filmography, image gallery and news.", "topic": "Top/Arts/People/S/Sanderson,_William", "url": "http://www.rottentomatoes.com/celebrity/william_sanderson/"} +{"d:Title": "Yahoo! Movies: William Sanderson", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Sanderson,_William", "url": "http://movies.yahoo.com/person/william-sanderson/"} +{"d:Title": "Lyrics On Demand: Adam Sandler", "d:Description": "Lyrics to the comedy albums released by this comedian.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://www.lyricsondemand.com/a/adamsandlerlyrics/index.html"} +{"d:Title": "Entertainment Ave: Adam Sandler", "d:Description": "Review of a show at The Rosemont Theatre in Rosemont, Illinois.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://www.entertainmentavenue.com/concert_hall/reviews/s/adam_sandler/as062996.htm"} +{"d:Title": "BoxOfficeMojo.com: Bankability 101: Adam Sandler", "d:Description": "Box office track record. Includes averages, totals, domestic and international box office breakdowns for each of his movies, and his current Star Power 69 rating.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://www.boxofficemojo.com/people/chart/?id=adamsandler.htm"} +{"d:Title": "Adam Sandler News: Topix", "d:Description": "News about Adam Sandler continually updated from around the net.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://www.topix.com/who/adam-sandler"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Includes filmography, news and image gallery.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://www.rottentomatoes.com/celebrity/adam_sandler/"} +{"d:Title": "Yahoo! Movies: Adam Sandler", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://movies.yahoo.com/person/adam-sandler/"} +{"d:Title": "Facebook: Adam Sandler", "d:Description": "Official fan site. Features movies, albums, messages from Adam, and downloads.", "priority": "1", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "https://www.facebook.com/Sandler"} +{"d:Title": "TheMovieTimes.com: Adam Sandler", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?asandler"} +{"d:Title": "IMDb.com: Adam Sandler (I)", "d:Description": "Filmography, television appearances, and a profile.", "topic": "Top/Arts/People/S/Sandler,_Adam", "url": "http://www.imdb.com/name/nm0001191/"} +{"d:Title": "Nathan's Adam Sandler Page", "d:Description": "Fan presents pictures, information, a quiz, guitar tabs, sound clips, and links.", "topic": "Top/Arts/People/S/Sandler,_Adam/Fan_Pages", "url": "http://www.angelfire.com/mn/nathanspage/main.html"} +{"d:Title": "David's Adam Sandler Fan Page", "d:Description": "This site has his biography, music career and movie information, and links.", "topic": "Top/Arts/People/S/Sandler,_Adam/Fan_Pages", "url": "http://asandler0.tripod.com/adamsandler"} +{"d:Title": "The Adam Sandler Experience", "d:Description": "Sound clips, videos, MP3s, and pictures from television and movies.", "topic": "Top/Arts/People/S/Sandler,_Adam/Fan_Pages", "url": "http://adamsandler.jt.org/"} +{"d:Title": "Beth's Adam Sandler Fan Page", "d:Description": "Pictures, biography, news, quotes, links and sound clips.", "topic": "Top/Arts/People/S/Sandler,_Adam/Fan_Pages", "url": "http://iloveldr.tripod.com/Adam.html"} +{"d:Title": "IMDb: Julian Sands", "d:Description": "Includes filmography, profile, pictures, and links.", "topic": "Top/Arts/People/S/Sands,_Julian", "url": "http://www.imdb.com/name/nm0001696/"} +{"d:Title": "Julian Sands Picture Gallery", "d:Description": "A collection of screenshots and wallpapers.", "topic": "Top/Arts/People/S/Sands,_Julian", "url": "http://photobucket.com/albums/v11/cherry_outlaw05/"} +{"d:Title": "Yahoo! Movies: Julian Sands", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sands,_Julian", "url": "http://movies.yahoo.com/person/julian-sands/"} +{"d:Title": "TV Guide - Julian Sands", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Sands,_Julian", "url": "http://www.tvguide.com/celebrities/julian-sands/159307"} +{"d:Title": "Julian Sands", "d:Description": "Information, photos, filmography, and links to other related sites.", "topic": "Top/Arts/People/S/Sands,_Julian", "url": "http://lostuertos.tripod.com/Julian.html"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Contains filmography, image gallery and news.", "topic": "Top/Arts/People/S/Sands,_Julian", "url": "http://www.rottentomatoes.com/celebrity/julian_sands/"} +{"d:Title": "Gary Sandy", "d:Description": "Official site of the actor.", "topic": "Top/Arts/People/S/Sandy,_Gary", "url": "http://www.garysandy.com/"} +{"d:Title": "Yahoo! Movies", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Sandy,_Gary", "url": "http://movies.yahoo.com/person/gary-sandy-2/"} +{"d:Title": "IMDb", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Sandy,_Gary", "url": "http://www.imdb.com/name/nm0762466/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Sandy,_Gary", "url": "http://www.rottentomatoes.com/celebrity/gary_sandy/"} +{"d:Title": "Hildi Message Board", "d:Description": "A forum for fans of Trading Spaces designer Hilda Santo-Tomas.", "topic": "Top/Arts/People/S/Santo-Tomas,_Hilda", "url": "http://forums.delphiforums.com/Hildi/start"} +{"d:Title": "IMDb", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Santo-Tomas,_Hilda", "url": "http://www.imdb.com/name/nm0763826/"} +{"d:Title": "Yahoo! Movies: Laura San Giacomo", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/San_Giacomo,_Laura", "url": "http://movies.yahoo.com/person/laura-san-giacomo/"} +{"d:Title": "IMDb.com - Laura San Giacomo", "d:Description": "Laura San Giacomo's filmography at IMDb", "topic": "Top/Arts/People/S/San_Giacomo,_Laura", "url": "http://www.imdb.com/name/nm0000624/"} +{"d:Title": "Rotten Tomatoes: Laura San Giacomo", "d:Description": "Contains filmography, news articles and image gallery.", "topic": "Top/Arts/People/S/San_Giacomo,_Laura", "url": "http://www.rottentomatoes.com/celebrity/laura_san_giacomo/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Filmography and image gallery.", "topic": "Top/Arts/People/S/Sara,_Mia", "url": "http://www.rottentomatoes.com/celebrity/mia_sara/"} +{"d:Title": "Yahoo! Movies", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sara,_Mia", "url": "http://movies.yahoo.com/person/mia-sara/"} +{"d:Title": "IMDb: Mia Sara", "d:Description": "Filmography, pictures, and profile.", "topic": "Top/Arts/People/S/Sara,_Mia", "url": "http://www.imdb.com/name/nm0000214/"} +{"d:Title": "Mia Sara", "d:Description": "Images, biography, and links.", "topic": "Top/Arts/People/S/Sara,_Mia", "url": "http://revealdementia.tripod.com/MiaHome.htm"} +{"d:Title": "Thespian Net Presents Susan Sarandon", "d:Description": "Information about the actress including filmography, articles and pictures.", "topic": "Top/Arts/People/S/Sarandon,_Susan", "url": "http://www.thespiannet.com/actresses/S/sarandon_susan/"} +{"d:Title": "The Susan Sarandon Site", "d:Description": "Fan site includes image gallery, biography, filmography, interviews and awards.", "topic": "Top/Arts/People/S/Sarandon,_Susan", "url": "http://susansarandonsite.tripod.com/"} +{"d:Title": "Rotten Tomatoes", "d:Description": "Includes filmography, image gallery, awards and news.", "topic": "Top/Arts/People/S/Sarandon,_Susan", "url": "http://www.rottentomatoes.com/celebrity/susan_sarandon/"} +{"d:Title": "Susan Sarandon on In the Valley of Elah - CanMag", "d:Description": "Susan Sarandon discusses her role in the war drama and her apolitical opinion of the film.", "topic": "Top/Arts/People/S/Sarandon,_Susan", "url": "http://www.canmag.com/nw/8945-valley-of-elah-susan-sarandon"} +{"d:Title": "Yahoo! Movies: Susan Sarandon", "d:Description": "Includes biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Sarandon,_Susan", "url": "http://movies.yahoo.com/person/susan-sarandon/"} +{"d:Title": "The Movie Times: Susan Sarandon", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Sarandon,_Susan", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?sarandon"} +{"d:Title": "IMDb.com - Susan Sarandon", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/S/Sarandon,_Susan", "url": "http://www.imdb.com/name/nm0000215/"} +{"d:Title": "Hopelessly Devoted to Will Sasso", "d:Description": "Fan Page devoted to the Fox's Mad TV star.", "topic": "Top/Arts/People/S/Sasso,_Will", "url": "http://www.angelfire.com/ca4/stoogelovers/sasso.html"} +{"d:Title": "Will Sasso Yahoo! Fan Club", "d:Description": "Meet with other fans of the actor.", "topic": "Top/Arts/People/S/Sasso,_Will", "url": "http://movies.groups.yahoo.com/group/willsasso/"} +{"d:Title": "William Sasso - Rotten Tomatoes", "d:Description": "Includes filmography.", "topic": "Top/Arts/People/S/Sasso,_Will", "url": "http://www.rottentomatoes.com/celebrity/william_sasso/"} +{"d:Title": "Yahoo! Movies: Will Sasso", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Sasso,_Will", "url": "http://movies.yahoo.com/person/will-sasso/"} +{"d:Title": "IMDb: William Sasso", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Sasso,_Will", "url": "http://www.imdb.com/name/nm0766005/"} +{"d:Title": "IMDb: Brooke Satchwell", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Satchwell,_Brooke", "url": "http://www.imdb.com/name/nm0766120/"} +{"d:Title": "IMDb: Michael Saucedo", "d:Description": "Includes filmography, television guest appearances and image gallery.", "topic": "Top/Arts/People/S/Saucedo,_Michael", "url": "http://www.imdb.com/name/nm0005398/"} +{"d:Title": "Jennifer Saunders News: Topix", "d:Description": "News about Jennifer Saunders continually updated from around the net.", "topic": "Top/Arts/People/S/Saunders,_Jennifer", "url": "http://www.topix.com/who/jennifer-saunders"} +{"d:Title": "Yahoo! Movies: Jennifer Saunders", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Saunders,_Jennifer", "url": "http://movies.yahoo.com/person/jennifer-saunders/"} +{"d:Title": "IMDb: Jennifer Saunders", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Saunders,_Jennifer", "url": "http://www.imdb.com/name/nm0766837/"} +{"d:Title": "Rotten Tomatoes: Jennifer Saunders", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Saunders,_Jennifer", "url": "http://www.rottentomatoes.com/celebrity/jennifer_saunders/"} +{"d:Title": "Telly Savalas' Grave", "d:Description": "A photo of actors grave (of Kojak fame), at Forest Lawn Hollywood Hills, in Los Angeles, CA.", "topic": "Top/Arts/People/S/Savalas,_Telly", "url": "http://www.seeing-stars.com/ImagePages/TellySavalasGravePhoto.shtml"} +{"d:Title": "Telly Savalas Profile", "d:Description": "Features vital statistics of Telly Salavas.", "topic": "Top/Arts/People/S/Savalas,_Telly", "url": "http://www.who2.com/bio/telly-savalas"} +{"d:Title": "IMDb: Telly Savalas", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Savalas,_Telly", "url": "http://www.imdb.com/name/nm0001699/"} +{"d:Title": "IMDb: Devon Sawa", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Sawa,_Devon", "url": "http://www.imdb.com/name/nm0001701/"} +{"d:Title": "Fallen Angels Devon Sawa Web Site", "d:Description": "Includes information and multimedia.", "topic": "Top/Arts/People/S/Sawa,_Devon/Fan_Pages", "url": "http://www.angelfire.com/sd/fallenangels/devon.html"} +{"d:Title": "Julia Sawalha News: Topix", "d:Description": "News about Julia Sawalha continually updated from around the net.", "topic": "Top/Arts/People/S/Sawalha,_Julia", "url": "http://www.topix.com/who/julia-sawalha"} +{"d:Title": "IMDb: Julia Sawalha", "d:Description": "Filmography, awards, mini-biography, and photos.", "topic": "Top/Arts/People/S/Sawalha,_Julia", "url": "http://www.imdb.com/name/nm0768018/"} +{"d:Title": "IMDb: Greta Scacchi", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Scacchi,_Greta", "url": "http://www.imdb.com/name/nm0000627/"} +{"d:Title": "IMDb: Prunella Scales", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Scales,_Prunella", "url": "http://www.imdb.com/name/nm0768795/"} +{"d:Title": "Yahoo! Movies: Diana Scarwid", "d:Description": "Includes biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Scarwid,_Diana", "url": "http://movies.yahoo.com/person/diana-scarwid/"} +{"d:Title": "IMDb.com - Diana Scarwid", "d:Description": "Filmography, biography and photo gallery of the actress.", "topic": "Top/Arts/People/S/Scarwid,_Diana", "url": "http://www.imdb.com/name/nm0769311/"} +{"d:Title": "Johnathon Schaech List", "d:Description": "Post messages and photos of Johnathon in this community of fans.", "topic": "Top/Arts/People/S/Schaech,_Johnathon", "url": "http://groups.yahoo.com/group/johnathonschaech"} +{"d:Title": "IMDB - Johnathon Schaech", "d:Description": "Filmography of Johnathon Schaech.", "topic": "Top/Arts/People/S/Schaech,_Johnathon", "url": "http://www.imdb.com/name/nm0000628/"} +{"d:Title": "Johnathon Schaech Fan Site", "d:Description": "Filmography, photos and links.", "topic": "Top/Arts/People/S/Schaech,_Johnathon", "url": "http://jschaech2k.tripod.com/"} +{"d:Title": "Yahoo! Movies: Natalie Schafer", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Schafer,_Natalie", "url": "http://movies.yahoo.com/person/natalie-schafer/"} +{"d:Title": "IMDb: Natalie Schafer", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Schafer,_Natalie", "url": "http://www.imdb.com/name/nm0769791/"} +{"d:Title": "Yahoo! Groups : marlaschaffel", "d:Description": "A mailing list for fans.", "topic": "Top/Arts/People/S/Schaffel,_Marla", "url": "http://groups.yahoo.com/group/marlaschaffel/"} +{"d:Title": "Marla Schaffel: The Unofficial Fan Website", "d:Description": "Includes news, career highlights, pictures, sound clips, articles and reviews, fan fiction, and related links.", "topic": "Top/Arts/People/S/Schaffel,_Marla", "url": "http://www.currerwells.net/marlaschaffel/"} +{"d:Title": "Marla Schaffel", "d:Description": "Filmography and mini biography at IMDb.", "topic": "Top/Arts/People/S/Schaffel,_Marla", "url": "http://www.imdb.com/name/nm0769811/"} +{"d:Title": "Yahoo! Movies: Roy Scheider", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Scheider,_Roy", "url": "http://movies.yahoo.com/person/roy-scheider/"} +{"d:Title": "TV Guide - Roy Scheider", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Scheider,_Roy", "url": "http://www.tvguide.com/celebrities/roy-scheider/173184"} +{"d:Title": "IMDb: Roy Scheider", "d:Description": "The Internet Movie Database includes filmography, profile, trivia, pictures, and links.", "topic": "Top/Arts/People/S/Scheider,_Roy", "url": "http://www.imdb.com/name/nm0001702/"} +{"d:Title": "IMDb: Maximilian Schell", "d:Description": "Filmography, profile, photographs, and other information on the actor.", "topic": "Top/Arts/People/S/Schell,_Maximilian", "url": "http://www.imdb.com/name/nm0001703/"} +{"d:Title": "Wikipedia.org: Maximilian Schell", "d:Description": "Short movie profile and awards list.", "topic": "Top/Arts/People/S/Schell,_Maximilian", "url": "http://en.wikipedia.org/wiki/Maximilian_Schell"} +{"d:Title": "August Schellenberg", "d:Description": "Official site, with filmography, photos, and news.", "topic": "Top/Arts/People/S/Schellenberg,_August", "url": "http://www.augustschellenberg.com/"} +{"d:Title": "IMDb: August Schellenberg", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Schellenberg,_August", "url": "http://www.imdb.com/name/nm0770763/"} +{"d:Title": "Super Model Guide: Marcus Schenkenberg", "d:Description": "Information, photographs, and brief biography.", "topic": "Top/Arts/People/S/Schenkenberg,_Marcus", "url": "http://supermodelguide.com/supermodels/marcus.html"} +{"d:Title": "IMDb: Marcus Schenkenberg", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Schenkenberg,_Marcus", "url": "http://www.imdb.com/name/nm0770926/"} +{"d:Title": "Paul Scherrer Tribute Page", "d:Description": "Fan page with biography and photographs.", "topic": "Top/Arts/People/S/Scherrer,_Paul", "url": "http://www.paulscherrer.homestead.com/"} +{"d:Title": "IMDb.com - Paul Scherrer", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/S/Scherrer,_Paul", "url": "http://www.imdb.com/name/nm0771121/"} +{"d:Title": "RichardSchiff Mailing List", "d:Description": "Discussion for fans of the character actor Richard Schiff, known for several roles, including \"Toby Ziegler\" on The West Wing.", "topic": "Top/Arts/People/S/Schiff,_Richard", "url": "http://groups.yahoo.com/group/RichardSchiff"} +{"d:Title": "Internet Movie Database: Richard Schiff", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Schiff,_Richard", "url": "http://www.imdb.com/name/nm0771493/"} +{"d:Title": "Yahoo! Movies: Richard Schiff", "d:Description": "Biography, filmography, image gallery.", "topic": "Top/Arts/People/S/Schiff,_Richard", "url": "http://movies.yahoo.com/person/richard-schiff/"} +{"d:Title": "Internet Movie Database: Kyle Schmid", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Schmid,_Kyle", "url": "http://www.imdb.com/name/nm0772704/"} +{"d:Title": "Monika Schnarre Official Website", "d:Description": "Includes biography, resume, portfolio, fan club information, and a jewellery store.", "topic": "Top/Arts/People/S/Schnarre,_Monika", "url": "http://www.monikaschnarre.com/"} +{"d:Title": "IMDb: Monika Schnarre", "d:Description": "Includes her filmography, biographical information, trivia, and a photo gallery.", "topic": "Top/Arts/People/S/Schnarre,_Monika", "url": "http://www.imdb.com/name/nm0773635/"} +{"d:Title": "Yahoo Group: Rob Schneider", "d:Description": "Includes messages, files, links and photos.", "topic": "Top/Arts/People/S/Schneider,_Rob", "url": "http://groups.yahoo.com/group/rob-schneider/"} +{"d:Title": "girl.com.au: Rob Schneider", "d:Description": "An interview by Paul Fischer.", "topic": "Top/Arts/People/S/Schneider,_Rob", "url": "http://www.girl.com.au/robschneider.htm"} +{"d:Title": "IMDb: Rob Schneider", "d:Description": "Includes fan sites, biography, photo gallery, trivia and filmography.", "topic": "Top/Arts/People/S/Schneider,_Rob", "url": "http://www.imdb.com/name/nm0001705/"} +{"d:Title": "Tribute.ca: Rob Schneider", "d:Description": "A biography of the actor. Includes filmography and movie information.", "topic": "Top/Arts/People/S/Schneider,_Rob", "url": "http://www.tribute.ca/people/rob-schneider/3132/"} +{"d:Title": "Canoe.ca: Rob Schneider", "d:Description": "A collection of archived interviews and reviews for the actor.", "topic": "Top/Arts/People/S/Schneider,_Rob", "url": "http://jam.canoe.com/Movies/Artists/S/Schneider_Rob/"} +{"d:Title": "ESPN.com: 10 Burning Questions", "d:Description": "A question and answer with Rob Schneider.", "topic": "Top/Arts/People/S/Schneider,_Rob", "url": "http://www.espn.com/page2/s/questions/schneider010604.html"} +{"d:Title": "Internet Movie Database: Romy Schneider", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/S/Schneider,_Romy", "url": "http://www.imdb.com/name/nm0002769/"} +{"d:Title": "FemBio - Romy Schneider", "d:Description": "Features a brief biography by Susanne Gretter.", "topic": "Top/Arts/People/S/Schneider,_Romy", "url": "http://www.fembio.org/english/biography.php/woman/biography/romy-schneider"} +{"d:Title": "Yahoo! Movies: Romy Schneider", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Schneider,_Romy", "url": "http://movies.yahoo.com/person/romy-schneider/"} +{"d:Title": "Dreaming Of Liev Schreiber", "d:Description": "Offers quotes, a filmography, and sound clips.", "topic": "Top/Arts/People/S/Schreiber,_Liev", "url": "http://lievs4ever.tripod.com/"} +{"d:Title": "Internet Movie Database: Liev Schreiber", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Schreiber,_Liev", "url": "http://www.imdb.com/name/nm0000630/"} +{"d:Title": "TV Guide - Liev Schreiber", "d:Description": "Current month television schedule. Covers network and cable in the US.", "topic": "Top/Arts/People/S/Schreiber,_Liev", "url": "http://www.tvguide.com/celebrities/liev-schreiber/162111"} +{"d:Title": "Detective Danny Sorenson", "d:Description": "Biography of Schroder and of his character on NYPD Blue.", "topic": "Top/Arts/People/S/Schroder,_Rick", "url": "http://www.stwing.upenn.edu/~sepinwal/sorenson.txt.html"} +{"d:Title": "TV Guide - Rick Schroder", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Schroder,_Rick", "url": "http://www.tvguide.com/celebrities/rick-schroder/171799"} +{"d:Title": "IMDb: Rick Schroder", "d:Description": "Profile, trivia, and filmography.", "topic": "Top/Arts/People/S/Schroder,_Rick", "url": "http://www.imdb.com/name/nm0005401/"} +{"d:Title": "Rick Schroder: Bye, Bye \"Blue\"", "d:Description": "Article from Hollywood.com.", "topic": "Top/Arts/People/S/Schroder,_Rick", "url": "http://www.hollywood.com/news/brief/468136/schroder-bye-bye-blue"} +{"d:Title": "Yahoo! Movies: Carly Schroeder", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Schroeder,_Carly", "url": "http://movies.yahoo.com/person/carly-schroeder/"} +{"d:Title": "IMDb.com: Carly Schroeder", "d:Description": "Carly Schroeder's filmography and profile.", "topic": "Top/Arts/People/S/Schroeder,_Carly", "url": "http://www.imdb.com/name/nm0775455/"} +{"d:Title": "Internet Movie Database: Steven Schub", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Schub,_Steve", "url": "http://www.imdb.com/name/nm0006575/"} +{"d:Title": "Yahoo! Movies: Steven Schub", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Schub,_Steve", "url": "http://movies.yahoo.com/person/steven-schub/"} +{"d:Title": "Steven Schub in Film", "d:Description": "Pictures and filmography for Steve Schub, actor, and also of The Fenwicks.", "topic": "Top/Arts/People/S/Schub,_Steve", "url": "http://www.stevenschub.com/"} +{"d:Title": "Yahoo! Movies: Dwight Schultz", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Schultz,_Dwight", "url": "http://movies.yahoo.com/person/dwight-schultz/"} +{"d:Title": "IMDb.com - Dwight Schultz", "d:Description": "Filmography and trivia at IMDb.", "topic": "Top/Arts/People/S/Schultz,_Dwight", "url": "http://www.imdb.com/name/nm0776239/"} +{"d:Title": "Planet Schwartz", "d:Description": "Biography, images, links, and \"Six Degrees of Rushmore\" game.", "topic": "Top/Arts/People/S/Schwartzman,_Jason", "url": "http://members.tripod.com/planet_schwartz/"} +{"d:Title": "Yahoo! Movies: Jason Schwartzman", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Schwartzman,_Jason", "url": "http://movies.yahoo.com/person/jason-schwartzman/"} +{"d:Title": "IMDb: Jason Schwartzman", "d:Description": "Filmography, pictures and trivia.", "topic": "Top/Arts/People/S/Schwartzman,_Jason", "url": "http://www.imdb.com/name/nm0005403/"} +{"d:Title": "Arnold Schwarzenegger", "d:Description": "Official site featuring information, games, photos, memorabilia, auctions and an online souvenir store.", "priority": "1", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.schwarzenegger.com/"} +{"d:Title": "Thespian Net: Arnold Schwarzenegger", "d:Description": "Biography, credits, and related links.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.thespiannet.com/actors/S/schwarzenegger_arnold/index.shtml"} +{"d:Title": "Seeing Stars: Arnold Schwarzenegger", "d:Description": "Locations around L.A. where Arnold has been spotted, and photographs.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://seeing-stars.com/starindexes/Arnold.shtml"} +{"d:Title": "Terminator Travels to China", "d:Description": "Article about the actor's visit to China, by Ron Gluckman.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.gluckman.com/Arnie.html"} +{"d:Title": "Schwarzenegger's Workout Routine", "d:Description": "Article from askmen.com, with information on Arnold's workout routine, including his philosophy and suggestions.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.askmen.com/sports/bodybuilding_60/69_fitness_tip.html"} +{"d:Title": "Arnold Schwarzenegger News: Topix", "d:Description": "News about Arnold Schwarzenegger continually updated from around the net.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.topix.com/who/arnold-schwarzenegger"} +{"d:Title": "Topix: Arnold Schwarzenegger", "d:Description": "News about Arnold Schwarzenegger, collected from various sources on the web.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.topix.com/rss/who/arnold-schwarzenegger.xml"} +{"d:Title": "The Movie Times: Arnold Schwarzenegger", "d:Description": "Box office information of all his movies, biography, links, and pictures.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?arnold"} +{"d:Title": "IMDb: Arnold Schwarzenegger", "d:Description": "Biography, filmography, image gallery, awards, media, and links.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold", "url": "http://www.imdb.com/name/nm0000216/"} +{"d:Title": "The Arnold Fans", "d:Description": "News, archives, pictures, celebrity interviews, on-set movie visits, and message boards.", "priority": "1", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold/Fan_Pages", "url": "http://www.thearnoldfans.com/"} +{"d:Title": "Arnold Schwarzenegger", "d:Description": "Information about his current, past, and upcoming movies, pictures, trivia, quotations, and links.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold/Fan_Pages", "url": "http://www.schwarzenegger.se/"} +{"d:Title": "Brian's Drive-In Theater: Arnold Schwarzenegger", "d:Description": "Contains information, photos, and a bodybuilding gallery of Arnold Schwarzenegger.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold/Image_Galleries", "url": "http://www.briansdriveintheater.com/arnold.html"} +{"d:Title": "Box Office Mojo: Arnold Schwarzenegger", "d:Description": "Box office data for each of the actor's films.", "topic": "Top/Arts/People/S/Schwarzenegger,_Arnold/Movies", "url": "http://www.boxofficemojo.com/people/chart/?view=Actor&id=arnoldschwarzenegger.htm"} +{"d:Title": "NativeCelebs: Eric Schweig", "d:Description": "Biography, filmography, and pictures.", "topic": "Top/Arts/People/S/Schweig,_Eric", "url": "http://www.nativecelebs.com/bios3.htm"} +{"d:Title": "ESpirit", "d:Description": "An Eric Schweig fan site with mini biography, news, links, photos, and interviews.", "topic": "Top/Arts/People/S/Schweig,_Eric", "url": "http://www.angelfire.com/in2/ESpirit/"} +{"d:Title": "Eric Schweig International Fan Club", "d:Description": "Fan club site with pictures, news, artwork, and a message board.", "topic": "Top/Arts/People/S/Schweig,_Eric", "url": "http://www.angelfire.com/in2/EricSchweigFanClub/"} +{"d:Title": "The Unofficial Eric Schweig Pages", "d:Description": "Fan site with biography, news, photos, related links, and message boards.", "topic": "Top/Arts/People/S/Schweig,_Eric", "url": "http://www.angelfire.com/celeb2/theunofficialES/"} +{"d:Title": "Internet Movie Database: Eric Schweig", "d:Description": "Filmography and image gallery.", "topic": "Top/Arts/People/S/Schweig,_Eric", "url": "http://www.imdb.com/name/nm0777760/"} +{"d:Title": "IMDb.com - David Schwimmer", "d:Description": "Filmography at IMDb", "topic": "Top/Arts/People/S/Schwimmer,_David", "url": "http://www.imdb.com/name/nm0001710/"} +{"d:Title": "BBC News: Paul Scofield: Man for all Seasons", "d:Description": "Article detailing his work in film and theatre.", "topic": "Top/Arts/People/S/Scofield,_Paul", "url": "http://news.bbc.co.uk/1/hi/entertainment/1092962.stm"} +{"d:Title": "Shakespeare and the Globe: Then and Now", "d:Description": "Profile and film work.", "topic": "Top/Arts/People/S/Scofield,_Paul", "url": "http://search.eb.com/shakespeare/micro/532/74.html"} +{"d:Title": "IMDb: Paul Scofield (I)", "d:Description": "Filmography, profile, and photographs.", "topic": "Top/Arts/People/S/Scofield,_Paul", "url": "http://www.imdb.com/name/nm0006890/"} +{"d:Title": "Don Ignacio Pays Tribute to Paul Scofield", "d:Description": "Profile with searchable links to a few of his films, and a complete filmography.", "topic": "Top/Arts/People/S/Scofield,_Paul", "url": "http://donignacio.tripod.com/actscofield.html"} +{"d:Title": "Yahoo! Movies: Tracy Scoggins", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Scoggins,_Tracy", "url": "http://movies.yahoo.com/person/tracy-scoggins/"} +{"d:Title": "Tracy Scoggins Appreciation Webring", "d:Description": "Collection of sites dedicated to the actress.", "topic": "Top/Arts/People/S/Scoggins,_Tracy", "url": "http://ravenwitch.tripod.com/Witch/ring.html"} +{"d:Title": "IMDb.com - Tracy Scoggins", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/S/Scoggins,_Tracy", "url": "http://www.imdb.com/name/nm0001712/"} +{"d:Title": "Izabella Scorupco World", "d:Description": "Fan site includes biography and image gallery.", "topic": "Top/Arts/People/S/Scorupco,_Izabella", "url": "http://izascorupco.tripod.com/"} +{"d:Title": "Yahoo! Movies: Izabella Scorupco", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Scorupco,_Izabella", "url": "http://movies.yahoo.com/person/izabella-scorupco/"} +{"d:Title": "IMDb.com - Izabella Scorupco", "d:Description": "Izabella Scorupco's filmography", "topic": "Top/Arts/People/S/Scorupco,_Izabella", "url": "http://www.imdb.com/name/nm0001713/"} +{"d:Title": "IMDb: April Scott", "d:Description": "Contains a filmography and message boards.", "topic": "Top/Arts/People/S/Scott,_April", "url": "http://www.imdb.com/name/nm1502475/"} +{"d:Title": "MadeMan.com: April Scott", "d:Description": "Contains news, image galleries, videos and links.", "topic": "Top/Arts/People/S/Scott,_April", "url": "http://www.mademan.com/chickipedia/april-scott/"} +{"d:Title": "Wikipedia: April Scott", "d:Description": "Offers biographical information, as well as a filmography and trivia.", "topic": "Top/Arts/People/S/Scott,_April", "url": "http://en.wikipedia.org/wiki/April_Scott"} +{"d:Title": "AskMen.com: April Scott", "d:Description": "Contains a biography, quotes and image galleries.", "topic": "Top/Arts/People/S/Scott,_April", "url": "http://www.askmen.com/celebs/women/models_300/365_april_scott.html"} +{"d:Title": "IDontLikeYouInThatWay.com", "d:Description": "Interview with model and actress April Scott.", "topic": "Top/Arts/People/S/Scott,_April", "url": "http://idly.craveonline.com/2005/06/the-idontlikeyou-im-terview-april-scott/"} +{"d:Title": "iCelebz: April Scott", "d:Description": "Offers thumbnailed image galleries.", "topic": "Top/Arts/People/S/Scott,_April/Image_Galleries", "url": "http://www.icelebz.com/celebs/april_scott/"} +{"d:Title": "Hollywoodtuna: April Scott", "d:Description": "Contains thumbnailed image galleries.", "topic": "Top/Arts/People/S/Scott,_April/Image_Galleries", "url": "http://www.hollywoodtuna.com/?cat=58"} +{"d:Title": "FanPix.net: April Scott", "d:Description": "Offers thumbnailed image galleries.", "topic": "Top/Arts/People/S/Scott,_April/Image_Galleries", "url": "http://fanpix.famousfix.com/gallery/april-scott-pictures.htm"} +{"d:Title": "IMDb: Ashley Scott", "d:Description": "Includes filmography, biographical information, photos, trivia, and links.", "topic": "Top/Arts/People/S/Scott,_Ashley", "url": "http://www.imdb.com/name/nm1008709/"} +{"d:Title": "Yahoo! Movies: Ashley Scott", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Scott,_Ashley", "url": "http://movies.yahoo.com/person/ashley-scott/"} +{"d:Title": "The Campbell Scott Companion", "d:Description": "A reference to the works of actor/director Campbell Scott. Contains filmography, biography, audiography, TV, theater and directorial credits, pictures, and sounds.", "topic": "Top/Arts/People/S/Scott,_Campbell", "url": "http://cscompanion.tripod.com/"} +{"d:Title": "IMDb.com - Campbell Scott", "d:Description": "Filmography of the actor/director.", "topic": "Top/Arts/People/S/Scott,_Campbell", "url": "http://www.imdb.com/name/nm0001714/"} +{"d:Title": "IMDb: Coltin Scott", "d:Description": "Facts and a filmography.", "topic": "Top/Arts/People/S/Scott,_Coltin", "url": "http://www.imdb.com/name/nm0778986/"} +{"d:Title": "IMDb - Debralee Scott", "d:Description": "Filmography, mini-biography, and discussion threads on the actress.", "topic": "Top/Arts/People/S/Scott,_Debralee", "url": "http://www.imdb.com/name/nm0779047/"} +{"d:Title": "Wikipedia: Debralee Scott", "d:Description": "Information about her TV and movie roles as well as her death.", "topic": "Top/Arts/People/S/Scott,_Debralee", "url": "http://en.wikipedia.org/wiki/Debralee_Scott"} +{"d:Title": "Love That Scott Yahoo! Club - The Original Dougray Scott Fan Site", "d:Description": "The original Dougray Scott club for chats, pictures, and information.", "topic": "Top/Arts/People/S/Scott,_Dougray", "url": "http://groups.yahoo.com/group/lovethatscott"} +{"d:Title": "Dougray Scott Shrine", "d:Description": "Yahoo group focusing on the actor.", "topic": "Top/Arts/People/S/Scott,_Dougray", "url": "http://groups.yahoo.com/group/thedougrayscottshrine/"} +{"d:Title": "Dougray Scott News: Topix", "d:Description": "News about Dougray Scott continually updated from around the net.", "topic": "Top/Arts/People/S/Scott,_Dougray", "url": "http://www.topix.com/who/dougray-scott"} +{"d:Title": "IMDb: Dougray Scott", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Scott,_Dougray", "url": "http://www.imdb.com/name/nm0779084/"} +{"d:Title": "Images - The Films of George C. Scott", "d:Description": "Article from Images, a journal of film and popular culture, on this actor's film career. Filmography covers movies from 1959 to 1995.", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://www.imagesjournal.com/issue04/features/georgecscott.htm"} +{"d:Title": "George C. Scott Inherits a Broadway Favorite", "d:Description": "Article from CNN on Scott's performance in Inherit the Wind.", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://www.cnn.com/SHOWBIZ/9604/06/inherit.wind/index.html"} +{"d:Title": "Pals revisit Scopes in 'Inherit the Wind'", "d:Description": "CNN article on the work of George C. Scott and Jack Lemmon in this upcoming movie.", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://www.cnn.com/SHOWBIZ/TV/9905/28/inherit.the.wind/"} +{"d:Title": "My Memories of George C. Scott, Sgt USMC", "d:Description": "By Major Bob Morrissey USMC (Ret).", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://www.angelfire.com/ca4/gunnyg/gcscott.html"} +{"d:Title": "Rotten Tomatoes: George C. Scott", "d:Description": "Filmography, photos, and academy award nominations for this actor.", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://www.rottentomatoes.com/celebrity/george_c_scott/"} +{"d:Title": "Laurie Piper Remembers George C. Scott", "d:Description": "Michael Sragow's moviemakers column focuses on the career of this actor.", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://www.salon.com/1999/09/30/scott_2/"} +{"d:Title": "H2G2: George C Scott", "d:Description": "Obituary of this actor.", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://h2g2.com/edited_entry/A246980"} +{"d:Title": "IMDb: George C. Scott", "d:Description": "George C. Scott's filmography at IMDb.", "topic": "Top/Arts/People/S/Scott,_George_C.", "url": "http://www.imdb.com/name/nm0001715/"} +{"d:Title": "Yahoo! Movies: Jason-Shane Scott", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Scott,_Jason-Shane", "url": "http://movies.yahoo.com/person/jasonshane-scott/"} +{"d:Title": "IMDb.com - Jason-Shane Scott", "d:Description": "Jason-Shane Scott's filmography", "topic": "Top/Arts/People/S/Scott,_Jason-Shane", "url": "http://www.imdb.com/name/nm0779292/"} +{"d:Title": "Seann William Scott: The Man of Our Dreams", "d:Description": "Fan site with pictures, news, biography, filmography, and related links.", "topic": "Top/Arts/People/S/Scott,_Seann", "url": "http://www.angelfire.com/sc2/seannscott0/"} +{"d:Title": "Internet Movie Database: Seann William Scott", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Scott,_Seann", "url": "http://www.imdb.com/name/nm0005405/"} +{"d:Title": "Yahoo Groups - Tom Everett Scott", "d:Description": "Forum focusing on the actor.", "topic": "Top/Arts/People/S/Scott,_Tom_Everett", "url": "http://groups.yahoo.com/group/tom-everett-scott"} +{"d:Title": "IMDb: Tom Everett Scott", "d:Description": "Filmography and profile.", "topic": "Top/Arts/People/S/Scott,_Tom_Everett", "url": "http://www.imdb.com/name/nm0779866/"} +{"d:Title": "IMDb - William Lee Scott", "d:Description": "Filmography and biography for the actor.", "topic": "Top/Arts/People/S/Scott,_William_Lee", "url": "http://www.imdb.com/name/nm0005406/"} +{"d:Title": "Yahoo Groups: William Lee Scott Mailing List", "d:Description": "A mailing list to discuss about one of the hottest actors, William Lee Scott.", "topic": "Top/Arts/People/S/Scott,_William_Lee", "url": "http://movies.groups.yahoo.com/group/williamleescott/"} +{"d:Title": "Yahoo! Movies: William Lee Scott", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Scott,_William_Lee", "url": "http://movies.yahoo.com/person/william-lee-scott/"} +{"d:Title": "Kristin Scott Thomas", "d:Description": "Biography, photos, and links.", "topic": "Top/Arts/People/S/Scott_Thomas,_Kristin", "url": "http://www.tmaw.co.uk/kristinst.html"} +{"d:Title": "IMDb: Kristin Scott Thomas", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, awards, and links.", "topic": "Top/Arts/People/S/Scott_Thomas,_Kristin", "url": "http://www.imdb.com/name/nm0000218/"} +{"d:Title": "Actress Kristin Scott Thomas", "d:Description": "Picture galleries, Box office information, vital statistics, links and message board.", "topic": "Top/Arts/People/S/Scott_Thomas,_Kristin", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?ksthomas"} +{"d:Title": "IMDb: Serena Scott Thomas", "d:Description": "Biographical information, acting credits and guest appearances, trivia, photo gallery, and publicity material from the Internet Movie Database.", "topic": "Top/Arts/People/S/Scott_Thomas,_Serena", "url": "http://www.imdb.com/name/nm0005583/"} +{"d:Title": "Angus Scrimm", "d:Description": "Meet the evil star of the classic sci-fi/horror Phantasm film series.", "topic": "Top/Arts/People/S/Scrimm,_Angus", "url": "http://phantasm.com/index.php?option=com_content&task=view&id=41&Itemid=50"} +{"d:Title": "IMDb: Angus Scrimm", "d:Description": "Filmography of Angus Scrimm.", "topic": "Top/Arts/People/S/Scrimm,_Angus", "url": "http://www.imdb.com/name/nm0780133/"} +{"d:Title": "CNN - Home Video Preview - Feb. 9, 1996", "d:Description": "Home Video Preview article.", "topic": "Top/Arts/People/S/Seagal,_Steven", "url": "http://www.cnn.com/SHOWBIZ/HomeVideo/9602/02-09/index.html"} +{"d:Title": "CNN Showbiz News: Under Siege 2", "d:Description": "Behind the scenes on 'Under Siege Two'. July 11, 1995", "topic": "Top/Arts/People/S/Seagal,_Steven", "url": "http://www.cnn.com/SHOWBIZ/Movies/UnderSiege/index.html"} +{"d:Title": "WWWF Ground Zero: Jean Claude Van Damme vs. Steven Seagal", "d:Description": "A humorous look as the two action heroes duke it out for the lead role in 'McBain: The Movie'.", "topic": "Top/Arts/People/S/Seagal,_Steven", "url": "http://www.grudge-match.com/History/seagal_vandamme.shtml"} +{"d:Title": "Unofficial Steven Seagal", "d:Description": "Site contains interviews, articles, images, and a discussion forum.", "topic": "Top/Arts/People/S/Seagal,_Steven", "url": "http://www.steven-seagal.net/"} +{"d:Title": "TV Guide - Steven Seagal", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Seagal,_Steven", "url": "http://www.tvguide.com/celebrities/steven-seagal/175554"} +{"d:Title": "IMDb: Steven Seagal", "d:Description": "The Internet Movie Database includes biography, filmography, and links.", "topic": "Top/Arts/People/S/Seagal,_Steven", "url": "http://www.imdb.com/name/nm0000219/"} +{"d:Title": "The Jean Seberg Website", "d:Description": "Website dedicated to the screen icon. Biography, filmography, gallery, and forum.", "topic": "Top/Arts/People/S/Seberg,_Jean", "url": "http://www.saintjean.co.uk/"} +{"d:Title": "IMDb: Jean Seberg", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Seberg,_Jean", "url": "http://www.imdb.com/name/nm0781029/"} +{"d:Title": "Yahoo! Movies: Jean Seberg", "d:Description": "Contains biography, filmography, awards.", "topic": "Top/Arts/People/S/Seberg,_Jean", "url": "http://movies.yahoo.com/person/jean-seberg/"} +{"d:Title": "The Kyle Krewe", "d:Description": "Site dedicated to actor Kyle Secor, with interviews, reviews, and pictures.", "topic": "Top/Arts/People/S/Secor,_Kyle", "url": "http://kyle_secor.tripod.com/"} +{"d:Title": "Kyle Secor", "d:Description": "Biography, filmography, pictures, interviews and other articles.", "topic": "Top/Arts/People/S/Secor,_Kyle", "url": "http://kylesecor.tripod.com/"} +{"d:Title": "IMDb: Kyle Secor", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Secor,_Kyle", "url": "http://www.imdb.com/name/nm0001717/"} +{"d:Title": "TV Guide - Kyle Secor", "d:Description": "Current month television schedule.", "topic": "Top/Arts/People/S/Secor,_Kyle", "url": "http://www.tvguide.com/celebrities/kyle-secor/161081"} +{"d:Title": "Yahoo! Movies: Amy Sedaris", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sedaris,_Amy", "url": "http://movies.yahoo.com/person/amy-sedaris/"} +{"d:Title": "IMDb.com - Amy Sedaris", "d:Description": "Filmography, biography, and photo gallery.", "topic": "Top/Arts/People/S/Sedaris,_Amy", "url": "http://www.imdb.com/name/nm0781238/"} +{"d:Title": "WarholStars.org: Edie Sedgwick", "d:Description": "Biography, photo, and quotes from and about her.", "topic": "Top/Arts/People/S/Sedgwick,_Edie", "url": "http://www.warholstars.org/indfoto/iedie.html"} +{"d:Title": "IMDb.com: Edie Sedgwick", "d:Description": "Includes a filmography and biographical information.", "topic": "Top/Arts/People/S/Sedgwick,_Edie", "url": "http://www.imdb.com/name/nm0781291/"} +{"d:Title": "Xenia Seeberg's Official Web Site", "d:Description": "Filmography, photo gallery, online store and email newsletter.", "topic": "Top/Arts/People/S/Seeberg,_Xenia", "url": "http://www.xeniaseeberg.biz/"} +{"d:Title": "IMDb: Xenia Seeberg", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Seeberg,_Xenia", "url": "http://www.imdb.com/name/nm0781462/"} +{"d:Title": "IMDb: Emmanuelle Seigner", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Seigner,_Emmanuelle", "url": "http://www.imdb.com/name/nm0782561/"} +{"d:Title": "mxdpi - Emmanuelle Seigner", "d:Description": "Presents thumbnailed picture galleries of the actress.", "topic": "Top/Arts/People/S/Seigner,_Emmanuelle", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Emmanuelle_Seigner"} +{"d:Title": "Yahoo! Movies: Emmanuelle Seigner", "d:Description": "Includes filmography.", "topic": "Top/Arts/People/S/Seigner,_Emmanuelle", "url": "http://movies.yahoo.com/person/emmanuelle-seigner/"} +{"d:Title": "IMDb: Jerry Seinfeld", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/People/S/Seinfeld,_Jerry", "url": "http://www.imdb.com/name/nm0000632/"} +{"d:Title": "Jerry Seinfeld News: Topix", "d:Description": "News about Jerry Seinfeld continually updated from around the net.", "topic": "Top/Arts/People/S/Seinfeld,_Jerry", "url": "http://www.topix.com/who/jerry-seinfeld"} +{"d:Title": "Yahoo! Movies: Jerry Seinfeld", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Seinfeld,_Jerry", "url": "http://movies.yahoo.com/person/jerry-seinfeld/"} +{"d:Title": "David Selby.com", "d:Description": "Features news, biography, photo gallery, filmography and links.", "topic": "Top/Arts/People/S/Selby,_David", "url": "http://www.davidselby.com/"} +{"d:Title": "David Selby Picture and Sound Page", "d:Description": "Pictures of David Selby as Quentin Collins on Dark Shadows from 1968 to 1971.", "topic": "Top/Arts/People/S/Selby,_David", "url": "http://davidselbytx.tripod.com/davidselby.html"} +{"d:Title": "Criseyde's David Selby Site", "d:Description": "Includes photos, news, biography, filmography, stage and television appearances.", "topic": "Top/Arts/People/S/Selby,_David", "url": "http://www.ldrweb.com/davidselby/"} +{"d:Title": "IMDb: David Selby", "d:Description": "Includes complete filmography of all movies and TV series that he appeared in.", "topic": "Top/Arts/People/S/Selby,_David", "url": "http://www.imdb.com/name/nm0782978/"} +{"d:Title": "IMDb: Tom Selleck", "d:Description": "The Internet Movie Database includes biographical information, movie and TV credits, and links.", "topic": "Top/Arts/People/S/Selleck,_Tom", "url": "http://www.imdb.com/name/nm0000633/"} +{"d:Title": "Yahoo! Movies: Tom Selleck", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Selleck,_Tom", "url": "http://movies.yahoo.com/person/tom-selleck/"} +{"d:Title": "Tom Selleck on Digital Hit", "d:Description": "A brief article on his life and career with a picture and related links.", "topic": "Top/Arts/People/S/Selleck,_Tom", "url": "http://www.digitalhit.com/cr/tomselleck/"} +{"d:Title": "The Tom and Rosie show", "d:Description": "Worldnet Daily publisher Joseph Farah says he's not mad at Rosie O'Donnell, he's mad at Tom Selleck.", "topic": "Top/Arts/People/S/Selleck,_Tom", "url": "http://www.wnd.com/1999/05/1378/"} +{"d:Title": "My tribute to Peter Sellers", "d:Description": "Photograph galleries of Sellers in films and The Goon Show.", "topic": "Top/Arts/People/S/Sellers,_Peter", "url": "http://hallo_spaceboy1.tripod.com/haveagorilla/id5.html"} +{"d:Title": "Peter Sellers", "d:Description": "Fan page features filmography, sound clips, pictures from some of the movies.", "topic": "Top/Arts/People/S/Sellers,_Peter", "url": "http://www.petersellers.org/"} +{"d:Title": "Official Site of Peter Sellers", "d:Description": "Biography, filmography, photographs, and links, with calendar of current television appearances.", "priority": "1", "topic": "Top/Arts/People/S/Sellers,_Peter", "url": "http://www.petersellers.com/"} +{"d:Title": "IMDb.com: Peter Sellers", "d:Description": "Peter Sellers' filmography, television guest appearances, and a photograph gallery.", "topic": "Top/Arts/People/S/Sellers,_Peter", "url": "http://www.imdb.com/name/nm0000634/"} +{"d:Title": "All Movie Guide: Peter Sellers", "d:Description": "Descriptive filmography and biography with links to important collaborators.", "topic": "Top/Arts/People/S/Sellers,_Peter", "url": "http://www.allmovie.com/artist/peter-sellers-p64447"} +{"d:Title": "IMDb: Ivan Sergei", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/People/S/Sergei,_Ivan", "url": "http://www.imdb.com/name/nm0001720/"} +{"d:Title": "Andy Serkis", "d:Description": "Official site traces the actors career, provides news, photographs, and reviews of his recent performance as Gollum in Lord of the Rings.", "topic": "Top/Arts/People/S/Serkis,_Andy", "url": "http://www.serkis.com/"} +{"d:Title": "Andy Serkis", "d:Description": "Fansite dedicated to the actor, featuring news, chatroom, articles and forum.", "topic": "Top/Arts/People/S/Serkis,_Andy", "url": "http://www.angelfire.com/film/serkis/"} +{"d:Title": "Yahoo! Movies: Andy Serkis", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Serkis,_Andy", "url": "http://movies.yahoo.com/person/andy-serkis/"} +{"d:Title": "Andy Serkis", "d:Description": "Features filmography at IMDb.", "topic": "Top/Arts/People/S/Serkis,_Andy", "url": "http://www.imdb.com/name/nm0785227/"} +{"d:Title": "TheOneRing.net: Film Effects with a Human Face", "d:Description": "Andy Serkis talks about his role as the voice of Gollum in Peter Jackson's \"The Lord of the Rings.\"", "topic": "Top/Arts/People/S/Serkis,_Andy", "url": "http://www.theonering.net/torwp/2001/02/02/27644-andy-serkis-talks-lotr-2/"} +{"d:Title": "Detective Dan's Police Headquarters", "d:Description": "A page made for Josh Server fans, including biography, news and information", "topic": "Top/Arts/People/S/Server,_Josh", "url": "http://www.angelfire.com/ca3/joshserver/index.html"} +{"d:Title": "Josh Server", "d:Description": "A Josh Server website complete with photos, biography, links, and information.", "topic": "Top/Arts/People/S/Server,_Josh", "url": "http://www.angelfire.com/stars/JoshServer/"} +{"d:Title": "Josh Server Fans Only", "d:Description": "A club for all Josh Server fans where fans can talk with other fans.", "topic": "Top/Arts/People/S/Server,_Josh", "url": "http://groups.yahoo.com/group/joshserverfansonly/"} +{"d:Title": "Josh Server Fan Club", "d:Description": "A club dedicated to Josh Server offering photos, chat, and links.", "topic": "Top/Arts/People/S/Server,_Josh", "url": "http://groups.yahoo.com/group/joshserverfanclub/"} +{"d:Title": "IMDb: Josh Server", "d:Description": "Includes filmography.", "topic": "Top/Arts/People/S/Server,_Josh", "url": "http://www.imdb.com/name/nm0785805/"} +{"d:Title": "IMDb: John Sessions", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Sessions,_John", "url": "http://www.imdb.com/name/nm0785938/"} +{"d:Title": "IMDb: Matthew Settle", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Settle,_Matthew", "url": "http://www.imdb.com/name/nm0786136/"} +{"d:Title": "Yahoo! Movies: Matthew Settle", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Settle,_Matthew", "url": "http://movies.yahoo.com/person/matthewsettle/"} +{"d:Title": "Yahoo Group - Joan Severance", "d:Description": "Includes pictures, and movies.", "topic": "Top/Arts/People/S/Severance,_Joan", "url": "http://groups.yahoo.com/group/Joan_Severance/"} +{"d:Title": "TV Guide - Joan Severance", "d:Description": "Her television schedule for the current month including dates, times, channels, and movie information.", "topic": "Top/Arts/People/S/Severance,_Joan", "url": "http://www.tvguide.com/celebrities/joan-severance/157354"} +{"d:Title": "IMDb - Joan Severance", "d:Description": "Features profile at the Internet Movie Database.", "topic": "Top/Arts/People/S/Severance,_Joan", "url": "http://www.imdb.com/name/nm0000220/"} +{"d:Title": "IMDb: Corey Sevier", "d:Description": "Contains filmography, television guest appearances and trivia.", "topic": "Top/Arts/People/S/Sevier,_Corey", "url": "http://www.imdb.com/name/nm0786417/"} +{"d:Title": "IMDb: Chlo\u00eb Sevigny", "d:Description": "Filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Sevigny,_Chlo\u00eb", "url": "http://www.imdb.com/name/nm0001721/"} +{"d:Title": "Yahoo! Movies: Chlo\u00eb Sevigny", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Sevigny,_Chlo\u00eb", "url": "http://movies.yahoo.com/person/chloe-sevigny/"} +{"d:Title": "Chloe on *surface*", "d:Description": "Chloe Sevigny news, images, interviews, articles and links.", "topic": "Top/Arts/People/S/Sevigny,_Chlo\u00eb", "url": "http://yamauchi.tripod.com/articles/chloesf.html"} +{"d:Title": "mxdpi.com - Chloe Sevigny", "d:Description": "Features a selection of images.", "topic": "Top/Arts/People/S/Sevigny,_Chlo\u00eb/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Chloe_Sevigny"} +{"d:Title": "There's Something About Rufus", "d:Description": "Fan reviews of films, chat room, mailing list", "topic": "Top/Arts/People/S/Sewell,_Rufus", "url": "http://www.angelfire.com/co2/rufussewell/"} +{"d:Title": "Yahoo! Movies: Rufus Sewell", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sewell,_Rufus", "url": "http://movies.yahoo.com/person/rufus-sewell/"} +{"d:Title": "IMDb: Rufus Sewell", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Sewell,_Rufus", "url": "http://www.imdb.com/name/nm0001722/"} +{"d:Title": "Official Jane Seymour Web Site", "d:Description": "Biography, filmography, merchandise, photo albums, guestbook, and exclusive coverage on all of her projects and appearances.", "topic": "Top/Arts/People/S/Seymour,_Jane", "url": "http://www.friendsofjane.com/"} +{"d:Title": "Lady Jane Discussion List", "d:Description": "Join other fans in discussion about Jane Seymour, her movies and latest news.", "topic": "Top/Arts/People/S/Seymour,_Jane", "url": "http://groups.yahoo.com/group/JaneSeymour/"} +{"d:Title": "IMDb: Jane Seymour", "d:Description": "Contains filmography, biography, news articles and image gallery.", "topic": "Top/Arts/People/S/Seymour,_Jane", "url": "http://imdb.com/name/nm0005412/"} +{"d:Title": "Yahoo! Movies: Jane Seymour", "d:Description": "Contains biography, awards, filmography and image gallery.", "topic": "Top/Arts/People/S/Seymour,_Jane", "url": "http://movies.yahoo.com/person/jane-seymour/"} +{"d:Title": "TV.com: Jane Seymour", "d:Description": "Biography and detailed list of acting appearances.", "topic": "Top/Arts/People/S/Seymour,_Jane", "url": "http://www.tv.com/people/jane-seymour/"} +{"d:Title": "Little Kiran", "d:Description": "Official site. Contains news, a profile, pictures, poems, links, and contact information. [Requires Flash]", "topic": "Top/Arts/People/S/Shah,_Kiran", "url": "http://www.littlekiran.com/"} +{"d:Title": "IMDb: Kiran Shah", "d:Description": "Provides details about his professional career, including stunts, filmography, guest appearances, and brief biography.", "topic": "Top/Arts/People/S/Shah,_Kiran", "url": "http://www.imdb.com/name/nm0787449/"} +{"d:Title": "Yahoo! Movies: Garry Shandling", "d:Description": "Filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Shandling,_Garry", "url": "http://movies.yahoo.com/person/garry-shandling/"} +{"d:Title": "IMDb.com: Garry Shandling", "d:Description": "Biography and listing of the actor's works.", "topic": "Top/Arts/People/S/Shandling,_Garry", "url": "http://www.imdb.com/name/nm0788009/"} +{"d:Title": "Michael Shanks Mailing List", "d:Description": "This list discusses the actor Michael Shanks and his career. Best known as Dr. Daniel Jackson in the tv series Stargate SG-1, Michael has starred in numerous movie and tv roles.", "topic": "Top/Arts/People/S/Shanks,_Michael", "url": "http://groups.yahoo.com/group/michael-shanks"} +{"d:Title": "Michael Shanks Webring", "d:Description": "Webring of sites dedicated to actor Michael Shanks.", "topic": "Top/Arts/People/S/Shanks,_Michael", "url": "http://www.webring.org/hub?ring=shanks"} +{"d:Title": "IMDb - Michael Shanks", "d:Description": "Internet Movie Database listing for the Canadian actor.", "topic": "Top/Arts/People/S/Shanks,_Michael", "url": "http://www.imdb.com/name/nm0788218/"} +{"d:Title": "Yahoo! Movies: Molly Shannon", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Shannon,_Molly", "url": "http://movies.yahoo.com/person/molly-shannon/"} +{"d:Title": "Mary Catherine Gallagher", "d:Description": "Features some pictures and links of Molly Shannon's SNL character.", "topic": "Top/Arts/People/S/Shannon,_Molly", "url": "http://marycatherinegalager.tripod.com/"} +{"d:Title": "IMDb: Molly Shannon", "d:Description": "A list of movie and television appearances.", "topic": "Top/Arts/People/S/Shannon,_Molly", "url": "http://www.imdb.com/name/nm0788340/"} +{"d:Title": "William Shatner", "d:Description": "William Shatner's personal website with news, events, fan club, merchandise, and message board.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://williamshatner.com/"} +{"d:Title": "Bring Back Kirk", "d:Description": "Official website of an organization dedicated to reinstating William Shatner's character, Kirk, to the Captain's chair.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://www.bringbackkirk.com/"} +{"d:Title": "UberPage of the First Church of Shatnerology", "d:Description": "Includes sound files, artwork, poetry, and humorous articles.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://www.shatnerology.com/"} +{"d:Title": "William Shatner News: Topix", "d:Description": "News about William Shatner continually updated from around the net.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://www.topix.com/who/william-shatner"} +{"d:Title": "SFCrowsNest.com: Captain Kirk's Wife Death Tragedy", "d:Description": "A short article on the tragic death of William Shatner's wife, Nerine.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://www.sfcrowsnest.com/articles/features/1999/Kirks-Wife-Death-Tragedy-5820.php"} +{"d:Title": "Yahoo! Movies: William Shatner", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://movies.yahoo.com/person/william-shatner/"} +{"d:Title": "TV Guide - William Shatner", "d:Description": "Current month television schedule.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://www.tvguide.com/celebrities/william-shatner/179280"} +{"d:Title": "IMDb: William Shatner", "d:Description": "Biography, filmography, and television appearances.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://www.imdb.com/name/nm0000638/"} +{"d:Title": "Biography.com: William Shatner", "d:Description": "Actor biography.", "topic": "Top/Arts/People/S/Shatner,_William", "url": "http://www.biography.com/people/william-shatner-9480789"} +{"d:Title": "Captain Kirk Page", "d:Description": "A fan site with background information, chat room, message board, pictures, and links.", "topic": "Top/Arts/People/S/Shatner,_William/Fan_Pages", "url": "http://www.thecaptainkirkpage.com/"} +{"d:Title": "Sir Super Shatner", "d:Description": "A fan's personal page.", "topic": "Top/Arts/People/S/Shatner,_William/Fan_Pages", "url": "http://www.angelfire.com/md/newstrek/supershatner"} +{"d:Title": "Shatner Says", "d:Description": "William Shatner quotes page.", "topic": "Top/Arts/People/S/Shatner,_William/Fan_Pages", "url": "http://www.angelfire.com/md2/enterprise/shatnersays.htm"} +{"d:Title": "William Shatner Picture and Sound Page", "d:Description": "Contains various pictures of William Shatner at different ages.", "topic": "Top/Arts/People/S/Shatner,_William/Fan_Pages", "url": "http://pbatey.tripod.com/williamshatner.html"} +{"d:Title": "IMDb: Grant Shaud", "d:Description": "Filmography, television roles, and profile.", "topic": "Top/Arts/People/S/Shaud,_Grant", "url": "http://www.imdb.com/name/nm0789470/"} +{"d:Title": "TV Guide - Helen Shaver", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Shaver,_Helen", "url": "http://www.tvguide.com/celebrities/helen-shaver/153509"} +{"d:Title": "IMDb: Helen Shaver", "d:Description": "The Internet Movie Database includes filmography, biographical information, pictures, and links.", "topic": "Top/Arts/People/S/Shaver,_Helen", "url": "http://www.imdb.com/name/nm0001726/"} +{"d:Title": "Yahoo! Movies: Fiona Shaw", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Shaw,_Fiona", "url": "http://movies.yahoo.com/person/fiona-shaw/"} +{"d:Title": "IMDb: Fiona Shaw", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Shaw,_Fiona", "url": "http://www.imdb.com/name/nm0789716/"} +{"d:Title": "Guardian Unlimited Film: Fiona Shaw", "d:Description": "Biography.", "topic": "Top/Arts/People/S/Shaw,_Fiona", "url": "http://www.theguardian.com/film/Player/Player_Page/0,4159,506359,00.html"} +{"d:Title": "Yahoo! Movies: Robert Shaw", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Shaw,_Robert", "url": "http://movies.yahoo.com/person/robert-shaw/"} +{"d:Title": "IMDb: Robert Shaw", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Shaw,_Robert", "url": "http://www.imdb.com/name/nm0001727/"} +{"d:Title": "Yahoo! Movies: John Shea", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Shea,_John", "url": "http://movies.yahoo.com/person/john-shea/"} +{"d:Title": "IMDb: John Shea", "d:Description": "Profile, filmography, and television appearances.", "topic": "Top/Arts/People/S/Shea,_John", "url": "http://www.imdb.com/name/nm0790291/"} +{"d:Title": "IMDb: Michael Sheard", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Sheard,_Michael", "url": "http://imdb.com/name/nm0790410/"} +{"d:Title": "LucasFan.com Interviews: Michael Sheard", "d:Description": "Discussion with the actor about his roles.", "topic": "Top/Arts/People/S/Sheard,_Michael", "url": "http://www.lucasfan.com/interviews/msheard.html"} +{"d:Title": "Yahoo! Movies: Michael Sheard", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Sheard,_Michael", "url": "http://movies.yahoo.com/person/michael-sheard-2/"} +{"d:Title": "Yahoo! Movies: Norma Shearer", "d:Description": "Contains biography, filmography and awards.", "topic": "Top/Arts/People/S/Shearer,_Norma", "url": "http://movies.yahoo.com/person/norma-shearer/"} +{"d:Title": "IMDb: Norma Shearer", "d:Description": "Filmography, pictures, and profile.", "topic": "Top/Arts/People/S/Shearer,_Norma", "url": "http://www.imdb.com/name/nm0790454/"} +{"d:Title": "Yahoo! Movies: Ally Sheedy", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Sheedy,_Ally", "url": "http://movies.yahoo.com/person/ally-sheedy/"} +{"d:Title": "IMDb.com: Ally Sheedy", "d:Description": "Filmography, profile, and photographs.", "topic": "Top/Arts/People/S/Sheedy,_Ally", "url": "http://www.imdb.com/name/nm0000639/"} +{"d:Title": "Charlie Sheen News: Topix", "d:Description": "News about Charlie Sheen continually updated from around the net.", "topic": "Top/Arts/People/S/Sheen,_Charlie", "url": "http://www.topix.com/who/charlie-sheen"} +{"d:Title": "Topix: Charlie Sheen", "d:Description": "News about Charlie Sheen, collected from various sources on the web.", "topic": "Top/Arts/People/S/Sheen,_Charlie", "url": "http://www.topix.com/rss/who/charlie-sheen.xml"} +{"d:Title": "Yahoo! Movies: Charlie Sheen", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Sheen,_Charlie", "url": "http://movies.yahoo.com/person/charlie-sheen/"} +{"d:Title": "IMDb - Charlie Sheen", "d:Description": "Photo gallery and filmography.", "topic": "Top/Arts/People/S/Sheen,_Charlie", "url": "http://www.imdb.com/name/nm0000221/"} +{"d:Title": "The Unofficial Charles Sheen Website", "d:Description": "Includes biography, filmography, many interviews and photographs, forum, and chat links.", "topic": "Top/Arts/People/S/Sheen,_Charlie", "url": "http://vonne920.tripod.com/"} +{"d:Title": "POTUS Mailing List", "d:Description": "For discussion about Martin Sheen, who portrays the \"POTUS\" (President of The United States) on the NBC's The West Wing. Exchange thoughts and news with other fans of this prolific and politically active actor.", "topic": "Top/Arts/People/S/Sheen,_Martin", "url": "http://groups.yahoo.com/group/POTUS"} +{"d:Title": "Martin Sheen", "d:Description": "Offers photo galleries article library.", "topic": "Top/Arts/People/S/Sheen,_Martin", "url": "http://execprivilege.tripod.com/"} +{"d:Title": "Martin Sheen News: Topix", "d:Description": "News about Martin Sheen continually updated from around the net.", "topic": "Top/Arts/People/S/Sheen,_Martin", "url": "http://www.topix.com/who/martin-sheen"} +{"d:Title": "Yahoo! Movies: Martin Sheen", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Sheen,_Martin", "url": "http://movies.yahoo.com/person/martin-sheen/"} +{"d:Title": "Martin Sheen", "d:Description": "IMDB filmography for Martin Sheen", "topic": "Top/Arts/People/S/Sheen,_Martin", "url": "http://www.imdb.com/name/nm0000640/"} +{"d:Title": "IMDb: Charmaine Sheh", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Sheh,_Charmaine", "url": "http://www.imdb.com/name/nm1451285/"} +{"d:Title": "Yahoo! Movies: Barbara Shelley", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Shelley,_Barbara", "url": "http://movies.yahoo.com/person/barbara-shelley/"} +{"d:Title": "IMDb: Barbara Shelley", "d:Description": "Filmography, profile, and television appearances.", "topic": "Top/Arts/People/S/Shelley,_Barbara", "url": "http://www.imdb.com/name/nm0791176/"} +{"d:Title": "Sam Shepard Web Site", "d:Description": "Includes an overview of his published works, his filmography, and other information.", "topic": "Top/Arts/People/S/Shepard,_Sam", "url": "http://www.departments.bucknell.edu/theatre_dance/Shepard/shepard.html"} +{"d:Title": "Thespian Net Presents Sam Shepard", "d:Description": "Includes his biography, movie and TV credits, pictures, and links.", "topic": "Top/Arts/People/S/Shepard,_Sam", "url": "http://www.thespiannet.com/actors/S/shepard_sam/index.shtml"} +{"d:Title": "Sam Shepard (1943- )", "d:Description": "Biography and links to all of his works currently in print.", "topic": "Top/Arts/People/S/Shepard,_Sam", "url": "http://www.imagi-nation.com/moonstruck/clsc41.html"} +{"d:Title": "IMDb: Sam Shepard", "d:Description": "The Internet Movie Database includes his profile, filmography, pictures, and links.", "topic": "Top/Arts/People/S/Shepard,_Sam", "url": "http://www.imdb.com/name/nm0001731/"} +{"d:Title": "Yahoo! Movies: Sam Shepard", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Shepard,_Sam", "url": "http://movies.yahoo.com/person/sam-shepard/"} +{"d:Title": "Cybill Shepherd - Official Website", "d:Description": "Features music, movies, and 'Cybill Disobedience' - the new book of the actress.", "topic": "Top/Arts/People/S/Shepherd,_Cybill", "url": "http://www.cybill.com/"} +{"d:Title": "IMDb: Cybill Shepherd", "d:Description": "Filmography, television appearances, pictures, and a profile.", "topic": "Top/Arts/People/S/Shepherd,_Cybill", "url": "http://www.imdb.com/name/nm0001732/"} +{"d:Title": "Yahoo! Movies: Cybill Shepherd", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Shepherd,_Cybill", "url": "http://movies.yahoo.com/person/cybill-shepherd/"} +{"d:Title": "Internet Movie Database: Tiffany Shepis", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Shepis,_Tiffany", "url": "http://www.imdb.com/name/nm0791898/"} +{"d:Title": "Yahoo! Movies: Tiffany Shepis", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Shepis,_Tiffany", "url": "http://movies.yahoo.com/person/tiffany-shepis/"} +{"d:Title": "IMDb.com - Paula E. Sheppard", "d:Description": "Paula E. Sheppard's filmography at IMDb", "topic": "Top/Arts/People/S/Sheppard,_Paula_E.", "url": "http://www.imdb.com/name/nm0791974/"} +{"d:Title": "Antony Sher Pages", "d:Description": "A tribute site to the actor, writer and artist.", "topic": "Top/Arts/People/S/Sher,_Antony", "url": "http://fanfsher.tripod.com/web/index.html"} +{"d:Title": "IMDb: Antony Sher", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Sher,_Antony", "url": "http://www.imdb.com/name/nm0792029/"} +{"d:Title": "IMDb: Ann Sheridan", "d:Description": "Complete filmography, photographs, and a short profile.", "topic": "Top/Arts/People/S/Sheridan,_Ann", "url": "http://www.imdb.com/name/nm0792130/"} +{"d:Title": "Yahoo! Movies: Ann Sheridan", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Sheridan,_Ann", "url": "http://movies.yahoo.com/person/ann-sheridan/"} +{"d:Title": "Nicollette/Nicolette Sheridan Club", "d:Description": "A Yahoo based club with discussion board, chat room, news, pictures, and links.", "topic": "Top/Arts/People/S/Sheridan,_Nicolette", "url": "http://groups.yahoo.com/group/nicollettesheridan2/"} +{"d:Title": "IMDb: Nicolette Sheridan", "d:Description": "Nicolette's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/People/S/Sheridan,_Nicolette", "url": "http://www.imdb.com/name/nm0001733/"} +{"d:Title": "Yahoo! Movies: Nicollette Sheridan", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sheridan,_Nicolette", "url": "http://movies.yahoo.com/person/nicollette-sheridan/"} +{"d:Title": "TV Guide - Nicollette Sheridan", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie information.", "topic": "Top/Arts/People/S/Sheridan,_Nicolette", "url": "http://www.tvguide.com/celebrities/nicollette-sheridan/190690"} +{"d:Title": "BobbySherman.com", "d:Description": "Official fan page approved by Bobby himself. Latest news on Bobby's involvement with the LAPD. Chat, messageboard, merchandise, and photos.", "priority": "1", "topic": "Top/Arts/People/S/Sherman,_Bobby", "url": "http://www.bobbysherman.com/"} +{"d:Title": "Yahoo Groups: Teen Idols Tour", "d:Description": "Discussion group for the tour. Archive of previous messages available.", "topic": "Top/Arts/People/S/Sherman,_Bobby", "url": "http://groups.yahoo.com/group/TeenIdolsTour"} +{"d:Title": "Bobby Sherman Fan Club Page", "d:Description": "Official fan club. Peace, Love and Bobby Sherman.", "topic": "Top/Arts/People/S/Sherman,_Bobby", "url": "http://www.bobbyshermanfanclub.net/"} +{"d:Title": "C'mon Get Happy: Knight In Shining Armor", "d:Description": "A page from \"C'mon Get Happy,\" a Partridge Family website. It describes an episode guest starring Bobby Sherman that launched his short-lived TV series, Getting Together.", "topic": "Top/Arts/People/S/Sherman,_Bobby", "url": "http://cmongethappy.com/eguide/ep25.htm"} +{"d:Title": "Internet Movie Database: Bobby Sherman", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Sherman,_Bobby", "url": "http://www.imdb.com/name/nm0792385/"} +{"d:Title": "Bobby Sherman and Another Meck Adventure", "d:Description": "Teen Idol Tour Tribute Page. The creator of this page followed Bobby to over a dozen Teen Idol concerts across the nation. Nice photos taken at the concerts of Bobby, Peter Noone and Davey Jones. Also, Meet and Greet photos with Bobby.", "topic": "Top/Arts/People/S/Sherman,_Bobby", "url": "http://sameck.tripod.com/"} +{"d:Title": "Yahoo! Movies: Bobby Sherman", "d:Description": "Includes filmography.", "topic": "Top/Arts/People/S/Sherman,_Bobby", "url": "http://movies.yahoo.com/person/bobby-sherman/"} +{"d:Title": "Internet Movie Database", "d:Description": "Brad Sherwood's entry. Features brief biography and television credits.", "topic": "Top/Arts/People/S/Sherwood,_Brad", "url": "http://www.imdb.com/name/nm0792798/"} +{"d:Title": "Yahoo! Movies: Brooke Shields", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Shields,_Brooke", "url": "http://movies.yahoo.com/person/brooke-shields/"} +{"d:Title": "IMDb.com - Brooke Shields", "d:Description": "Brooke Shields's filmography at IMDb.", "topic": "Top/Arts/People/S/Shields,_Brooke", "url": "http://www.imdb.com/name/nm0000222/"} +{"d:Title": "James Shigeta in Paradise Hawaiian Style", "d:Description": "Features a brief biography and two small pictures.", "topic": "Top/Arts/People/S/Shigeta,_James", "url": "http://www.angelfire.com/tn2/elvisgirl/paradise.html"} +{"d:Title": "Leading Man Emeritus", "d:Description": "A four page interview with James Shigeta discussing his career.", "topic": "Top/Arts/People/S/Shigeta,_James", "url": "http://goldsea.com/Personalities2/Shigetaj/shigetaj.html"} +{"d:Title": "Yahoo! Movies: James Shigeta", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Shigeta,_James", "url": "http://movies.yahoo.com/person/james-shigeta/"} +{"d:Title": "TV Guide - James Shigeta", "d:Description": "A list of upcoming television appearances for the next month.", "topic": "Top/Arts/People/S/Shigeta,_James", "url": "http://www.tvguide.com/celebrities/james-shigeta/155525"} +{"d:Title": "IMDb: James Shigeta", "d:Description": "Biography and complete filmography.", "topic": "Top/Arts/People/S/Shigeta,_James", "url": "http://www.imdb.com/name/nm0793363/"} +{"d:Title": "IMDb: Armin Shimerman", "d:Description": "Profile and filmography.", "topic": "Top/Arts/People/S/Shimerman,_Armin", "url": "http://www.imdb.com/name/nm0001734/"} +{"d:Title": "Nell Shipman, Filmmaker", "d:Description": "Historical background, biographical information and filmography for the pioneer actress, writer, and director. Also includes information on producer Ernest Shipman.", "topic": "Top/Arts/People/S/Shipman,_Nell", "url": "http://www.svpproductions.com/nellshipman.html"} +{"d:Title": "Nell Shipman", "d:Description": "Filmography and trivia from the Internet Movie Database.", "topic": "Top/Arts/People/S/Shipman,_Nell", "url": "http://www.imdb.com/name/nm0794109/"} +{"d:Title": "The Nell Shipman Exhibit", "d:Description": "Virtual tour of her house, now a museum in Glendale, California.", "topic": "Top/Arts/People/S/Shipman,_Nell", "url": "http://www.glendaleca.gov/visitors/nell-shipman-doctor-house"} +{"d:Title": "All Movie Guide: Nell Shipman", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/S/Shipman,_Nell", "url": "http://www.allmovie.com/artist/nell-shipman-p198581"} +{"d:Title": "Yahoo! Movies: Talia Shire", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Shire,_Talia", "url": "http://movies.yahoo.com/person/talia-shire/"} +{"d:Title": "Actress Talia Shire", "d:Description": "Pictures, Box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Shire,_Talia", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?tshire"} +{"d:Title": "IMDb.com - Talia Shire", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/People/S/Shire,_Talia", "url": "http://www.imdb.com/name/nm0001735/"} +{"d:Title": "William Shockley Official Site", "d:Description": "Includes articles, biography, interviews, photographs, and information on his music career.", "topic": "Top/Arts/People/S/Shockley,_William", "url": "http://www.williamshockley.com/"} +{"d:Title": "IMDb: William Shockley", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/People/S/Shockley,_William", "url": "http://www.imdb.com/name/nm0794687/"} +{"d:Title": "Yahoo! Movies: Miriam Shor", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Shor,_Miriam", "url": "http://movies.yahoo.com/person/miriam-shor/"} +{"d:Title": "IMDb - Miriam Shor", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Shor,_Miriam", "url": "http://www.imdb.com/name/nm0794896/"} +{"d:Title": "Internet Movie Database: Dinah Shore", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Shore,_Dinah", "url": "http://www.imdb.com/name/nm0794918/"} +{"d:Title": "Yahoo! Movies: Dinah Shore", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Shore,_Dinah", "url": "http://movies.yahoo.com/person/dinah-shore-1917/"} +{"d:Title": "A Shore Thing - Fansite", "d:Description": "Dedicated to the actor and featuring pictures, biography, and news.", "topic": "Top/Arts/People/S/Shore,_Pauly", "url": "http://xhazelx0.tripod.com/ashorething/"} +{"d:Title": "Internet Movie Database: Pauly Shore", "d:Description": "Contains biography, image gallery, filmography, awards and nominations.", "topic": "Top/Arts/People/S/Shore,_Pauly", "url": "http://www.imdb.com/name/nm0001736/"} +{"d:Title": "Shore, Pauly", "d:Description": "Performer with movie and television credits. Includes schedule, upcoming events, and photo tour of his live.", "topic": "Top/Arts/People/S/Shore,_Pauly", "url": "http://www.paulyshore.com/"} +{"d:Title": "Yahoo! Movies: Pauly Shore", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Shore,_Pauly", "url": "http://movies.yahoo.com/person/pauly-shore/"} +{"d:Title": "Jello's Martin Short Page", "d:Description": "Filmography, biography, information, and links.", "topic": "Top/Arts/People/S/Short,_Martin", "url": "http://www.angelfire.com/celeb/martinshort/"} +{"d:Title": "Internet Movie Database: Martin Short", "d:Description": "Contains filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Short,_Martin", "url": "http://www.imdb.com/name/nm0001737/"} +{"d:Title": "Yahoo! Movies: Martin Short", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Short,_Martin", "url": "http://movies.yahoo.com/person/martin-short/"} +{"d:Title": "Thespian Net Presents Elisabeth Shue", "d:Description": "Information about the actress including filmography, articles and pictures.", "topic": "Top/Arts/People/S/Shue,_Elisabeth", "url": "http://www.thespiannet.com/actresses/S/shue_elisabeth/"} +{"d:Title": "IMDb: Elisabeth Shue", "d:Description": "The Internet Movie Database includes biographical information, filmography, and links.", "topic": "Top/Arts/People/S/Shue,_Elisabeth", "url": "http://www.imdb.com/name/nm0000223/"} +{"d:Title": "Yahoo! Movies: Elisabeth Shue", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Shue,_Elisabeth", "url": "http://movies.yahoo.com/person/elisabeth-shue/"} +{"d:Title": "TV Guide - Elisabeth Shue", "d:Description": "Television schedule with current listings for the month.", "topic": "Top/Arts/People/S/Shue,_Elisabeth", "url": "http://www.tvguide.com/celebrities/elisabeth-shue/148917"} +{"d:Title": "The-Movie-Times.com: Elisabeth Shue", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Shue,_Elisabeth/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?eshue"} +{"d:Title": "IMDb - Alexander Siddig", "d:Description": "Biography, filmography, nominations, and awards.", "topic": "Top/Arts/People/S/Siddig,_Alexander", "url": "http://www.imdb.com/name/nm0796502/"} +{"d:Title": "Yahoo! Movies: Alexander Siddig", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Siddig,_Alexander", "url": "http://movies.yahoo.com/person/alexander-siddig/"} +{"d:Title": "Gunnar Hansen: The Man Behind The Mask: Jim Siedow", "d:Description": "A small biography, and quotes from Texas Chainsaw Massacre Part 2.", "topic": "Top/Arts/People/S/Siedow,_Jim", "url": "http://www.angelfire.com/movies/renhorror/jimsiedow.html"} +{"d:Title": "Internet Movie Database: Jim Siedow", "d:Description": "Includes filmography.", "topic": "Top/Arts/People/S/Siedow,_Jim", "url": "http://www.imdb.com/name/nm0796842/"} +{"d:Title": "Yahoo! Movies: Jim Siedow", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Siedow,_Jim", "url": "http://movies.yahoo.com/person/jim-siedow/"} +{"d:Title": "Rotten Tomatoes: Jim Siedow", "d:Description": "Includes a filmography and information.", "topic": "Top/Arts/People/S/Siedow,_Jim", "url": "http://www.rottentomatoes.com/celebrity/jim_siedow/"} +{"d:Title": "Jamie-Lynn Sigler - Official Website", "d:Description": "Presents news and information on the multi-talented actor and author.", "topic": "Top/Arts/People/S/Sigler,_Jamie_Lynn", "url": "http://www.jamielynnsigler.com/"} +{"d:Title": "Internet Movie Database: Jamie-Lynn Sigler", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sigler,_Jamie_Lynn", "url": "http://www.imdb.com/name/nm0797464/"} +{"d:Title": "Yahoo! Movies: James B Sikking", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sikking,_James", "url": "http://movies.yahoo.com/person/james-b-sikking/"} +{"d:Title": "IMDb: James Sikking", "d:Description": "Filmography, facts, and links.", "topic": "Top/Arts/People/S/Sikking,_James", "url": "http://www.imdb.com/name/nm0797725/"} +{"d:Title": "Internet Movie Database: Joel Silver", "d:Description": "Contains filmography, biography, awards, image gallery and news", "topic": "Top/Arts/People/S/Silver,_Joel", "url": "http://www.imdb.com/name/nm0005428/"} +{"d:Title": "Yahoo! Movies: Joel Silver", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Silver,_Joel", "url": "http://movies.yahoo.com/person/joel-silver/"} +{"d:Title": "Rotten Tomatoes: Joel Silver", "d:Description": "Includes filmography, news and image gallery.", "topic": "Top/Arts/People/S/Silver,_Joel", "url": "http://www.rottentomatoes.com/celebrity/joel_silver/"} +{"d:Title": "Jay Silverheels Album", "d:Description": "Photographs, biography, and a fan's personal recollections.", "topic": "Top/Arts/People/S/Silverheels,_Jay", "url": "http://nimst.tripod.com/cgi-bin/UC9.html"} +{"d:Title": "Yahoo! Movies: Jay Silverheels", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Silverheels,_Jay", "url": "http://movies.yahoo.com/person/jay-silverheels/"} +{"d:Title": "IMDb: Jay Silverheels", "d:Description": "Filmography, television appearances, and profile.", "topic": "Top/Arts/People/S/Silverheels,_Jay", "url": "http://www.imdb.com/name/nm0798855/"} +{"d:Title": "Internet Movie Database: Sarah Silverman", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Silverman,_Sarah", "url": "http://www.imdb.com/name/nm0798971/"} +{"d:Title": "Silverman, Sarah", "d:Description": "Fan site for Sarah Silverman news, photos and media.", "topic": "Top/Arts/People/S/Silverman,_Sarah", "url": "http://www.sarahsilvermanonline.com/"} +{"d:Title": "Yahoo! Movies: Sarah Silverman", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Silverman,_Sarah", "url": "http://movies.yahoo.com/person/sarah-silverman/"} +{"d:Title": "Alicia Silverstone News: Topix", "d:Description": "News about Alicia Silverstone continually updated from around the net.", "topic": "Top/Arts/People/S/Silverstone,_Alicia", "url": "http://www.topix.com/who/alicia-silverstone"} +{"d:Title": "The Kind Life", "d:Description": "A blog that discusses vegan and vegetarian food, environmentally-sensitive fashion items, and environmental issues.", "topic": "Top/Arts/People/S/Silverstone,_Alicia", "url": "http://www.thekindlife.com/"} +{"d:Title": "Rotten Tomatoes - Alicia Silverstone", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/People/S/Silverstone,_Alicia", "url": "http://www.rottentomatoes.com/celebrity/alicia_silverstone/"} +{"d:Title": "IMDb: Alicia Silverstone", "d:Description": "Filmography, profile, and photographs.", "topic": "Top/Arts/People/S/Silverstone,_Alicia", "url": "http://www.imdb.com/name/nm0000224/"} +{"d:Title": "The Movie Times - Alicia Silverstone", "d:Description": "Picture gallery, box office information, and statistics.", "topic": "Top/Arts/People/S/Silverstone,_Alicia", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?asilverstone"} +{"d:Title": "Alicia Silverstone Page", "d:Description": "This Alicia Silverstone fan sites offers pictures, link to Alicia Silverstone movies on Reel.com, links to Alicia Silverstone club on the web.", "topic": "Top/Arts/People/S/Silverstone,_Alicia/Fan_Pages", "url": "http://www.members.tripod.com/a-silverstone/"} +{"d:Title": "Lovely Alicia Silverstone", "d:Description": "50+ pictures in gallery, biography, filmography, interview and multimedia.", "topic": "Top/Arts/People/S/Silverstone,_Alicia/Image_Galleries", "url": "http://www.angelfire.com/celeb2/aliciasilverstone/"} +{"d:Title": "An Absolute Goddess: Alicia Silverstone", "d:Description": "Featuring high quality pictures, profile, wallpaper, links and e-cards.", "topic": "Top/Arts/People/S/Silverstone,_Alicia/Image_Galleries", "url": "http://alicia.goddessdreams.com/"} +{"d:Title": "Deeplake Babes: Alicia Silverstone", "d:Description": "88 pictures. [No thumbnails.]", "topic": "Top/Arts/People/S/Silverstone,_Alicia/Image_Galleries", "url": "http://deeplake.com/babes/alicia_silverstone.shtml"} +{"d:Title": "IMDb: Ben Silverstone", "d:Description": "Includes filmography cross-referenced with other cast and crew members, and notification of upcoming TV appearances.", "topic": "Top/Arts/People/S/Silverstone,_Ben", "url": "http://www.imdb.com/name/nm0799066/"} +{"d:Title": "IMDb: Anthony Simcoe", "d:Description": "Contains filmography, television guest appearances, awards and nominations.", "topic": "Top/Arts/People/S/Simcoe,_Anthony", "url": "http://www.imdb.com/name/nm0799402/"} +{"d:Title": "Unofficial John Simm Web Site", "d:Description": "Fan page with biography, filmography, pictures and articles.", "topic": "Top/Arts/People/S/Simm,_John", "url": "http://johnsimm.tripod.com/"} +{"d:Title": "Guardian Unlimited Film: A Malcontent Redeemed", "d:Description": "Interview with the actor about why he always plays unhappy roles. Also discusses growing up in the north west of England, parenthood and his roles in Crime and Punishment and 24 Hour Party People.", "topic": "Top/Arts/People/S/Simm,_John", "url": "http://www.theguardian.com/film/2002/feb/09/features.weekend"} +{"d:Title": "IMDb: John Simm", "d:Description": "Filmography, biography and related links.", "topic": "Top/Arts/People/S/Simm,_John", "url": "http://www.imdb.com/name/nm0799591/"} +{"d:Title": "Detective Baldwin Jones", "d:Description": "Profile of Simmons and his NYPD Blue character.", "topic": "Top/Arts/People/S/Simmons,_Henry", "url": "http://www.stwing.upenn.edu/~sepinwal/jones.txt.html"} +{"d:Title": "IMDb: Henry Simmons (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Simmons,_Henry", "url": "http://www.imdb.com/name/nm0005431/"} +{"d:Title": "Chris, Nikki, and Krista's Another World Page", "d:Description": "Profile and pictures.", "topic": "Top/Arts/People/S/Simmons,_Henry", "url": "http://krista1.tripod.com/henry.html"} +{"d:Title": "IMDb.com: J.K. Simmons", "d:Description": "Brief biography, complete filmography, and photos.", "topic": "Top/Arts/People/S/Simmons,_J.K.", "url": "http://www.imdb.com/name/nm0799777/"} +{"d:Title": "Jean Simmons - British Beauty", "d:Description": "A salute to classic actress with images.", "topic": "Top/Arts/People/S/Simmons,_Jean", "url": "http://www.meredy.com/jeansimmons/"} +{"d:Title": "Elizabeth's Jean Simmons Page", "d:Description": "Filmography, photos, brief biography of career highlights.", "topic": "Top/Arts/People/S/Simmons,_Jean", "url": "http://www.reelclassics.com/Actresses/Simmons/simmons.htm"} +{"d:Title": "IMDb: Jean Simmons (I)", "d:Description": "Biography, filmography, photos, and links.", "topic": "Top/Arts/People/S/Simmons,_Jean", "url": "http://www.imdb.com/name/nm0001739/"} +{"d:Title": "RichardSimmons.com", "d:Description": "Official site with information, message boards, and merchandise.", "priority": "1", "topic": "Top/Arts/People/S/Simmons,_Richard", "url": "http://www.richardsimmons.com/"} +{"d:Title": "Richard Simmons Wants Your Dream to Come True", "d:Description": "1999 CNN article about his talk show and includes biography.", "topic": "Top/Arts/People/S/Simmons,_Richard", "url": "http://www.cnn.com/SHOWBIZ/TV/9909/30/richard.simmons/"} +{"d:Title": "AskMen.com: Richard Simmons", "d:Description": "Celebrity battle, presented with humor, between Richard Simmons and Billy Blanks.", "topic": "Top/Arts/People/S/Simmons,_Richard", "url": "http://www.askmen.com/toys/battle/battle12.html"} +{"d:Title": "AskMen.com: Richard Simmons Interview", "d:Description": "One-on-one exclusive interview with the weightloss guru.", "topic": "Top/Arts/People/S/Simmons,_Richard", "url": "http://www.askmen.com/toys/interview/30_richard_simmons_interview.html"} +{"d:Title": "Farewell To Fat", "d:Description": "Richard Simmons and his infomercials.", "topic": "Top/Arts/People/S/Simmons,_Richard", "url": "http://www.infomercial-hell.com/richard-simmons/"} +{"d:Title": "What Dreams May Bomb", "d:Description": "Article about failed talk show, Dream Maker, by Richard Simmons.", "topic": "Top/Arts/People/S/Simmons,_Richard", "url": "http://www.salon.com/1999/12/01/simmons_2/"} +{"d:Title": "IMDb.com: Ashlee Simpson", "d:Description": "Contains filmography, biography, pictures of this actress and singer.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.imdb.com/name/nm1249883/"} +{"d:Title": "Ashlee Simpson: On The Rise", "d:Description": "An article by Karen M. Shelton about the rising star and her hair style.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.hairboutique.com/tips/tip3555.htm"} +{"d:Title": "Anysonglyrics.com : Ashlee Simpson", "d:Description": "Offers a collection of lyrics sorted by album.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.anysonglyrics.com/lyrics/a/ashlee-simpson-lyrics.htm"} +{"d:Title": "DanWho.net : Ashlee lipSync-son", "d:Description": "Commentary on her Saturday Night Live performances in October 2004.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.danwho.net/newsite/archives/00000019.php"} +{"d:Title": "Ashlee Simpson", "d:Description": "Features pictures, biography, discography, and lyrics.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://ashleesimpsonweb.yaia.com/"} +{"d:Title": "Matt's Ashlee Simpson Page", "d:Description": "Includes lyrics, guitar tabs, bass tabs, discography, and sheet music.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.mattsmusicpage.com/nashleesimpson.htm"} +{"d:Title": "AskMen.com: Ashlee Simpson", "d:Description": "Biography, commentary and pictures.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.askmen.com/toys/interview_100/143_ashlee_simpson_interview.html"} +{"d:Title": "Leo's Lyrics: Ashlee Simpson", "d:Description": "Feature lyrics.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.leoslyrics.com/ashlee-simpson-lyrics/"} +{"d:Title": "Absolute Lyric : Ashlee Simpson", "d:Description": "Ashlee Simpson lyrics categorize by albums. Feature a printable version.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.absolutelyrics.com/lyrics/artist/ashlee_simpson/"} +{"d:Title": "TV.com: Ashlee Simpson", "d:Description": "Features biography, news, and acting appearances.", "topic": "Top/Arts/People/S/Simpson,_Ashlee", "url": "http://www.tv.com/people/ashlee-simpson-wentz/"} +{"d:Title": "Frank Sinatra Commemorative Quiz", "d:Description": "A short trivia quiz.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.quizland.com/franksinatra.htm"} +{"d:Title": "Access Place Frank Sinatra", "d:Description": "A grouping of Sinatra-related links.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.accessplace.com/sinatra.htm"} +{"d:Title": "Spirit of Sinatra", "d:Description": "A reference site with biography, discography, filmography, pictures, quotes, audio and video clips.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.spiritofsinatra.com/"} +{"d:Title": "BusterBoo - The House I Live In.", "d:Description": "What is America To Me by Frank Sinatra.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.angelfire.com/pa/busterboo/house.html"} +{"d:Title": "Topix: Frank Sinatra", "d:Description": "News periodically updated from around the net.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.topix.com/who/frank-sinatra"} +{"d:Title": "Topix: Frank Sinatra", "d:Description": "News about Frank Sinatra, collected from various sources on the web.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.topix.com/rss/who/frank-sinatra.xml"} +{"d:Title": "RollingStone.com: Frank Sinatra", "d:Description": "Includes biography, discography, articles, links, and trivia.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.rollingstone.com/music/artists/frank-sinatra"} +{"d:Title": "Yahoo! Movies: Frank Sinatra", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://movies.yahoo.com/person/frank-sinatra/"} +{"d:Title": "TV Guide - Frank Sinatra", "d:Description": "Includes the current month's television schedules.", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.tvguide.com/celebrities/frank-sinatra/150703"} +{"d:Title": "MTV: Frank Sinatra", "d:Description": "Music news, album reviews, audio downloads, biography, discography, links, and bulletin boards", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.mtv.com/artists/frank-sinatra/"} +{"d:Title": "IMDb.com - Frank Sinatra", "d:Description": "filmography for Frank Sinatra", "topic": "Top/Arts/People/S/Sinatra,_Frank", "url": "http://www.imdb.com/name/nm0000069/"} +{"d:Title": "BBC News | Sinatra | Sinatra suffered heart attack", "d:Description": "The entertainer known throughout the world as Ol' Blue Eyes has died of a heart attack in Los Angeles. His wife Barbara was with him.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/special_report/1998/05/98/sinatra/94141.stm"} +{"d:Title": "Blue-eyes.com - We Care", "d:Description": "Memorabilia site by one of his biggest fans, Rick Apt.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Fan_Pages", "url": "http://www.blue-eyes.com/"} +{"d:Title": "Frank Sinatra - A Tribute", "d:Description": "Fan site dedicated to the artist.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Fan_Pages", "url": "http://home.arcor.de/franksinatra/franksinatra_e.htm"} +{"d:Title": "Frank Sinatra - The Main Event", "d:Description": "German fansite dedicated to Frank Sinatra. Includes a lot of pictures, links, lyrics, and some of the best Frank Sinatra music files.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Fan_Pages", "url": "http://www.the-main-event.eu/"} +{"d:Title": "Maurice Michaux", "d:Description": "Available for corporate and private functions. Audio clips, video files, contact information and show profiles.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Impersonators", "url": "http://www.canibefrankforyou.com/"} +{"d:Title": "George Lyons", "d:Description": "Includes background, audio samples, contact information and picture gallery for Boston, Massachusetts based entertainer.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Impersonators", "url": "http://www.soundslikefrank.com/"} +{"d:Title": "Rich DeSimone", "d:Description": "Sings Sinatra hits maintaining attitude and style.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Impersonators", "url": "http://www.croonerrich.com/"} +{"d:Title": "Phil Fryer", "d:Description": "Based in Leeds, England. Contains biography, audio clips, picture gallery, downloads and contact information.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Impersonators", "url": "http://www.justtobefrank.co.uk/"} +{"d:Title": "David Alacey", "d:Description": "UK based performer. Includes biography, picture gallery, audio clips and booking information.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Impersonators", "url": "http://www.sinatratribute.co.uk/"} +{"d:Title": "Jason Nazzaro", "d:Description": "Performer based in New Jersey, available for corporate and private events. Includes testimonials, audio files, profile and contact information.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Impersonators", "url": "http://www.jasonsinatra.com/"} +{"d:Title": "Todd Peach's Frank Sinatra Lyrics Page", "d:Description": "Links to personal collection of Frank Sinatra lyrics.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Lyrics", "url": "http://www.thepeaches.com/music/frank/"} +{"d:Title": "OldieLyrics - Frank Sinatra", "d:Description": "Features the lyrics of several songs.", "topic": "Top/Arts/People/S/Sinatra,_Frank/Lyrics", "url": "http://www.oldielyrics.com/f/frank_sinatra.html"} +{"d:Title": "Lori Singer Exalted", "d:Description": "A fan site with background information, image gallery, news, and links.", "topic": "Top/Arts/People/S/Singer,_Lori", "url": "http://www.lorisinger.com/"} +{"d:Title": "IMDb: Lori Singer", "d:Description": "The Internet Movie Database includes biographical information, filmography, and links.", "topic": "Top/Arts/People/S/Singer,_Lori", "url": "http://www.imdb.com/name/nm0001742/"} +{"d:Title": "Yahoo! Movies: Lori Singer", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Singer,_Lori", "url": "http://movies.yahoo.com/person/lori-singer/"} +{"d:Title": "TV Guide - Lori Singer", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie information.", "topic": "Top/Arts/People/S/Singer,_Lori", "url": "http://www.tvguide.com/celebrities/lori-singer/162721"} +{"d:Title": "Yahoo! Movies: Gary Sinise", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Sinise,_Gary", "url": "http://movies.yahoo.com/person/gary-sinise/"} +{"d:Title": "The Movie Times", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Sinise,_Gary", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?gsinise"} +{"d:Title": "IMDb: Gary Sinise", "d:Description": "Includes filmography, biography, trivia and image gallery.", "topic": "Top/Arts/People/S/Sinise,_Gary", "url": "http://www.imdb.com/name/nm0000641/"} +{"d:Title": "Sirtis UK", "d:Description": "A site dedicated to Marina Sirtis and presenting an image gallery, biography, chat room, and links.", "topic": "Top/Arts/People/S/Sirtis,_Marina", "url": "http://www.sirtis.org.uk/"} +{"d:Title": "Marina Sirtis", "d:Description": "Featuring the life and work of the actress, pictures, and a fan area.", "priority": "1", "topic": "Top/Arts/People/S/Sirtis,_Marina", "url": "http://www.marinasirtis.tv/"} +{"d:Title": "Yahoo! Movies: Marina Sirtis", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sirtis,_Marina", "url": "http://movies.yahoo.com/person/marina-sirtis/"} +{"d:Title": "IMDb: Marina Sirtis", "d:Description": "Complete filmography, television appearances, profile, and photography gallery.", "topic": "Top/Arts/People/S/Sirtis,_Marina", "url": "http://www.imdb.com/name/nm0000642/"} +{"d:Title": "A Dedication to Marina Sirtis", "d:Description": "Includes pictures, interviews, news, and links.", "topic": "Top/Arts/People/S/Sirtis,_Marina/Fan_Pages", "url": "http://www.angelfire.com/tv2/marinasirtis1/"} +{"d:Title": "IMDb: Jeremy Sisto", "d:Description": "Includes biography, trivia, filmography and image gallery.", "topic": "Top/Arts/People/S/Sisto,_Jeremy", "url": "http://www.imdb.com/name/nm0005438/"} +{"d:Title": "Yahoo! Movies: Jeremy Sisto", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sisto,_Jeremy", "url": "http://movies.yahoo.com/person/jeremy-sisto/"} +{"d:Title": "Emil Sitka Tribute", "d:Description": "Tribute to the character actor who appeared in more than 50 feature films and 60 shorts.", "topic": "Top/Arts/People/S/Sitka,_Emil", "url": "http://www.emilsitka.com/"} +{"d:Title": "IMDb: Emil Sitka", "d:Description": "Includes filmography, television guest appearances and biography.", "topic": "Top/Arts/People/S/Sitka,_Emil", "url": "http://www.imdb.com/name/nm0803238/"} +{"d:Title": "Tom Sizemore Fan Club", "d:Description": "Yahoo group offering a message board, chat room, photo galleries, news, and links.", "topic": "Top/Arts/People/S/Sizemore,_Tom", "url": "http://groups.yahoo.com/group/thetomsizemorefansclub/"} +{"d:Title": "Yahoo! Movies: Tom Sizemore", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Sizemore,_Tom", "url": "http://movies.yahoo.com/person/tom-sizemore/"} +{"d:Title": "TV Guide - Tom Sizemore", "d:Description": "His television schedule for the current month with dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Sizemore,_Tom", "url": "http://www.tvguide.com/celebrities/tom-sizemore/177214"} +{"d:Title": "IMDb: Tom Sizemore", "d:Description": "The Internet Movie Database includes filmography, mini biography, photos, and links.", "topic": "Top/Arts/People/S/Sizemore,_Tom", "url": "http://www.imdb.com/name/nm0001744/"} +{"d:Title": "Christi's Web Design", "d:Description": "Tribute to Red Skelton: the comedian, actor, artist, the author.", "topic": "Top/Arts/People/S/Skelton,_Red", "url": "http://www.angelfire.com/in3/christis/"} +{"d:Title": "IMDb: Red Skelton", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Skelton,_Red", "url": "http://www.imdb.com/name/nm0804026/"} +{"d:Title": "RedSkelton.com", "d:Description": "Lothian Skelton describes her feelings for her late husband and one of America's best loved comedians. Photos of the couple. Link to Red Skelton art.", "topic": "Top/Arts/People/S/Skelton,_Red", "url": "http://redskelton.com/"} +{"d:Title": "IMDB: Roy Skelton", "d:Description": "The filmography of the puppetter behind Zippy and George from Rainbow.", "topic": "Top/Arts/People/S/Skelton,_Roy", "url": "http://www.imdb.com/name/nm0804031/"} +{"d:Title": "IMDb: Jennifer Sky", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/People/S/Sky,_Jennifer", "url": "http://www.imdb.com/name/nm0805021/"} +{"d:Title": "IMDb: Azura Skye", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Skye,_Azura", "url": "http://www.imdb.com/name/nm0005439/"} +{"d:Title": "Pump Up the Volume with Christian Slater", "d:Description": "A site dedicated to Mark and Nora (Christian Slater and Samantha Mathis) from the movie Pump Up the Volume.", "topic": "Top/Arts/People/S/Slater,_Christian", "url": "http://www.angelfire.com/hi2/slaterphobia/index.html"} +{"d:Title": "Yahoo Groups: Christian Slater", "d:Description": "Discussion list for Christian Slater.", "topic": "Top/Arts/People/S/Slater,_Christian", "url": "http://groups.yahoo.com/group/christianslater/"} +{"d:Title": "Yahoo Groups: Christian Slater Club", "d:Description": "Online fan community featuring message board and chat room.", "topic": "Top/Arts/People/S/Slater,_Christian", "url": "http://groups.yahoo.com/group/christianslater2/"} +{"d:Title": "Yahoo! Movies - Christian Slater", "d:Description": "Features biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Slater,_Christian", "url": "http://movies.yahoo.com/person/christian-slater/"} +{"d:Title": "The Movie Times: Christian Slater", "d:Description": "Box office information of all his movies, biography, links, and pictures.", "topic": "Top/Arts/People/S/Slater,_Christian", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?cslater"} +{"d:Title": "IMDB.com: Christian Slater", "d:Description": "Filmography for Christian Slater from the Internet Movie Database.", "topic": "Top/Arts/People/S/Slater,_Christian", "url": "http://www.imdb.com/name/nm0000225/"} +{"d:Title": "IMDb", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/People/S/Slattery,_Tony", "url": "http://www.imdb.com/name/nm0805495/"} +{"d:Title": "IMDb: Danny Slavin", "d:Description": "Contains filmography and television guest appearances.", "topic": "Top/Arts/People/S/Slavin,_Danny", "url": "http://www.imdb.com/name/nm0805573/"} +{"d:Title": "Crossroads Of Her Life, OLTL, Dedicated to Erika Slezak", "d:Description": "The career of the actress, with pictures, news, storyboards, awards, and biography.", "topic": "Top/Arts/People/S/Slezak,_Erika", "url": "http://www.erikaslezak.com/"} +{"d:Title": "IMDb: Erika Slezak", "d:Description": "Includes filmography, television guest appearances and trivia.", "topic": "Top/Arts/People/S/Slezak,_Erika", "url": "http://www.imdb.com/name/nm0805785/"} +{"d:Title": "Yahoo! Movies: Lindsay Sloane", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Sloane,_Lindsay", "url": "http://movies.yahoo.com/person/lindsay-sloane/"} +{"d:Title": "IMdb.com - Lindsay Sloane (I)", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/S/Sloane,_Lindsay", "url": "http://www.imdb.com/name/nm0005441/"} +{"d:Title": "The Official Tucker Smallwood Web Site", "d:Description": "Official Web site for the actor and musician with FAQ, fanmail, fiction, pictures, appearances, filmography, and links.", "topic": "Top/Arts/People/S/Smallwood,_Tucker", "url": "http://www.tuckersmallwood.com/"} +{"d:Title": "IMDb: Tucker Smallwood", "d:Description": "Contains filmography, television guest appearances and image gallery.", "topic": "Top/Arts/People/S/Smallwood,_Tucker", "url": "http://www.imdb.com/name/nm0806613/"} +{"d:Title": "Amy Smart", "d:Description": "Includes biography, filmography and pictures.", "topic": "Top/Arts/People/S/Smart,_Amy", "url": "http://www.amysmart.org/"} +{"d:Title": "IMDb: Amy Smart", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Smart,_Amy", "url": "http://www.imdb.com/name/nm0005442/"} +{"d:Title": "Yahoo! Movies: Amy Smart", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Smart,_Amy", "url": "http://movies.yahoo.com/person/amy-smart/"} +{"d:Title": "IMDb: Tava Smiley", "d:Description": "Includes filmography and trivia.", "topic": "Top/Arts/People/S/Smiley,_Tava", "url": "http://www.imdb.com/name/nm0806982/"} +{"d:Title": "Yahoo! Movies: Anna Nicole Smith", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Smith,_Anna_Nicole", "url": "http://movies.yahoo.com/person/anna-nicole-smith/"} +{"d:Title": "IMDb.com - Anna Nicole Smith", "d:Description": "Vital statistics, trivia, filmography, and pictures.", "topic": "Top/Arts/People/S/Smith,_Anna_Nicole", "url": "http://www.imdb.com/name/nm0000645/"} +{"d:Title": "Anna Nicole Smith Gallery", "d:Description": "Small collection of photos, and links to similar sites.", "topic": "Top/Arts/People/S/Smith,_Anna_Nicole/Image_Galleries", "url": "http://chupas2.tripod.com/anna.html"} +{"d:Title": "Anna Nicole Smith's Photo Gallery", "d:Description": "Includes thumbnailed pictures.", "topic": "Top/Arts/People/S/Smith,_Anna_Nicole/Image_Galleries", "url": "http://chupas2.tripod.com/models/anna-photos.html"} +{"d:Title": "Yahoo! Movies: Charles Martin Smith", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Smith,_Charles_Martin", "url": "http://movies.yahoo.com/person/charles-martin-smith/"} +{"d:Title": "IMDb: Charles Martin Smith", "d:Description": "Biography, filmography and photos.", "topic": "Top/Arts/People/S/Smith,_Charles_Martin", "url": "http://www.imdb.com/name/nm0001747/"} +{"d:Title": "IMDb.com - Danny Smith (I)", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/S/Smith,_Danny", "url": "http://www.imdb.com/name/nm0807831/"} +{"d:Title": "IMDb: Gregory Smith", "d:Description": "Biography, image gallery, filmography, awards and nominations.", "topic": "Top/Arts/People/S/Smith,_Gregory", "url": "http://www.imdb.com/name/nm0808376/"} +{"d:Title": "Yahoo! Movies: Gregory Smith", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Smith,_Gregory", "url": "http://movies.yahoo.com/person/gregory-smith/"} +{"d:Title": "IMDb: Hillary Bailey Smith", "d:Description": "Includes filmography and trivia.", "topic": "Top/Arts/People/S/Smith,_Hillary_B.", "url": "http://www.imdb.com/name/nm0808464/"} +{"d:Title": "Angelic Heaven: Jaclyn Smith", "d:Description": "Biography with credits.", "topic": "Top/Arts/People/S/Smith,_Jaclyn", "url": "http://www.charliesangels.com/jaclyn.html"} +{"d:Title": "Jaclyn Smith .com", "d:Description": "Official site with biography, news, photo gallery, and a contact form.", "topic": "Top/Arts/People/S/Smith,_Jaclyn", "url": "http://www.jaclynsmith.com/"} +{"d:Title": "Yahoo! Movies: Jaclyn Smith", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Smith,_Jaclyn", "url": "http://movies.yahoo.com/person/jaclyn-smith/"} +{"d:Title": "IMDb: Jaclyn Smith", "d:Description": "Includes biographical information, filmography, photos, and links.", "topic": "Top/Arts/People/S/Smith,_Jaclyn", "url": "http://www.imdb.com/name/nm0000646/"} +{"d:Title": "IMDb: Jacob Smith", "d:Description": "Filmography for the young actor, including noteworthy television roles.", "topic": "Top/Arts/People/S/Smith,_Jacob", "url": "http://www.imdb.com/name/nm0808559/"} +{"d:Title": "TV.com: Jacob Smith", "d:Description": "Biography, roles and appearances, and gossip.", "topic": "Top/Arts/People/S/Smith,_Jacob", "url": "http://www.tv.com/people/jacob-smith/"} +{"d:Title": "IMDb: Kerr Smith", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Smith,_Kerr", "url": "http://www.imdb.com/name/nm0005445/"} +{"d:Title": "Yahoo! Movies: Kerr Smith", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Smith,_Kerr", "url": "http://movies.yahoo.com/person/kerr-smith/"} +{"d:Title": "Kiari's Kevin Smith Corner", "d:Description": "Dedicated to New Zealand actor Kevin Smith, with images, links, news, and convention reports.", "topic": "Top/Arts/People/S/Smith,_Kevin_Tod", "url": "http://kiari.com/kevinsmith/"} +{"d:Title": "IMDb: Kevin Smith", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Smith,_Kevin_Tod", "url": "http://www.imdb.com/name/nm0808963/"} +{"d:Title": "IMDb: Lauren Lee Smith", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Smith,_Lauren_Lee", "url": "http://www.imdb.com/name/nm0809049/"} +{"d:Title": "Yahoo! Movies: Lewis Smith", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Smith,_Lewis", "url": "http://movies.yahoo.com/person/lewis-smith/"} +{"d:Title": "IMdb.com - Lewis Smith (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Smith,_Lewis", "url": "http://www.imdb.com/name/nm0809095/"} +{"d:Title": "Yahoo! Movies: Maggie Smith", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Smith,_Maggie", "url": "http://movies.yahoo.com/person/maggie-smith/"} +{"d:Title": "IMDB: Maggie Smith (I)", "d:Description": "Career statistics of Maggie Smith at The Internet Movie Database.", "topic": "Top/Arts/People/S/Smith,_Maggie", "url": "http://www.imdb.com/name/nm0001749/"} +{"d:Title": "Rex Smith", "d:Description": "Official site. Features news, biography, and photos.", "topic": "Top/Arts/People/S/Smith,_Rex", "url": "http://www.rexsmith.com/"} +{"d:Title": "Interview with Rex Smith", "d:Description": "Interview by Nancy Rosati for the official Scarlet Pimpernel site.", "topic": "Top/Arts/People/S/Smith,_Rex", "url": "http://www.thepimpernel.com/cgi/interview.cgi?spot=Rex_Smith"} +{"d:Title": "Wikipedia: Rex Smith", "d:Description": "Features biography and filmography.", "topic": "Top/Arts/People/S/Smith,_Rex", "url": "http://en.wikipedia.org/wiki/Rex_Smith"} +{"d:Title": "Unofficial Riley Smith Fan Page", "d:Description": "News, pictures, facts, biography, filmography, and wallpapers.", "topic": "Top/Arts/People/S/Smith,_Riley", "url": "http://rileysmithunofficial.tripod.com/"} +{"d:Title": "Riley Smith 4 ever", "d:Description": "Fan site with biography, photographs, and links.", "topic": "Top/Arts/People/S/Smith,_Riley", "url": "http://www.angelfire.com/co4/RileySmith/"} +{"d:Title": "Meet Riley Smith", "d:Description": "A fan describes his experiences with the actor; includes pictures and interviews.", "topic": "Top/Arts/People/S/Smith,_Riley", "url": "http://www.angelfire.com/nc/JBWrld/riley.html"} +{"d:Title": "IMDb: Riley Smith", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Smith,_Riley", "url": "http://www.imdb.com/name/nm0809720/"} +{"d:Title": "Yahoo! Movies: Riley Smith", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/People/S/Smith,_Riley", "url": "http://movies.yahoo.com/person/riley-smith/"} +{"d:Title": "Yahoo Groups - Shawnee Smith", "d:Description": "Web-based E-mail list for all fans of the actress.", "topic": "Top/Arts/People/S/Smith,_Shawnee", "url": "http://groups.yahoo.com/group/ShawneeSmith"} +{"d:Title": "Shawnee Page", "d:Description": "Includes photos, information and links.", "topic": "Top/Arts/People/S/Smith,_Shawnee", "url": "http://members.tripod.com/shawnee_smith/"} +{"d:Title": "IMDb: Shawnee Smith", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Smith,_Shawnee", "url": "http://www.imdb.com/name/nm0809938/"} +{"d:Title": "Thespian Net presents Will Smith", "d:Description": "Information about the actor, filmography, articles and pictures.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://www.thespiannet.com/actors/S/smith_will/index.shtml"} +{"d:Title": "Will Smith and Jazzy Jeff Fan Site", "d:Description": "Features news, lyrics, biography, photo gallery, interviews and forums.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://www.jazzyjefffreshprince.com/"} +{"d:Title": "Will Smith News: Topix", "d:Description": "News about Will Smith continually updated from around the net.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://www.topix.com/who/will-smith"} +{"d:Title": "Yahoo! Movies: Will Smith", "d:Description": "Filmography, biography, image gallery and awards.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://movies.yahoo.com/person/will-smith-1968/"} +{"d:Title": "TalkTalk: Will Smith", "d:Description": "Provides a biography.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/will-smith/biography/133"} +{"d:Title": "The Movie Times", "d:Description": "Pictures, box office information, vital statistics, links, message board.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?wsmith"} +{"d:Title": "IMDb.com - Will Smith (I)", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://www.imdb.com/name/nm0000226/"} +{"d:Title": "MTV: Will Smith", "d:Description": "MTV's Will Smith site features music video clips, album reviews and exclusive online interviews.", "topic": "Top/Arts/People/S/Smith,_Will", "url": "http://www.mtv.com/artists/will-smith/"} +{"d:Title": "All About the Williest-Will Smith", "d:Description": "Lyrics, filmography, discography and pictures of the star.", "topic": "Top/Arts/People/S/Smith,_Will/Fan_Pages", "url": "http://www.angelfire.com/ca4/thewilliest/index.html"} +{"d:Title": "Enemy of the State", "d:Description": "A fan site dedicated to Will Smith. Features pictures, desktop wallpaper and message board.", "topic": "Top/Arts/People/S/Smith,_Will/Fan_Pages", "url": "http://willsmithuk.tripod.com/index.html"} +{"d:Title": "IMDb: William Smith", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Smith,_William", "url": "http://www.imdb.com/name/nm0810342/"} +{"d:Title": "Yahoo! Movies: William Smith", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/People/S/Smith,_William", "url": "http://movies.yahoo.com/person/william-smith/"} +{"d:Title": "Detective Bobby Simone", "d:Description": "Biography of Smits and of his NYPD Blue character.", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://www.stwing.upenn.edu/~sepinwal/simone.txt.html"} +{"d:Title": "Jimmy Smits and Kim Basinger Star in 'Bless the Child'", "d:Description": "Article from Contacto Magazine.", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://www.contactomagazine.com/smits.htm"} +{"d:Title": "Statement of FCC Chairman William E. Kennard Applauding Jimmy Smits' Call for Promoting Opportunity in the Entertainment Industry", "d:Description": "Announcement from the Federal Communications Commission.", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://www.fcc.gov/Speeches/Kennard/Statements/stwek848.html"} +{"d:Title": "IMDb: Jimmy Smits", "d:Description": "Contains filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://www.imdb.com/name/nm0001751/"} +{"d:Title": "So long, Bobby: Jimmy Smits' 'NYPD Blue' character killed off", "d:Description": "Archived Associated Press article [subscription required to read complete text].", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://www.highbeam.com/doc/1P1-19419675.html"} +{"d:Title": "Yahoo! Movies: Jimmy Smits", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://movies.yahoo.com/person/jimmy-smits/"} +{"d:Title": "Jam! Showbiz: Jimmy Smits", "d:Description": "Several newspaper articles about the actor.", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://jam.canoe.com/Movies/Artists/S/Smits_Jimmy/"} +{"d:Title": "Jimmy Smits to host eighth annual ESPY Awards", "d:Description": "Article from ESPN.com.", "topic": "Top/Arts/People/S/Smits,_Jimmy", "url": "http://www.espn.com/espy2000/s/jimmysmits.html"} +{"d:Title": "IMDb: Jimmy Smits", "d:Description": "Biography, pictures, filmography, and notable TV guest appearances.", "topic": "Top/Arts/People/S/Smits,_Jimmy/Movies", "url": "http://www.imdb.com/name/nm0001751/"} +{"d:Title": "Washingtonpost.com: Wesley Snipes Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/People/S/Snipes,_Wesley", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/wesley_snipes.htm"} +{"d:Title": "Wesley Snipes' Hollywood", "d:Description": "How and where you can see Wesley Snipes live and in person while in Southern California.", "topic": "Top/Arts/People/S/Snipes,_Wesley", "url": "http://www.seeing-stars.com/StarIndexes/WesleySnipes.shtml"} +{"d:Title": "IMDb: Wesley Snipes", "d:Description": "Wesley's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/People/S/Snipes,_Wesley", "url": "http://www.imdb.com/name/nm0000648/"} +{"d:Title": "Yahoo! Movies: Wesley Snipes", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Snipes,_Wesley", "url": "http://movies.yahoo.com/person/wesley-snipes/"} +{"d:Title": "TV Guide - Wesley Snipes", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie information.", "topic": "Top/Arts/People/S/Snipes,_Wesley", "url": "http://www.tvguide.com/celebrities/wesley-snipes/178980"} +{"d:Title": "Box Office Data for Wesley Snipes", "d:Description": "A page that summarizes box office statistics for movies Wesley Snipes appeared in.", "topic": "Top/Arts/People/S/Snipes,_Wesley", "url": "http://www.the-numbers.com/person/134400401-Wesley-Snipes"} +{"d:Title": "Canoe: Wesley Snipes", "d:Description": "The Canadian Online Explorer's collection of articles on the life and career of Wesley Snipes.", "topic": "Top/Arts/People/S/Snipes,_Wesley", "url": "http://jam.canoe.com/Movies/Artists/S/Snipes_Wesley/"} +{"d:Title": "Brittany Snow", "d:Description": "Fan site containing a biography, pictures, filmography, fan listing, and links.", "topic": "Top/Arts/People/S/Snow,_Brittany", "url": "http://brittany-snow.tripod.com/"} +{"d:Title": "Yahoo! Groups: Brittany Snow Fans", "d:Description": "Message board with photo, links.", "topic": "Top/Arts/People/S/Snow,_Brittany", "url": "http://groups.yahoo.com/group/brittany_snow_fans/"} +{"d:Title": "Wikipedia: Brittany Snow", "d:Description": "An encyclopedia article on the young actress, including a selected filmography.", "topic": "Top/Arts/People/S/Snow,_Brittany", "url": "http://en.wikipedia.org/wiki/Brittany_Snow"} +{"d:Title": "Yahoo! Movies: Brittany Snow", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Snow,_Brittany", "url": "http://movies.yahoo.com/person/brittany-snow/"} +{"d:Title": "IMDb.com: Brittany Snow", "d:Description": "Offers a filmography, biography, photos, and lists of awards won.", "topic": "Top/Arts/People/S/Snow,_Brittany", "url": "http://www.imdb.com/name/nm0811242/"} +{"d:Title": "Yahoo! Movies: Leelee Sobieski", "d:Description": "Biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Sobieski,_Leelee", "url": "http://movies.yahoo.com/person/leelee-sobieski/"} +{"d:Title": "IMDb - Leelee Sobieski", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Sobieski,_Leelee", "url": "http://www.imdb.com/name/nm0005447/"} +{"d:Title": "IMDb: Rena Sofer", "d:Description": "Biography, filmography, television guest appearances and image gallery.", "topic": "Top/Arts/People/S/Sofer,_Rena", "url": "http://www.imdb.com/name/nm0812133/"} +{"d:Title": "Wikipedia: Rena Sofer", "d:Description": "Includes biographical information and links.", "topic": "Top/Arts/People/S/Sofer,_Rena", "url": "http://en.wikipedia.org/wiki/Rena_Sofer"} +{"d:Title": "Yahoo! Movies: Rena Sofer", "d:Description": "Contains filmography, image gallery and biography.", "topic": "Top/Arts/People/S/Sofer,_Rena", "url": "http://movies.yahoo.com/person/rena-sofer/"} +{"d:Title": "ChildStatlets.com: Marla Sokoloff", "d:Description": "Filmography and pictures.", "topic": "Top/Arts/People/S/Sokoloff,_Marla", "url": "http://www.childstarlets.com/lobby/bios/marla_sokoloff.html"} +{"d:Title": "Marla Mania", "d:Description": "Biography, filmography, dedications, and polls.", "topic": "Top/Arts/People/S/Sokoloff,_Marla", "url": "http://www.angelfire.com/stars2/Marla/home.html"} +{"d:Title": "IMDb: Marla Sokoloff", "d:Description": "Includes biography, filmography, television guest appearances and image gallery.", "topic": "Top/Arts/People/S/Sokoloff,_Marla", "url": "http://www.imdb.com/name/nm0005448/"} +{"d:Title": "Yahoo! Movies: Marla Sokoloff", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sokoloff,_Marla", "url": "http://movies.yahoo.com/person/marla-sokoloff/"} +{"d:Title": "10 to 1 Alex Solowitz", "d:Description": "Biography, images, quotes, news, interviews, and polls.", "topic": "Top/Arts/People/S/Solowitz,_Alex", "url": "http://www.angelfire.com/stars/2gether1/"} +{"d:Title": "Original Alex Solowitz Site", "d:Description": "Biography, news, interviews, photo gallery, and fan encounters.", "topic": "Top/Arts/People/S/Solowitz,_Alex", "url": "http://www.angelfire.com/boybands/AlexSolowitz/"} +{"d:Title": "Alex Solowitz Hangout", "d:Description": "Melissa's fansite includes news, pictures, biographies, and transcripts.", "topic": "Top/Arts/People/S/Solowitz,_Alex", "url": "http://www.angelfire.com/pop2/alexs/"} +{"d:Title": "Alex Solowitz Hangout", "d:Description": "Fansite featuring the actor.", "topic": "Top/Arts/People/S/Solowitz,_Alex", "url": "http://www.angelfire.com/pop2/solowitz/"} +{"d:Title": "IMDb: Alex Solowitz", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Solowitz,_Alex", "url": "http://www.imdb.com/name/nm0813565/"} +{"d:Title": "Yahoo! Movies: Suzanne Somers", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Somers,_Suzanne", "url": "http://movies.yahoo.com/person/suzanne-somers/"} +{"d:Title": "IMDb: Suzanne Somers", "d:Description": "Biography, photos, credits, and links.", "topic": "Top/Arts/People/S/Somers,_Suzanne", "url": "http://www.imdb.com/name/nm0001755/"} +{"d:Title": "IMDb: Elke Sommer", "d:Description": "Complete filmography.", "topic": "Top/Arts/People/S/Sommer,_Elke", "url": "http://www.imdb.com/name/nm0813961/"} +{"d:Title": "Yahoo! Movies: Elke Sommer", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sommer,_Elke", "url": "http://movies.yahoo.com/person/elke-sommer/"} +{"d:Title": "Sondheim.com", "d:Description": "News, information, chat, show listing and details, links and merchandise.", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://www.sondheim.com/"} +{"d:Title": "The Stephen Sondheim Society", "d:Description": "News, articles, information on UK amateur and professional productions, events, discussion boards, and membership.", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://www.sondheim.org/"} +{"d:Title": "The Sondheim Review Online", "d:Description": "A quarterly magazine dedicated to the composer and lyricist.", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://www.sondheimreview.com/"} +{"d:Title": "Sondheim Notes", "d:Description": "Articles on Sondheim's musicals in the 1970s, such as \"Little Night Music,\" \"Pacific Overtures,\" and \"Sweeney Todd.\"", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://larryavisbrown.homestead.com/files/Sondheim/SondheimNotes.htm"} +{"d:Title": "Stephen Sondheim News: Topix", "d:Description": "News about Stephen Sondheim continually updated from around the net.", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://www.topix.com/who/stephen-sondheim"} +{"d:Title": "Topix: Stephen Sondheim", "d:Description": "News about Stephen Sondheim, collected from various sources on the web.", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://www.topix.com/rss/who/stephen-sondheim.xml"} +{"d:Title": "Stephen Sondheim Reference Guide", "d:Description": "Features listing of shows, songs, recordings, and chronology.", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://www.sondheimguide.com/"} +{"d:Title": "IMDb: Stephen Sondheim", "d:Description": "Filmography and television shows based on his compositions or using his music, plus acting and miscellaneous credits.", "topic": "Top/Arts/People/S/Sondheim,_Stephen", "url": "http://www.imdb.com/name/nm0814227/"} +{"d:Title": "Sonny and Cher", "d:Description": "Site includes several photograph galleries and related fan information.", "topic": "Top/Arts/People/S/Sonny_and_Cher", "url": "http://members.tripod.com/~Betty_2/index-5.html"} +{"d:Title": "TVParty.com: The Sonny and Cher Shows", "d:Description": "The origination and history of their television show is examined.", "topic": "Top/Arts/People/S/Sonny_and_Cher", "url": "http://www.tvparty.com/sonnycher.html"} +{"d:Title": "Chimera's Realm", "d:Description": "A tribute to actors Kevin Sorbo and Kevin Smith. Includes images, short biographies, collages, wallpapers, hotbar skins, and links.", "topic": "Top/Arts/People/S/Sorbo,_Kevin", "url": "http://www.angelfire.com/gu/ide/index.html"} +{"d:Title": "Kevin Sorbo: Just An Actor", "d:Description": "Bilingual (Russian-English) fan site dedicated to the actor and all his roles. Offers a short biography, detailed filmography, photos, and links.", "topic": "Top/Arts/People/S/Sorbo,_Kevin", "url": "http://actorsorbo.narod.ru/"} +{"d:Title": "Kevin Sorbo Official Web Site", "d:Description": "Official web site for the actor. Offers professional and personal photographs, desktop wallpapers, biography, charity affiliation, television and film credits, contact information, and up-to-date appearance information.", "topic": "Top/Arts/People/S/Sorbo,_Kevin", "url": "http://www.kevinsorbo.net/"} +{"d:Title": "Kevin Sorbo Webring", "d:Description": "A webring for fans of the actor who have web sites.", "topic": "Top/Arts/People/S/Sorbo,_Kevin", "url": "http://www.webring.org/hub?ring=sorbo"} +{"d:Title": "IMDb - Kevin Sorbo", "d:Description": "Includes filmography, biography, guest appearances, and links.", "topic": "Top/Arts/People/S/Sorbo,_Kevin", "url": "http://www.imdb.com/name/nm0001757/"} +{"d:Title": "Michael's Kevin Sorbo Review", "d:Description": "Discussion of Kevin's work in Hercules and Kull from a male fan's perspective.", "topic": "Top/Arts/People/S/Sorbo,_Kevin", "url": "http://www.xenite.org/special/kevin-sorbos-hercules-made-for-tv-movies/"} +{"d:Title": "KevFans Mailing List", "d:Description": "A List dedicated to the fans of Kevin Sorbo and all he does. There is also discussion about other actors and actresses Kevin has and may work with in the future.", "topic": "Top/Arts/People/S/Sorbo,_Kevin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/KevFans"} +{"d:Title": "Sorbonnes Mailing List", "d:Description": "This list is for fans of Kevin Sorbo and the television series Hercules, The Legendary Journeys. It is dedicated to discussing the show, Kevin Sorbo and anything connected with these topics that is of interest to the list members.", "topic": "Top/Arts/People/S/Sorbo,_Kevin/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Sorbonnes/"} +{"d:Title": "Aaron Sorkin Mailing List", "d:Description": "Discussion group for the work and career of the writer/producer.", "topic": "Top/Arts/People/S/Sorkin,_Aaron", "url": "http://groups.yahoo.com/group/AaronSorkin/"} +{"d:Title": "Yahoo! Movies: Aaron Sorkin", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sorkin,_Aaron", "url": "http://movies.yahoo.com/person/aaron-sorkin/"} +{"d:Title": "IMDb: Aaron Sorkin", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/S/Sorkin,_Aaron", "url": "http://www.imdb.com/name/nm0815070/"} +{"d:Title": "Yahoo! Movies: Mira Sorvino", "d:Description": "Includes filmography, biography, awards and image gallery.", "topic": "Top/Arts/People/S/Sorvino,_Mira", "url": "http://movies.yahoo.com/person/mira-sorvino/"} +{"d:Title": "TV Guide - Mira Sorvino", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Sorvino,_Mira", "url": "http://www.tvguide.com/celebrities/mira-sorvino/166897"} +{"d:Title": "IMDb: Mira Sorvino", "d:Description": "Features filmography, photos, profile and links.", "topic": "Top/Arts/People/S/Sorvino,_Mira", "url": "http://www.imdb.com/name/nm0000227/"} +{"d:Title": "Jay Romy's Mira Sorvino Page", "d:Description": "Tribute to the star. Includes facts, filmography, photos and contacts.", "topic": "Top/Arts/People/S/Sorvino,_Mira/Fan_Pages", "url": "http://solscud.8m.com/mira.html"} +{"d:Title": "Attractive Mira Sorvino", "d:Description": "Includes biographical information, movie credits, and a picture gallery.", "topic": "Top/Arts/People/S/Sorvino,_Mira/Fan_Pages", "url": "http://coolmira.tripod.com/"} +{"d:Title": "Ivette Sosa", "d:Description": "Includes biographical information, pictures, news, upcoming events and contact info.", "topic": "Top/Arts/People/S/Sosa,_Ivette", "url": "http://www.ivettesosa.com/"} +{"d:Title": "IMDb: Ivette Sosa", "d:Description": "Includes filmography, television guest appearances and trivia.", "topic": "Top/Arts/People/S/Sosa,_Ivette", "url": "http://www.imdb.com/name/nm0972586/"} +{"d:Title": "IMDb: Talisa Soto", "d:Description": "Talisa's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/People/S/Soto,_Talisa", "url": "http://www.imdb.com/name/nm0000650/"} +{"d:Title": "Unofficial Talisa Soto Page", "d:Description": "Fan page with biography, links, and a gallery of magazine photos, movie stills, and other pictures.", "topic": "Top/Arts/People/S/Soto,_Talisa", "url": "http://pandus.tripod.com/TALISASOTO.html"} +{"d:Title": "Soul, David", "d:Description": "Includes credits, biography, photos and contact details.", "topic": "Top/Arts/People/S/Soul,_David", "url": "http://davidsoul.com/"} +{"d:Title": "Yahoo! Movies: David Soul", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Soul,_David", "url": "http://movies.yahoo.com/person/david-soul/"} +{"d:Title": "IMDb: David Soul", "d:Description": "Filmography, television appearances, photographs, and related information.", "topic": "Top/Arts/People/S/Soul,_David", "url": "http://www.imdb.com/name/nm0815800/"} +{"d:Title": "IMDb: Soundarya", "d:Description": "Filmography at the Internet Movie Database.", "topic": "Top/Arts/People/S/Soundarya", "url": "http://www.imdb.com/name/nm0815897/"} +{"d:Title": "Astrocartography of Sissy Spacek", "d:Description": "Biography with a special focus on the astrological metaphors of Moon and Saturn in her life and career, by renowned astrocartographer Robert Couteau.", "topic": "Top/Arts/People/S/Spacek,_Sissy", "url": "http://www.dominantstar.com/b_spa.htm"} +{"d:Title": "Internet Movie Database: Sissy Spacek", "d:Description": "Contains biography, filmography, image gallery, trivia and personal quotes.", "topic": "Top/Arts/People/S/Spacek,_Sissy", "url": "http://www.imdb.com/name/nm0000651/"} +{"d:Title": "Yahoo! Movies: Sissy Spacek", "d:Description": "Biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Spacek,_Sissy", "url": "http://movies.yahoo.com/person/sissy-spacek/"} +{"d:Title": "American Storyteller", "d:Description": "Essay on the actor by Leah Middlebrook, from H2SO4 Magazine.", "topic": "Top/Arts/People/S/Spacey,_Kevin", "url": "http://h2so4.net/livelife/storyteller.html"} +{"d:Title": "Yahoo! Movies: Kevin Spacey", "d:Description": "Biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Spacey,_Kevin", "url": "http://movies.yahoo.com/person/kevin-spacey/"} +{"d:Title": "Actor Kevin Spacey", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Spacey,_Kevin", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?kspacey"} +{"d:Title": "IMDb: Kevin Spacey", "d:Description": "Includes biographical information, filmography, and pictures.", "topic": "Top/Arts/People/S/Spacey,_Kevin", "url": "http://www.imdb.com/name/nm0000228/"} +{"d:Title": "Christian Science Monitor - Behind his success is talent - and trust", "d:Description": "Bonnie Churchill interview with Kevin Spacey on his latest film \"The Shipping News\".", "topic": "Top/Arts/People/S/Spacey,_Kevin/Articles_and_Interviews", "url": "http://csmonitor.com/2002/0104/p16s1-alip.html"} +{"d:Title": "The Kevin Spacey Webring", "d:Description": "List of sites in the ring with brief descriptions.", "topic": "Top/Arts/People/S/Spacey,_Kevin/Web_Rings", "url": "http://www.webring.org/hub/kevinspacey"} +{"d:Title": "Internet Movie Database: David Spade", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Spade,_David", "url": "http://www.imdb.com/name/nm0005450/"} +{"d:Title": "Yahoo! Movies: David Spade", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Spade,_David", "url": "http://movies.yahoo.com/person/david-spade/"} +{"d:Title": "Thespian Net Presents James Spader", "d:Description": "Information about the actor, filmography, articles and pictures.", "topic": "Top/Arts/People/S/Spader,_James", "url": "http://www.thespiannet.com/actors/S/spader_james/index.shtml"} +{"d:Title": "James Spader.org", "d:Description": "Clips of interviews and music videos, screen shots, and transcripts.", "topic": "Top/Arts/People/S/Spader,_James", "url": "http://www.jamesspader.org/"} +{"d:Title": "Yahoo! Movies: James Spader", "d:Description": "Contains filmography, biography, image gallery and awards.", "topic": "Top/Arts/People/S/Spader,_James", "url": "http://movies.yahoo.com/person/james-spader/"} +{"d:Title": "IMDb: James Spader", "d:Description": "Filmography, photos, biography, and discussion.", "topic": "Top/Arts/People/S/Spader,_James", "url": "http://www.imdb.com/name/nm0000652/"} +{"d:Title": "Yahoo! Movies: Timothy Spall", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Spall,_Timothy", "url": "http://movies.yahoo.com/person/timothy-spall/"} +{"d:Title": "Guardian Unlimited Film: Spall mercies", "d:Description": "Lynn Barber interviews Timothy Spall, who talks about Tom Cruise, chemotherapy and his lust for life.", "topic": "Top/Arts/People/S/Spall,_Timothy", "url": "http://www.theguardian.com/film/2002/sep/29/features.magazine"} +{"d:Title": "BBC Radio: Desert Island Discs - Timothy Spall", "d:Description": "Biography, and Timothy's choice of eight favourite records.", "topic": "Top/Arts/People/S/Spall,_Timothy", "url": "http://www.bbc.co.uk/radio4/features/desert-island-discs/castaway/7da14540#p00947kd"} +{"d:Title": "IMDb: Timothy Spall", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Spall,_Timothy", "url": "http://www.imdb.com/name/nm0001758/"} +{"d:Title": "IMDb: Dana Sparks", "d:Description": "Includes filmography, television guest appearances, biography and image gallery.", "topic": "Top/Arts/People/S/Sparks,_Dana", "url": "http://www.imdb.com/name/nm0005451/"} +{"d:Title": "The Ultimate Hal Sparks Fan Page", "d:Description": "Gallery and other things of interest to a Hal fan.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://halsparks.tvheaven.com/"} +{"d:Title": "HALapeno", "d:Description": "Hal Sparks facts, biography, polls, and a webring.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://www.angelfire.com/pa2/halsparks/"} +{"d:Title": "Hal Sparks for Vice President", "d:Description": "A fan page dedicated to Hal Sparks with biography, pictures, and articles.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://www.angelfire.com/celeb/sparksforvp/"} +{"d:Title": "Internet Movie Database: Hal Sparks", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://www.imdb.com/name/nm0005452/"} +{"d:Title": "Hal's Angels", "d:Description": "Hal Sparks mailing list homepage.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://groups.yahoo.com/group/HalsAngels/"} +{"d:Title": "Yahoo! Movies: Hal Sparks", "d:Description": "Biography, filmography, image gallery and related sites.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://movies.yahoo.com/person/hal-sparks/"} +{"d:Title": "WebRing: Hal Sparks", "d:Description": "Directory of sites on the subject.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://www.webring.org/hub/halcoholics"} +{"d:Title": "Spal Harks", "d:Description": "News, facts, pictures, and fan writing about Hal Sparks.", "topic": "Top/Arts/People/S/Sparks,_Hal", "url": "http://hal.sparks.tripod.com/"} +{"d:Title": "Internet Movie Database: Jamie Lynn Spears", "d:Description": "Includes filmography, biography, awards and nominations.", "topic": "Top/Arts/People/S/Spears,_Jamie", "url": "http://www.imdb.com/name/nm1086604/"} +{"d:Title": "Jamie-Spears.com", "d:Description": "Images, downloads, news, articles, biography, and song lyrics.", "topic": "Top/Arts/People/S/Spears,_Jamie", "url": "http://www.jamie-spears.com/"} +{"d:Title": "Carol Speed", "d:Description": "Internet Movie Database filmography of the blaxploitation star.", "topic": "Top/Arts/People/S/Speed,_Carol", "url": "http://www.imdb.com/name/nm0817511/"} +{"d:Title": "Internet Movie Database: Scott Speedman", "d:Description": "Includes biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Speedman,_Scott", "url": "http://www.imdb.com/name/nm0005454/"} +{"d:Title": "The First Unofficial Scott Speedman Site", "d:Description": "Biography, articles, transcripts, poetry, images, and multimedia.", "topic": "Top/Arts/People/S/Speedman,_Scott", "url": "http://scottspeedman.iwarp.com/"} +{"d:Title": "Yahoo! Movies: Scott Speedman", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Speedman,_Scott", "url": "http://movies.yahoo.com/person/scott-speedman/"} +{"d:Title": "IMDb: Hugo Speer", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Speer,_Hugo", "url": "http://imdb.com/name/nm0817563/"} +{"d:Title": "Yahoo! Movies: Hugo Speer", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Speer,_Hugo", "url": "http://movies.yahoo.com/person/hugo-speer/"} +{"d:Title": "WWWF Ground Zero: Worst Actress Academy Award", "d:Description": "A humorous look at Spelling competing against Madonna, Cindy Crawford, and other celebrities.", "topic": "Top/Arts/People/S/Spelling,_Tori", "url": "http://www.grudge-match.com/History/worstactress.shtml"} +{"d:Title": "Internet Movie Database: Tori Spelling", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Spelling,_Tori", "url": "http://www.imdb.com/name/nm0001760/"} +{"d:Title": "Yahoo! Movies: Tori Spelling", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Spelling,_Tori", "url": "http://movies.yahoo.com/person/torispelling/"} +{"d:Title": "YahooGroups: Sebastian Spence", "d:Description": "Mailing list for discussion of the actor.", "topic": "Top/Arts/People/S/Spence,_Sebastian", "url": "http://groups.yahoo.com/group/SebastianSpence/"} +{"d:Title": "IMDb: Sebastian Spence", "d:Description": "Brief biographical information and filmography.", "topic": "Top/Arts/People/S/Spence,_Sebastian", "url": "http://www.imdb.com/name/nm0817819/"} +{"d:Title": "Ivan's Bud Spencer Page", "d:Description": "Fanpage containing facts about the actor's movies and a signed photo.", "topic": "Top/Arts/People/S/Spencer,_Bud", "url": "http://www.angelfire.com/ok/budspencer/"} +{"d:Title": "Internet Movie Database: Bud Spencer", "d:Description": "Offers filmography, biography, TV guest appearances and credits as writer.", "topic": "Top/Arts/People/S/Spencer,_Bud", "url": "http://www.imdb.com/name/nm0817881/"} +{"d:Title": "Yahoo! Movies: Bud Spencer", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Spencer,_Bud", "url": "http://movies.yahoo.com/person/bud-spencer/"} +{"d:Title": "Internet Movie Database: Chris Spencer", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/People/S/Spencer,_Chris", "url": "http://www.imdb.com/name/nm0817893/"} +{"d:Title": "Internet Movie Database: Jesse Spencer", "d:Description": "Filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Spencer,_Jesse", "url": "http://www.imdb.com/name/nm0817980/"} +{"d:Title": "Yahoo! Movies: Jesse Spencer", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Spencer,_Jesse", "url": "http://movies.yahoo.com/person/jesse-spencer/"} +{"d:Title": "JohnSpencer Mailing List", "d:Description": "Mailing list and chat room for fans of the actor.", "topic": "Top/Arts/People/S/Spencer,_John", "url": "http://groups.yahoo.com/group/JohnSpencer/"} +{"d:Title": "Yahoo! Movies: John Spencer", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Spencer,_John", "url": "http://movies.yahoo.com/person/john-spencer/"} +{"d:Title": "IMDb: John Spencer", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Spencer,_John", "url": "http://www.imdb.com/name/nm0817983/"} +{"d:Title": "Spot's Ode to Data", "d:Description": "Information, sound files, and pictures.", "topic": "Top/Arts/People/S/Spiner,_Brent", "url": "http://www.nd.edu/~ljordan/data.text/data.html"} +{"d:Title": "Internet Movie Database: Brent Spiner", "d:Description": "Biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Spiner,_Brent", "url": "http://www.imdb.com/name/nm0000653/"} +{"d:Title": "The Data Bank", "d:Description": "Biographical information on the android Data on his personal development and relationship to other beings.", "topic": "Top/Arts/People/S/Spiner,_Brent", "url": "http://skirve.tripod.com/data/"} +{"d:Title": "Yahoo! Movies: Brent Spiner", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Spiner,_Brent", "url": "http://movies.yahoo.com/person/brent-spiner/"} +{"d:Title": "Internet Movie Database: Cole Sprouse", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Sprouse,_Cole", "url": "http://www.imdb.com/name/nm0819850/"} +{"d:Title": "Yahoo! Movies: Cole Sprouse", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Sprouse,_Cole", "url": "http://movies.yahoo.com/person/cole-sprouse/"} +{"d:Title": "Internet Movie Database: Dylan Sprouse", "d:Description": "Filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Sprouse,_Dylan", "url": "http://www.imdb.com/name/nm0819851/"} +{"d:Title": "Yahoo! Movies: Dylan Sprouse", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Sprouse,_Dylan", "url": "http://movies.yahoo.com/person/dylan-sprouse/"} +{"d:Title": "Austin St. John", "d:Description": "A fan site with biographical information, photo gallery, and related links.", "topic": "Top/Arts/People/S/St._John,_Austin", "url": "http://jdffansonly.freeservers.com/austin.html"} +{"d:Title": "IMDb.com - Austin St. John", "d:Description": "Austin St. John's filmography at IMDb.", "topic": "Top/Arts/People/S/St._John,_Austin", "url": "http://www.imdb.com/name/nm0820613/"} +{"d:Title": "Nick Stabile.com", "d:Description": "Official website for Nick Stabile featuring updates, photos, and a guestbook.", "topic": "Top/Arts/People/S/Stabile,_Nick", "url": "http://www.nickstabile.com/"} +{"d:Title": "Internet Movie Database: Nick Stabile", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Stabile,_Nick", "url": "http://www.imdb.com/name/nm0820903/"} +{"d:Title": "Yahoo! Movies: Nick Stabile", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/People/S/Stabile,_Nick", "url": "http://movies.yahoo.com/person/nickstabile/"} +{"d:Title": "Yahoo! Movies: James Stacy", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Stacy,_James", "url": "http://movies.yahoo.com/person/james-stacy-2/"} +{"d:Title": "IMDb.com - James Stacy", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/People/S/Stacy,_James", "url": "http://www.imdb.com/name/nm0821082/"} +{"d:Title": "Internet Movie Database: Nick Stahl", "d:Description": "Includes biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Stahl,_Nick", "url": "http://www.imdb.com/name/nm0001763/"} +{"d:Title": "Nick Stahl Webpage", "d:Description": "Image galleries, articles, and interviews.", "topic": "Top/Arts/People/S/Stahl,_Nick", "url": "http://mynickstahlwebpage.tripod.com/"} +{"d:Title": "Yahoo! Movies: Nick Stahl", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stahl,_Nick", "url": "http://movies.yahoo.com/person/nick-stahl/"} +{"d:Title": "Thespian Net Presents Sylvester Stallone", "d:Description": "Information about the actor, filmography, articles and pictures.", "topic": "Top/Arts/People/S/Stallone,_Sylvester", "url": "http://www.thespiannet.com/actors/S/stallone_sylvester/index.shtml"} +{"d:Title": "The Official Sylvester Stallone Website", "d:Description": "Features the actor, writer, and director.", "topic": "Top/Arts/People/S/Stallone,_Sylvester", "url": "http://www.sylvesterstallone.com/"} +{"d:Title": "Stallone Zone", "d:Description": "Image galleries, message board, filmography, and timeline.", "topic": "Top/Arts/People/S/Stallone,_Sylvester", "url": "http://www.stallonezone.com/"} +{"d:Title": "Sylvester Stallone News: Topix", "d:Description": "News about Sylvester Stallone continually updated from around the net.", "topic": "Top/Arts/People/S/Stallone,_Sylvester", "url": "http://www.topix.com/who/sylvester-stallone"} +{"d:Title": "Yahoo! Movies: Sylvester Stallone", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Stallone,_Sylvester", "url": "http://movies.yahoo.com/person/sylvester-stallone/"} +{"d:Title": "IMDB - Sylvester Stallone", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Stallone,_Sylvester", "url": "http://www.imdb.com/name/nm0000230/"} +{"d:Title": "Sylvester Stallone", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Stallone,_Sylvester", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?sstallone"} +{"d:Title": "The Unofficial John Stamos Homepage", "d:Description": "Fan site featuring recent news, information, and pictures.", "topic": "Top/Arts/People/S/Stamos,_John", "url": "http://www.angelfire.com/ny3/johnstamos/index.html"} +{"d:Title": "Yahoo! Movies: John Stamos", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stamos,_John", "url": "http://movies.yahoo.com/person/john-stamos/"} +{"d:Title": "TV Guide - John Stamos", "d:Description": "Current month television schedule.", "topic": "Top/Arts/People/S/Stamos,_John", "url": "http://www.tvguide.com/celebrities/john-stamos/158321"} +{"d:Title": "IMDb.com - John Stamos", "d:Description": "Filmography, biography and photo gallery.", "topic": "Top/Arts/People/S/Stamos,_John", "url": "http://www.imdb.com/name/nm0001764/"} +{"d:Title": "Yahoo! Movies: Terence Stamp", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Stamp,_Terence", "url": "http://movies.yahoo.com/person/terence-stamp/"} +{"d:Title": "IMDb: Terence Stamp", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Stamp,_Terence", "url": "http://www.imdb.com/name/nm0000654/"} +{"d:Title": "Interview with Claire Stansfield", "d:Description": "Whoosh's 1999 interview about her career and projects. With pictures and a biography.", "topic": "Top/Arts/People/S/Stansfield,_Claire", "url": "http://www.whoosh.org/issue28/istansfield1.html"} +{"d:Title": "Thespian Net Presents Claire Stansfield", "d:Description": "Information about the actress including filmography, articles and pictures.", "topic": "Top/Arts/People/S/Stansfield,_Claire", "url": "http://www.thespiannet.com/actresses/S/stansfield_claire/"} +{"d:Title": "Internet Movie Database: Claire Stansfield", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Stansfield,_Claire", "url": "http://www.imdb.com/name/nm0822694/"} +{"d:Title": "Molly Stanton Online 2000", "d:Description": "Fansite dedicated to Passion's Charity Standish.", "topic": "Top/Arts/People/S/Stanton,_Molly", "url": "http://mollystanton.8m.com/"} +{"d:Title": "Internet Movie Database: Molly Stanton", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Stanton,_Molly", "url": "http://www.imdb.com/name/nm0822784/"} +{"d:Title": "In Focus: Barbara Stanwyck", "d:Description": "Essay by Gary Johnson on her long career in films.", "topic": "Top/Arts/People/S/Stanwyck,_Barbara", "url": "http://www.imagesjournal.com/issue01/infocus/babs1.htm"} +{"d:Title": "ModernTimes.com: Barbara Stanwyck: Ball of Fire", "d:Description": "Review essay by Michael Mills.", "topic": "Top/Arts/People/S/Stanwyck,_Barbara", "url": "http://www.moderntimes.com/bab/"} +{"d:Title": "Yahoo! Movies: Barbara Stanwyck", "d:Description": "Biography, filmography, awards and nominations.", "topic": "Top/Arts/People/S/Stanwyck,_Barbara", "url": "http://movies.yahoo.com/person/barbara-stanwyck/"} +{"d:Title": "Internet Movie Database - Barbara Stanwyck", "d:Description": "Filmography, biography, news, articles and image gallery.", "topic": "Top/Arts/People/S/Stanwyck,_Barbara", "url": "http://www.imdb.com/name/nm0001766/"} +{"d:Title": "Jacinta Stapleton - The Ultimate Fan Site", "d:Description": "Fan site, with pictures, up-to-date news, and biography.", "topic": "Top/Arts/People/S/Stapleton,_Jacinta", "url": "http://www.angelfire.com/stars/jacinta/index.html"} +{"d:Title": "Internet Movie Database: Jacinta Stapleton", "d:Description": "Includes filmography, biography, awards and nominations.", "topic": "Top/Arts/People/S/Stapleton,_Jacinta", "url": "http://www.imdb.com/name/nm0822955/"} +{"d:Title": "Internet Movie Database: Anthony Starke", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Starke,_Anthony", "url": "http://www.imdb.com/name/nm0823289/"} +{"d:Title": "Yahoo! Movies: Anthony Starke", "d:Description": "Includes filmography.", "topic": "Top/Arts/People/S/Starke,_Anthony", "url": "http://movies.yahoo.com/person/anthony-starke/"} +{"d:Title": "Internet Movie Database: David Starzyk", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Starzyk,_David", "url": "http://www.imdb.com/name/nm0823675/"} +{"d:Title": "The Unofficial Home Page of Jeff Stearns", "d:Description": "Dedicated to the actor who plays Russ Granger on USA Networks Pacific Blue show.", "topic": "Top/Arts/People/S/Stearns,_Jeff", "url": "http://russgranger.bizhosting.com/"} +{"d:Title": "IMDb: Jeff Stearns", "d:Description": "Contains filmography and television guest appearances.", "topic": "Top/Arts/People/S/Stearns,_Jeff", "url": "http://www.imdb.com/name/nm0824182/"} +{"d:Title": "Internet Movie Database: Barbara Steele", "d:Description": "Biography, filmography, awards and nominations.", "topic": "Top/Arts/People/S/Steele,_Barbara", "url": "http://www.imdb.com/name/nm0824489/"} +{"d:Title": "Yahoo! Movies: Barbara Steele", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Steele,_Barbara", "url": "http://movies.yahoo.com/person/barbara-steele/"} +{"d:Title": "Jessica Steen", "d:Description": "Includes news, calendar, biography, filmography, photo gallery, articles, and links.", "topic": "Top/Arts/People/S/Steen,_Jessica", "url": "http://www.jessicasteen.com/"} +{"d:Title": "IMDb: Jessica Steen", "d:Description": "The Internet Movie Database includes her filmography, a mini biography, and links.", "topic": "Top/Arts/People/S/Steen,_Jessica", "url": "http://www.imdb.com/name/nm0824763/"} +{"d:Title": "Yahoo! Movies: Jessica Steen", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Steen,_Jessica", "url": "http://movies.yahoo.com/person/jessica-steen/"} +{"d:Title": "TV Guide - Jessica Steen", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Steen,_Jessica", "url": "http://www.tvguide.com/celebrities/jessica-steen/156925"} +{"d:Title": "Thespian Net Presents Jessica Steen", "d:Description": "Includes her biography, movie credits, articles, interviews, pictures, and links.", "topic": "Top/Arts/People/S/Steen,_Jessica", "url": "http://www.thespiannet.com/actresses/S/steen_jessica/jessica_steen.shtml"} +{"d:Title": "Leslie Stefanson Official Fan Club.", "d:Description": "A fan club featuring pictures, and news.", "topic": "Top/Arts/People/S/Stefanson,_Leslie", "url": "http://groups.yahoo.com/group/lesliestefansonworld/"} +{"d:Title": "Internet Movie Database: Leslie Stefanson", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/People/S/Stefanson,_Leslie", "url": "http://www.imdb.com/name/nm0005462/"} +{"d:Title": "Yahoo! Movies: Leslie Stefanson", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stefanson,_Leslie", "url": "http://movies.yahoo.com/person/leslie-stefanson/"} +{"d:Title": "Yahoo! Movies: Rod Steiger", "d:Description": "Biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Steiger,_Rod", "url": "http://movies.yahoo.com/person/rod-steiger/"} +{"d:Title": "IMDb.com: Rod Steiger", "d:Description": "Filmography from The Internet Movie Database.", "topic": "Top/Arts/People/S/Steiger,_Rod", "url": "http://www.imdb.com/name/nm0001768/"} +{"d:Title": "Internet Movie Database: Ben Stein", "d:Description": "Contains filmography, awards, biography and image gallery.", "topic": "Top/Arts/People/S/Stein,_Ben", "url": "http://www.imdb.com/name/nm0825401/"} +{"d:Title": "Yahoo! Movies: Ben Stein", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stein,_Ben", "url": "http://movies.yahoo.com/person/ben-stein/"} +{"d:Title": "Ben's House", "d:Description": "Ben Stein's official site with a biography, filmography, multimedia, articles, fan information, and links.", "topic": "Top/Arts/People/S/Stein,_Ben", "url": "http://www.mrbenstein.com/"} +{"d:Title": "Yahoo! Movies: Toby Stephens", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stephens,_Toby", "url": "http://movies.yahoo.com/person/toby-stephens/"} +{"d:Title": "IMDb.com: Toby Stevens", "d:Description": "Filmography from The Internet Movie Database.", "topic": "Top/Arts/People/S/Stephens,_Toby", "url": "http://www.imdb.com/name/nm0828813/"} +{"d:Title": "Internet Movie Database: Nicola Stephenson", "d:Description": "Contains filmography.", "topic": "Top/Arts/People/S/Stephenson,_Nicola", "url": "http://www.imdb.com/name/nm0827296/"} +{"d:Title": "IMDb: Mindy Sterling", "d:Description": "Filmography, television guest appearances, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Sterling,_Mindy", "url": "http://www.imdb.com/name/nm0827565/"} +{"d:Title": "Internet Movie Database: Daniel Stern", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Stern,_Daniel", "url": "http://www.imdb.com/name/nm0827663/"} +{"d:Title": "Yahoo! Movies: Daniel Stern", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stern,_Daniel", "url": "http://movies.yahoo.com/person/daniel-stern/"} +{"d:Title": "Actor Daniel Stern", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Stern,_Daniel", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?dstern"} +{"d:Title": "Marks Friggin' Howard Stern Stuff", "d:Description": "Provides daily Howard Stern Show updates.", "topic": "Top/Arts/People/S/Stern,_Howard", "url": "http://www.marksfriggin.com/"} +{"d:Title": "WWWF Grudge Match: Howard Stern vs. Rush Limbaugh", "d:Description": "A comedic look at an imaginary self-propelled hot air balloon race around the world.", "topic": "Top/Arts/People/S/Stern,_Howard", "url": "http://www.grudge-match.com/History/rush-howard.shtml"} +{"d:Title": "AskMen.com: Stern vs Gifford", "d:Description": "Comparison or \"most likely to\" evaluation between Kathie Lee Gifford and Howard Stern.", "topic": "Top/Arts/People/S/Stern,_Howard", "url": "http://www.askmen.com/toys/battle/battle10.html"} +{"d:Title": "Howard Stern", "d:Description": "Official site features news, show personalities, hot topics and image archive.", "priority": "1", "topic": "Top/Arts/People/S/Stern,_Howard", "url": "http://www.howardstern.com/"} +{"d:Title": "Topix", "d:Description": "News about Howard Stern, collected from various sources on the web.", "topic": "Top/Arts/People/S/Stern,_Howard", "url": "http://www.topix.com/rss/who/howard-stern.xml"} +{"d:Title": "Yahoo! Movies: Howard Stern", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/People/S/Stern,_Howard", "url": "http://movies.yahoo.com/person/howard-stern/"} +{"d:Title": "IMDb: Howard Stern", "d:Description": "Filmography, profile, photographs, message board, and notable television appearances.", "topic": "Top/Arts/People/S/Stern,_Howard", "url": "http://www.imdb.com/name/nm0001769/"} +{"d:Title": "Howard Stern Ring", "d:Description": "A collection of Howard Stern related web sites.", "topic": "Top/Arts/People/S/Stern,_Howard/Directories", "url": "http://www.webring.org/hub?ring=howard"} +{"d:Title": "Heynow's Webpage", "d:Description": "A large archive of RealAudio clips from the Howard Stern show when it was on terrestrial radio.", "topic": "Top/Arts/People/S/Stern,_Howard/Fan_Pages", "url": "http://www.angelfire.com/ny/heynow2/"} +{"d:Title": "Howard Stern Radio Show, The", "d:Description": "Ratings and information for Howard Stern's discontinued Saturday night show on CBS.", "topic": "Top/Arts/People/S/Stern,_Howard/Fan_Pages", "url": "http://www.angelfire.com/az/hsrs/index.html"} +{"d:Title": "The Rest of Howard Stern", "d:Description": "Showcasing screenshots of Howard's appearances on various TV shows during height of his career in the mid-1990s.", "topic": "Top/Arts/People/S/Stern,_Howard/Image_Galleries", "url": "http://howard.iscool.com/"} +{"d:Title": "Yahoo! Movies: Andrew Stevens", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/People/S/Stevens,_Andrew", "url": "http://movies.yahoo.com/person/andrew-stevens/"} +{"d:Title": "IMDb: Andrew Stevens (I)", "d:Description": "Filmography for the film producer, director, and actor.", "topic": "Top/Arts/People/S/Stevens,_Andrew", "url": "http://www.imdb.com/name/nm0002989/"} +{"d:Title": "IMDb: Brinke Stevens", "d:Description": "Includes filmography and trivia.", "topic": "Top/Arts/People/S/Stevens,_Brinke", "url": "http://www.imdb.com/name/nm0828288/"} +{"d:Title": "Fisher Stevens Archives", "d:Description": "Fansite dedicated to actor Fisher Stevens, includes filmography, biography, images, articles, and interviews.", "topic": "Top/Arts/People/S/Stevens,_Fisher", "url": "http://earlydues.usanethosting.com/fisher/"} +{"d:Title": "Internet Movie Database: Fisher Stevens", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Stevens,_Fisher", "url": "http://www.imdb.com/name/nm0001770/"} +{"d:Title": "Yahoo! Movies: Fisher Stevens", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stevens,_Fisher", "url": "http://movies.yahoo.com/person/fisher-stevens/"} +{"d:Title": "IMDb: Warren Stevens", "d:Description": "Biography, filmography and television guest appearances.", "topic": "Top/Arts/People/S/Stevens,_Warren", "url": "http://www.imdb.com/name/nm0828838/"} +{"d:Title": "IMDb: Cynthia Stevenson", "d:Description": "Filmography and photographs.", "topic": "Top/Arts/People/S/Stevenson,_Cynthia", "url": "http://www.imdb.com/name/nm0828906/"} +{"d:Title": "Yahoo! Movies: Cynthia Stevenson", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stevenson,_Cynthia", "url": "http://movies.yahoo.com/person/cynthia-stevenson/"} +{"d:Title": "Juliet Stevenson", "d:Description": "Biography and photos.", "topic": "Top/Arts/People/S/Stevenson,_Juliet", "url": "http://www.tmaw.co.uk/juliets.html"} +{"d:Title": "BBC Radio - Interview - Juliet Stevenson", "d:Description": "Jo Morris talks to Juliet, Woman's Hour listeners' all time favourite reader, about her work and her family. Listen to and read the interview.", "topic": "Top/Arts/People/S/Stevenson,_Juliet", "url": "http://www.bbc.co.uk/radio4/womanshour/2005_47_wed_01.shtml"} +{"d:Title": "Juliet Stevenson News: Topix", "d:Description": "News about Juliet Stevenson continually updated from around the net.", "topic": "Top/Arts/People/S/Stevenson,_Juliet", "url": "http://www.topix.com/who/juliet-stevenson"} +{"d:Title": "Yahoo! Movies: Juliet Stevenson", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stevenson,_Juliet", "url": "http://movies.yahoo.com/person/juliet-stevenson/"} +{"d:Title": "IMDb: Juliet Stevenson", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Stevenson,_Juliet", "url": "http://www.imdb.com/name/nm0828980/"} +{"d:Title": "Parker Stevenson", "d:Description": "Dedicated to the career of Parker Stevenson - from 70's teen idol to current day actor.", "topic": "Top/Arts/People/S/Stevenson,_Parker", "url": "http://cherelle64.tripod.com/parkerstevenson.html"} +{"d:Title": "Parker Stevenson", "d:Description": "A site dedicated to the actor, with a filmography, photos, plot synopsis, comments, and quotes.", "topic": "Top/Arts/People/S/Stevenson,_Parker", "url": "http://1forparker.tripod.com/parkerstevenson/"} +{"d:Title": "Yahoo! Movies: Parker Stevenson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Stevenson,_Parker", "url": "http://movies.yahoo.com/person/parker-stevenson/"} +{"d:Title": "IMDb.com - Parker Stevenson", "d:Description": "Includes filmography, brief biography and pictures.", "topic": "Top/Arts/People/S/Stevenson,_Parker", "url": "http://www.imdb.com/name/nm0829017/"} +{"d:Title": "IMDb: Catherine Mary Stewart", "d:Description": "The Internet Movie Database includes biographical information, filmography, and links.", "topic": "Top/Arts/People/S/Stewart,_Catherine_Mary", "url": "http://www.imdb.com/name/nm0829252/"} +{"d:Title": "TV Guide - Catherine Mary Stewart", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Stewart,_Catherine_Mary", "url": "http://www.tvguide.com/celebrities/catherine-mary-stewart/143779"} +{"d:Title": "Thespian Net Presents Catherine Mary Stewart", "d:Description": "Information about the actress including filmography, articles and pictures.", "topic": "Top/Arts/People/S/Stewart,_Catherine_Mary", "url": "http://www.thespiannet.com/actresses/S/stewart_catherinemary/catherine_mary_stewart.shtml"} +{"d:Title": "IMDb.com: Ewan Stewart (I)", "d:Description": "Biographical information and filmography.", "topic": "Top/Arts/People/S/Stewart,_Ewan", "url": "http://www.imdb.com/name/nm0829380/"} +{"d:Title": "Yahoo! Movies: French Stewart", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stewart,_French", "url": "http://movies.yahoo.com/person/french-stewart/"} +{"d:Title": "IMDb.com: French Stewart", "d:Description": "Filmography, photographs, and profile.", "topic": "Top/Arts/People/S/Stewart,_French", "url": "http://www.imdb.com/name/nm0829390/"} +{"d:Title": "The Jimmy Stewart Museum", "d:Description": "Pennsylvania museum celebrating the life and career of actor Jimmy Stewart.", "topic": "Top/Arts/People/S/Stewart,_James", "url": "http://www.jimmy.org/"} +{"d:Title": "Meredy.com - Jimmy Stewart", "d:Description": "A salute to classic actor with images, and free downloads.", "topic": "Top/Arts/People/S/Stewart,_James", "url": "http://www.meredy.com/jimmystewart/"} +{"d:Title": "IMDb: James Stewart", "d:Description": "Contains filmography, biography, trivia, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Stewart,_James", "url": "http://www.imdb.com/name/nm0000071/"} +{"d:Title": "James Stewart News: Topix", "d:Description": "News about James Stewart continually updated from around the net.", "topic": "Top/Arts/People/S/Stewart,_James", "url": "http://www.topix.com/who/james-stewart"} +{"d:Title": "Reel Classics - Jimmy Stewart", "d:Description": "Features information about the actor and his film career.", "topic": "Top/Arts/People/S/Stewart,_James", "url": "http://www.reelclassics.com/Actors/Jimmy/jimmy.htm"} +{"d:Title": "Yahoo! Movies: James Stewart", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stewart,_James", "url": "http://movies.yahoo.com/person/james-stewart-1908/"} +{"d:Title": "Jon Stewart Legion", "d:Description": "Articles, interviews, movie credits, and multimedia.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://www.angelfire.com/mo/jonst/"} +{"d:Title": "Irreverence in an Age of Reverence: Stewart on NY Times", "d:Description": "NY Times' exclusive video interview of Jon Stewart and Peter Jennings as they discuss news and satire in today's world. Free clip available; fee required to view/download entire interview.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://www.nytimes.com/criticschoice/purchase_irreverence.html"} +{"d:Title": "Jon Stewart Intelligence Agency", "d:Description": "A fan club with updated news.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://www.jonstewart.net/index.html"} +{"d:Title": "Jon Stewart Shrine", "d:Description": "Offering pictures, multimedia, news, and general information about the actor.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://natspaz.tripod.com/thejonstewartshrine"} +{"d:Title": "IMDb: Jon Stewart", "d:Description": "Filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://www.imdb.com/name/nm0829537/"} +{"d:Title": "Jon Stewart News: Topix", "d:Description": "News about Jon Stewart continually updated from around the net.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://www.topix.com/who/jon-stewart"} +{"d:Title": "Topix: Jon Stewart", "d:Description": "News about Jon Stewart, collected from various sources on the web.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://www.topix.com/rss/who/jon-stewart.xml"} +{"d:Title": "Yahoo! Movies: Jon Stewart", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://movies.yahoo.com/person/jon-stewart/"} +{"d:Title": "Jon Stewart Home Page", "d:Description": "A fan site with some pictures.", "topic": "Top/Arts/People/S/Stewart,_Jon", "url": "http://talkstew.tripod.com/jonstewart.html"} +{"d:Title": "Patrick Stewart - The Actors Actor", "d:Description": "A fan site with biography, interviews, photos, links, and detailed career information.", "topic": "Top/Arts/People/S/Stewart,_Patrick", "url": "http://members.tripod.com/nicky_smith/index.html"} +{"d:Title": "Patrick Stewart Network", "d:Description": "The Official Patrick Stewart Fan Club. A network of fans producing a quality web site comprising detailed information, as well as the chance to become an active member and receive monthly updates and magazines.", "topic": "Top/Arts/People/S/Stewart,_Patrick", "url": "http://patrickstewart.org/"} +{"d:Title": "Patrick Stewart News: Topix", "d:Description": "News about Patrick Stewart continually updated from around the net.", "topic": "Top/Arts/People/S/Stewart,_Patrick", "url": "http://www.topix.com/who/patrick-stewart"} +{"d:Title": "Yahoo! Movies: Patrick Stewart", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stewart,_Patrick", "url": "http://movies.yahoo.com/person/patrick-stewart/"} +{"d:Title": "Patrick Stewart Tribute", "d:Description": "A fan site with biographical information, filmography, movie stills, and links.", "topic": "Top/Arts/People/S/Stewart,_Patrick", "url": "http://patrickstewart.tripod.com/"} +{"d:Title": "Digital Hit's Patrick Stewart Profile", "d:Description": "A look at the life and career of Patrick Stewart.", "topic": "Top/Arts/People/S/Stewart,_Patrick", "url": "http://www.digitalhit.com/cr/patrickstewart/"} +{"d:Title": "IMDb.com - Patrick Stewart (I)", "d:Description": "Patrick Stewart's filmography", "topic": "Top/Arts/People/S/Stewart,_Patrick", "url": "http://www.imdb.com/name/nm0001772/"} +{"d:Title": "IMDb: Paul Anthony Stewart", "d:Description": "Filmography, awards and nominations.", "topic": "Top/Arts/People/S/Stewart,_Paul_Anthony", "url": "http://www.imdb.com/name/nm0829722/"} +{"d:Title": "David Ogden Stiers", "d:Description": "Tribute created by a fan, includes biography, career credits, multimedia, bulletin board, mailing list, news, and links.", "topic": "Top/Arts/People/S/Stiers,_David_Ogden", "url": "http://davidogdenstiers.com/"} +{"d:Title": "IMDb: David Ogden Stiers", "d:Description": "Contains filmography, awards, biography and image gallery.", "topic": "Top/Arts/People/S/Stiers,_David_Ogden", "url": "http://www.imdb.com/name/nm0001773/"} +{"d:Title": "Yahoo! Movies: David Ogden Stiers", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stiers,_David_Ogden", "url": "http://movies.yahoo.com/person/david-ogden-stiers/"} +{"d:Title": "Julia Stiles", "d:Description": "Featuring the actress, filmography, articles and pictures.", "topic": "Top/Arts/People/S/Stiles,_Julia", "url": "http://juliastiles.free.fr/"} +{"d:Title": "Julia Stiles News: Topix", "d:Description": "News about Julia Stiles continually updated from around the net.", "topic": "Top/Arts/People/S/Stiles,_Julia", "url": "http://www.topix.com/who/julia-stiles"} +{"d:Title": "Yahoo! Movies: Julia Stiles", "d:Description": "Includes filmography, biography, image gallery and awards.", "topic": "Top/Arts/People/S/Stiles,_Julia", "url": "http://movies.yahoo.com/person/julia-stiles/"} +{"d:Title": "IMDb.com - Julia Stiles", "d:Description": "Julia Stiles's filmography at IMDb", "topic": "Top/Arts/People/S/Stiles,_Julia", "url": "http://www.imdb.com/name/nm0005466/"} +{"d:Title": "Ryan Stiles Rules", "d:Description": "Biography, filmography, trivia, photo galleries, and episode guide for The Drew Carey Show.", "topic": "Top/Arts/People/S/Stiles,_Ryan", "url": "http://www.angelfire.com/comics/ryanstiles/welcome.html"} +{"d:Title": "Ryan Stiles Rules", "d:Description": "Fan club at Yahoo! Message boards, links, chat, pictures, and other information.", "topic": "Top/Arts/People/S/Stiles,_Ryan", "url": "http://groups.yahoo.com/group/stiles/"} +{"d:Title": "IMDb: Ryan Stiles", "d:Description": "Includes biography, filmography, television guest appearances and award nominations.", "topic": "Top/Arts/People/S/Stiles,_Ryan", "url": "http://www.imdb.com/name/nm0830198/"} +{"d:Title": "Ben Stiller News: Topix", "d:Description": "News about Ben Stiller continually updated from around the net.", "topic": "Top/Arts/People/S/Stiller,_Ben", "url": "http://www.topix.com/who/ben-stiller"} +{"d:Title": "Topix: Ben Stiller", "d:Description": "News about Ben Stiller, collected from various sources on the web.", "topic": "Top/Arts/People/S/Stiller,_Ben", "url": "http://www.topix.com/rss/who/ben-stiller.xml"} +{"d:Title": "Yahoo! Movies: Ben Stiller", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stiller,_Ben", "url": "http://movies.yahoo.com/person/ben-stiller/"} +{"d:Title": "IMDb: Ben Stiller", "d:Description": "Biography, credits, and photos.", "topic": "Top/Arts/People/S/Stiller,_Ben", "url": "http://www.imdb.com/name/nm0001774/"} +{"d:Title": "Ben Stiller at Digital Hit", "d:Description": "A brief article on Stiller's life and career with a picture and links.", "topic": "Top/Arts/People/S/Stiller,_Ben", "url": "http://www.digitalhit.com/cr/benstiller/"} +{"d:Title": "IMDb: Dean Stockwell", "d:Description": "Contains filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Stockwell,_Dean", "url": "http://www.imdb.com/name/nm0001777/"} +{"d:Title": "Official Stockwell Appreciation Society", "d:Description": "Biography, credits, photos, and little-known facts about the actor.", "topic": "Top/Arts/People/S/Stockwell,_Dean", "url": "http://stockwellsassies.tripod.com/"} +{"d:Title": "Yahoo! Movies: Dean Stockwell", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Stockwell,_Dean", "url": "http://movies.yahoo.com/person/dean-stockwell/"} +{"d:Title": "John Stockwell Nostalgia and Tribute Page", "d:Description": "Devoted to John Stockwell, actor, director, star of \"Christine\", \"Losin' It\", \"My Science Project\", \"Stag\" and many other movies and tv shows.", "topic": "Top/Arts/People/S/Stockwell,_John", "url": "http://www.johnstockwell.homestead.com/"} +{"d:Title": "IMDb.com : John Stockwell", "d:Description": "Filmography and brief profile of the actor.", "topic": "Top/Arts/People/S/Stockwell,_John", "url": "http://www.imdb.com/name/nm0007082/"} +{"d:Title": "Thespian Net Presents Eric Stoltz", "d:Description": "Information about the actor, filmography, articles and pictures.", "topic": "Top/Arts/People/S/Stoltz,_Eric", "url": "http://www.thespiannet.com/actors/S/stoltz_eric/index.shtml"} +{"d:Title": "Eric Stoltz - A Tribute", "d:Description": "Fan site including biography, filmography and pictures.", "topic": "Top/Arts/People/S/Stoltz,_Eric", "url": "http://www.negativschnitt.de/eric_stoltz"} +{"d:Title": "IMDb: Eric Stoltz", "d:Description": "The Internet Movie Database includes biography, filmography, pictures, and links.", "topic": "Top/Arts/People/S/Stoltz,_Eric", "url": "http://www.imdb.com/name/nm0000655/"} +{"d:Title": "Yahoo! Movies: Eric Stoltz", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Stoltz,_Eric", "url": "http://movies.yahoo.com/person/eric-stoltz/"} +{"d:Title": "TV Guide - Eric Stoltz", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Stoltz,_Eric", "url": "http://www.tvguide.com/celebrities/eric-stoltz/149482"} +{"d:Title": "The Only Eric Stoltz Page", "d:Description": "A fan site with photo gallery, news, biography, interviews, and links.", "topic": "Top/Arts/People/S/Stoltz,_Eric", "url": "http://poeticeye.tripod.com/"} +{"d:Title": "Canoe: Eric Stoltz", "d:Description": "The Canadian Online Explorer's collection of articles on Stoltz' movies and career.", "topic": "Top/Arts/People/S/Stoltz,_Eric", "url": "http://jam.canoe.com/Movies/Artists/S/Stoltz_Eric/"} +{"d:Title": "Undying Sharon Stone", "d:Description": "Photo gallery, biography, filmography, and links.", "topic": "Top/Arts/People/S/Stone,_Sharon", "url": "http://www.undying.com/celeb/Sharon_Stone"} +{"d:Title": "Sharon Stone's Hollywood", "d:Description": "Places and events where she has been seen around town.", "topic": "Top/Arts/People/S/Stone,_Sharon", "url": "http://seeing-stars.com/StarIndexes/SharonStone.shtml"} +{"d:Title": "99% Sharon Stone - the unofficial website", "d:Description": "Fan site contains biography, filmography, and picture gallery.", "topic": "Top/Arts/People/S/Stone,_Sharon", "url": "http://sharonston3.freewebspace.com/"} +{"d:Title": "Actress Sharon Stone", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Stone,_Sharon", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?sstone"} +{"d:Title": "IMDb: Sharon Stone (I)", "d:Description": "Filmography for the actress.", "topic": "Top/Arts/People/S/Stone,_Sharon", "url": "http://www.imdb.com/name/nm0000232/"} +{"d:Title": "Thespian Net Presents Sharon Stone", "d:Description": "Information about the actress including filmography, articles and pictures.", "topic": "Top/Arts/People/S/Stone,_Sharon", "url": "http://www.thespiannet.com/actresses/S/stone_sharon/sharon_stone.shtml"} +{"d:Title": "IMDb: Adam Storke", "d:Description": "Contains filmography, television guest appearances and trivia.", "topic": "Top/Arts/People/S/Storke,_Adam", "url": "http://www.imdb.com/name/nm0832531/"} +{"d:Title": "Jerry Haendiges Vintage Radio Logs", "d:Description": "Gale Storm's performances on various radio programs.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.otrsite.com/logs/special/galestorm.htm"} +{"d:Title": "TV Single Dads - My Little Margie", "d:Description": "Discusses cast of My Little Margie", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.tvdads.com/tvd5060.html#Margie"} +{"d:Title": "Stars of Yesterday Say Hello", "d:Description": "Contains audio file of Gale Storm", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.lofcom.com/nostalgia/otr/fotrs95.phtml"} +{"d:Title": "Gale Storm: Bundle of Energy", "d:Description": "Biographical account of Gale Storm's career. From Films of the Golden Age.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.filmsofthegoldenage.com/foga/1999/winter99/galestorm.shtml"} +{"d:Title": "Gale Storm - Official Site", "d:Description": "Official site of Gale Storm.", "priority": "1", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.galestorm.tv/"} +{"d:Title": "Gale Storm - Wikipedia", "d:Description": "Summary of Gale Storm's career.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://en.wikipedia.org/wiki/Gale_Storm"} +{"d:Title": "Brian's Drive-In Theater - Gale Storm", "d:Description": "Biography, photographs and filmography.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.briansdriveintheater.com/galestorm.html"} +{"d:Title": "Gale Storm", "d:Description": "Profile of Gale Storm in the Notable Names Database, including photo.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.nndb.com/people/332/000105017/"} +{"d:Title": "Gale Storm News Message List", "d:Description": "Announcement list for friends and fans of Gale Storm.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://lists.topica.com/lists/GaleStormNews"} +{"d:Title": "Penthouse 10: Little Storm Sings", "d:Description": "Anniversary of the debut of My Little Margie, starring Gale Storm.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://natpe.blogs.com/ph10/2006/06/little_storm_si.html"} +{"d:Title": "TV Guide - Gale Storm", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.tvguide.com/celebrities/gale-storm/151168"} +{"d:Title": "Remembering Gale Storm", "d:Description": "Biography and photo of the entertainer.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.retrogalaxy.com/remember/gale-storm.asp"} +{"d:Title": "Geezer Music Club - Gale Storm Was Not a TV Weathergirl", "d:Description": "Biographical article with photograph.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://geezermusicclub.wordpress.com/2007/07/22/gale-storm-was-not-a-tv-weathergirl/"} +{"d:Title": "Golden Boot Awards", "d:Description": "Gale Storm was one of the recipients of the \"Sweethearts of the West\" award in 2004.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://wff5.tripod.com/id22.html"} +{"d:Title": "Daves Garden: Detailed information on Daylily 'Gale Storm'", "d:Description": "Information about the plant named in honor of Gale Storm.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://davesgarden.com/guides/pf/go/28637/"} +{"d:Title": "Fifties Celebrities - The Stars Today - Page Three", "d:Description": "Fifties Celebrities - How they look today,containing a recent photograph of Gale Storm, signing autographs.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.fiftiesweb.com/stars-today-2.htm"} +{"d:Title": "Randy Wood: The Dot Records Story, Part 1", "d:Description": "The first label for which Gale Storm recorded.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.bsnpubs.com/dot/dotstory.html"} +{"d:Title": "IMDb: Gale Storm", "d:Description": "Gale Storm filmography and television appearances.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.imdb.com/name/nm0832561/"} +{"d:Title": "Gale Storm: New York Times biography", "d:Description": "Includes filmography.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.nytimes.com/movies/person/68542/Gale-Storm"} +{"d:Title": "Gale Storm - Dating, Gossip, News, Photos", "d:Description": "Who's Dated Who feature on the entertainer, including news of relationships, pictures, biography, vital statistics, commentary, forum, fans and facts.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://dating.famousfix.com/tpx_14224/gale-storm/dating"} +{"d:Title": "TV.com -Gale Storm", "d:Description": "Biography, roles and appearances, and gossip.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.tv.com/people/gale-storm/"} +{"d:Title": "MTV.com: Gale Storm", "d:Description": "Artist information, including news, biography, and message boards.", "topic": "Top/Arts/People/S/Storm,_Gale", "url": "http://www.mtv.com/artists/gale-storm/"} +{"d:Title": "IMDb.com - T.J. Storm", "d:Description": "Complete filmography of the actor.", "topic": "Top/Arts/People/S/Storm,_T._J.", "url": "http://www.imdb.com/name/nm0832622/"} +{"d:Title": "Kirsten Storms Fansite", "d:Description": "News, information, and links.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.angelfire.com/ny2/kirstenfansite/"} +{"d:Title": "Childstarlets.com : Kirsten Storms Image Gallery", "d:Description": "Video captures of Kirsten from her various TV and movie roles including Days of our Lives.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.childstarlets.com/lobby/bios/kirsten_storms.html"} +{"d:Title": "All About Kirsten Storms", "d:Description": "Fan site features biography, filmography, pictures and chat transcripts.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.angelfire.com/ri/kstorms/index.html"} +{"d:Title": "Kirsten Storms Photo Gallery", "d:Description": "Vidcaps from her various projects including Zenon: Girl of the 21st Century and Days of our Lives.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.angelfire.com/nt/kirstenstorms/"} +{"d:Title": "Sweet Angel Kirsten Storms", "d:Description": "Features news, picture gallery, screen savers, wallpaper, links and a forum.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.angelfire.com/celeb/BelleBlack/"} +{"d:Title": "Kirsten Storms Page", "d:Description": "Biography, filmography, photo album and links.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.kirstenstorms.tvheaven.com/"} +{"d:Title": "Kirsten Storms Fan Site", "d:Description": "Biography, image gallery and links.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://kstorms.tripod.com/"} +{"d:Title": "IMDb: Kirsten Storms", "d:Description": "Contains filmography, television guest appearances, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.imdb.com/name/nm0832653/"} +{"d:Title": "TV Guide - Kirsten Storms", "d:Description": "Includes a biography and a monthly television schedule for the actress.", "topic": "Top/Arts/People/S/Storms,_Kirsten", "url": "http://www.tvguide.com/celebrities/kirsten-storms/190360"} +{"d:Title": "Yahoo! Movies: Madeleine Stowe", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://movies.yahoo.com/person/madeleine-stowe/"} +{"d:Title": "TV Guide - Madeleine Stowe", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie information.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://www.tvguide.com/celebrities/madeleine-stowe/163397"} +{"d:Title": "Actress Madeleine Stowe", "d:Description": "Picture galleries, box office information, vital statistics, links and message board.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?mstowe"} +{"d:Title": "Thespian Net Presents Madeleine Stowe", "d:Description": "Includes her biography, movie credits, articles, interviews, pictures, and links.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://www.thespiannet.com/actresses/S/stowe_madeleine/madeleine_stowe.shtml"} +{"d:Title": "IMDb: Madeleine Stowe", "d:Description": "The Internet Movie Database includes biographical information, a filmography, and links.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://www.imdb.com/name/nm0000656/"} +{"d:Title": "Box Office Data for Madeleine Stowe", "d:Description": "Summarizes box office statistics for movies Madeleine Stowe appeared in.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://www.the-numbers.com/person/137960401-Madeleine-Stowe"} +{"d:Title": "Rotten Tomatoes: Madeleine Stowe", "d:Description": "Includes a profile and mini biography as well as links to interviews and articles.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://www.rottentomatoes.com/celebrity/madeleine_stowe/"} +{"d:Title": "Canoe: Madeleine Stowe", "d:Description": "The Canadian Online Explorer's collection of articles on Stowe's life and career.", "topic": "Top/Arts/People/S/Stowe,_Madeleine", "url": "http://jam.canoe.com/Movies/Artists/S/Stowe_Madeleine/"} +{"d:Title": "IMDb: Robin Strasser", "d:Description": "Biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Strasser,_Robin", "url": "http://www.imdb.com/name/nm0833506/"} +{"d:Title": "IMDb: David Strathairn", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Strathairn,_David", "url": "http://www.imdb.com/name/nm0000657/"} +{"d:Title": "Yahoo! Movies: David Strathairn", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Strathairn,_David", "url": "http://movies.yahoo.com/person/david-strathairn/"} +{"d:Title": "Forever Dorothy Stratten", "d:Description": "Fan site dedicated to the model and actress - includes biography, and pictures.", "topic": "Top/Arts/People/S/Stratten,_Dorothy", "url": "http://www.angelfire.com/d20/foreverdorothy/index.html"} +{"d:Title": "Dorothy Stratten", "d:Description": "Tribute to actress featuring photos, movies, books and information.", "topic": "Top/Arts/People/S/Stratten,_Dorothy", "url": "http://www.dorothystratten.com/"} +{"d:Title": "Dorothy Stratten", "d:Description": "Filmography and profile at IMDb.", "topic": "Top/Arts/People/S/Stratten,_Dorothy", "url": "http://www.imdb.com/name/nm0833617/"} +{"d:Title": "Meryl Streep", "d:Description": "A fan presents filmography, memorable quotes, and pictures.", "topic": "Top/Arts/People/S/Streep,_Meryl", "url": "http://www.angelfire.com/on3/AfghanHound/meryl_streep.htm"} +{"d:Title": "Meryl Streep Online", "d:Description": "Information about Streep's life, the causes she supports, and her career. Includes photographs, fan artwork, and news.", "topic": "Top/Arts/People/S/Streep,_Meryl", "url": "http://www.merylstreeponline.net/"} +{"d:Title": "TheMovieTimes.com: Meryl Streep", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/S/Streep,_Meryl", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?mstreep"} +{"d:Title": "Meryl Streep News: Topix", "d:Description": "News about Meryl Streep continually updated from around the net.", "topic": "Top/Arts/People/S/Streep,_Meryl", "url": "http://www.topix.com/who/meryl-streep"} +{"d:Title": "Topix: Meryl Streep", "d:Description": "News about Meryl Streep, collected from various sources on the web.", "topic": "Top/Arts/People/S/Streep,_Meryl", "url": "http://www.topix.com/rss/who/meryl-streep.xml"} +{"d:Title": "Yahoo! Movies: Meryl Streep", "d:Description": "Includes biography, filmography, awards and image gallery.", "topic": "Top/Arts/People/S/Streep,_Meryl", "url": "http://movies.yahoo.com/person/meryl-streep/"} +{"d:Title": "IMDb: Meryl Streep", "d:Description": "Complete filmography and profile.", "topic": "Top/Arts/People/S/Streep,_Meryl", "url": "http://www.imdb.com/name/nm0000658/"} +{"d:Title": "The Ultimate Barbra Streisand Experience", "d:Description": "Discographies (albums and singles), filmography, television appearances, and many photo galleries.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://heathcastor.tripod.com/index.html"} +{"d:Title": "Barbra Streisand", "d:Description": "Official site with sections devoted to \"truth alerts\" (to counter tabloid stories), biography, statements, charities, discography, filmography. \"TV-ography\".", "priority": "1", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://barbrastreisand.com/"} +{"d:Title": "Barbra Streisand Italian Fans Club", "d:Description": "with pictures, news and lyrics", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://digilander.libero.it/bjsweb/index.html"} +{"d:Title": "BarbraNews", "d:Description": "Featuring news, information, and articles.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://www.barbranews.com/"} +{"d:Title": "Barbra Streisand Archives", "d:Description": "Fan site, containing pictures, articles, interviews, and background information about the artist.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://barbra-archives.com/"} +{"d:Title": "OldieLyrics - Barbra Streisand", "d:Description": "Features lyrics of songs ordered by albums.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://www.oldielyrics.com/b/barbra_streisand.html"} +{"d:Title": "Barbra Streisand", "d:Description": "IMDb filmography, trivia, mini biography, and related details.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://www.imdb.com/name/nm0000659/"} +{"d:Title": "Topix", "d:Description": "Headline links from various media sources.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://www.topix.com/who/barbra-streisand"} +{"d:Title": "Survivor: Barbra - The Island", "d:Description": "Barbra Streisand's film characters are stranded on an island together. Vote one character off \"The Island\" each week.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://barbratheisland.com/"} +{"d:Title": "Barbra Streisand", "d:Description": "Biography of Barbra Streisand.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://www.jennifer-too.com/tides/about2.html"} +{"d:Title": "Yahoo! Movies: Barbra Streisand", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://movies.yahoo.com/person/barbra-streisand/"} +{"d:Title": "Timeless", "d:Description": "Fan site dedicated to Barbra Streisand has a complete list of albums, films and awards, along with trivia and fun facts.", "topic": "Top/Arts/People/S/Streisand,_Barbra", "url": "http://brit2410.tripod.com/"} +{"d:Title": "IMDb: Sherry Stringfield", "d:Description": "Includes brief biography, filmography, awards and nominations.", "topic": "Top/Arts/People/S/Stringfield,_Sherry", "url": "http://www.imdb.com/name/nm0834606/"} +{"d:Title": "IMDb: Danny Strong", "d:Description": "Biography, filmography, television guest appearances and image gallery.", "topic": "Top/Arts/People/S/Strong,_Danny", "url": "http://www.imdb.com/name/nm0834960/"} +{"d:Title": "The Unofficial Danny Strong Website", "d:Description": "News, biography, filmography, articles and gallery.", "topic": "Top/Arts/People/S/Strong,_Danny", "url": "http://dannystrong.tripod.com/"} +{"d:Title": "IMDb: Rider Strong", "d:Description": "Contains filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Strong,_Rider", "url": "http://www.imdb.com/name/nm0835045/"} +{"d:Title": "TV Guide - Rider Strong", "d:Description": "Television schedule with current listings for the month.", "topic": "Top/Arts/People/S/Strong,_Rider", "url": "http://www.tvguide.com/celebrities/rider-strong/171835"} +{"d:Title": "IMDb: Don Stroud", "d:Description": "Contains biography, filmography and television guest appearances.", "topic": "Top/Arts/People/S/Stroud,_Don", "url": "http://www.imdb.com/name/nm0835144/"} +{"d:Title": "Yahoo! Movies: Don Stroud", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Stroud,_Don", "url": "http://movies.yahoo.com/person/don-stroud/"} +{"d:Title": "Stroumboulopoulos -Twitter", "d:Description": "The latest tweets from George Stroumboulopoulos.", "topic": "Top/Arts/People/S/Stroumboulopoulos,_George", "url": "https://twitter.com/strombo"} +{"d:Title": "The Strombo Show", "d:Description": "Video archive from past shows. Links to upcoming shows on CBC.", "topic": "Top/Arts/People/S/Stroumboulopoulos,_George", "url": "http://strombo.com/"} +{"d:Title": "George Stroumboulopoulos Tonight", "d:Description": "Each weeknight George Stroumboulopoulos brings a conversation with celebrities and original thinkers.", "topic": "Top/Arts/People/S/Stroumboulopoulos,_George", "url": "http://www.cbc.ca/strombo/"} +{"d:Title": "George Stroumboulopoulos - Facebook", "d:Description": "News and social posts.", "topic": "Top/Arts/People/S/Stroumboulopoulos,_George", "url": "https://www.facebook.com/strombo"} +{"d:Title": "Carel Struycken", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/S/Struycken,_Carel", "url": "http://www.imdb.com/name/nm0835393/"} +{"d:Title": "Katie Stuart and the Kanga Roddy Kids", "d:Description": "Pictures of Katie Stuart and other from Adventures of Kanga Roddy.", "topic": "Top/Arts/People/S/Stuart,_Katie", "url": "http://www.angelfire.com/ok2/koolkids/"} +{"d:Title": "Katie Stuart", "d:Description": "Information, links and several pictures of Katie Stuart.", "topic": "Top/Arts/People/S/Stuart,_Katie", "url": "http://actresses.20m.com/katie_stuart/"} +{"d:Title": "Harmony - Katie Stuart", "d:Description": "Website contains picture gallery, message board, biography, and filmography.", "topic": "Top/Arts/People/S/Stuart,_Katie", "url": "http://www.angelfire.com/ca2/katiestuart/"} +{"d:Title": "IMDb: Katie Stuart", "d:Description": "Includes filmography and television guest appearances.", "topic": "Top/Arts/People/S/Stuart,_Katie", "url": "http://www.imdb.com/name/nm0835758/"} +{"d:Title": "Yahoo! Movies: Katie Stuart", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Stuart,_Katie", "url": "http://movies.yahoo.com/person/katie-stuart/"} +{"d:Title": "Imogen Stubbs", "d:Description": "Biography, photos, and links.", "topic": "Top/Arts/People/S/Stubbs,_Imogen", "url": "http://www.tmaw.co.uk/imogens.html"} +{"d:Title": "IMDb: Imogen Stubbs", "d:Description": "The Internet Movie Database includes biographical information, filmography, and links.", "topic": "Top/Arts/People/S/Stubbs,_Imogen", "url": "http://www.imdb.com/name/nm0835916/"} +{"d:Title": "TV Guide - Imogen Stubbs", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/S/Stubbs,_Imogen", "url": "http://www.tvguide.com/celebrities/imogen-stubbs/154369"} +{"d:Title": "Imogen Stubbs Information Page", "d:Description": "A brief fan site with a biography, filmography, and a picture gallery.", "topic": "Top/Arts/People/S/Stubbs,_Imogen", "url": "http://imogenstubbs.tripod.com/"} +{"d:Title": "Thespian Net Presents Imogen Stubbs", "d:Description": "Information about the actress including filmography, articles and pictures.", "topic": "Top/Arts/People/S/Stubbs,_Imogen", "url": "http://www.thespiannet.com/actresses/S/stubbs_imogen/imogen_stubbs.shtml"} +{"d:Title": "Wes Studi StudiGroup Pages", "d:Description": "Filmography, message board, fan club information and related links.", "topic": "Top/Arts/People/S/Studi,_Wes", "url": "http://www.angelfire.com/ab/tracywesite/index.html"} +{"d:Title": "IMDb: Wes Studi", "d:Description": "Filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Studi,_Wes", "url": "http://www.imdb.com/name/nm0836071/"} +{"d:Title": "Yahoo! Movies: Wes Studi", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Studi,_Wes", "url": "http://movies.yahoo.com/person/wes-studi/"} +{"d:Title": "IMDb: Nicole Sullivan", "d:Description": "Includes filmography, television guest appearances, biography and image gallery.", "topic": "Top/Arts/People/S/Sullivan,_Nicole", "url": "http://www.imdb.com/name/nm0005474/"} +{"d:Title": "Miss Tammin", "d:Description": "A dedication to the actress including photos, a biography, and background information.", "topic": "Top/Arts/People/S/Sursok,_Tammin_Pamela", "url": "http://tam083.tripod.com/"} +{"d:Title": "IMDb: Catherine Sutherland", "d:Description": "Includes brief biography, filmography and television guest appearances.", "topic": "Top/Arts/People/S/Sutherland,_Catherine", "url": "http://www.imdb.com/name/nm0840059/"} +{"d:Title": "Donald Sutherland News: Topix", "d:Description": "News about Donald Sutherland continually updated from around the net.", "topic": "Top/Arts/People/S/Sutherland,_Donald", "url": "http://www.topix.com/who/donald-sutherland"} +{"d:Title": "Yahoo! Movies: Donald Sutherland", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sutherland,_Donald", "url": "http://movies.yahoo.com/person/donald-sutherland/"} +{"d:Title": "IMDb: Donald Sutherland", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Sutherland,_Donald", "url": "http://www.imdb.com/name/nm0000661/"} +{"d:Title": "Wikipedia: Kiefer Sutherland", "d:Description": "Contains a biography, selected filmography and links.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://en.wikipedia.org/wiki/Kiefer_Sutherland"} +{"d:Title": "Kiefer Sutherland Fanpage", "d:Description": "German/English fanpage that contains information, videos, pictures and quotes from his various roles.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://www.kiefersplace.com/"} +{"d:Title": "Topix: Kiefer Sutherland", "d:Description": "News about Kiefer Sutherland continually updated from around the net.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://www.topix.com/who/kiefer-sutherland"} +{"d:Title": "Kiefer Sutherland", "d:Description": "Fan site containing photos, videos, message board and news.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://kiefersutherlandhome.com/"} +{"d:Title": "Yahoo! Movies: Kiefer Sutherland", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://movies.yahoo.com/person/kiefer-sutherland/"} +{"d:Title": "Lovin Kiefer", "d:Description": "Message board for fans of the actor.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://lovinkiefer.proboards.com/"} +{"d:Title": "Kiefer's Krew", "d:Description": "Message board to discuss Kiefer and his projects.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://kieferskrew.proboards.com/"} +{"d:Title": "IMDb: Kiefer Sutherland", "d:Description": "Contains biographical information, filmography, and links.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://www.imdb.com/name/nm0000662/"} +{"d:Title": "TV.com: Kiefer Sutherland", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://www.tv.com/people/kiefer-sutherland/"} +{"d:Title": "Kiefer Daily", "d:Description": "Livejournal fan community where members share news and photos of Kiefer.", "topic": "Top/Arts/People/S/Sutherland,_Kiefer", "url": "http://kiefer-daily.livejournal.com/"} +{"d:Title": "Internet Movie Database: Mena Suvari", "d:Description": "Contains filmography, image gallery, biography, awards and nominations.", "topic": "Top/Arts/People/S/Suvari,_Mena", "url": "http://www.imdb.com/name/nm0002546/"} +{"d:Title": "Mena Suvari News: Topix", "d:Description": "News about Mena Suvari continually updated from around the net.", "topic": "Top/Arts/People/S/Suvari,_Mena", "url": "http://www.topix.com/who/mena-suvari"} +{"d:Title": "Yahoo! Movies: Mena Suvari", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Suvari,_Mena", "url": "http://movies.yahoo.com/person/mena-suvari/"} +{"d:Title": "Mena Suvari Ultimate Links", "d:Description": "Large collection of links to fan, movie, and club sites about Mena. Each site is rated for your viewing needs.", "topic": "Top/Arts/People/S/Suvari,_Mena/Directories", "url": "http://www.angelfire.com/ri/menasuvari/links.html"} +{"d:Title": "Lowdown's Mena Suvari Site", "d:Description": "Includes multi media, links, small photo gallery, filmography and polls.", "topic": "Top/Arts/People/S/Suvari,_Mena/Fan_Pages", "url": "http://mena.tvheaven.com/"} +{"d:Title": "Anneka Svenska", "d:Description": "Official website for Anneka Svenska. For bookings please go to the Contact page.", "topic": "Top/Arts/People/S/Svenska,_Anneka", "url": "http://www.annekasvenska.com/"} +{"d:Title": "IMDb: Anneka Svenska", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/S/Svenska,_Anneka", "url": "http://www.imdb.com/name/nm0841084/"} +{"d:Title": "IMDb: Donn Swaby", "d:Description": "Filmography.", "topic": "Top/Arts/People/S/Swaby,_Donn", "url": "http://www.imdb.com/name/nm0841410/"} +{"d:Title": "IMDb.com: Dominique Swain", "d:Description": "Contains filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Swain,_Dominique", "url": "http://www.imdb.com/name/nm0000663/"} +{"d:Title": "Yahoo! Movies: Dominique Swain", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Swain,_Dominique", "url": "http://movies.yahoo.com/person/dominique-swain/"} +{"d:Title": "Thespian Net: Hilary Swank", "d:Description": "Information about the actress including filmography, articles and pictures.", "topic": "Top/Arts/People/S/Swank,_Hilary", "url": "http://www.thespiannet.com/actresses/S/swank_hilary/"} +{"d:Title": "IMDb: Hilary Swank", "d:Description": "Biography, filmography, photograph galleries, news articles, awards and nominations.", "topic": "Top/Arts/People/S/Swank,_Hilary", "url": "http://www.imdb.com/name/nm0005476/"} +{"d:Title": "Hilary Swank Fan", "d:Description": "Unofficial fan site which has news and forums.", "topic": "Top/Arts/People/S/Swank,_Hilary", "url": "http://hilaryswankfan.com/"} +{"d:Title": "PopEntertainment.com: Hilary Swank - Hitting a Million", "d:Description": "The Oscar-winning actress discusses her role in \"Million Dollar Baby\" with Brad Balfour.", "topic": "Top/Arts/People/S/Swank,_Hilary", "url": "http://www.popentertainment.com/swank.htm"} +{"d:Title": "Yahoo! Movies: Hilary Swank", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Swank,_Hilary", "url": "http://movies.yahoo.com/person/hilary-swank/"} +{"d:Title": "Digital Hit: Hilary Swank", "d:Description": "A short article on her life and career.", "topic": "Top/Arts/People/S/Swank,_Hilary", "url": "http://www.digitalhit.com/cr/hilaryswank/"} +{"d:Title": "Why Gloria Swanson is Always Broke", "d:Description": "A 1919 interview originally published in Theatre Magazine.", "topic": "Top/Arts/People/S/Swanson,_Gloria", "url": "http://www.theatrehistory.com/american/swanson002.html"} +{"d:Title": "IMDb: Gloria Swanson", "d:Description": "The Internet Movie Database includes vital statistics, biography, filmography, and links.", "topic": "Top/Arts/People/S/Swanson,_Gloria", "url": "http://www.imdb.com/name/nm0841797/"} +{"d:Title": "Yahoo! Movies: Gloria Swanson", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Swanson,_Gloria", "url": "http://movies.yahoo.com/person/gloria-swanson/"} +{"d:Title": "IMDb: Kristy Swanson", "d:Description": "Contains filmography, image gallery, awards and nominations.", "topic": "Top/Arts/People/S/Swanson,_Kristy", "url": "http://www.imdb.com/name/nm0001785/"} +{"d:Title": "Yahoo! Movies: Kristy Swanson", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/People/S/Swanson,_Kristy", "url": "http://movies.yahoo.com/person/kristyswanson/"} +{"d:Title": "Yahoo! Movies: Patrick Swayze", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/People/S/Swayze,_Patrick", "url": "http://movies.yahoo.com/person/patrick-swayze/"} +{"d:Title": "Patrick Swayze", "d:Description": "The actor's filmography from IMDb.", "topic": "Top/Arts/People/S/Swayze,_Patrick", "url": "http://www.imdb.com/name/nm0000664/"} +{"d:Title": "Yahoo! Movies: D B Sweeney", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/People/S/Sweeney,_D._B.", "url": "http://movies.yahoo.com/person/db-sweeney/"} +{"d:Title": "IMDb.com - D.B. Sweeney", "d:Description": "Filmography of the actor.", "topic": "Top/Arts/People/S/Sweeney,_D._B.", "url": "http://www.imdb.com/name/nm0000665/"} +{"d:Title": "IMDb: Julia Sweeney", "d:Description": "Filmography and profile.", "topic": "Top/Arts/People/S/Sweeney,_Julia", "url": "http://www.imdb.com/name/nm0842140/"} +{"d:Title": "BlogSpot: Julia Sweeney", "d:Description": "Personal blog covering her activities and thoughts.", "topic": "Top/Arts/People/S/Sweeney,_Julia", "url": "http://juliasweeney.blogspot.com/"} +{"d:Title": "Julia Sweeney", "d:Description": "Official website. Includes information about past and current activities, and a forum.", "topic": "Top/Arts/People/S/Sweeney,_Julia", "url": "http://www.juliasweeney.com/"} +{"d:Title": "Yahoo! Movies: Julia Sweeney", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/People/S/Sweeney,_Julia", "url": "http://movies.yahoo.com/person/julia-sweeney/"} +{"d:Title": "IMDb.com - Jodie Sweetin", "d:Description": "Jodie Sweetin's filmography at IMDb.", "topic": "Top/Arts/People/S/Sweetin,_Jodie", "url": "http://www.imdb.com/name/nm0842332/"} +{"d:Title": "IMDb: Keith Szarabajka", "d:Description": "Includes filmography and notable TV guest appearances.", "topic": "Top/Arts/People/S/Szarabajka,_Keith", "url": "http://www.imdb.com/name/nm0843775/"} +{"d:Title": "Internet Movie Database - Rachel Talalay", "d:Description": "Filmography, photographs, and links.", "topic": "Top/Arts/People/T/Talalay,_Rachel", "url": "http://imdb.com/name/nm0003080/"} +{"d:Title": "IMDb: Alan Tam", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/T/Tam,_Alan", "url": "http://www.imdb.com/name/nm0848355/"} +{"d:Title": "The Anti-Tarantino Page", "d:Description": "Background and controversy.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.impossiblefunky.com/qt/"} +{"d:Title": "Cosmopolis", "d:Description": "Biography and films.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.cosmopolis.ch/english/cosmo9/quentin.htm"} +{"d:Title": "The Tarantino Archives", "d:Description": "Fan site with biography, detailed filmography, news, screenplays, forum, and links.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.tarantino.info/"} +{"d:Title": "The Tarantino Connection", "d:Description": "A fan site dedicated to Quentin Tarantino with biography, filmography, photographs, interviews, news, and related information.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.angelfire.com/movies/tarantinoconnection/main_index.html"} +{"d:Title": "Wikipedia - Quentin Tarantino", "d:Description": "Hyperlinked encyclopedia entry offers a biography with timeline, career history, influences, and filmography.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://en.wikipedia.org/wiki/Quentin_Tarantino"} +{"d:Title": "The Quentin Tarantino Domain", "d:Description": "Tribute with biography, image gallery, sounds, videos, and links.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://home.swipnet.se/~w-77934/"} +{"d:Title": "Quentin Tarantino News: Topix", "d:Description": "News about Quentin Tarantino continually updated from around the net.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.topix.com/who/quentin-tarantino"} +{"d:Title": "Topix: Quentin Tarantino", "d:Description": "News about Quentin Tarantino, collected from various sources on the web.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.topix.com/rss/who/quentin-tarantino.xml"} +{"d:Title": "All Movie Guide: Quentin Tarantino", "d:Description": "Includes a biography, filmography, and list of awards received by the director.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.allmovie.com/artist/quentin-tarantino-113658"} +{"d:Title": "WebRing: Tarantino", "d:Description": "Directory of sites about Quentin Tarantino.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.webring.org/hub/tarantinoring"} +{"d:Title": "IMDb: Quentin Tarantino", "d:Description": "Filmography, mini biography, pictures, and links.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.imdb.com/name/nm0000233/"} +{"d:Title": "Filmbug.com: Quentin Tarantino", "d:Description": "Biography, movies, forum and links.", "topic": "Top/Arts/People/T/Tarantino,_Quentin", "url": "http://www.filmbug.com/db/355"} +{"d:Title": "David Thewlis", "d:Description": "David Thewlis - Filmography, Awards, Biography, Agent, Discussions, Photos, News Articles, Fan Sites", "topic": "Top/Arts/People/T/Thewlis,_David", "url": "http://www.imdb.com/name/nm0000667/"} +{"d:Title": "David Thewlis News: Topix", "d:Description": "News about David Thewlis continually updated from around the net.", "topic": "Top/Arts/People/T/Thewlis,_David", "url": "http://www.topix.com/who/david-thewlis"} +{"d:Title": "Alan Thicke Official Web Site", "d:Description": "Current news, TV and personal appearance schedule, information on his new book - \"How Men Have Babies\", thorough biography, and links.", "topic": "Top/Arts/People/T/Thicke,_Alan", "url": "http://alanthicke.tripod.com/index.htm"} +{"d:Title": "IMDb: Thicke, Alan", "d:Description": "Extensive filmography; as actor and producer.", "topic": "Top/Arts/People/T/Thicke,_Alan", "url": "http://www.imdb.com/name/nm0005484/"} +{"d:Title": "Who2 Profile: Danny Thomas", "d:Description": "Short biography of the entertainer.", "topic": "Top/Arts/People/T/Thomas,_Danny", "url": "http://www.who2.com/bio/danny-thomas"} +{"d:Title": "The Jonathan Taylor Thomas Archive", "d:Description": "Archive of articles, news, and pictures related to the teen idol Jonathan Taylor Thomas aka. JTT from Home Improvement, I'll Be home for Christmas, and Wild America.", "topic": "Top/Arts/People/T/Thomas,_Jonathan_Taylor", "url": "http://jttarchive.net/"} +{"d:Title": "YAD - Jonathan Taylor Thomas", "d:Description": "Dedicated to a talented young actor.", "topic": "Top/Arts/People/T/Thomas,_Jonathan_Taylor", "url": "http://yaddedicationjtt.tripod.com/"} +{"d:Title": "IMDb: Jonathan Taylor Thomas", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/T/Thomas,_Jonathan_Taylor", "url": "http://www.imdb.com/name/nm0001795/"} +{"d:Title": "Articles about: Michelle Thomas", "d:Description": "Photographs and articles about the young, late actress Michelle Thomas.", "topic": "Top/Arts/People/T/Thomas,_Michelle", "url": "http://www.kellie.de/michellethomas.htm"} +{"d:Title": "The Michelle Thomas Memorial Site", "d:Description": "Dedicated to the memory of the late actress. Includes pictures and commentary.", "topic": "Top/Arts/People/T/Thomas,_Michelle", "url": "http://michellethomas.faithweb.com/"} +{"d:Title": "IMDb: Michelle Thomas", "d:Description": "Provides a brief biography, filmography, and news.", "topic": "Top/Arts/People/T/Thomas,_Michelle", "url": "http://www.imdb.com/name/nm0859260/"} +{"d:Title": "The Less than Official Buddy Cole Page", "d:Description": "Information, photos, and links.", "topic": "Top/Arts/People/T/Thompson,_Scott", "url": "http://www.angelfire.com/tv2/buddycolepage/"} +{"d:Title": "Carrot Top", "d:Description": "Official site of Scott \"Carrot Top\" Thompson. Includes biography, newsletter, photos, video clips and upcoming schedule.", "topic": "Top/Arts/People/T/Thompson,_Scott", "url": "http://www.carrottop.com/"} +{"d:Title": "IMDb: Scott 'Carrot Top' Thompson", "d:Description": "Filmography.", "topic": "Top/Arts/People/T/Thompson,_Scott", "url": "http://www.imdb.com/name/nm0005488/"} +{"d:Title": "BillyBobapalooza", "d:Description": "Includes a biography, filmography, an image gallery, and quotes.", "topic": "Top/Arts/People/T/Thornton,_Billy_Bob", "url": "http://www.billybobthornton.net/"} +{"d:Title": "Digital Hits: Billy Bob Thornton", "d:Description": "A brief article on Billy Bob Thornton's life and career.", "topic": "Top/Arts/People/T/Thornton,_Billy_Bob", "url": "http://www.digitalhit.com/row/billybob.shtml"} +{"d:Title": "Topix: Billy Bob Thornton", "d:Description": "News updated from around the net.", "topic": "Top/Arts/People/T/Thornton,_Billy_Bob", "url": "http://www.topix.com/who/billy-bob-thornton"} +{"d:Title": "Topix: Billy Bob Thornton", "d:Description": "News about Billy Bob Thornton, collected from various sources on the web.", "topic": "Top/Arts/People/T/Thornton,_Billy_Bob", "url": "http://www.topix.com/rss/who/billy-bob-thornton.xml"} +{"d:Title": "IMDb: Billy Bob Thornton", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/T/Thornton,_Billy_Bob", "url": "http://www.imdb.com/name/nm0000671/"} +{"d:Title": "The Sweet Insomniac", "d:Description": "Concert review from a Montreal show; includes photos.", "topic": "Top/Arts/People/T/Thornton,_Billy_Bob", "url": "http://jam.canoe.com/Music/Artists/T/Thornton_Billy_Bob/ConcertReviews/2004/09/09/pf-622229.html"} +{"d:Title": "Real Budda, The", "d:Description": "Contains lyrics, polls, TV listings, forum, pictures, and quotes.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/ny4/glow/"} +{"d:Title": "Crunk 4 JrT", "d:Description": "Offers information, pictures, tour dates, news, and fan fiction.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/sd/jrt/"} +{"d:Title": "Sexy Justin Timberlake!", "d:Description": "Includes information, facts, and pictures.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/music3/justjustintimberlake/"} +{"d:Title": "Un-Official Justin Site, The", "d:Description": "Includes information, FAQs, links, pictures, and charity.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/celeb/CrazyForJustin/"} +{"d:Title": "Desiree's Justin Timberlake Palace", "d:Description": "Contains questions and answers, pictures, multimedia, games, stories, and transcripts of interviews.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://members.tripod.com/~DesireeDelaine/"} +{"d:Title": "Justinz World", "d:Description": "Includes poll, quiz, pictures, and links.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/boybands/Justinzbabe/"} +{"d:Title": "Alisha's Justin Obsession", "d:Description": "Offers pictures, polls, quizzes, and awards.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/pop/alishasjustinsite/"} +{"d:Title": "Justin's Angel Heaven", "d:Description": "Contains lyrics, pictures, information, and tour dates.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/boybands/angelmandie05/"} +{"d:Title": "Bounce With Me", "d:Description": "Includes pictures and links.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/boybands/iluvjustin19/"} +{"d:Title": "Get 'N Sync with Justin Timberlake", "d:Description": "Includes pictures, sound clips, and contests.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://hiddenjewel.tripod.com/Justin.html"} +{"d:Title": "Crunkin for Justin", "d:Description": "Contains pictures, biography, wallpaper, and rumors.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.angelfire.com/boybands/Crunk4Justin19/JustinEnter.html"} +{"d:Title": "USA Today - Feds Will Investigate Janet Jackson Flash", "d:Description": "Janet Jackson's performance with Justin Timberlake at the Super Bowl halftime show has sparked a federal investigation and set new standards for raunch in the entertainment industry.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.usatoday.com/life/television/news/2004-02-02-fcc-investigation-janet_x.htm"} +{"d:Title": "Topix: Justin Timberlake", "d:Description": "News about Justin Timberlake, collected from various sources on the web.", "topic": "Top/Arts/People/T/Timberlake,_Justin", "url": "http://www.topix.com/rss/who/justin-timberlake.xml"} +{"d:Title": "IMDB - Charles 'Bud' Tingwell", "d:Description": "Filmography, brief biography, and miscellaneous links.", "topic": "Top/Arts/People/T/Tingwell,_Charles", "url": "http://www.imdb.com/name/nm0863968/"} +{"d:Title": "Lily Tomlin's official website", "d:Description": "With photos, animations and videos.", "topic": "Top/Arts/People/T/Tomlin,_Lily", "url": "http://www.lilytomlin.com/"} +{"d:Title": "IMDb: Lily Tomlin", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/T/Tomlin,_Lily", "url": "http://www.imdb.com/name/nm0005499/"} +{"d:Title": "Robbie Tomlin, A Legend in the Making", "d:Description": "A Robbie Tomlin site. Decked out in his true colors.", "topic": "Top/Arts/People/T/Tomlin,_Robbie", "url": "http://www.angelfire.com/on2/RobTomlin/"} +{"d:Title": "JC's Robbie Tomlin Page", "d:Description": "Personal page dedicated to Robbie Tomlin.", "topic": "Top/Arts/People/T/Tomlin,_Robbie", "url": "http://www.angelfire.com/al2/JCsRTPage/"} +{"d:Title": "Robbie Tomlin Online", "d:Description": "Profile, photo gallery and articles.", "topic": "Top/Arts/People/T/Tomlin,_Robbie", "url": "http://www.angelfire.com/biz4/RTOnline/Main.html"} +{"d:Title": "IMDb: Robbie G. Tomlin", "d:Description": "Filmography.", "topic": "Top/Arts/People/T/Tomlin,_Robbie", "url": "http://www.imdb.com/name/nm0866814/"} +{"d:Title": "Sexy Male Celebrities - John Travolta", "d:Description": "Biography", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.kcweb.com/superm/j_travolta.htm"} +{"d:Title": "John Travolta, award-winning actor, discusses his new movie, 'Battlefield Earth'", "d:Description": "Transcript of a chat hosted on CNN. The actor fields questions about stunt work, playing villains, the possibility of a sequel.", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.cnn.com/COMMUNITY/transcripts/2000/5/2/john.travolta/"} +{"d:Title": "Box Office Mojo - John Travolta", "d:Description": "Box office data for John Travolta. Includes averages, totals, domestic and international box office breakdowns for his movies.", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.boxofficemojo.com/people/chart/?id=johntravolta.htm"} +{"d:Title": "Topix: John Travolta", "d:Description": "News about John Travolta, collected from various sources on the web.", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.topix.com/rss/who/john-travolta.xml"} +{"d:Title": "Ocala Gives Travolta What He Can't Buy: Normalcy", "d:Description": "An article from the Ocala Star-Banner about how the actor resides in some normalcy in the Florida community where he lives with his family.", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.ocala.com/apps/pbcs.dll/article?AID=/20070226/NEWS/202260312/1001/NEWS01"} +{"d:Title": "Does Todd Alan Johnson Look Like John Travolta?", "d:Description": "Harmless tongue-in-cheek fun. Help to answer this totally irrelevant, and therefore very important question.", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.gdiproductions.net/taj/"} +{"d:Title": "IMDb: John Travolta", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.imdb.com/name/nm0000237/"} +{"d:Title": "Actor John Travolta", "d:Description": "Box office information, vital statistics, links, and message board.", "topic": "Top/Arts/People/T/Travolta,_John", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?travolta"} +{"d:Title": "Bobby Troup", "d:Description": "Profile from an Emergency! fan site includes a page of photos.", "topic": "Top/Arts/People/T/Troup,_Bobby", "url": "http://www.emergencyfans.com/people/bobby_troup.htm"} +{"d:Title": "Goodnight Kiss Music: Bobby Troup Memorial Page", "d:Description": "Remembrances of Mr. Troup, and his wife Julie London's obituary.", "topic": "Top/Arts/People/T/Troup,_Bobby", "url": "http://www.goodnightkiss.com/bobby.html"} +{"d:Title": "IMDb: Bobby Troup", "d:Description": "Filmography includes composer credits and TV appearances.", "topic": "Top/Arts/People/T/Troup,_Bobby", "url": "http://www.imdb.com/name/nm0873757/"} +{"d:Title": "All About Tom", "d:Description": "A fan site dedicated to the actor and author, Thomas Tryon.", "topic": "Top/Arts/People/T/Tryon,_Thomas", "url": "http://summertryon.tripod.com/"} +{"d:Title": "TV Guide: Thomas Tryon", "d:Description": "Film reviews and ratings for the filmography of the actor/author.", "topic": "Top/Arts/People/T/Tryon,_Thomas", "url": "http://www.tvguide.com/celebrities/tom-tryon/177227"} +{"d:Title": "IMDb: Thomas Tryon", "d:Description": "Includes filmography, trivia, and awards.", "topic": "Top/Arts/People/T/Tryon,_Thomas", "url": "http://www.imdb.com/name/nm0874502/"} +{"d:Title": "Nicholas Tse Ting Fung Heaven", "d:Description": "Fan site of Hong Kong pop/film star Nicholas Tse", "topic": "Top/Arts/People/T/Tse,_Nicholas", "url": "http://www.angelfire.com/nt/chayhoung/nicheaven.html"} +{"d:Title": "Nicholas Paradise", "d:Description": "A fan page dedicated to Hong Kong pop/film star Nicholas Tse", "topic": "Top/Arts/People/T/Tse,_Nicholas", "url": "http://www.geocities.co.jp/Hollywood-Miyuki/8822/"} +{"d:Title": "IMDb: Nicholas Tse", "d:Description": "Filmography.", "topic": "Top/Arts/People/T/Tse,_Nicholas", "url": "http://www.imdb.com/name/nm0874866/"} +{"d:Title": "Marcelo Tubert", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/People/T/Tubert,_Marcelo", "url": "http://www.imdb.com/name/nm0875641/"} +{"d:Title": "IMDb: Steven Tyler", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/T/Tyler,_Steven", "url": "http://www.imdb.com/name/nm0878911/"} +{"d:Title": "TTO: Totally Tracey Online", "d:Description": "Information on her work in television, film, theater, and recording. Includes episode guides and reviews.", "topic": "Top/Arts/People/U/Ullman,_Tracey", "url": "http://www.rreini.org/tracey/"} +{"d:Title": "IMDb: Tracey Ullman", "d:Description": "Filmography, television appearances, biography, pictures, and fan information.", "topic": "Top/Arts/People/U/Ullman,_Tracey", "url": "http://www.imdb.com/name/nm0001808/"} +{"d:Title": "IMDb.com : Deborah Vancelette", "d:Description": "A short filmography, and agent's contact address.", "topic": "Top/Arts/People/V/Vancelette,_Deborah", "url": "http://www.imdb.com/name/nm0888577/"} +{"d:Title": "Jean-Claude Van Damme", "d:Description": "Features biography, filmography, news, articles, photos, music, videos, animations, desktop wallpapers and postcards.", "topic": "Top/Arts/People/V/Van_Damme,_Jean-Claude", "url": "http://www.vandamme.ru/"} +{"d:Title": "Jean Claude Van Damme Internet Headquarters", "d:Description": "Personal page about the star: photos, filmography, video clips and news.", "topic": "Top/Arts/People/V/Van_Damme,_Jean-Claude", "url": "http://www.angelfire.com/pe/celeb2000/JCSITE.html"} +{"d:Title": "IMDb.com : Jean-Claude Van Damme", "d:Description": "Basic facts, a complete filmography, photo gallery, biography, listing of awards, TV schedule and titles for sale.", "topic": "Top/Arts/People/V/Van_Damme,_Jean-Claude", "url": "http://www.imdb.com/name/nm0000241/"} +{"d:Title": "IMDb.com: Denise Van Outen", "d:Description": "Basic facts, filmography, notable TV guest appearances, photos, TV schedule, and titles for sale.", "topic": "Top/Arts/People/V/Van_Outen,_Denise", "url": "http://www.imdb.com/name/nm0887670/"} +{"d:Title": "IMDb.com : Mario Van Peebles", "d:Description": "A complete filmography as an actor, director, producer and writer, photo gallery, profile, listing of awards, guest appearances and titles for sale.", "topic": "Top/Arts/People/V/Van_Peebles,_Mario", "url": "http://www.imdb.com/name/nm0005522/"} +{"d:Title": "Little Steven's Underground Garage", "d:Description": "Information about garage rock and his syndicated radio show. Includes past shows in streaming audio.", "topic": "Top/Arts/People/V/Van_Zandt,_Steven", "url": "http://www.littlestevensundergroundgarage.com/"} +{"d:Title": "IMDb.com : Steve Van Zandt", "d:Description": "A profile, complete filmography as an actor, composer and director, photos, articles, awards, TV listings, and titles for sale.", "topic": "Top/Arts/People/V/Van_Zandt,_Steven", "url": "http://www.imdb.com/name/nm0005523/"} +{"d:Title": "James Vickers", "d:Description": "Official site with filmography, biography, and pictures.", "topic": "Top/Arts/People/V/Vickers,_James", "url": "http://www.angelfire.com/ak3/sethmartin/james.htm"} +{"d:Title": "Danny Yee's Book Reviews: Creation", "d:Description": "Yee favourably reviews Gore Vidal's historical novel, which geographically spans most of the fifth century civilised world.", "topic": "Top/Arts/People/V/Vidal,_Gore", "url": "http://dannyreviews.com/h/Creation.html"} +{"d:Title": "The Gore Vidal Index", "d:Description": "Introduction, thumbnail reviews of Vidal's novels, book covers of foreign translations, foreign editions and foreign search list.", "topic": "Top/Arts/People/V/Vidal,_Gore", "url": "http://www.pitt.edu/~kloman/vidalframe.html"} +{"d:Title": "Featured Author: Gore Vidal", "d:Description": "A collection of articles and book reviews by and about Vidal from the New York Times.", "topic": "Top/Arts/People/V/Vidal,_Gore", "url": "http://www.nytimes.com/books/98/03/01/home/vidal.html"} +{"d:Title": "Audience Can Share Meredith Vieira's View from the Red Carpet", "d:Description": "Article by Rob Owen from the Pittsburgh Post-Gazette on Meredith's hosting of the Oscar pre-show.", "topic": "Top/Arts/People/V/Vieira,_Meredith", "url": "http://www.post-gazette.com/magazine/20000326vieira8.asp"} +{"d:Title": "Yahoo! Groups : Meredith Vieira Club", "d:Description": "Featuring chat room, message board, pictures and links. Yahoo! membership required.", "topic": "Top/Arts/People/V/Vieira,_Meredith", "url": "http://groups.yahoo.com/group/meredithvieiraclub/"} +{"d:Title": "The Official Hal Wamsley Website", "d:Description": "News, photos, filmography, and items for sale.", "topic": "Top/Arts/People/W/Wamsley,_Hal", "url": "http://www.timem.com/starwebs/halwamsley/"} +{"d:Title": "Pete Waterman Ltd", "d:Description": "Official site featuring news, company, artist and studio information, history and FAQ.", "priority": "1", "topic": "Top/Arts/People/W/Waterman,_Pete", "url": "http://www.pwl-empire.com/"} +{"d:Title": "BBC News - Pete Waterman: Lucky, lucky, lucky", "d:Description": "Following the split up of Steps, a report on his rise to fame.", "topic": "Top/Arts/People/W/Waterman,_Pete", "url": "http://news.bbc.co.uk/1/hi/in_depth/uk/2000/newsmakers/1731791.stm"} +{"d:Title": "CBBC - Pete Waterman", "d:Description": "Full interview with Children's BBC.", "topic": "Top/Arts/People/W/Waterman,_Pete", "url": "http://news.bbc.co.uk/cbbcnews/hi/world/newsid_2710000/2710875.stm"} +{"d:Title": "Red Hot Jazz: Ethel Waters", "d:Description": "Includes a filmography and biographical synopsis.", "topic": "Top/Arts/People/W/Waters,_Ethel", "url": "http://www.redhotjazz.com/waters.html"} +{"d:Title": "Ethel Waters", "d:Description": "Brief biography with photograph of the artist.", "topic": "Top/Arts/People/W/Waters,_Ethel", "url": "http://www.jazzateria.com/roots/ewaters.html"} +{"d:Title": "IMDb: Ethel Waters", "d:Description": "Filmography (with TV appearances) and biography.", "topic": "Top/Arts/People/W/Waters,_Ethel", "url": "http://imdb.com/name/nm0914083/"} +{"d:Title": "IMDb: Keenen Ivory Wayans", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/W/Wayans,_Keenen_Ivory", "url": "http://www.imdb.com/name/nm0005540/"} +{"d:Title": "IMDb: Marlon Wayans", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Wayans,_Marlon", "url": "http://www.imdb.com/name/nm0005541/"} +{"d:Title": "IMDb: Shawn Wayans", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Wayans,_Shawn", "url": "http://www.imdb.com/name/nm0915465/"} +{"d:Title": "IMDb: Jason Weaver", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Weaver,_Jason", "url": "http://www.imdb.com/name/nm0915868/"} +{"d:Title": "Old-Time.com: The Shadow", "d:Description": "Article with audio clips.", "topic": "Top/Arts/People/W/Welles,_Orson", "url": "http://www.old-time.com/sights/shadow.html"} +{"d:Title": "Wellesnet", "d:Description": "Orson Welles resource center detailing the film, theater, and radio productions of the director.", "topic": "Top/Arts/People/W/Welles,_Orson", "url": "http://www.wellesnet.com/"} +{"d:Title": "EarthStation1: Radio Sounds Showcase: The 1938 \"War of the Worlds\" Radio Broadcast Wavs", "d:Description": "Wavs of the famous Orson Welles and The Mercury Theatre On-The-Air radio broadcast of H.G. Wells' \"War of the Worlds.\"", "topic": "Top/Arts/People/W/Welles,_Orson", "url": "http://www.earthstation1.com/wotw.html"} +{"d:Title": "Reel Classics: Orson Welles", "d:Description": "Pictures, audio clips, and details of his films.", "topic": "Top/Arts/People/W/Welles,_Orson", "url": "http://www.reelclassics.com/Actors/Welles/welles.htm"} +{"d:Title": "TV Guide - Orson Welles", "d:Description": "His television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/People/W/Welles,_Orson", "url": "http://www.tvguide.com/celebrities/orson-welles/168639"} +{"d:Title": "Internet Movie Database: Orson Welles", "d:Description": "Filmography, profile, photographs, trivia, and other career details.", "topic": "Top/Arts/People/W/Welles,_Orson", "url": "http://www.imdb.com/name/nm0000080/"} +{"d:Title": "Urksome Melody", "d:Description": "A fan site with a profile, pictures and reviews.", "topic": "Top/Arts/People/W/Westmoreland,_Micko", "url": "http://members.tripod.com/Mrs_Fairy/micko.html"} +{"d:Title": "IMDb: Micko Westmoreland", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Westmoreland,_Micko", "url": "http://www.imdb.com/name/nm0922894/"} +{"d:Title": "Joss Is A Hottie", "d:Description": "Support for and information about Joss Whedon, creator of Buffy the Vampire Slayer and co-creator of Angel. Includes poetry, photos, news, reviews.", "topic": "Top/Arts/People/W/Whedon,_Joss", "url": "http://jossisahottie.com/"} +{"d:Title": "WHEDONesque", "d:Description": "A community weblog about the work of the director.", "topic": "Top/Arts/People/W/Whedon,_Joss", "url": "http://www.whedonesque.com/"} +{"d:Title": "Whedonverse Network", "d:Description": "A news site revolving around the works and those he inspires.", "topic": "Top/Arts/People/W/Whedon,_Joss", "url": "http://www.whedonverse.net/"} +{"d:Title": "IMDb: Joss Whedon", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Whedon,_Joss", "url": "http://www.imdb.com/name/nm0923736/"} +{"d:Title": "Wikipedia: Marco Pierre White", "d:Description": "Provides information on his training, life as a restaurateur, global influence, personal life and external links.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://en.wikipedia.org/wiki/Marco_Pierre_White"} +{"d:Title": "AskMen: Marco Pierre White", "d:Description": "The chef reality star is rated on his magnetism and success. Offers quotes, biography and reader commentary.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://www.askmen.com/celebs/men/entertainment/marco-pierre-white/"} +{"d:Title": "IMDb: Marco Pierre White", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://www.imdb.com/name/nm1627872/"} +{"d:Title": "Belvedere Restaurant", "d:Description": "French/English restaurant in Holland Park. Also offers private dining, function and wedding reception facilities. View menus and photos.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://www.belvedererestaurant.co.uk/"} +{"d:Title": "Who the Hell Is Marco Pierre White?", "d:Description": "The chef is known at least as much for his aggressive chain smoking, three tumultuous marriages, and his extraordinarily abusive personality as he is for his cooking. Includes video clips.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://www.eatmedaily.com/2009/03/who-the-hell-is-marco-pierre-white/"} +{"d:Title": "Q&A with Marco Pierre White", "d:Description": "The legendary chef and television host talks to AOL Slashfood about dealing with unruly diners, his famous prot\u00e9g\u00e9s and why he's stopped swearing. By Kat Kinsman.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://www.slashfood.com/2009/02/02/q-and-a-with-marco-pierre-white-host-of-the-chopping-block-and/"} +{"d:Title": "L'Escargot Restaurant", "d:Description": "Located in Soho, London, the restaurant is owned by Marco Pierre White.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://www.lescargotrestaurant.co.uk/"} +{"d:Title": "Salon Life: The Man Who Made Gordon Ramsay Cry", "d:Description": "Marco Pierre White, the original bad-boy chef, talks about taking over \"Hell's Kitchen\" from his rival, his scorn for molecular gastronomy and kitchen rage. By Alex Koppelman.", "topic": "Top/Arts/People/W/White,_Marco_Pierre", "url": "http://www.salon.com/2007/05/22/pierre_whiteqa/"} +{"d:Title": "Wilde Twins Web Site", "d:Description": "Fan site dedicated to Lyn and Lee Wilde, identical twins. They were big-band singers and actresses during the 1940s. Site has been built with the cooperation and contributions from Lee Wilde.", "topic": "Top/Arts/People/W/Wilde,_Lyn_and_Lee", "url": "http://www.zianet.com/jjohnson/wilde2.htm"} +{"d:Title": "IMDb: Lyn Wilde", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Wilde,_Lyn_and_Lee", "url": "http://www.imdb.com/name/nm0928476/"} +{"d:Title": "IMDb: Lee Wilde", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Wilde,_Lyn_and_Lee", "url": "http://www.imdb.com/name/nm0928469/"} +{"d:Title": "IMDb: Gene Wilder", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/W/Wilder,_Gene", "url": "http://www.imdb.com/name/nm0000698/"} +{"d:Title": "Colm Wilkinson", "d:Description": "Official site, with news, articles, photos and interviews.", "topic": "Top/Arts/People/W/Wilkinson,_Colm", "url": "http://www.colmwilkinson.com/"} +{"d:Title": "IMDb: Colm Wilkinson", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Wilkinson,_Colm", "url": "http://www.imdb.com/name/nm0929356/"} +{"d:Title": "Vanessa's World", "d:Description": "A fan site with a discography, a filmography, information, quotes, news and a message board.", "topic": "Top/Arts/People/W/Williams,_Vanessa", "url": "http://www.angelfire.com/nj2/VanessaLynn/"} +{"d:Title": "IMDb: Vanessa L. Williams", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/W/Williams,_Vanessa", "url": "http://www.imdb.com/name/nm0001853/"} +{"d:Title": "All Music Guide: Vanessa Williams", "d:Description": "Includes biography, discography, and reviews.", "topic": "Top/Arts/People/W/Williams,_Vanessa", "url": "http://www.allmusic.com/artist/vanessa-williams-mn0000314505"} +{"d:Title": "Home of Kevin Williamson, The", "d:Description": "A biography and information about all his movies.", "topic": "Top/Arts/People/W/Williamson,_Kevin", "url": "http://www.angelfire.com/ks/SCREAMGHOSTFACE/"} +{"d:Title": "Viki's Unofficial Homepage", "d:Description": "A collection of interviews and profiles culled from various Internet resources, view photos, and the latest news on Williamson's projects.", "topic": "Top/Arts/People/W/Williamson,_Kevin", "url": "http://members.tripod.com/~Kevin_Williamson/index.html"} +{"d:Title": "IMDb: Kevin Williamson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/People/W/Williamson,_Kevin", "url": "http://www.imdb.com/name/nm0932078/"} +{"d:Title": "IMDb: Carnie Wilson", "d:Description": "Filmography and biographical trivia.", "topic": "Top/Arts/People/W/Wilson,_Carnie", "url": "http://www.imdb.com/name/nm0933139/"} +{"d:Title": "Douglas Wilson Fan Club", "d:Description": "Message board, photos, links and member profiles.", "topic": "Top/Arts/People/W/Wilson,_Douglas", "url": "http://groups.yahoo.com/group/douglaswilsonfanclub/"} +{"d:Title": "Doug Wilson Fans", "d:Description": "A message board, chat room for fans of Doug Wilson.", "topic": "Top/Arts/People/W/Wilson,_Douglas", "url": "http://groups.yahoo.com/group/Dougwilsonfans/"} +{"d:Title": "IMDB.com: Douglas Wilson", "d:Description": "Douglas Wilson's filmography at IMDb.", "topic": "Top/Arts/People/W/Wilson,_Douglas", "url": "http://www.imdb.com/name/nm0933343/"} +{"d:Title": "IMDb: Richard Wilson", "d:Description": "Filmography and a message board.", "topic": "Top/Arts/People/W/Wilson,_Richard", "url": "http://www.imdb.com/name/nm0934014/"} +{"d:Title": "The Richard Wilson Archive", "d:Description": "Provides a biography, interviews, pictures, autographed material, a quiz and links", "topic": "Top/Arts/People/W/Wilson,_Richard", "url": "http://www.richardwilsonarchive.com/"} +{"d:Title": "Oprah.com", "d:Description": "Her official site, with information about the Oprah Winfrey Show, her book club, daily episode updates, the Angel Network, and Habitat for Humanity.", "priority": "1", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://www.oprah.com/"} +{"d:Title": "Woopidoo.com: Oprah Winfrey Biography", "d:Description": "Profile of the talk show host with a biography and famous quotations.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://www.woopidoo.com/biography/oprah-winfrey.htm"} +{"d:Title": "oprahfansite.com: Oprah Winfrey", "d:Description": "Features biography, filmography, news, interviews, trivia, awards, fan-created media, forum, and blogs.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://oprahfansite.com/"} +{"d:Title": "Oprah Winfrey News: Topix", "d:Description": "News about Oprah Winfrey continually updated from around the net.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://www.topix.com/who/oprah-winfrey"} +{"d:Title": "About.com Oprah", "d:Description": "Frequently updated articles, blogs and discussion forums on Oprah Winfrey and her media empire.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://oprah.about.com/"} +{"d:Title": "FemBio: Oprah Winfrey", "d:Description": "Biography by Katherine E. Horsley detailing Winfrey's life, business, and philanthropic ventures. Includes quotes and some source links.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://www.fembio.org/english/biography.php/woman/biography/oprah-winfrey"} +{"d:Title": "The Oprah Winfrey Leadership Academy Foundation", "d:Description": "Learn about and donate to the organization that operates The Oprah Winfrey Leadership Academy for Girls - South Africa. Includes virtual tours of the academy, and more information on the student experience.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://oprahwinfreyleadershipacademy.o-philanthropy.org/"} +{"d:Title": "OprahGailWinfrey.com", "d:Description": "Site dedicated to Oprah Winfrey includes biography, articles, an extensive photo gallery, weblogs, news, and videos.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://www.oprahgailwinfrey.com/"} +{"d:Title": "IMDb: Oprah Winfrey", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/People/W/Winfrey,_Oprah", "url": "http://www.imdb.com/name/nm0001856/"} +{"d:Title": "Drew Winget", "d:Description": "Complete site about Drew Winget.", "topic": "Top/Arts/People/W/Winget,_Drew", "url": "http://www.kidactors.com/dwinget/dwinget.htm"} +{"d:Title": "Jonathan Winters Official Home Page", "d:Description": "Features news, background, photos, detailed filmography and original art gallery paintings and screenprints by Winters himself. Ordering information available for artwork, books and recordings.", "topic": "Top/Arts/People/W/Winters,_Jonathan", "url": "http://www.jonathanwinters.com/"} +{"d:Title": "TVGuide.com: Jonathan Winters", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/People/W/Winters,_Jonathan", "url": "http://www.tvguide.com/celebrities/jonathan-winters/158648"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia and other details.", "topic": "Top/Arts/People/W/Winters,_Jonathan", "url": "http://www.imdb.com/name/nm0005565/"} +{"d:Title": "Biography.com", "d:Description": "Bio page from the TV special that originally aired June 17th, 1999. Includes realvideo clip.", "topic": "Top/Arts/People/W/Winters,_Jonathan", "url": "http://www.biography.com/people/jonathan-winters-9542640"} +{"d:Title": "Jonathan Winters Honored", "d:Description": "[BroadwayToVegas.com] Scroll down for pictures and a biographical article.", "topic": "Top/Arts/People/W/Winters,_Jonathan/Articles_and_Interviews", "url": "http://www.broadwaytovegas.com/May3,1999.html"} +{"d:Title": "Faye.com", "d:Description": "Providing wallpapers, albums descriptions, and audio clips.", "topic": "Top/Arts/People/W/Wong,_Faye", "url": "http://www.faye.com/"} +{"d:Title": "Faye Wong", "d:Description": "Dedicated to the Chinese singer, featuring biography, discography, and photographic galleries.", "topic": "Top/Arts/People/W/Wong,_Faye", "url": "http://divafaye.free.fr/"} +{"d:Title": "IMDb: Faye Wong", "d:Description": "Includes filmography, mini-biography, and awards.", "topic": "Top/Arts/People/W/Wong,_Faye", "url": "http://www.imdb.com/name/nm0910947/"} +{"d:Title": "IMDb: Hei Wong", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Wong_Hei", "url": "http://www.imdb.com/name/nm0939094/"} +{"d:Title": "IMDb: Ka-Kui Wong", "d:Description": "Filmography.", "topic": "Top/Arts/People/W/Wong_Ka-Kui", "url": "http://www.imdb.com/name/nm0939172/"} +{"d:Title": "Theater News: Joanne Woodward in Westport", "d:Description": "Provides article about Joanne Woodward acquiring the Westport Country Playhouse.", "topic": "Top/Arts/People/W/Woodward,_Joanne", "url": "http://www.theatermania.com/content/news.cfm?int_news_id=853"} +{"d:Title": "IMDb: Joanne Woodward", "d:Description": "Provides biography, picture, discussion, and filmography.", "topic": "Top/Arts/People/W/Woodward,_Joanne", "url": "http://www.imdb.com/name/nm0940946/"} +{"d:Title": "Sonoma Independent: Woronov and Warhol", "d:Description": "Gretchen Giles' review of Woronov's fictional autobiography 'Swimming Underground'.", "topic": "Top/Arts/People/W/Woronov,_Mary", "url": "http://www.metroactive.com/papers/sonoma/12.07.95/warhol-9549.html"} +{"d:Title": "IMDb: Mary Woronov", "d:Description": "Filmography and biography.", "topic": "Top/Arts/People/W/Woronov,_Mary", "url": "http://www.imdb.com/name/nm0001862/"} +{"d:Title": "Toronto Sun: The New Woronov", "d:Description": "Interview by Liz Braun.", "topic": "Top/Arts/People/W/Woronov,_Mary", "url": "http://jam.canoe.com/Movies/Artists/W/Woronov_Mary/2002/03/16/pf-762787.html"} +{"d:Title": "IMDb: Sam Worthington", "d:Description": "Biography, filmography, image gallery and video clips.", "topic": "Top/Arts/People/W/Worthington,_Sam", "url": "http://www.imdb.com/name/nm0941777/"} +{"d:Title": "IMDb.com - Fay Wray", "d:Description": "Complete filmography of the actress.", "topic": "Top/Arts/People/W/Wray,_Fay", "url": "http://imdb.com/name/nm0942039/"} +{"d:Title": "Fay Wray", "d:Description": "Silent Star of September, 1997.", "topic": "Top/Arts/People/W/Wray,_Fay", "url": "http://www.csse.monash.edu.au/~pringle/silent/ssotm/Sept97/"} +{"d:Title": "Obituary: Fay Wray", "d:Description": "Remembrance of the actress and her life.", "topic": "Top/Arts/People/W/Wray,_Fay", "url": "http://news.bbc.co.uk/2/hi/entertainment/689803.stm"} +{"d:Title": "The Fay Wray Pages", "d:Description": "Filmography, articles, pictures of the actress, and a mailing list.", "topic": "Top/Arts/People/W/Wray,_Fay", "url": "http://www.shillpages.com/faywray/fwmain.shtml"} +{"d:Title": "Xuxa Fan Club International", "d:Description": "Activities, contact information, and links.", "topic": "Top/Arts/People/X/Xuxa", "url": "http://www.angelfire.com/tx/xuxaclub/"} +{"d:Title": "Who2: Xuxa", "d:Description": "A short profile and related links.", "topic": "Top/Arts/People/X/Xuxa", "url": "http://www.who2.com/bio/xuxa"} +{"d:Title": "IMDb: Xuxa Meneghel", "d:Description": "Filmography.", "topic": "Top/Arts/People/X/Xuxa", "url": "http://www.imdb.com/name/nm0579430/"} +{"d:Title": "Yeo, Jonathan", "d:Description": "Online gallery features archive, bibliography, biography, portfolio, news and contact information.", "topic": "Top/Arts/People/Y", "url": "http://www.jonathanyeo.com/"} +{"d:Title": "Yantis, Cindy", "d:Description": "Offers resume, photo gallery, biography and contact information for the writer/actress.", "topic": "Top/Arts/People/Y", "url": "http://www.cindyyantis.com/"} +{"d:Title": "Cori Yarckin", "d:Description": "Includes a brief profile, image galleries, contact information, and links.", "topic": "Top/Arts/People/Y/Yarckin,_Cori", "url": "http://www.angelfire.com/music3/coriyarckin/"} +{"d:Title": "An Official Cori Yarckin Club", "d:Description": "A Yahoo group with message board, chat room, photo albums, and bookmarks.", "topic": "Top/Arts/People/Y/Yarckin,_Cori", "url": "http://groups.yahoo.com/group/anofficialcoriyarckinclub/"} +{"d:Title": "Sally Yeh", "d:Description": "Large fan site, includes news and concert information.", "topic": "Top/Arts/People/Y/Yeh,_Sally", "url": "http://sallyeh.net/"} +{"d:Title": "IMDb - Sally Yeh", "d:Description": "Filmography and information.", "topic": "Top/Arts/People/Y/Yeh,_Sally", "url": "http://www.imdb.com/name/nm0947313/"} +{"d:Title": "'Laughing Girl' Miriam Yeung", "d:Description": "A profile article.", "topic": "Top/Arts/People/Y/Yeung,_Miriam", "url": "http://www.china.org.cn/english/NM-e/91959.htm"} +{"d:Title": "Vern Yip Forum", "d:Description": "A forum and 'Trading Spaces' episode guide.", "topic": "Top/Arts/People/Y/Yip,_Vern", "url": "http://forums.delphiforums.com/vernyip"} +{"d:Title": "Vern Yip Official Site", "d:Description": "Official site for Trading Spaces designer Vern Yip. Biography, FAQ, merchandise, photos, appearance schedule.", "topic": "Top/Arts/People/Y/Yip,_Vern", "url": "http://www.vernyip.com/"} +{"d:Title": "Delphi Forums", "d:Description": "Vern Yip fan forum.", "topic": "Top/Arts/People/Y/Yip,_Vern", "url": "http://forums.delphiforums.com/vernyip/start"} +{"d:Title": "A conversation with Vern Yip", "d:Description": "An article about Vern, with tips about budgeting for your own designs.", "topic": "Top/Arts/People/Y/Yip,_Vern", "url": "http://www.styleathome.com/styleathome/client/en/Today/DetailNews.asp?idNews=2125&bSearch=True"} +{"d:Title": "Bird York", "d:Description": "Information on the recording artist known as Bird York. Sound samples, interviews, lyrics, photographs, events, reviews, and free MP3 downloads.", "topic": "Top/Arts/People/Y/York,_Kathleen", "url": "http://www.birdyork.com/"} +{"d:Title": "IMDb: Kathleen York", "d:Description": "Filmography and information from the Internet Movie Database.", "topic": "Top/Arts/People/Y/York,_Kathleen", "url": "http://www.imdb.com/name/nm0948723/"} +{"d:Title": "IMDb: Rachel York", "d:Description": "Filmography, television appearances, trivia, and profile.", "topic": "Top/Arts/People/Y/York,_Rachel", "url": "http://www.imdb.com/name/nm0948757/"} +{"d:Title": "IMDb.com: Jacob Young", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/People/Y/Young,_Jacob", "url": "http://www.imdb.com/name/nm0949646/"} +{"d:Title": "IMDb: Pia Zadora", "d:Description": "Biography, filmography, awards, and photos.", "topic": "Top/Arts/People/Z/Zadora,_Pia", "url": "http://www.imdb.com/name/nm0001871/"} +{"d:Title": "Dear Ahmet Zappa", "d:Description": "A fan shares copies of letters that she has sent to Ahmet Zappa. Some pictures.", "topic": "Top/Arts/People/Z/Zappa,_Ahmet", "url": "http://www.angelfire.com/al2/gurucatherine/dearahmet.html"} +{"d:Title": "IMDb: Ahmet Zappa", "d:Description": "Complete filmography and notable television appearances, from the Internet Movie Database.", "topic": "Top/Arts/People/Z/Zappa,_Ahmet", "url": "http://www.imdb.com/name/nm0953257/"} +{"d:Title": "Internet Broadway Database: Florenz Ziegfeld, Jr.", "d:Description": "Official Broadway credits for Florenz Ziegfeld, Jr., biographical information and other related facts.", "topic": "Top/Arts/People/Z/Ziegfeld,_Florenz,_Jr.", "url": "https://www.ibdb.com/broadway-cast-staff/florenz-ziegfeld-jr-5539"} +{"d:Title": "Karen Ziemba", "d:Description": "Official site includes photographs, sound clips in Real Audio, career information, biography, and appearance schedule.", "priority": "1", "topic": "Top/Arts/People/Z/Ziemba,_Karen", "url": "http://www.karenziemba.com/"} +{"d:Title": "Karen Ziemba", "d:Description": "Facts and information on this performer, as well as listing of stage and television credits. Photographs and press quotes.", "topic": "Top/Arts/People/Z/Ziemba,_Karen", "url": "http://www.jorgeplace.com/KarenZiemba.htm"} +{"d:Title": "IMDb: Karen Ziemba", "d:Description": "Filmography.", "topic": "Top/Arts/People/Z/Ziemba,_Karen", "url": "http://www.imdb.com/name/nm0956242/"} +{"d:Title": "NPR Topics: Performing Arts", "d:Description": "Article feed.", "topic": "Top/Arts/Performing_Arts", "url": "http://www.npr.org/rss/rss.php?id=1046"} +{"d:Title": "Ellert's Akrobatiek Site", "d:Description": "Acrobatical world of wonders.", "topic": "Top/Arts/Performing_Arts/Acrobatics", "url": "http://www.akrobatiek.nl/"} +{"d:Title": "Balancing Acts", "d:Description": "A site is dedicated to the sports of gymnastics and ice-skating..But it's mostly gymnastics.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Acro-gymnastics", "url": "http://www.angelfire.com/ca2/balancingacts/index.html"} +{"d:Title": "Tricks Tutorials", "d:Description": "Provides forum, tutorials, pictures and video clips for performing acrobatic, martial art and gymnastic style tricks.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Acro-gymnastics", "url": "http://www.trickstutorials.com/"} +{"d:Title": "Acrobats of China", "d:Description": "See incredible feats of acrobatics and daring by the New Shanghai Circus.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Chinese_Acrobatics", "url": "http://acrobatsofchina.com/"} +{"d:Title": "Star Systems National Talent Competition", "d:Description": "Star Systems National Talent Competitions Website keeps you up to date on all the National and Regional Competitions. View competition pictures, sign the guest book post a message at Dancers Workshop.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Events_and_Competitions", "url": "http://www.starsystemstalent.com/"} +{"d:Title": "World Rock'n'Roll Confederation (WRRC)", "d:Description": "Acrobatics Competitions with Rock'n'Roll.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Events_and_Competitions", "url": "http://www.wrrc.org/"} +{"d:Title": "Acrobats of China", "d:Description": "New Shagnhai Circus performers endeavor in feats of daring as the Acrobats of China in Branson, Missouri - USA.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Performance_Companies", "url": "http://acrobatsofchina.com/"} +{"d:Title": "Gymnastics Dancers", "d:Description": "Gymnastics and dance performance company.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Performance_Companies", "url": "http://www.anti-gravity.com/"} +{"d:Title": "2MA", "d:Description": "Suppliers of professional acrobats, gymnasts, circus and sports artists to the entertainment industry.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Performance_Companies", "url": "http://www.2ma.co.uk/"} +{"d:Title": "American Acrobats", "d:Description": "A professional theatrical Cirque style aerial and ground acrobatic troupe that specializes in custom performances for special events, theme parks, conventions, and corporate events.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Performance_Companies", "url": "http://www.americanacrobats.com/"} +{"d:Title": "Lava", "d:Description": "LAVA is an award-winning troupe of 8 women who perform acrobatic and feminist feats in NYC and elsewhere in the US.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Performance_Companies", "url": "http://www.lavalove.org/"} +{"d:Title": "The Skyriders", "d:Description": "An acrobatic trampoline team that entertains and performs at major sporting events. Features profile, appearances, photo gallery, references and contacts.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Performance_Companies", "url": "http://www.theskyriders.com/"} +{"d:Title": "Chicago Boyz Acrobatic Team", "d:Description": "Tumbling, trampoline and stunts inside of jump ropes.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Performance_Companies", "url": "http://www.chicagoboyzacrobaticteam.com/"} +{"d:Title": "Acroduo", "d:Description": "Circus hand-balancing act. Unique and rare tricks. Photos, videos and information about this act.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Professional_Performers", "url": "http://www.cubefx.com/acroduo/"} +{"d:Title": "Price and McCoy", "d:Description": "International acrobatics/comedy performers' act descriptions, biographies, and contact details.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Professional_Performers", "url": "http://www.priceandmccoy.com/"} +{"d:Title": "Jonathan Nosan", "d:Description": "New York based contortionist, acrobat, aerialist, and film stunt man. Photo galleries, biography, resume, and booking information.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Professional_Performers", "url": "http://www.acroback.com/"} +{"d:Title": "Tatyana Petruk", "d:Description": "Silk aerialist, acrobat, stuntwoman and model. Site offers updated resume, history, pictures and videos.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Professional_Performers", "url": "http://www.aerialartistry.com/"} +{"d:Title": "A Better Back Handspring", "d:Description": "Gymnastics: Tumbling: How to do a better back-handspring. Gymnasts, cheerleaders, Martial-Arts students, twirlers, dancers or any other kids that tumble.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Resources", "url": "http://www.coachwayne.com/"} +{"d:Title": "Acrobatic School", "d:Description": "The director of the school is a former acrobat with numerous national awards and winner of a national TV talent scout entertainment program.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Schools_and_Training_Institutions", "url": "http://www.ballet-academy.com/acrobatics.htm"} +{"d:Title": "Cheerleading Stunt Academy", "d:Description": "CSA is the professional training academy. Based in the midwest USA, we teach those interested in basic through advanced training of stunts, tumbling and more for cheerleading.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Schools_and_Training_Institutions", "url": "http://www.cheercsa.com/"} +{"d:Title": "The FSU Flying High Circus", "d:Description": "FSU Flying High Circus is one of the few collegiate circuses. Students learn and perform various circus acts while earning college degrees.", "topic": "Top/Arts/Performing_Arts/Acrobatics/Schools_and_Training_Institutions", "url": "http://www.circus.fsu.edu/"} +{"d:Title": "Actingbiz", "d:Description": "Provides articles about auditions, agents, managers, casting directors.", "topic": "Top/Arts/Performing_Arts/Acting", "url": "http://www.actingbiz.com/"} +{"d:Title": "Topix: Theater", "d:Description": "News about theater, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting", "url": "http://www.topix.com/rss/arts/theater.xml"} +{"d:Title": "Monologue Archive", "d:Description": "An assortment of public domain monologues taken from classic plays organized by gender and type.", "topic": "Top/Arts/Performing_Arts/Acting", "url": "http://www.monologuearchive.com/"} +{"d:Title": "CastNet Websites", "d:Description": "Web hosting and design service for professional actors and performers.", "topic": "Top/Arts/Performing_Arts/Acting", "url": "http://www.castnetwebsites.co.uk/"} +{"d:Title": "Virtual Theater", "d:Description": "Provides resource links and articles for actors, directors, theater and film. Includes monologues and method acting class transcripts.", "topic": "Top/Arts/Performing_Arts/Acting", "url": "http://vtheatre.net/"} +{"d:Title": "The Actors Studio", "d:Description": "A non-profit organization for professional actors, directors, and playwrights founded in 1947. Features history, FAQs, audition information and contacts.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting", "url": "http://www.theactorsstudio.org/"} +{"d:Title": "Assb\u00f6ck, Joachim Paul", "d:Description": "Berlin based actor. Includes resume, photos and contact details. (also in German and Spanish)", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://www.assboeck.de/"} +{"d:Title": "Adams, Seth", "d:Description": "Los Angeles based actor. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://adamsseth.tripod.com/"} +{"d:Title": "Artell, Eric", "d:Description": "US based actor. Includes resume, photos, showreel and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://www.eric-artell.com/"} +{"d:Title": "Andrews, Jaime", "d:Description": "US based actress. Includes resume, photos, current news and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://www.jaimeandrews.com/"} +{"d:Title": "Alexander, Tansy", "d:Description": "Voice-over and on-carera actress, based in Los Angeles. Photographs, resume, and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://tansyalexander.com/"} +{"d:Title": "Altass, Orlessa", "d:Description": "London-based actress. Includes C.V., photographs and e-mail address.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://orlessa.com/"} +{"d:Title": "Azurdia, Richard", "d:Description": "US based SAG/AEA actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://www.richardazurdia.com/"} +{"d:Title": "Atkinson, Sonya Rose", "d:Description": "Actress, poet and artist in California.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A", "url": "http://www.sonyaroseatkinson.com/"} +{"d:Title": "Christie Abbott Fan Club", "d:Description": "Fan site includes profile, career information, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Abbott,_Christie", "url": "http://www.sandcrawler.com/SWB/christie.html"} +{"d:Title": "IMDb: Christie Abbott", "d:Description": "Filmography and mini-biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Abbott,_Christie", "url": "http://www.imdb.com/name/nm0007948/"} +{"d:Title": "IMDb: F. Murray Abraham", "d:Description": "Profile, filmography, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Abraham,_F._Murray", "url": "http://imdb.com/name/nm0000719/"} +{"d:Title": "Rotten Tomatoes: F. Murray Abraham", "d:Description": "Includes filmography, image gallery, news and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Abraham,_F._Murray", "url": "http://www.rottentomatoes.com/celebrity/f_murray_abraham/"} +{"d:Title": "IMDb: Amy Acker", "d:Description": "Filmography, biography, awards, photos and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Acker,_Amy", "url": "http://www.imdb.com/name/nm0009918/"} +{"d:Title": "Old Socks - Amy Acker", "d:Description": "Provides a selection of pictures that can be used as desktop wallpaper.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Acker,_Amy", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Amy+Acker"} +{"d:Title": "IMDb: Sharon Acker", "d:Description": "Filmography and basic facts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Acker,_Sharon", "url": "http://www.imdb.com/name/nm0009943/"} +{"d:Title": "BBC News: Joss Ackland: Stage and screen villain", "d:Description": "Prolific actor Joss Ackland, the film and stage veteran famed for his villainous roles, is rewarded for his services to acting.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackland,_Joss", "url": "http://news.bbc.co.uk/1/hi/entertainment/1093149.stm"} +{"d:Title": "BBC News: Joss Ackland Admits 'Awful' Films", "d:Description": "Veteran actor Joss Ackland launches a scathing attack on the movie and television industry, calling some of his own work \"awful\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackland,_Joss", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/1476550.stm"} +{"d:Title": "Tomb Raider Chronicles: Joss Ackland Scores Angel of Darkness", "d:Description": "The British stage veteran and prolific actor lent his vocal talents to Core Design for Lara Croft Tomb Raider.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackland,_Joss", "url": "http://www.tombraiderchronicles.com/headlines1159.html"} +{"d:Title": "The Avengers Forever: Joss Ackland", "d:Description": "A biography of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackland,_Joss", "url": "http://theavengers.tv/forever/pnote-ackland.htm"} +{"d:Title": "IMDb: Joss Ackland", "d:Description": "Filmography, awards, and background details of the British actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackland,_Joss", "url": "http://www.imdb.com/name/nm0000722/"} +{"d:Title": "IMDb - Oliver Ackland", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackland,_Oliver", "url": "http://www.imdb.com/name/nm1027672/"} +{"d:Title": "Christine's Celebrity Site", "d:Description": "Fan site with screen captures, photos, postcards, biography, audio clips and a chatroom.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackles,_Jensen", "url": "http://members.tripod.com/~ChristineF21/jensen.html"} +{"d:Title": "The Eric Brady Page", "d:Description": "Fan site with interviews, biography, facts, photographs, opinions, chat history, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackles,_Jensen", "url": "http://members.tripod.com/~ericbrady/"} +{"d:Title": "IMDb: Jensen Ackles", "d:Description": "Filmography, awards, biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ackles,_Jensen", "url": "http://www.imdb.com/name/nm0010075/"} +{"d:Title": "Actor Profiles: Jay Acovone", "d:Description": "Biography, filmography, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Acovone,_Jay", "url": "http://www.industrycentral.net/content/actors/acovone.html"} +{"d:Title": "Yahoo! Groups: JayAcovone", "d:Description": "Mailing list for fans of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Acovone,_Jay", "url": "http://movies.groups.yahoo.com/group/jayacovone/"} +{"d:Title": "IMDb: Jay Acovone", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Acovone,_Jay", "url": "http://www.imdb.com/name/nm0010264/"} +{"d:Title": "IMDb - Amy Adams", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Amy", "url": "http://www.imdb.com/name/nm0010736/"} +{"d:Title": "IMDb: Brandon Quintin Adams", "d:Description": "Filmography, awards, and basic facts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Brandon_Quintin", "url": "http://www.imdb.com/name/nm0010781/"} +{"d:Title": "IMDb: Brooke Adams", "d:Description": "Provides filmography, TV guest appearances, photography gallery and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Brooke", "url": "http://www.imdb.com/name/nm0000724/"} +{"d:Title": "IMDb: Don Adams", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Don", "url": "http://www.imdb.com/name/nm0010915/"} +{"d:Title": "Today Television: Actor Don Adams Dead at 82", "d:Description": "Obituary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Don", "url": "http://www.today.com/id/9493390"} +{"d:Title": "Unofficial Jane Adams Fan Site", "d:Description": "Features summaries of her work in film, theatre and television, pictures, a biography, interviews, links, and a fanlisting.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Jane", "url": "http://www.angelfire.com/celeb2/janeadams/"} +{"d:Title": "IMDb - Jane Adams", "d:Description": "Biography, filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Jane", "url": "http://www.imdb.com/name/nm0011038/"} +{"d:Title": "IMDb: Joey Lauren Adams", "d:Description": "Filmography, awards, and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Joey_Lauren", "url": "http://www.imdb.com/name/nm0000725/"} +{"d:Title": "IMDb: Marc Grady Adams", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Marc_Grady", "url": "http://www.imdb.com/name/nm0011172/"} +{"d:Title": "IMDb: Mary Kay Adams", "d:Description": "Filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Mary_Kay", "url": "http://www.imdb.com/name/nm0011198/"} +{"d:Title": "IMDb: Maud Adams", "d:Description": "Profile, filmography, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adams,_Maud", "url": "http://www.imdb.com/name/nm0000726/"} +{"d:Title": "Thespian Net: Isabelle Adjani", "d:Description": "Information, articles and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adjani,_Isabelle", "url": "http://www.thespiannet.com/actresses/A/adjani_isabelle/index.shtml"} +{"d:Title": "IMDb: Isabelle Adjani", "d:Description": "Filmography, awards, photos, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adjani,_Isabelle", "url": "http://www.imdb.com/name/nm0000254/"} +{"d:Title": "The Official Seth Adkins Web Site", "d:Description": "Features pictures, schedules, biography, and resume.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adkins,_Seth", "url": "http://www.sethadkins.net/"} +{"d:Title": "Seth Adkins", "d:Description": "Filmography, including television work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Adkins,_Seth", "url": "http://www.imdb.com/name/nm0012079/"} +{"d:Title": "Casey Affleck .com", "d:Description": "Offers a filmography with summaries and reviews, articles, interviews, audio clips, desktop materials, downloads, screen captures, photographs, links, a biography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Affleck,_Casey", "url": "http://www.caseyaffleck.com/"} +{"d:Title": "PETA2 Spotlights Casey Affleck", "d:Description": "Interview in which the actor discusses his vegetarian lifestyle.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Affleck,_Casey", "url": "http://www.peta2.com/outthere/o-caseyspotlight.asp"} +{"d:Title": "Thespian Net Presents Casey Affleck", "d:Description": "A starting point for Casey Affleck information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Affleck,_Casey", "url": "http://www.thespiannet.com/actors/A/affleck_casey/casey_affleck.shtml"} +{"d:Title": "IMDb: Casey Affleck", "d:Description": "Filmography, awards, photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Affleck,_Casey", "url": "http://www.imdb.com/name/nm0000729/"} +{"d:Title": "Jenny Agutter", "d:Description": "Biography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Agutter,_Jenny", "url": "http://www.tmaw.co.uk/jennya.html"} +{"d:Title": "IMDb: Jenny Agutter", "d:Description": "Biography, pictures, filmography, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Agutter,_Jenny", "url": "http://imdb.com/name/nm0000256/"} +{"d:Title": "TVGuide.com: Jenny Agutter", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Agutter,_Jenny", "url": "http://www.tvguide.com/celebrities/jenny-agutter/156670"} +{"d:Title": "IMDb - Liam Aiken", "d:Description": "Biography, filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aiken,_Liam", "url": "http://www.imdb.com/name/nm0014582/"} +{"d:Title": "Bb. Pilipinas-Universe 2000: Nina Ricci Alagao", "d:Description": "Photos of the Miss Universe contestant along with her statistics and an interview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alagao,_Nina_Ricci", "url": "http://ninaricci1.tripod.com/main.html"} +{"d:Title": "IMDb: Marc Alaimo", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alaimo,_Marc", "url": "http://www.imdb.com/name/nm0015826/"} +{"d:Title": "StarTrek.com - Marc Alaimo", "d:Description": "Biography with photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alaimo,_Marc", "url": "http://www.startrek.com/database_article/alaimo"} +{"d:Title": "Abstracts.net: Jessica Alba", "d:Description": "Biography, news, filmography, pictures, game, quiz, and message board for the Dark Angel star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica", "url": "http://www.abstracts.net/jessica-alba/"} +{"d:Title": "Thespian Net: Jessica Alba", "d:Description": "Includes biography, filmography, photos, articles and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica", "url": "http://www.thespiannet.com/actresses/A/alba_jessica/jessica_alba.shtml"} +{"d:Title": "IMDb: Jessica Alba", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica", "url": "http://www.imdb.com/name/nm0004695/"} +{"d:Title": "Alba, Jessica", "d:Description": "Fan site includes biography, filmography, quotations, desktop wallpapers, videos, compatibility test and quiz.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica/Fan_Pages", "url": "http://www.alba-jessica.net/"} +{"d:Title": "Jessica Alba Lover", "d:Description": "Includes a forum, biography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica/Fan_Pages", "url": "http://albalover.freeservers.com/"} +{"d:Title": "Jessica Alba Webring", "d:Description": "A webring for fansites. Each site in the ring links to each other so you can jump from one to the next.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica/Fan_Pages", "url": "http://www.webring.org/hub?ring=jessicaalba"} +{"d:Title": "Jessica Alba Shrine", "d:Description": "Clear pictures and information on Jessica. Star of Idle Hands and Dark Angel.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica/Image_Galleries", "url": "http://www.dialzip.com/popcelebs/alba.html"} +{"d:Title": "Jessica Alba Pictures Gallery", "d:Description": "Photo gallery with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alba,_Jessica/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Jessica_Alba"} +{"d:Title": "The Sophie Aldred Page", "d:Description": "A site dedicated to the actress and tv presenter Sophie Aldred who played Ace in Doctor Who.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aldred,_Sophie", "url": "http://web.newsguy.com/sophiealdred/"} +{"d:Title": "IMDb: Sophie Aldred", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aldred,_Sophie", "url": "http://www.imdb.com/name/nm0017644/"} +{"d:Title": "NewsRadio: Khandi Alexander", "d:Description": "Biographical and career information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexander,_Khandi", "url": "http://www.angelfire.com/film/newsradioforever/khandialexander.html"} +{"d:Title": "IMDb - Khandi Alexander", "d:Description": "Filmography, biography, photographs and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexander,_Khandi", "url": "http://www.imdb.com/name/nm0018554/"} +{"d:Title": "IMDb - Sarah Alexander", "d:Description": "Biographical details, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexander,_Sarah", "url": "http://www.imdb.com/name/nm0018729/"} +{"d:Title": "BBC - Coupling - Sarah Alexander", "d:Description": "The actress gives her views on portraying the character Susan Walker.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexander,_Sarah", "url": "http://www.bbc.co.uk/comedy/coupling/cast/sarah_alexander.shtml"} +{"d:Title": "BBC - Press Office - Sarah Alexander", "d:Description": "Profile and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexander,_Sarah", "url": "http://www.bbc.co.uk/pressoffice/pressreleases/stories/2004/06_june/16/coupling_alexander.shtml"} +{"d:Title": "IMDb: Sasha Alexander", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexander,_Sasha", "url": "http://www.imdb.com/name/nm0018734/"} +{"d:Title": "James Alexandrou Unlimited", "d:Description": "Information about the actor and the character he plays on Eastenders. Also has pictures, interviews, links and contact information", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexandrou,_James", "url": "http://www.angelfire.com/stars2/alexandrou/japage.html"} +{"d:Title": "IMDb.com: Adam Alexi-Malle", "d:Description": "Includes filmography and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alexi-Malle,_Adam", "url": "http://www.imdb.com/name/nm0018956/"} +{"d:Title": "Victor Alfieri Appreciation Page", "d:Description": "A tribute to the former Days of Our Lives actor. This site contains a brief biography, two picture galleries, fan club information and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alfieri,_Victor", "url": "http://members.tripod.com/~annacastor/"} +{"d:Title": "IMDb: Kristian Alfonso", "d:Description": "Mini biography, awards, photos, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alfonso,_Kristian", "url": "http://www.imdb.com/name/nm0019172/"} +{"d:Title": "IMDb: Beth Allen", "d:Description": "Filmography, biography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Beth", "url": "http://www.imdb.com/name/nm0020304/"} +{"d:Title": "Chad Allen Online", "d:Description": "This fan site contains news, links, organized photo gallery, biography and articles along with a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Chad", "url": "http://www.chadallenonline.com/"} +{"d:Title": "IMDb: Chad Allen", "d:Description": "Filmography, awards, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Chad", "url": "http://www.imdb.com/name/nm0020354/"} +{"d:Title": "IMDb: Elizabeth Anne Allen", "d:Description": "Filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Elizabeth_Anne", "url": "http://www.imdb.com/name/nm0020494/"} +{"d:Title": "Thespian Net : Joan Allen", "d:Description": "A starting point for information about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Joan", "url": "http://www.thespiannet.com/actresses/A/allen_joan/index.shtml"} +{"d:Title": "PopEntertainment.com: Joan Allen - The Upside of Acting", "d:Description": "Interview discussing her roles in \"The Upside of Anger\" and \"Off the Map.\" By Brad Balfour.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Joan", "url": "http://www.popentertainment.com/joanallen.htm"} +{"d:Title": "Joan Allen's Digital Hit Profile", "d:Description": "A look at the actress' life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Joan", "url": "http://www.digitalhit.com/cr/joanallen"} +{"d:Title": "Thespian Net Presents Karen Allen", "d:Description": "Short biography, credits, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Karen", "url": "http://www.thespiannet.com/actresses/A/allen_karen/index.shtml"} +{"d:Title": "IMDb: Keith Allen", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Keith", "url": "http://www.imdb.com/name/nm0020717/"} +{"d:Title": "The Guardian: Keith Allen", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Keith", "url": "http://www.theguardian.com/film/Player/Player_Page/0,4159,45443,00.html"} +{"d:Title": "IMDb: Krista Allen", "d:Description": "Includes filmography, biographical information, photo gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Krista", "url": "http://www.imdb.com/name/nm0020739/"} +{"d:Title": "The Official Laura Allen Web Site", "d:Description": "A fan site with news and events, biography, photographs, filmography, and interactive features.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Laura", "url": "http://www.lauraallen.net/"} +{"d:Title": "IMDb: Nancy Allen", "d:Description": "Filmography, awards, biography, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Nancy", "url": "http://www.imdb.com/name/nm0000262/"} +{"d:Title": "IMDb: Rosalind Allen", "d:Description": "The Internet Movie Database includes movie and TV credits, and links to related resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Rosalind", "url": "http://www.imdb.com/name/nm0021008/"} +{"d:Title": "TVGuide.com: Rosalind Allen", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allen,_Rosalind", "url": "http://www.tvguide.com/celebrities/rosalind-allen/172982"} +{"d:Title": "Corbin Allred Forum", "d:Description": "Message board for devotees of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allred,_Corbin", "url": "http://s3.invisionfree.com/Corbin_Allred/index.php"} +{"d:Title": "Class Act: June Allyson", "d:Description": "Brief biography, complete filmography with film reviews on musicals, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allyson,_June", "url": "http://www.classicmoviemusicals.com/allyson2.htm"} +{"d:Title": "IMDb: June Allyson", "d:Description": "Filmography, awards, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Allyson,_June", "url": "http://www.imdb.com/name/nm0000742/"} +{"d:Title": "Carol Alt - The Official Site", "d:Description": "Includes news, biography, filmography, photos, articles, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alt,_Carol", "url": "http://www.carolalt.com/"} +{"d:Title": "Babestop - Carol Alt", "d:Description": "A thumbnailed picture gallery featuring 250+ images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Alt,_Carol/Image_Galleries", "url": "http://babestopcarolalt.tripod.com/home.html"} +{"d:Title": "IMDb: Lauren Ambrose", "d:Description": "Filmography, awards, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ambrose,_Lauren", "url": "http://www.imdb.com/name/nm0024404/"} +{"d:Title": "IMDb: Leon Ames", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ames,_Leon", "url": "http://www.imdb.com/name/nm0000748/"} +{"d:Title": "chezMadchen", "d:Description": "All you want to know about the actress with articles, reviews, filmography, screensavers, wallpapers, trailers, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Amick,_M\u00e4dchen", "url": "http://madchen.chez.com/"} +{"d:Title": "Madchen Amick", "d:Description": "Information, biography, photos, message board, filmography and links", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Amick,_M\u00e4dchen", "url": "https://www.themadchenamick.com/"} +{"d:Title": "IMDb: Eva Amurri", "d:Description": "Biographical details, trivia, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Amurri,_Eva", "url": "http://www.imdb.com/name/nm0025483/"} +{"d:Title": "Tribute to Ana-Alicia", "d:Description": "This site is dedicated to the star of the series, Falcon Crest. It features her biography, some pictures and links to other sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ana-Alicia", "url": "http://www.johnnie-d.com/index.html"} +{"d:Title": "IMDb: Ana Alicia", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ana-Alicia", "url": "http://www.imdb.com/name/nm0019578/"} +{"d:Title": "IMDb: Andrea Anders", "d:Description": "Provides filmography, biography, discussions, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anders,_Andrea", "url": "http://www.imdb.com/name/nm1627969/"} +{"d:Title": "IMDb: David Anders", "d:Description": "Profile, filmography, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anders,_David", "url": "http://www.imdb.com/name/nm1044403/"} +{"d:Title": "Fans of David Anders' Journal", "d:Description": "Weblog focusing on the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anders,_David", "url": "http://davidandersfans.livejournal.com/"} +{"d:Title": "The Crazy About Gillian Anderson Web Site", "d:Description": "Contains sounds, photo gallery, episode guide, screen savers, themes and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://members.tripod.com/~David_Webster/"} +{"d:Title": "The Best Of Gillian Anderson", "d:Description": "This site has the top ten pictures, fan fiction and links about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://gilliana.tvheaven.com/"} +{"d:Title": "Gillian World", "d:Description": "Fan site including recent news, pictures, filmography, links, and Scully sightings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://agentscully5.tripod.com/GillianWorld/index.html"} +{"d:Title": "An Undying Celebrity: Gillian Anderson", "d:Description": "Includes a thumbnailed image gallery, a biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://www.undying.com/celeb/Gillian_Anderson/"} +{"d:Title": "Fan Homepage about Gillian Anderson", "d:Description": "Includes information, pictures, movies, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://gillian.xfiles.online.fr/"} +{"d:Title": "The Official Gillian Anderson Website", "d:Description": "Includes news, biography, filmography, awards, categorized photo galleries, media transcripts, and charities.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://www.gilliananderson.ws/"} +{"d:Title": "Gillian Anderson Online", "d:Description": "Fan site includes profile, filmography, awards, pictures, screen savers, and wallpaper.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://www.oldieclub-stapelburg.de/gillian/"} +{"d:Title": "BBC Films - Gillian Anderson", "d:Description": "Brief interview in which the actress discusses her role in the film \"House of Mirth\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://www.bbc.co.uk/films/2000/10/19/gillian_anderson_houseofmirth_2_interview.shtml"} +{"d:Title": "Gillian Anderson Society", "d:Description": "Fan club with chat.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://movies.groups.yahoo.com/group/gillianandersonsociety/"} +{"d:Title": "Tribute to Gillian Anderson", "d:Description": "Tribute to idol and inspiration, the X-Files actress. Includes pictures, and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://members.tripod.com/xphile_butterfly/main.html"} +{"d:Title": "IMDb: Gillian Anderson", "d:Description": "Includes filmography, awards, mini-biography, trivia, photo gallery, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian", "url": "http://www.imdb.com/name/nm0000096/"} +{"d:Title": "Celine and Gillian", "d:Description": "Celine Dion and Gillian Anderson mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CelineandGillian/"} +{"d:Title": "Anderson, Gillian", "d:Description": "Yahoo Groups mailing list with close to 200 members.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/Gillian%5FAnderson/"} +{"d:Title": "Bestcelebritysites.com: Gillian Anderson", "d:Description": "Fan sites are rated, ranked, and reviewed.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian/Directories", "url": "http://bestcelebritysites.com/gillian-anderson/"} +{"d:Title": "GillianAnderson", "d:Description": "Fan site offering multiple galleries of pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Gillian/Image_Galleries", "url": "http://www.angelfire.com/celeb2/gillian0/"} +{"d:Title": "The Jo Anderson Site", "d:Description": "Contains information about many shows she has appeared in with some trivia, quotes, puzzles, and pictures from her shows.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Jo", "url": "http://www.joanderson.com/"} +{"d:Title": "Australian Performing Arts Collection: Dame Judith Anderson", "d:Description": "Biography of the actress, along with film and stage credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Judith", "url": "http://www.nla.gov.au/collect/prompt/andersn.html"} +{"d:Title": "IMDb: Judith Anderson", "d:Description": "Filmography, awards, biography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Judith", "url": "http://www.imdb.com/name/nm0000752/"} +{"d:Title": "Kevin Anderson Discussion Group", "d:Description": "A mailing list for fans of Kevin Anderson, the actor. The purpose of the list is to discuss his career, future projects or anything else the members may have in common.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Kevin", "url": "http://groups.yahoo.com/group/kanderson/"} +{"d:Title": "Loni Anderson", "d:Description": "A page dedicated to Loni Anderson. Pictures! Part of the TV and Movie Trivia Tribute site.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Loni", "url": "http://www.triviatribute.com/lonianderson.html"} +{"d:Title": "Yahoo! Movies: Loni Anderson", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Loni", "url": "http://movies.yahoo.com/person/loni-anderson/"} +{"d:Title": "IMDb: Loni Anderson", "d:Description": "Filmography, biography, awards, photos and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Loni", "url": "http://www.imdb.com/name/nm0000756/"} +{"d:Title": "Melissa Sue Anderson - Beyond The Prairie", "d:Description": "Contains a biography, pictures, fan tributes and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Melissa_Sue", "url": "http://melissasueanderson.tripod.com/"} +{"d:Title": "IMDb: Melissa Sue Anderson", "d:Description": "Includes filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anderson,_Melissa_Sue", "url": "http://www.imdb.com/name/nm0000757/"} +{"d:Title": "Unofficial Marliece Andrada Site", "d:Description": "A fan site with biography, photographs, wallpaper, videos, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andrada,_Marliece", "url": "http://marliece.tripod.com/"} +{"d:Title": "IMDb: Ursula Andress", "d:Description": "Filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andress,_Ursula", "url": "http://www.imdb.com/name/nm0000266/"} +{"d:Title": "IMDb: Dana Andrews", "d:Description": "Includes profile, photo gallery, awards, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andrews,_Dana", "url": "http://www.imdb.com/name/nm0000763/"} +{"d:Title": "IMDb: George Lee Andrews", "d:Description": "Offers filmography, contact information and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andrews,_George_Lee", "url": "http://www.imdb.com/name/nm1174949/"} +{"d:Title": "IBDb: George Lee Andrews Credits on Broadway", "d:Description": "Provides official Broadway credits and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andrews,_George_Lee", "url": "https://www.ibdb.com/broadway-cast-staff/george-lee-andrews-75082"} +{"d:Title": "Talkin' Broadway: What's New on the Rialto? - Behind the Scenes at The Phantom of the Opera", "d:Description": "Features brief review and photo of George Lee Andrews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andrews,_George_Lee", "url": "http://www.talkinbroadway.com/page/rialto/past/2005/12_31_05.html"} +{"d:Title": "Yahoo Movies: Naveen Andrews", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andrews,_Naveen", "url": "http://movies.yahoo.com/person/naveen-andrews/"} +{"d:Title": "IMDb: Naveen Andrews", "d:Description": "Includes filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Andrews,_Naveen", "url": "http://www.imdb.com/name/nm0004710/"} +{"d:Title": "The Official Michael Angarano Web Site", "d:Description": "Features pictures, schedules, biography, and resume.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Angarano,_Michael", "url": "http://www.kidactors.com/angarano/"} +{"d:Title": "IMDb: Michael Angarano", "d:Description": "Includes filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Angarano,_Michael", "url": "http://www.imdb.com/name/nm0029400/"} +{"d:Title": "IMDb: Vanessa Angel", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Angel,_Vanessa", "url": "http://www.imdb.com/name/nm0029502/"} +{"d:Title": "IMDb: Pier Angeli", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Angeli,_Pier", "url": "http://www.imdb.com/name/nm0000765/"} +{"d:Title": "Official Philip Anglim Fan Association", "d:Description": "Official web site for the actor's artistic works and children's charity that he founded.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anglim,_Philip", "url": "http://www.angelfire.com/mo/gutterduck/"} +{"d:Title": "IMDb: Philip Anglim", "d:Description": "Includes filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anglim,_Philip", "url": "http://www.imdb.com/name/nm0001911/"} +{"d:Title": "IMDB.com: Christien Anholt", "d:Description": "Includes a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anholt,_Christien", "url": "http://www.imdb.com/name/nm0030021/"} +{"d:Title": "Topix: Jennifer Aniston", "d:Description": "News about Jennifer Aniston, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer", "url": "http://www.topix.com/rss/who/jennifer-aniston.xml"} +{"d:Title": "All Movie Guide: Jennifer Aniston", "d:Description": "Includes biography, filmography, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer", "url": "http://www.allmovie.com/artist/jennifer-aniston-1831"} +{"d:Title": "IMDb: Jennifer Aniston", "d:Description": "Includes biography, filmography, trivia, awards, photos, articles, and upcoming television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer", "url": "http://www.imdb.com/name/nm0000098/"} +{"d:Title": "Jennifer Aniston - Wikipedia", "d:Description": "Encyclopedia entry for the actress with biography, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer", "url": "http://en.wikipedia.org/wiki/Jennifer_Aniston"} +{"d:Title": "BestCelebritySites.Com: Jennifer Aniston", "d:Description": "List of rated and ranked sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Directories", "url": "http://bestcelebritysites.com/jenniferaniston/"} +{"d:Title": "About's Romantic Movies Jennifer Aniston Page", "d:Description": "Collection of links to sites featuring Jennifer Aniston and her films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Directories", "url": "http://movies.about.com/od/anistonjennifer/"} +{"d:Title": "Jennifer's Garden", "d:Description": "Site features include a message board, filmography, biography, and large photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Fan_Pages", "url": "http://jennifersgarden.tripod.com/"} +{"d:Title": "Jennifer Aniston", "d:Description": "Biography, filmography, collection of photos, interviews and a directory of clubs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Fan_Pages", "url": "http://members.tripod.com/anistonfile/"} +{"d:Title": "Jennifer Aniston World", "d:Description": "Photos, career information, interviews, links, and Jennifer and her fans, friends, family and husband.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Fan_Pages", "url": "http://www.angelfire.com/ns/JenniferAniston/"} +{"d:Title": "Jennifer Aniston Center", "d:Description": "Features news, filmography, articles, categorized images, wallpaper, stationery, forum, and videos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Fan_Pages", "url": "http://www.anistoncenter.com/"} +{"d:Title": "Jen's World", "d:Description": "Message board for fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Fan_Pages", "url": "http://www.jen-world.com/forum/"} +{"d:Title": "Jennifer Aniston's Shrine", "d:Description": "Pictures and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Image_Galleries", "url": "http://members.tripod.com/~anistonpage/celebrity.html"} +{"d:Title": "All Pics: Jennifer Aniston", "d:Description": "Thumbnailed photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Image_Galleries", "url": "http://allpics.0catch.com/aniston_jennifer/"} +{"d:Title": "Mxdpi.com: Jennifer Aniston Pictures Gallery", "d:Description": "Offers thumbnailed photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aniston,_Jennifer/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Jennifer_Aniston"} +{"d:Title": "IMDb: Chlo\u00eb Annett", "d:Description": "Filmography and mini biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Annett,_Chlo\u00eb", "url": "http://www.imdb.com/name/nm0030361/"} +{"d:Title": "IMDb: Francesca Annis", "d:Description": "Biography, pictures, filmography, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Annis,_Francesca", "url": "http://www.imdb.com/name/nm0000768/"} +{"d:Title": "Thespian Net Presents Gabrielle Anwar", "d:Description": "Credits and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anwar,_Gabrielle", "url": "http://www.thespiannet.com/actresses/A/anwar_gabrielle/index.shtml"} +{"d:Title": "All Movie Guide: Gabrielle Anwar", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anwar,_Gabrielle", "url": "http://www.allmovie.com/artist/gabrielle-anwar-p1946"} +{"d:Title": "IMDb: Gabrielle Anwar", "d:Description": "Filmography, biography, trivia, photos, and upcoming TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Anwar,_Gabrielle", "url": "http://www.imdb.com/name/nm0000270/"} +{"d:Title": "Adoring Shiri Appleby", "d:Description": "Thumbnailed picture gallery, biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Appleby,_Shiri", "url": "http://www.adoring.net/shiriappleby/index.htm"} +{"d:Title": "IMDb: Shiri Appleby", "d:Description": "Includes filmography, mini biography, awards, photos, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Appleby,_Shiri", "url": "http://www.imdb.com/name/nm0032375/"} +{"d:Title": "Shiri Appleby Ultimate Fan Club", "d:Description": "A Yahoo based online club for Appleby fans. Includes chats, pictures, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Appleby,_Shiri", "url": "http://movies.groups.yahoo.com/group/shiriapplebyultimatefanclub/"} +{"d:Title": "Undying Christina Applegate", "d:Description": "Picture gallery, biography, filmography, vital statistics, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina", "url": "http://www.undying.com/celeb/Christina_Applegate/index.htm"} +{"d:Title": "TV and Movie Trivia Tribute: Christina Applegate", "d:Description": "Celebrity page with pictures, sounds, links, trivia and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina", "url": "http://www.triviatribute.com/christinaapplegate.html"} +{"d:Title": "Furisdead.com - Christina Applegate", "d:Description": "PETA's Exclusive Interview", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina", "url": "http://www.furisdead.com/feat-applegate.asp"} +{"d:Title": "The-Numbers - Christina Applegate", "d:Description": "Filmography and detailed box office information", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina", "url": "http://www.the-numbers.com/people/CAPPL.php"} +{"d:Title": "IMDb: Christina Applegate", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles and fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina", "url": "http://www.imdb.com/name/nm0000775/"} +{"d:Title": "All Movie Guide: Christina Applegate", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina", "url": "http://www.allmovie.com/artist/christina-applegate-p2003"} +{"d:Title": "VoyForums: The Christina Applegate Message Board", "d:Description": "Fan based message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina/Chats_and_Forums", "url": "http://www.voy.com/22739/"} +{"d:Title": "Applegate Fans", "d:Description": "Yahoo Group", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/applegate_fans/"} +{"d:Title": "Joe Tiger's Christina Applegate Page", "d:Description": "Fan site includes articles, photographs, biography, links, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina/Fan_Pages", "url": "http://firelight.free.fr/index.htm"} +{"d:Title": "Christina Applegate Online", "d:Description": "Fan site with a biography, filmography, desktop materials, screen captures, scanned magazine pictures and photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Christina/Fan_Pages", "url": "http://www.christina-applegate.org/"} +{"d:Title": "IMDb: Royce D. Applegate", "d:Description": "Includes biography, filmography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Royce_D.", "url": "http://www.imdb.com/name/nm0032403/"} +{"d:Title": "MovieWeb: Royce D. Applegate", "d:Description": "Credits and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Royce_D.", "url": "http://www.movieweb.com/person/royce-d-applegate"} +{"d:Title": "TV.com: Royce D. Applegate", "d:Description": "Credits and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Applegate,_Royce_D.", "url": "http://www.tv.com/people/royce-d-applegate/"} +{"d:Title": "IMDb: Julian Arahanga", "d:Description": "Brief biographical details and detailed filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://www.imdb.com/name/nm0032810/"} +{"d:Title": "Wikipedia: Julian Arahanga", "d:Description": "Family information and selected filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://en.wikipedia.org/wiki/Julian_Arahanga"} +{"d:Title": "Who's Dated Who: Julian Arahanga", "d:Description": "Career highlights and fan comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://www.whosdatedwho.com/celebrities/people/dating/julian-arahanga.htm"} +{"d:Title": "Twitter: Julian Arahanga", "d:Description": "The actor's micro-blog.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://twitter.com/Jarahanga"} +{"d:Title": "Julian Arahanga", "d:Description": "Fan site with stills from the actor's films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://fanzone50.com/Julian/"} +{"d:Title": "Flixster: Julian Arahanga", "d:Description": "Photographs, selected credits, and fan comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://www.flixster.com/actor/julian-arahanga"} +{"d:Title": "LocateTV: Julian Arahanga", "d:Description": "Lists the actor's upcoming appearances on television.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://www.locatetv.com/person/julian-arahanga/25207"} +{"d:Title": "The Vanishing Tattoo: Julian Arahanga", "d:Description": "Several pictures focusing on the tattoos he sported as Nig Heke.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arahanga,_Julian", "url": "http://www.vanishingtattoo.com/tattoo/celeb-arahanga.htm"} +{"d:Title": "Nathaniel Arcand Fan Page", "d:Description": "Fan site contains news, filmography and a picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arcand,_Nathaniel", "url": "http://nathaniel-arcand.iwarp.com/"} +{"d:Title": "Official Fan Site: Nathaniel Arcand", "d:Description": "Information includes career credits, photos and screen captures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arcand,_Nathaniel", "url": "http://nath192-ivil.tripod.com/"} +{"d:Title": "TVGuide.com: Anne Archer", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Archer,_Anne", "url": "http://www.tvguide.com/celebrities/anne-archer/140133"} +{"d:Title": "IMDb: Anne Archer", "d:Description": "Includes filmography, mini-biography, photos, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Archer,_Anne", "url": "http://www.imdb.com/name/nm0000271/"} +{"d:Title": "IMDb: Leila Arcieri", "d:Description": "Includes filmography, biography, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arcieri,_Leila", "url": "http://www.imdb.com/name/nm0033837/"} +{"d:Title": "Thespian Net presents Fanny Ardant", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ardant,_Fanny", "url": "http://www.thespiannet.com/actresses/A/ardant_fanny/index.shtml"} +{"d:Title": "IMDb: Fanny Ardant", "d:Description": "Filmography, awards, biography, photos, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ardant,_Fanny", "url": "http://www.imdb.com/name/nm0000272/"} +{"d:Title": "Grave of Eve Arden", "d:Description": "Photograph of the marker at Pierce Brothers' Westwood Memorial Park, Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arden,_Eve", "url": "http://www.seeing-stars.com/ImagePages/EveArdensGravePhoto.shtml"} +{"d:Title": "TVGuide.com: Eve Arden", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arden,_Eve", "url": "http://www.tvguide.com/celebrities/eve-arden/149878"} +{"d:Title": "IMDb: Eve Arden", "d:Description": "Biography, filmography, awards, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arden,_Eve", "url": "http://www.imdb.com/name/nm0000781/"} +{"d:Title": "IMDb: George Arliss", "d:Description": "Profile, awards, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arliss,_George", "url": "http://www.imdb.com/name/nm0002183/"} +{"d:Title": "IMDb: Alison Armitage", "d:Description": "Includes filmography, trivia, and upcoming TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Armitage,_Alison", "url": "http://www.imdb.com/name/nm0000785/"} +{"d:Title": "IMDb: Lee Armstrong", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Armstrong,_Lee", "url": "http://www.imdb.com/name/nm0035795/"} +{"d:Title": "Official Website for James Arness", "d:Description": "Features a photo gallery, articles, biography, filmography, awards, and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arness,_James", "url": "http://www.jamesarness.com/"} +{"d:Title": "James Arness", "d:Description": "James Arness's filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arness,_James", "url": "http://www.imdb.com/name/nm0000790/"} +{"d:Title": "All Movie Guide: Fran\u00e7oise Arnoul", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arnoul,_Fran\u00e7oise", "url": "http://www.allmovie.com/artist/fran%C3%A7oise-arnoul-p2390"} +{"d:Title": "IMDb: Alexis Arquette", "d:Description": "Filmography, awards, biography, photos, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arquette,_Alexis", "url": "http://www.imdb.com/name/nm0000793/"} +{"d:Title": "Thespian Net Presents Patricia Arquette", "d:Description": "Information, articles and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arquette,_Patricia", "url": "http://www.thespiannet.com/actresses/A/arquette_patricia/index.shtml"} +{"d:Title": "IMDb: Patricia Arquette", "d:Description": "Includes filmography, mini biography, awards, photos, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arquette,_Patricia", "url": "http://www.imdb.com/name/nm0000099/"} +{"d:Title": "Thespian Net Presents Rosanna Arquette", "d:Description": "Credits and small pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arquette,_Rosanna", "url": "http://www.thespiannet.com/actresses/A/arquette_rosanna/index.shtml"} +{"d:Title": "IMDb: Rosanna Arquette", "d:Description": "Filmography, awards, biography, photos, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arquette,_Rosanna", "url": "http://www.imdb.com/name/nm0000275/"} +{"d:Title": "The Official Danny Arroyo Website", "d:Description": "Pictures, biography, resume, audio clips of voiceovers, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arroyo,_Danny", "url": "http://www.dannyarroyo.com/"} +{"d:Title": "IMDb: Gemma Arterton", "d:Description": "Biography, filmography, video clips, image gallery and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arterton,_Gemma", "url": "http://www.imdb.com/name/nm2605345/"} +{"d:Title": "Bea Arthur in the Lime Light", "d:Description": "Contains a biography and news about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arthur,_Beatrice", "url": "http://www.beatricearthur.com/"} +{"d:Title": "IMDb: Beatrice Arthur", "d:Description": "Filmography, biography, awards, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arthur,_Beatrice", "url": "http://www.imdb.com/name/nm0037735/"} +{"d:Title": "Bright Lights Film Journal: Jean Arthur", "d:Description": "Review of \"Jean Arthur: The Actress Nobody Knew\" - biography of Jean Arthur.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arthur,_Jean", "url": "http://brightlightsfilm.com/book-review-jean-arthur-john-oller/"} +{"d:Title": "IMDb: Jean Arthur", "d:Description": "Filmography, awards, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Arthur,_Jean", "url": "http://www.imdb.com/name/nm0000795/"} +{"d:Title": "The Linden Ashby Worshiping page", "d:Description": "Includes fan club, picture gallery, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashby,_Linden", "url": "http://www.lindenashby.org/"} +{"d:Title": "IMDb: Linden Ashby", "d:Description": "Filmography and upcoming TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashby,_Linden", "url": "http://www.imdb.com/name/nm0000798/"} +{"d:Title": "IMDb: Matthew Ashford", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashford,_Matthew", "url": "http://www.imdb.com/name/nm0038932/"} +{"d:Title": "IMDb: Elizabeth Ashley", "d:Description": "Filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashley,_Elizabeth", "url": "http://www.imdb.com/name/nm0039051/"} +{"d:Title": "My Name Is Shawn", "d:Description": "Fan site that includes a biography, filmography, quotes, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashmore,_Shawn", "url": "http://www.angelfire.com/celeb/ashmoreshawn/index.html"} +{"d:Title": "Scholastic: Behind the Scenes", "d:Description": "Behind the scenes interview, photos, a mini quiz and information about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashmore,_Shawn", "url": "http://www.scholastic.com/animorphs/characters/yearbook_jake.htm"} +{"d:Title": "Shawn Ashmore", "d:Description": "Biography of the actor with a selected filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashmore,_Shawn", "url": "http://www.tribute.ca/all_actors/bios/10104.htm"} +{"d:Title": "The Ashmore Archives", "d:Description": "Archive of photos, transcripts, downloads and the latest news on Shawn.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashmore,_Shawn", "url": "http://shawn-ashmore.com/"} +{"d:Title": "Wikipedia: Shawn Ashmore", "d:Description": "Brief biography, selected filmography and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashmore,_Shawn", "url": "http://en.wikipedia.org/wiki/Shawn_Ashmore"} +{"d:Title": "IMDb: Shawn Ashmore", "d:Description": "Internet Movie Database listing. Contains filmography and links to related sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashmore,_Shawn", "url": "http://www.imdb.com/name/nm0039162/"} +{"d:Title": "TV.com: Shawn Ashmore", "d:Description": "Filmography, biographical information and links to related sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashmore,_Shawn", "url": "http://www.tv.com/people/shawn-ashmore/"} +{"d:Title": "IMDb: Joseph Ashton", "d:Description": "Filmography, awards, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashton,_Joseph", "url": "http://www.imdb.com/name/nm0039229/"} +{"d:Title": "IMDb: Richard Ashton", "d:Description": "Filmography and guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ashton,_Richard", "url": "http://www.imdb.com/name/nm0039262/"} +{"d:Title": "TVGuide.com: Armand Assante", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Assante,_Armand", "url": "http://www.tvguide.com/celebrities/armand-assante/140648"} +{"d:Title": "IMDb: Armand Assante", "d:Description": "Filmography, awards, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Assante,_Armand", "url": "http://www.imdb.com/name/nm0000800/"} +{"d:Title": "IMDb: Eileen Atkins", "d:Description": "Filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Atkins,_Eileen", "url": "http://www.imdb.com/name/nm0040586/"} +{"d:Title": "IMDb: Edward Atterton", "d:Description": "Includes filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Atterton,_Edward", "url": "http://www.imdb.com/name/nm0041029/"} +{"d:Title": "IMDb: St\u00e9phane Audran", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Audran,_St\u00e9phane", "url": "http://www.imdb.com/name/nm0000804/"} +{"d:Title": "IMDb: Nicholas Audsley", "d:Description": "Offers filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Audsley,_Nicholas", "url": "http://www.imdb.com/name/nm0972799/"} +{"d:Title": "Frankie Avina", "d:Description": "Frankie Avina's filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Avina,_Frankie", "url": "http://www.imdb.com/name/nm0043198/"} +{"d:Title": "Mili Avital is a Sensual Delight", "d:Description": "Fan site includes news, biography, filmography, and photos. [English and Russian]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Avital,_Mili", "url": "http://miliavital.tripod.com/"} +{"d:Title": "Mili Avital", "d:Description": "Pictures and video captures of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Avital,_Mili", "url": "http://uok.www8.50megs.com/"} +{"d:Title": "IMDb: Mili Avital", "d:Description": "Filmography, awards, biography, photos, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Avital,_Mili", "url": "http://www.imdb.com/name/nm0000815/"} +{"d:Title": "Jon Avner", "d:Description": "Features career highlights, photographs, videos, credits, and current projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Avner,_Jon", "url": "http://www.jonavner.com/"} +{"d:Title": "IMDb: Nicki Lynn Aycox", "d:Description": "Includes filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aycox,_Nicki", "url": "http://www.imdb.com/name/nm0043704/"} +{"d:Title": "Nicki Lynn Aycox", "d:Description": "An interview by Douglas Eby includes discussions about her various acting roles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aycox,_Nicki", "url": "http://talentdevelop.com/interviews/naycox.html"} +{"d:Title": "IMDb - Miguel Ayesa", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ayesa,_Miguel", "url": "http://www.imdb.com/name/nm0043818/"} +{"d:Title": "Team MiG Ayesa Global Fansite", "d:Description": "Provides news, pictures, multimedia, upcoming CD release information and tour information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ayesa,_Miguel", "url": "http://www.teammigayesa.com/"} +{"d:Title": "MiG", "d:Description": "Official site containing a biography, photographs, video clips, a forum, press clippings, contact facilities and answers to frequently asked questions. [Requires Flash].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Ayesa,_Miguel", "url": "http://www.mig-music.com/"} +{"d:Title": "IMDb: Reiko Aylesworth", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aylesworth,_Reiko", "url": "http://www.imdb.com/name/nm0043855/"} +{"d:Title": "Rotten Tomatoes: Reiko Aylesworth", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aylesworth,_Reiko", "url": "http://www.rottentomatoes.com/celebrity/reiko_aylesworth/"} +{"d:Title": "MovieWeb: Reiko Aylesworth", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aylesworth,_Reiko", "url": "http://www.movieweb.com/person/reiko-aylesworth"} +{"d:Title": "TVGuide: Reiko Aylesworth", "d:Description": "Biography, credits, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aylesworth,_Reiko", "url": "http://www.tvguide.com/celebrities/reiko-aylesworth/190796"} +{"d:Title": "Yahoo! Movies: Reiko Aylesworth", "d:Description": "Biography, filmography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aylesworth,_Reiko", "url": "http://movies.yahoo.com/person/reiko-aylesworth/"} +{"d:Title": "TV.com: Reiko Aylesworth", "d:Description": "Biography, credits and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Aylesworth,_Reiko", "url": "http://www.tv.com/people/reiko-aylesworth/"} +{"d:Title": "Hank Azaria", "d:Description": "Fanpage with filmography, biography, pictures, sound clips, Friends episode transcript, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Azaria,_Hank", "url": "http://www.angelfire.com/nj/chiefwiggum/index.html"} +{"d:Title": "Profiles: Hank Azaria", "d:Description": "Features factoids and status on future films and projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Azaria,_Hank", "url": "http://www.casenet.com/people/hankazaria.htm"} +{"d:Title": "Hank Azaria Mailing List", "d:Description": "Active mailing list for fans of Hank Azaria.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Azaria,_Hank", "url": "http://movies.groups.yahoo.com/group/hankazaria/"} +{"d:Title": "TVGuide.com: Hank Azaria", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Azaria,_Hank", "url": "http://www.tvguide.com/celebrities/hank-azaria/152971"} +{"d:Title": "IMDB: Hank Azaria", "d:Description": "Filmography, profile, awards, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/A/Azaria,_Hank", "url": "http://www.imdb.com/name/nm0000279/"} +{"d:Title": "Barker, Lex", "d:Description": "Bi-lingual English-Deutsch site offers biography, filmography, reviews, gallery, dubbing actors profiles, rare sound bites and desktop wallpapers. Large size font option available.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.tribute-to-lex-barker.net/"} +{"d:Title": "Briley-Strand, Gwendolyn", "d:Description": "US based actress. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.seethefruits.com/page1.htm"} +{"d:Title": "Barnes, Roger", "d:Description": "Toronto based actor. Includes resume, photos, media clips and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.rogerbarnes.com/"} +{"d:Title": "Bauer, Emily", "d:Description": "New York City based actress. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.emilybauer.com/"} +{"d:Title": "Blevins, Michael", "d:Description": "New York based actor/dancer. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.angelfire.com/musicals/blev/"} +{"d:Title": "Binns, Andrew", "d:Description": "Biography, filmography, pictures, sounds, video clips, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://members.tripod.com/a_b_a_s/"} +{"d:Title": "Bakalor, Mark", "d:Description": "Actor located in California. Profile, journal, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.hijinks.com/~mark/"} +{"d:Title": "Bass, Brodie", "d:Description": "UK based film, television and theatre actor. Includes photographs, contact details and a curriculum vitae.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.brodiebass.com/"} +{"d:Title": "Benson, Laura", "d:Description": "UK based Equity member. Includes a resume with details of experience, skills and photographs from recent productions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.angelfire.com/art/laurabenson/index.html"} +{"d:Title": "Baggio, Jon", "d:Description": "Los Angeles based SAG actor. Provides a resume, contact details, photographs, video clips and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://anthonymartins.com/jonbaggio/"} +{"d:Title": "Blair, Toni", "d:Description": "US based SAG member. Provides a resume, e-mail address, pictures and news on current projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.toniblair.biz/"} +{"d:Title": "Burlinson, Tom", "d:Description": "Provides filmography, photos, song samples and reviews. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.tomburlinson.com.au/"} +{"d:Title": "Brown, Mike", "d:Description": "Official site for the Salt Lake City, Utah actor-director.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.mikebrown.us/"} +{"d:Title": "Bailit, Rachel", "d:Description": "Official website includes experience, news, photos, clips and contact details for this Los Angeles based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.rachelbailit.com/"} +{"d:Title": "Billings, Morgan", "d:Description": "Features resume, gallery and sound files.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://morganbillings.tripod.com/"} +{"d:Title": "Bright, R. Emery", "d:Description": "Official site provides biography, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.emerybright.com/"} +{"d:Title": "Baykan, Dean", "d:Description": "Official multi-lingual site offers filmography, biography, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.deanbaykan.de/"} +{"d:Title": "Booth, James", "d:Description": "Fan site includes biography, interviews, photos, reviews and handwriting sample.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.jamesbooth.org/"} +{"d:Title": "Bodine, Greg Oliver", "d:Description": "Official site provides resume, biography, press details, news, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://gregoliverbodine.com/"} +{"d:Title": "Bischoff, Richard", "d:Description": "Offers resume, video clips and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.creativecoverage.com/richardbischoff.htm"} +{"d:Title": "Brewer, Cate", "d:Description": "Includes resume, photos and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.catebrewer.com/"} +{"d:Title": "Baas, Jon", "d:Description": "Official website offers daily weblog, filmography, biography, news, image gallery and personal artwork for this U.S. based actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.jonbaas.com/"} +{"d:Title": "Baxter, Jesse", "d:Description": "Official site provides E-newsletter, resume in pdf format, photo gallery and head shots.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.jessebaxter.com/"} +{"d:Title": "Britton, Dwayne", "d:Description": "Personal web site includes photos, music, news, journal entries, live performance downloads and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.dwaynebritton.com/"} +{"d:Title": "Bonsall, Richard", "d:Description": "Official site provides biography, video clips, resume, image gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.richardbonsall.com/"} +{"d:Title": "Boyajian, Susan", "d:Description": "Includes headshots, audio samples, video clips, resume and representation information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.susanboyajian.com/"} +{"d:Title": "Benningfield, Dana", "d:Description": "Offers biography, reviews, photo gallery, recent project details and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.danabenningfield.com/"} +{"d:Title": "Brandhagen, Clinton", "d:Description": "Offers resume, photo gallery, reviews, training details, voice over demos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.clintonbrandhagen.com/"} +{"d:Title": "Baker, Joe Don", "d:Description": "U.S. based actor. Offers biography, film and television credits and almanac.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://joedonbaker.atspace.com/index.html"} +{"d:Title": "Breiner-Sanders, Melisa", "d:Description": "Includes resume, photos, productions stills and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.melisabs.com/"} +{"d:Title": "Boudreau, Michelle", "d:Description": "Offers biography, on-air hostess experience, television and film credits and contact information. Require Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.michelleboudreau.net/"} +{"d:Title": "Birdsong, Monica", "d:Description": "US based actress. Contains a biography, photographs, C.V. and contact facilities.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.monicabirdsong.com/"} +{"d:Title": "Barber, Nicola", "d:Description": "Official site for the actress, singer, and voice-over artist based in New York City.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.nicolabarber.com/"} +{"d:Title": "Bergeron, Adam", "d:Description": "Offers contact information, resume, photos and demo clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.adam-bergeron.com/"} +{"d:Title": "Bustamante, Jamie", "d:Description": "Offers image galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.angelfire.com/film/bustamante/"} +{"d:Title": "Bernard, Andree", "d:Description": "Official site provides news, career details, gallery, media files and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.andreebernard.com/"} +{"d:Title": "Barnes, Chris", "d:Description": "Provides narrative on the career, filmography, video clips and epilogue for this former actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://mysite.verizon.net/christopherjbarnes/chrisbarnes"} +{"d:Title": "Ballantyne, Morgan Darcy", "d:Description": "U.S. based actress. Provides resume, photo and recent activity information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://morganballantyne.com/"} +{"d:Title": "Bleibtreu, Moritz", "d:Description": "German actor, with photographs, video clips, interviews, and discussion.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://moritzbleibtreu.com/"} +{"d:Title": "Bowles, David", "d:Description": "UK based actor. Includes profile, TV/Film/Stage credits and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://ukscreen.com/unizarre/"} +{"d:Title": "Bradley, Doug", "d:Description": "Features news, downloads, filmography, interactive forum, merchandise, image gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.dougbradley.com/"} +{"d:Title": "Bell Bundy, Laura", "d:Description": "US based actress. Includes credits, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://www.laurabellbundy.com/"} +{"d:Title": "Black, Bobby Lee", "d:Description": "Offers resume in pdf format, head shots, clip reel, project stills and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B", "url": "http://bobbyleeblack.wixsite.com/bobbyleeblack"} +{"d:Title": "Lauren Bacall - A Touch of Class", "d:Description": "Detailed biography, images, sound clips, and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacall,_Lauren", "url": "http://themave.com/Bacall/"} +{"d:Title": "TV and Movie Trivia Tribute: Lauren Bacall", "d:Description": "Pictures, links, trivia, and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacall,_Lauren", "url": "http://www.triviatribute.com/laurenbacall.html"} +{"d:Title": "Internet Movie Database: Lauren Bacall", "d:Description": "Contains filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacall,_Lauren", "url": "http://www.imdb.com/name/nm0000002/"} +{"d:Title": "Bacall, Lauren: American actress", "d:Description": "Profile and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacall,_Lauren", "url": "http://freepages.history.rootsweb.ancestry.com/~dav4is/people/CHUR197.htm"} +{"d:Title": "Reel Classics: Lauren Bacall", "d:Description": "Includes biography, filmography, awards and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacall,_Lauren", "url": "http://www.reelclassics.com/Actresses/Bacall/bacall.htm"} +{"d:Title": "Yahoo! Movies: Lauren Bacall", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacall,_Lauren", "url": "http://movies.yahoo.com/person/lauren-bacall/"} +{"d:Title": "Internet Movie Database: Morena Baccarin", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baccarin,_Morena", "url": "http://www.imdb.com/name/nm1072555/"} +{"d:Title": "Yahoo! Movies: Morena Baccarin", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baccarin,_Morena", "url": "http://movies.yahoo.com/person/morena-baccarin/"} +{"d:Title": "Barbara Bach Fan Site: The Best James Bond Girl", "d:Description": "Image gallery, biography, filmography, and other fan related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Barbara", "url": "http://www.barbara-bach.com/"} +{"d:Title": "Internet Movie Database: Barbara Bach", "d:Description": "Contains filmography, biography, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Barbara", "url": "http://www.imdb.com/name/nm0000819/"} +{"d:Title": "Yahoo! Movies: Barbara Bach", "d:Description": "Short profile and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Barbara", "url": "http://movies.yahoo.com/person/barbara-bach/"} +{"d:Title": "Internet Movie Database: Catherine Bach", "d:Description": "Includes filmography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Catherine", "url": "http://www.imdb.com/name/nm0000280/"} +{"d:Title": "Rotten Tomatoes: Catherine Bach", "d:Description": "Biography, filmography and image gallery", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Catherine", "url": "http://www.rottentomatoes.com/celebrity/catherine_bach/"} +{"d:Title": "Movies.com: Catherine Bach", "d:Description": "Biography, filmography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Catherine", "url": "http://www.movies.com/actors/catherine-bach/p280998"} +{"d:Title": "MovieWeb: Catherine Bach", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Catherine", "url": "http://www.movieweb.com/person/catherine-bach"} +{"d:Title": "Yahoo! Movies: Catherine Bach", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Catherine", "url": "http://movies.yahoo.com/person/catherine-bach/"} +{"d:Title": "TV.com: Catherine Bach", "d:Description": "Biography, news, reviews and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bach,_Catherine", "url": "http://www.tv.com/people/catherine-bach/"} +{"d:Title": "Internet Movie Database: Abhishek Bachchan", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bachchan,_Abhishek", "url": "http://www.imdb.com/name/nm0045393/"} +{"d:Title": "Abhishek-Online : A-Z on Abhishek Bachchan", "d:Description": "Email based fan group shares discussions and photos. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bachchan,_Abhishek", "url": "http://in.groups.yahoo.com/group/Abhishek-Online/"} +{"d:Title": "Yahoo! Movies: Abhishek Bachchan", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bachchan,_Abhishek", "url": "http://movies.yahoo.com/person/abhishek-bachchan/"} +{"d:Title": "Bacic Words - The Steve Bacic Fan Fiction Archive", "d:Description": "Offers archived stories featuring characters that the Canadian actor has portrayed.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacic,_Steve", "url": "http://bacicwords.popullus.net/"} +{"d:Title": "Basic Steve Bacic - The Official Fansite", "d:Description": "Features filmography, reviews, question and answers, fan fiction, poetry, convention reports, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacic,_Steve", "url": "http://www.stevebacic.com/"} +{"d:Title": "IMDb: Steve Bacic", "d:Description": "Features biography, filmography, photos and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacic,_Steve", "url": "http://www.imdb.com/name/nm0045584/"} +{"d:Title": "PopEntertainment.com: Kevin Bacon", "d:Description": "Interview about the film, \"The Woodsman\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacon,_Kevin", "url": "http://www.popentertainment.com/bacon.htm"} +{"d:Title": "Yahoo! Movies: Kevin Bacon", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacon,_Kevin", "url": "http://movies.yahoo.com/person/kevin-bacon/"} +{"d:Title": "Internet Movie Database: Kevin Bacon", "d:Description": "Contains filmography, biography, video clips, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bacon,_Kevin", "url": "http://www.imdb.com/name/nm0000102/"} +{"d:Title": "Internet Movie Database: Diedrich Bader", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bader,_Diedrich", "url": "http://www.imdb.com/name/nm0046033/"} +{"d:Title": "Yahoo! Movies: Diedrich Bader", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bader,_Diedrich", "url": "http://movies.yahoo.com/person/diedrich-bader/"} +{"d:Title": "Internet Movie database: Max Baer Jr.", "d:Description": "Includes filmography, biography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baer,_Max,_Jr.", "url": "http://www.imdb.com/name/nm0046319/"} +{"d:Title": "Yahoo! Movies: Max Baer Jr", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baer,_Max,_Jr.", "url": "http://movies.yahoo.com/person/max-baer-jr/"} +{"d:Title": "Internet Movie Database: Larry Bagby", "d:Description": "Includes filmography, biography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bagby,_Larry", "url": "http://www.imdb.com/name/nm0046541/"} +{"d:Title": "Yahoo! Movies: Larry Bagby", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bagby,_Larry", "url": "http://movies.yahoo.com/person/larry-bagby/"} +{"d:Title": "Larry Bagby Shrine, The", "d:Description": "Fan site for actor, television personality. History, biography, filmography, fan art, related links and fan news included.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bagby,_Larry", "url": "http://lbshrine.tripod.com/index.htm"} +{"d:Title": "Internet Movie Database: Sherwood Bailey", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bailey,_Sherwood", "url": "http://www.imdb.com/name/nm0047496/"} +{"d:Title": "Internet Movie Database: Scott Bairstow", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bairstow,_Scott", "url": "http://www.imdb.com/name/nm0000282/"} +{"d:Title": "Yahoo! Movies: Scott Bairstow", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bairstow,_Scott", "url": "http://movies.yahoo.com/person/scott-bairstow/"} +{"d:Title": "Internet Movie Database: Diane Baker", "d:Description": "Includes filmography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Diane", "url": "http://www.imdb.com/name/nm0000832/"} +{"d:Title": "Rotten Tomatoes: Diane Baker", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Diane", "url": "http://www.rottentomatoes.com/celebrity/diane_baker/"} +{"d:Title": "Yahoo! Movies: Diane Baker", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Diane", "url": "http://movies.yahoo.com/person/diane-baker/"} +{"d:Title": "Internet Movie Database: Kenny Baker", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Kenny", "url": "http://www.imdb.com/name/nm0048652/"} +{"d:Title": "Yahoo! Movies: Kenny Baker", "d:Description": "Contains filmography and biography. .", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Kenny", "url": "http://movies.yahoo.com/person/kenny-baker/"} +{"d:Title": "IMDb: Tom Baker", "d:Description": "Contains a biography, filmography, photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Tom", "url": "http://www.imdb.com/name/nm0048982/"} +{"d:Title": "The Tom Baker Fan Site", "d:Description": "Biographical information and acting credits, with convention photographs, fan-created fiction, poetry and art, autographs, screen captures, scanned magazine covers, articles, interviews, polls and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Tom", "url": "http://thomas-stewart-baker.com/"} +{"d:Title": "Wikipedia: Tom Baker", "d:Description": "Article providing a biography and career overview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Tom", "url": "http://en.wikipedia.org/wiki/Tom_Baker"} +{"d:Title": "BBC News: Actor Baker Becomes Voice of Text", "d:Description": "Brief article reporting the use of the actor's voice in a talking text message service. Includes a video interview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Tom", "url": "http://news.bbc.co.uk/1/hi/entertainment/4665254.stm"} +{"d:Title": "BBC News: Actor Baker Favourite in Who Poll", "d:Description": "Reports that the actor was selected as the UK's favourite Doctor Who in a 2005 poll. With comments from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Tom", "url": "http://news.bbc.co.uk/1/hi/entertainment/tv_and_radio/4346657.stm"} +{"d:Title": "The Guardian - I Was Randy for Martyrdom", "d:Description": "Interview discussing the actor's appearances in \"Monarch of the Glen\" and the film \"The Magic Roundabout\". By Sarah Dempster.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Tom", "url": "http://www.theguardian.com/media/2004/sep/25/tvandradio.theguide"} +{"d:Title": "Official Tom Baker Website", "d:Description": "Offers interviews, photographs, merchandise, a list of stage, film and television credits, a biography, and special pages devoted to his television work beyond Doctor Who.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baker,_Tom", "url": "http://www.tombakerofficial.com/"} +{"d:Title": "Beyond the Mirror", "d:Description": "Consisting of fan fiction, a photo gallery, biography, information on current series and previous work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bakula,_Scott", "url": "http://www.wickedsky.com/beyond/enter1.html"} +{"d:Title": "IMDb: Scott Bakula", "d:Description": "Contains filmography, biography, photographs and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bakula,_Scott", "url": "http://www.imdb.com/name/nm0000836/"} +{"d:Title": "Yahoo! Movies: Scott Bakula", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bakula,_Scott", "url": "http://movies.yahoo.com/person/scott-bakula/"} +{"d:Title": "StarTrek.com: Scott Bakula", "d:Description": "Includes career information, images, and chat transcripts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bakula,_Scott", "url": "http://www.startrek.com/database_article/bakula"} +{"d:Title": "Vidya Balan - IndiaGlitz", "d:Description": "Image gallery of the actress, including on screen and off screen stills.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balan,_Vidya", "url": "http://www.indiaglitz.com/channels/hindi/gallery/Actress/4515.html"} +{"d:Title": "MovieWeb: Adam Baldwin", "d:Description": "Biography, filmography, video and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Adam", "url": "http://www.movieweb.com/person/adam-baldwin"} +{"d:Title": "Rotten Tomatoes: Adam Baldwin", "d:Description": "Biography, image gallery and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Adam", "url": "http://www.rottentomatoes.com/celebrity/adam_baldwin/"} +{"d:Title": "Movies.com: Adam Baldwin", "d:Description": "Biography, filmography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Adam", "url": "http://www.movies.com/actors/adam-baldwin/p280469"} +{"d:Title": "Yahoo! Movies: Adam Baldwin", "d:Description": "Biography, filmography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Adam", "url": "http://movies.yahoo.com/person/adam-baldwin/"} +{"d:Title": "IMDb: Adam Baldwin", "d:Description": "Contains full filmography, photo gallery, biography, nominations and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Adam", "url": "http://www.imdb.com/name/nm0000284/"} +{"d:Title": "TV.com: Adam Baldwin", "d:Description": "Biography, trivia, filmography, image gallery and forums.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Adam", "url": "http://www.tv.com/people/adam-baldwin/"} +{"d:Title": "Seeing Stars: Alec Baldwin", "d:Description": "How and where you can see the actor live and in person.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Alec", "url": "http://seeing-stars.com/StarIndexes/AlecBaldwin.shtml"} +{"d:Title": "Alec Baldwin", "d:Description": "Actor's official site with biography, filmography, photo gallery and appearance information.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Alec", "url": "http://www.alecbaldwin.com/"} +{"d:Title": "The-Movie-Times: Alec Baldwin", "d:Description": "Box office data on the actor's films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Alec", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?abaldwin"} +{"d:Title": "Yahoo! Movies: Alec Baldwin", "d:Description": "Biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Alec", "url": "http://movies.yahoo.com/person/alec-baldwin/"} +{"d:Title": "About: Alec Baldwin", "d:Description": "Links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Alec", "url": "http://movies.about.com/od/baldwinalec/"} +{"d:Title": "Internet Movie Database: Alec Baldwin", "d:Description": "Includes filmography, biography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Alec", "url": "http://www.imdb.com/name/nm0000285/"} +{"d:Title": "Yahoo! Movies: Daniel Baldwin", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Daniel", "url": "http://movies.yahoo.com/person/daniel-baldwin/"} +{"d:Title": "Internet Movie Database: Daniel Baldwin", "d:Description": "Contains biography, filmography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Daniel", "url": "http://www.imdb.com/name/nm0000838/"} +{"d:Title": "Internet Movie Database: Judith Baldwin", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Judith", "url": "http://www.imdb.com/name/nm0049943/"} +{"d:Title": "Yahoo! Movies: Judith Baldwin", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Judith", "url": "http://movies.yahoo.com/person/judith-baldwin/"} +{"d:Title": "Stephen Baldwin Fan Site, The", "d:Description": "Biography, filmography, images and interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Stephen", "url": "http://www.angelfire.com/yt/stephenbaldwin/"} +{"d:Title": "Yahoo! Movies: Stephen Baldwin", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Stephen", "url": "http://movies.yahoo.com/person/stephen-baldwin/"} +{"d:Title": "Internet Movie Database: Stephen Baldwin", "d:Description": "Contains filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_Stephen", "url": "http://www.imdb.com/name/nm0000286/"} +{"d:Title": "Wild Wild William Baldwin, The", "d:Description": "Honoring the actor, including photos, interesting facts, news, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_William", "url": "http://www.angelfire.com/celeb/williambaldwin/"} +{"d:Title": "Internet Movie Database: William Baldwin", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_William", "url": "http://www.imdb.com/name/nm0000287/"} +{"d:Title": "Yahoo! Movies: William Baldwin", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baldwin,_William", "url": "http://movies.yahoo.com/person/william-baldwin/"} +{"d:Title": "Wikipedia: Christian Bale", "d:Description": "Multi-lingual resource offers acting career summary, biography, photos, filmography and external links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://en.wikipedia.org/wiki/Christian_Bale"} +{"d:Title": "Christian Bale Astrology", "d:Description": "Astrological profile for the Welsh actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.vegaattractions.com/celebrity/stars/balechr.html"} +{"d:Title": "Enigmatic: Christian Bale Fansite", "d:Description": "Provides multi-media files, wallpapers, high-resolution photo gallery, icon packs, toolbar skins, avatars and filmography. Requires JavaScript.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.avenuepotter.com/~kristin/Christian_Bale/index.htm"} +{"d:Title": "Tribute.ca: Christian Bale Biography", "d:Description": "Provides biography and filmography for the Welsh actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.tribute.ca/bio.asp?id=2873"} +{"d:Title": "BoxOfficeMojo: Christian Bale", "d:Description": "Offers box office information regarding the actor's filmography including studio affiliation, lifetime gross receipts, theater data and opening details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.boxofficemojo.com/people/chart/?view=Actor&id=christianbale.htm"} +{"d:Title": "Bale, Christian", "d:Description": "Offers biography, filmography, news articles, trivia, awards, wallpaper and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.aceshowbiz.com/celebrity/christian_bale/"} +{"d:Title": "Topix", "d:Description": "Christian Bale news feed", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.topix.com/rss/who/christian-bale.xml"} +{"d:Title": "Christian Bale Fan", "d:Description": "Features include news updates, gallery, interactive forum and media files.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://christian-bale.org/"} +{"d:Title": "Christian Rocks", "d:Description": "Whether they\u2019re hacking up prostitutes or saving Gotham, Christian Bale\u2019s characters always seem most comfortable in isolation. Includes photos. Interview by Nate Penn.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.gq.com/entertainment/celebrities/200702/christian-bale-batman"} +{"d:Title": "Yahoo! Movies: Christian Bale", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://movies.yahoo.com/person/christian-bale/"} +{"d:Title": "TVGuide.com: Christian Bale", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.tvguide.com/celebrities/christian-bale/144576"} +{"d:Title": "Christian Bale Biography", "d:Description": "Provides biography, full filmography and related links to movie reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/christian-bale/biography/151"} +{"d:Title": "IMDb: Christian Bale", "d:Description": "Includes biography, filmography, image gallery, nominations, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.imdb.com/name/nm0000288/"} +{"d:Title": "Hollywood Stock Exchange: Christian Bale", "d:Description": "Short biography, filmography and historical trading prices for the Welsh star in the Hollywood Stock Exchange game. Participation requires free registration.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.hsx.com/security/view/CBALE"} +{"d:Title": "Christian Bale Daily", "d:Description": "Journal blogger offers icons, avatars and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://bale-daily.livejournal.com/"} +{"d:Title": "Christian Bale at Thespian Net", "d:Description": "Offers biography, merchandise, film and television credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian", "url": "http://www.thespiannet.com/actors/B/bale_christian/christian_bale.shtml"} +{"d:Title": "Christian Bale Interview on Batman Begins", "d:Description": "Batman Begins star Christian Bale talks about getting into the Batsuit, the Batmobile, making Batman Begins a more character driven movie, and researching the role of Batman in this interview from the 2005 WonderCon in San Francisco.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://movies.about.com/od/batman/a/batman022005.htm"} +{"d:Title": "Christian Bale on The New World, Batman and The Prestige", "d:Description": "Christian Bale discusses The New World, Terrence Malick, working on The Prestige with Christopher Nolan and expectations for his second Batman movie.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://movies.about.com/od/thenewworld/a/nwworldcb122505.htm"} +{"d:Title": "NPR : Actor Christian Bale, the New Batman", "d:Description": "The Welsh actor discusses the audition process, his massive body weight fluctuations, mobility restrictions of the costume and his determination to portray the comic book hero as a dark and serious character in this audio interview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=4700914"} +{"d:Title": "Batman Workout: Christian Bale Hates Having a Good Body", "d:Description": "Christian Bale may be the new Batman, but he wants everyone to know he's more than simply a buff body and a smug grin. By Trevor Thompson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.thesimon.com/magazine/articles/why_are_they_famous/0871_christian_bale_hates_having_good_body.html"} +{"d:Title": "BBC News: Bale Begins Move Into Big League", "d:Description": "Acclaimed actor Christian Bale's role in Batman Begins could determine if he makes the transition to box office star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/entertainment/4536861.stm"} +{"d:Title": "BBC : Interview, Christian Bale", "d:Description": "Christian Bale gives us the skinny on his incredible shrinking role in The Machinist. By Stephen Applebaum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.bbc.co.uk/films/2005/03/14/christian_bale_the_machinist_interview.shtml"} +{"d:Title": "RadioFree.com Interviews: Christian Bale, The Machinist", "d:Description": "The actor discusses the grueling demands of the film shoot and the physical transformation he underwent for his role.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://movies.radiofree.com/interviews/themachi_christian_bale.shtml"} +{"d:Title": "Christian Bale Fan Club: The Cult of Christian Bale", "d:Description": "Christian Bale is now more famous for his fans than for his movies. By Liz Goodman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.thesimon.com/magazine/articles/old_issues/0090_the_cult_christian_bale.html"} +{"d:Title": "IndieLondon: Harsh Times - Christian Bale Interview", "d:Description": "The star talks about playing another unhinged sociopath in Harsh Times as well as re-teaming with Batman director Christopher Nolan and life in LA. By Jack Foley.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.indielondon.co.uk/Film-Review/harsh-times-christian-bale-interview"} +{"d:Title": "Having A Bale Of A Time", "d:Description": "Pembrokeshire's Christian Bale found himself in a different kind of starring role last week.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.westerntelegraph.co.uk/news/latest/display.var.1199721.0.having_a_bale_of_a_time.php"} +{"d:Title": "Christian On Lightweight Duties At 'Rescue' Premiere", "d:Description": "Stepping out on the red carpet to promote his latest flick Rescue Dawn must surely have been a doddle for Hollywood heart-throb Christian Bale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.hellomagazine.com/film/2007/06/26/premieres-bale/"} +{"d:Title": "NJ.com Ledger Entertainment: Under pressure, Christian Bale Is A Perfect Gentleman", "d:Description": "Downstairs, outside the hotel, three fans with widening waists and thinning hairlines hold out Batman pictures, posters and action figures. And Christian Bale pauses to sign them all. Interview by Stephen Whitty.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://blog.nj.com/ledgerentertainment/2007/06/under_pressure_christian_bale.html"} +{"d:Title": "Christian Bale Talks Rescue Dawn", "d:Description": "Christian Bale discusses the movie Rescue Dawn, playing Dieter Dengler, the stunts, the snake, the maggots, getting thin, and working with Steve Zahn. Includes link to movie photo gallery. By Rebecca Murray.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://movies.about.com/od/rescuedawn/a/rescuedaw062007.htm"} +{"d:Title": "HollywoodChicago.com: Haunted By Laotian Ghosts, \u2018Rescue Dawn\u2019 Resurrects Real-Life Vietnam POWs", "d:Description": "With the jungle the cast\u2019s true prison, director Werner Herzog made the environment just as much the film\u2019s main character as Steve Zahn, Christian Bale and Jeremy Davies. Includes photos. By Adam Fendelman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.hollywoodchicago.com/labels/christian_bale.html"} +{"d:Title": "Russell Crowe and Christian Bale Interview: 3:10 TO YUMA", "d:Description": "The actors talk about the tough conditions they endured while trying to make this film.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.collider.com/entertainment/interviews/article.asp?aid=5375&tcid=1"} +{"d:Title": "USAToday.com: Christian Bale Pours Body, Soul Into Roles", "d:Description": "The star has had three films in 2005, two last year and another two this year. Next year he has the Batman sequel The Dark Knight and is in talks for the thriller Killing Pablo. By Scott Bowles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.usatoday.com/life/movies/news/2007-09-04-bale-profile_N.htm"} +{"d:Title": "Scotland on Sunday: Ace In The Pack, Christian Bale Interview", "d:Description": "The star has been hailed for his brooding reinvention of Batman but insists his portrayal is nothing compared to the unforgettable super villain created by the late Heath Ledger. By Amy Longsdorf.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://scotlandonsunday.scotsman.com/sos-review/Ace-in-the-pack-.4281370.jp"} +{"d:Title": "MTV.com: 'Dark Knight' Star Christian Bale Arrested", "d:Description": "\"Dark Knight\" star Christian Bale turned himself in to London police early Tuesday after allegations of assault were made against him, and was released from custody several hours later. By Jennifer Vineyard.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.mtv.com/movies/news/articles/1591295/story.jhtml"} +{"d:Title": "Scotsman.com News: Christian Bale Profile - Knight of the Dark Side", "d:Description": "Many families live with workaholics who bring the office into the home, but not many women find themselves eating breakfast with a partner transformed into a serial killer, a Greek fisherman, a chronic insomniac or Batman for months at a time?", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://news.scotsman.com/opinion/Christian-Bale-profile-Knight-of.4328916.jp"} +{"d:Title": "Guardian Unlimited Film: The Method In My Madness", "d:Description": "Christian Bale began his acting career as a lost little boy in Empire of the Sun. Now he's a murderer in American Psycho. In this interview with Libby Brooks he talks about his battle to get the part and why it cost him his teeth.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.theguardian.com/film/2000/apr/06/artsfeatures"} +{"d:Title": "The Brilliant Mister Bale", "d:Description": "Christian Bale talks Harsh Times and The Dark Knight in an exclusive interview with Michelle Thomas of FutureMovies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.futuremovies.co.uk/filmmaking/the-brilliant-mister-bale/michelle-thomas"} +{"d:Title": "Superhero Hype: Christian Bale on The Dark Knight", "d:Description": "The actor talks about the Batman franchise, his training and working with Heath Ledger. By Heather Newgen.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.superherohype.com/features/93537-christian-bale-on-the-dark-knight-2"} +{"d:Title": "Superhero Hype: Bale on The Dark Knight Progress", "d:Description": "The actor speaks briefly about the film's development.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.superherohype.com/features/94175-bale-on-the-dark-knight-progress"} +{"d:Title": "The Dark Knight Set Visit: Christian Bale", "d:Description": "As Bale entered, he had traded the old Batman costume for a casual T-shirt. He also wore sunglasses to cover up his blacked-out eyes from the costume makeup. He also carried with him a book \u2013 \"Jumbo Sudoku\"! With his arrival, the Q&A began. By Scott Chitwood.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.superherohype.com/features/96849-the-dark-knight-set-visit-christian-bale"} +{"d:Title": "Christian Bale Arrested on Assault", "d:Description": "The Dark Knight star allegedly lashed out and assaulted his mother and sister at London\u2019s Dorchester hotel.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.justjared.com/2008/07/22/christian-bale-dark-knight-uk/"} +{"d:Title": "Christian Bale Gets Magical in The Prestige", "d:Description": "The actor talks about the necessity of mystery, Christopher Nolan, and James Mangold's 3:10 to Yuma.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.movieweb.com/christian-bale-gets-magical-in-the-prestige"} +{"d:Title": "IGN: The Stax Report Salutes Christian Bale", "d:Description": "Article showcases some of the star's films including \"Batman Begins\", \"Equilibrium\", \"The New World\" and \"American Psycho\". Includes photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.ign.com/articles/2006/02/02/the-stax-report-salutes-christian-bale"} +{"d:Title": "The Magic Christian", "d:Description": "All bow before the young British actor with more going on than any American actor, ever. By Cintra Wilson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Articles_and_Interviews", "url": "http://www.salon.com/2004/10/26/bale/"} +{"d:Title": "Christian Bale\u2019s Yummy Yuma Premiere", "d:Description": "Features image gallery and viewer commentary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Image_Galleries", "url": "http://www.justjared.com/2007/08/22/christian-bale-yuma-premiere/"} +{"d:Title": "Christian Bale Tackles Tokyo", "d:Description": "Christian Bale and his wife Sibi Blazic walk the red carpet of The Dark Knight Japan Premiere at Tokyo International Forum on Monday in Tokyo, Japan.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bale,_Christian/Image_Galleries", "url": "http://www.justjared.com/2008/07/28/christian-bale-dark-knight-tokyo/"} +{"d:Title": "Fairuza Balk - The Concealed Enigma", "d:Description": "Profile, filmography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balk,_Fairuza", "url": "http://www.angelfire.com/nb/balk/"} +{"d:Title": "mxdpi: Fairuza Balk", "d:Description": "Thumbnailed picture galleries, slideshow and postcards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balk,_Fairuza", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Fairuza_Balk"} +{"d:Title": "Yahoo! Movies: Fairuza Balk", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balk,_Fairuza", "url": "http://movies.yahoo.com/person/fairuza-balk/"} +{"d:Title": "IMDb: Fairuza Balk", "d:Description": "Filmography, biography, television schedule, pictures, articles and interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balk,_Fairuza", "url": "http://www.imdb.com/name/nm0000103/"} +{"d:Title": "Lucille Ball - Desi Arnaz Center, The", "d:Description": "Museum dedicated to the life and times of Lucille Ball located in her birthplace of Jamestown, NY.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ball,_Lucille", "url": "http://www.lucy-desi.com/"} +{"d:Title": "Lucille Ball's Hollywood", "d:Description": "Places in and around L.A. and Hollywood related to Lucy's life and death.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ball,_Lucille", "url": "http://www.seeing-stars.com/StarIndexes/LucilleBall.shtml"} +{"d:Title": "Find A Grave - Lucille Ball", "d:Description": "Provides date of birth and death, biography, burial location, photos of the star and of the grave marker.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ball,_Lucille", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=7003071"} +{"d:Title": "Yahoo! Movies: Lucille Ball", "d:Description": "Biography, filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ball,_Lucille", "url": "http://movies.yahoo.com/person/lucille-ball/"} +{"d:Title": "IMDb: Lucille Ball", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ball,_Lucille", "url": "http://www.imdb.com/name/nm0000840/"} +{"d:Title": "All Movie Guide: Lucille Ball", "d:Description": "Offers biography, filmography and viewer ratings. May not work in all browsers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ball,_Lucille", "url": "http://www.allmovie.com/artist/lucille-ball-p3574"} +{"d:Title": "IMDb: Taylor Ball", "d:Description": "Provides bibliography, filmography, photo gallery, contact information and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ball,_Taylor", "url": "http://www.imdb.com/name/nm0974059/"} +{"d:Title": "Internet Movie Database: Edoardo Ballerini", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ballerini,_Edoardo", "url": "http://www.imdb.com/name/nm0050737/"} +{"d:Title": "The Official Edoardo Ballerini Home Page", "d:Description": "Features biography, pictures, video clips, podcast, fine art images and mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ballerini,_Edoardo", "url": "http://www.edoardoballerini.com/"} +{"d:Title": "Yahoo! Movies: Edoardo Ballerini", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ballerini,_Edoardo", "url": "http://movies.yahoo.com/person/edoardo-ballerini/"} +{"d:Title": "Internet Movie Database: Martin Balsam", "d:Description": "Includes filmography and mini-biography", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balsam,_Martin", "url": "http://www.imdb.com/name/nm0000842/"} +{"d:Title": "Find A Grave: Martin Balsam", "d:Description": "Biographical details, the location of his grave and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balsam,_Martin", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=22957&pt=Martin+Balsam"} +{"d:Title": "Yahoo! Movies: Martin Balsam", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Balsam,_Martin", "url": "http://movies.yahoo.com/person/martin-balsam/"} +{"d:Title": "Internet Movie Database: Jamie Bamber", "d:Description": "Includes filmography, biography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bamber,_Jamie", "url": "http://www.imdb.com/name/nm0051397/"} +{"d:Title": "Yahoo! Movies: Jamie Bamber", "d:Description": "Contains filmography", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bamber,_Jamie", "url": "http://movies.yahoo.com/person/jamie-bamber/"} +{"d:Title": "Eric Bana Central", "d:Description": "Includes a biography, facts, quotes, a filmography, scanned pictures and photographs, desktop wallpapers, e-cards, games, fan art, links and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bana,_Eric", "url": "http://www.spybroken.com/bana"} +{"d:Title": "Yahoo! Movies: Eric Bana", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bana,_Eric", "url": "http://movies.yahoo.com/person/eric-bana/"} +{"d:Title": "Eric Bana Biography", "d:Description": "Includes a biography, full filmography and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bana,_Eric", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/eric-bana/biography/30"} +{"d:Title": "Internet Movie Database: Eric Bana", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bana,_Eric", "url": "http://www.imdb.com/name/nm0051509/"} +{"d:Title": "BBC News: Graduate star Anne Bancroft dies", "d:Description": "Article reporting on the death of the actress, and providing a brief obituary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bancroft,_Anne", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/4071734.stm"} +{"d:Title": "Yahoo! Movies: Anne Bancroft", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bancroft,_Anne", "url": "http://movies.yahoo.com/person/anne-bancroft/"} +{"d:Title": "The Guardian: Anne Bancroft", "d:Description": "Obituary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bancroft,_Anne", "url": "http://www.theguardian.com/film/2005/jun/08/obituaries.news"} +{"d:Title": "Internet Movie Database: Anne Bancroft", "d:Description": "Contains biography, filmography, news, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bancroft,_Anne", "url": "http://www.imdb.com/name/nm0000843/"} +{"d:Title": "Salon: Anne Bancroft", "d:Description": "Contains biography and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bancroft,_Anne", "url": "http://www.salon.com/2001/09/18/anne_bancroft/"} +{"d:Title": "ABanderas", "d:Description": "Fan site featuring a filmography and a large photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Banderas,_Antonio", "url": "http://www.angelfire.com/stars/ABanderas/"} +{"d:Title": "Internet Movie Database: Antonio Banderas", "d:Description": "Biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Banderas,_Antonio", "url": "http://www.imdb.com/name/nm0000104/"} +{"d:Title": "Antonio Banderas Garden of Dreams, The", "d:Description": "Fansite including pictures, fan fiction and poems.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Banderas,_Antonio", "url": "http://www.angelfire.com/ar3/rozita/"} +{"d:Title": "Yahoo! Movies: Antonio Banderas", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Banderas,_Antonio", "url": "http://movies.yahoo.com/person/antonio-banderas/"} +{"d:Title": "New York Times Movies: Antonio Banderas", "d:Description": "Biography, filmography, awards list, news and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Banderas,_Antonio", "url": "http://www.nytimes.com/movies/person/3682/Antonio-Banderas"} +{"d:Title": "Digital Hit Profile: Antonio Banderas", "d:Description": "Profile features biographical information and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Banderas,_Antonio/Image_Galleries", "url": "http://www.digitalhit.com/cr/antoniobanderas/"} +{"d:Title": "Yahoo! Movies: Ian Bannen", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bannen,_Ian", "url": "http://movies.yahoo.com/person/ianbannen/"} +{"d:Title": "IMDb: Ian Bannen", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bannen,_Ian", "url": "http://www.imdb.com/name/nm0000846/"} +{"d:Title": "Adrienne Barbeau", "d:Description": "Official page covers the actress's film, theater, television, voice, and music career, with news and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbeau,_Adrienne", "url": "http://www.abarbeau.com/"} +{"d:Title": "Internet Movie Database: Adrienne Barbeau", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbeau,_Adrienne", "url": "http://www.imdb.com/name/nm0000105/"} +{"d:Title": "Yahoo! Movies: Adrienne Barbeau", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbeau,_Adrienne", "url": "http://movies.yahoo.com/person/adrienne-barbeau/"} +{"d:Title": "Unofficial Glynis Barber Website", "d:Description": "Fan site with news, biography, film and TV credits, picture galleries, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barber,_Glynis", "url": "http://www.glynisbarber.de/"} +{"d:Title": "IMDb: Glynis Barber", "d:Description": "Biographical information, detailed filmography, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barber,_Glynis", "url": "http://www.imdb.com/name/nm0053392/"} +{"d:Title": "The Official Web Site of the Actress Glynis Barber", "d:Description": "Includes biography, credits, photos, film clips and agent information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barber,_Glynis", "url": "http://www.glynisbarber.com/"} +{"d:Title": "Yahoo! Movies: Glynis Barber", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barber,_Glynis", "url": "http://movies.yahoo.com/person/glynis-barber/"} +{"d:Title": "James Barbour Official Web Page", "d:Description": "Biography, upcoming events and production photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbour,_James", "url": "http://www.jamesbarbour.com/"} +{"d:Title": "Internet Movie Database: James Barbour", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbour,_James", "url": "http://www.imdb.com/name/nm0053824/"} +{"d:Title": "James Barbour: A Fan Site", "d:Description": "Fan site features biography, pictures, articles and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbour,_James", "url": "http://currerwells.net/jamesbarbour/"} +{"d:Title": "BroadwayWorld.com: An Interview with James Barbour", "d:Description": "The star talks about his fans, favorite times on stage and the most awkward situation he has encountered while performing.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbour,_James", "url": "http://www.broadwayworld.com/article/An-Interview-with-James-Barbour-20040304#"} +{"d:Title": "Broadway Actor Arrested for Abuse", "d:Description": "Actor James Barbour was arrested in Los Angeles under sex abuse and sodomy charges filed in New York City.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbour,_James", "url": "http://www.backstage.com/news/broadway-actor-arrested-for-abuse/"} +{"d:Title": "IBDb: James Barbour Credits on Broadway", "d:Description": "Offers official credits for the Hofstra University graduate.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbour,_James", "url": "https://www.ibdb.com/broadway-cast-staff/james-barbour-75553"} +{"d:Title": "Yahoo! Movies: Lisa Barbuscia", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbuscia,_Lisa", "url": "http://movies.yahoo.com/person/lisa-barbuscia/"} +{"d:Title": "Internet Movie Database: Lisa Barbuscia", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barbuscia,_Lisa", "url": "http://www.imdb.com/name/nm0053887/"} +{"d:Title": "Internet Movie Database: Javier Bardem", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bardem,_Javier", "url": "http://www.imdb.com/name/nm0000849/"} +{"d:Title": "Yahoo! Movies: Javier Bardem", "d:Description": "Includes biography, filmography, awards and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bardem,_Javier", "url": "http://movies.yahoo.com/person/javier-bardem/"} +{"d:Title": "Digital Hit: Javier Bardem", "d:Description": "Profile of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bardem,_Javier", "url": "http://www.digitalhit.com/cr/javierbardem/"} +{"d:Title": "Joie de Bardot", "d:Description": "Feature from the Detroit Metro Times about Brigitte Bardot. Includes quotes, descriptions of films she appeared in and her performances, and a list of VHS and DVD videos now available.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bardot,_Brigitte", "url": "http://www.metrotimes.com/editorial/story.asp?id=1068"} +{"d:Title": "Brigitte Bardot Tribute", "d:Description": "Pictures and movie information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bardot,_Brigitte", "url": "http://www.triviatribute.com/brigittebardot.html"} +{"d:Title": "Internet Movie Database: Brigitte Bardot", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bardot,_Brigitte", "url": "http://www.imdb.com/name/nm0000003/"} +{"d:Title": "Yahoo! Movies: Brigitte Bardot", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bardot,_Brigitte", "url": "http://movies.yahoo.com/person/brigitte-bardot/"} +{"d:Title": "Drop Dead Gorgeous", "d:Description": "Ellen Barkin's profile page at the official site for her 1999 movie \"Drop Dead Gorgeous\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barkin,_Ellen", "url": "http://www.ddgorgeous.com/html/ellen-barkin-topframes.html"} +{"d:Title": "Washingtonpost.com: Ellen Barkin Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barkin,_Ellen", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/ellen_barkin.htm"} +{"d:Title": "IMDb: Ellen Barkin", "d:Description": "Ellen's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barkin,_Ellen", "url": "http://www.imdb.com/name/nm0000289/"} +{"d:Title": "Yahoo! Movies: Ellen Barkin", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barkin,_Ellen", "url": "http://movies.yahoo.com/person/ellen-barkin/"} +{"d:Title": "TVGuide.com: Ellen Barkin", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barkin,_Ellen", "url": "http://www.tvguide.com/celebrities/ellen-barkin/149067"} +{"d:Title": "Thespian Net Presents Ellen Barkin", "d:Description": "Offers movie credits, pictures, contact information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barkin,_Ellen", "url": "http://www.thespiannet.com/actresses/B/barkin_ellen/ellen_barkin.shtml"} +{"d:Title": "Box Office Data for Ellen Barkin", "d:Description": "A page that summarizes box office statistics for movies Ellen Barkin appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barkin,_Ellen", "url": "http://www.the-numbers.com/person/10240401-Ellen-Barkin"} +{"d:Title": "Internet Movie Database: Julia Barr", "d:Description": "Includes filmography, biography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barr,_Julia", "url": "http://www.imdb.com/name/nm0056530/"} +{"d:Title": "Yahoo! Movies: Julia Barr", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barr,_Julia", "url": "http://movies.yahoo.com/person/julia-barr/"} +{"d:Title": "IMDb: Jacinda Barrett", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barrett,_Jacinda", "url": "http://www.imdb.com/name/nm0057150/"} +{"d:Title": "Internet Movie Database: Sara Barrett", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barrett,_Sara", "url": "http://www.imdb.com/name/nm0003161/"} +{"d:Title": "Internet Movie Database: Amanda Barrie", "d:Description": "Includes filmography, biography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barrie,_Amanda", "url": "http://www.imdb.com/name/nm0057360/"} +{"d:Title": "Internet Movie Database: Chris Barrie", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barrie,_Chris", "url": "http://www.imdb.com/name/nm0057368/"} +{"d:Title": "The Chris Barrie Bulletin Board", "d:Description": "A haven for all Red Dwarf fans, especially those who find Mr Barrie particularly appealing.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barrie,_Chris", "url": "http://s7.invisionfree.com/The_Chris_Barrie_BBS/index.php?act=idx"} +{"d:Title": "Yahoo! Movies: Chris Barrie", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barrie,_Chris", "url": "http://movies.yahoo.com/person/chris-barrie/"} +{"d:Title": "Internet Movie Database: Gene Barry", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barry,_Gene", "url": "http://www.imdb.com/name/nm0058001/"} +{"d:Title": "Gene Barry Fan Page", "d:Description": "Site dedicated to Gene Barry, all-around entertainer and actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barry,_Gene", "url": "http://user.xmission.com/~emailbox/barry.htm"} +{"d:Title": "IMDb: Richard Barthelmess", "d:Description": "Provides filmography, photos, bio and other information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barthelmess,_Richard", "url": "http://www.imdb.com/name/nm0001932/"} +{"d:Title": "Richard Barthelmess - Wikipedia", "d:Description": "Provides detailed biography, links and photo of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barthelmess,_Richard", "url": "http://en.wikipedia.org/wiki/Richard_Barthelmess"} +{"d:Title": "Goldensilents: Richard Barthelmess", "d:Description": "Features bio, photos and filmography. Special emphasis on silent films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barthelmess,_Richard", "url": "http://www.goldensilents.com/stars/richardbarthelmess.html"} +{"d:Title": "Freddie Bartholomew", "d:Description": "Fan page about child actor Freddie Bartholomew.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bartholomew,_Freddie", "url": "http://auschwitz.dk/Freddie.htm"} +{"d:Title": "Find A Grave - Freddie Bartholomew", "d:Description": "Provides date of death, photos and visitor notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bartholomew,_Freddie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6656086"} +{"d:Title": "Internet Movie Database: Freddie Bartholomew", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bartholomew,_Freddie", "url": "http://www.imdb.com/name/nm0000861/"} +{"d:Title": "My Mischa", "d:Description": "Fan site with biography, pictures, links, contact information, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barton,_Mischa", "url": "http://mymischa.tripod.com/mymischa/index.html"} +{"d:Title": "MischaBarton.de", "d:Description": "German- English Fansite with photos, message board, biography, and performances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barton,_Mischa", "url": "http://www.mischabarton.de/"} +{"d:Title": "Internet Movie Database: Mischa Barton", "d:Description": "Filmography, biography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barton,_Mischa", "url": "http://www.imdb.com/name/nm0059215/"} +{"d:Title": "Mischa Barton Page at TV.com", "d:Description": "TV and movie credits, biography, links, news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barton,_Mischa", "url": "http://www.tv.com/people/mischa-barton/"} +{"d:Title": "Skye McCole Bartusiak Online Fan Club", "d:Description": "Includes pictures, links, and information about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bartusiak,_Skye_McCole", "url": "http://groups.yahoo.com/group/skyebartusiakfans"} +{"d:Title": "Internet Movie Database: Skye McCole Bartusiak", "d:Description": "Includes filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bartusiak,_Skye_McCole", "url": "http://www.imdb.com/name/nm0566084/"} +{"d:Title": "Skye McCole Bartusiak Fan Site", "d:Description": "Includes biography, fun facts, media and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bartusiak,_Skye_McCole", "url": "http://skyebartusiak.tripod.com/"} +{"d:Title": "Skye McCole Bartusiak Fan Club", "d:Description": "Message board, chat, links and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bartusiak,_Skye_McCole", "url": "http://movies.groups.yahoo.com/group/skyemccolebartusiakfanclub/"} +{"d:Title": "Yahoo! Movies: Billy Barty", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barty,_Billy", "url": "http://movies.yahoo.com/person/billy-barty/"} +{"d:Title": "Internet Movie Database: Billy Barty", "d:Description": "Filmography, trivia, links, and other details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barty,_Billy", "url": "http://www.imdb.com/name/nm0000863/"} +{"d:Title": "Legend Billy Barty Is Larger Than Life", "d:Description": "\"At 3 feet 9 inches tall, there is no question that Barty is small in stature. But he's got big-time star power.\" By Steven Sato.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Barty,_Billy/Articles_and_Interviews", "url": "http://html.ibsys.com/sh/entertainment/ontheset/entertainment-ontheset-20000403-184218.html"} +{"d:Title": "The Talented Richard Basehart.", "d:Description": "Biography, filmography, articles, interviews, as well as audio and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basehart,_Richard", "url": "http://www.richardbasehart.com/"} +{"d:Title": "Internet Movie Database: Richard Basehart", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basehart,_Richard", "url": "http://www.imdb.com/name/nm0000865/"} +{"d:Title": "Find A Grave: Richard Basehart", "d:Description": "Biographical details, an exact cause of death, the location of his grave, pictures and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basehart,_Richard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1840"} +{"d:Title": "Yahoo! Movies: Richard Basehart", "d:Description": "Contains biography, filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basehart,_Richard", "url": "http://movies.yahoo.com/person/richard-basehart/"} +{"d:Title": "Beauty of Kim Basinger website", "d:Description": "Biography, contact address, awards, filmography, pictures, wallpapers, screen savers, interviews and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basinger,_Kim", "url": "http://kimbasing3r.freeservers.com/"} +{"d:Title": "Thespian Net presents Kim Basinger", "d:Description": "Biography, filmography, links, and facilities for fans to submit photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basinger,_Kim", "url": "http://www.thespiannet.com/actresses/B/basinger_kim/"} +{"d:Title": "Internet Movie Database: Kim Basinger", "d:Description": "Includes filmography, mini biography, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basinger,_Kim", "url": "http://www.imdb.com/name/nm0000107/"} +{"d:Title": "Actress Kim Basinger", "d:Description": "Pictures, box office information, vital statistics, links and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basinger,_Kim", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?kimb"} +{"d:Title": "Yahoo! Movies: Kim Basinger", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Basinger,_Kim", "url": "http://movies.yahoo.com/person/kim-basinger/"} +{"d:Title": "Internet Movie Database: Ben Bass", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bass,_Ben", "url": "http://www.imdb.com/name/nm0060029/"} +{"d:Title": "StarLink Celebrities - Angela Basset", "d:Description": "1993 Oscar nomination for Best Actress, portraying Tina Turner in \"What's Love Got To Do With It.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bassett,_Angela", "url": "http://www.kcweb.com/super/a_basset.htm"} +{"d:Title": "Thespian Net Presents Angela Bassett", "d:Description": "Filmography, biography and links, with facilities allowing fans to contribute information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bassett,_Angela", "url": "http://www.thespiannet.com/actresses/B/bassett_angela/"} +{"d:Title": "Internet Movie Database: Angela Bassett", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bassett,_Angela", "url": "http://www.imdb.com/name/nm0000291/"} +{"d:Title": "Yahoo! Movies: Angela Bassett", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bassett,_Angela", "url": "http://movies.yahoo.com/person/angela-bassett/"} +{"d:Title": "Actress Angela Bassett", "d:Description": "Box office information on all her movies, links, pictures, and message area.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bassett,_Angela", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?abassett"} +{"d:Title": "Yahoo! Movies: Jason Bateman", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bateman,_Jason", "url": "http://movies.yahoo.com/person/jason-bateman/"} +{"d:Title": "IMDb: Jason Bateman", "d:Description": "Includes biography, photo gallery, trivia and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bateman,_Jason", "url": "http://www.imdb.com/name/nm0000867/"} +{"d:Title": "Yahoo! Movies: Justine Bateman", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bateman,_Justine", "url": "http://movies.yahoo.com/person/justine-bateman/"} +{"d:Title": "IMDb: Justine Bateman", "d:Description": "Filmography, biography, pictures, links, television schedule , articles and interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bateman,_Justine", "url": "http://www.imdb.com/name/nm0000868/"} +{"d:Title": "Yahoo! Movies: Alan Bates", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bates,_Alan", "url": "http://movies.yahoo.com/person/alan-bates/"} +{"d:Title": "Internet Movie Database: Alan Bates", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bates,_Alan", "url": "http://www.imdb.com/name/nm0000869/"} +{"d:Title": "Randall Batinkoff's Place", "d:Description": "Biography, filmography, images and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Batinkoff,_Randall", "url": "http://members.tripod.com/~Constance_2/randall.html"} +{"d:Title": "Internet Movie Database: Randall Batinkoff", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Batinkoff,_Randall", "url": "http://www.imdb.com/name/nm0000871/"} +{"d:Title": "Yahoo! Movies: Randall Batinkoff", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Batinkoff,_Randall", "url": "http://movies.yahoo.com/person/randall-batinkoff/"} +{"d:Title": "Internet Movie Database: Patrick Bauchau", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bauchau,_Patrick", "url": "http://www.imdb.com/name/nm0000872/"} +{"d:Title": "Yahoo! Movies: Patrick Bauchau", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bauchau,_Patrick", "url": "http://movies.yahoo.com/person/patrick-bauchau/"} +{"d:Title": "Patrick Bauchau - The Official Website", "d:Description": "With pictures, sounds, biography, filmography, e-mail list, and postcards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bauchau,_Patrick", "url": "https://www.facebook.com/pbauchau"} +{"d:Title": "Yahoo! Movies: Steven Bauer", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bauer,_Steven", "url": "http://movies.yahoo.com/person/steven-bauer/"} +{"d:Title": "Internet Movie Database", "d:Description": "Complete list of movies and television appearances", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bauer,_Steven", "url": "http://www.imdb.com/name/nm0000874/"} +{"d:Title": "TV.com - Steven Bauer", "d:Description": "Biography and detailed list of television appearances", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bauer,_Steven", "url": "http://www.tv.com/people/steven-bauer/"} +{"d:Title": "Internet Movie Database: Frances Bavier", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bavier,_Frances", "url": "http://www.imdb.com/name/nm0062592/"} +{"d:Title": "Find A Grave - Frances \"Aunt Bee\" Bavier", "d:Description": "Provides date of death, burial location, brief biography, photo, tombstone image and visitor notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bavier,_Frances", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1457"} +{"d:Title": "Yahoo! Movies: Frances Bavier", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bavier,_Frances", "url": "http://movies.yahoo.com/person/frances-bavier/"} +{"d:Title": "BBC News: Wedding clanger ends in Friends cliffhanger", "d:Description": "Ross and Emily (Helen Baxendale) walk up the aisle but will they wed? UK Friends fans are left on tenterhooks.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxendale,_Helen", "url": "http://news.bbc.co.uk/1/hi/103134.stm"} +{"d:Title": "In Appreciation of Helen Baxendale", "d:Description": "E-mail discussion group for fans. [Registration required].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxendale,_Helen", "url": "http://groups.yahoo.com/group/In_appreciation_of_helen_baxendale/"} +{"d:Title": "Internet Movie Database: Helen Baxendale", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxendale,_Helen", "url": "http://www.imdb.com/name/nm0062636/"} +{"d:Title": "Anne Baxter Accolade", "d:Description": "Biography, wallpapers, filmography, pictures, poll, audio and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Anne", "url": "http://www.meredy.com/annebaxter/"} +{"d:Title": "Wikipedia: Anne Baxter", "d:Description": "Provides a concise biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Anne", "url": "http://en.wikipedia.org/wiki/Anne_Baxter"} +{"d:Title": "Find A Grave - Anne Baxter", "d:Description": "The location of her grave, an exact cause of death, pictures, and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Anne", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4039&pt=Anne+Baxter"} +{"d:Title": "Internet Movie Database: Anne Baxter", "d:Description": "Filmography, biography, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Anne", "url": "http://www.imdb.com/name/nm0000879/"} +{"d:Title": "Yahoo! Movies: Anne Baxter", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Anne", "url": "http://movies.yahoo.com/person/anne-baxter/"} +{"d:Title": "Internet Movie Database: Lynsey Baxter", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Lynsey", "url": "http://www.imdb.com/name/nm0062785/"} +{"d:Title": "Yahoo! Movies: Lynsey Baxter", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Lynsey", "url": "http://movies.yahoo.com/person/lynsey-baxter-2/"} +{"d:Title": "TV.com: Lynsey Baxter", "d:Description": "Biography, filmography and gossip.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Lynsey", "url": "http://www.tv.com/people/lynsey-baxter/"} +{"d:Title": "Meredy's Warner Baxter Trivia Mania", "d:Description": "Questions with answers and a few pictures of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Warner", "url": "http://www.meredy.com/wbaxtriv.htm"} +{"d:Title": "Internet Movie Database: Warner Baxter", "d:Description": "Includes biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Warner", "url": "http://www.imdb.com/name/nm0062828/"} +{"d:Title": "Yahoo! Movies: Warner Baxter", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Baxter,_Warner", "url": "http://movies.yahoo.com/person/warner-baxter/"} +{"d:Title": "Yahoo! Movies: Jordan Bayne", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bayne,_Jordan", "url": "http://movies.yahoo.com/person/jordan-bayne/"} +{"d:Title": "Internet Movie Database: Jordan Bayne", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bayne,_Jordan", "url": "http://www.imdb.com/name/nm0063142/"} +{"d:Title": "Internet Movie Database: Stephanie Beacham", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beacham,_Stephanie", "url": "http://www.imdb.com/name/nm0000883/"} +{"d:Title": "Yahoo! Movies: Stephanie Beacham", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beacham,_Stephanie", "url": "http://movies.yahoo.com/person/stephanie-beacham/"} +{"d:Title": "IMDb: Jennifer Beals", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beals,_Jennifer", "url": "http://www.imdb.com/name/nm0000884/"} +{"d:Title": "The Compleat Sean Bean", "d:Description": "Biography, filmography, rumours, links and pictures", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bean,_Sean", "url": "http://www.compleatseanbean.com/"} +{"d:Title": "Poems to Sean", "d:Description": "Several poems dedicated to the british actor, Sean Bean.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bean,_Sean", "url": "http://seanpoems.tripod.com/"} +{"d:Title": "Pandora's Sean Bean Page", "d:Description": "Filmography, facts and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bean,_Sean", "url": "http://www.angelfire.com/mi4/pandorasbox/eggs/seanbean.html"} +{"d:Title": "Sean Bean", "d:Description": "Early life, career, image, acting style, personal life, awards and honours and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bean,_Sean", "url": "http://en.wikipedia.org/wiki/Sean_Bean"} +{"d:Title": "Yahoo! Movies: Sean Bean", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bean,_Sean", "url": "http://movies.yahoo.com/person/sean-bean/"} +{"d:Title": "TalkTalk: Sean Bean", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bean,_Sean", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/sean-bean/biography/113"} +{"d:Title": "IMDb: Sean Bean", "d:Description": "Features pictures, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bean,_Sean", "url": "http://www.imdb.com/name/nm0000293/"} +{"d:Title": "Emmanuelle Beart, a Biography", "d:Description": "Brief fan tribute offering biographical information and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/B\u00e9art,_Emmanuelle", "url": "http://www.hcs.harvard.edu/~skim/people/eb/"} +{"d:Title": "IMDb.com: Emmanuelle Beart", "d:Description": "Emmanuelle Beart's biography and filmography at the Internet movie database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/B\u00e9art,_Emmanuelle", "url": "http://www.imdb.com/name/nm0000322/"} +{"d:Title": "Yahoo! Movies: Emmanuelle Beart", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/B\u00e9art,_Emmanuelle", "url": "http://movies.yahoo.com/person/emmanuelle-beart/"} +{"d:Title": "Yahoo! Groups: nedbeattyfanclub", "d:Description": "Fan club with mailing list and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beatty,_Ned", "url": "http://groups.yahoo.com/group/nedbeattyfanclub/"} +{"d:Title": "Internet Movie Database: Ned Beatty", "d:Description": "Contains filmography, biography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beatty,_Ned", "url": "http://www.imdb.com/name/nm0000885/"} +{"d:Title": "Yahoo! Movies: Ned Beatty", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beatty,_Ned", "url": "http://movies.yahoo.com/person/ned-beatty/"} +{"d:Title": "ADA Valerie Haywood", "d:Description": "Picture and brief profile of Beauvais's NYPD Blue character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beauvais,_Garcelle", "url": "http://www.stwing.upenn.edu/~sepinwal/haywood.txt.html"} +{"d:Title": "Yahoo! Movies: Garcelle Beauvais", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beauvais,_Garcelle", "url": "http://movies.yahoo.com/person/garcelle-beauvais/"} +{"d:Title": "IMDb: Garcelle Beauvais", "d:Description": "Filmography, photos, and profile information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beauvais,_Garcelle", "url": "http://www.imdb.com/name/nm0004731/"} +{"d:Title": "The Unofficial Tony Becker Fan Site", "d:Description": "Biography, filmography, images and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Becker,_Tony", "url": "http://www.angelfire.com/tv2/tonybeckerfansite/"} +{"d:Title": "Internet Movie Database: Tony Becker", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Becker,_Tony", "url": "http://www.imdb.com/name/nm0065604/"} +{"d:Title": "Yahoo! Movies: Kate Beckinsale", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beckinsale,_Kate", "url": "http://movies.yahoo.com/person/kate-beckinsale/"} +{"d:Title": "TalkTalk: Kate Beckinsale", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beckinsale,_Kate", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/kate-beckinsale/biography/66"} +{"d:Title": "IMDb: Kate Beckinsale", "d:Description": "Contains biography, filmography, awards, news articles, and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beckinsale,_Kate", "url": "http://www.imdb.com/name/nm0000295/"} +{"d:Title": "Yahoo! Movies: Richard Beckinsale", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beckinsale,_Richard", "url": "http://movies.yahoo.com/person/richard-beckinsale/"} +{"d:Title": "IMDb: Richard Beckinsale", "d:Description": "Biography, pictures, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beckinsale,_Richard", "url": "http://www.imdb.com/name/nm0065764/"} +{"d:Title": "Internet Movie Database: Kabir Bedi", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bedi,_Kabir", "url": "http://www.imdb.com/name/nm0001934/"} +{"d:Title": "Yahoo! Movies: Kabir Bedi", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bedi,_Kabir", "url": "http://movies.yahoo.com/person/kabir-bedi/"} +{"d:Title": "Max Factor: Max Beesley Online", "d:Description": "Dedicated to British actor with biography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beesley,_Max", "url": "http://www.angelfire.com/celeb/maxbeesley/"} +{"d:Title": "Internet Movie Database: Max Beesley", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beesley,_Max", "url": "http://www.imdb.com/name/nm0066586/"} +{"d:Title": "Yahoo! Movies: Max Beesley", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beesley,_Max", "url": "http://movies.yahoo.com/person/max-beesley/"} +{"d:Title": "Yahoo! Movies: Jason Beghe", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beghe,_Jason", "url": "http://movies.yahoo.com/person/jason-beghe/"} +{"d:Title": "TVGuide.com: Jason Beghe", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beghe,_Jason", "url": "http://www.tvguide.com/celebrities/jason-beghe/155958"} +{"d:Title": "IMDb.com: Jason Beghe", "d:Description": "Filmography, biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beghe,_Jason", "url": "http://www.imdb.com/name/nm0000892/"} +{"d:Title": "TV.com : Jason Beghe", "d:Description": "Filmography, news, biographical information, links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beghe,_Jason", "url": "http://www.tv.com/people/jason-beghe/"} +{"d:Title": "Unofficial Jason Behr Website", "d:Description": "Personal dedication includes everything from biography and filmography to news, articles, interviews and multimedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Behr,_Jason", "url": "http://www.angelfire.com/ny3/jasonbehr/"} +{"d:Title": "Jason Behr @ the CrashDown Cafe", "d:Description": "Biography, pictures, links and polls.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Behr,_Jason", "url": "http://sonya7599.tripod.com/"} +{"d:Title": "All About Jason Behr", "d:Description": "Lots of pictures, postcards, quizzes, links, video, Shiri Appleby.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Behr,_Jason", "url": "http://www.angelfire.com/in2/JasonBehr/index.html"} +{"d:Title": "Internet Movie Database: Jason Behr", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Behr,_Jason", "url": "http://www.imdb.com/name/nm0004736/"} +{"d:Title": "Yahoo! Movies: Jason Behr", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Behr,_Jason", "url": "http://movies.yahoo.com/person/jason-behr/"} +{"d:Title": "AskMen: Catherine Bell", "d:Description": "Biography and commentary with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Catherine", "url": "http://www.askmen.com/celebs/women/actress_60/97_catherine_bell.html"} +{"d:Title": "Yahoo! Movies: Catherine Bell", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Catherine", "url": "http://movies.yahoo.com/person/catherine-bell/"} +{"d:Title": "Catherine Bell's Official Website.", "d:Description": "Includes biography, personal comment, mailing list, message board, pictures and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Catherine", "url": "http://catherinebell.com/"} +{"d:Title": "IMDb: Catherine Bell", "d:Description": "Includes filmography, profile, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Catherine", "url": "http://www.imdb.com/name/nm0004738/"} +{"d:Title": "The Ultimate Jamie Bell Fansite", "d:Description": "Contains biographical information, pictures, links and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Jamie", "url": "http://www.angelfire.com/celeb/jamiebell0/index.html"} +{"d:Title": "Internet Movie Database: Jamie Bell", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Jamie", "url": "http://www.imdb.com/name/nm0068260/"} +{"d:Title": "Yahoo! Movies: Jamie Bell", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Jamie", "url": "http://movies.yahoo.com/person/jamie-bell/"} +{"d:Title": "Jamie Bell, Nicholas Nickelby Interview", "d:Description": "The actor discusses the appeal of the role, working with prominent cast members, the impact director Stephen Daldry had upon his career and the physical requirements of performing as a crippled boy.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Jamie", "url": "http://www.futuremovies.co.uk/filmmaking/jamie-bell/press"} +{"d:Title": "Kristen-Bell.net", "d:Description": "News articles, links, biography, icons, fan art, and wallpapers. Gallery of images including screen captures, live events, magazine scans, and promotional photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Kristen", "url": "http://kristen-bell.net/"} +{"d:Title": "IMDB.com: Kristen Bell", "d:Description": "Filmography, biography, forum, links and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Kristen", "url": "http://www.imdb.com/name/nm0068338/"} +{"d:Title": "Kristen Bell's a Tough Act to Follow", "d:Description": "Article on her role in Veronica Mars and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Kristen", "url": "http://www.ivillage.com/kristen-bells-tough-act-follow-0/1-a-33703"} +{"d:Title": "Yahoo! Movies: Kristen Bell", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Kristen", "url": "http://movies.yahoo.com/person/kristen-bell/"} +{"d:Title": "Internet Broadway Database: Kristen Bell", "d:Description": "Official Broadway credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Kristen", "url": "https://www.ibdb.com/broadway-cast-staff/kristen-bell-82566"} +{"d:Title": "Yahoo! Movies: Lucy Bell", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Lucy", "url": "http://movies.yahoo.com/person/lucy-bell/"} +{"d:Title": "IMDB - Lucy Bell", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bell,_Lucy", "url": "http://www.imdb.com/name/nm0068364/"} +{"d:Title": "Camilla Belle FanPage", "d:Description": "Includes a filmography, links and screen captures. [In Chinese, English and Japanese].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belle,_Camilla", "url": "http://camillabelle.himegimi.jp/"} +{"d:Title": "IMDb: Camilla Belle", "d:Description": "The Internet Movie Database offers movie and TV credits, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belle,_Camilla", "url": "http://www.imdb.com/name/nm0004741/"} +{"d:Title": "Yahoo! Movies: Camilla Belle", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belle,_Camilla", "url": "http://movies.yahoo.com/person/camilla-belle/"} +{"d:Title": "Maria Bello - A Fan Tribute Site", "d:Description": "Includes news, biography, images, video stills, articles, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bello,_Maria", "url": "http://mariabello.tripod.com/"} +{"d:Title": "Yahoo! Movies: Maria Bello", "d:Description": "Biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bello,_Maria", "url": "http://movies.yahoo.com/person/maria-bello/"} +{"d:Title": "IMDb: Maria Bello", "d:Description": "The Internet Movie Database includes filmography, biography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bello,_Maria", "url": "http://www.imdb.com/name/nm0004742/"} +{"d:Title": "Internet Movie Database: Jean-Paul Belmondo", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belmondo,_Jean-Paul", "url": "http://www.imdb.com/name/nm0000901/"} +{"d:Title": "Yahoo! Movies: Jean-Paul Belmondo", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belmondo,_Jean-Paul", "url": "http://movies.yahoo.com/person/jeanpaul-belmondo/"} +{"d:Title": "Official Robert Beltran Website", "d:Description": "ORB - the official site, with personal insights from Robert Beltran on his tv and film appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beltran,_Robert", "url": "http://www.robertbeltran.com/"} +{"d:Title": "Unofficial Robert Beltran Picture Site", "d:Description": "Hosts a selection of movie stills, screen captures and convention photographs with a biography, filmography, interviews and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beltran,_Robert", "url": "http://realtach01.tripod.com/unofficialrbsite/"} +{"d:Title": "Internet Movie Database: Robert Beltran", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beltran,_Robert", "url": "http://www.imdb.com/name/nm0000296/"} +{"d:Title": "StarTrek.com - Robert Beltran", "d:Description": "Biography, character profile, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beltran,_Robert", "url": "http://www.startrek.com/database_article/beltran"} +{"d:Title": "James Belushi Site, The", "d:Description": "Biography, contact address, links, filmography, pictures and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belushi,_James", "url": "http://www.jimbelushi.ws/"} +{"d:Title": "IMDb: James Belushi", "d:Description": "The Internet Movie Database offers vital statistics, trivia, TV and movie credits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belushi,_James", "url": "http://www.imdb.com/name/nm0000902/"} +{"d:Title": "Yahoo! Movies: James Belushi", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belushi,_James", "url": "http://movies.yahoo.com/person/james-belushi/"} +{"d:Title": "TVGuide.com: James Belushi", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belushi,_James", "url": "http://www.tvguide.com/celebrities/james-belushi/155293"} +{"d:Title": "Box Office Data for James Belushi", "d:Description": "A page that summarizes box office statistics for movies James Belushi appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belushi,_James", "url": "http://www.the-numbers.com/person/910401-James-Belushi"} +{"d:Title": "Canoe: Jim Belushi", "d:Description": "The Canadian Online Explorer's collection of articles on the life and career of James Belushi.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Belushi,_James", "url": "http://jam.canoe.com/Movies/Artists/B/Belushi_Jim/"} +{"d:Title": "Hobbes-TheVisibleMan", "d:Description": "Mailing list for discussion of Paul Ben-Victor and, in particular, his work on The Invisible Man.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ben-Victor,_Paul", "url": "http://groups.yahoo.com/group/Hobbes-TheVisibleMan/"} +{"d:Title": "Yahoo! Movies: Paul Ben-Victor", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ben-Victor,_Paul", "url": "http://movies.yahoo.com/person/paul-benvictor/"} +{"d:Title": "Internet Movie Database: Paul Ben-Victor", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Ben-Victor,_Paul", "url": "http://www.imdb.com/name/nm0070115/"} +{"d:Title": "Find a Grave: Bea Benaderet", "d:Description": "Brief biography, photos, and memorial comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benaderet,_Bea", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2357"} +{"d:Title": "Find A Death", "d:Description": "Death Certificate for actress Bea Benaderet.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benaderet,_Bea", "url": "http://findadeath.com/Decesed/k/Nancy%20Kulp%20and%20the%20Beverly%20Hillbillies/Bea%20DC.JPG"} +{"d:Title": "Voice Chasers: Benaderet, Bea", "d:Description": "Voiceography listing and trivia concerning the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benaderet,_Bea", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1099"} +{"d:Title": "Internet Movie Database: Bea Benaderet", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benaderet,_Bea", "url": "http://www.imdb.com/name/nm0070153/"} +{"d:Title": "TV.com: Bea Benaderet", "d:Description": "Acting roles and appearances, voice credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benaderet,_Bea", "url": "http://www.tv.com/people/bea-benaderet/"} +{"d:Title": "Lukeson Lounge", "d:Description": "Fan site about Anthony Geary and Maurice Benard, \"General Hospital\" stars. Includes photo gallery, trivia, and transcripts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benard,_Maurice", "url": "http://www.angelfire.com/ma/LazyLobsterPub/lukeson.html"} +{"d:Title": "Internet Movie Database: Maurice Benard", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benard,_Maurice", "url": "http://www.imdb.com/name/nm0001939/"} +{"d:Title": "Internet Movie Database: Andrea Bendewald", "d:Description": "Includes filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bendewald,_Andrea", "url": "http://www.imdb.com/name/nm0070541/"} +{"d:Title": "Yahoo! Movies: Andrea Bendewald", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bendewald,_Andrea", "url": "http://movies.yahoo.com/person/andrea-bendewald/"} +{"d:Title": "Sonali's Island", "d:Description": "Profile, filmography, pictures and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bendre,_Sonali", "url": "http://www.angelfire.com/celeb2/sonali/"} +{"d:Title": "Sonali Bendre Pictures Gallery", "d:Description": "Pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bendre,_Sonali", "url": "http://www.bollywoodpicturesgallery.com/sonali.htm"} +{"d:Title": "Internet Movie Database: Sonali Bendre", "d:Description": "Contains filmography and mini-biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bendre,_Sonali", "url": "http://www.imdb.com/name/nm0007114/"} +{"d:Title": "Yahoo! Movies: Sonali Bendre", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bendre,_Sonali", "url": "http://movies.yahoo.com/person/sonali-bendre/"} +{"d:Title": "BollyLove By Azgar Khan", "d:Description": "Biography, pictures and interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bendre,_Sonali", "url": "http://azgar.tripod.com/actresses/sonali.htm"} +{"d:Title": "Internet Movie Database: Paulo Benedeti", "d:Description": "Contains filmography, trivia and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedeti,_Paulo", "url": "http://www.imdb.com/name/nm0004745/"} +{"d:Title": "Yahoo! Movies: Paulo Benedeti", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedeti,_Paulo", "url": "http://movies.yahoo.com/person/paulo-benedeti/"} +{"d:Title": "Dirk Benedict Internet Fanclub", "d:Description": "Background information, photos, filmography, message board, chat room, and mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedict,_Dirk", "url": "http://www.angelfire.com/in2/DirkBenedict/"} +{"d:Title": "Internet Movie Database: Dirk Benedict", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedict,_Dirk", "url": "http://www.imdb.com/name/nm0070767/"} +{"d:Title": "Yahoo Groups: Dirk Benedict", "d:Description": "Mailing list for fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedict,_Dirk", "url": "http://movies.groups.yahoo.com/group/DirkBenedict/"} +{"d:Title": "Dirk Benedict Central", "d:Description": "Official site. Features background information, photos, information about his screenplays and autobiographical books, excerpts from Kamikaze Cowboy II, and a forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedict,_Dirk", "url": "http://www.dirkbenedictcentral.com/"} +{"d:Title": "Yahoo! Movies: Dirk Benedict", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedict,_Dirk", "url": "http://movies.yahoo.com/person/dirk-benedict/"} +{"d:Title": "Dirk Benedict Webring", "d:Description": "Web ring for sites about Dirk Benedict or the shows he appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benedict,_Dirk", "url": "http://www.webring.org/hub?ring=dirkring"} +{"d:Title": "Internet Movie Database: Annette Bening", "d:Description": "Includes filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bening,_Annette", "url": "http://www.imdb.com/name/nm0000906/"} +{"d:Title": "Yahoo! Movies: Annette Bening", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bening,_Annette", "url": "http://movies.yahoo.com/person/annette-bening/"} +{"d:Title": "Actress Annette Bening", "d:Description": "Includes vital statistics, pictures, box office information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bening,_Annette", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?abening"} +{"d:Title": "Thespian Net Presents Annette Bening", "d:Description": "Includes her biography, movie and TV credits, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bening,_Annette", "url": "http://www.thespiannet.com/actresses/B/bening_annette/annette_bening.shtml"} +{"d:Title": "Internet Movie Database: Krystal Benn", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benn,_Krystal", "url": "http://www.imdb.com/name/nm0071466/"} +{"d:Title": "Yahoo! Movies: Krystal Benn", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benn,_Krystal", "url": "http://movies.yahoo.com/person/krystal-benn/"} +{"d:Title": "TVGuide.com: Joan Bennett", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Joan", "url": "http://www.tvguide.com/celebrities/joan-bennett/157275"} +{"d:Title": "IMDb: Joan Bennett", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Joan", "url": "http://www.imdb.com/name/nm0000910/"} +{"d:Title": "Wikipedia: Joan Bennett", "d:Description": "Encyclopedia article about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Joan", "url": "http://en.wikipedia.org/wiki/Joan_Bennett"} +{"d:Title": "IMDb: Jonathan Bennett", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Jonathan", "url": "http://www.imdb.com/name/nm1057932/"} +{"d:Title": "Internet Movie Database: Nigel Bennett", "d:Description": "Contains filmography and mini-biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Nigel", "url": "http://www.imdb.com/name/nm0000911/"} +{"d:Title": "Yahoo! Movies: Nigel Bennett", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Nigel", "url": "http://movies.yahoo.com/person/nigel-bennett/"} +{"d:Title": "Felix Fans Discussion Group", "d:Description": "Mailing list for fans of Zachary Bennett. Yahoo! ID and membership required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Zachary", "url": "http://groups.yahoo.com/group/FelixFans"} +{"d:Title": "Zach Bennett Discussion Group", "d:Description": "Mailing list for discussion of Zachary's film and television career. Yahoo! ID and membership required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Zachary", "url": "http://groups.yahoo.com/group/Zach_Bennett"} +{"d:Title": "The Fans of Zach Bennett", "d:Description": "A Yahoo! club for discussion of Zachary's professional life. Yahoo! ID and membership required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Zachary", "url": "http://groups.yahoo.com/group/thefansofzachbennett/"} +{"d:Title": "Forever Zach", "d:Description": "Biography, interviews, filmography with reviews, images, links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Zachary", "url": "http://foreverzach.net/"} +{"d:Title": "IMDb.com - Zachary Bennett", "d:Description": "Filmography for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bennett,_Zachary", "url": "http://www.imdb.com/name/nm0072124/"} +{"d:Title": "Jack Benny's Hollywood", "d:Description": "Los Angeles locales related to Jack Benny's life and death.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://www.seeing-stars.com/StarIndexes/JackBenny.shtml"} +{"d:Title": "Jack Benny's grave", "d:Description": "A photo of Jack Benny's grave, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://www.seeing-stars.com/ImagePages/JackBennysGravePhoto.shtml"} +{"d:Title": "Jack Benny Mp3s of the Week", "d:Description": "Radio show broadcasts in MP3 format.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://jackbennymp3s.tripod.com/"} +{"d:Title": "The Jack Benny Program", "d:Description": "Introductory guide to \"The Jack Benny Program\" features photos and a look at the show's simple premise and some of its characters.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://jtec.freeservers.com/entertainment/radio/benny.htm"} +{"d:Title": "Comedy-O-Rama: Jack Benny", "d:Description": "1947 Newsweek article.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://www.comedyorama.com/great/jack/benny.htm"} +{"d:Title": "International Jack Benny Fan Club", "d:Description": "Home page of the International Jack Benny Fan Club. Free membership. Publisher of the Jack Benny Times newsletter. Information about tape trading, IJBFC show library, sources for obtaining programs, classified ads for collectors and discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://www.jackbenny.org/"} +{"d:Title": "Jack Benny's footprints in cement", "d:Description": "Photo of Jack Benny's handprints and footprints in cement at Mann's Chinese Theatre in Hollywood.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://seeing-stars.com/ImagePages/ChineseForecourtPhoto(JackBenny).shtml"} +{"d:Title": "The Jack Benny Show", "d:Description": "Trivia, description, episode and cast member information for the 1950s Jack Benny television show.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://www.crazyabouttv.com/jackbennytv.html"} +{"d:Title": "Internet Movie Database: Jack Benny", "d:Description": "Contains filmography, trivia and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://www.imdb.com/name/nm0000912/"} +{"d:Title": "Yahoo! Movies: Jack Benny", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://movies.yahoo.com/person/jack-benny/"} +{"d:Title": "Well! The Jack Benny Page", "d:Description": "News, merchandise reviews, biographies and memorabilia related to Jacks' radio show.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benny,_Jack", "url": "http://petrafan.tripod.com/default.htm"} +{"d:Title": "Amazing Amber", "d:Description": "Biography, filmography, articles, interviews, contact address, pictures, wallpapers, software skins, audio and video clips and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benson,_Amber", "url": "http://www.amazingamber.co.uk/"} +{"d:Title": "Yahoo! Movies: Amber Benson", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benson,_Amber", "url": "http://movies.yahoo.com/person/amber-benson/"} +{"d:Title": "Internet Movie Database: Amber Benson", "d:Description": "Contains filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benson,_Amber", "url": "http://www.imdb.com/name/nm0072435/"} +{"d:Title": "The Wonderful Wes Bentley", "d:Description": "Image gallery, biography, filmography and greeting cards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bentley,_Wes", "url": "http://www.angelfire.com/stars/wesbentley/"} +{"d:Title": "Internet Movie Database: Wes Bentley", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bentley,_Wes", "url": "http://www.imdb.com/name/nm0004747/"} +{"d:Title": "Rotten Tomatoes: Wes Bentley", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bentley,_Wes", "url": "http://www.rottentomatoes.com/celebrity/wes_bentley/"} +{"d:Title": "Yahoo! Movies: Wes Bentley", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bentley,_Wes", "url": "http://movies.yahoo.com/person/wes-bentley/"} +{"d:Title": "Internet Movie Database: Julie Benz", "d:Description": "Contains filmography, trivia and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benz,_Julie", "url": "http://www.imdb.com/name/nm0004748/"} +{"d:Title": "Yahoo! Movies: Julie Benz", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benz,_Julie", "url": "http://movies.yahoo.com/person/julie-benz/"} +{"d:Title": "IMDb: Daniel Benzali", "d:Description": "Filmography, biography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benzali,_Daniel", "url": "http://imdb.com/name/nm0073137/"} +{"d:Title": "TV.com: Daniel Benzali", "d:Description": "Biography, roles and appearances, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Benzali,_Daniel", "url": "http://www.tv.com/people/daniel-benzali/"} +{"d:Title": "Internet Movie Database: Tom Berenger", "d:Description": "Includes filmography, biography, photographs and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berenger,_Tom", "url": "http://www.imdb.com/name/nm0000297/"} +{"d:Title": "Yahoo! Movies: Tom Berenger", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berenger,_Tom", "url": "http://movies.yahoo.com/person/tom-berenger/"} +{"d:Title": "Internet Movie Database: Justin Berfield", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berfield,_Justin", "url": "http://www.imdb.com/name/nm0073678/"} +{"d:Title": "Yahoo! Movies: Justin Berfield", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berfield,_Justin", "url": "http://movies.yahoo.com/person/justin-berfield/"} +{"d:Title": "Jewish Virtual Library - Gertrude Berg", "d:Description": "Biographical essay by Seymour \"Sy\" Brody.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berg,_Gertrude", "url": "http://www.jewishvirtuallibrary.org/jsource/biography/berg.html"} +{"d:Title": "Find A Grave - Gertrude Berg", "d:Description": "Provides date of death, photo and visitor notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berg,_Gertrude", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=83"} +{"d:Title": "Internet Movie Database: Gertrude Berg", "d:Description": "Contains filmography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berg,_Gertrude", "url": "http://www.imdb.com/name/nm0073764/"} +{"d:Title": "TV.com - Gertrude Berg", "d:Description": "Biographical information, acting appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berg,_Gertrude", "url": "http://www.tv.com/people/gertrude-berg/"} +{"d:Title": "Internet Broadway Database - Gertrude Berg", "d:Description": "Credits, production information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berg,_Gertrude", "url": "https://www.ibdb.com/broadway-cast-staff/gertrude-berg-5896"} +{"d:Title": "Internet Movie Database: Candice Bergen", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergen,_Candice", "url": "http://www.imdb.com/name/nm0000298/"} +{"d:Title": "Yahoo! Movies: Candice Bergen", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergen,_Candice", "url": "http://movies.yahoo.com/person/candice-bergen/"} +{"d:Title": "Thespian Net: Candice Bergen", "d:Description": "Biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergen,_Candice", "url": "http://www.thespiannet.com/actresses/B/bergen_candice/candice_bergen.shtml"} +{"d:Title": "Polly Bergen - Madame President", "d:Description": "A salute to classic actress, singer and businesswoman in words, numerous rare images and sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergen,_Polly", "url": "http://www.meredy.com/pollybergen/"} +{"d:Title": "Internet Movie Database: Polly Bergen", "d:Description": "Filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergen,_Polly", "url": "http://www.imdb.com/name/nm0000917/"} +{"d:Title": "Yahoo! Movies: Polly Bergen", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergen,_Polly", "url": "http://movies.yahoo.com/person/polly-bergen/"} +{"d:Title": "Internet Movie Database: Emily Bergl", "d:Description": "Contains filmography, trivia and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergl,_Emily", "url": "http://www.imdb.com/name/nm0004749/"} +{"d:Title": "Yahoo! Movies: Emily Bergl", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergl,_Emily", "url": "http://movies.yahoo.com/person/emily-bergl/"} +{"d:Title": "Yahoo! Groups : Ingrid Bergman International", "d:Description": "E-mail discussion forum for devotees of the actress. [Registration required].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergman,_Ingrid", "url": "http://groups.yahoo.com/group/ingridbergmaninternational/"} +{"d:Title": "Ingrid Bergman: Queen of the Silver Screen", "d:Description": "Offers biography, quotes, trivia, photo gallery, and information on related merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergman,_Ingrid", "url": "http://www.ingridbergman.com/"} +{"d:Title": "Rotten Tomatoes: Ingrid Bergman", "d:Description": "Filmography, pictures, news items and a list of awards won by the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergman,_Ingrid", "url": "http://www.rottentomatoes.com/celebrity/ingrid_bergman/"} +{"d:Title": "Yahoo! Movies: Ingrid Bergman", "d:Description": "Biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergman,_Ingrid", "url": "http://movies.yahoo.com/person/ingrid-bergman/"} +{"d:Title": "IMDb: Ingrid Bergman", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bergman,_Ingrid", "url": "http://www.imdb.com/name/nm0000006/"} +{"d:Title": "BBC Films: Elizabeth Berkley", "d:Description": "David Michael's brief interview with the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berkley,_Elizabeth", "url": "http://www.bbc.co.uk/films/2003/08/08/elizabeth_berkley_roger_dodger_interview.shtml"} +{"d:Title": "Yahoo! Movies: Elizabeth Berkley", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berkley,_Elizabeth", "url": "http://movies.yahoo.com/person/elizabeth-berkley/"} +{"d:Title": "IMDb: Elizabeth Berkley", "d:Description": "Includes filmography, awards, mini-biography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berkley,_Elizabeth", "url": "http://www.imdb.com/name/nm0000924/"} +{"d:Title": "Yahoo! Movies: Gael Garcia Bernal", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernal,_Gael_Garcia", "url": "http://movies.yahoo.com/person/gael-garcia-bernal/"} +{"d:Title": "IMDb: Gael Garc\u00eda Bernal", "d:Description": "Provides filmography, biography, trivia, photos and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernal,_Gael_Garcia", "url": "http://www.imdb.com/name/nm0305558/"} +{"d:Title": "Crystal Bernard Spreads Wings in New Direction", "d:Description": "A CNN report on the actress, as she expands her career to include pop music.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernard,_Crystal", "url": "http://www.cnn.com/SHOWBIZ/Music/9905/07/crystal.bernard/"} +{"d:Title": "Yahoo! Movies: Crystal Bernard", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernard,_Crystal", "url": "http://movies.yahoo.com/person/crystal-bernard/"} +{"d:Title": "IMDb: Crystal Bernard", "d:Description": "Filmography, television roles, photos, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernard,_Crystal", "url": "http://www.imdb.com/name/nm0004751/"} +{"d:Title": "A Touch of Crystal", "d:Description": "Biography, featuring Bernard's roles, from Happy Days to Wings. Includes reviews and picture galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernard,_Crystal", "url": "http://www.crystal-bernard.info/"} +{"d:Title": "All Music Guide: Crystal Bernard", "d:Description": "Discography with reviews, and profile of her musical career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernard,_Crystal", "url": "http://www.allmusic.com/artist/crystal-bernard-mn0000783286"} +{"d:Title": "Crystal Bernard", "d:Description": "Calgary Sun review of Bernard's new music career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernard,_Crystal", "url": "http://jam.canoe.com/Music/Artists/B/Bernard_Crystal/"} +{"d:Title": "Internet Movie Database: Sandra Bernhard", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernhard,_Sandra", "url": "http://www.imdb.com/name/nm0000928/"} +{"d:Title": "Yahoo! Movies: Sandra Bernhard", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernhard,_Sandra", "url": "http://movies.yahoo.com/person/sandra-bernhard/"} +{"d:Title": "Internet Movie Database: Daniel Bernhardt", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernhardt,_Daniel", "url": "http://www.imdb.com/name/nm0076780/"} +{"d:Title": "Yahoo! Movies: Daniel Bernhardt", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bernhardt,_Daniel", "url": "http://movies.yahoo.com/person/daniel-bernhardt/"} +{"d:Title": "Internet Movie Database: Glen Berry", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Glen", "url": "http://www.imdb.com/name/nm0077551/"} +{"d:Title": "Yahoo! Movies: Glen Berry", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Glen", "url": "http://movies.yahoo.com/person/glen-berry/"} +{"d:Title": "Halle Berry Beauty Site", "d:Description": "Contains biography, news, awards, filmography, pictures, wallpapers, screen savers, interview and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://www.angelfire.com/celeb2/hall3mb/"} +{"d:Title": "About Romantic Movies - Halle Berry Info", "d:Description": "Photos, reviews, news, and movie sites for actress. Links to fansites and official movie sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://romanticmovies.about.com/cs/berryhalle/"} +{"d:Title": "Movie Times - Halle Berry", "d:Description": "Message board, pictures, profile, box office information, links and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?hberry"} +{"d:Title": "IMDb - Halle Berry", "d:Description": "Filmography, biography, photographs, a message board, links and a list of awards won by the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://www.imdb.com/name/nm0000932/"} +{"d:Title": "Yahoo! Movies: Halle Berry", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://movies.yahoo.com/person/halle-berry/"} +{"d:Title": "New York Times Movies - Halle Berry", "d:Description": "Biography, filmography, awards list, and news and reviews from The New York Times.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://www.nytimes.com/movies/person/5863/Halle-Berry"} +{"d:Title": "The Home Page of Halle Berry", "d:Description": "Biography, photos and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://chupas2.tripod.com/halle.html"} +{"d:Title": "Canoe: Halle Berry", "d:Description": "Offers news articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle", "url": "http://jam.canoe.com/Movies/Artists/B/Berry_Halle/"} +{"d:Title": "mxdpi - Halle Berry", "d:Description": "Contains thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Halle_Berry"} +{"d:Title": "Fukkad.com - Halle Berry", "d:Description": "Pictures gallery and email photo ecards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Halle/Image_Galleries", "url": "http://www.fukkad.com/celebrity/halleberry/"} +{"d:Title": "Internet Movie Database: Ken Berry", "d:Description": "Contains filmography and mini-biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Ken", "url": "http://www.imdb.com/name/nm0077607/"} +{"d:Title": "Yahoo! Movies: Ken Berry", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Berry,_Ken", "url": "http://movies.yahoo.com/person/ken-berry/"} +{"d:Title": "Yahoo! Movies: Valerie Bertinelli", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bertinelli,_Valerie", "url": "http://movies.yahoo.com/person/valerie-bertinelli/"} +{"d:Title": "TVGuide.com: Valerie Bertinelli", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bertinelli,_Valerie", "url": "http://www.tvguide.com/celebrities/valerie-bertinelli/177960"} +{"d:Title": "IMDb: Valerie Bertinelli", "d:Description": "Includes filmography, biographical information, images, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bertinelli,_Valerie", "url": "http://www.imdb.com/name/nm0000933/"} +{"d:Title": "Internet Movie Database: Bibi Besch", "d:Description": "Includes biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Besch,_Bibi", "url": "http://www.imdb.com/name/nm0000935/"} +{"d:Title": "The Original Paul Bettany Fan Page", "d:Description": "Features news articles, biography, filmography, pictures, quotes, club, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bettany,_Paul", "url": "http://www.angelfire.com/mac/bloorebel/PaulBettanyFanPage.html"} +{"d:Title": "Yahoo! Groups: The Original Paul Bettany Club", "d:Description": "A place for fans of the actor to gather and exchange information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bettany,_Paul", "url": "http://groups.yahoo.com/group/theoriginalpaulbettanyclub/"} +{"d:Title": "Internet Movie Database: Paul Bettany", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bettany,_Paul", "url": "http://www.imdb.com/name/nm0079273/"} +{"d:Title": "The Unofficial Paul Bettany Webpage", "d:Description": "Fan site includes filmography, news, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bettany,_Paul", "url": "http://www.angelfire.com/film/paulbettany/enter.html"} +{"d:Title": "Yahoo! Movies: Paul Bettany", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bettany,_Paul", "url": "http://movies.yahoo.com/person/paul-bettany/"} +{"d:Title": "Yahoo! Movies: Richard Beymer", "d:Description": "Contains biography, filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beymer,_Richard", "url": "http://movies.yahoo.com/person/richard-beymer/"} +{"d:Title": "IMDb: Richard Beymer", "d:Description": "Filmography, awards, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Beymer,_Richard", "url": "http://www.imdb.com/name/nm0000937/"} +{"d:Title": "Internet Movie Database: Pooja Bhatt", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bhatt,_Pooja", "url": "http://www.imdb.com/name/nm0080324/"} +{"d:Title": "Yahoo! Movies: Mayim Bialik", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bialik,_Mayim", "url": "http://movies.yahoo.com/person/mayim-bialik/"} +{"d:Title": "IMDb: Mayim Bialik", "d:Description": "Credits, biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bialik,_Mayim", "url": "http://www.imdb.com/name/nm0080524/"} +{"d:Title": "Internet Movie Database: Leslie Bibb", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bibb,_Leslie", "url": "http://www.imdb.com/name/nm0004753/"} +{"d:Title": "Yahoo! Movies: Leslie Bibb", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bibb,_Leslie", "url": "http://movies.yahoo.com/person/leslie-bibb/"} +{"d:Title": "Picture Gallery", "d:Description": "Forty-odd larger than average scans and captures. Best viewed with desktop size greater than 800x600.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biehn,_Michael", "url": "http://www.kernunnos.com/biehn/"} +{"d:Title": "Yahoo Groups: MBfic \u00b7 Michael Biehn Fan Fiction", "d:Description": "Yahoo Groups mailing list for sharing fan fiction about characters portrayed on film or TV by actor Michael Biehn. Yahoo ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biehn,_Michael", "url": "http://groups.yahoo.com/group/MBfic"} +{"d:Title": "Yahoo Groups: Michael Biehn", "d:Description": "Yahoo Groups mailing list: A list dedicated to the discussion of the incredibly talented actor, Michael Biehn, his characters and movies, his career, and all the things we admire and appreciate about him. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biehn,_Michael", "url": "http://groups.yahoo.com/group/michaelbiehn"} +{"d:Title": "Internet Movie Database: Michael Biehn", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biehn,_Michael", "url": "http://www.imdb.com/name/nm0000299/"} +{"d:Title": "Yahoo! Movies: Michael Biehn", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biehn,_Michael", "url": "http://movies.yahoo.com/person/michael-biehn/"} +{"d:Title": "TVGuide.com: Michael Biehn", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biehn,_Michael", "url": "http://www.tvguide.com/celebrities/michael-biehn/165936"} +{"d:Title": "PopEntertainment.com: Jessica Biel", "d:Description": "\"Jessica Biel Kicks Some Vampire Butt in Blade: Trinity\" By Brad Balfour.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biel,_Jessica", "url": "http://www.popentertainment.com/biel.htm"} +{"d:Title": "Yahoo! Movies: Jessica Biel", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biel,_Jessica", "url": "http://movies.yahoo.com/person/jessica-biel/"} +{"d:Title": "Internet Movie Database: Jessica Biel", "d:Description": "Contains profile, trivia, filmography, and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biel,_Jessica", "url": "http://www.imdb.com/name/nm0004754/"} +{"d:Title": "Starlets Photo Galleries - Jessica Biel", "d:Description": "Composition of pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biel,_Jessica/Image_Galleries", "url": "http://www.angelfire.com/celeb2/starlets4/jeb.html"} +{"d:Title": "Internet Movie Database: Frank Bielec", "d:Description": "Contains filmography and mini-biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bielec,_Frank", "url": "http://www.imdb.com/name/nm0081382/"} +{"d:Title": "Internet Movie Database: Casey Biggs", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biggs,_Casey", "url": "http://www.imdb.com/name/nm0081827/"} +{"d:Title": "Yahoo! Movies: Casey Biggs", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biggs,_Casey", "url": "http://movies.yahoo.com/person/casey-biggs/"} +{"d:Title": "Internet Movie Database: Jason Biggs", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biggs,_Jason", "url": "http://www.imdb.com/name/nm0004755/"} +{"d:Title": "About Romantic Movies: Jason Biggs", "d:Description": "Provides interviews, photos, movie reviews, news, biographical information and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biggs,_Jason", "url": "http://romanticmovies.about.com/cs/biggsjason/"} +{"d:Title": "Yahoo! Movies: Jason Biggs", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biggs,_Jason", "url": "http://movies.yahoo.com/person/jason-biggs/"} +{"d:Title": "Internet Movie Database: Richard Biggs", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biggs,_Richard", "url": "http://www.imdb.com/name/nm0081863/"} +{"d:Title": "Find A Grave: Richard Biggs", "d:Description": "Provides date of birth and death, brief biography and photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Biggs,_Richard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=8815955"} +{"d:Title": "IMDb: John Billingsley (II)", "d:Description": "Filmography, photo gallery and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Billingsley,_John", "url": "http://www.imdb.com/name/nm0082517/"} +{"d:Title": "Wikipedia: John Billingsley", "d:Description": "Offers biography and career information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Billingsley,_John", "url": "http://en.wikipedia.org/wiki/John_Billingsley"} +{"d:Title": "TV Tattler: John Billingsley", "d:Description": "Interview with the actor of 'The Nine' on ABC.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Billingsley,_John", "url": "http://television.aol.com/tv-celebrity-interviews/john-billingsley"} +{"d:Title": "Memory Alphai: John Billingsley", "d:Description": "Wiki offering information before, during, and after Enterprise along with upcoming projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Billingsley,_John", "url": "http://memory-alpha.org/en/wiki/John_Billingsley"} +{"d:Title": "Internet Movie Database: Stephen Billington", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Billington,_Stephen", "url": "http://www.imdb.com/name/nm0082550/"} +{"d:Title": "Yahoo! Movies: Stephen Billington", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Billington,_Stephen", "url": "http://movies.yahoo.com/person/stephen-billington/"} +{"d:Title": "IMDb: Rachel Bilson", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bilson,_Rachel", "url": "http://imdb.com/name/nm1377375/"} +{"d:Title": "TV.com: Rachel Bilson", "d:Description": "Biography, credits, images, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bilson,_Rachel", "url": "http://www.tv.com/people/rachel-bilson/"} +{"d:Title": "Internet Movie Database: Traci Bingham", "d:Description": "Includes filmography, photographs, trivia, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bingham,_Traci", "url": "http://www.imdb.com/name/nm0000943/"} +{"d:Title": "Yahoo! Movies: Traci Bingham", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bingham,_Traci", "url": "http://movies.yahoo.com/person/traci-bingham/"} +{"d:Title": "Internet Movie Database: Juliette Binoche", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Binoche,_Juliette", "url": "http://www.imdb.com/name/nm0000300/"} +{"d:Title": "Yahoo! Movies: Juliette Binoche", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Binoche,_Juliette", "url": "http://movies.yahoo.com/person/juliette-binoche/"} +{"d:Title": "Thespian Net: Juliette Binoche", "d:Description": "Filmography, contract address, biography, links, interviews and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Binoche,_Juliette", "url": "http://www.thespiannet.com/actresses/B/binoche_juliette/juliette_binoche.shtml"} +{"d:Title": "Thora Birch Online", "d:Description": "Official site. Includes news, biography, pictures, appearances, message board and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Birch,_Thora", "url": "http://thora.org/"} +{"d:Title": "Internet Movie Database: Thora Birch", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Birch,_Thora", "url": "http://www.imdb.com/name/nm0000301/"} +{"d:Title": "Yahoo! Movies: Thora Birch", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Birch,_Thora", "url": "http://movies.yahoo.com/person/thora-birch/"} +{"d:Title": "Jane Birkin.net", "d:Description": "Official site featuring scanned obituaries, news items, a biography, filmography, multimedia clips, desktop wallpapers, photographs and links. [In English and French]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Birkin,_Jane", "url": "http://www.janebirkin.net/"} +{"d:Title": "Rotten Tomatoes: Jane Birkin", "d:Description": "Filmography, biography and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Birkin,_Jane", "url": "http://www.rottentomatoes.com/celebrity/jane_birkin/"} +{"d:Title": "Yahoo! Movies: Jane Birkin", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Birkin,_Jane", "url": "http://movies.yahoo.com/person/jane-birkin/"} +{"d:Title": "IMDb: Jane Birkin", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Birkin,_Jane", "url": "http://www.imdb.com/name/nm0000945/"} +{"d:Title": "IMDb: Meredith Bishop", "d:Description": "Filmography, links and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bishop,_Meredith", "url": "http://www.imdb.com/name/nm0084140/"} +{"d:Title": "IMDb: Jacqueline Bisset", "d:Description": "Biography, filmography, photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bisset,_Jacqueline", "url": "http://imdb.com/name/nm0000302/"} +{"d:Title": "Jacqueline Bisset Appreciation Page", "d:Description": "Fan page containing a biography, a filmography with plot summaries and images, video clips, links and several galleries containing screen captures, scanned pictures and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bisset,_Jacqueline", "url": "http://www.jacquelinebissetfans.org/"} +{"d:Title": "Yahoo! Movies: Jacqueline Bisset", "d:Description": "Includes biography, filmography, awards and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bisset,_Jacqueline", "url": "http://movies.yahoo.com/person/jacqueline-bisset/"} +{"d:Title": "Internet Movie Database: Josie Bissett", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bissett,_Josie", "url": "http://www.imdb.com/name/nm0084327/"} +{"d:Title": "Yahoo! Movies: Josie Bissett", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bissett,_Josie", "url": "http://movies.yahoo.com/person/josie-bissett/"} +{"d:Title": "TVGuide.com: Josie Bissett", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bissett,_Josie", "url": "http://www.tvguide.com/celebrities/josie-bissett/158984"} +{"d:Title": "Nadia-Bjorlin.com - The Official Website", "d:Description": "Includes news, pictures, articles, biography, message board and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bjorlin,_Nadia", "url": "http://www.nadia-bjorlin.com/"} +{"d:Title": "Nadia Bjorlin Online", "d:Description": "Includes a biography, filmography, trivia, news, pictures, multimedia clips, a message board, campaigns, chat transcripts, links, and reports on fan encounters.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bjorlin,_Nadia", "url": "http://www.nadiabjorlin.net/"} +{"d:Title": "Internet Movie Database: Nadia Bjorlin", "d:Description": "Contains filmography, biography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bjorlin,_Nadia", "url": "http://www.imdb.com/name/nm0004294/"} +{"d:Title": "Internet Movie Database: Claudia Black", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Black,_Claudia", "url": "http://www.imdb.com/name/nm0085227/"} +{"d:Title": "Tyler's Lucas Black Page", "d:Description": "Image gallery and information about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Black,_Lucas", "url": "http://members.tripod.com/tyler_ayg/index.html"} +{"d:Title": "IMDb: Lucas Black", "d:Description": "Provides brief biography, picture, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Black,_Lucas", "url": "http://www.imdb.com/name/nm0085407/"} +{"d:Title": "IMDb: Richard Blackwood", "d:Description": "Facts and credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blackwood,_Richard", "url": "http://www.imdb.com/name/nm0085990/"} +{"d:Title": "The Totally and Completely Unofficial Website of Unrestrained Adoration for Selma Blair", "d:Description": "Biography, filmography, interviews, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blair,_Selma", "url": "http://www.angelfire.com/biz2/selmablair/"} +{"d:Title": "Yahoo! Groups: Selma Blair", "d:Description": "E-mail discussion forum for fans. [Registration required].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blair,_Selma", "url": "http://groups.yahoo.com/group/selma-blair"} +{"d:Title": "AskMen.com - Selma Blair", "d:Description": "Biography, trivia, and commentary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blair,_Selma", "url": "http://www.askmen.com/women/actress_150/159_selma_blair.html"} +{"d:Title": "Yahoo! Movies: Selma Blair", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blair,_Selma", "url": "http://movies.yahoo.com/person/selma-blair/"} +{"d:Title": "Internet Movie Database: Selma Blair", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blair,_Selma", "url": "http://www.imdb.com/name/nm0004757/"} +{"d:Title": "Robert Blake Unofficial Site", "d:Description": "Filmography, news stories, and links to related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blake,_Robert", "url": "http://www.rotteneggs.com/r/show/se/349010.html#story"} +{"d:Title": "IMDb: Robert Blake (I)", "d:Description": "Complete filmography, profile, news, photographs, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blake,_Robert", "url": "http://www.imdb.com/name/nm0086706/"} +{"d:Title": "Yahoo! Movies: Robert Blake", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blake,_Robert", "url": "http://movies.yahoo.com/person/robert-blake/"} +{"d:Title": "Yahoo Groups: Rachel Blakely", "d:Description": "A group for discussing the actress. Site contains links, pictures, messages and a chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blakely,_Rachel", "url": "http://groups.yahoo.com/group/rachelblakely/"} +{"d:Title": "Yahoo Groups: The Wonderful Rachel Blakely", "d:Description": "Message board and chat room for fans of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blakely,_Rachel", "url": "http://groups.yahoo.com/group/thewonderfulrachelblakely/"} +{"d:Title": "TVGuide.com: Rachel Blakely", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blakely,_Rachel", "url": "http://www.tvguide.com/celebrities/rachel-blakely/170636"} +{"d:Title": "Internet Movie Database: Rachel Blakely", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blakely,_Rachel", "url": "http://www.imdb.com/name/nm0086800/"} +{"d:Title": "Abstracts.net: Jolene Blalock", "d:Description": "Biography, news, picture resources, filmography, hangman game, quiz, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blalock,_Jolene", "url": "http://abstracts.net/jolene-blalock/"} +{"d:Title": "T'Pol Fan Club", "d:Description": "Yahoo club with message board, photos, chat, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blalock,_Jolene", "url": "http://groups.yahoo.com/group/tpolfanclub/"} +{"d:Title": "Jolene Blalock", "d:Description": "A Yahoo based fan club with message board, photo albums, chat room, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blalock,_Jolene", "url": "http://groups.yahoo.com/group/joleneblalock2/"} +{"d:Title": "Yahoo! Movies: Jolene Blalock", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blalock,_Jolene", "url": "http://movies.yahoo.com/person/jolene-blalock/"} +{"d:Title": "Internet Movie Database: Jolene Blalock", "d:Description": "Contains biography, filmography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blalock,_Jolene", "url": "http://www.imdb.com/name/nm0086883/"} +{"d:Title": "Yahoo! Movies: Jennifer Blanc", "d:Description": "Includes filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanc,_Jennifer", "url": "http://movies.yahoo.com/person/jennifer-blanc/"} +{"d:Title": "Internet Movie Database: Jennifer Blanc", "d:Description": "Filmography, biography, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanc,_Jennifer", "url": "http://www.imdb.com/name/nm0004760/"} +{"d:Title": "Rachel Blanchard Universe", "d:Description": "Photo gallery, biography, filmography and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchard,_Rachel", "url": "http://www.angelfire.com/celeb/rachelblanchard/"} +{"d:Title": "Internet Movie Database: Rachel Blanchard", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchard,_Rachel", "url": "http://www.imdb.com/name/nm0004761/"} +{"d:Title": "Yahoo! Movies: Rachel Blanchard", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchard,_Rachel", "url": "http://movies.yahoo.com/person/rachel-blanchard/"} +{"d:Title": "Christian Science Monitor: Cate Blanchett", "d:Description": "Interview in which the actress discusses the movie \"Charlotte Gray\". By Bonnie Churchill.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchett,_Cate", "url": "http://csmonitor.com/2002/0104/p15s2-alip.html"} +{"d:Title": "Blanchett:, Cate", "d:Description": "Pictures, biography, trivia, quotes, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchett,_Cate", "url": "http://www.angelfire.com/mi3/greeneggsandham/cate.html"} +{"d:Title": "Internet Movie Database: Cate Blanchett", "d:Description": "Includes pictures, biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchett,_Cate", "url": "http://www.imdb.com/name/nm0000949/"} +{"d:Title": "Queen Cate to Reign Again", "d:Description": "Cate Blanchett will undertake yet another physical transformation to reprise her role as Queen Elizabeth I in the sequel film Elizabeth, The Golden Age.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchett,_Cate", "url": "http://www.smh.com.au/news/film/queen-cate-to-reign-again/2006/04/16/1145125999537.html"} +{"d:Title": "Yahoo! Movies: Cate Blanchett", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchett,_Cate", "url": "http://movies.yahoo.com/person/cate-blanchett/"} +{"d:Title": "Thespian Net Presents Cate Blanchett", "d:Description": "Profile and filmography, with facilities for fans to submit photographs and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanchett,_Cate", "url": "http://www.thespiannet.com/actresses/B/blanchett_cate/cate_blanchett.shtml"} +{"d:Title": "Yahoo! Movies: Billy Blanks", "d:Description": "Includes list of films and exercise videos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanks,_Billy", "url": "http://movies.yahoo.com/person/billy-blanks/"} +{"d:Title": "Internet Movie Database : Billy Blanks", "d:Description": "Extensive list of credits including films and notable TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blanks,_Billy", "url": "http://www.imdb.com/name/nm0087593/"} +{"d:Title": "Yahoo! Movies: Rosa Blasi", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blasi,_Rosa", "url": "http://movies.yahoo.com/person/rosa-blasi/"} +{"d:Title": "IMDb: Rosa Blasi", "d:Description": "Includes biography, filmography, image gallery, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blasi,_Rosa", "url": "http://www.imdb.com/name/nm0087726/"} +{"d:Title": "Yahoo! Movies: Alexis Bledel", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bledel,_Alexis", "url": "http://movies.yahoo.com/person/alexis-bledel/"} +{"d:Title": "IMDb: Alexis Bledel", "d:Description": "Contains filmography, biography, image gallery and news artcicles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bledel,_Alexis", "url": "http://www.imdb.com/name/nm0088127/"} +{"d:Title": "Abstracts.net: Yasmine Bleeth", "d:Description": "Biography, filmography, game and quiz.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bleeth,_Yasmine", "url": "http://abstracts.net/yasmine-bleeth/"} +{"d:Title": "Internet Movie Database: Yasmine Bleeth", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bleeth,_Yasmine", "url": "http://www.imdb.com/name/nm0000109/"} +{"d:Title": "Yahoo! Movies: Yasmine Bleeth", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bleeth,_Yasmine", "url": "http://movies.yahoo.com/person/yasmine-bleeth/"} +{"d:Title": "Thespian Net presents Yasmine Bleeth", "d:Description": "Filmography and a fan mail address with few photographs and magazine covers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bleeth,_Yasmine", "url": "http://www.thespiannet.com/actresses/B/bleeth_yasmine/yasmine_bleeth.shtml"} +{"d:Title": "Yasmine Bleeth Archives", "d:Description": "Photo gallery, screen saver, and a desktop theme.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bleeth,_Yasmine/Fan_Pages", "url": "http://www.angelfire.com/celeb/yb/index.html"} +{"d:Title": "ChicagoBachelor.com - Yasmine Bleeth", "d:Description": "Contains 24 pictures that may be sent as postcards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bleeth,_Yasmine/Image_Galleries", "url": "http://www.chicagobachelor.com/gallery/yasmine"} +{"d:Title": "Brenda Blethyn", "d:Description": "Detailed biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blethyn,_Brenda", "url": "http://www.tmaw.co.uk/brendab.html"} +{"d:Title": "BBC News: Blethyn's Gamble Pays Off", "d:Description": "Brenda Blethyn has made a career out of strong and troubled roles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blethyn,_Brenda", "url": "http://news.bbc.co.uk/1/hi/2616037.stm"} +{"d:Title": "Yahoo! Movies: Brenda Blethyn", "d:Description": "Biography, filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blethyn,_Brenda", "url": "http://movies.yahoo.com/person/brenda-blethyn/"} +{"d:Title": "IMDb: Brenda Blethyn", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blethyn,_Brenda", "url": "http://www.imdb.com/name/nm0000950/"} +{"d:Title": "Guardian Unlimited Film: Brenda Blethyn", "d:Description": "Biography and news items.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blethyn,_Brenda", "url": "http://www.theguardian.com/film/Player/Player_Page/0,4159,41216,00.html"} +{"d:Title": "Rotten Tomatoes: Brenda Blethyn", "d:Description": "Filmography, news, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blethyn,_Brenda", "url": "http://www.rottentomatoes.com/celebrity/brenda_blethyn/"} +{"d:Title": "Abstracts.net: Orlando Bloom", "d:Description": "Biography, message board, picture resources, filmography, hangman game, and quiz on the Lord of the Rings star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.abstracts.net/orlando-bloom/"} +{"d:Title": "The Movie Times: Orlando Bloom", "d:Description": "Provides box office details of his films, polls, links, picture galleries, and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?obloom"} +{"d:Title": "Wikipedia: Orlando Bloom", "d:Description": "An encyclopedia article on Bloom, including biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://en.wikipedia.org/wiki/Orlando_Bloom"} +{"d:Title": "Lord of the Rings: Orlando Bloom as Legolas Greenleaf", "d:Description": "Offers information on the actor and his role in the movie. Official movie site.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.lordoftherings.net/film/cast/ca_obloo.html"} +{"d:Title": "UKHotMovies.com: Orlando Bloom", "d:Description": "Features biography, picture gallery, wallpapers and video files available for download.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.ukhotmovies.com/galleries/orlando-bloom/"} +{"d:Title": "Rotten Tomatoes: Orlando Bloom", "d:Description": "Links to news articles, a filmography, photos, and a public forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.rottentomatoes.com/celebrity/orlando_bloom/"} +{"d:Title": "Lord of the Rings Wiki: Orlando Bloom", "d:Description": "Encyclopedia article about Bloom, focusing on his role in the Lord of the Rings movies, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://lotr.wikia.com/wiki/Orlando_Bloom"} +{"d:Title": "Yahoo! Movies: Orlando Bloom", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://movies.yahoo.com/person/orlando-bloom/"} +{"d:Title": "TalkTalk: Orlando Bloom", "d:Description": "Features a biography and full filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/orlando-bloom/biography/92"} +{"d:Title": "IMDb - Orlando Bloom", "d:Description": "Photographs, brief biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.imdb.com/name/nm0089217/"} +{"d:Title": "New York Times Movies: Orlando Bloom", "d:Description": "Biography, filmography, news, and reviews about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.nytimes.com/movies/person/301907/Orlando-Bloom"} +{"d:Title": "TV.com: Orlando Bloom", "d:Description": "Offers brief biography, trivia, television credits, related articles and viewer reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando", "url": "http://www.tv.com/people/orlando-bloom/"} +{"d:Title": "Orlando Bloom Forever", "d:Description": "Italian-English fan site offers filmography, images, desktop wallpapers, Winamp skins,", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://digilander.libero.it/orlandobloom/"} +{"d:Title": "Wilde About Orlando Bloom", "d:Description": "Pages for each of his movies, fiction, amusing detours, news, biography, filmography, gallery, articles, media alerts, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://orlandobloom.tripod.com/"} +{"d:Title": "Orlando OBsession", "d:Description": "Includes photos, biography, filmography and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://orli-b.tripod.com/"} +{"d:Title": "Shrine to Legolas and Orlando Bloom", "d:Description": "Pictures, filmography, news and fan art.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://aiwe_greenleaf.tripod.com/shrinetolegolas/"} +{"d:Title": "Cafe` de Bloom", "d:Description": "Thumbnailed pictures, biography, find out your elf name.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://www.angelfire.com/realm2/mystic_hollow/cafe.html"} +{"d:Title": "All About Orlando Bloom", "d:Description": "Image gallery, biography, and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://www.angelfire.com/stars3/tiff_mimi/"} +{"d:Title": "Orliology Online", "d:Description": "A gallery of over 300 pictures, news, articles, interviews, filmography, and movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://www.angelfire.com/celeb2/orliology/index.html"} +{"d:Title": "Orli Bloom Empyrean", "d:Description": "Includes biography, filmography quotes and gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://www.angelfire.com/rebellion/orlibloom/"} +{"d:Title": "Bloomin' Marvellous Fiction!", "d:Description": "Features images, poetry, fan fiction and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://www.angelfire.com/crazy/smilymcsmile/"} +{"d:Title": "Lady's Perfect Circle", "d:Description": "Fan fiction fan site for Orlando Bloom.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://home.iprimus.com.au/welling/main.html"} +{"d:Title": "The Orlando Bloom Files", "d:Description": "Biography, filmography, pictures, multimedia, news, articles, merchandise, games and a Legolas paper doll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://www.theorlandobloomfiles.com/"} +{"d:Title": "Orlando Bloom Heaven", "d:Description": "Image galleries and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://orlandobloomheaven13.tripod.com/"} +{"d:Title": "Born To Bloom", "d:Description": "Biography, image gallery, polls and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://angelfire.com/celeb2/bloomorlando"} +{"d:Title": "Orlando Bloom", "d:Description": "Fan site offers filmography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bloom,_Orlando/Fan_Pages", "url": "http://www.angelfire.com/mi3/greeneggsandham/orlando.html"} +{"d:Title": "Unofficial Marc Blucas Site, The", "d:Description": "Profile, filmography, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blucas,_Marc", "url": "http://www.marcblucas.8k.com/"} +{"d:Title": "Yahoo! Movies: Marc Blucas", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blucas,_Marc", "url": "http://movies.yahoo.com/person/marc-blucas/"} +{"d:Title": "IMDb: Marc Blucas", "d:Description": "Filmography, TV credits, trivia, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Blucas,_Marc", "url": "http://www.imdb.com/name/nm0089456/"} +{"d:Title": "Internet Movie Database: Bochner Hart", "d:Description": "Presents facts and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bochner,_Hart", "url": "http://www.imdb.com/name/nm0000952/"} +{"d:Title": "Yahoo! Movies: Hart Bochner", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bochner,_Hart", "url": "http://movies.yahoo.com/person/hart-bochner/"} +{"d:Title": "The Servants Quarters", "d:Description": "Biography of Dirk Bogarde.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogarde,_Dirk", "url": "http://www.angelfire.com/ma/1963/bogarde.html"} +{"d:Title": "Yahoo! Movies: Dirk Bogarde", "d:Description": "Biography, filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogarde,_Dirk", "url": "http://movies.yahoo.com/person/dirk-bogarde/"} +{"d:Title": "Internet Movie Database: Dirk Bogarde", "d:Description": "Contains biography, images, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogarde,_Dirk", "url": "http://www.imdb.com/name/nm0001958/"} +{"d:Title": "Actor Dirk Bogarde dead at 78", "d:Description": "By David Walsh", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogarde,_Dirk", "url": "http://www.wsws.org/en/articles/1999/05/boga-m13.html"} +{"d:Title": "Meredy's Bogart Trivia", "d:Description": "Offers Humphrey Bogart trivia questions", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.meredy.com/bogarttriv.htm"} +{"d:Title": "Bogart's Hollywood", "d:Description": "History of Bogart's homes to his favorite Hollywood hang-outs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.seeing-stars.com/StarIndexes/Bogart.shtml"} +{"d:Title": "Bogart&Bacall", "d:Description": "The complete story from the time they met at her first screen test to their life offscreen", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.themave.com/Bacall/BB/tfontf.htm"} +{"d:Title": "Sahara and Bogie", "d:Description": "Veteran journalist Kenneth Koyen's reminiscence of his experiences with Humphrey Bogart.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.evesmag.com/bogart.htm"} +{"d:Title": "Humphrey Bogart and Robert DeNiro", "d:Description": "Robert DeNiro fan offers his Humphrey Bogart Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.angelfire.com/ga/MAP/bogey.html"} +{"d:Title": "Humphrey Bogart and Chess", "d:Description": "Describes Bogart's passion for playing chess. Provides links to Bogart merchandise and chess playing.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://rajncajn.tripod.com/bogart.htm"} +{"d:Title": "Humphrey Bogart", "d:Description": "Provides an article about Bogart by Louise Brooks.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.psykickgirl.com/lulu/bogey.html"} +{"d:Title": "Trivia Tribute: Humphrey Bogart", "d:Description": "Celebrity page with pictures, sounds, links, trivia and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.triviatribute.com/humphreybogart.html"} +{"d:Title": "Official Site of Humphrey Bogart", "d:Description": "Includes news, biography, career highlights, awards, quotes, trivia, filmography, wallpaper, and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.humphreybogart.com/"} +{"d:Title": "IMDb: Humphrey Bogart", "d:Description": "Provides a filmography, biography, message board, articles, quotes, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.imdb.com/name/nm0000007/"} +{"d:Title": "Great Bogart", "d:Description": "Provides Humphrey Bogart biography, photos, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.gmmy.com/comedy/great%20actors/greatbogart.htm"} +{"d:Title": "Tribute to Humphey Bogart", "d:Description": "Contains biography, quotes, romantic gossip, movie stills, urban legends, games, and a forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://bogart-tribute.net/"} +{"d:Title": "Bogart, Humphrey DeForest", "d:Description": "[1899-1957] - American actor. Provides a brief article, list of his famous films and other links to Bogart.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://freepages.history.rootsweb.ancestry.com/~dav4is/people/CHUR150.htm"} +{"d:Title": "MovieActors.com - Humphrey Bogart", "d:Description": "Biography, pictures, and did-you-know facts regarding Bogart in the 1940's film era.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.movieactors.com/superstars/humphrey_bogart.htm"} +{"d:Title": "Bogart Reel Classics", "d:Description": "Classic posters, memorabilia, photo footage, and memorable quotes from Bogie's screen appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.reelclassics.com/Actors/Bogie/bogie.htm"} +{"d:Title": "Hartford History: Bogart's Marriage", "d:Description": "An article, by Kevin Flood discussing Bogart's marriage to Mary Philips in 1928.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.hartfordhistory.net/bogart.html"} +{"d:Title": "Humphrey Bogart in the Coast Guard", "d:Description": "In 1944 Bogart volunteered his service and yacht for service with the Temporary Reserve, today's Coast Guard Auxiliary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://www.uscg.mil/history/faqs/humphreybogart.asp"} +{"d:Title": "Yahoo! Movies: Humphrey Bogart", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bogart,_Humphrey", "url": "http://movies.yahoo.com/person/humphrey-bogart/"} +{"d:Title": "IMDb: Ron Bohmer", "d:Description": "Provides biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bohmer,_Ron", "url": "http://www.imdb.com/name/nm0092092/"} +{"d:Title": "Official Ron Bohmer Internet Site, The", "d:Description": "News, appearance information, press notices, recordings and photo gallery for the former \"Phantom of the Opera\" national tour star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bohmer,_Ron", "url": "http://www.ronbohmer.com/"} +{"d:Title": "BroadwayWorld.com: Ron Bohmer Joins Cast of \"I Love You, You're Perfect, Now Change\"", "d:Description": "The tenor, who recently starred as Sir Percival Glyde in Broadway's 'The Woman in White,' will join the cast of Off-Broadway's record-breaking musical 'I Love You, You're Perfect, Now Change'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bohmer,_Ron", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=8129"} +{"d:Title": "Internet Movie Database: Corinne Bohrer", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bohrer,_Corinne", "url": "http://www.imdb.com/name/nm0001959/"} +{"d:Title": "Helena Bonham Carter", "d:Description": "Biography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonham_Carter,_Helena", "url": "http://www.tmaw.co.uk/helenabc.html"} +{"d:Title": "Pandora's Helena Bonham Carter Page", "d:Description": "Filmography, trivia and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonham_Carter,_Helena", "url": "http://www.angelfire.com/mi3/greeneggsandham/hbc.html"} +{"d:Title": "Yahoo! Movies: Helena Bonham Carter", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonham_Carter,_Helena", "url": "http://movies.yahoo.com/person/helena-bonham-carter/"} +{"d:Title": "TalkTalk: Helena Bonham Carter", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonham_Carter,_Helena", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/helena-bonham-carter/biography/40"} +{"d:Title": "IMDb: Helena Bonham Carter", "d:Description": "Contains a filmography, brief biography, photos and list of awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonham_Carter,_Helena", "url": "http://www.imdb.com/name/nm0000307/"} +{"d:Title": "Thespian Net presents Helena Bonham Carter", "d:Description": "A starting point for celebrity information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonham_Carter,_Helena", "url": "http://www.thespiannet.com/actresses/B/bonhamcarter_helena/helena_bonhamcarter.shtml"} +{"d:Title": "BBC Films: Interview with Hugh Bonneville", "d:Description": "\"Iris\" star reveals how he got the part of John Bayley, and being mistaken for Mr Darcy.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonneville,_Hugh", "url": "http://www.bbc.co.uk/films/2002/01/16/hugh_bonneville_iris_interview_2002_interview.shtml"} +{"d:Title": "Yahoo! Movies: Hugh Bonneville", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonneville,_Hugh", "url": "http://movies.yahoo.com/person/hugh-bonneville/"} +{"d:Title": "IMDb: Hugh Bonneville", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonneville,_Hugh", "url": "http://www.imdb.com/name/nm0095017/"} +{"d:Title": "Yahoo! Movies: Brian Bonsall", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonsall,_Brian", "url": "http://movies.yahoo.com/person/brian-bonsall/"} +{"d:Title": "IMDb: Brian Bonsall", "d:Description": "Includes filmography, awards, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bonsall,_Brian", "url": "http://www.imdb.com/name/nm0095176/"} +{"d:Title": "Internet Movie Database: Mika Boorem", "d:Description": "Includes filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boorem,_Mika", "url": "http://www.imdb.com/name/nm0095561/"} +{"d:Title": "Yahoo! Movies: Mika Boorem", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boorem,_Mika", "url": "http://movies.yahoo.com/person/mika-boorem/"} +{"d:Title": "Yahoo! Movies: Connie Booth", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Booth,_Connie", "url": "http://movies.yahoo.com/person/connie-booth/"} +{"d:Title": "Internet Movie Database: Connie Booth", "d:Description": "Contains filmography and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Booth,_Connie", "url": "http://www.imdb.com/name/nm0095665/"} +{"d:Title": "Yahoo! Movies: Shirley Booth", "d:Description": "Contains biography, filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Booth,_Shirley", "url": "http://movies.yahoo.com/person/shirley-booth/"} +{"d:Title": "IMDb: Shirley Booth", "d:Description": "Filmography, profile, photographs, and other related career information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Booth,_Shirley", "url": "http://www.imdb.com/name/nm0095804/"} +{"d:Title": "Angel ... or Devil?", "d:Description": "Site dedicated to character Angel portrayed by actor David Boreanaz. Pictures, wavs, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boreanaz,_David", "url": "http://www.angelfire.com/me2/Lizzibeth/Angel.html"} +{"d:Title": "Phoenix's David Boreanaz Cool Stuff", "d:Description": "Sounds, pictures and screen caps from public appearances, chat transcripts and general information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boreanaz,_David", "url": "http://www.david-boreanaz.com/"} +{"d:Title": "Yahoo! Movies: David Boreanaz", "d:Description": "Biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boreanaz,_David", "url": "http://movies.yahoo.com/person/david-boreanaz/"} +{"d:Title": "IMDb: David Boreanaz", "d:Description": "Filmography, pictures, biography, quotes, links, articles, interviews and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boreanaz,_David", "url": "http://www.imdb.com/name/nm0004770/"} +{"d:Title": "TVGuide.com: David Boreanaz", "d:Description": "Has the latest news, biography, photos, and credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boreanaz,_David", "url": "http://www.tvguide.com/celebrities/david-boreanaz/146340"} +{"d:Title": "Internet Movie Database: Ernest Borgnine", "d:Description": "Biography, filmography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Borgnine,_Ernest", "url": "http://www.imdb.com/name/nm0000308/"} +{"d:Title": "Yahoo! Movies: Ernest Borgnine", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Borgnine,_Ernest", "url": "http://movies.yahoo.com/person/ernest-borgnine/"} +{"d:Title": "New York Times: Ernest Borgnine", "d:Description": "Biography, filmography, and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Borgnine,_Ernest", "url": "http://www.nytimes.com/movies/person/7448/Ernest-Borgnine"} +{"d:Title": "Internet Movie Database: Rachel Boston", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boston,_Rachel", "url": "http://www.imdb.com/name/nm1036340/"} +{"d:Title": "Wikipedia: Rachel Boston", "d:Description": "Brief encyclopedia article on the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boston,_Rachel", "url": "http://en.wikipedia.org/wiki/Rachel_Boston"} +{"d:Title": "TV.com: Rachel Boston", "d:Description": "Photograph with filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boston,_Rachel", "url": "http://www.tv.com/people/rachel-boston/"} +{"d:Title": "Internet Movie Database: Kate Bosworth", "d:Description": "Includes biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bosworth,_Kate", "url": "http://www.imdb.com/name/nm0098378/"} +{"d:Title": "Yahoo! Movies: Kate Bosworth", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bosworth,_Kate", "url": "http://movies.yahoo.com/person/kate-bosworth/"} +{"d:Title": "Yahoo! Movies: Sara Botsford", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Botsford,_Sara", "url": "http://movies.yahoo.com/person/sara-botsford/"} +{"d:Title": "IMDb: Sara Botsford", "d:Description": "Filmography and television schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Botsford,_Sara", "url": "http://www.imdb.com/name/nm0098597/"} +{"d:Title": "Bourne, JR", "d:Description": "Biography, filmography and news for the Canadian actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bourne,_JR", "url": "http://www.spacemonkey.de/"} +{"d:Title": "Internet Movie Database: JR Bourne", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bourne,_JR", "url": "http://www.imdb.com/name/nm0100068/"} +{"d:Title": "Yahoo! Movies: J R Bourne", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bourne,_JR", "url": "http://movies.yahoo.com/person/jr-bourne/"} +{"d:Title": "IMDb: Linda Bove", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bove,_Linda", "url": "http://www.imdb.com/name/nm0100581/"} +{"d:Title": "Wikipedia: Linda Bove", "d:Description": "Brief encyclopedia article on the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bove,_Linda", "url": "http://en.wikipedia.org/wiki/Linda_Bove"} +{"d:Title": "Muppet Wiki: Linda Bove", "d:Description": "Biography of Linda Bove, with quotes from writers and a history of the character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bove,_Linda", "url": "http://muppet.wikia.com/wiki/Linda_Bove"} +{"d:Title": "IBDB: Linda Bove", "d:Description": "Theatrical cast and crew credits for Linda Bove.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bove,_Linda", "url": "http://www.ibdb.com/person.php?id=89697"} +{"d:Title": "TV.com - Linda Bove", "d:Description": "Biography, roles and appearances, and gossip.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bove,_Linda", "url": "http://www.tv.com/people/linda-bove/"} +{"d:Title": "Phyllis Frelich and Linda Bove", "d:Description": "Article looking at the careers of both Linda Bove and Phyllis Frelich, two deaf actresses.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bove,_Linda", "url": "http://deafness.about.com/cs/celebfeatures/a/frelichbove.htm"} +{"d:Title": "The Clara Bow Page", "d:Description": "A factual, pictorial tribute to \"the greatest\" silent film actress. Features frequent updates, an ever-growing picture page, and complete filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bow,_Clara", "url": "http://www.clarabow.net/"} +{"d:Title": "Internet Movie Database: Clara Bow", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bow,_Clara", "url": "http://www.imdb.com/name/nm0001966/"} +{"d:Title": "The Unknown Clara Bow", "d:Description": "William Cramer's dedication presents personalized and illustrated biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bow,_Clara", "url": "http://www.gildasattic.com/clarabow.html"} +{"d:Title": "Yahoo! Movies: Clara Bow", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bow,_Clara", "url": "http://movies.yahoo.com/person/clara-bow/"} +{"d:Title": "IMDb: Julie Bowen", "d:Description": "The Internet Movie Database includes her filmography and links to related sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bowen,_Julie", "url": "http://www.imdb.com/name/nm0100866/"} +{"d:Title": "Yahoo! Movies: Julie Bowen", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bowen,_Julie", "url": "http://movies.yahoo.com/person/julie-bowen/"} +{"d:Title": "Bruce Boxleitner Birthday Page", "d:Description": "Made as a dedication to his 50th birthday, the page contains birthday wishes, photos, and videos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boxleitner,_Bruce", "url": "http://www.bbbirthday.50megs.com/"} +{"d:Title": "Grapevine Bruce Boxleitner Fan Community", "d:Description": "Sign up to become a member of the fan community and receive up-to-date information on Bruce's work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boxleitner,_Bruce", "url": "http://www.maestravida.com/weinwalk/bbfan.html"} +{"d:Title": "Internet Movie Database: Bruce Boxleitner", "d:Description": "Contains filmography, biography, and an image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boxleitner,_Bruce", "url": "http://www.imdb.com/name/nm0000310/"} +{"d:Title": "Yahoo! Movies: Bruce Boxleitner", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boxleitner,_Bruce", "url": "http://movies.yahoo.com/person/bruce-boxleitner/"} +{"d:Title": "TVGuide.com: Bruce Boxleitner", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boxleitner,_Bruce", "url": "http://www.tvguide.com/celebrities/bruce-boxleitner/142930"} +{"d:Title": "Billy Boyd UK", "d:Description": "Profile, filmography, pictures, interviews, articles, video clips, links, contact address and fan art.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://billyboyduk.yoll.net/"} +{"d:Title": "Billy Boyd Lovers", "d:Description": "Yahoo! Group to talk about, share pictures of, and generally worship Boyd. Features a database with FAQs and jokes, bookmarks, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://groups.yahoo.com/group/BillyBoyd_Lovers/"} +{"d:Title": "Pippin Took Me", "d:Description": "Yahoo! Group with pictures, video and sound clips, polls, interviews, articles, and updates.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://groups.yahoo.com/group/pippin_took_me/"} +{"d:Title": "Over Obsessed", "d:Description": "An unofficial site includes a weblog, filmography, links, and guest book.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://www.angelfire.com/crazy2/dork1/index.html"} +{"d:Title": "Glaswegian Hobbits", "d:Description": "A fansite by Kristina, with thumbnailed image galleries, biography, filmography, and a description of Pippin Took.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://billyboyd.deep-ice.com/"} +{"d:Title": "Ink 19: Random Interview with a Mischievous Hobbit", "d:Description": "Margie Libling asks Scottish actor Billy Boyd 15 questions concerning his career and upcoming films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://www.ink19.com/issues/december2003/interviews/billyBoyd.html"} +{"d:Title": "Desperately seeking Billy Boyd", "d:Description": "Features gallery, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://www.bei-san.com/boyd.html"} +{"d:Title": "Billy Boyd Goes Tolkien", "d:Description": "Article by Gareth McLean of The Scotsman, written just after Billy was cast in Lord of the Rings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://www.tolkien-movies.com/words/1999/07-29-99a.htm"} +{"d:Title": "Internet Movie Database: Billy Boyd", "d:Description": "Includes biography, image gallery and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Billy", "url": "http://www.imdb.com/name/nm0101710/"} +{"d:Title": "IMDb: Tanya Boyd", "d:Description": "Filmography, message board, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_Tanya", "url": "http://www.imdb.com/name/nm0101931/"} +{"d:Title": "Find A Grave - William Boyd", "d:Description": "Brief biography noting famous works, photograph of tomb, cemetery information and virtual memorial.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_William", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2123"} +{"d:Title": "William Boyd and Hopalong Cassidy", "d:Description": "Biography with emphasis on his many films. Information, movie posters, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_William", "url": "http://www.b-westerns.com/hoppy.htm"} +{"d:Title": "IMDb: William Boyd", "d:Description": "Complete filmography, photographs, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyd,_William", "url": "http://www.imdb.com/name/nm0101955/"} +{"d:Title": "Charles Boyer", "d:Description": "Classy tribute to a star of Hollywood's Golden Age - biography, filmography, image galleries, and media clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyer,_Charles", "url": "http://themave.com/Boyer/"} +{"d:Title": "TVGuide.com: Charles Boyer", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyer,_Charles", "url": "http://www.tvguide.com/celebrities/charles-boyer/144009"} +{"d:Title": "Internet Movie Database: Charles Boyer", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyer,_Charles", "url": "http://www.imdb.com/name/nm0000964/"} +{"d:Title": "Internet Movie Database: Lara Flynn Boyle", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyle,_Lara_Flynn", "url": "http://www.imdb.com/name/nm0001223/"} +{"d:Title": "Internet Movie Database: Lisa Boyle", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Boyle,_Lisa", "url": "http://www.imdb.com/name/nm0102288/"} +{"d:Title": "IMDb: Lorraine Bracco", "d:Description": "Includes filmography, awards, profile, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bracco,_Lorraine", "url": "http://www.imdb.com/name/nm0000966/"} +{"d:Title": "Internet Movie Database: Jesse Bradford", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bradford,_Jesse", "url": "http://www.imdb.com/name/nm0103038/"} +{"d:Title": "Internet Movie Database: John Bradley", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bradley,_John", "url": "http://www.imdb.com/name/nm0103280/"} +{"d:Title": "Internet Movie Database: Wayne Brady", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brady,_Wayne", "url": "http://www.imdb.com/name/nm0103750/"} +{"d:Title": "IMDb: Zach Braff", "d:Description": "Filmography and contact address.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Braff,_Zach", "url": "http://www.imdb.com/name/nm0103785/"} +{"d:Title": "IMDb: Wilfrid Brambell", "d:Description": "Includes a filmography, biographical details and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brambell,_Wilfrid", "url": "http://www.imdb.com/name/nm0104183/"} +{"d:Title": "Find A Grave: Wilfrid Brambell", "d:Description": "Provides the location of his grave, a photograph, a brief biography and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brambell,_Wilfrid", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6898011&pt=Wilfrid+Brambell"} +{"d:Title": "Wikipedia: Wilfrid Brambell", "d:Description": "Offers a biography and career highlights with links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brambell,_Wilfrid", "url": "http://en.wikipedia.org/wiki/Wilfrid_Brambell"} +{"d:Title": "Museum of Broadcast Communications: Wilfrid Brambell", "d:Description": "Article providing biographical information and a career overview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brambell,_Wilfrid", "url": "http://www.museum.tv/eotv/brambellwill.htm"} +{"d:Title": "All About Jonathan Brandis", "d:Description": "Includes pictures, facts, and interviews. Also chat and irc. Contains Java applets.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandis,_Jonathan", "url": "http://www.sneksoog.demon.nl/jona-e.htm"} +{"d:Title": "Jonathan Brandis", "d:Description": "Provides information and photographs about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandis,_Jonathan", "url": "http://members.tripod.com/~Jacie_Lynn/JonBrandis.html"} +{"d:Title": "Jonfan73: Jonathan Brandis", "d:Description": "Dedicated to the actor, includes pictures, news, biography, filmography, and a forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandis,_Jonathan", "url": "http://jonfan73.freeservers.com/"} +{"d:Title": "IMDb: Jonathan Brandis", "d:Description": "Features brief biography, comments, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandis,_Jonathan", "url": "http://www.imdb.com/name/nm0000970/"} +{"d:Title": "The Late Jonathan Brandis", "d:Description": "Features picture gallery, biography, artwork, guest book, and a dedication page.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandis,_Jonathan", "url": "http://www.angelfire.com/hero/jonathanbrandis/"} +{"d:Title": "April Snow: Jonathan Brandis Memorial", "d:Description": "Poetry, writings, pictures, and news articles about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandis,_Jonathan", "url": "http://www.freewebs.com/aprilsnow/"} +{"d:Title": "USA Today: Teen Idol Jonathan Brandis Dies", "d:Description": "News that the 27 year old actor has died.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandis,_Jonathan", "url": "http://usatoday30.usatoday.com/life/2003-11-21-brandis-obit_x.htm"} +{"d:Title": "Dominantstar: Marlon Brando", "d:Description": "Astrology report of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brando,_Marlon", "url": "http://www.dominantstar.com/b_bran.htm"} +{"d:Title": "Wikipedia - Marlon Brando", "d:Description": "Biography and career overview, with links to related articles and other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brando,_Marlon", "url": "http://en.wikipedia.org/wiki/Marlon_Brando"} +{"d:Title": "BBC News - Screen legend Brando dies at 80", "d:Description": "\"Actor Marlon Brando, made famous by his roles in On the Waterfront and The Godfather, dies aged 80.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brando,_Marlon", "url": "http://news.bbc.co.uk/2/hi/entertainment/3860723.stm"} +{"d:Title": "Marlon Brando: An American Hero", "d:Description": "In the Atlantic Monthly, Pauline Kael characterizes the actor's career as symbolic of the shortcomings of the US cinema.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brando,_Marlon", "url": "http://www.theatlantic.com/past/docs/unbound/aandc/movies/movies4.htm"} +{"d:Title": "IMDb: Marlon Brando", "d:Description": "Includes filmography, awards, trivia, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brando,_Marlon", "url": "http://www.imdb.com/name/nm0000008/"} +{"d:Title": "USATODAY.com - Brando remembered as brilliant, bizarre", "d:Description": "\"He was a wild one, a godfather and a coulda-been contender.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brando,_Marlon", "url": "http://usatoday30.usatoday.com/life/people/2004-07-02-marlon-obit_x.htm"} +{"d:Title": "Internet Movie Database: Henry Brandon", "d:Description": "Contains filmography and mini biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brandon,_Henry", "url": "http://www.imdb.com/name/nm0104770/"} +{"d:Title": "Internet Movie Database: Chad Brannon", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brannon,_Chad", "url": "http://www.imdb.com/name/nm0105172/"} +{"d:Title": "Internet Movie Database: Benjamin Bratt", "d:Description": "Includes filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bratt,_Benjamin", "url": "http://www.imdb.com/name/nm0000973/"} +{"d:Title": "Yahoo! Movies: Benjamin Bratt", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bratt,_Benjamin", "url": "http://movies.yahoo.com/person/benjamin-bratt/"} +{"d:Title": "TVGuide.com: Andre Braugher", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Braugher,_Andre", "url": "http://www.tvguide.com/celebrities/andre-braugher/139511"} +{"d:Title": "Internet Movie Database: Andre Braugher", "d:Description": "Includes filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Braugher,_Andre", "url": "http://www.imdb.com/name/nm0105672/"} +{"d:Title": "Jacksonville.com: So effective in 'The Box,' but don't box him in", "d:Description": "News article on career after \"Homicide - Life on the Street\" and his desire to expand his roles beyond the character of Frank Pembleton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Braugher,_Andre/Articles_and_Interviews", "url": "http://www.jacksonville.com/tu-online/stories/042998/ent_tvbox.html"} +{"d:Title": "IMDb: Suanne Braun", "d:Description": "Offers biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Braun,_Suanne", "url": "http://www.imdb.com/name/nm0105855/"} +{"d:Title": "Richard Bremmer", "d:Description": "Richard Bremmer's filmography at IMDb", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bremmer,_Richard", "url": "http://www.imdb.com/name/nm0107101/"} +{"d:Title": "The First Unofficial Nicholas Brendon Fan Club", "d:Description": "Clubs information, biography and pictures, and Buffy news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brendon,_Nicholas", "url": "http://nbfc.faithweb.com/"} +{"d:Title": "The Triad of Nicholas Brendon Clubs", "d:Description": "Xander Dance Club, Xander Guardian Guild and Nicholas Brendon Aficionados.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brendon,_Nicholas", "url": "http://www.angelfire.com/nb/triad/"} +{"d:Title": "Xander Xone", "d:Description": "Take the quizzes to earn the right to be a Slayerette in Training.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brendon,_Nicholas", "url": "http://xanderxone.8m.com/"} +{"d:Title": "Xander", "d:Description": "A directory of Xander and Nicholas Brendon sites done as a takeoff on the Yahoo directory.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brendon,_Nicholas", "url": "http://nicky44.tripod.com/"} +{"d:Title": "For the Love of Nicky", "d:Description": "Includes fan fiction, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brendon,_Nicholas", "url": "http://duchessbuffonia.tripod.com/"} +{"d:Title": "IMDb: Nicholas Brendon", "d:Description": "Filmography, awards, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brendon,_Nicholas", "url": "http://www.imdb.com/name/nm0107183/"} +{"d:Title": "The Amy Brenneman Fan Site", "d:Description": "Biography, photos, episode guides, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brenneman,_Amy", "url": "http://amybrennemanfansite.tripod.com/"} +{"d:Title": "Internet Movie Database: Amy Brenneman", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brenneman,_Amy", "url": "http://www.imdb.com/name/nm0000312/"} +{"d:Title": "TVGuide.com: Amy Brenneman", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brenneman,_Amy", "url": "http://www.tvguide.com/celebrities/amy-brenneman/139386"} +{"d:Title": "Internet Movie Database: Lisa Brenner", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brenner,_Lisa", "url": "http://www.imdb.com/name/nm0004773/"} +{"d:Title": "Dannielle Brent's Place", "d:Description": "Yahoo! group devoted to the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brent,_Dannielle", "url": "http://groups.yahoo.com/group/danniellebrentsplace/"} +{"d:Title": "Internet Movie Database: Dannielle Brent", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brent,_Dannielle", "url": "http://www.imdb.com/name/nm0107565/"} +{"d:Title": "Yahoo! Movies: Abigail Breslin", "d:Description": "Image gallery, filmography and discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Breslin,_Abigail", "url": "http://movies.yahoo.com/person/abigail-breslin/"} +{"d:Title": "Internet Movie Database: Abigail Breslin", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Breslin,_Abigail", "url": "http://www.imdb.com/name/nm1113550/"} +{"d:Title": "Jordana Brewster", "d:Description": "Yahoo! group dedicated to the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brewster,_Jordana", "url": "http://groups.yahoo.com/group/jordanabrewster/"} +{"d:Title": "The Jordana Brewster Club", "d:Description": "Large Yahoo! group for the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brewster,_Jordana", "url": "http://groups.yahoo.com/group/thejordanabrewsterclub/"} +{"d:Title": "IMDb - Jordana Brewster", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brewster,_Jordana", "url": "http://www.imdb.com/name/nm0108287/"} +{"d:Title": "Internet Movie Database: Paget Brewster", "d:Description": "Contains filmography, image gallery and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brewster,_Paget", "url": "http://www.imdb.com/name/nm0108295/"} +{"d:Title": "Jeff Bridges", "d:Description": "The actor's official site. Includes a filmography, news and information on the End Hunger Network.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bridges,_Jeff", "url": "http://www.jeffbridges.com/"} +{"d:Title": "IMDb - Jeff Bridges", "d:Description": "Provides a filmography, trivia, pictures, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bridges,_Jeff", "url": "http://www.imdb.com/name/nm0000313/"} +{"d:Title": "Thespian Net presents Jeff Bridges", "d:Description": "Biography, links and acting credits, with facilities allowing fans to contribute information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bridges,_Jeff", "url": "http://www.thespiannet.com/actors/B/bridges_jeff/jeff_bridges.shtml"} +{"d:Title": "New York Times Movies: Jeff Bridges", "d:Description": "Offers biography, filmography, awards list, news and reviews from The New York Times.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bridges,_Jeff", "url": "http://www.nytimes.com/movies/person/3197/Jeff-Bridges"} +{"d:Title": "Who 2: Lloyd Bridges", "d:Description": "Brief profile and several related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bridges,_Lloyd", "url": "http://www.who2.com/bio/lloyd-bridges"} +{"d:Title": "IMDb: Lloyd Bridges", "d:Description": "Includes filmography, awards, mini-biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bridges,_Lloyd", "url": "http://www.imdb.com/name/nm0000978/"} +{"d:Title": "The Good Life: Richard Briers", "d:Description": "Fan site with biography, and list of film, TV and theatre appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Briers,_Richard", "url": "http://goodwrench.freeservers.com/good_life030.htm"} +{"d:Title": "BBC: Monarch Of The Glen", "d:Description": "Interview with Richard Briers about his role in the program.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Briers,_Richard", "url": "http://www.bbc.co.uk/scotland/tv/monarch/chat/index.shtml?chat=richard_series3"} +{"d:Title": "Yahoo! Movies: Richard Briers", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Briers,_Richard", "url": "http://movies.yahoo.com/person/richard-briers/"} +{"d:Title": "IMDb: Richard Briers", "d:Description": "Filmography, biography, photographs and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Briers,_Richard", "url": "http://www.imdb.com/name/nm0001972/"} +{"d:Title": "Internet Movie Database: Wilford Brimley", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brimley,_Wilford", "url": "http://www.imdb.com/name/nm0000979/"} +{"d:Title": "Internet Movie Database: Christie Brinkley", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brinkley,_Christie", "url": "http://www.imdb.com/name/nm0001973/"} +{"d:Title": "William Morris Agency: Christie Brinkley", "d:Description": "Biography and booking information for the model, artist, writer, photographer, designer, and actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brinkley,_Christie", "url": "http://www.wma.com/christie_brinkley/summary/"} +{"d:Title": "Film Scouts: Brent Briscoe", "d:Description": "Reviews of his work and partial filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Briscoe,_Brent", "url": "http://www.filmscouts.com/scripts/person.cfm?Person=5421"} +{"d:Title": "IMDb: Brent Briscoe", "d:Description": "Filmography, brief biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Briscoe,_Brent", "url": "http://www.imdb.com/name/nm0109785/"} +{"d:Title": "BBC News - Broadbent's rise to stardom", "d:Description": "Profile of British actor Jim Broadbent, who began his successful career in film, TV and theatre more than 30 years ago.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broadbent,_Jim", "url": "http://news.bbc.co.uk/1/hi/1839299.stm"} +{"d:Title": "Jim Broadbent / Broadbent Theatre", "d:Description": "Extensive fan site by Patrick Markham. Biography, filmography and discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broadbent,_Jim", "url": "http://broadbent.org/jim_broadbent/"} +{"d:Title": "TalkTalk: Jim Broadbent", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broadbent,_Jim", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/jim-broadbent/biography/52"} +{"d:Title": "IMDb: Jim Broadbent", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broadbent,_Jim", "url": "http://www.imdb.com/name/nm0000980/"} +{"d:Title": "PAA John Irvin", "d:Description": "Biography of Brochtrup and of his NYPD Blue character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brochtrup,_Bill", "url": "http://www.stwing.upenn.edu/~sepinwal/john.txt.html"} +{"d:Title": "NewStandard", "d:Description": "Brochtrup talks briefly about being gay on TV.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brochtrup,_Bill", "url": "http://archive.southcoasttoday.com/daily/08-97/08-04-97/zzzwnppl.htm#XINDEX2"} +{"d:Title": "IMDb: Bill Brochtrup", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brochtrup,_Bill", "url": "http://www.imdb.com/name/nm0110514/"} +{"d:Title": "IMDb: Beth Broderick", "d:Description": "A filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broderick,_Beth", "url": "http://www.imdb.com/name/nm0110803/"} +{"d:Title": "Internet Movie Database: James Broderick", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broderick,_James", "url": "http://www.imdb.com/name/nm0110814/"} +{"d:Title": "Save Ferris", "d:Description": "Biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broderick,_Matthew", "url": "http://www.80s.com/saveferris/cast/broderick.html"} +{"d:Title": "Internet Movie Database: Matthew Broderick", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broderick,_Matthew", "url": "http://www.imdb.com/name/nm0000111/"} +{"d:Title": "Actor Matthew Broderick", "d:Description": "Pictures, box office information, vital statistics, links and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broderick,_Matthew", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?mbroderick"} +{"d:Title": "Topix: Matthew Broderick", "d:Description": "News about Matthew Broderick, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Broderick,_Matthew", "url": "http://www.topix.com/rss/who/matthew-broderick.xml"} +{"d:Title": "IMDb: Adam Brody", "d:Description": "Filmography, biography, agent, discussions, photos, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brody,_Adam", "url": "http://imdb.com/name/nm0111013/"} +{"d:Title": "TV.com: Adam Brody", "d:Description": "Biography, roles and appearances, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brody,_Adam", "url": "http://www.tv.com/people/adam-brody/"} +{"d:Title": "Adrien Brody", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brody,_Adrien", "url": "http://www.imdb.com/name/nm0004778/"} +{"d:Title": "New York Times Movies: Adrien Brody", "d:Description": "Provides biography, filmography, awards list, news and reviews from The New York Times.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brody,_Adrien", "url": "http://www.nytimes.com/movies/person/8639/Adrien-Brody"} +{"d:Title": "Yahoo! Movies: James Brolin", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brolin,_James", "url": "http://movies.yahoo.com/person/james-brolin/"} +{"d:Title": "Internet Movie Database: James Brolin", "d:Description": "Contains biography, filmography, awards and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brolin,_James", "url": "http://www.imdb.com/name/nm0000981/"} +{"d:Title": "St. James Place: A Young Riders Archive", "d:Description": "Dedicated to Josh Brolin and the character James Butler Hickok.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brolin,_Josh", "url": "http://www.angelfire.com/in/yrworld/"} +{"d:Title": "Internet Movie Database: Josh Brolin", "d:Description": "Includes filmography, awards, image gallery and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brolin,_Josh", "url": "http://www.imdb.com/name/nm0000982/"} +{"d:Title": "Internet Movie Database: Betty Bronson", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bronson,_Betty", "url": "http://www.imdb.com/name/nm0111503/"} +{"d:Title": "A Tribute to Betty Bronson", "d:Description": "Fan site devoted to the actress offers profiles of her early years, silent film credits, promotional shots and life beyond the movies narrative.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bronson,_Betty", "url": "http://www.chariot.net.au/~dkoks/BettyBronson"} +{"d:Title": "Internet Movie Database: Charles Bronson", "d:Description": "Contains filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bronson,_Charles", "url": "http://www.imdb.com/name/nm0000314/"} +{"d:Title": "Internet Movie Database: Kelly Brook", "d:Description": "Includes filmography, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brook,_Kelly", "url": "http://www.imdb.com/name/nm0111639/"} +{"d:Title": "AskMen.com - Kelly Brook", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brook,_Kelly", "url": "http://uk.askmen.com/celebs/women/models_100/100_kelly_brook.html"} +{"d:Title": "Internet Movie Database: Avery Brooks", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Avery", "url": "http://www.imdb.com/name/nm0000984/"} +{"d:Title": "StarTrek.com - Avery Brooks", "d:Description": "Biography, character profile and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Avery", "url": "http://www.startrek.com/database_article/avery-brooks"} +{"d:Title": "Official David Allen Brooks Website", "d:Description": "Acting credits, chat transcripts and convention appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_David_Allen", "url": "http://www.isnnews.net/zocalo/brooks/brooks.htm"} +{"d:Title": "Internet Movie Database: David Allen Brooks", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_David_Allen", "url": "http://www.imdb.com/name/nm0111944/"} +{"d:Title": "Louise Brooks Web Site", "d:Description": "Fan site with images and commentary: includes information on the actress' life and films, the Jazz Age, artistic pastiches", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://thedarkwoods.free.fr/"} +{"d:Title": "Louise Brooks Society", "d:Description": "Offers biographical information, commentary, articles, photo galleries, filmography, bibliographies, archive of memorabilia, and fan club information.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://www.pandorasbox.com/"} +{"d:Title": "The Quintessential Flapper", "d:Description": "Introduction, images, and link.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://www.stanford.edu/~brooksie/Louise/brooksie.html"} +{"d:Title": "Das M\u00e4dchen Lulu", "d:Description": "Contains a brief biography, contemporary magazine articles and essays (including a few from \"Lulu in Hollywood\"), a slideshow, and list of films", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://www.psykickgirl.com/lulu/index2.html"} +{"d:Title": "Louise Brooks", "d:Description": "Biography concentrating on her early years in Cherryvale, Kansas. With annotated images and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://www.leatherockhotel.com/LouiseBrooks.htm"} +{"d:Title": "AXE - Special Collections - Louise Brooks - Louise Brooks and the Denishawn Dancers", "d:Description": "A selection of contemporary newspaper articles relating to Louise's years as a dancer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://library.pittstate.edu/spcoll/dance.html"} +{"d:Title": "Internet Movie Database: Louise Brooks", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://www.imdb.com/name/nm0000315/"} +{"d:Title": "Louise Brooks Research, Kansas State Historical Society", "d:Description": "A collection of contemporary newspaper articles from her home State.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brooks,_Louise", "url": "http://www.kshs.org/kansapedia/louise-brooks-newspaper-articles/11696"} +{"d:Title": "Piercebrosnan.com", "d:Description": "The official site of the actor with projects, photos, trailers and video clips, biography, filmography, questions and answers, appearances, archives and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce", "url": "http://www.piercebrosnan.com/"} +{"d:Title": "Pierce Brosnan - Wikipedia", "d:Description": "Encyclopedia article about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce", "url": "http://en.wikipedia.org/wiki/Pierce_Brosnan"} +{"d:Title": "TalkTalk: Pierce Brosnan", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/pierce-brosnan/biography/96"} +{"d:Title": "IMDb: Pierce Brosnan", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce", "url": "http://www.imdb.com/name/nm0000112/"} +{"d:Title": "The Movie Times: Pierce Brosnan", "d:Description": "Pictures, Box office information, vital statistics, links and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?pbrosnan"} +{"d:Title": "Thespian Net: Pierce Brosnan", "d:Description": "Includes filmography, articles, pictures and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce", "url": "http://www.thespiannet.com/actors/B/brosnan_pierce/pierce_brosnan.shtml"} +{"d:Title": "BBC News: The Man Behind Brosnan's Matador", "d:Description": "Pierce Brosnan's latest role as a burned-out, boozy hit-man could hardly be more removed from his James Bond image.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/4481478.stm"} +{"d:Title": "Pierce Brosnan Feared Kidnappers in Mexico During 'Matador'", "d:Description": "The actor reveals his security fears in this brief article.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce/Articles_and_Interviews", "url": "http://www.starpulse.com/news/index.php/2006/02/17/pierce_brosnan_feared_kidnappers_in_mexi"} +{"d:Title": "Brosnan: No Regrets Over Removing Bisexuality from New Film", "d:Description": "The former James Bond star told reporters that removing bi-sexual references to his character in his new movie \"The Matador\" was the right thing to do.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brosnan,_Pierce/Articles_and_Interviews", "url": "http://www.pinknews.co.uk/news/entertainment/2005-622.html"} +{"d:Title": "Ben's Den", "d:Description": "Ben Browder images, biography, filmography, action figures, and sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Browder,_Ben", "url": "http://www.benbrowder.net/"} +{"d:Title": "Internet Movie Database: Ben Browder", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Browder,_Ben", "url": "http://www.imdb.com/name/nm0112871/"} +{"d:Title": "TVGuide.com: Ben Browder", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Browder,_Ben", "url": "http://www.tvguide.com/celebrities/ben-browder/141470"} +{"d:Title": "IMDb: Bryan Brown", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Bryan", "url": "http://www.imdb.com/name/nm0000986/"} +{"d:Title": "The Clancy Brown Art Page", "d:Description": "Art work for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Clancy", "url": "http://www.angelfire.com/hi3/Highlander2000/ClancyBrownArt.html"} +{"d:Title": "Internet Movie Database: Clancy Brown", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Clancy", "url": "http://www.imdb.com/name/nm0000317/"} +{"d:Title": "Yahoo! Groups: kimberlyjbrown", "d:Description": "Moderated e-mail discussion group.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Kimberly_J.", "url": "http://groups.yahoo.com/group/kimberlyjbrown"} +{"d:Title": "Kimberly Jean Brown Fansite", "d:Description": "Facts, filmography and image gallery. Requires Macromedia Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Kimberly_J.", "url": "http://www.angelfire.com/mo3/stars1/kjb.html"} +{"d:Title": "Kimberly J. Brown Heaven", "d:Description": "Image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Kimberly_J.", "url": "http://www.angelfire.com/celeb2/kimberlyjbrownheaven/"} +{"d:Title": "KJB Magickal Place", "d:Description": "Biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Kimberly_J.", "url": "http://ladyrosefire.tripod.com/kjbmagickalplace/index.html"} +{"d:Title": "TVGuide.com: Kimberly J Brown", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Kimberly_J.", "url": "http://www.tvguide.com/celebrities/kimberly-j-brown/160757"} +{"d:Title": "IMDb: Kimberly J. Brown", "d:Description": "Filmography, image gallery, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Kimberly_J.", "url": "http://www.imdb.com/name/nm0004782/"} +{"d:Title": "Peter Brown Actor Fan Site", "d:Description": "Fan site with biography, movie and TV credits, pictures, and memorabilia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Peter", "url": "http://www.peterbrown.tv/"} +{"d:Title": "IMDb: Peter Brown", "d:Description": "The Internet Movie Database includes TV and movie credits, a biography, and various links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Peter", "url": "http://www.imdb.com/name/nm0114422/"} +{"d:Title": "Phil Brown: TheForce", "d:Description": "Interview by Joshua Griffin", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Phil", "url": "http://www.theforce.net/jedicouncil/interview/philbrown.shtml"} +{"d:Title": "IMDb: Phil Brown", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Phil", "url": "http://imdb.com/name/nm0114436/"} +{"d:Title": "Internet Movie Database: Robert Brown", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Robert", "url": "http://www.imdb.com/name/nm0114543/"} +{"d:Title": "Sarah Brown Online", "d:Description": "Official site for the actess who portrayed Carly on ABC's General Hospital. Includes news, projects, photos, wallpaper, and multimedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Sarah", "url": "http://www.sarahbrown.net/"} +{"d:Title": "Internet Movie Database: Sarah Brown", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Brown,_Sarah", "url": "http://www.imdb.com/name/nm0114638/"} +{"d:Title": "Internet Movie Database: Zachary Browne", "d:Description": "Includes filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Browne,_Zachary", "url": "http://www.imdb.com/name/nm0115098/"} +{"d:Title": "Internet Movie Database: Justin Bruening", "d:Description": "Contains filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bruening,_Justin", "url": "http://www.imdb.com/name/nm1472010/"} +{"d:Title": "Internet Movie Database: Zachery Ty Bryan", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bryan,_Zachery_Ty", "url": "http://www.imdb.com/name/nm0117022/"} +{"d:Title": "Bryan, Zachery Ty", "d:Description": "Fan site offers biography, pictures and television credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bryan,_Zachery_Ty", "url": "http://www.angelfire.com/mi2/HomeImprovement/ZacheryTyBryan.html"} +{"d:Title": "Internet Movie Database: Larry Bryggman", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bryggman,_Larry", "url": "http://www.imdb.com/name/nm0117365/"} +{"d:Title": "Internet Movie Database: Jensen Buchanan", "d:Description": "Contains filmography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Buchanan,_Jensen", "url": "http://www.imdb.com/name/nm0118026/"} +{"d:Title": "Betty Buckley", "d:Description": "Official site with biography and information, including news, message board, chat, and appearance schedule.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Buckley,_Betty", "url": "http://www.bettybuckley.com/"} +{"d:Title": "The Betty Lynn Buckley Club", "d:Description": "Yahoo! club for the Broadway diva.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Buckley,_Betty", "url": "http://groups.yahoo.com/group/thebettylynnbuckleyclub/"} +{"d:Title": "Internet Movie Database: Betty Buckley", "d:Description": "Contains filmography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Buckley,_Betty", "url": "http://www.imdb.com/name/nm0000990/"} +{"d:Title": "Internet Movie Database: Rebecca Budig", "d:Description": "Contains filmography, biography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Budig,_Rebecca", "url": "http://www.imdb.com/name/nm0119003/"} +{"d:Title": "Wikipedia Free Encyclopedia: Genevi\u00e8ve Bujold", "d:Description": "Provides biography, filmography and photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bujold,_Genevi\u00e8ve", "url": "http://en.wikipedia.org/wiki/Genevi%C3%A8ve_Bujold"} +{"d:Title": "Internet Movie Database: Genevi\u00e8ve Bujold", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bujold,_Genevi\u00e8ve", "url": "http://www.imdb.com/name/nm0000991/"} +{"d:Title": "IMDb: Jay Bunyan", "d:Description": "Includes filmography, awards, biography, trivia and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bunyan,_Jay", "url": "http://www.imdb.com/name/nm1216008/"} +{"d:Title": "TV.com: Jay Bunyan", "d:Description": "Biography, roles and appearances, gossip and notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bunyan,_Jay", "url": "http://www.tv.com/people/jay-ryan/"} +{"d:Title": "Thespian Net: Richard Burgi", "d:Description": "Features brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burgi,_Richard", "url": "http://www.thespiannet.com/actors/B/burgi_richard/richard_burgi.shtml"} +{"d:Title": "IMDb: Richard Burgi", "d:Description": "Provides biography and filmography of actors career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burgi,_Richard", "url": "http://www.imdb.com/name/nm0121404/"} +{"d:Title": "Nabou.com: Brooke Burke", "d:Description": "Profile, pictures and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Brooke", "url": "http://www.nabou.com/celebrities/brooke_burke/"} +{"d:Title": "Abstracts.net: Brooke Burke", "d:Description": "News, biography, picture resources, filmography, hangman game, quiz, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Brooke", "url": "http://abstracts.net/brooke-burke/"} +{"d:Title": "IMDb: Brooke Burke", "d:Description": "Includes filmography, biography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Brooke", "url": "http://www.imdb.com/name/nm1036361/"} +{"d:Title": "USA Today - Delta Style", "d:Description": "Excerpt from Delta Burke's book Delta Style.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Delta", "url": "http://www.usatoday.com/life/enter/books/fc/delta.htm"} +{"d:Title": "Fabulous Delta Burke", "d:Description": "Fan tribute offering a biography, filmography, pictures, a mailing list and a report on a meeting with the performer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Delta", "url": "http://deltaburkefanatic.tripod.com/"} +{"d:Title": "TVGuide.com: Delta Burke", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Delta", "url": "http://www.tvguide.com/celebrities/delta-burke/146993"} +{"d:Title": "IMDb: Delta Burke", "d:Description": "Internet Movie Database entry for Delta Burke.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Delta", "url": "http://www.imdb.com/name/nm0004785/"} +{"d:Title": "Delta Burke", "d:Description": "\"At age forty, Delta Burke is having the time of her life.\" By Gloria Cahill.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Delta/Articles_and_Interviews", "url": "http://www.radiancemagazine.com/issues/1997/delta.html"} +{"d:Title": "KathyBurke.co.uk", "d:Description": "Presents biographical information, articles and a filmography, with links to video clips and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Kathy", "url": "http://www.kathyburke.co.uk/"} +{"d:Title": "IMDb: Kathy Burke", "d:Description": "Offers biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burke,_Kathy", "url": "http://www.imdb.com/name/nm0121755/"} +{"d:Title": "The Silent Film Career of Bartine Burkett", "d:Description": "Includes a biography, filmography, photo gallery, and an article written by the actress herself about her work with Buster Keaton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burkett,_Bartine", "url": "http://www.hollywoodtimemachine.com/bartine/"} +{"d:Title": "Find A Grave - Bartine Burkett", "d:Description": "Provides date of birth and death, burial location, photo of gravestone marker and visitor notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burkett,_Bartine", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=20176"} +{"d:Title": "Bartine Burkett", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burkett,_Bartine", "url": "http://www.imdb.com/name/nm0121938/"} +{"d:Title": "IMDb - Brooke Burns", "d:Description": "Includes a filmography, a list of notable television appearances, photographs, trivia, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burns,_Brooke", "url": "http://www.imdb.com/name/nm0004786/"} +{"d:Title": "Internet Movie Database: Hedy Burress", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burress,_Hedy", "url": "http://www.imdb.com/name/nm0123102/"} +{"d:Title": "TVGuide.com: Saffron Burrows", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burrows,_Saffron", "url": "http://www.tvguide.com/celebrities/saffron-burrows/173522"} +{"d:Title": "IMDb: Saffron Burrows", "d:Description": "The Internet Movie Database includes filmography, profile, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burrows,_Saffron", "url": "http://www.imdb.com/name/nm0004787/"} +{"d:Title": "Box Office Data for Saffron Burrows", "d:Description": "Summarizes box office statistics for movies the actress appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burrows,_Saffron", "url": "http://www.the-numbers.com/person/21660401-Saffron-Burrows"} +{"d:Title": "Internet Movie Database: Ellen Burstyn", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burstyn,_Ellen", "url": "http://www.imdb.com/name/nm0000995/"} +{"d:Title": "MovieActors.com - Ellen Burstyn", "d:Description": "Brief profile and credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burstyn,_Ellen", "url": "http://www.movieactors.com/actors/ellenburstyn.htm"} +{"d:Title": "ThespianNet: Ellen Burstyn", "d:Description": "Biography, filmography, links and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burstyn,_Ellen", "url": "http://www.thespiannet.com/actresses/B/burstyn_ellen/ellen_burstyn.shtml"} +{"d:Title": "Amanda Burton", "d:Description": "Biography, filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burton,_Amanda", "url": "http://www.tmaw.co.uk/amandab.html"} +{"d:Title": "IMDb: Amanda Burton", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burton,_Amanda", "url": "http://www.imdb.com/name/nm0123511/"} +{"d:Title": "Richard Burton Experience, The", "d:Description": "Biography, filmography, quotes, links, pictures, audio and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burton,_Richard", "url": "http://www.angelfire.com/celeb/richardburton1984/main.html"} +{"d:Title": "Find A Grave - Richard Burton", "d:Description": "Provides date of birth and death, burial location, photos of the actor and grave marker.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burton,_Richard", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2033"} +{"d:Title": "IMDb: Richard Burton (I)", "d:Description": "Biography, filmography, pictures and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burton,_Richard", "url": "http://www.imdb.com/name/nm0000009/"} +{"d:Title": "Internet Movie Database: Steve Burton", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Burton,_Steve", "url": "http://www.imdb.com/name/nm0123733/"} +{"d:Title": "Lisa's Gary Busey page", "d:Description": "Contains photos and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Busey,_Gary", "url": "http://www.angelfire.com/ca4/garybusey/"} +{"d:Title": "Tulsa TV Memories: Gary Busey", "d:Description": "Information about the actor, pictures, and memories about his career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Busey,_Gary", "url": "http://tulsatvmemories.com/mazeppa2.html"} +{"d:Title": "Internet Movie Database: Gary Busey", "d:Description": "Includes filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Busey,_Gary", "url": "http://www.imdb.com/name/nm0000997/"} +{"d:Title": "Internet Movie Database: Dan Butler", "d:Description": "Includes filmography, trivia, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Dan", "url": "http://www.imdb.com/name/nm0124873/"} +{"d:Title": "Gerard Butler Dot Net", "d:Description": "Offers biography, career database, news archive, multimedia, fan zone, charity contributions and newsletter subscription service.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.gerardbutler.net/"} +{"d:Title": "IMDb - Gerard Butler", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.imdb.com/name/nm0124930/"} +{"d:Title": "Gerard Butler Gals", "d:Description": "News, photo gallery, calendar and fan forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.gerardbutlergals.com/"} +{"d:Title": "Littlepaty's Stories - A Tribute to Gerard Butler's Talent", "d:Description": "Biography, filmography, message board and fan fiction.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.littlepaty.com/"} +{"d:Title": "CanMag- Gerard Butler", "d:Description": "Features biography, memorable moment, full filmography, images and related articles. Option to register for update notices via email.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.canmag.com/fanpages/38/Gerard_Butler"} +{"d:Title": "Filmbug: Gerard Butler", "d:Description": "Provides biography with links to related information, filmography and interactive forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.filmbug.com/db/249293"} +{"d:Title": "Wikipedia: Gerard Butler", "d:Description": "Encyclopedia provides hyper-linked biography, filmography, photo and external links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://en.wikipedia.org/wiki/Gerard_Butler#External_links"} +{"d:Title": "GBVideos", "d:Description": "Archived database offers video files for actor Gerard Butler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.gbnetvideo.net/"} +{"d:Title": "Topix", "d:Description": "Gerard Butler news feed", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.topix.com/rss/who/gerard-butler.xml"} +{"d:Title": "Gerard Butler Global Fan Haven", "d:Description": "A compendium message board dedicated to Scottish actor Gerard Butler. Registration required for some areas.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://gerardbutlerglobalfans.com/"} +{"d:Title": "Gerard Butler - Rotten Tomatoes Celebrity Profile", "d:Description": "Provides Tomatometer rating, highest and lowest rated films, filmography and discussion forums.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.rottentomatoes.com/celebrity/gerard_butler/"} +{"d:Title": "Men's Health: Character Builder", "d:Description": "To become fit for a king, 300 star Gerard Butler trained harder than most pro athletes for 4 months straight. But his biggest challenge came when the cameras stopped rolling. By Jeff O'Connell.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.menshealth.com/best-life/fitness-tips-gerard-butler"} +{"d:Title": "AskMen.com: Gerard Butler", "d:Description": "Features photos, trivia, commentary, biography and viewer opinions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.askmen.com/celebs/men/entertainment_200/237_gerard_butler.html"} +{"d:Title": "TVGuide.com: Gerard Butler", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.tvguide.com/celebrities/gerard-butler/151902"} +{"d:Title": "Gerard Butler Fan Club", "d:Description": "Offers gossip, news, photos, interviews, film reviews and shopping.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://gerardjamesbutler.com/"} +{"d:Title": "New York Times, The", "d:Description": "Filmography, biography, movie reviews, news and video trailers for actor Gerard Butler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.nytimes.com/movies/person/1548601/Gerard-Butler"} +{"d:Title": "Gerry Butler Icons", "d:Description": "Journal blogger offers icons and avatars.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://gerry-icons.livejournal.com/"} +{"d:Title": "Box Office Data: Gerard Butler", "d:Description": "Provides date of release, film name, role played, first weekend totals, domestic and worldwide gross receipts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard", "url": "http://www.the-numbers.com/person/22060401-Gerard-Butler"} +{"d:Title": "Gerard Butler Talks About \"Burns\" and \"Beowulf and Grendel\"", "d:Description": "Video interview where the actor speaks about the script for \"Burns\", Julia Stiles and working on \"Beowulf and Grendel\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://movies.about.com/od/butlergerard/a/gerbutler080505.htm"} +{"d:Title": "BBC Movies Interview - The Phantom of the Opera", "d:Description": "The Scottish star talks about donning the mask for his first musical.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.bbc.co.uk/films/2004/12/08/gerard_butler_the_phantom_of_the_opera_interview.shtml"} +{"d:Title": "Gerard Butler - Phantom of the Opera", "d:Description": "The Scottish thespian discusses the psychological effects of wearing the Phantom's mask, his singing ability and extreme working conditions in Iceland for \"Beowulf and Grendel\". Interview by Paul Fischer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.femail.com.au/phantom-of-the-opera-gerard-butler-pf.htm"} +{"d:Title": "Andrew Lloyd Webber's The Phantom Of The Opera: An Interview with Gerard Butler", "d:Description": "Gerard Butler reveals how the mask for the Phantom was chosen from hundreds of prototypes and how wearing it affected his performance. He also addresses the strenuous 6 day shooting schedule for the final lair scene.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.blackfilm.com/20041217/features/gerardbutler.shtml"} +{"d:Title": "Interview: Gerard Butler on The Reign of Fire", "d:Description": "Article reveals his experience with hypothermia, working in a carnival, disillusionment with his law career and acting by instinct.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.iofilm.co.uk/feats/interviews/g/gerard_butler.shtml"} +{"d:Title": "BBC Films: Interview - Gerard Butler", "d:Description": "The actor talks about his film, \"Dracula 2001\". By Jane Crowther.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.bbc.co.uk/films/2001/05/18/gerard_butler_interview.shtml"} +{"d:Title": "Exclusive Interview with 300 Star Gerard Butler", "d:Description": "The star talks about his fans, the training process, getting into character and the appeal of the historical story told in the movie 300 based on Frank Miller's graphic novel. Interview is offered in 3 video clips or text format. By Rebecca Murray.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://movies.about.com/od/300/a/300butler072406.htm"} +{"d:Title": "CBR: \u201c300\u201d One-On-One With Gerard Butler", "d:Description": "In January of 2006, CBR News trekked up to a rather cold Montreal for a personal set visit for the film \u201c300.\u201d Interview includes movie posters and film images. By Jonah Weiland.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=9572"} +{"d:Title": "ComingSoon.net: 300 Star Gerard Butler", "d:Description": "ComingSoon.net/Superhero Hype recently spoke to the actor about what is was like to play the central character. By Heather Newgen.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.comingsoon.net/news/movienews.php?id=19174"} +{"d:Title": "Exclusive Interview: Gerard Butler on 300", "d:Description": "Exclusive interview with the Scottish actor about the movie 300, based on Frank Miller's graphic novel. Gerard Butler on 300, the buzz, the reaction of women, the romantic angle, the cape and the codpiece. By Rebecca Murray.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://movies.about.com/od/300/a/300gb030307.htm"} +{"d:Title": "Gerard Butler on The Hour with George Stroumboulopoulos", "d:Description": "Features include video, photos and feedback weblog.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.cbc.ca/thehour/video.php?id=1415"} +{"d:Title": "TimesOnline: Immortal Combat", "d:Description": "\u201cRight now I\u2019m just going to relax, and carry on working on my plan to take over the world,\u201d he says with a broad smile. By Will Lawrence.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://entertainment.timesonline.co.uk/tol/arts_and_entertainment/film/article1479375.ece"} +{"d:Title": "Gerard Butler May Star in Escape from New York Remake", "d:Description": "The star could possibly be starring in the remake of Escape from New York, the 1981 John Carpenter film which starred Kurt Russell as Snake Plissken. By Rebecca Murray.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://movies.about.com/od/butlergerard/a/newyork031307.htm"} +{"d:Title": "Filmstalker: Gerard Butler to Star in Escape From New York Remake?", "d:Description": "Gerard Butler could be starring in a remake of the classic John Carpenter film Escape From New York and playing the superb character of Snake Plissken made famous by Kurt Russell. By Richard Brunton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.filmstalker.co.uk/archives/2007/03/gerard_butler_to_star_in_escap.html"} +{"d:Title": "Maroon Voices Podcast: Gerard Butler", "d:Description": "Audio interview with the star of Zack Snyder's \"300\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://maroonvoices.blogspot.com/2007/03/podcast-wednesday-gerard-butler.html"} +{"d:Title": "Comic Book Resources: The Spartan King - Butler Talks \"300\"", "d:Description": "Gerard Butler spoke with members of the press about his role in \"300,\" the intense amount of training and toll it took on him, and what this role means to his career. By Jonah Weiland.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=9664"} +{"d:Title": "Gerard Butler Named Action Movie Star of the Year", "d:Description": "300 star Gerard Butler will be bestowed the Action Movie Star of the Year prize at the 2007 Taurus World Stunt Awards held on May 20.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.aceshowbiz.com/news/view/00008481.html"} +{"d:Title": "Filmstalker: Butler Says Watchment In Doubt", "d:Description": "Gerard Butler seems to have one of the best problems in Hollywood on his hands, he's too busy, and that means he might be too busy for Zack Snyder's Watchmen. By Richard Brunton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.filmstalker.co.uk/archives/2007/05/butler_says_watchment_in_doubt.html"} +{"d:Title": "IndieLondon: 300 - Gerard Butler Interview", "d:Description": "The Scottish star talks about his role in 300, his comparison to Russell Crowe and offers personal insight into his life as an actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.indielondon.co.uk/Film-Review/300-gerard-butler-interview"} +{"d:Title": "Escape from New York Remake Update: Gerard Butler Out, Jonathan Mostow In", "d:Description": "Gerard Butler, who has been attached to the remake since forever, has left the project over that famous excuse, \u201ccreative differences\u201d.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.beyondhollywood.com/escape-from-new-york-remake-update-gerard-butler-out-jonathan-mostow-in/"} +{"d:Title": "Gerard Butler Interviewed", "d:Description": "Since starring as King Leonidas in the movie \u201c300,\u201d Gerard Butler has been working nonstop. Includes audio clip.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.collider.com/entertainment/interviews/article.asp?aid=6277&tcid=1"} +{"d:Title": "Gerard Butler Talks PS I Love You", "d:Description": "With P. S. I Love You Butler leaves the action genre for a bit and returns to a more character-driven, intimate story, like he did with Dear Frankie. By Rebecca Murray.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://movies.about.com/od/psiloveyou/a/psilovegb121207.htm"} +{"d:Title": "Ones2Watch4.Com - Gerard Butler Spotlight", "d:Description": "The actor shares his thoughts about pre-historic lizards in \"Reign of Fire\", enjoying the dubious pleasures of life and his phases of extreme behavior.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://ones2watch4.com/celebrities/celebrity-interviews/spotlight-on-gerard-butler/"} +{"d:Title": "FemaleFirst: Best of British - Gerard Butler", "d:Description": "Despite having had a steady career since the late 1990s Scottish actor Gerard Butler shot to fame in 2007's war epic 300. By Helen Earnshaw.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.femalefirst.co.uk/movies/Best+of+British+Gerard+Butler-5417.html"} +{"d:Title": "Devon and Cornwall Film: Gerard Butler Q&A", "d:Description": "Adventuring, at least in movies for Gerard Butler, seems to be in his blood. Includes video.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.devon-cornwall-film.co.uk/2008/05/04/gerard-butler-qa-video/"} +{"d:Title": "Animation Magazine: Watchmen's Black Freighter to Be DVD Movie |", "d:Description": "The anime-style production will star Gerard Butler (300) as the voice of the cursed main character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.animationmagazine.net/article/8397"} +{"d:Title": "Film School Rejects: Gerard Butler Denies Involvement in the 300 Sequel", "d:Description": "While sitting in the press conference for Guy Ritchie's hopefully triumphant return into manhood, RocknRolla, Gerard Butler was asked about his possible involvement in the sequel/prequel for 300. By Brian C. Gibson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.filmschoolrejects.com/news/gerard-butler-for-300-sequel-no.php"} +{"d:Title": "Insider - TV Guide Online", "d:Description": "Gerard Butler talks about his disastrous screen test for \"Lara Croft Tomb Raider: The Cradle of Life\" and director Jan de Bont's opinion of his primping habits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.tvguide.com/news/Tomb-Raider-Studs-35887.aspx"} +{"d:Title": "Ain't It Cool News: 300's King Leonidas", "d:Description": "Interview with Gerard Butler by Quint. [2006.08.15]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.aintitcool.com/node/24171"} +{"d:Title": "Glasgow University Law School: Distinguished Alumni", "d:Description": "Provides a listing of accomplished graduates including actor Gerard Butler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.gla.ac.uk/alumni/ouralumni/lifeafterglasgow/notablealumni/"} +{"d:Title": "Gerard Butler: The Man in the Mask", "d:Description": "\"Yeah, it's sexed up,\" the star admits regarding Joel Schumacher's adaptation of \"The Phantom of the Opera\". The actor also talks about the physical attraction of the character and how the Phantom wants a partner and some good sex.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.sfgate.com/default/article/Gerard-Butler-the-man-in-the-mask-2628291.php"} +{"d:Title": "Variety: Butler Escapes 'New York' Remake", "d:Description": "Before they shot a frame of film, Gerard Butler escaped Escape From New York, the remake of the John Carpenter film.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://variety.com/2007/film/news/butler-escapes-new-york-remake-1117975061/"} +{"d:Title": "Variety: Butler, Siegel are Evil Twins", "d:Description": "Gerard Butler has launched the production shingle Evil Twins with his longtime manager, Alan Siegel. By Tatiana Siegel.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://variety.com/2008/film/news/butler-siegel-are-evil-twins-1117981846/"} +{"d:Title": "E!Online: Gerard Butler Named in Battery Investigation", "d:Description": "A police report has been filed against the Scottish actor the night after the 300 star allegedly got into a physical altercation with a paparazzo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.eonline.com/news/33068/gerard-butler-named-in-battery-investigation"} +{"d:Title": "USA Today.com - Gerard Butler: Film Raider", "d:Description": "Interviewed by Karen Thomas, the performer shares his feelings regarding his dreadful days as a lawyer, his Mother's support of his career and his globe-trotting lifestyle.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://usatoday30.usatoday.com/life/2003-07-29-butler_x.htm"} +{"d:Title": "MTV Movies Blog: Gerard Butler Talks Up \u2018Untouchables,\u2019 Casts Doubt On \u2018Watchmen\u2019", "d:Description": "After hitting it big with \u201c300,\u201d Butler was also being touted for a role in director Zack Snyder\u2019s next comic adaptation, the epic \u201cWatchmen.\u201d Don\u2019t count on it, he lamented. By Shawn Adler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://moviesblog.mtv.com/2007/05/21/gerard-butler-talks-up-untouchables-casts-doubt-on-watchmen/"} +{"d:Title": "Scotsman.com: Star Pursued By Legion Of Self-Confessed 'Tarts'", "d:Description": "Gerard Butler boasts an international legion of women whose devotion knows no bounds. They call themselves the Tarts and more than 300 will next week descend on Scotland for a most curious pilgrimage. By Martyn McLaughlin.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.scotsman.com/news/celebrity/star-pursued-by-legion-of-self-confessed-tarts-1-911011"} +{"d:Title": "Gerard Butler in \u201cMen\u2019s Health\u201d", "d:Description": "Gerard Butler is on FIYAH in the October 2008 issue of Men\u2019s Health. Includes small image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.justjared.com/2008/09/16/gerard-butler-mens-health-october-2008/"} +{"d:Title": "Kwanzoo: Maybe They\u2019ve Got Something Here", "d:Description": "The law is apparently willing to bend for Gerard Butler and offer him an out. By Steve Anderson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.kwanzoo.com/blog/maybe-theyve-got-something-here.shtml"} +{"d:Title": "TMC.net: 'Oh My God It's Gerry!'", "d:Description": "Gerard Butler might be an actor whose face you'd struggle to place, yet to a global army of female fans he's a minor deity.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Articles_and_Interviews", "url": "http://www.nfvzone.com/news/2006/02/11/1362913.htm"} +{"d:Title": "Gerard Butler Web Ring, The", "d:Description": "A ring of websites dedicated to Scottish actor Gerard Butler, star of Andrew Lloyd Webber's The Phantom of the Opera, Tomb Raider 2: The Cradle of Life, Attila, Reign of Fire and Timeline,", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Directories", "url": "http://www.webring.org/hub?ring=thegerardbutlerw"} +{"d:Title": "Fanfics and Wallpapers: Gerard Butler", "d:Description": "Offers creative desktop wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Image_Galleries", "url": "http://fanficsetwallpapers.free.fr/welcome.htm"} +{"d:Title": "JustJared: Gerard Butler Premieres RocknRolla", "d:Description": "Gerard Butler looks dapper in a suit at the world premiere of RocknRolla at the Odeon West End cinema, Leicester Square on Monday in London, England. Offers small image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Image_Galleries", "url": "http://www.justjared.com/2008/09/01/gerard-butler-rocknrolla-premiere/"} +{"d:Title": "Gerard Butler Still Loves You", "d:Description": "Gerard Butler poses at the P.S. I Love You press conference at Cerulean Tower Tokyu Hotel in Tokyo, Japan. Offers small image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Gerard/Image_Galleries", "url": "http://www.justjared.com/2008/09/11/gerard-butler-ps-i-love-you-tokyo/"} +{"d:Title": "Yancy at Yahoo Groups", "d:Description": "Fan club for information, discussion, and pictures of Yancy Butler. Requires Yahoo! ID and registration.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Yancy", "url": "http://groups.yahoo.com/group/yancy_butler/"} +{"d:Title": "4YB - Yancy Butler", "d:Description": "Fan site with gallery, videos, links and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Yancy", "url": "http://eliana.free.fr/"} +{"d:Title": "TNT - Yancy Butler Bio", "d:Description": "Biography of the Witchblade star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Yancy", "url": "http://www.tnt.tv/title/0,20344,342634-3275,00.html"} +{"d:Title": "TVGuide.com: Yancy Butler", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Yancy", "url": "http://www.tvguide.com/celebrities/yancy-butler/179483"} +{"d:Title": "IMDb: Yancy Butler", "d:Description": "Filmography for Yancy Butler from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Yancy", "url": "http://www.imdb.com/name/nm0000319/"} +{"d:Title": "Thespian Net Presents Yancy Butler", "d:Description": "Brief Yancy Butler biography, filmography, and address for fan mail.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Butler,_Yancy", "url": "http://www.thespiannet.com/actresses/B/butler_yancy/yancy_butler.shtml"} +{"d:Title": "Internet Movie Database: Sarah Buxton", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Buxton,_Sarah", "url": "http://www.imdb.com/name/nm0125533/"} +{"d:Title": "IMDb: Amanda Bynes", "d:Description": "Includes filmography, awards, trivia, quotes, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bynes,_Amanda", "url": "http://www.imdb.com/name/nm0004789/"} +{"d:Title": "Amanda Land", "d:Description": "Includes profile, filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bynes,_Amanda/Fan_Pages", "url": "http://lucy_334.tripod.com/"} +{"d:Title": "Amazing Amanda Bynes", "d:Description": "Images from Nickelodeon's \"All That\" and \"Figure It Out.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Bynes,_Amanda/Fan_Pages", "url": "http://hobos2.tripod.com/"} +{"d:Title": "The Movie Times: Gabriel Byrne", "d:Description": "Pictures, box office information, vital statistics, links and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Byrne,_Gabriel", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?gbyrne"} +{"d:Title": "IMDb: Gabriel Byrne", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Byrne,_Gabriel", "url": "http://www.imdb.com/name/nm0000321/"} +{"d:Title": "Internet Movie Database: Rose Byrne", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Byrne,_Rose", "url": "http://www.imdb.com/name/nm0126284/"} +{"d:Title": "Royal Handmaiden Society - Rose Byrne", "d:Description": "Photographs other than of her role in Star Wars.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/B/Byrne,_Rose", "url": "http://www.royalhandmaidensociety.org/rose.html"} +{"d:Title": "Crow, Kim", "d:Description": "US based SAG/AFTRA actress. Includes resume, photos, demos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.best-voice-actress.com/"} +{"d:Title": "Carpenter, Brian", "d:Description": "California based actor. Includes resume, photos, video clips, reviews and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.briancarpenter.com/"} +{"d:Title": "Cataldi-Tassoni, Coralina", "d:Description": "Chicago based actress/singer. Includes resume, photos and contact details. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.coralina.net/"} +{"d:Title": "Curtis, Mike", "d:Description": "Nebraska based actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.angelfire.com/stars/themike/"} +{"d:Title": "Caffey, David", "d:Description": "Memphis based actor. Includes resume, photos and agency information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.davidcaffey.com/"} +{"d:Title": "Cambridge, Richard", "d:Description": "UK based Equity actor. Includes resume, photos, demos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.richardcambridge.co.uk/"} +{"d:Title": "Clayman, Stephanie", "d:Description": "US based actress. Includes resume, photos, news and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.stephanieclayman.com/"} +{"d:Title": "Cooper, Karen", "d:Description": "UK based character actress. Curriculum vitae, biographical information, contact details, photographs and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.karencooper.co.uk/"} +{"d:Title": "Cohen, Carrie", "d:Description": "Resume, photo gallery and audio clips of this UK based Equity actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.carriecohen.co.uk/"} +{"d:Title": "Chen, Christopher", "d:Description": "Los Angeles based Asian male actor. Includes photographs, biographical details, resume, current projects and links to other actors and entertainment resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://christopherchen.com/"} +{"d:Title": "Chase, Sonora", "d:Description": "New York based Equity and AFTRA actress. Provides a biography, production stills, voice over clips, contact facilities and details of forthcoming performances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.sonorachase.com/"} +{"d:Title": "Colitti, Tony", "d:Description": "Los Angeles based actor. Includes resume, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.tonycolitti.com/"} +{"d:Title": "Clark, Clint", "d:Description": "Minneapolis based actor. Includes current projects, resume, headshots, production photographs, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.clintc.com/"} +{"d:Title": "Caleodis, George", "d:Description": "Ohio based improvisational actor. Includes biography, resume, photographs, demo reel and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.caleodis.com/"} +{"d:Title": "Cowling,_Gary", "d:Description": "New York City based film, theatre and television actor. Includes photographs, resume, video clips, reviews, a biography, contact details and news of forthcoming projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.garycowling.com/"} +{"d:Title": "Curran,_Ian", "d:Description": "Theatrical actor based in Manchester, UK. Contains photographs, reviews, contact facilities, and news of recent performances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.freewebs.com/iancurran/"} +{"d:Title": "Cates, Dean", "d:Description": "Los Angeles based SAG member. Includes a biography, photographs, resume, video clips and contact facilities.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.deancates.com/"} +{"d:Title": "Cassidy, Ryan", "d:Description": "Offers biography, trivia, photo galleries and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://rcassidypage.tripod.com/"} +{"d:Title": "Che, Stephanie", "d:Description": "Includes images, filmography, discography and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.angelfire.com/film/stephanieche/"} +{"d:Title": "Cray, Richard", "d:Description": "Offers engagement information, photo gallery, email contact and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.richardcray.com/"} +{"d:Title": "Cohan, Lauren", "d:Description": "Provides biography, career credits, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.laurencohan.com/"} +{"d:Title": "Chen, Lynn", "d:Description": "Provides contact information and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.lynnchen.com/"} +{"d:Title": "Corren, Donald", "d:Description": "Provides resume, photo gallery, headshots and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.donaldcorren.com/"} +{"d:Title": "Cooper, Chuck", "d:Description": "Provides resume, career credits, vocal samples and photo gallery. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.chuckcooper.net/"} +{"d:Title": "Cleven, Chad", "d:Description": "US based SAG member. Includes photographs, resume, biographical information and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.nowcasting.com/actor/popup/viewactor.php?page=resume&actorID=chadcleven&POPUP=1"} +{"d:Title": "Coddington, Dominic", "d:Description": "UK based Equity actor. Provides an overview of his training and experience, with a photograph and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://ukscreen.com/swissdom/"} +{"d:Title": "Cunningham, Cory", "d:Description": "Mississippi based student, pursuing a Bachelor of Fine Arts in Theater. Includes contact information, a biography, acting resume and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://corycunningham.wixsite.com/corycunningham"} +{"d:Title": "Cissell, Jim", "d:Description": "Washington based actor/voice artist. Includes audio demos, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C", "url": "http://www.voiceguy.com/"} +{"d:Title": "Filmbug - James Caan", "d:Description": "Biography and movie information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caan,_James", "url": "http://www.filmbug.com/db/4366"} +{"d:Title": "IMDb - James Caan", "d:Description": "Filmography, biographical information, trivia and gallery containing 60 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caan,_James", "url": "http://www.imdb.com/name/nm0001001/"} +{"d:Title": "Canoe - James Caan", "d:Description": "Collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caan,_James", "url": "http://jam.canoe.com/Movies/Artists/C/Caan_James/"} +{"d:Title": "Scott Caan 101", "d:Description": "Fan site including news, biography, filmography, quotes, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caan,_Scott", "url": "http://www.angelfire.com/az3/scottcaan/"} +{"d:Title": "IMDb - Scott Caan", "d:Description": "Filmography with biographical information and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caan,_Scott", "url": "http://www.imdb.com/name/nm0004790/"} +{"d:Title": "IMDb - Sid Caesar", "d:Description": "Biographical information, photographs and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caesar,_Sid", "url": "http://www.imdb.com/name/nm0128377/"} +{"d:Title": "Caesar, Sid", "d:Description": "Biography and a list of film, television and theatre credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caesar,_Sid", "url": "http://www.museum.tv/eotv/caesarsid.htm"} +{"d:Title": "Canoe - Hale Caesar", "d:Description": "The comedian discusses his views on Jewish humor, and the perils of live television. By Jim Slotek.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caesar,_Sid", "url": "http://jam.canoe.com/Movies/Artists/C/Caesar_Sid/2001/04/28/757017.html"} +{"d:Title": "IMDb - Stephen Caffrey", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caffrey,_Stephen", "url": "http://www.imdb.com/name/nm0128462/"} +{"d:Title": "Stephen Caffrey Fan Page", "d:Description": "Includes biographical information, filmography, images and screen captures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caffrey,_Stephen", "url": "http://knuffie.tripod.com/scaffrey.html"} +{"d:Title": "IMDb - Nicolas Cage", "d:Description": "Filmography and gallery containing 124 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas", "url": "http://www.imdb.com/name/nm0000115/"} +{"d:Title": "The Movie Times.com - Nicolas Cage", "d:Description": "Pictures, box office information, vital statistics, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?ncage"} +{"d:Title": "Box Office Mojo", "d:Description": "Nicolas Cage's box office track record.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas", "url": "http://www.boxofficemojo.com/people/chart/?id=nicolascage.htm"} +{"d:Title": "AskMen.com: Nicolas Cage", "d:Description": "Biography, commentary, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas", "url": "http://uk.askmen.com/celebs/men/entertainment/27_nicolas_cage.html"} +{"d:Title": "Thespian Net: Nicolas Cage", "d:Description": "Film credits, and links for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas", "url": "http://www.thespiannet.com/actors/C/cage_nicolas/nicolas_cage.shtml"} +{"d:Title": "Nicolas Cage Site", "d:Description": "Features a profile, filmography, awards, interview, picture gallery, wallpaper, screensaver, and multimedia content.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas/Fan_Pages", "url": "http://www.angelfire.com/ca7/nicolascage/"} +{"d:Title": "Love That Nicolas Cage", "d:Description": "Biography, filmography, images and screen captures, e-cards, .wav files, desktop wallpaper and discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas/Fan_Pages", "url": "http://lovethatnicolascage.tripod.com/index0.htm"} +{"d:Title": "Nicolas Cage Fan Club", "d:Description": "E-mail discussion forum. [Yahoo! ID and registration required]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cage,_Nicolas/Fan_Pages", "url": "http://movies.groups.yahoo.com/group/nicinfo/"} +{"d:Title": "James Cagney - One of a Kind", "d:Description": "Biographical information, sound clips, career highlights, photographs and desktop wallpaper.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.meredy.com/cagney/"} +{"d:Title": "Cagney, James", "d:Description": "Pictures, filmography, biographical information and features on selected films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.themave.com/Cagney/"} +{"d:Title": "Meredy's James Cagney Trivia Mania", "d:Description": "Trivia quiz on the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.meredy.com/cagneytriv.htm"} +{"d:Title": "Find A Grave: James Cagney (1899 - 1986)", "d:Description": "The location of the actor's grave, exact cause of death, photographs and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=160"} +{"d:Title": "Master of Pugnacious Grace", "d:Description": "Obituary and overview of the actor's career from the New York Times Learning Network.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.nytimes.com/learning/general/onthisday/bday/0717.html"} +{"d:Title": "Hollywood Renegades - Cagney", "d:Description": "An exploration of the actor's stance against the Hollywood studio system.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.cobbles.com/simpp_archive/cagneys.htm"} +{"d:Title": "Images - In Focus: James Cagney", "d:Description": "Article by Grant Tracey.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.imagesjournal.com/issue01/infocus/cagney1.htm"} +{"d:Title": "Cagney, James", "d:Description": "Gallery containing 10 still images of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.angelfire.com/wizard/beebop33/cagn.html"} +{"d:Title": "IMDb - James Cagney", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.imdb.com/name/nm0000010/"} +{"d:Title": "James Cagney 2", "d:Description": "Message board for Cagney fans. Requires Yahoo! ID and registration.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://movies.groups.yahoo.com/group/jamescagney2/"} +{"d:Title": "James Cagney Online", "d:Description": "Fan site dedicated to the legendary actor, and includes a biography, trailers and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.cagneyonline.co.uk/"} +{"d:Title": "TVGuide.com: James Cagney", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.tvguide.com/celebrities/james-cagney/279290"} +{"d:Title": "Kennedy Center: James Cagney", "d:Description": "Biography and details of an award presented to the actor in 1980.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cagney,_James", "url": "http://www.kennedy-center.org/artist/A3702"} +{"d:Title": "IMDb - Eddie Cahill", "d:Description": "Filmography, photographs and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cahill,_Eddie", "url": "http://www.imdb.com/name/nm0128655/"} +{"d:Title": "IMDb - Dean Cain", "d:Description": "Biographical details, filmography and gallery containing 44 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cain,_Dean", "url": "http://www.imdb.com/name/nm0001002/"} +{"d:Title": "My Domain is Michael Caine", "d:Description": "Film posters, biography, filmography, contact details, links and a report on a fan's meeting with the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://www.michaelcaine.org/"} +{"d:Title": "Sir Michael Caine", "d:Description": "Biography, news, photo gallery, and selected links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://www.tmaw.co.uk/michaelc.html"} +{"d:Title": "Digital Hit's Celebrity Row - Michael Caine", "d:Description": "Biographical information and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://www.digitalhit.com/cr/michaelcaine"} +{"d:Title": "Harry Palmer Movie Site", "d:Description": "Contains cast information, posters, lobbycards, stills, press articles, and trivia.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://keesstam.tripod.com/harrypalmer.html"} +{"d:Title": "TVGuide.com: Michael Caine", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://www.tvguide.com/celebrities/michael-caine/165958"} +{"d:Title": "Rotten Tomatoes: Michael Caine", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://www.rottentomatoes.com/celebrity/michael_caine/"} +{"d:Title": "TalkTalk - Michael Caine", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/michael-caine/biography/83"} +{"d:Title": "IMDb - Michael Caine", "d:Description": "Biography, filmography, photos and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caine,_Michael", "url": "http://www.imdb.com/name/nm0000323/"} +{"d:Title": "IMDb - Jonathan Cake", "d:Description": "Filmography, notable television appearances and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cake,_Jonathan", "url": "http://www.imdb.com/name/nm0129160/"} +{"d:Title": "IMDb - Richard Calkin", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Calkin,_Richard", "url": "http://www.imdb.com/name/nm0130069/"} +{"d:Title": "IMDb - R.D. Call", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Call,_R._D.", "url": "http://www.imdb.com/name/nm0130107/"} +{"d:Title": "Jeremy Callaghan", "d:Description": "Screen captures, interviews and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callaghan,_Jeremy", "url": "http://members.tripod.com/~Urbana_1/callaghan/"} +{"d:Title": "IMDb - Jeremy Callaghan", "d:Description": "Filmography and notable television roles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callaghan,_Jeremy", "url": "http://www.imdb.com/name/nm0130136/"} +{"d:Title": "The complete Review: Simon Callow", "d:Description": "A review of the book 'The Night of the Hunter' by Simon Callow.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callow,_Simon", "url": "http://www.complete-review.com/reviews/cinema/nightofh.htm"} +{"d:Title": "The Guardian: 'This is my playground'", "d:Description": "Simon Callow talks about fringe theatre.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callow,_Simon", "url": "http://www.theguardian.com/stage/2003/jan/08/theatre.artsfeatures"} +{"d:Title": "The Guardian: 'The business of feeling'", "d:Description": "Sabine Durrant interviews Simon Callow.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callow,_Simon", "url": "http://www.theguardian.com/theguardian/1999/feb/15/features11.g22"} +{"d:Title": "IMDb: Simon Callow", "d:Description": "Filmography, trivia and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callow,_Simon", "url": "http://www.imdb.com/name/nm0001003/"} +{"d:Title": "Guardian Film: Simon Callow", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callow,_Simon", "url": "http://www.theguardian.com/film/Player/Player_Page/0,4159,45366,00.html"} +{"d:Title": "Scotsman.com Living: Too Much Is Never Enough", "d:Description": "Renaissance man doesn't begin to describe the energy and enthusiasm of Simon Callow.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Callow,_Simon", "url": "http://www.scotsman.com/news/too-much-is-never-enough-1-1410538"} +{"d:Title": "The Michael Caloz Gallery", "d:Description": "Pictures, interviews, and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caloz,_Michael", "url": "http://www.cpps90.com/michael/index.html"} +{"d:Title": "IMDb - Michael Caloz", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caloz,_Michael", "url": "http://www.imdb.com/name/nm0130711/"} +{"d:Title": "IMDb - Christian Camargo", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Camargo,_Christian", "url": "http://www.imdb.com/name/nm0131235/"} +{"d:Title": "IMDb - Dean Cameron", "d:Description": "Filmography, trivia and notable television roles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cameron,_Dean", "url": "http://www.imdb.com/name/nm0131541/"} +{"d:Title": "A Tribute to Dean Cameron", "d:Description": "Biography, filmography, trivia, quotes, sound files, scanned photographs screen captures and poll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cameron,_Dean", "url": "http://www.angelfire.com/celeb/deancameron/"} +{"d:Title": "IMDb - Candace Cameron Bure", "d:Description": "Filmography, biography, photographs and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cameron_Bure,_Candace", "url": "http://www.imdb.com/name/nm0121026/"} +{"d:Title": "Growing Up With Candace Cameron Bure", "d:Description": "A fan site containing photographs following the actress's life.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cameron_Bure,_Candace", "url": "http://www.candacecameronbure.net/"} +{"d:Title": "Jesse Camp's World", "d:Description": "Pictures and news, as well as album information and interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Camp,_Jesse", "url": "http://www.angelfire.com/ny/JesseCampsWorld/"} +{"d:Title": "Ink 19 - Jesse Camp", "d:Description": "Review of \"Jesse Camp and the 8th Street Kidz.\" By Gail Worley.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Camp,_Jesse", "url": "http://www.ink19.com/issues_F/99_08/wet_ink/music_cd/077_jesse_camp.shtml"} +{"d:Title": "IMDb - Jesse Camp", "d:Description": "Filmography, trivia and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Camp,_Jesse", "url": "http://www.imdb.com/name/nm0131992/"} +{"d:Title": "Jesse Camp Fire", "d:Description": "Quotes, links, biographical information and chat transcript.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Camp,_Jesse", "url": "http://jessecampfire.tripod.com/jessecamp.html"} +{"d:Title": "Camp, Jesse", "d:Description": "Biography and pictures, with articles, quotations, poetry and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Camp,_Jesse", "url": "http://josiahleecamp.tripod.com/"} +{"d:Title": "Yahoo! Groups - The Rick Campanelli Club", "d:Description": "Mailing list for fans. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campanelli,_Rick", "url": "http://launch.groups.yahoo.com/group/therickcampanelliclub/"} +{"d:Title": "IMDb - Rick Campanelli", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campanelli,_Rick", "url": "http://www.imdb.com/name/nm0132119/"} +{"d:Title": "My Rick Page", "d:Description": "Fan site with a collection of photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campanelli,_Rick", "url": "http://brad16.tripod.com/Rick.htm"} +{"d:Title": "Sunshine's Rick Page", "d:Description": "Contains profile and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campanelli,_Rick", "url": "http://quelquesoit.tripod.com/Rick.html"} +{"d:Title": "IMDb - Alan Campbell", "d:Description": "Filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Alan", "url": "http://www.imdb.com/name/nm0132181/"} +{"d:Title": "Talkin' Broadway - Spotlight on Alan Campbell", "d:Description": "Interview conducted by Ed Feldman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Alan", "url": "http://www.talkinbroadway.com/spot/campbell1.html"} +{"d:Title": "Billy Campbell Chat Transcript.", "d:Description": "On-line question and answer session with fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Billy", "url": "http://www.angelfire.com/tv/onceagain/archives/apr24.html"} +{"d:Title": "Yahoo Groups: Billy Campbell", "d:Description": "Mailing list for fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Billy", "url": "http://movies.groups.yahoo.com/group/billycampbell/"} +{"d:Title": "IMDb - Bill Campbell (I)", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Billy", "url": "http://www.imdb.com/name/nm0001004/"} +{"d:Title": "IMDb - Brenda Campbell", "d:Description": "Biography, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Brenda", "url": "http://www.imdb.com/name/nm0132245/"} +{"d:Title": "Acute Campbell Mania", "d:Description": "Photographs, biography, commentary and contact address.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Christian", "url": "http://acutecampbellmania.homestead.com/"} +{"d:Title": "IMDb - Christian Campbell", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Christian", "url": "http://www.imdb.com/name/nm0132301/"} +{"d:Title": "IMDb - David Campbell", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_David", "url": "http://www.imdb.com/name/nm0132371/"} +{"d:Title": "The David Campbell Mailing List", "d:Description": "Email discussion forum for fans. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_David", "url": "http://movies.groups.yahoo.com/group/david-campbell/"} +{"d:Title": "Nell's World", "d:Description": "Fan page containing a biography, filmography, screen captures, multimedia clips and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Nell", "url": "http://www.rockyhorror.de/"} +{"d:Title": "IMDb - Nell Campbell", "d:Description": "Filmography and trivia with photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Nell", "url": "http://www.imdb.com/name/nm0132752/"} +{"d:Title": "The Rocky Horror Disney Show\u00a0- Nell Campbell", "d:Description": "Gallery containing 32 convention photographs in thumbnail format.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Nell", "url": "http://www.rockyhorrorarchives.org/allentown2000/Nell.htm"} +{"d:Title": "The Danish Neve Campbell Page", "d:Description": "Featuring a biography in 4 languages, articles, interviews, polls, a filmography, contact information and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.nevecampbell.dk/"} +{"d:Title": "An Undying Celebrity: Neve Campbell", "d:Description": "Pictures in a thumbnailed image gallery, with a biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.undying.com/celeb/Neve_Campbell/index.htm"} +{"d:Title": "Neve Campbell Unofficial Site", "d:Description": "Biography, filmography, image gallery, contact details, poll and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://nevecampbell73.tripod.com/index.html"} +{"d:Title": "TV and Movie Trivia Tribute: Neve Campbell", "d:Description": "Photographs, facts and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.triviatribute.com/nevecampbell.html"} +{"d:Title": "Nabou.com - Neve Campbell", "d:Description": "Profile, wallpapers, video clips, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.nabou.com/celebrities/neve_campbell/index.html"} +{"d:Title": "Top 20 Actress: Neve Campbell", "d:Description": "Pictures, box office information, vital statistics, links and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?neve"} +{"d:Title": "IMDb - Neve Campbell", "d:Description": "Biographical information, trivia, filmography and 70 thumbnailed photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.imdb.com/name/nm0000117/"} +{"d:Title": "PopEntertainment.com: Neve Campbell Takes It Off and Takes Control", "d:Description": "The actress talks about her career and her role in the movie \"When Will I Be Loved.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.popentertainment.com/nevecampbell.htm"} +{"d:Title": "Rotten Tomatoes: Neve Campbell", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.rottentomatoes.com/celebrity/neve_campbell/"} +{"d:Title": "AskMen.com - Neve Campbell", "d:Description": "Pictures, biography, commentary, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://uk.askmen.com/celebs/women/actress/28_neve_campbell.html"} +{"d:Title": "Thespian Net - Neve Campbell", "d:Description": "Biography and filmography with links to other celebrity resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://www.thespiannet.com/actresses/C/campbell_neve/neve_campbell.shtml"} +{"d:Title": "Canoe - Neve Campbell", "d:Description": "A collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve", "url": "http://jam.canoe.com/Movies/Artists/C/Campbell_Neve/"} +{"d:Title": "All Pics: Neve Campbell", "d:Description": "A gallery of 38 thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve/Image_Galleries", "url": "http://allpics.0catch.com/campbell_neve/"} +{"d:Title": "A Tribute to Neve Campbell", "d:Description": "Biographical information, scanned photographs and magazine covers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Neve/Image_Galleries", "url": "http://www.angelfire.com/nc/fan4life/"} +{"d:Title": "IMDb - Scott Michael Campbell", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Campbell,_Scott_Michael", "url": "http://www.imdb.com/name/nm0132843/"} +{"d:Title": "IMDb - David Canary", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Canary,_David", "url": "http://www.imdb.com/name/nm0133597/"} +{"d:Title": "David Canary Interview", "d:Description": "Interview in 2004 from the Archive of American Television on his acting roles in such series as Bonanza and All My Children (as Stuart and Adam Chandler).", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Canary,_David", "url": "http://www.emmytvlegends.org/interviews/people/david-canary"} +{"d:Title": "IMDb - John Candy", "d:Description": "Filmography with biography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Candy,_John", "url": "http://www.imdb.com/name/nm0001006/"} +{"d:Title": "IMDb - Dyan Cannon", "d:Description": "Biographical information and filmography, with links and a gallery containing 38 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cannon,_Dyan", "url": "http://www.imdb.com/name/nm0001007/"} +{"d:Title": "TVGuide.com: Dyan Cannon", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cannon,_Dyan", "url": "http://www.tvguide.com/celebrities/dyan-cannon/148240"} +{"d:Title": "IMDb - Jessica Capshaw", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Capshaw,_Jessica", "url": "http://www.imdb.com/name/nm0004800/"} +{"d:Title": "AskMen.com - Linda Cardellini", "d:Description": "Biography and commentary with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cardellini,_Linda", "url": "http://uk.askmen.com/celebs/women/actress_200/212_linda_cardellini.html"} +{"d:Title": "IMDb - Linda Cardellini", "d:Description": "Filmography, TV guest appearances, images, profile, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cardellini,_Linda", "url": "http://www.imdb.com/name/nm0004802/"} +{"d:Title": "Cardinale, Claudia", "d:Description": "Profile and 5 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cardinale,_Claudia", "url": "http://cinemasirens.com/claudiacrdn.html"} +{"d:Title": "Cardinale, Claudia", "d:Description": "Provides an overview of the actress's career, a filmography and 3 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cardinale,_Claudia", "url": "http://www.asahi-net.or.jp/~hj7h-tkhs/eng_actress_html/eng_actress_cardinale.html"} +{"d:Title": "IMDb - Claudia Cardinale", "d:Description": "Biographical information, photographs and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cardinale,_Claudia", "url": "http://www.imdb.com/name/nm0001012/"} +{"d:Title": "IMDb - John Cariani", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cariani,_John", "url": "http://www.imdb.com/name/nm0137121/"} +{"d:Title": "Amy Carlson Online", "d:Description": "Features a message board, biography, credits, links, photo galleries, interviews, chats, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlson,_Amy", "url": "http://www.angelfire.com/biz2/amycarlsononline/"} +{"d:Title": "IMDb - Amy Carlson", "d:Description": "Filmography, biographical information, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlson,_Amy", "url": "http://www.imdb.com/name/nm0137856/"} +{"d:Title": "IMDb - Krissy Carlson", "d:Description": "Filmography, biographical details and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlson,_Krissy", "url": "http://www.imdb.com/name/nm0137957/"} +{"d:Title": "IMDb - Veronica Carlson", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlson,_Veronica", "url": "http://www.imdb.com/name/nm0138040/"} +{"d:Title": "Robert Carlyle - The Wee Hamish Macbeth", "d:Description": "Casting information and episode guide for the television series, with articles, interviews and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlyle,_Robert", "url": "http://members.ozemail.com.au/~blinda/hamish3.htm"} +{"d:Title": "IMDb - Robert Carlyle (I)", "d:Description": "Biography, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlyle,_Robert", "url": "http://www.imdb.com/name/nm0001015/"} +{"d:Title": "Guardian Unlimited Film - Robert Carlyle and Antonia Bird", "d:Description": "Interview conducted by Mark Cousins. With photographs, sound clips and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlyle,_Robert", "url": "http://www.theguardian.com/film/Guardian_NFT/interview/0,4479,71612,00.html"} +{"d:Title": "Canoe - Robert Carlyle", "d:Description": "Collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carlyle,_Robert", "url": "http://jam.canoe.com/Movies/Artists/C/Carlyle_Robert/"} +{"d:Title": "Unofficial Carolee Carmello", "d:Description": "Features news, biography, list of cast albums, image gallery and press pieces.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carmello,_Carolee", "url": "http://www.caroleecarmello.com/"} +{"d:Title": "IMDb - Carolee Carmello", "d:Description": "Notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carmello,_Carolee", "url": "http://www.imdb.com/name/nm0138366/"} +{"d:Title": "IMDb - Matt Carmody", "d:Description": "Filmography, notable television guest appearances and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carmody,_Matt", "url": "http://www.imdb.com/name/nm0138509/"} +{"d:Title": "Matthew Carmody", "d:Description": "E-mail discussion forum for devotees of the actor. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carmody,_Matt", "url": "http://groups.yahoo.com/group/MatthewCarmody/"} +{"d:Title": "Wikipedia.org: Art Carney", "d:Description": "Short profile with searchable links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carney,_Art", "url": "http://en.wikipedia.org/wiki/Art_Carney"} +{"d:Title": "IMDb: Art Carney", "d:Description": "Filmography, television appearances, photograph gallery, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carney,_Art", "url": "http://www.imdb.com/name/nm0138770/"} +{"d:Title": "IMDb - Leslie Caron", "d:Description": "Filmography, biography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caron,_Leslie", "url": "http://www.imdb.com/name/nm0001989/"} +{"d:Title": "TVGuide.com: Leslie Caron", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caron,_Leslie", "url": "http://www.tvguide.com/celebrities/leslie-caron/161939"} +{"d:Title": "Charisma Carpenter", "d:Description": "Fan site including photographs, news items, multimedia clips and an archive of interviews and articles.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carpenter,_Charisma", "url": "http://www.charisma-carpenter.com/"} +{"d:Title": "Perfection, thy Name is Charisma", "d:Description": "A fan site with news, biography, galleries, wallpapers, fonts, and 'Angel' episode guides. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carpenter,_Charisma", "url": "http://charismanet.8m.com/"} +{"d:Title": "Charisma", "d:Description": "E-mail forum for fans of the actress. Yahoo! ID and registration required for this moderated mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carpenter,_Charisma", "url": "http://movies.groups.yahoo.com/group/charisma/"} +{"d:Title": "Lindzi.com: Charisma Carpenter", "d:Description": "Concise interview with the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carpenter,_Charisma", "url": "http://www.lindzi.com/interviews/charisma.htm"} +{"d:Title": "mxdpi - Charisma Carpenter", "d:Description": "Galleries containing thumbnailed images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carpenter,_Charisma", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Charisma_Carpenter"} +{"d:Title": "IMDb - Charisma Carpenter", "d:Description": "Filmography, trivia, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carpenter,_Charisma", "url": "http://www.imdb.com/name/nm0004806/"} +{"d:Title": "Carrera, Barbara", "d:Description": "Provides a biography and filmography, photographs and scanned magazine covers. [In French and English]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carrera,_Barbara", "url": "http://users.skynet.be/sky82359/"} +{"d:Title": "IMDb - Barbara Carrera", "d:Description": "Biographical information and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carrera,_Barbara", "url": "http://www.imdb.com/name/nm0000324/"} +{"d:Title": "TiaCarrere.com - Official Website", "d:Description": "Provides photos, news, biography and filmography. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carrere,_Tia", "url": "http://www.tiacarrere.com/"} +{"d:Title": "Actress Tia Carrere", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carrere,_Tia", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?tcarrere"} +{"d:Title": "IMDb - Tia Carrere", "d:Description": "Filmography, biographical details, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carrere,_Tia", "url": "http://www.imdb.com/name/nm0000119/"} +{"d:Title": "IMDb: Regina Carrol", "d:Description": "Offers filmography, biography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carrol,_Regina", "url": "http://www.imdb.com/name/nm0140709/"} +{"d:Title": "The Many Images of Diahann Carroll", "d:Description": "A collection of photographs and scanned images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Diahann", "url": "http://www.tcf.ua.edu/Classes/Jbutler/T577/Students/dcweb.html"} +{"d:Title": "Tips On Tables", "d:Description": "Reproduction of an article advertising an appearance made by the actress at the Persian Room in 1961.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Diahann", "url": "http://www.bigbandsandbignames.com/carroll.html"} +{"d:Title": "IMDb - Diahann Carroll", "d:Description": "Filmography, biographical information, photographs, links and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Diahann", "url": "http://www.imdb.com/name/nm0140792/"} +{"d:Title": "Diahann Carroll Official Site", "d:Description": "The official site includes biography, news and store.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Diahann", "url": "http://www.diahanncarroll.net/"} +{"d:Title": "USAToday.com - Diahann Carroll: The 'image' is everything", "d:Description": "Article detailing the actress's efforts to raise awareness of issues surrounding breast cancer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Diahann", "url": "http://usatoday30.usatoday.com/news/health/spotlight/2001-07-23-carroll-mammograms.htm"} +{"d:Title": "English Rose - Madeleine Carroll", "d:Description": "Scanned images, photographs, screen captures, poll, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Madeleine", "url": "http://thenightporter.tripod.com/index.html"} +{"d:Title": "Carroll, Madeleine", "d:Description": "Biographical information and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Madeleine", "url": "http://www.wickedlady.com/films/ladies/CarrollMadeleine/"} +{"d:Title": "Find A Grave - Madeleine Carroll", "d:Description": "Location of the actress's grave, a brief biography, photographs, and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Madeleine", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6944343&pt=Madeleine+Carroll"} +{"d:Title": "IMDb - Madeleine Carroll", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Madeleine", "url": "http://www.imdb.com/name/nm0140914/"} +{"d:Title": "Carroll, Madeleine", "d:Description": "Provides a detailed biography, a filmography, scanned pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carroll,_Madeleine", "url": "http://www.madeleinecarroll.com/"} +{"d:Title": "Lisa Nicole Carson Gallery", "d:Description": "3 pages of scanned pictures, presented in thumbnail format.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carson,_Lisa_Nicole", "url": "http://celeb13.tripod.com/galleries/lisanicolecarson/lisanicolecarson1.htm"} +{"d:Title": "Unofficial Fan Site", "d:Description": "Includes a biography, filmography, and photo galleries", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carson,_Lisa_Nicole", "url": "http://lisanicolecarson.tripod.com/"} +{"d:Title": "IMDb - Lisa Nicole Carson", "d:Description": "Biography, filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carson,_Lisa_Nicole", "url": "http://www.imdb.com/name/nm0004808/"} +{"d:Title": "TVGuide.com: Dixie Carter", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Dixie", "url": "http://www.tvguide.com/celebrities/dixie-carter/147492"} +{"d:Title": "IMDb - Dixie Carter", "d:Description": "Filmography, notable television guest appearances and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Dixie", "url": "http://www.imdb.com/name/nm0141581/"} +{"d:Title": "Carter, Jason", "d:Description": "Convention report and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Jason", "url": "http://natiel.tripod.com/jason/index.html"} +{"d:Title": "IMDb - Jason Carter", "d:Description": "Biographical information, filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Jason", "url": "http://www.imdb.com/name/nm0141682/"} +{"d:Title": "IMDb - Lynda Carter", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Lynda", "url": "http://www.imdb.com/name/nm0004812/"} +{"d:Title": "Wonderland - Lynda Carter", "d:Description": "Biography, filmography, photographs and a chronology of significant events.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Lynda", "url": "http://www.wonderland-site.com/html/miscel/bios/bios1.htm"} +{"d:Title": "USAToday.com - Lynda Carter fights for IBS", "d:Description": "Article by Adele Slaughter.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Lynda", "url": "http://usatoday30.usatoday.com/news/health/spotlighthealth/2003-01-17-lynda-carter-ibs_x.htm"} +{"d:Title": "Penn Special Collections - Mrs. Leslie Carter", "d:Description": "3 photographs of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Mrs._Leslie", "url": "http://www.library.upenn.edu/collections/rbm/photos/theater/carter.html"} +{"d:Title": "Find A Grave - Mrs. Leslie Carter", "d:Description": "Location of the actress's grave and an exact cause of death.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Mrs._Leslie", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=4137&pt=Leslie+Carter"} +{"d:Title": "IMDb - Mrs. Leslie Carter", "d:Description": "Filmography and writing credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carter,_Mrs._Leslie", "url": "http://www.imdb.com/name/nm0141838/"} +{"d:Title": "Angela Cartwright Official Website", "d:Description": "Includes biography, filmography, photos, and news of her current activities. Also offers a section on the other children who appeared in The Sound of Music.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cartwright,_Angela", "url": "http://www.angela-cartwright.com/"} +{"d:Title": "IMDb - Angela Cartwright", "d:Description": "Biography, filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cartwright,_Angela", "url": "http://imdb.com/name/nm0142145/"} +{"d:Title": "Princess Bec", "d:Description": "Offers articles, scanned pictures, a chat transcript and biography, downloads, polls and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cartwright,_Rebecca", "url": "http://bec083.tripod.com/"} +{"d:Title": "Rebecca and Tammin", "d:Description": "Fan site containing biographies, lists of acting credits, images, scanned magazine articles, profiles, interviews, wallpapers, links, and downloads.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cartwright,_Rebecca", "url": "http://vb0079.tripod.com/"} +{"d:Title": "Rad's Rebecca Cartwright Page", "d:Description": "Character profile and biography, with contact details and 8 pictures in thumbnail format.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cartwright,_Rebecca", "url": "http://rad56.tripod.com/celebrity/rebecca.html"} +{"d:Title": "IMDb - Rebecca Cartwright", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cartwright,_Rebecca", "url": "http://www.imdb.com/name/nm0142201/"} +{"d:Title": "IMDb - David Caruso", "d:Description": "Filmography, biography, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caruso,_David", "url": "http://www.imdb.com/name/nm0000325/"} +{"d:Title": "TVGuide.com: David Caruso", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caruso,_David", "url": "http://www.tvguide.com/celebrities/david-caruso/146367"} +{"d:Title": "Canoe - David Caruso", "d:Description": "Collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caruso,_David", "url": "http://jam.canoe.com/Movies/Artists/C/Caruso_David/"} +{"d:Title": "Brent Carver: An Unofficial Fan Page", "d:Description": "Biography, scanned images, photographs, audio clips, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carver,_Brent", "url": "http://theatre_chick.tripod.com/brent.html"} +{"d:Title": "IMDb - Brent Carver", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Carver,_Brent", "url": "http://www.imdb.com/name/nm0142539/"} +{"d:Title": "Max, Racetrack, and Timon", "d:Description": "Provides a filmography, profile, images, sound files, desktop materials, quiz, links and polls.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Casella,_Max", "url": "http://www.angelfire.com/film/maxcasella/main.htm"} +{"d:Title": "IMDb - Max Casella", "d:Description": "Biographical details, filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Casella,_Max", "url": "http://www.imdb.com/name/nm0143295/"} +{"d:Title": "Chiara Caselli.com", "d:Description": "Biographical details, filmography, scanned images and multimedia clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caselli,_Chiara", "url": "http://digilander.libero.it/beppef/"} +{"d:Title": "IMDb - Chiara Caselli", "d:Description": "Filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caselli,_Chiara", "url": "http://www.imdb.com/name/nm0143309/"} +{"d:Title": "Rotten Tomatoes: Seymour Cassel", "d:Description": "Includes filmography, news, awards and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cassel,_Seymour", "url": "http://www.rottentomatoes.com/celebrity/seymour_cassel/"} +{"d:Title": "Yahoo! Movies: Seymour Cassel", "d:Description": "Contains biography, filmography, image gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cassel,_Seymour", "url": "http://movies.yahoo.com/person/seymour-cassel/"} +{"d:Title": "Internet Movie Database: Seymour Cassel", "d:Description": "Contains biography, filmography, news, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cassel,_Seymour", "url": "http://www.imdb.com/name/nm0001025/"} +{"d:Title": "Thespian Net presents Joanna Cassidy", "d:Description": "Biographical overview with a list of film and television credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cassidy,_Joanna", "url": "http://www.thespiannet.com/actresses/C/cassidy_joanna/joanna_cassidy.shtml"} +{"d:Title": "IMDb - Joanna Cassidy", "d:Description": "Filmography, biography photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cassidy,_Joanna", "url": "http://www.imdb.com/name/nm0001026/"} +{"d:Title": "IMDb - Patrick Cassidy", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cassidy,_Patrick", "url": "http://www.imdb.com/name/nm0144233/"} +{"d:Title": "Iran Castillo", "d:Description": "Fan site including a filmography, discography, screen captures, lyrics, desktop wallpaper and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castillo,_Ir\u00e1n", "url": "http://www.angelfire.com/music/irancastillo/"} +{"d:Title": "IMDb - Ir\u00e1n Castillo", "d:Description": "Filmography, trivia and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castillo,_Ir\u00e1n", "url": "http://www.imdb.com/name/nm0145102/"} +{"d:Title": "A.i.m.e.e.", "d:Description": "Interview, profile, and partial filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle,_Aim\u00e9e", "url": "http://www.angelfire.com/celeb/othersofbigwolf/aimee.html"} +{"d:Title": "IMDb: Aim\u00e9e Castle", "d:Description": "Filmography, television appearances, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle,_Aim\u00e9e", "url": "http://www.imdb.com/name/nm0145243/"} +{"d:Title": "IMDb - Keisha Castle-Hughes", "d:Description": "Filmography, trivia, message board, links, and gallery containing 17 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle-Hughes,_Keisha", "url": "http://www.imdb.com/name/nm1095720/"} +{"d:Title": "Keisha off to the Oscars", "d:Description": "Features story about the youngest Oscar nominee for Best Actress in her role as Pai from Whale Rider. [theage.com.au]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle-Hughes,_Keisha", "url": "http://www.theage.com.au/articles/2004/02/27/1077676950242.html?oneclick=true"} +{"d:Title": "USATODAY.com - One whale of a ride for 'Whale Rider' star", "d:Description": "\"Rolling Stone magazine called Keisha Castle-Hughes a star in the making for her heart-stopping performance as Pai in Whale Rider. But 13-year-old Keisha isn't sure she wants to be a star.\" By Andy Seiler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle-Hughes,_Keisha", "url": "http://usatoday30.usatoday.com/life/2003-06-12-keisha_x.htm"} +{"d:Title": "USATODAY.com - Hooked on NYC, salmon", "d:Description": "\"Whale Rider star Keisha Castle-Hughes has never traveled far from Auckland, New Zealand, or her Maori ancestors' home, an eight-hour drive away.\" By Andy Seiler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle-Hughes,_Keisha", "url": "http://usatoday30.usatoday.com/life/2003-06-12-keisha-side_x.htm"} +{"d:Title": "Teen Stars Online - Keisha Castle-Hughes", "d:Description": "Profile, biography and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle-Hughes,_Keisha", "url": "http://www.nzherald.co.nz/movies/news/article.cfm?c_id=200&objectid=3524538"} +{"d:Title": "New Zealand News - Taking Rider in her stride", "d:Description": "\"Here's a revelation: Keisha Castle-Hughes, the luminous star of Whale Rider, wants to star in a cheerleading flick.\" By Elanor Black.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Castle-Hughes,_Keisha", "url": "http://www.nzherald.co.nz/movies/news/article.cfm?c_id=200&objectid=3097740"} +{"d:Title": "Phoebe Cates Showcase", "d:Description": "A fan site including biographical information, photographs, links and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cates,_Phoebe", "url": "http://www.wizardfkap.com/phoebe/"} +{"d:Title": "TV and Movie Trivia Tribute: Phoebe Cates", "d:Description": "Celebrity page with pictures, links and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cates,_Phoebe", "url": "http://www.triviatribute.com/phoebecates.html"} +{"d:Title": "Thespian Net presents Phoebe Cates", "d:Description": "Biographical overview and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cates,_Phoebe", "url": "http://www.thespiannet.com/actresses/C/cates_phoebe/phoebe_cates.shtml"} +{"d:Title": "IMDb - Phoebe Cates", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cates,_Phoebe", "url": "http://www.imdb.com/name/nm0000121/"} +{"d:Title": "Phoebe Cates The One And Only", "d:Description": "Photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cates,_Phoebe", "url": "http://xxx123456.tripod.com/index.html"} +{"d:Title": "IMDb - Kim Cattrall", "d:Description": "Filmography, biographical information, links and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cattrall,_Kim", "url": "http://www.imdb.com/name/nm0000326/"} +{"d:Title": "TVGuide.com: Kim Cattrall", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cattrall,_Kim", "url": "http://www.tvguide.com/celebrities/kim-cattrall/160683"} +{"d:Title": "Guardian Unlimited Books - Satisfaction by Kim Cattrall and Mark Levinson", "d:Description": "Review of a book penned by the actress and her husband at the time.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cattrall,_Kim", "url": "http://www.theguardian.com/books/2002/jun/29/booksonhealth.summerreading2002"} +{"d:Title": "Canoe - Kim Cattrall", "d:Description": "A collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cattrall,_Kim", "url": "http://jam.canoe.com/Movies/Artists/C/Cattrall_Kim/"} +{"d:Title": "IMDb - Toran Caudell", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caudell,_Toran", "url": "http://www.imdb.com/name/nm0146455/"} +{"d:Title": "IMDb - Jessica Cauffiel", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cauffiel,_Jessica", "url": "http://www.imdb.com/name/nm0004814/"} +{"d:Title": "Canoe: Scream Queen a Real Cut-Up", "d:Description": "Article originally published in the Toronto Sun, examining her role in the movie \"Valentine\". By Bob Thompson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cauffiel,_Jessica", "url": "http://jam.canoe.com/Movies/Artists/C/Cauffiel_Jessica/2001/02/02/757217.html"} +{"d:Title": "IMDb - Emma Caulfield", "d:Description": "Filmography, photographs and selected quotations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caulfield,_Emma", "url": "http://www.imdb.com/name/nm0146536/"} +{"d:Title": "To the Max", "d:Description": "Fan site offering photographs, biography, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caulfield,_Maxwell", "url": "http://maxwellcaulfield.tripod.com/"} +{"d:Title": "Maxwell Caulfield", "d:Description": "Biography, 5 pictures and a review of the movie \"Grease 2\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caulfield,_Maxwell", "url": "http://panditbaba.tripod.com/maxwell.html"} +{"d:Title": "IMDB - Maxwell Caulfield", "d:Description": "Filmography, photograph gallery and miscellaneous links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caulfield,_Maxwell", "url": "http://www.imdb.com/name/nm0001028/"} +{"d:Title": "IMDb: James Caviezel", "d:Description": "Filmography, photographs, biography, message board, links, and other career data.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caviezel,_James", "url": "http://www.imdb.com/name/nm0001029/"} +{"d:Title": "BBC: Films: Jim Caviezel", "d:Description": "Short interview by Sian Kirwan, about his role in the movie, \"The Count of Monte Cristo.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caviezel,_James/Articles_and_Interviews", "url": "http://www.bbc.co.uk/films/2002/04/09/jim_caviezel_the_count_of_monte_cristo_interview.shtml"} +{"d:Title": "The Lybarger Links: Jim Caviezel", "d:Description": "Interview with the actor in which he discusses his role in \"The Count of Monte Cristo,\" conducted by Dan Lybarger", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caviezel,_James/Articles_and_Interviews", "url": "http://www.tipjar.com/dan/jimcaviezel.htm"} +{"d:Title": "Guardian Unlimited: Everyone's Talking About... Jim Caviezel", "d:Description": "Article and a list of notable facts, by Vicki Reid.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caviezel,_James/Articles_and_Interviews", "url": "http://www.theguardian.com/film/1999/jan/17/1"} +{"d:Title": "CBN: Jim Caviezel Talks About Playing Christ in 'The Passion'", "d:Description": "Partial transcript of the television interview in which the actor discusses the filming of the movie.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caviezel,_James/Articles_and_Interviews", "url": "http://www.cbn.com/700club/features/ScottRoss-Jim_Caviezel_1.aspx"} +{"d:Title": "Canoe: Jim Caviezel", "d:Description": "A collection of articles on the actor from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Caviezel,_James/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/C/Caviezel_Jim/"} +{"d:Title": "Henry Cavill Online", "d:Description": "Biography, filmography, news items, articles, links, contact information, photographs, and fan tributes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cavill,_Henry", "url": "http://henry.intensevibe.net/"} +{"d:Title": "Wikipedia.org: Henry Cavill", "d:Description": "General information about the actor including career, personal life, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cavill,_Henry", "url": "http://en.wikipedia.org/wiki/Henry_Cavill"} +{"d:Title": "Henry Cavill", "d:Description": "Unofficial website dedicated to British actor with detailed general information, news and pictures, movie stills, interviews and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cavill,_Henry", "url": "http://henrycavill.org/"} +{"d:Title": "Henry Cavill Fanpage", "d:Description": "News items and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cavill,_Henry", "url": "https://www.facebook.com/HenryCavillFans"} +{"d:Title": "IMDb - Henry Cavill", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cavill,_Henry", "url": "http://www.imdb.com/name/nm0147147/"} +{"d:Title": "Yahoo! Groups: AsierCebeiraClub", "d:Description": "Fan club with forum and mailing list. [Membership required for some features.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cebeira,_Asier", "url": "http://movies.groups.yahoo.com/group/asiercebeiraclub/"} +{"d:Title": "IMDb - Asier Cebeira", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cebeira,_Asier", "url": "http://www.imdb.com/name/nm0147516/"} +{"d:Title": "IMDb - Matt Cede\u00f1o", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cedeno,_Matt", "url": "http://www.imdb.com/name/nm0004817/"} +{"d:Title": "IMDb: Rosalinda Celentano", "d:Description": "Photographs, profile, filmography, message board, and other related career data.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Celentano,_Rosalinda", "url": "http://www.imdb.com/name/nm0147988/"} +{"d:Title": "IMDb - Lisa Cerasoli", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cerasoli,_Lisa", "url": "http://www.imdb.com/name/nm0148453/"} +{"d:Title": "IMDb - Jason Cerbone", "d:Description": "Filmography, links, and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cerbone,_Jason", "url": "http://www.imdb.com/name/nm0148466/"} +{"d:Title": "Michael Cerveris Interview", "d:Description": "Interview conducted by a fan.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cerveris,_Michael", "url": "http://www.igtc.com/archives/thewho/1994/Apr/msg00003.html"} +{"d:Title": "IMDb - Michael Cerveris", "d:Description": "Filmography and television credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cerveris,_Michael", "url": "http://www.imdb.com/name/nm0148964/"} +{"d:Title": "Michael Cerveris Fan Page", "d:Description": "Fan site with biography, information, photos, sound files, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cerveris,_Michael", "url": "http://mcerverisfan.tripod.com/"} +{"d:Title": "Amazing Journey", "d:Description": "Official site. Includes lyrics, photos, backstage and rehearsal footage, tour journal, interviews, biography, and multimedia content. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cerveris,_Michael", "url": "http://www.untamedspirits.net/aj/"} +{"d:Title": "Cerveris", "d:Description": "Promotional site for the performer's debut album. Contains sound clips, photographs, a biography, reviews, and links to news items.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cerveris,_Michael", "url": "http://www.untamedspirits.net/aj/music/"} +{"d:Title": "I Love Lacey", "d:Description": "Fan page including pictures, a biography, news items and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chabert,_Lacey", "url": "http://members.tripod.com/~i_love_lacey/"} +{"d:Title": "The Amazing Lacey Chabert", "d:Description": "News items, articles and images with multimedia clips, desktop wallpapers, links and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chabert,_Lacey", "url": "http://www.members.tripod.com/~Dellboy_2/Lacey.htm"} +{"d:Title": "IMDb: Lacey Chabert", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chabert,_Lacey", "url": "http://www.imdb.com/name/nm0000327/"} +{"d:Title": "Wikipedia: Lacey Chabert", "d:Description": "Contains career information and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chabert,_Lacey", "url": "http://en.wikipedia.org/wiki/Lacey_Chabert"} +{"d:Title": "AskMen.com: Lacey Chabert", "d:Description": "Contains pictures and information for the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chabert,_Lacey", "url": "http://www.askmen.com/celebs/women/actress_200/204_lacey_chabert.html"} +{"d:Title": "Lacey Online", "d:Description": "Features a bio, photo gallery and an article.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chabert,_Lacey", "url": "http://laceyonline.tripod.com/"} +{"d:Title": "IMDb - Sarah Chalke", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chalke,_Sarah", "url": "http://www.imdb.com/name/nm0149950/"} +{"d:Title": "Richard Chamberlain Online", "d:Description": "Photographs, biography, filmography, articles, interviews, links and reproductions of the actor's paintings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chamberlain,_Richard", "url": "http://richardchamberlain.tvheaven.com/"} +{"d:Title": "Richard Chamberlain Artworks", "d:Description": "An exhibit of his work and ordering information. Also features biographical information about the artist.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chamberlain,_Richard", "url": "http://www.richard-chamberlain.com/"} +{"d:Title": "Richard Chamberlain Web Site", "d:Description": "Biography and filmography, with images, interviews and features on the actor's musical work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chamberlain,_Richard", "url": "http://ukculttv.tripod.com/rchamberlain.html"} +{"d:Title": "IMDb - Richard Chamberlain (I)", "d:Description": "Filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chamberlain,_Richard", "url": "http://www.imdb.com/name/nm0000328/"} +{"d:Title": "IMDb - Beth Chamberlin", "d:Description": "Filmography, biographical details and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chamberlin,_Beth", "url": "http://www.imdb.com/name/nm0150245/"} +{"d:Title": "Curtis Vance Foundation - Beth Chamberlin", "d:Description": "Transcribed article in which the performer discusses the death of a close friend from amyotrophic lateral sclerosis. Originally printed in Soap Opera Weekly.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chamberlin,_Beth", "url": "http://als-curtisvancefoundation.org/soap_opera_july_'00.htm"} +{"d:Title": "IMDb - Justin Chambers", "d:Description": "Filmography, photographs and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chambers,_Justin", "url": "http://www.imdb.com/name/nm0150362/"} +{"d:Title": "Justin Chambers", "d:Description": "E-mail discussion forum for devotees of the actor. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chambers,_Justin", "url": "http://movies.groups.yahoo.com/group/justinchambers/"} +{"d:Title": "IMDb - Daniel Chan Hiu Tung", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chan,_Daniel", "url": "http://www.imdb.com/name/nm0150777/"} +{"d:Title": "IMDb.com: Sunny Chan", "d:Description": "Sunny Chan's filmography at The Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chan,_Sunny", "url": "http://www.imdb.com/name/nm0151116/"} +{"d:Title": "Kyle Chandler Online", "d:Description": "Images, news, quotes, links, information on Early Edition and What About Joan.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chandler,_Kyle", "url": "http://www.angelfire.com/ab4/eel/"} +{"d:Title": "Kyle Chandler Hub", "d:Description": "Images, interviews, articles, filmography, biography, links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chandler,_Kyle", "url": "http://kyle4fans.tripod.com/"} +{"d:Title": "Kyle Chandler Yahoo Club", "d:Description": "Discussion area for fans of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chandler,_Kyle", "url": "http://movies.groups.yahoo.com/group/kylechandler2/"} +{"d:Title": "Kyle's Hangout", "d:Description": "E-mail discussion group for fans of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chandler,_Kyle", "url": "http://movies.groups.yahoo.com/group/kyleshangout/"} +{"d:Title": "Kyle Chandler Discussion Group", "d:Description": "E-mail discussion group for fans of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chandler,_Kyle", "url": "http://tv.groups.yahoo.com/group/kylechandler/"} +{"d:Title": "IMDB.com - Kyle Chandler", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chandler,_Kyle", "url": "http://www.imdb.com/name/nm0151419/"} +{"d:Title": "Stockard Channing", "d:Description": "Provides biographical details, a filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Channing,_Stockard", "url": "http://peachcobbler1020.tripod.com/stockardchanningsfanpage/index.html"} +{"d:Title": "IMDb - Stockard Channing", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Channing,_Stockard", "url": "http://www.imdb.com/name/nm0000330/"} +{"d:Title": "IMDb - Rosalind Chao", "d:Description": "Filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chao,_Rosalind", "url": "http://www.imdb.com/name/nm0001034/"} +{"d:Title": "BBC - Films - Ben Chaplin", "d:Description": "Interview conducted by Anwar Brett.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chaplin,_Ben", "url": "http://www.bbc.co.uk/films/2002/06/24/ben_chaplin_birthday_girl_interview.shtml"} +{"d:Title": "IMDb - Ben Chaplin", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chaplin,_Ben", "url": "http://www.imdb.com/name/nm0001035/"} +{"d:Title": "Canoe - Ben Chaplin", "d:Description": "A collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chaplin,_Ben", "url": "http://jam.canoe.com/Movies/Artists/C/Chaplin_Ben/"} +{"d:Title": "Chaplin, Ben", "d:Description": "Biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chaplin,_Ben", "url": "http://www.contactmusic.com/pages/benbiogx17x06x02xpu"} +{"d:Title": "IMDb - Lisa Chappell", "d:Description": "Filmography, links and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chappell,_Lisa", "url": "http://www.imdb.com/name/nm0152615/"} +{"d:Title": "Lisa Chappell Fan Site", "d:Description": "Includes a filmography, with scanned images, photos, articles, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chappell,_Lisa", "url": "http://www.lisachappell.net/"} +{"d:Title": "IMDb: Dave Chappelle", "d:Description": "Offers trivia facts, photos and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chappelle,_Dave", "url": "http://www.imdb.com/name/nm0152638/"} +{"d:Title": "Josh Charles", "d:Description": "Biographical information, pictures, and \"six degrees\" game.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Charles,_Josh", "url": "http://deposo.tripod.com/josh/josh.html"} +{"d:Title": "IMDb - Josh Charles (I)", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Charles,_Josh", "url": "http://www.imdb.com/name/nm0001038/"} +{"d:Title": "IMDb - Leslie Charleson", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Charleson,_Leslie", "url": "http://www.imdb.com/name/nm0153184/"} +{"d:Title": "IMDb - Bailey Chase", "d:Description": "Filmography, biography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chase,_Bailey", "url": "http://www.imdb.com/name/nm0003082/"} +{"d:Title": "Talkin' Broadway - Spotlight on Will Chase", "d:Description": "Interview conducted by Ed Feldman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chase,_William", "url": "http://www.talkinbroadway.com/spot/chase1.html"} +{"d:Title": "IMDB: Ricardo Chavira", "d:Description": "Includes biography, filmography, photo gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chavira,_Ricardo", "url": "http://imdb.com/name/nm0154632/"} +{"d:Title": "Tribute - Don Cheadle", "d:Description": "Interview disussing the performer's role in \"Ocean's Eleven\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheadle,_Don", "url": "http://www.tribute.ca/newsletter/10/starchat_04.html"} +{"d:Title": "PopEntertainment.com: Don Cheadle - Surviving Hotel Rwanda", "d:Description": "Interview conducted by Brad Balfour.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheadle,_Don", "url": "http://www.popentertainment.com/cheadle.htm"} +{"d:Title": "IMDb - Don Cheadle", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheadle,_Don", "url": "http://www.imdb.com/name/nm0000332/"} +{"d:Title": "IMDb: Kristin Chenoweth", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chenoweth,_Kristin", "url": "http://www.imdb.com/name/nm0155693/"} +{"d:Title": "AskMen.com - Kristin Chenoweth", "d:Description": "Features pictures, biography and commentary with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chenoweth,_Kristin", "url": "http://uk.askmen.com/celebs/women/singer_250/273_kristin_chenoweth.html"} +{"d:Title": "Angie Landz", "d:Description": "Contains 6 photographs of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheong,_Angie", "url": "http://angiecheung.8m.com/"} +{"d:Title": "Angie Cheung", "d:Description": "Profile and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheong,_Angie", "url": "http://dssfemale0.tripod.com/angiecheung.htm"} +{"d:Title": "IMDb - Angie Cheung", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheong,_Angie", "url": "http://www.imdb.com/name/nm0156438/"} +{"d:Title": "IMDb - Jonathan Cherry", "d:Description": "Filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cherry,_Jonathan", "url": "http://www.imdb.com/name/nm0974364/"} +{"d:Title": "BBC - Films - Maggie Cheung", "d:Description": "Interview with the actress, conducted by Jonathan Ross.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheung,_Maggie", "url": "http://www.bbc.co.uk/films/2000/10/26/maggie_cheung_inthemoodforlove_261000_interview.shtml"} +{"d:Title": "IMDb - Maggie Cheung", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cheung,_Maggie", "url": "http://www.imdb.com/name/nm0001041/"} +{"d:Title": "IMDb - Michael Chiklis", "d:Description": "Photographs, filmography, links, biography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chiklis,_Michael", "url": "http://www.imdb.com/name/nm0004821/"} +{"d:Title": "Juan Chioran", "d:Description": "Hosts a collection of photographs from various stage productions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chioran,_Juan", "url": "http://members.tripod.com/mandy_smith/JuanChioran/index.html"} +{"d:Title": "IMDb - Juan Chioran", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chioran,_Juan", "url": "http://www.imdb.com/name/nm0158030/"} +{"d:Title": "IMDb: John Cho", "d:Description": "Biography, image gallery, filmography and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cho,_John", "url": "http://www.imdb.com/name/nm0158626/"} +{"d:Title": "IMDb - Ji-Woo Choi", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Choi,_Ji-Woo", "url": "http://www.imdb.com/name/nm0158830/"} +{"d:Title": "IMDb - David Chokachi", "d:Description": "Filmography and 19 thumbnailed photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chokachi,_David", "url": "http://www.imdb.com/name/nm0002003/"} +{"d:Title": "Internet Movie Database: Marcus Chong", "d:Description": "Filmography, awards, trivia, photographs, media, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chong,_Marcus", "url": "http://imdb.com/name/nm0159059/"} +{"d:Title": "Yahoo! Groups : Marcusfans", "d:Description": "Mailing list and discussion group for fans of the actor. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chong,_Marcus", "url": "http://movies.groups.yahoo.com/group/marcusfans/"} +{"d:Title": "TVGuide.com: Rae Dawn Chong", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chong,_Rae_Dawn", "url": "http://www.tvguide.com/celebrities/rae-dawn-chong/170698"} +{"d:Title": "IMDb - Rae Dawn Chong", "d:Description": "Filmography, photographs, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chong,_Rae_Dawn", "url": "http://www.imdb.com/name/nm0001044/"} +{"d:Title": "IMDb - Stephen Chow", "d:Description": "Biography, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chow,_Stephen", "url": "http://www.imdb.com/name/nm0159507/"} +{"d:Title": "Stephen Chow Interview", "d:Description": "Interview with the actor by Francois, Tamara and Sonatine of CinemAsie.com.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chow,_Stephen", "url": "http://www.cinemasie.com/en/fiche/dossier/78/"} +{"d:Title": "Chow Yun-Fat Palace", "d:Description": "Profile and movie posters.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chow_Yun-Fat", "url": "http://chow.theshoppe.com/"} +{"d:Title": "The Professional", "d:Description": "Screen captures, commentary and links to movie trailers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chow_Yun-Fat", "url": "http://seaw2.tripod.com/"} +{"d:Title": "AsiaFinest: Chow Yun Fat", "d:Description": "Biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chow_Yun-Fat", "url": "http://www.asiafinest.com/chinese/chowyunfat.htm"} +{"d:Title": "IMDb - Yun-Fat Chow", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chow_Yun-Fat", "url": "http://www.imdb.com/name/nm0000334/"} +{"d:Title": "The Chow Yun Fat Interview Archive", "d:Description": "Articles and interviews, with screen captures, scanned photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chow_Yun-Fat", "url": "http://abesapien.tripod.com/"} +{"d:Title": "Emmanuelle Chriqui Web", "d:Description": "Fan site including a filmography, news items and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chriqui,_Emmanuelle", "url": "http://www.angelfire.com/celeb/emmanuelle/"} +{"d:Title": "IMDb: Emmanuelle Chriqui", "d:Description": "Filmography and thumbnailed photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chriqui,_Emmanuelle", "url": "http://www.imdb.com/name/nm0004825/"} +{"d:Title": "Papermag.com - Erika Christensen", "d:Description": "Article with photographs. By Jessica Hundley.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Erika", "url": "http://www.papermag.com/?section=article&parid=328"} +{"d:Title": "TVGuide.com: Erika Christensen", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Erika", "url": "http://www.tvguide.com/celebrities/erika-christensen/149547"} +{"d:Title": "IMDb: Erika Christensen", "d:Description": "Filmography, biography, awards, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Erika", "url": "http://www.imdb.com/name/nm0159776/"} +{"d:Title": "Hayden Christensen Online", "d:Description": "Information, news, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://www.angelfire.com/ok3/haydenchristensen/"} +{"d:Title": "Hayden Haven", "d:Description": "Fan site containing polls, pictures, scrambler, and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://www.angelfire.com/celeb/haydenhaven/index.html"} +{"d:Title": "High On Hayden", "d:Description": "Includes pictures, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://www.angelfire.com/stars2/surfhayden/"} +{"d:Title": "Got Hayden?", "d:Description": "Biography, selected quotations, filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://www.angelfire.com/theforce/gothayden/"} +{"d:Title": "Roxy's Hayden Christinsen Heaven", "d:Description": "A fan site with image gallery and desktop wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://hayden_heaven.tripod.com/"} +{"d:Title": "Hayden Luvin'", "d:Description": "Biography, filmography, articles, interviews and selected quotations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://www.angelfire.com/theforce/haydenluvin/intro.html"} +{"d:Title": "Hello, Hayden Christensen", "d:Description": "Biography, filmography, scanned images, photographs, articles, fan-fiction, puzzle, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://www.angelfire.com/theforce/hayden/"} +{"d:Title": "IMDb - Hayden Christensen", "d:Description": "Filmography, biography, photographs, discussion board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://www.imdb.com/name/nm0159789/"} +{"d:Title": "Hayden Christensen Heaven", "d:Description": "Weblog for fans with news, pictures, reader commentary and polls.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://haydenchristensenheaven.blogspot.com/"} +{"d:Title": "Hayden Christensen Fan News", "d:Description": "Fan site with news and information about movies, new and current projects, events and conventions that Hayden Christensen is involved in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://haydenfannews.com/"} +{"d:Title": "Canoe - Hayden Christensen", "d:Description": "A collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden", "url": "http://jam.canoe.com/Movies/Artists/C/Christensen_Hayden/"} +{"d:Title": "Film Monthly.com - Hayden Christensen", "d:Description": "Interview in which the actor discusses his role in \"Attack of the Clones\". Conducted by Paul Fischer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden/Interviews", "url": "http://www.filmmonthly.com/Profiles/Articles/HChristensen/HChristensen-2.html"} +{"d:Title": "Hayden Christensen on Star Wars Episode III", "d:Description": "Interview in which Hayden Christensen talks about playing Anakin Skywalker and Darth Vader.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christensen,_Hayden/Interviews", "url": "http://movies.about.com/od/starwars3/a/starwars100605.htm"} +{"d:Title": "Claudia Christian", "d:Description": "Official site. Includes filmongraphy, photos, videos, and links to her other pages.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christian,_Claudia", "url": "http://claudiachristian.net/"} +{"d:Title": "JMS on Claudia Christian's Departure", "d:Description": "News and highlights concerning her departure from Babylon 5.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christian,_Claudia", "url": "http://www.midwinter.com/lurk/misc/cc-leave.html"} +{"d:Title": "TVGuide.com: Claudia Christian", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christian,_Claudia", "url": "http://www.tvguide.com/celebrities/claudia-christian/145102"} +{"d:Title": "Claudia Christian Web Page", "d:Description": "Fan page with a profile, photographs, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christian,_Claudia", "url": "http://users.aalto.fi/~juheikki/christian/"} +{"d:Title": "IMDb - Shawn Christian", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christian,_Shawn", "url": "http://www.imdb.com/name/nm0160085/"} +{"d:Title": "TVGuide.com: Julie Christie", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christie,_Julie", "url": "http://www.tvguide.com/celebrities/julie-christie/159336"} +{"d:Title": "IMDb - Julie Christie", "d:Description": "Filmography, biography, quotes, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christie,_Julie", "url": "http://www.imdb.com/name/nm0001046/"} +{"d:Title": "Salon.com - Julie Christie", "d:Description": "Biographical article by Stephanie Zacharek.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christie,_Julie", "url": "http://www.salon.com/2001/06/12/julie_christie/"} +{"d:Title": "IMDb - Dennis Christopher", "d:Description": "Filmography, trivia, biography, links and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christopher,_Dennis", "url": "http://www.imdb.com/name/nm0160550/"} +{"d:Title": "Dennis Christopher Message Board", "d:Description": "E-mail discussion group with links and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christopher,_Dennis", "url": "http://finance.groups.yahoo.com/group/Dennis%5FChristopher/"} +{"d:Title": "IMDb - Gerard Christopher", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christopher,_Gerard", "url": "http://www.imdb.com/name/nm0160569/"} +{"d:Title": "IMDb - William Christopher", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Christopher,_William", "url": "http://www.imdb.com/name/nm0160639/"} +{"d:Title": "Cherie Chung Pictures", "d:Description": "Galleries containing photographs and scanned magazine covers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chung,_Cherie", "url": "http://www.brns.com/pages/cheriepc.html"} +{"d:Title": "IMDb - Cherie Chung", "d:Description": "Filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chung,_Cherie", "url": "http://www.imdb.com/name/nm0161193/"} +{"d:Title": "IMDb - Christy Chung", "d:Description": "Filmography, trivia, discussion board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chung,_Christy", "url": "http://www.imdb.com/name/nm0161198/"} +{"d:Title": "Christy Land", "d:Description": "A fan site with profile, credits, news, pictures, FAQ and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Chung,_Christy", "url": "http://christyland.tripod.com/"} +{"d:Title": "IMDb - Thomas Haden Church", "d:Description": "Filmography and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Church,_Thomas_Haden", "url": "http://www.imdb.com/name/nm0002006/"} +{"d:Title": "Shirtless Eddie Gallery", "d:Description": "Fan club and mailing list. Requires Yahoo! ID and registration.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cibrian,_Eddie", "url": "http://groups.yahoo.com/group/shirtlesseddiegallery/"} +{"d:Title": "IMDb - Eddie Cibrian", "d:Description": "Filmography and television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cibrian,_Eddie", "url": "http://www.imdb.com/name/nm0004827/"} +{"d:Title": "Eddie Cibrian", "d:Description": "Biography and mailing address for fan letters.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cibrian,_Eddie", "url": "http://eiteews96.tripod.com/"} +{"d:Title": "IMDb: Natalie Cigliuti", "d:Description": "Offers a mini biography and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cigliuti,_Natalia", "url": "http://www.imdb.com/name/nm0162233/"} +{"d:Title": "Detective Greg Medavoy", "d:Description": "Biography of the actor and of his character on NYPD Blue.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clapp,_Gordon", "url": "http://www.stwing.upenn.edu/~sepinwal/medavoy.txt.html"} +{"d:Title": "IMDb: Gordon Clapp (I)", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clapp,_Gordon", "url": "http://www.imdb.com/name/nm0163429/"} +{"d:Title": "IMDb - Jillian Clare", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clare,_Jillian", "url": "http://www.imdb.com/name/nm0163510/"} +{"d:Title": "Christie Clark Forum", "d:Description": "Interactive fan message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Christie", "url": "http://s14.invisionfree.com/Christie_Clark_Forum"} +{"d:Title": "Thespian Net presents Christie Clark", "d:Description": "Acting credits, plus places for fans to contribute photos and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Christie", "url": "http://www.thespiannet.com/actresses/C/clark_christie/christie_clark.shtml"} +{"d:Title": "IMDb: Christie Clark", "d:Description": "Filmography, awards, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Christie", "url": "http://www.imdb.com/name/nm0163775/"} +{"d:Title": "Daniel Clark Tribute", "d:Description": "Fan site containing a profile, biographical information, interviews, pictures, desktop materials and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Daniel", "url": "http://www.angelfire.com/celeb2/danclatribute/"} +{"d:Title": "IMDb - Daniel Clark", "d:Description": "Filmography, trivia, links and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Daniel", "url": "http://www.imdb.com/name/nm0163818/"} +{"d:Title": "States of Flux: Josh Clark on Playing Lt. Carey", "d:Description": "Interview by Michelle Erica Green.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Josh", "url": "http://www.littlereview.com/getcritical/trektalk/clark.htm"} +{"d:Title": "IMDb - Josh Clark", "d:Description": "Filmography for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Josh", "url": "http://www.imdb.com/name/nm0164131/"} +{"d:Title": "IMDb - Kevin Alexander Clark", "d:Description": "Biography, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Kevin", "url": "http://www.imdb.com/name/nm1333511/"} +{"d:Title": "IMDb - Spencer Treat Clark", "d:Description": "Profile, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clark,_Spencer_Treat", "url": "http://www.imdb.com/name/nm0004829/"} +{"d:Title": "IMDb: Caitlin Clarke", "d:Description": "Provides biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Caitlin", "url": "http://www.imdb.com/name/nm0164680/"} +{"d:Title": "IMDb: Margi Clarke", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Margi", "url": "http://www.imdb.com/name/nm0164891/"} +{"d:Title": "Melinda Clarke", "d:Description": "Photo, biography of appearance in Xena: Warrior Princess and link.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Melinda", "url": "http://www.xenaville.com/cast/clarke.html"} +{"d:Title": "Yahoo Groups: Melinda Clarke", "d:Description": "A fan club with message board, chat room, photo albums, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Melinda", "url": "http://groups.yahoo.com/group/MindycGroup/"} +{"d:Title": "TVGuide.com: Melinda Clarke", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Melinda", "url": "http://www.tvguide.com/celebrities/melinda-clarke/190566"} +{"d:Title": "IMDb: Mindy Clarke", "d:Description": "Includes filmography, mini biography, an image gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Melinda", "url": "http://www.imdb.com/name/nm0164918/"} +{"d:Title": "IMDb - Sarah Clarke", "d:Description": "Filmography, biographical details and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Sarah", "url": "http://www.imdb.com/name/nm1020124/"} +{"d:Title": "IMDb: Warren Clarke", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarke,_Warren", "url": "http://www.imdb.com/name/nm0165049/"} +{"d:Title": "IMDb - Lana Clarkson", "d:Description": "Filmography, trivia, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarkson,_Lana", "url": "http://www.imdb.com/name/nm0165096/"} +{"d:Title": "BBC News - Shooting victim was B-movie actress", "d:Description": "Article providing an overview of the performer's career and charitable enterprises.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarkson,_Lana", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/2724183.stm"} +{"d:Title": "Guardian Unlimited - B-movie actress named as shooting victim", "d:Description": "\"The woman veteran record producer Phil Spector has been charged with murdering was named today as Lana Clarkson, an actress who gained a cult following from starring in Hollywood B-movies. \"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clarkson,_Lana", "url": "http://www.theguardian.com/world/2003/feb/04/arts.artsnews2"} +{"d:Title": "IMDb: Jill Clayburgh", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clayburgh,_Jill", "url": "http://www.imdb.com/name/nm0001049/"} +{"d:Title": "Wikipedia: Jill Clayburgh", "d:Description": "Provides brief biography and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clayburgh,_Jill", "url": "http://en.wikipedia.org/wiki/Jill_Clayburgh"} +{"d:Title": "IMDb - Karen Cliche", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cliche,_Karen", "url": "http://www.imdb.com/name/nm0166566/"} +{"d:Title": "AskMen.com - Karen Cliche", "d:Description": "Biographical details and interview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cliche,_Karen", "url": "http://www.askmen.com/celebs/interview_60/65_karen_cliche_interview.html"} +{"d:Title": "Find A Grave - Montgomery Clift", "d:Description": "Location of the actor's grave, with an exact cause of death, photographs and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clift,_Montgomery", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=206"} +{"d:Title": "IMDb - Montgomery Clift", "d:Description": "Biography and filmography, with photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clift,_Montgomery", "url": "http://www.imdb.com/name/nm0001050/"} +{"d:Title": "Brett Climo's Fanpage", "d:Description": "Bi-lingual English/Dutch fan site offers biography, news, career highlights, pictures and video animations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Climo,_Brett", "url": "http://www.brettclimo.nl/"} +{"d:Title": "IMDb - Brett Climo", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Climo,_Brett", "url": "http://www.imdb.com/name/nm0166806/"} +{"d:Title": "Official Kristen Cloke Web Site", "d:Description": "Includes biography, filmography, image galleries, sound clips, and fan club information.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cloke,_Kristen", "url": "http://www.kristencloke.com/"} +{"d:Title": "Kristen Cloke - A Rising Star in Acting", "d:Description": "Biographical information and a profile of the character, Shane Vansen.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cloke,_Kristen", "url": "http://www.lb.shuttle.de/apastron/kristen.htm"} +{"d:Title": "IMDb - Kristen Cloke", "d:Description": "Provides a filmography, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cloke,_Kristen", "url": "http://www.imdb.com/name/nm0167028/"} +{"d:Title": "TVGuide.com: Kristen Cloke", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cloke,_Kristen", "url": "http://www.tvguide.com/celebrities/kristen-cloke/160947"} +{"d:Title": "IMDb - Eric Close", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Eric", "url": "http://www.imdb.com/name/nm0167086/"} +{"d:Title": "Eric Close Discussion List", "d:Description": "Discussion group for actor Eric Close.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Eric", "url": "http://movies.groups.yahoo.com/group/EricClose_list/"} +{"d:Title": "All-Review.com - Glenn Close", "d:Description": "Filmography with movie reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Glenn", "url": "http://www.all-reviews.com/actors-2/Glenn-Close.htm"} +{"d:Title": "Actress Glenn Close", "d:Description": "Profile, pictures, box office data and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Glenn", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?gclose"} +{"d:Title": "IMDb - Glenn Close", "d:Description": "Filmography and notable television guest appearances, with trivia, links and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Glenn", "url": "http://www.imdb.com/name/nm0000335/"} +{"d:Title": "Glenn Close interview: Reaching the Heights", "d:Description": "The actress tells Brad Balfour of PopEntertainment.com about her film \"Heights\" and her stint on the TV series \"The Shield.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Glenn", "url": "http://www.popentertainment.com/glennclose.htm"} +{"d:Title": "TalkTalk- Glenn Close", "d:Description": "Interview with the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Glenn", "url": "http://www.talktalk.co.uk/entertainment/film/interviews/glenn_close.html"} +{"d:Title": "Thespian Net - Glenn Close", "d:Description": "Biography, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Close,_Glenn", "url": "http://www.thespiannet.com/actresses/C/close_glenn/glenn_close.shtml"} +{"d:Title": "IMDb - Michelle Clunie", "d:Description": "Filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Clunie,_Michelle", "url": "http://www.imdb.com/name/nm0167342/"} +{"d:Title": "Keith Hamilton Cobb Centric Digital Art", "d:Description": "A collection of photo edits, animations and poetry by L.D. Neill.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cobb,_Keith_Hamilton", "url": "http://www.dconsortium.org/KHC/Home.htm"} +{"d:Title": "A Gift to Keith Hamilton Cobb: Poem Calendar", "d:Description": "These calendars were created by the fans throughout the world and have been sent to the actor every year since 2002. A collection of original poems and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cobb,_Keith_Hamilton", "url": "http://www.dconsortium.org/KHC/Calendars/CalendarText.htm"} +{"d:Title": "IMDb - Keith Hamilton Cobb", "d:Description": "Includes a filmography, biography, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cobb,_Keith_Hamilton", "url": "http://www.imdb.com/name/nm0167788/"} +{"d:Title": "Yahoo!Groups : Cobb_group", "d:Description": "Unofficial Keith Hamilton Cobb Mailing List", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cobb,_Keith_Hamilton/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/cobb_group/"} +{"d:Title": "Lea's Keith Hamilton Cobb Fan Board", "d:Description": "Message board for talking about the actor, creating collective presents from fans to Mr Cobb, and free discussions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cobb,_Keith_Hamilton/Chats_and_Forums", "url": "http://www.sdg3.com/lea"} +{"d:Title": "Julia's forum Keith Hamilton Cobb", "d:Description": "Forum is created by a fan of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cobb,_Keith_Hamilton/Chats_and_Forums", "url": "http://keithforum.suddenlaunch3.com/"} +{"d:Title": "BBC News: James Coburn: The Magnificent Career", "d:Description": "Obituary and short biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coburn,_James", "url": "http://news.bbc.co.uk/2/hi/entertainment/2490875.stm"} +{"d:Title": "IMDb - James Coburn", "d:Description": "Filmography, biographical details, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coburn,_James", "url": "http://www.imdb.com/name/nm0000336/"} +{"d:Title": "Find A Grave - James Coburn", "d:Description": "Biographical information and career overview, with photographs and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coburn,_James", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6938443&pt=James+Coburn"} +{"d:Title": "Thespian Net presents James Coburn", "d:Description": "Acting credits, profile, a photograph and links, with facilities for fans to contribute pictorial and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coburn,_James", "url": "http://www.thespiannet.com/actors/C/coburn_james/"} +{"d:Title": "James Coburn - Quintessential Cool", "d:Description": "Interview conducted by Timothy Rhys.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coburn,_James", "url": "http://www.celticcafe.com/archive/artists/coburn/mmaker1.htm"} +{"d:Title": "Guardian Unlimited Film - James Coburn", "d:Description": "Obituary by Vera Rule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coburn,_James", "url": "http://www.theguardian.com/film/1999/mar/26/features1"} +{"d:Title": "Damn the Man", "d:Description": "Includes pictures, poll, guestbook, movie reviews, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cochrane,_Rory", "url": "http://funkyamonkee0.tripod.com/rory_cochrane/"} +{"d:Title": "Rory Cochrane Homepage", "d:Description": "Biographical details, filmography and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cochrane,_Rory", "url": "http://www.west.net/~ferguson/RoryCochrane.html"} +{"d:Title": "Rory Cochrane", "d:Description": "Fan site offering biographical details, a filmography, movie synopses, images and poll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cochrane,_Rory", "url": "http://rorycochrane.tripod.com/"} +{"d:Title": "Rory Cochrane!", "d:Description": "Biography, filmography, images, and 28th birthday tribute.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cochrane,_Rory", "url": "http://empire626.tripod.com/RoryCochrane.html"} +{"d:Title": "IMDb - Rory Cochrane", "d:Description": "Filmography and notable television guest appearances, with biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cochrane,_Rory", "url": "http://www.imdb.com/name/nm0168262/"} +{"d:Title": "IMDb - Serge Cockburn", "d:Description": "Filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cockburn,_Serge", "url": "http://www.imdb.com/name/nm0168303/"} +{"d:Title": "IMDb.com - Kelly Coffield", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coffield,_Kelly", "url": "http://www.imdb.com/name/nm0168907/"} +{"d:Title": "Cohen, Scott Cohen", "d:Description": "Filmography, photos and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohen,_Scott", "url": "http://www.angelfire.com/bc/rogueworld/scott.html"} +{"d:Title": "Wolf's Den at CoffeeRooms", "d:Description": "Message board for fans of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohen,_Scott", "url": "http://www.coffeerooms.com/forums/tv/t10k_wolf/index.html"} +{"d:Title": "IMDb - Scott Cohen", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohen,_Scott", "url": "http://www.imdb.com/name/nm0169753/"} +{"d:Title": "Internet Broadway Database: Patti Cohenour", "d:Description": "Official Broadway credits and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohenour,_Patti", "url": "https://www.ibdb.com/broadway-cast-staff/patti-cohenour-70625"} +{"d:Title": "Talkin' Broadway - Patti Cohenour", "d:Description": "Interview in which the actress discusses her career and her decision to move to Seattle.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohenour,_Patti", "url": "http://www.talkinbroadway.com/page/regional/seattle/se24.html"} +{"d:Title": "IMDb - Mindy Cohn", "d:Description": "Includes a filmography, trivia and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohn,_Mindy", "url": "http://www.imdb.com/name/nm0169934/"} +{"d:Title": "Lew Irwin Reports", "d:Description": "Transcript of a brief radio interview given by the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohn,_Mindy", "url": "http://fly.hiwaay.net/~djberry/media/lew2.htm"} +{"d:Title": "Yahoo! Groups - Mindy Cohn", "d:Description": "E-mail based forum for devotees of the actress. [Registration required.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cohn,_Mindy", "url": "http://tv.groups.yahoo.com/group/Mindy-Cohn/"} +{"d:Title": "IMDb: Claudette Colbert", "d:Description": "Complete filmography, biography, photographs, and related career information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colbert,_Claudette", "url": "http://imdb.com/name/nm0001055/"} +{"d:Title": "Meredy's Claudette Colbert Trivia Mania", "d:Description": "25 tough trivia questions, a few pictures, and free wallpaper available for download.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colbert,_Claudette", "url": "http://www.meredy.com/colberttriv.htm"} +{"d:Title": "TVGuide.com: Claudette Colbert", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colbert,_Claudette", "url": "http://www.tvguide.com/celebrities/claudette-colbert/145093"} +{"d:Title": "Bradley Cole Official Fan Club", "d:Description": "Exclusive photographs and interviews, details of personal appearances, chat transcripts, news articles and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cole,_Bradley", "url": "http://www.bradleycole.com/"} +{"d:Title": "IMDb - Bradley Cole", "d:Description": "Filmography, a photograph and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cole,_Bradley", "url": "http://www.imdb.com/name/nm0170461/"} +{"d:Title": "IMDb - Gary Cole", "d:Description": "Filmography, photographs and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cole,_Gary", "url": "http://www.imdb.com/name/nm0170550/"} +{"d:Title": "Jenni's World", "d:Description": "Provides biographical information, images, video clips, desktop wallpaper, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cole,_Jennifer", "url": "http://jennisworld.freehosting.net/"} +{"d:Title": "IMDb - Jennifer Cole", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cole,_Jennifer", "url": "http://www.imdb.com/name/nm0170598/"} +{"d:Title": "BBC Film - Your Ttributes: Charlotte Coleman", "d:Description": "\"Tributes to 'Four Weddings and a Funeral' star Charlotte Coleman, who died suddenly last week aged 33.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Charlotte", "url": "http://news.bbc.co.uk/1/hi/1669160.stm"} +{"d:Title": "Find A Grave - Charlotte Coleman", "d:Description": "Exact cause of death, with photographs and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Charlotte", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6000646&pt=Charlotte+Coleman"} +{"d:Title": "BBC Film - Four Weddings Star Found Dead", "d:Description": "\"Four Weddings and a Funeral star Charlotte Coleman dies aged 33 after suffering a massive asthma attack.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Charlotte", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1661229.stm"} +{"d:Title": "WSWS.org - Charlotte Coleman", "d:Description": "Article by Paul Bond.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Charlotte", "url": "http://www.wsws.org/en/articles/2001/11/obit-n23.html"} +{"d:Title": "IMDb - Charlotte Coleman", "d:Description": "Filmography, photographs, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Charlotte", "url": "http://www.imdb.com/name/nm0170976/"} +{"d:Title": "IMDb - Dabney Coleman", "d:Description": "Profile, pictures, complete filmography, and television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Dabney", "url": "http://www.imdb.com/name/nm0001056/"} +{"d:Title": "Tribute to Dabney Coleman", "d:Description": "Profile with information on some of his films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Dabney", "url": "http://donignacio.tripod.com/actcoleman.html"} +{"d:Title": "CNN.com - Gary Coleman on California ballot Aug.", "d:Description": "\"Gary Coleman, child star of the sitcom Diff'rent Strokes, has placed his name among a host of other celebrities in the running for California governor.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Gary", "url": "http://cnn.com/2003/ALLPOLITICS/08/06/candidate.coleman/"} +{"d:Title": "WWWF Grudge Match", "d:Description": "Street fight between the actor and Webster; includes pre-fight commentary with the final results and voter comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Gary", "url": "http://www.grudge-match.com/History/coleman-webster.shtml"} +{"d:Title": "IMDb - Gary Coleman", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Gary", "url": "http://www.imdb.com/name/nm0171041/"} +{"d:Title": "Wikipedia - Gary Coleman", "d:Description": "Biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Gary", "url": "http://en.wikipedia.org/wiki/Gary_Coleman"} +{"d:Title": "Canoe: - Coleman Delivers", "d:Description": "Article detailing the actor's involvement in the computer game \"Postal 2\". By Steve Tilley.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coleman,_Gary", "url": "http://jam.canoe.com/Movies/Artists/C/Coleman_Gary/2003/05/01/757352.html"} +{"d:Title": "Official John Colicos Fan Club and Memorial", "d:Description": "Includes a biography, photo gallery, memorial tribute and guestbook for condolences to the late actor's family.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colicos,_John", "url": "http://www.klingon.org/Colicos/"} +{"d:Title": "IMDb - John Colicos", "d:Description": "Biographical details, filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colicos,_John", "url": "http://www.imdb.com/name/nm0171475/"} +{"d:Title": "Canoe: John Colicos", "d:Description": "Article from the Toronto Sun providing an overview of the actor's career. By John Coulbourn.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colicos,_John", "url": "http://jam.canoe.com/Movies/Artists/C/Colicos_John/2000/03/08/757353.html"} +{"d:Title": "IMDb.com - Margaret Colin", "d:Description": "Filmography with links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colin,_Margaret", "url": "http://www.imdb.com/name/nm0171513/"} +{"d:Title": "Thespian Net presents Margaret Colin", "d:Description": "Images and list of credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colin,_Margaret", "url": "http://www.thespiannet.com/actresses/C/colin_margaret/margaret_colin.shtml"} +{"d:Title": "Toni Collette: As Good As Dancing Queen", "d:Description": "A fan site with news, biography, filmography, articles and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collette,_Toni", "url": "http://www.angelfire.com/ky2/melstinggirl/toni.html"} +{"d:Title": "Toni Collette.org", "d:Description": "Site offers news, images and multimedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collette,_Toni", "url": "http://www.tonicollette.org/"} +{"d:Title": "IMDb - Toni Collette", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collette,_Toni", "url": "http://www.imdb.com/name/nm0001057/"} +{"d:Title": "IMDb - Don Collier", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collier,_Don", "url": "http://www.imdb.com/name/nm0171896/"} +{"d:Title": "Yahoo! Groups : MarkCollier_Fansite", "d:Description": "E-mail discussion forum for devotees of the actor. [Registration required].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collier,_Mark", "url": "http://movies.groups.yahoo.com/group/MarkCollier_Fansite/"} +{"d:Title": "IMDb - Mark Collier", "d:Description": "Provides a filmography, biography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collier,_Mark", "url": "http://www.imdb.com/name/nm0171941/"} +{"d:Title": "IMDb - Clifton Collins Jr.", "d:Description": "Filmography, profile, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collins,_Clifton,_Jr.", "url": "http://www.imdb.com/name/nm0004286/"} +{"d:Title": "The Joan Collins Shrine", "d:Description": "Biographical information, images and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collins,_Joan", "url": "http://joancollinsshrine.8m.com/"} +{"d:Title": "Yahoo! Movies: Joan Collins", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collins,_Joan", "url": "http://movies.yahoo.com/person/joan-collins/"} +{"d:Title": "IMDb - Joan Collins", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collins,_Joan", "url": "http://www.imdb.com/name/nm0001058/"} +{"d:Title": "IMDb - Stephen Collins", "d:Description": "Biographical details, filmography and 11 thumbnailed photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Collins,_Stephen", "url": "http://www.imdb.com/name/nm0004834/"} +{"d:Title": "IMDb - Silvia Colloca", "d:Description": "Filmography, biographical details, photographs, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colloca,_Silvia", "url": "http://www.imdb.com/name/nm1278926/"} +{"d:Title": "Killer Movies - Silvia Colloca Talks Van Helsing Role", "d:Description": "The actress speaks with John More about her role as Dracula's first bride in the upcoming Van Helsing movie.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colloca,_Silvia", "url": "http://www.killermovies.com/v/vanhelsing/articles/3695.html"} +{"d:Title": "The Ronald Colman Pages", "d:Description": "Includes a filmography, biography, image gallery, and audio clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colman,_Ronald", "url": "http://themave.com/Colman"} +{"d:Title": "Find A Grave - Ronald Colman", "d:Description": "Location of the actor's grave, an exact cause of death, photographs, a biography and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colman,_Ronald", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=214"} +{"d:Title": "IMDb - Ronald Colman", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colman,_Ronald", "url": "http://www.imdb.com/name/nm0172903/"} +{"d:Title": "Meredy's Ronald Colman Trivia", "d:Description": "Quiz comprising 25 questions on the performer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colman,_Ronald", "url": "http://www.meredy.com/colmantriv.htm"} +{"d:Title": "Golden Silents - Ronald Colman", "d:Description": "Filmography, biography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colman,_Ronald", "url": "http://www.goldensilents.com/stars/ronaldcolman.html"} +{"d:Title": "BBC News: Coltrane Spills Potter Beans", "d:Description": "Actor Robbie Coltrane, who plays Hagrid in Harry Potter, says he enjoyed making the movie - despite being the butt of the child stars' practical jokes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coltrane,_Robbie", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1652393.stm"} +{"d:Title": "TalkTalk: Robbie Coltrane", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coltrane,_Robbie", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/robbie-coltrane/biography/104"} +{"d:Title": "IMDb: Robbie Coltrane", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coltrane,_Robbie", "url": "http://www.imdb.com/name/nm0001059/"} +{"d:Title": "Canoe: Robbie Coltrane", "d:Description": "Offers two articles from Canadian news publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coltrane,_Robbie", "url": "http://jam.canoe.com/Movies/Artists/C/Coltrane_Robbie/"} +{"d:Title": "Colunga, Fernando", "d:Description": "A fan site for Mexico actor Fernando Colunga that includes facts and an image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colunga,_Fernando", "url": "http://www.angelfire.com/celeb/valen79/colunga.htm"} +{"d:Title": "Fernando Colunga Love Forever", "d:Description": "Biographical information, articles, images, contact details, audio and video clips, polls and screensavers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colunga,_Fernando", "url": "http://fernandocolungalove.tripod.com/"} +{"d:Title": "Fernando Colunga Russian Site", "d:Description": "Fan site in English and Russian. Offers a biography, filmography, articles, images, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colunga,_Fernando", "url": "http://fercolunga.narod.ru/"} +{"d:Title": "IMDb - Fernando Colunga", "d:Description": "A filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Colunga,_Fernando", "url": "http://www.imdb.com/name/nm0173393/"} +{"d:Title": "Holly Marie Combs", "d:Description": "Fan site with information and personal reviews of some of her work and links to other fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Holly_Marie", "url": "http://www.angelfire.com/celeb/hollymariecombs/"} +{"d:Title": "IMDb - Holly Marie Combs", "d:Description": "Filmography, biography, photographs, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Holly_Marie", "url": "http://www.imdb.com/name/nm0001061/"} +{"d:Title": "Heavenly Holly", "d:Description": "News, gossip, pictures, contact information, biography, video clips, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Holly_Marie", "url": "http://www.hmc.tvheaven.com/"} +{"d:Title": "HollyMCombs.com", "d:Description": "Biography, filmography and TV roles, articles and interviews, multimedia downloads, e-cards, fan art, a message board and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Holly_Marie", "url": "http://www.hollymcombs.com/"} +{"d:Title": "Brush'n'Combs Delphi Forum", "d:Description": "An alternative message board for fans of Jeffrey Combs. DelphiBasic membership and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Jeffrey", "url": "http://forums.delphiforums.com/woohoo2/start"} +{"d:Title": "IMDb - Jeffrey Combs", "d:Description": "Biography, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Jeffrey", "url": "http://www.imdb.com/name/nm0001062/"} +{"d:Title": "The CataCombs", "d:Description": "A tribute to the cult classic movies of Jeffrey Combs: a large photo archive, fan fiction and art, wallpaper, sounds and other miscellaneous fun stuff.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Jeffrey", "url": "http://laurenclark.tripod.com/index-2.html"} +{"d:Title": "The Jeffrey Combs Page", "d:Description": "This site contains pictures from several of Jeffrey Combs' films, including Bride of Re-Animator, Doctor Mordrid, and Star Trek: Deep Space Nine. It also has many audio clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Combs,_Jeffrey", "url": "http://jamethiel.tripod.com/"} +{"d:Title": "IMDb: Jeff Conaway", "d:Description": "Provides biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Conaway,_Jeff", "url": "http://www.imdb.com/name/nm0001063/"} +{"d:Title": "PopEntertainment.com: Jennifer Connelly: Out of the Dark", "d:Description": "The Oscar-winning actress tells Brad Balfour about taking a dip in \"Dark Water.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connelly,_Jennifer", "url": "http://www.popentertainment.com/connelly.htm"} +{"d:Title": "TVGuide.com: Jennifer Connelly", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connelly,_Jennifer", "url": "http://www.tvguide.com/celebrities/jennifer-connelly/156589"} +{"d:Title": "IMDb - Jennifer Connelly", "d:Description": "Filmography, biography, trivia, photographs, news articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connelly,_Jennifer", "url": "http://www.imdb.com/name/nm0000124/"} +{"d:Title": "Jennifer Connelly Fan Club", "d:Description": "[Yahoo! Groups] Large, active fan community.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connelly,_Jennifer/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jenniferconnellyfanclub/"} +{"d:Title": "Jennifer Connelly", "d:Description": "[Yahoo! Groups] Message board and chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connelly,_Jennifer/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jenniferconnelly2/"} +{"d:Title": "Yahoo! Groups: Jennifer Connelly", "d:Description": "Mailing list dedicated to the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connelly,_Jennifer/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jenniferconnelly"} +{"d:Title": "Jennifer Connelly Newsletter", "d:Description": "Mailing list providing news and reports about the actress and her films. [Registration required.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connelly,_Jennifer/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jconnelly/"} +{"d:Title": "Jason Connery - To the Stars", "d:Description": "Provides biographical information, a filmography, articles, reviews, scanned images, screen captures, and sound clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Jason", "url": "http://jasonconnery.tripod.com/"} +{"d:Title": "BBC Cambridgeshire On Stage - The Blue Room", "d:Description": "Review of The Blue Room, and interview with its star Jason Connery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Jason", "url": "http://www.bbc.co.uk/cambridgeshire/stage/2003/01/blue_room.shtml"} +{"d:Title": "IMDb: Jason Connery", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Jason", "url": "http://www.imdb.com/name/nm0001064/"} +{"d:Title": "Theatre, Musicals and Actors Web", "d:Description": "Features biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.tmaw.co.uk/seanc.html"} +{"d:Title": "Sexy Male Celebrities - Sean Connery", "d:Description": "Biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.kcweb.com/superm/s_connery.htm"} +{"d:Title": "Sean Connery - Cosmopolis", "d:Description": "Biography and filmography with links to DVDs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.cosmopolis.ch/english/cosmo12/seanconnery.htm"} +{"d:Title": "SeanConnery.com", "d:Description": "Official site with a biography, filmography and a gallery featuring the art of the actor's wife Micheline.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.seanconnery.com/"} +{"d:Title": "TV and Movie Trivia Tribute: Sean Connery", "d:Description": "With pictures, sounds, links, trivia and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.triviatribute.com/seanconnery.html"} +{"d:Title": "screenonline: Connery, Sean (1930-) Biography", "d:Description": "Biography, film and tv credits, links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.screenonline.org.uk/people/id/455509/#"} +{"d:Title": "Topix: Sean Connery", "d:Description": "News about Sean Connery, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.topix.com/rss/who/sean-connery.xml"} +{"d:Title": "The Movie Times - Sean Connery", "d:Description": "Box office information, vital statistics, links, message board, list of major film awards, survey.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?sconnery"} +{"d:Title": "Thespian Net presents Sean Connery", "d:Description": "A brief biography, as well as credits information, links and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.thespiannet.com/actors/C/connery_sean/sean_connery.shtml"} +{"d:Title": "Connery. Sean Connery - The Comprehensive Web Site", "d:Description": "Biography, filmography, photos, quotations, trivia, multimedia, information on his James Bond films and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://sean-connery.tripod.com/"} +{"d:Title": "Kennedy Center: Sean Connery", "d:Description": "Biography of 1999 Honoree", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean", "url": "http://www.kennedy-center.org/artist/A3711"} +{"d:Title": "John Allen", "d:Description": "Contains photographs, testimonials, contact details and a list of recent appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean/Impersonators", "url": "http://www.johnallen007.com/"} +{"d:Title": "IMDb: Sean Connery", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connery,_Sean/Movies", "url": "http://www.imdb.com/name/nm0000125/"} +{"d:Title": "Chuck Connors As The Rifleman", "d:Description": "A page dedicated to The Rifleman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connors,_Chuck", "url": "http://nana43.tripod.com/rifleman.html"} +{"d:Title": "IMDb: Chuck Connors", "d:Description": "Provides biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Connors,_Chuck", "url": "http://www.imdb.com/name/nm0175200/"} +{"d:Title": "IMDb: Chris Conrad", "d:Description": "Provides biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Conrad,_Chris", "url": "http://www.imdb.com/name/nm0175621/"} +{"d:Title": "IMDb: Tom Conti", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Conti,_Tom", "url": "http://www.imdb.com/name/nm0002018/"} +{"d:Title": "Yahoo! Movies: Tom Conti", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Conti,_Tom", "url": "http://movies.yahoo.com/person/tom-conti/"} +{"d:Title": "IMDb - A.J. Cook", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_A.J.", "url": "http://www.imdb.com/name/nm0176882/"} +{"d:Title": "The Arrow interviews A.J. Cook.", "d:Description": "Question and answer session with the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_A.J.", "url": "http://joblo.com/arrow/interview53.htm"} +{"d:Title": "IMDb: Dane Cook", "d:Description": "Offers filmography, photos and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Dane", "url": "http://www.imdb.com/name/nm0176981/"} +{"d:Title": "DrShrink's: Rachael Leigh Cook Web Page", "d:Description": "Contains biography, photos and winamp skins.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh", "url": "http://www.angelfire.com/rant/drshrink/rlc/main.htm"} +{"d:Title": "IMDb - Rachael Leigh Cook", "d:Description": "Biography, filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh", "url": "http://www.imdb.com/name/nm0000337/"} +{"d:Title": "Rotten Tomatoes: Rachael Leigh Cook", "d:Description": "Filmography, links to reviews and news, photos and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh", "url": "http://www.rottentomatoes.com/celebrity/rachael_leigh_cook/"} +{"d:Title": "TVGuide.com: Rachael Leigh Cook", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh", "url": "http://www.tvguide.com/celebrities/rachael-leigh-cook/170630"} +{"d:Title": "Lindzi.com: Rachael Leigh Cook Interview", "d:Description": "Discussion of \"She's All That\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh/Articles_and_Interviews", "url": "http://www.lindzi.com/interviews/rachael.htm"} +{"d:Title": "The Unofficial Rachael Leigh Cook Collection", "d:Description": "Includes photographs, biography, desktop themes, audio and video samples, statistics, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh/Fan_Pages", "url": "http://rachaelleighcook.8m.com/"} +{"d:Title": "Celebrity Central: Rachael Leigh Cook", "d:Description": "Magazine scans and several galleries of miscellaneous photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh/Image_Galleries", "url": "http://www.angelfire.com/celeb/rlc/"} +{"d:Title": "Mesedilla Studios: Rachael Leigh Cook", "d:Description": "Pictures from many movies and other public appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh/Image_Galleries", "url": "http://mesedilla.tripod.com/rachael/"} +{"d:Title": "Maruli's Rachael Leigh Cook Gallery", "d:Description": "Scanned images, photographs, screen captures, and desktop wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cook,_Rachael_Leigh/Image_Galleries", "url": "http://rachael-gallery.8m.com/"} +{"d:Title": "Ryan Cooley Fan Site", "d:Description": "Biographical details, filmography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooley,_Ryan", "url": "http://ryancooley.50megs.com/index.html"} +{"d:Title": "Unofficial Ryan Cooley Fan Site", "d:Description": "Filmography, facts, images and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooley,_Ryan", "url": "http://psycho_vampiro.tripod.com/ryancooley/index.html"} +{"d:Title": "IMDb - Ryan Cooley", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooley,_Ryan", "url": "http://www.imdb.com/name/nm0177620/"} +{"d:Title": "IMDb - Bradley Cooper", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Bradley", "url": "http://www.imdb.com/name/nm0177896/"} +{"d:Title": "Daryn's Chris Cooper Page", "d:Description": "Fan site with news, biography, filmography, trivia, reviews, pictures, articles, and other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Chris", "url": "http://ddcc01.tripod.com/"} +{"d:Title": "Chris Cooper Autograph", "d:Description": "Provides autographed photos and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Chris", "url": "http://my-autographs.de/cooper.htm"} +{"d:Title": "Chris Cooper - A Real Contender", "d:Description": "Interview discussing the actor's role in John Sayles' film \"Silver City.\" Conducted by Brad Balfour. [PopEntertainment.com].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Chris", "url": "http://www.popentertainment.com/chriscooper.htm"} +{"d:Title": "TVGuide.com: Chris Cooper", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Chris", "url": "http://www.tvguide.com/celebrities/chris-cooper/144482"} +{"d:Title": "A Touch of Texas -- Chris Cooper", "d:Description": "Biography, filmography, interviews, and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Chris", "url": "http://slopez.tripod.com/ChrisCooper.html"} +{"d:Title": "IMDb - Chris Cooper", "d:Description": "Filmography, photographs, links and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Chris", "url": "http://www.imdb.com/name/nm0177933/"} +{"d:Title": "IMDb - Dominic Cooper", "d:Description": "Filmography, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Dominic", "url": "http://www.imdb.com/name/nm1002641/"} +{"d:Title": "Meredy's Gary Cooper Trivia Mania", "d:Description": "Photographs and a quiz.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://www.meredy.com/garytriv.htm"} +{"d:Title": "Gary Cooper: More Than Just a Cowboy", "d:Description": "Biography, filmography, images, audio clips, and memorabilia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://themave.com/Cooper"} +{"d:Title": "The Official Gary Cooper Website", "d:Description": "Provides a biography and filmography, with film posters, lobby cards, magazine adverts, a mailing list, television schedules and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://www.garycooper.com/"} +{"d:Title": "Gary Cooper Pages", "d:Description": "Pictorial tribute including collages, photographs, movie stills, magazine covers, lobby cards, film posters, tobacco cards, postcards and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://cooplover.com/"} +{"d:Title": "Metroactive Movies - Gary Cooper", "d:Description": "Article providing an overview of the actor's career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://www.metroactive.com/papers/metro/07.17.97/gary-cooper-9729.html"} +{"d:Title": "Find A Grave - Gary Cooper", "d:Description": "Location of the actor's grave, an exact cause of death, with photographs and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=227"} +{"d:Title": "Thespian Net presents Gary Cooper", "d:Description": "A filmography, biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://www.thespiannet.com/actors/C/cooper_gary/gary_cooper.shtml"} +{"d:Title": "IMDb - Gary Cooper", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Gary", "url": "http://www.imdb.com/name/nm0000011/"} +{"d:Title": "IMDb - Kimberley Cooper", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cooper,_Kimberley", "url": "http://www.imdb.com/name/nm0178198/"} +{"d:Title": "IMDb - Michael Copon", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Copon,_Michael", "url": "http://www.imdb.com/name/nm0178757/"} +{"d:Title": "IMDb - Brady Corbet", "d:Description": "Filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corbet,_Brady", "url": "http://www.imdb.com/name/nm1227232/"} +{"d:Title": "IMDb - John Corbett", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corbett,_John", "url": "http://www.imdb.com/name/nm0179173/"} +{"d:Title": "Michael Corbett's Official Website", "d:Description": "Actor on The Young and The Restless, Ryan's Hope, Search for Tomorrow, feature films, television and theatrical productions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corbett,_Michael", "url": "http://www.michaelcorbett.com/"} +{"d:Title": "IMDb - Michael Corbett", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corbett,_Michael", "url": "http://www.imdb.com/name/nm0179182/"} +{"d:Title": "Barry Corbin", "d:Description": "Barry Corbin's filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corbin,_Barry", "url": "http://www.imdb.com/name/nm0179224/"} +{"d:Title": "The Virginia Lee Corbin Scrapbook", "d:Description": "A site dedicated to forgotten silent movie star Virginia Lee Corbin with a biography, reviews, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corbin,_Virginia_Lee", "url": "http://www.silentsaregolden.com/VLC%20Website/VLCmainpageorig.html"} +{"d:Title": "IMDb - Virginia Lee Corbin", "d:Description": "Filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corbin,_Virginia_Lee", "url": "http://www.imdb.com/name/nm0179247/"} +{"d:Title": "Mara Corday Official WebPage", "d:Description": "Includes biography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corday,_Mara", "url": "http://maracorday.com/"} +{"d:Title": "Brian's Drive-In Theater - Mara Corday", "d:Description": "Biographical details, filmography, images and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corday,_Mara", "url": "http://www.briansdriveintheater.com/maracorday.html"} +{"d:Title": "IMDb - Mara Corday", "d:Description": "Biographical information, filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corday,_Mara", "url": "http://www.imdb.com/name/nm0179408/"} +{"d:Title": "An Interview With Danielle Cormack", "d:Description": "Whoosh exclusive 1997.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cormack,_Danielle", "url": "http://www.whoosh.org/issue12/icorm1.html"} +{"d:Title": "IMDb - Danielle Cormack", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cormack,_Danielle", "url": "http://www.imdb.com/name/nm0179996/"} +{"d:Title": "IMDb - Michael Cornelison", "d:Description": "Filmography, biography and a photograph.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cornelison,_Michael", "url": "http://www.imdb.com/name/nm0180165/"} +{"d:Title": "Corraface, George", "d:Description": "Provides news, biography, movies, television, and theatre, video clips, press, interviews, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corraface,_Georges", "url": "http://www.corraface.net/"} +{"d:Title": "IMDb : Georges Corraface", "d:Description": "The page on IMDb provides Photos, Filmography, Discussions, Bio, and News.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Corraface,_Georges", "url": "http://www.imdb.com/name/nm0004248/"} +{"d:Title": "Daniel Cosgrove Fan Site", "d:Description": "Features pictures, news, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cosgrove,_Daniel", "url": "http://www.angelfire.com/ca2/danielcosgrove/"} +{"d:Title": "IMDb - Daniel Cosgrove", "d:Description": "Filmography, photographs and notable television guest appearnces.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cosgrove,_Daniel", "url": "http://www.imdb.com/name/nm0181822/"} +{"d:Title": "IMDB: Paulo Costanzo", "d:Description": "Provides filmography, awards, biography, agent, discussions, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Costanzo,_Paulo", "url": "http://www.imdb.com/name/nm0182455/"} +{"d:Title": "Meredy.com: Joseph Cotten", "d:Description": "A salute to classic actor Joseph Cotten with images, free downloads, filmography, and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cotten,_Joseph", "url": "http://www.meredy.com/josephcotten/"} +{"d:Title": "IMDb: Joseph Cotten", "d:Description": "Filmography and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cotten,_Joseph", "url": "http://www.imdb.com/name/nm0001072/"} +{"d:Title": "TVGuide.com: Marisa Coughlan", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coughlan,_Marisa", "url": "http://www.tvguide.com/celebrities/marisa-coughlan/164479"} +{"d:Title": "IMDb - Marisa Coughlan", "d:Description": "Includes a filmography, photographs, profile, trivia, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coughlan,_Marisa", "url": "http://www.imdb.com/name/nm0004846/"} +{"d:Title": "IMDb - Keith Coulouris", "d:Description": "Filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coulouris,_Keith", "url": "http://www.imdb.com/name/nm0183460/"} +{"d:Title": "IMDb - Christian Coulson", "d:Description": "Filmography, trivia, a photograph and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coulson,_Christian", "url": "http://www.imdb.com/name/nm0183469/"} +{"d:Title": "Coulsonville", "d:Description": "A forum to talk about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coulson,_Christian", "url": "http://ccoulson.proboards.com/"} +{"d:Title": "Coxian.com", "d:Description": "Fansite containing photographs, articles, a filmography, career highlights, and a forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Brian", "url": "http://www.coxian.com/"} +{"d:Title": "IMDb - Brian Cox", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Brian", "url": "http://www.imdb.com/name/nm0004051/"} +{"d:Title": "IMDb - Christina Cox", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Christina", "url": "http://www.imdb.com/name/nm0184965/"} +{"d:Title": "1440 Media: Courteney Cox", "d:Description": "Includes 100 pictures, filmography, and a mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://www.radiofree.com/profiles/courteney_cox/"} +{"d:Title": "An Undying Celebrity: Courteney Cox", "d:Description": "A thumbnailed image gallery, plus biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://www.undying.com/celeb/Courteney_Cox/index.htm"} +{"d:Title": "Washingtonpost.com: Courteney Cox Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/courteney_cox.htm"} +{"d:Title": "TVGuide.com: Courteney Cox", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://www.tvguide.com/celebrities/courteney-cox/189723"} +{"d:Title": "Thespian Net Presents Courteney Cox", "d:Description": "Profile, movie credits, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://www.thespiannet.com/actresses/C/cox_courteney/courteney_cox.shtml"} +{"d:Title": "The Movie Times: Courteney Cox", "d:Description": "Offers pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?ccox"} +{"d:Title": "IMDb - Courteney Cox", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://www.imdb.com/name/nm0001073/"} +{"d:Title": "Canoe: Courteney Cox", "d:Description": "Collection of articles on the life and career of the actress, from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney", "url": "http://jam.canoe.com/Movies/Artists/C/Cox_Courteney/"} +{"d:Title": "Team Courteney", "d:Description": "Includes a biography, selected quotations, contact information, articles, interviews, filmography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney/Fan_Pages", "url": "http://www.courteneycox.8m.com/"} +{"d:Title": "ChicagoBachelor.com - Courteney Cox Pictures", "d:Description": "Provides 27 photographs, useable as e-cards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Courteney/Image_Galleries", "url": "http://www.chicagobachelor.com/gallery/cox"} +{"d:Title": "The Corwin Homage Page", "d:Description": "Dialogue transcripts, a convention report, images and interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Joshua", "url": "http://natiel.tripod.com/corwin/"} +{"d:Title": "Josh Coxx Page", "d:Description": "Hosts a selection of images, and some biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Joshua", "url": "http://www.angelfire.com/wi/gracey/josh.html"} +{"d:Title": "IMDb - Joshua Cox", "d:Description": "Filmography, photographs a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Joshua", "url": "http://www.imdb.com/name/nm0185104/"} +{"d:Title": "The Corwin Fans Mailing List", "d:Description": "E-mail forum for fans of the character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Joshua", "url": "http://tv.groups.yahoo.com/group/corwinfans/"} +{"d:Title": "Ronny Cox.com", "d:Description": "Official site containing photographs, album reviews and news on forthcoming appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Ronny", "url": "http://www.ronnycox.com/"} +{"d:Title": "IMDb - Ronny Cox", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Ronny", "url": "http://www.imdb.com/name/nm0001074/"} +{"d:Title": "IMDb: Wally Cox (I)", "d:Description": "Filmography, biography, awards and miscellaneous links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cox,_Wally", "url": "http://www.imdb.com/name/nm0185281/"} +{"d:Title": "IMDb - Brendan Coyle", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coyle,_Brendan", "url": "http://www.imdb.com/name/nm0185354/"} +{"d:Title": "An Amazing Guy", "d:Description": "Includes biographical information, images, screen captures, a list of credits, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coyle,_Brendan", "url": "http://www.squidge.org/~slashgirl/brendan"} +{"d:Title": "IMDb - Richard Coyle", "d:Description": "Filmography, biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Coyle,_Richard", "url": "http://www.imdb.com/name/nm0185404/"} +{"d:Title": "IMDb - Daniel Craig", "d:Description": "Biography, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Craig,_Daniel", "url": "http://www.imdb.com/name/nm0185819/"} +{"d:Title": "Exclusive: Daniel Craig and Barbara Broccoli", "d:Description": "Interviews the 007 star on his new role and discusses the controversial choice with the producer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Craig,_Daniel", "url": "http://www.superherohype.com/features/90407-exclusive-daniel-craig-and-barbara-broccoli"} +{"d:Title": "IMDb: Jeanne Crain", "d:Description": "A biography, a detailed filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crain,_Jeanne", "url": "http://www.imdb.com/name/nm0002022/"} +{"d:Title": "About.com: Matt Craven Interview - 'The Life of David Gale' Movie Premiere", "d:Description": "Interview with actor about the dramatic thriller, co-starring Kevin Spacey, Laura Linney and Kate Winslet.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Craven,_Matt", "url": "http://romanticmovies.about.com/library/weekly/aa011903d.htm"} +{"d:Title": "IMDb: Matt Craven (I)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles and fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Craven,_Matt", "url": "http://www.imdb.com/name/nm0002023/"} +{"d:Title": "Yahoo! Movies: Matt Craven", "d:Description": "Contains biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Craven,_Matt", "url": "http://movies.yahoo.com/person/matt-craven/"} +{"d:Title": "TV.com: Matt Craven", "d:Description": "Biography, roles and appearances, and gossip.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Craven,_Matt", "url": "http://www.tv.com/people/matt-craven/"} +{"d:Title": "Scrapbooks of My Mind: Broderick Crawford", "d:Description": "One remembrance of Broderick Crawford, from a Hollywood autobiography by Rick Jason.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crawford,_Broderick", "url": "http://www.scrapbooksofmymind.com/broderick_crawford.htm"} +{"d:Title": "Highway Patrol, Starring Broderick Crawford", "d:Description": "Collection of images of Crawford in his role as Chief Dan Mathews in the television show Highway Patrol. Publicity stills, posters, collectibles, and private photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crawford,_Broderick", "url": "http://www.highwaypatroltv.com/photos.shtml"} +{"d:Title": "IMDb: Broderick Crawford", "d:Description": "Filmography, profile, awards, and related information about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crawford,_Broderick", "url": "http://www.imdb.com/name/nm0002024/"} +{"d:Title": "Find A Grave - Broderick Crawford", "d:Description": "The location of his grave, biographical information, photographs and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crawford,_Broderick", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1740"} +{"d:Title": "Wikipedia.org: Broderick Crawford", "d:Description": "Short profile with searchable links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crawford,_Broderick", "url": "http://en.wikipedia.org/wiki/Broderick_Crawford"} +{"d:Title": "IMDb - Wendy Crewson", "d:Description": "Filmography with biographical information, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crewson,_Wendy", "url": "http://www.imdb.com/name/nm0187724/"} +{"d:Title": "Thespian Net presents Wendy Crewson", "d:Description": "Acting credits as well as a place for fans to contribute photos and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crewson,_Wendy", "url": "http://www.thespiannet.com/actresses/C/crewson_wendy/wendy_crewson.shtml"} +{"d:Title": "The Unofficial Roark Critchlow Homepage", "d:Description": "Contains biographical information, photographs, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Critchlow,_Roark", "url": "http://www.angelfire.com/oh/roark/"} +{"d:Title": "Roark Critchlow", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Critchlow,_Roark", "url": "http://www.imdb.com/name/nm0188220/"} +{"d:Title": "IMDb - Joelene Crnogorac", "d:Description": "Filmography, notable television guest appearances, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crnogorac,_Joelene", "url": "http://www.imdb.com/name/nm0188294/"} +{"d:Title": "Joelene Crnogorac Site", "d:Description": "Provides a biography, filmography and screen captures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crnogorac,_Joelene", "url": "http://www.guri.sk/joelene/"} +{"d:Title": "Jonathan Crombie Fan Club", "d:Description": "Discuss Jonathan's career and latest news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crombie,_Jonathan", "url": "http://groups.yahoo.com/group/jonathancrombiefanclub/"} +{"d:Title": "Shirley's Jonathan Crombie Place", "d:Description": "Shirley's Yahoo! Group to discuss Jonathan's career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crombie,_Jonathan", "url": "http://groups.yahoo.com/group/shirleysjonathancrombieplace/"} +{"d:Title": "Jonathan Crombie's World", "d:Description": "Biography, filmography, news, quotes, message boards and addresses.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crombie,_Jonathan", "url": "http://jonathancrombie.tripod.com/"} +{"d:Title": "IMDb.com - Jonathan Crombie", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crombie,_Jonathan", "url": "http://www.imdb.com/name/nm0188592/"} +{"d:Title": "IMDb - James Cromwell", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cromwell,_James", "url": "http://www.imdb.com/name/nm0000342/"} +{"d:Title": "USATODAY.com - James Cromwell Sows a Meatless Message", "d:Description": "The actor expounds upon the virtues of vegetarianism.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cromwell,_James", "url": "http://www.usatoday.com/news/health/spotlighthealth/2002-10-02-cromwell_x.htm"} +{"d:Title": "Thespian Net presents James Cromwell", "d:Description": "Credits information for this actor, as well as places for fans to submit photos and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cromwell,_James", "url": "http://www.thespiannet.com/actors/C/cromwell_james/james_cromwell.shtml"} +{"d:Title": "Canoe: James Cromwell", "d:Description": "Collection of articles on the performer from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cromwell,_James", "url": "http://jam.canoe.com/Movies/Artists/C/Cromwell_James/"} +{"d:Title": "IMDb - Mackenzie Crook", "d:Description": "Filmography, trivia, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crook,_Mackenzie", "url": "http://www.imdb.com/name/nm0188871/"} +{"d:Title": "BBC - Ask Mackenzie Crook", "d:Description": "Online question and answer session with fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crook,_Mackenzie", "url": "http://www.bbc.co.uk/comedy/tvtogo/ask/mackenzie_crook1.shtml"} +{"d:Title": "IMDb: Annette Crosbie", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crosbie,_Annette", "url": "http://www.imdb.com/name/nm0188950/"} +{"d:Title": "IMDb - Denise Crosby", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crosby,_Denise", "url": "http://www.imdb.com/name/nm0000344/"} +{"d:Title": "The Denise Crosby Repository", "d:Description": "Biographical details, filmography, contact information, and news on forthcoming projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crosby,_Denise", "url": "http://www.stack.nl/~boris/Denise/"} +{"d:Title": "StarTrek.com: Denise Crosby", "d:Description": "Biography,character profile, images and chat transcript.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crosby,_Denise", "url": "http://www.startrek.com/database_article/crosby-cast"} +{"d:Title": "IMDb - Joel Crothers", "d:Description": "Filmography, trivia, links and a list of awards won by the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crothers,_Joel", "url": "http://www.imdb.com/name/nm0189402/"} +{"d:Title": "Find A Grave - Joel Crothers", "d:Description": "An exact cause of death, with tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crothers,_Joel", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=6778897&pt=Joel+Crothers"} +{"d:Title": "Billy Crudup Information", "d:Description": "Movie list, news, interviews, reviews, image gallery, and movie trailers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crudup,_Billy", "url": "http://www.angelfire.com/movies/bcrudup/"} +{"d:Title": "IMDb - Billy Crudup", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crudup,_Billy", "url": "http://www.imdb.com/name/nm0001082/"} +{"d:Title": "Digital Hit's Billy Crudup Profile", "d:Description": "A look at this actors life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crudup,_Billy", "url": "http://www.digitalhit.com/cr/billycrudup/"} +{"d:Title": "Thespian Net: Billy Crudup", "d:Description": "Information on films, awards, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Crudup,_Billy", "url": "http://www.thespiannet.com/actors/C/crudup_billy/billy_crudup.shtml"} +{"d:Title": "IMDb - Raymond Cruz", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cruz,_Raymond", "url": "http://www.imdb.com/name/nm0190441/"} +{"d:Title": "IMDb: David Cryer", "d:Description": "Offers filmography, biography, contact information and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cryer,_David", "url": "http://www.imdb.com/name/nm0190541/"} +{"d:Title": "DePauw University News: David Cryer", "d:Description": "Brief article provided by the actor's alma mater.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cryer,_David", "url": "http://www.depauw.edu/news-media/latest-news/details/15447/"} +{"d:Title": "IBDb: David Cryer Credits on Broadway", "d:Description": "Provides official Broadway credits and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cryer,_David", "url": "https://www.ibdb.com/broadway-cast-staff/david-cryer-36818"} +{"d:Title": "Marton Csokas", "d:Description": "Screen captures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Csokas,_Marton", "url": "http://members.tripod.com/~Urbana_1/csokas/csokas.html"} +{"d:Title": "An Interview With Marton Csokas", "d:Description": "Extensive question and answer session with the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Csokas,_Marton", "url": "http://www.whoosh.org/issue33/icsokas1.html"} +{"d:Title": "IMDb - Marton Csokas", "d:Description": "Provides a biography and filmography, with a photograph and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Csokas,_Marton", "url": "http://www.imdb.com/name/nm0190744/"} +{"d:Title": "Best of Sicily: Maria Grazia Cucinotta", "d:Description": "Profile and career overview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cucinotta,_Maria_Grazia", "url": "http://www.bestofsicily.com/mag/art28.htm"} +{"d:Title": "IMDb - Maria Grazia Cucinotta", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cucinotta,_Maria_Grazia", "url": "http://www.imdb.com/name/nm0002029/"} +{"d:Title": "Aiming to Be More Than Just One of the Boys", "d:Description": "An article about Melinda Culea and her role on the A-Team.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culea,_Melinda", "url": "http://home.kpn.nl/marte163/AimingArticle.htm"} +{"d:Title": "IMDB.com: Melinda Culea", "d:Description": "Melinda Culea's filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culea,_Melinda", "url": "http://www.imdb.com/name/nm0191370/"} +{"d:Title": "Guardian Unlimited - In Defence of Kit Culkin", "d:Description": "Interview with Jeanette Krylowski regarding Kit Culkin.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Christopher", "url": "http://www.theguardian.com/theguardian/2000/oct/21/guardianletters"} +{"d:Title": "IMDb - Christopher Culkin", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Christopher", "url": "http://www.imdb.com/name/nm0191408/"} +{"d:Title": "Kieran Culkin Net", "d:Description": "Fan site, including biography, filmography, links, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Kieran", "url": "http://www.angelfire.com/stars/kieran/main.html"} +{"d:Title": "Kieran Culkin Website", "d:Description": "Contains filmography, biography, quotes, links, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Kieran", "url": "http://www.angelfire.com/in2/kieranculkin/Index.html"} +{"d:Title": "Kieran Culkin Homepage", "d:Description": "Includes biography, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Kieran", "url": "http://dominic5.tripod.com/"} +{"d:Title": "IMDb - Kieran Culkin", "d:Description": "Includes a filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Kieran", "url": "http://www.imdb.com/name/nm0001085/"} +{"d:Title": "Imdb - Movie and TV News", "d:Description": "\"I don't want to be famous\" says Kieran Culkin in this article.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Kieran/Articles_and_Interviews", "url": "http://www.imdb.com/news/wenn/2000-03-24"} +{"d:Title": "Macaulay World", "d:Description": "Photos, resume, and links to other related sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay", "url": "http://www.angelfire.com/ct/shafttacky/index.html"} +{"d:Title": "Macaulay-Culkin.com", "d:Description": "Check out his filmography, browse the dozens of photos, and read fun facts about him.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay", "url": "http://www.macaulay-culkin.com/"} +{"d:Title": "TVGuide.com: Macaulay Culkin", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay", "url": "http://www.tvguide.com/celebrities/macaulay-culkin/163354"} +{"d:Title": "IMDb - Macaulay Culkin", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay", "url": "http://www.imdb.com/name/nm0000346/"} +{"d:Title": "Canoe: Macaulay Culkin", "d:Description": "A collection of articles on the actor, from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/C/Culkin_Macauley/"} +{"d:Title": "Macaulay's world wide club", "d:Description": "A club for all over the world who trust Mack.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/macaulaysworldwideclub"} +{"d:Title": "Macaulay Culkin Worshippers", "d:Description": "A place to talk about Macaulay Culkin.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/macaulayculkinworshippers"} +{"d:Title": "Mack Culkin fan club", "d:Description": "For all people obsessed with Macaulay Culkin.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/macculkinfanclub"} +{"d:Title": "Maja's dream of Mack", "d:Description": "This is a club for dreamers of Macaulay Culkin.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/majasdreamofmac"} +{"d:Title": "Macaulay Culkin in our hearts", "d:Description": "Fan club with news, photos and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/macauleyculkinisinourhearts"} +{"d:Title": "Macaulay Culkin's place", "d:Description": "A place for Macaulay's fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Chats_and_Forums", "url": "http://groups.yahoo.com/group/macaulayculkinsplace/"} +{"d:Title": "Movie Times.com: Macaulay Culkin", "d:Description": "Offering pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Directories", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?culkin"} +{"d:Title": "Rotten Tomatoes: Macaulay Culkin", "d:Description": "Filmography, links to reviews and news, photos, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Macaulay/Directories", "url": "http://www.rottentomatoes.com/celebrity/macaulay_culkin/"} +{"d:Title": "IMDb - Michael Culkin", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Michael", "url": "http://www.imdb.com/name/nm0191410/"} +{"d:Title": "IMDb - Rory Culkin", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Rory", "url": "http://www.imdb.com/name/nm0191412/"} +{"d:Title": "Canoe: The Calm Culkin", "d:Description": "\"Rory plays it cool as he talks about new film.\" By Bob Thornton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Culkin,_Rory", "url": "http://jam.canoe.com/Movies/Artists/C/Culkin_Rory/2000/09/11/757653.html"} +{"d:Title": "IMDb - Brett Cullen", "d:Description": "Filmography with trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cullen,_Brett", "url": "http://www.imdb.com/name/nm0191442/"} +{"d:Title": "Brett Cullen - Leaving a Legacy", "d:Description": "Interview in which the actor discusses his role in the television series \"Legacy\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cullen,_Brett", "url": "http://www.littlereview.com/getcritical/interviews/cullen.htm"} +{"d:Title": "The Official Brett Cullen Web Site", "d:Description": "Contains a biography, chat transcripts, articles, news items, photographs, a list of acting credits, contact details, and advice for aspiring performers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cullen,_Brett", "url": "http://www.brettcullen.com/"} +{"d:Title": "TVGuide.com: Brett Cullen", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cullen,_Brett", "url": "http://www.tvguide.com/celebrities/brett-cullen/142705"} +{"d:Title": "IMDb - Martin Cummins", "d:Description": "Filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cummins,_Martin", "url": "http://www.imdb.com/name/nm0192024/"} +{"d:Title": "Liam Cunningham: Falling for an Actor: An Unofficial Fan Website", "d:Description": "Fan site with news, biography, film and stage references, bulletin board and chat.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cunningham,_Liam", "url": "http://www.liamcunningham.net/"} +{"d:Title": "IMDb: Liam Cunningham", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cunningham,_Liam", "url": "http://www.imdb.com/name/nm0192377/"} +{"d:Title": "IMDb - Kaley Cuoco", "d:Description": "Filmography, biographical information, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cuoco,_Kaley", "url": "http://www.imdb.com/name/nm0192505/"} +{"d:Title": "IMDb - Tony Curran (I)", "d:Description": "Filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curran,_Tony", "url": "http://www.imdb.com/name/nm0192889/"} +{"d:Title": "Alana Curry.com", "d:Description": "Official site including photographs, movie stills, biographical information, a list of acting credits, scanned newspaper and magazine articles, contact details and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curry,_Alana", "url": "http://www.alanacurry.com/"} +{"d:Title": "IMDb - Alana Curry", "d:Description": "Filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curry,_Alana", "url": "http://www.imdb.com/name/nm1002979/"} +{"d:Title": "IMDb - Tim Curry", "d:Description": "Filmography, photographs, links and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curry,_Tim", "url": "http://www.imdb.com/name/nm0000347/"} +{"d:Title": "Tim Curry Sphere", "d:Description": "Fan site with biography, discography, picture gallery, articles, fan fiction, and related links. [English and German]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curry,_Tim", "url": "http://www.timcurry.at/"} +{"d:Title": "TVGuide.com: Tim Curry", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curry,_Tim", "url": "http://www.tvguide.com/celebrities/tim-curry/284379"} +{"d:Title": "IMDb - Cliff Curtis", "d:Description": "Filmography, links, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curtis,_Cliff", "url": "http://www.imdb.com/name/nm0193295/"} +{"d:Title": "The Official Robin Curtis Website", "d:Description": "Contains a biography, filmography, photographs and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curtis,_Robin", "url": "http://www.timem.com/starwebs/robincurtis/"} +{"d:Title": "TrekToday - Robin Curtis", "d:Description": "\"Robin Curtis loved being a part of Star Trek\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curtis,_Robin", "url": "http://www.trektoday.com/news/190302_02.shtml"} +{"d:Title": "IMDb - Robin Curtis", "d:Description": "Filmography with links and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curtis,_Robin", "url": "http://imdb.com/name/nm0193495/"} +{"d:Title": "IMDb - Thomas Curtis", "d:Description": "Filmography, notable television guest appearances, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Curtis,_Thomas", "url": "http://www.imdb.com/name/nm0193524/"} +{"d:Title": "Ann Cusack.com", "d:Description": "Official site featuring a biography, filmography, photographs, video clips and a list of organisations supported by the performer. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusack,_Ann", "url": "http://www.anncusack.com/"} +{"d:Title": "IMDb - Ann Cusack", "d:Description": "Filmography, trivia and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusack,_Ann", "url": "http://www.imdb.com/name/nm0193639/"} +{"d:Title": "Joan Us Or Die", "d:Description": "Devoted to actress Joan Cusack. Pictures, quotes, and answers to all the questions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusack,_Joan", "url": "http://www.angelfire.com/in/joanordie/index.html"} +{"d:Title": "Something About Joan Cusack", "d:Description": "Biography, filmography, messageboard, chat, photographs and links for the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusack,_Joan", "url": "http://www.angelfire.com/il/joancusack/main.html"} +{"d:Title": "IMDb - Joan Cusack", "d:Description": "Filmography, biography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusack,_Joan", "url": "http://www.imdb.com/name/nm0000349/"} +{"d:Title": "Thespian Net: Joan Cusack", "d:Description": "Pictures, filmography, awards list, fan mail address and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusack,_Joan", "url": "http://www.thespiannet.com/actresses/C/cusack_joan/joan_cusack.shtml"} +{"d:Title": "IMDb: Sin\u00e9ad Cusack", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusack,_Sin\u00e9ad", "url": "http://www.imdb.com/name/nm0193661/"} +{"d:Title": "Yahoo! Groups: GoJo", "d:Description": "E-mail discussion forum for fans. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusick,_Henry_Ian", "url": "http://movies.groups.yahoo.com/group/GoJo/"} +{"d:Title": "IMDb - Henry Ian Cusick", "d:Description": "Filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cusick,_Henry_Ian", "url": "http://www.imdb.com/name/nm0193738/"} +{"d:Title": "20m.com - Elisha Cuthbert", "d:Description": "Image galleries containing older photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cuthbert,_Elisha", "url": "http://elishacuthbert.20m.com/"} +{"d:Title": "Cuthbert, Elisha", "d:Description": "Biography, image gallery and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cuthbert,_Elisha", "url": "http://www.elisha-cuthbert.com/"} +{"d:Title": "House of Wax Movie", "d:Description": "Elisha Cuthbert and Joel Silver interview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/C/Cuthbert,_Elisha", "url": "http://movies.about.com/od/houseofwax/a/houseec022105.htm"} +{"d:Title": "Donn, Ray", "d:Description": "UK based actor. Includes credits, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.donn.co.uk/"} +{"d:Title": "Dillon, George", "d:Description": "UK based actor/director. Includes resume, photos, news, projects and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.georgedillon.com/theatre/index.shtml"} +{"d:Title": "Downing, Robert E.", "d:Description": "California based actor. Includes resume, biography,photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.angelfire.com/film/DowningRobert/"} +{"d:Title": "Dionysios, Nikos", "d:Description": "London based Equity actor. Includes biography, reviews, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.nikosdionysios.com/"} +{"d:Title": "Dawn, Melissa", "d:Description": "US based actress. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.angelfire.com/la2/MelissaDawn/"} +{"d:Title": "Deacon, Darlene", "d:Description": "Actress and voice talent. Biography, resume, video clips, credits and interests, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.darlenedeacon.com/"} +{"d:Title": "Dawson, Bruce Allen", "d:Description": "Provides resume and headshots.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.therightcast.com/act/bruceallendawson"} +{"d:Title": "Duncan, Christopher B.", "d:Description": "Provides demo reel, biography, resume, news and photo gallery and representation details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.christopherbduncan.com/"} +{"d:Title": "Dewhurst , Jonathan", "d:Description": "Full biography of the Lancashire tragedian and Shakespearian actor 1837 - 1913, and those of his sons, William, P.Jonty and Harold.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://www.jonathan-dewhurst.info/"} +{"d:Title": "Dalli, Daniella", "d:Description": "Southern California based actress. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D", "url": "http://danielladalli.wixsite.com/danielladalli"} +{"d:Title": "IMDb: Maryam d'Abo", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/d'Abo,_Maryam", "url": "http://www.imdb.com/name/nm0001881/"} +{"d:Title": "Olivia d'Abo - The Official Site", "d:Description": "Biography, film and television credits, images, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/d'Abo,_Olivia", "url": "http://www.oliviadabo.net/"} +{"d:Title": "IMDB: Olivia d'Abo", "d:Description": "Shows filmography, awards and biography. Provides discussions, photos, news articles and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/d'Abo,_Olivia", "url": "http://imdb.com/name/nm0001882/"} +{"d:Title": "TVGuide.com: Olivia d'Abo", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/d'Abo,_Olivia", "url": "http://www.tvguide.com/celebrities/olivia-dabo/168556"} +{"d:Title": "IMDb: John D'Aquino", "d:Description": "Filmography and notable television guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Aquino,_John", "url": "http://www.imdb.com/name/nm0195383/"} +{"d:Title": "AskMen.com: Donna D'errico", "d:Description": "Pictures, biography, and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Errico,_Donna", "url": "http://uk.askmen.com/celebs/women/models/43_donna_derrico.html"} +{"d:Title": "IMDb: David D'Ingeo", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Ingeo,_David", "url": "http://www.imdb.com/name/nm0195750/"} +{"d:Title": "IMDb: Vincent D'Onofrio", "d:Description": "Offers a biography, filmography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Onofrio,_Vincent", "url": "http://www.imdb.com/name/nm0000352/"} +{"d:Title": "Box Office Data for Vincent D'Onofrio", "d:Description": "Summarizes box office statistics for the actor's films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Onofrio,_Vincent", "url": "http://www.the-numbers.com/people/VDONO.php"} +{"d:Title": "TVGuide.com: Vincent D'Onofrio", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Onofrio,_Vincent", "url": "http://www.tvguide.com/celebrities/vincent-donofrio/178386"} +{"d:Title": "TV.com Listing For Vincent D'Onofrio", "d:Description": "Listing of the actor's television appearances. Includes a biography, links to news articles, pictures, trivia, fan reviews and a discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Onofrio,_Vincent", "url": "http://www.tv.com/people/vincent-donofrio/"} +{"d:Title": "Internet Broadway Database Listing", "d:Description": "The actor's Broadway theatrical appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Onofrio,_Vincent", "url": "https://www.ibdb.com/broadway-cast-staff/vincent-donofrio-86454"} +{"d:Title": "The Vincent D'Onofrio WebSite for Fans", "d:Description": "Fan site. Includes biographies, interviews, news articles, a photo gallery, filmography, sound files, and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Onofrio,_Vincent/Fan_Pages", "url": "http://www.sir-ranulf.net/"} +{"d:Title": "The Reel Vincent D'Onofrio", "d:Description": "Fan site containing a web log, desktop wallpapers, screen captures, multimedia clips, news articles, a filmography, interviews, reviews and fan art.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/D'Onofrio,_Vincent/Fan_Pages", "url": "http://www.thereelvincentdonofrio.com/"} +{"d:Title": "Mark Dacascos", "d:Description": "Offers news, biography, filmography, multimedia, FAQs, merchandise and interactive forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dacascos,_Mark", "url": "http://www.dacascosfan.com/"} +{"d:Title": "IMDb - Cameron Daddo", "d:Description": "Contains the actor's filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daddo,_Cameron", "url": "http://www.imdb.com/name/nm0196592/"} +{"d:Title": "Willem Dafoe Fan Site", "d:Description": "Filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dafoe,_Willem", "url": "http://adefwebserver.com/JColger/"} +{"d:Title": "Why Act in Theater?", "d:Description": "Essay by Dafoe in Zoetrope magazine.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dafoe,_Willem", "url": "http://www.all-story.com/issues.cgi?action=show_story&story_id=86"} +{"d:Title": "TVGuide.com: Willem Dafoe", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dafoe,_Willem", "url": "http://www.tvguide.com/celebrities/willem-dafoe/179080"} +{"d:Title": "IMDb: Willem Dafoe", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dafoe,_Willem", "url": "http://www.imdb.com/name/nm0000353/"} +{"d:Title": "New York Times Movies: Willem Dafoe", "d:Description": "Biography, filmography, awards list, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dafoe,_Willem", "url": "http://www.nytimes.com/movies/person/16547/Willem-Dafoe"} +{"d:Title": "Hannah's Bill Daily Site", "d:Description": "Includes the actor's biography, information about his TV roles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daily,_Bill", "url": "http://billdailysbiggestfan.tripod.com/"} +{"d:Title": "IMDb - Bill Daily", "d:Description": "Includes information about the actor's filmography and TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daily,_Bill", "url": "http://www.imdb.com/name/nm0197349/"} +{"d:Title": "IMDb: James Badge Dale", "d:Description": "Filmography and discussions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dale,_James_Badge", "url": "http://www.imdb.com/name/nm0197647/"} +{"d:Title": "Badge Dale Online", "d:Description": "News, image gallery, filmography, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dale,_James_Badge", "url": "http://badge-dale.iwarp.com/"} +{"d:Title": "IMDb - B\u00e9atrice Dalle", "d:Description": "Filmography, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dalle,_B\u00e9atrice", "url": "http://www.imdb.com/name/nm0001095/"} +{"d:Title": "Biogs.com: Beatrice Dalle", "d:Description": "Offers a concise biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dalle,_B\u00e9atrice", "url": "http://www.biogs.com/famous/dallebeatrice.html"} +{"d:Title": "BBC News - Betty Blue actress weds prisoner", "d:Description": "\"French actress Beatrice Dalle, who shot to fame in cult 1986 movie Betty Blue, marries a Brittany prison inmate.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dalle,_B\u00e9atrice", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/4155035.stm"} +{"d:Title": "BBC Films - B\u00e9atrice Dalle", "d:Description": "Interview in which she discusses her role in the movie \"Trouble Every Day\". By Tom Dawson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dalle,_B\u00e9atrice", "url": "http://www.bbc.co.uk/films/2002/12/17/beatrice_dalle_trouble_every_day_interview.shtml"} +{"d:Title": "Joe Dallesandro", "d:Description": "The official web site of the actor, famous for his Andy Warhol films", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dallesandro,_Joe", "url": "http://www.joedallesandro.com/"} +{"d:Title": "The Timothy Dalton Chat Group", "d:Description": "Information about current projects, newsletter, biography, picture gallery, James Bond information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dalton,_Timothy", "url": "http://pelicanpromotions.com.au/dalton/"} +{"d:Title": "Tyne Daly", "d:Description": "Includes articles, the actress's filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daly,_Tyne", "url": "http://www.bookmice.net/darkchilde/tyne/daly.html"} +{"d:Title": "IMDb - Tyne Daly", "d:Description": "Includes the actress's filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daly,_Tyne", "url": "http://www.imdb.com/name/nm0002033/"} +{"d:Title": "Rotten Tomatoes: Cathryn Damon", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Damon,_Cathryn", "url": "http://www.rottentomatoes.com/celebrity/cathryn_damon/"} +{"d:Title": "Internet Movie Database: Cathryn Damon", "d:Description": "Contains biography, filmography, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Damon,_Cathryn", "url": "http://www.imdb.com/name/nm0198919/"} +{"d:Title": "IMDb: Gabriel Damon", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Damon,_Gabriel", "url": "http://www.imdb.com/name/nm0198925/"} +{"d:Title": "IMDb - Stuart Damon", "d:Description": "Includes the actor's filmography and a listing of TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Damon,_Stuart", "url": "http://www.imdb.com/name/nm0198948/"} +{"d:Title": "Memory Alpha: Ethan Dampf", "d:Description": "Encyclopedia entry themed to his Star Trek: Enterprise guest appearance.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dampf,_Ethan", "url": "http://memory-alpha.org/wiki/Ethan_Dampf"} +{"d:Title": "IMDb - Ethan Dampf", "d:Description": "Includes the actor's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dampf,_Ethan", "url": "http://www.imdb.com/name/nm0198969/"} +{"d:Title": "TV.com: Ethan Dampf", "d:Description": "Biography, filmography, and photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dampf,_Ethan", "url": "http://www.tv.com/people/ethan-dampf/"} +{"d:Title": "IMDb: Mike Damus", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Damus,_Mike", "url": "http://www.imdb.com/name/nm0199000/"} +{"d:Title": "Charles Dance", "d:Description": "Fan site with detailed biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dance,_Charles", "url": "http://www.tmaw.co.uk/charlesd.html"} +{"d:Title": "IMDb: Charles Dance (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dance,_Charles", "url": "http://www.imdb.com/name/nm0001097/"} +{"d:Title": "TVGuide.com: Dorothy Dandridge", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dandridge,_Dorothy", "url": "http://www.tvguide.com/celebrities/dorothy-dandridge/147946"} +{"d:Title": "Biography.com: Dorothy Dandridge", "d:Description": "Biography of the actress and list of films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dandridge,_Dorothy", "url": "http://www.biography.com/people/dorothy-dandridge-9542081"} +{"d:Title": "IMDb: Dorothy Dandridge", "d:Description": "Complete filmography, photographs, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dandridge,_Dorothy/Movies", "url": "http://www.imdb.com/name/nm0199268/"} +{"d:Title": "Shelton Dane", "d:Description": "Complete site about Shelton Dane.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dane,_Shelton", "url": "http://www.kidactors.com/sdane/shelton.htm"} +{"d:Title": "My So-Called Claire Danes Homepage.", "d:Description": "Fan site with news archive, biography, filmography, clips, and an image library.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire", "url": "http://www.clairedanes.com/"} +{"d:Title": "My Claire Danes Scrapbook", "d:Description": "Includes Claire Danes biography, photos, audio, videos, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire", "url": "http://clairedanes.gmxhome.de/"} +{"d:Title": "Absolute Goddess: Claire Danes", "d:Description": "Includes vital statistics, mini filmography, photo gallery, desktop wallpaper, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire", "url": "http://claire.goddessdreams.com/"} +{"d:Title": "IMDb: Claire Danes", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire", "url": "http://www.imdb.com/name/nm0000132/"} +{"d:Title": "About Romantic Movies: Claire Danes", "d:Description": "Includes links and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire", "url": "http://movies.about.com/od/danesclaire/"} +{"d:Title": "Thespian Net presents Claire Danes", "d:Description": "Includes a biography, movie and TV credits, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire", "url": "http://www.thespiannet.com/actresses/D/danes_claire/claire_danes.shtml"} +{"d:Title": "New York Times Movies: Claire Danes", "d:Description": "Biography, filmography, awards list, and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire", "url": "http://www.nytimes.com/movies/person/195650/Claire-Danes"} +{"d:Title": "Claire Danes: A Digital Art Presentation", "d:Description": "A fan's gallery of digitally manipulated Claire Danes photos. Plus links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Danes,_Claire/Image_Galleries", "url": "http://winterblink.com/claire"} +{"d:Title": "IMDb: Brittany Daniel", "d:Description": "Includes filmography, awards, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daniel,_Brittany", "url": "http://www.imdb.com/name/nm0199590/"} +{"d:Title": "IMDb: William Daniels", "d:Description": "Contains biography trivia and filmography for actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daniels,_William", "url": "http://www.imdb.com/name/nm0200122/"} +{"d:Title": "IndustryCentral: William Daniels", "d:Description": "Profile of the actor. Includes editor's note, biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Daniels,_William", "url": "http://industrycentral.net/content/actors/w_daniels.html"} +{"d:Title": "IMDb: Patrika Darbo", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Darbo,_Patrika", "url": "http://www.imdb.com/name/nm0004858/"} +{"d:Title": "The Frankie Darro Home Page", "d:Description": "A detailed information resource for fans of the child actor and classic B-movie star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Darro,_Frankie", "url": "http://www.frankiedarro.com/"} +{"d:Title": "IMDb - Amir Darvish", "d:Description": "Features photos, filmography, discussions, bio, news and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Darvish,_Amir", "url": "http://www.imdb.com/name/nm2040552/"} +{"d:Title": "Darvish, Amir", "d:Description": "New York City based SAG/AFTRA/AEA actor/model. Includes resume, photos, voice-over demos and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Darvish,_Amir", "url": "http://www.amirdarvish.com/"} +{"d:Title": "IMDb: Bryan Dattilo", "d:Description": "Biographical information and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dattilo,_Bryan", "url": "http://www.imdb.com/name/nm0202182/"} +{"d:Title": "Robert Davi Fan Club Page", "d:Description": "Includes membership information, the actor's biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davi,_Robert", "url": "http://dancassian.tripod.com/RobertDaviFanClub.html"} +{"d:Title": "IMDb - Robert Davi", "d:Description": "Includes the actor's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davi,_Robert", "url": "http://www.imdb.com/name/nm0001108/"} +{"d:Title": "MAD: The Mark Allen David Multimedia Archive", "d:Description": "Pictures, sounds, news, and biography of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/David,_Mark", "url": "http://www.angelfire.com/md2/markdavid/"} +{"d:Title": "IMDb: Mark David", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/David,_Mark", "url": "http://www.imdb.com/name/nm0202998/"} +{"d:Title": "Jaye Davidson", "d:Description": "Fan site with pictures articles and forums, movies, sound files, and trailers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidson,_Jaye", "url": "http://www.jaye-davidson.8m.com/"} +{"d:Title": "IMDb: Jaye Davidson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidson,_Jaye", "url": "http://www.imdb.com/name/nm0001109/"} +{"d:Title": "Jim Davidson Zen Surfer Hangout", "d:Description": "E-mail discussion forum for fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidson,_Jim", "url": "http://groups.yahoo.com/group/jimdavidsonzensurferhangout/"} +{"d:Title": "IMDb - Jim Davidson", "d:Description": "Includes the actor's filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidson,_Jim", "url": "http://www.imdb.com/name/nm0203371/"} +{"d:Title": "Tommy Davidson", "d:Description": "Tommy Davidson's filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidson,_Tommy", "url": "http://www.imdb.com/name/nm0203508/"} +{"d:Title": "TVGuide.com: Embeth Davidtz", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidtz,_Embeth", "url": "http://www.tvguide.com/celebrities/embeth-davidtz/149205"} +{"d:Title": "IMDb: Embeth Davidtz", "d:Description": "Includes filmography, mini biography, photo gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidtz,_Embeth", "url": "http://www.imdb.com/name/nm0001110/"} +{"d:Title": "The Numbers: Embeth Davidtz", "d:Description": "Summarizes box office statistics for movies the actress appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davidtz,_Embeth", "url": "http://www.the-numbers.com/person/36300401-Embeth-Davidtz"} +{"d:Title": "About Geraint Wyn Davies", "d:Description": "A biography as well as movie, TV, and stage credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davies,_Geraint_Wyn", "url": "http://www.loftworks.com/FK/Cast_Crew/wyndavies.html"} +{"d:Title": "The Geraint Wyn Davies Fan Club", "d:Description": "Dedicated to following and supporting this actor's career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davies,_Geraint_Wyn", "url": "http://www.gwdfc.org/"} +{"d:Title": "Why my Favorite Celebrity will Never be Geraint Wyn Davies", "d:Description": "Anecdotes and the posing of significant questions about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davies,_Geraint_Wyn", "url": "http://honestyblaize.tripod.com/justsaynotogeraintwyndavies/"} +{"d:Title": "Jeremy Davies", "d:Description": "Professionally designed site profiles the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davies,_Jeremy", "url": "http://tripad.tripod.com/"} +{"d:Title": "Bette Davis", "d:Description": "Includes biography, photos, downloads, and synopses.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Bette", "url": "http://www.meredy.com/bettedavis/"} +{"d:Title": "My Top 5 Actors: Bette Davis", "d:Description": "Filmography, images, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Bette", "url": "http://www.angelfire.com/on3/AfghanHound/bette_davis.htm"} +{"d:Title": "Bette Davis", "d:Description": "Official site including a biography, pictures, filmography, selected quotations, news items and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Bette", "url": "http://www.bettedavis.com/"} +{"d:Title": "Bette Davis at Lynn's Classic Movie Favorites", "d:Description": "Includes a biography, images, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Bette", "url": "http://www.classicmoviefavorites.com/actresses-featured-at-classic-movie-favorites/bette-davis/"} +{"d:Title": "IMDb - Bette Davis", "d:Description": "Filmography, photographs, trivia, biography, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Bette", "url": "http://www.imdb.com/name/nm0000012/"} +{"d:Title": "IMDb", "d:Description": "Don S. Davis - Filmography, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Don", "url": "http://www.imdb.com/name/nm0204493/"} +{"d:Title": "Geena Davis Unofficial Fan Club", "d:Description": "Two pages of Geena Davis pictures from various sources. Not really a fan club.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://www.laughingstar.com/Geena_Davis/"} +{"d:Title": "Washingtonpost.com: Geena Davis Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/geena_davis.htm"} +{"d:Title": "Geena Davis Mailing List", "d:Description": "An e-mail discussion list for Geena Davis fans. Hosted by Yahoo Groups.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://groups.yahoo.com/group/geena-davis/"} +{"d:Title": "IMDb: Geena Davis", "d:Description": "Includes a filmography, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://www.imdb.com/name/nm0000133/"} +{"d:Title": "Thespian Net Presents Geena Davis", "d:Description": "Offers a profile, movie credits, links and facilities allowing fans to contribute biographical information and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://www.thespiannet.com/actresses/D/davis_geena/"} +{"d:Title": "TVGuide.com: Geena Davis", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://www.tvguide.com/celebrities/geena-davis/151355"} +{"d:Title": "Actress Geena Davis", "d:Description": "The Movie Times' page offers pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?gdavis"} +{"d:Title": "Geena Davis Website", "d:Description": "A fan site with vital statistics, biography, news, filmography, picture galleries, and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://geena99.tripod.com/"} +{"d:Title": "Box Office Data for Geena Davis", "d:Description": "A page that summarizes box office statistics for movies Geena Davis appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Geena", "url": "http://www.the-numbers.com/person/36540401-Geena-Davis"} +{"d:Title": "Rotten Tomatoes: Hope Davis", "d:Description": "Includes filmography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Hope", "url": "http://www.rottentomatoes.com/celebrity/hope_davis/"} +{"d:Title": "Yahoo! Movies: Hope Davis", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Hope", "url": "http://movies.yahoo.com/person/hope-davis/"} +{"d:Title": "Internet Movie Database: Hope Davis", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Hope", "url": "http://www.imdb.com/name/nm0204706/"} +{"d:Title": "The Kristin Davis Page", "d:Description": "Fan site with news, images, articles, transcripts, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Kristin", "url": "http://georgenet.net/brooke/"} +{"d:Title": "Yahoo Groups: Kristin Davis", "d:Description": "An unmoderated mailing list for fans of the actress. Includes a web archive.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Kristin", "url": "http://groups.yahoo.com/group/KristinDavis/"} +{"d:Title": "TVGuide.com: Kristin Davis", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Kristin", "url": "http://www.tvguide.com/celebrities/kristin-davis/160974"} +{"d:Title": "IMDb: Kristin Davis", "d:Description": "The Internet Movie Database includes filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Kristin", "url": "http://www.imdb.com/name/nm0004862/"} +{"d:Title": "BBC Lancashire: Interview with Lucy Davis", "d:Description": "Interview with Lucy Davis, who plays Dawn in the BBC2 comedy The Office.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Lucy", "url": "http://www.bbc.co.uk/lancashire/lifestyle/2002/10/01/office.shtml"} +{"d:Title": "BBC - The Office - Dawn Tinsley (Lucy Davis)", "d:Description": "Brief description of the role played by Lucy in BBC TVs sitcom 'The Office'. Large photos to download.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Lucy", "url": "http://www.bbc.co.uk/comedy/theoffice/characters/profile_dawn.shtml"} +{"d:Title": "IMDb: Lucy Davis", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Lucy", "url": "http://www.imdb.com/name/nm0205063/"} +{"d:Title": "The Matthew Davis Fansite", "d:Description": "Pictures, filmography, message board, and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Matthew", "url": "http://www.angelfire.com/celeb/MattDavis/"} +{"d:Title": "IMDb: Matthew Davis", "d:Description": "Filmography and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Matthew", "url": "http://www.imdb.com/name/nm0205127/"} +{"d:Title": "Yahoo! Movies: Sammi Davis", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Sammi", "url": "http://movies.yahoo.com/person/sammi-davis/"} +{"d:Title": "IMDb: Sammi Davis", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Sammi", "url": "http://www.imdb.com/name/nm0205423/"} +{"d:Title": "IMDb - Viola Davis", "d:Description": "The International Movie Database presents a filmography, awards, and guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Viola", "url": "http://www.imdb.com/name/nm0205626/"} +{"d:Title": "Official Warwick Davis Website", "d:Description": "Current projects, biography, resume, FAQ, contact information. Designed and maintained by the actor himself.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick", "url": "http://www.warwickdavis.co.uk/"} +{"d:Title": "The Jade Angel's Warwick Davis Webpage", "d:Description": "Biography, filmography, picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick", "url": "http://www.angelfire.com/ab/WarwickDavis/main.html"} +{"d:Title": "Yahoo Movies : Warwick Davis", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick", "url": "http://movies.yahoo.com/person/warwick-davis/"} +{"d:Title": "IMDb: Warwick Davis", "d:Description": "Filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick", "url": "http://www.imdb.com/name/nm0001116/"} +{"d:Title": "The-Numbers: Warwick Davis", "d:Description": "Box office information for some of the movies he appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick", "url": "http://www.the-numbers.com/person/36800401-Warwick-Davis"} +{"d:Title": "TheForce.net: Conversation with Warwick Davis", "d:Description": "Interview discussing the unreleased Star Wars Episode 1 and the Return of the Ewok short film", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.theforce.net/jedicouncil/interview/warwick_interview.shtml"} +{"d:Title": "Horror.com: From Ewok to Willow to Leprechaun", "d:Description": "Interview about the Leprechaun series, horror movies, and opportunities for short actors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://horror.com/php/article-388-1.html"} +{"d:Title": "Exclusive Magazine: Warwick Davis", "d:Description": "Interview covering his personal experiences on a wide variety of movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.annecarlini.com/ex_interviews.php?id=264"} +{"d:Title": "BBC Cambridgeshire Features: Witches and Wizards with Winning Ways", "d:Description": "Article about a Harry Potter contest judged by Warwick Davis, with pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.bbc.co.uk/cambridgeshire/features/2003/06/potter_ob.shtml"} +{"d:Title": "TheForce.Net: A Weekend with Warwick Davis", "d:Description": "Report describing activities concurrent with the 1998 Sci Fi Expo in Houston.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.theforce.net/rouser/encounters/warwick.asp"} +{"d:Title": "Xan Phillips Presents: The Actor Who Played Yoda", "d:Description": "A 23-minute audio interview in MP3 format.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.xan.co.uk/volume_12.php"} +{"d:Title": "BBC : 13 Questions Warwick Davis", "d:Description": "Thirteen personal questions and their answers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.bbc.co.uk/ouch/interviews/13_questions_warwick_davis.shtml"} +{"d:Title": "SciFi Online: Warwick Davis", "d:Description": "Interview discussing various projects including Extras.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.sci-fi-online.com/2006_Interviews/06-07-25_WarwickDavis.htm"} +{"d:Title": "The Onion A.V. Club: Warwick Davis", "d:Description": "Interview discussing Willow and other movies, acting with and without bulky costumes, and the future of short actors in a CGI world.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.avclub.com/article/warwick-davis-13743"} +{"d:Title": "Peterborough Today: Actor's Role with Galaxy of Stars", "d:Description": "Interview discussing The Hitchhiker's Guide to the Galaxy, with links to three older interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davis,_Warwick/Articles_and_Interviews", "url": "http://www.peterboroughtoday.co.uk/news/local/film-actor-s-role-with-galaxy-of-stars-1-149080"} +{"d:Title": "IMDb - Bruce Davison", "d:Description": "Includes the actors filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Davison,_Bruce", "url": "http://www.imdb.com/name/nm0001117/"} +{"d:Title": "IMDb - Paul Dawber", "d:Description": "Includes the actors filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dawber,_Paul", "url": "http://www.imdb.com/name/nm0205897/"} +{"d:Title": "Rosario Dawson Fansite", "d:Description": "News, pictures, filmography, biography, a message board and links about the artist.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dawson,_Rosario", "url": "http://www.angelfire.com/film/rosariodawson/"} +{"d:Title": "Rosario Dawson Online", "d:Description": "Fan site includes gallery, fan forum and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dawson,_Rosario", "url": "http://rosario-dawson.net/"} +{"d:Title": "IMDb: Rosario Dawson", "d:Description": "Includes filmography, awards, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dawson,_Rosario", "url": "http://www.imdb.com/name/nm0206257/"} +{"d:Title": "New York Times Movies: Rosario Dawson", "d:Description": "Biography, filmography, awards list, and reviews..", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dawson,_Rosario", "url": "http://www.nytimes.com/movies/person/199028/Rosario-Dawson"} +{"d:Title": "Daniel's Den", "d:Description": "Fan site featuring a biography, sketches of the actor and photo galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Day-Lewis,_Daniel", "url": "http://kathyddl.tripod.com/den.html"} +{"d:Title": "TalkTalk: Daniel Day-Lewis", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Day-Lewis,_Daniel", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/daniel-day-lewis/biography/24"} +{"d:Title": "Thespian Net: Daniel Day-Lewis", "d:Description": "Provides biography, details of film and television career and contact details for fanmail, plus related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Day-Lewis,_Daniel", "url": "http://www.thespiannet.com/actors/D/daylewis_daniel/daniel_day_lewis.shtml"} +{"d:Title": "IMDb: Daniel Day-Lewis", "d:Description": "Provides filmography, biography, photos and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Day-Lewis,_Daniel", "url": "http://www.imdb.com/name/nm0000358/"} +{"d:Title": "Lucy Deakins", "d:Description": "Dedicated to the beautiful 1980s actress best known for her starring role in the movie, The Boy Who Could Fly.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Deakins,_Lucy", "url": "http://www.idiotsavant.com/deakins/"} +{"d:Title": "James Dean: Now&Then", "d:Description": "The official James Dean website in 11 different languages.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_James", "url": "http://www.jamesdean.com/"} +{"d:Title": "Deaners", "d:Description": "A web magazine about James Dean fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_James", "url": "http://www.deaners.net/"} +{"d:Title": "James Dean Memorial Gallery", "d:Description": "Located in Fairmount, Indiana, it holds the world's largest collection of James Dean collectibles and memorabilia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_James", "url": "http://www.jamesdeangallery.com/"} +{"d:Title": "Fairmount Historical Museum", "d:Description": "Exhibiting many artifacts related to James Dean. Information on festival and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_James", "url": "http://www.jamesdeanartifacts.com/"} +{"d:Title": "Astrocartography of James Dean's Least-aspected Sun", "d:Description": "Biography of James Dean, focus on how the planetary metaphors of the Sun and Uranus were reflected in his life and work, by astrocartographer Rob Couteau.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_James", "url": "http://www.dominantstar.com/b_dean.htm"} +{"d:Title": "American Legends", "d:Description": "Original stories and interviews about James Dean", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_James", "url": "http://www.americanlegends.com/"} +{"d:Title": "James Dean's last home", "d:Description": "Photo of the last house where James Dean lived (in 1955) before his tragic death.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_James", "url": "http://seeing-stars.com/ImagePages/JamesDeanHousePhoto.shtml"} +{"d:Title": "Loren Dean - One Good Actor", "d:Description": "Photo galleries from his movies and related articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_Loren", "url": "http://lorendean.tripod.com/"} +{"d:Title": "TVGuide.com: Loren Dean", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_Loren", "url": "http://www.tvguide.com/celebrities/loren-dean/162674"} +{"d:Title": "IMDb.com - Loren Dean", "d:Description": "Loren Dean's filmography at IMDb", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_Loren", "url": "http://www.imdb.com/name/nm0000363/"} +{"d:Title": "Canoe: From Tinseltown to River City for Actor", "d:Description": "Article on the actor by Shelly Decker.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dean,_Loren", "url": "http://jam.canoe.com/Movies/Artists/D/Dean_Loren/2000/05/18/757790.html"} +{"d:Title": "Nicole DeBoer", "d:Description": "Includes multimedia, biography, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/deBoer,_Nicole", "url": "http://www.angelfire.com/ar/deeps9/index.html"} +{"d:Title": "Nicole deBoer - The Unofficial Site", "d:Description": "Includes image galleries, screen grabs, video and sound files.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/deBoer,_Nicole", "url": "http://www.nicoledeboer.com/"} +{"d:Title": "StarTrek.com - Nicole deBoer", "d:Description": "Biography, a chat transcript and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/deBoer,_Nicole", "url": "http://www.startrek.com/database_article/deboer"} +{"d:Title": "Gloria's Kim Delaney Pages", "d:Description": "Autographed photos and magazine pictures of the actress and model.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delaney,_Kim", "url": "http://members.tripod.com/~g_lml/autos.html"} +{"d:Title": "Yahoo! Groups : KimDelaneyBoard", "d:Description": "Discussions about the actress and her past, present and future projects. Also has a photo section.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delaney,_Kim", "url": "http://groups.yahoo.com/group/KimDelaneyBoard/"} +{"d:Title": "Detective Diane Russell", "d:Description": "Biography of the actress and of her NYPD Blue character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delaney,_Kim", "url": "http://www.stwing.upenn.edu/~sepinwal/russell.txt.html"} +{"d:Title": "TVGuide.com: Kim Delaney", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delaney,_Kim", "url": "http://www.tvguide.com/celebrities/kim-delaney/160690"} +{"d:Title": "IMDb: Kim Delaney", "d:Description": "Includes the actress's filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delaney,_Kim", "url": "http://www.imdb.com/name/nm0001126/"} +{"d:Title": "The Official Dana Delany Home Page", "d:Description": "Includes background information, picture galleries, interviews, and a discussion board.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delany,_Dana", "url": "http://www.danadelany.com/"} +{"d:Title": "Internet Movie Database: Dana Delany", "d:Description": "Filmography, TV credits, trivia, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delany,_Dana", "url": "http://www.imdb.com/name/nm0001127/"} +{"d:Title": "TVGuide.com: Dana Delany", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Delany,_Dana", "url": "http://www.tvguide.com/celebrities/dana-delany/145893"} +{"d:Title": "IMDb: Michael DeLorenzo", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DeLorenzo,_Michael", "url": "http://www.imdb.com/name/nm0217716/"} +{"d:Title": "Meredy's Dolores Del Rio Trivia Mania", "d:Description": "Collection of trivia questions about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Del_Rio,_Dolores", "url": "http://www.meredy.com/delriotriv.htm"} +{"d:Title": "Dolores Del Rio mural", "d:Description": "A photo of the mural of actress Dolores Del Rio, on Hollywood Boulevard.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Del_Rio,_Dolores", "url": "http://seeing-stars.com/ImagePages/DoloresDelRioMural.shtml"} +{"d:Title": "The Method Man...", "d:Description": "Filmography, biography, photographs, multimedia, articles, forum, quiz, screen plays and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Del_Toro,_Benicio", "url": "http://www.angelfire.com/movies/beniciodeltoro/"} +{"d:Title": "Jenna's Benicio Del Toro Shrine", "d:Description": "Photographs, biography, filmography, message board, quotes, and a quiz.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Del_Toro,_Benicio", "url": "http://www.angelfire.com/wy2/bdt/index.html"} +{"d:Title": "The Two Evil Monks: Illuminating the Story", "d:Description": "A reference guide to The Secret Adventures of Jules Verne, the Horatio Hornblower Series, and the Lord of the Rings movies, and the TV show Alias. Contains chat transcripts of live chats with the actors in the series.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Demetral,_Chris", "url": "http://www.twoevilmonks.org/"} +{"d:Title": "IMDb: Chris Demetral", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Demetral,_Chris", "url": "http://www.imdb.com/name/nm0218394/"} +{"d:Title": "TV.com: Chris Demetral", "d:Description": "A reference guide for the actor's television roles, both on screen and voice", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Demetral,_Chris", "url": "http://www.tv.com/people/chris-demetral/"} +{"d:Title": "IMDb: Patrick Dempsey", "d:Description": "Includes filmography, photographs, profile, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dempsey,_Patrick", "url": "http://imdb.com/name/nm0001131/"} +{"d:Title": "Wikipedia: Patrick Dempsey", "d:Description": "Includes biography and selected filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dempsey,_Patrick", "url": "http://en.wikipedia.org/wiki/Patrick_Dempsey"} +{"d:Title": "People.com: Patrick Dempsey", "d:Description": "Includes biography, photographs, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dempsey,_Patrick", "url": "http://www.people.com/people/patrick_dempsey"} +{"d:Title": "IMDb: Daniela Denby-Ashe", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Denby-Ashe,_Daniela", "url": "http://www.imdb.com/name/nm0218923/"} +{"d:Title": "WashingtonPost: Judi Dench", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dench,_Judi", "url": "http://www.washingtonpost.com/wp-srv/style/movies/oscars/judi_dench.htm"} +{"d:Title": "Judi Dench", "d:Description": "Biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dench,_Judi", "url": "http://www.tmaw.co.uk/judid.html"} +{"d:Title": "Thespian Net Presents Judi Dench", "d:Description": "Includes movie and TV credits, interviews, articles, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dench,_Judi", "url": "http://www.thespiannet.com/actresses/D/dench_judi/judi_dench.shtml"} +{"d:Title": "IMDb: Judi Dench", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dench,_Judi", "url": "http://www.imdb.com/name/nm0001132/"} +{"d:Title": "Kat Dennings YouTube Channel", "d:Description": "Official video blog, featuring random musings from the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dennings,_Katherine", "url": "http://www.youtube.com/katdennings"} +{"d:Title": "IMDb.com - Kat Dennings", "d:Description": "Includes a filmography, photographs, biographical information, trivia, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dennings,_Katherine", "url": "http://www.imdb.com/name/nm0993507"} +{"d:Title": "Twitter - Kat Dennings", "d:Description": "Official micro-blog delivering the actress's thoughts and feelings in real-time.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dennings,_Katherine", "url": "http://twitter.com/OfficialKat"} +{"d:Title": "Kat Dennings", "d:Description": "Official site which includes her diary entries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dennings,_Katherine", "url": "http://katdennings.typepad.com/"} +{"d:Title": "JamesDenton.com", "d:Description": "Official site. Includes articles, filmography, biography, interviews, chat transcripts and photo galleries.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Denton,_James", "url": "http://www.jamesdenton.com/"} +{"d:Title": "IMDb.com: James Denton", "d:Description": "Includes biography, filmography, photo gallery and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Denton,_James", "url": "http://www.imdb.com/name/nm0219835/"} +{"d:Title": "IMDb: Bob Denver", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Denver,_Bob", "url": "http://www.imdb.com/name/nm0001134/"} +{"d:Title": "Kassie DePaiva - Official Website", "d:Description": "Includes her biography, a photo album, interviews, and a chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DePaiva,_Kassie", "url": "http://www.kassiedepaiva.com/"} +{"d:Title": "IMDb: Kassie DePaiva", "d:Description": "The Internet Movie Database includes her filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DePaiva,_Kassie", "url": "http://www.imdb.com/name/nm0219990/"} +{"d:Title": "IMDb: Bruce Dern", "d:Description": "Provides filmography, brief biography, picture, and trivia information about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Bruce", "url": "http://www.imdb.com/name/nm0001136/"} +{"d:Title": "Matt's Laura Dern Shrine", "d:Description": "A collection of publicity shots, movie stills, video captures, and other pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://barksmatt.tripod.com/"} +{"d:Title": "Washingtonpost.com: Laura Dern Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/laura_dern.htm"} +{"d:Title": "Matt's Laura Dern Shrine", "d:Description": "A fan's collection of 100+ publicity shots, movie stills, video captures, and other pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://barksmatt.tripod.com/index_frame.html"} +{"d:Title": "IMDb: Laura Dern", "d:Description": "Laura's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://www.imdb.com/name/nm0000368/"} +{"d:Title": "Thespian Net Presents Laura Dern", "d:Description": "Offers movie credits, articles, pictures, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://www.thespiannet.com/actresses/D/dern_laura/"} +{"d:Title": "TVGuide.com: Laura Dern", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://www.tvguide.com/celebrities/laura-dern/161351"} +{"d:Title": "The Movie Times: Laura Dern", "d:Description": "Contains pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?ldern"} +{"d:Title": "Box Office Data for Laura Dern", "d:Description": "A page that summarizes box office statistics for movies Laura Dern appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dern,_Laura", "url": "http://www.the-numbers.com/person/38970401-Laura-Dern"} +{"d:Title": "The Meighan Desmond Fan Site", "d:Description": "Includes the actress's biography, filmography, FAQ, photographs, multimedia files, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Desmond,_Meighan", "url": "http://meighandesmond.net/"} +{"d:Title": "IMDb.com: Amanda Detmer", "d:Description": "Contains filmography, picture gallery, biography and visitor submitted comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Detmer,_Amanda", "url": "http://imdb.com/name/nm0221902/"} +{"d:Title": "Loretta Devine", "d:Description": "Includes the actress's filmography, biography, and discussions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Devine,_Loretta", "url": "http://www.imdb.com/name/nm0222643/"} +{"d:Title": "Loretta Devine", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Devine,_Loretta", "url": "http://www.tv.com/people/loretta-devine/"} +{"d:Title": "IMDB: Joyce DeWitt", "d:Description": "Provides filmography, awards, biography, agent, discussions, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DeWitt,_Joyce", "url": "http://www.imdb.com/name/nm0223213/"} +{"d:Title": "Wikipedia: Susan Dey", "d:Description": "Article offering a career overview, concise biography, abridged filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dey,_Susan", "url": "http://en.wikipedia.org/wiki/Susan_Dey"} +{"d:Title": "IMDb", "d:Description": "Profile and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dey,_Susan", "url": "http://www.imdb.com/name/nm0001138/"} +{"d:Title": "Yvonne DeCarlo", "d:Description": "Includes a biography, filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Carlo,_Yvonne", "url": "http://www.briansdriveintheater.com/yvonnedecarlo.html"} +{"d:Title": "IMDb: Rubens de Falco", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_Falco,_Rubens", "url": "http://www.imdb.com/name/nm0208296/"} +{"d:Title": "Olivia de Havilland - One Classy Lady", "d:Description": "Provides a biography, photographs, desktop wallpapers, and a filmography with synopses.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Havilland,_Olivia", "url": "http://www.meredy.com/oliviadehavilland/index.html"} +{"d:Title": "Olivia de Havilland Online", "d:Description": "Image gallery, biography, a message board, merchandise and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Havilland,_Olivia", "url": "http://oliviaonline.tripod.com/"} +{"d:Title": "IMDb - Olivia de Havilland", "d:Description": "Filmography, biography, photographs, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Havilland,_Olivia", "url": "http://www.imdb.com/name/nm0000014/"} +{"d:Title": "IMDb - Paz de la Huerta", "d:Description": "Includes the actress's biography, filmography, and TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_la_Huerta,_Paz", "url": "http://www.imdb.com/name/nm0209289/"} +{"d:Title": "Derek de Lint Fansite", "d:Description": "Includes filmography, biography, photos, articles, links, and mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_Lint,_Derek", "url": "http://derekdelintfansite.com/"} +{"d:Title": "Yahoo Groups: Derek De Lint", "d:Description": "Discussion list for chat and admiration of Dutch actor, Derek de Lint.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_Lint,_Derek", "url": "http://groups.yahoo.com/group/derekdelint"} +{"d:Title": "IMDb: Derek de Lint", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_Lint,_Derek", "url": "http://www.imdb.com/name/nm0513190/"} +{"d:Title": "IMDb: Kamar de los Reyes", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_los_Reyes,_Kamar", "url": "http://www.imdb.com/name/nm0209850/"} +{"d:Title": "Emilie de Ravin", "d:Description": "Fan page offering a biography, filmography, screencaptures, news items, a transcribed interview and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_Ravin,_Emilie", "url": "http://www.angelfire.com/stars5/edr_by_bellamarie/"} +{"d:Title": "IMDb: Emilie de Ravin", "d:Description": "Facts, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/de_Ravin,_Emilie", "url": "http://www.imdb.com/name/nm0211087/"} +{"d:Title": "Portia de Rossi", "d:Description": "Photo galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Rossi,_Portia", "url": "http://www.angelfire.com/pa3/portia/"} +{"d:Title": "Portia de Rossi Central", "d:Description": "Fan site with photos, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Rossi,_Portia", "url": "http://zippercow.tripod.com/portia.html"} +{"d:Title": "IMDb: Portia De Rossi", "d:Description": "The Internet Movie Database includes biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Rossi,_Portia", "url": "http://www.imdb.com/name/nm0005577/"} +{"d:Title": "Brandon de Wilde's Tribute Page", "d:Description": "Includes the actor's biography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/De_Wilde,_Brandon", "url": "http://www.brandondewilde.homestead.com/"} +{"d:Title": "The Society for the Protection of Mike Kellerman", "d:Description": "Fan site dedicated to the character, with news, photos, obsessiveness test, fan fiction, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diamond,_Reed", "url": "http://members.tripod.com/~SPMK_Master/index.html"} +{"d:Title": "TVGuide.com: Reed Diamond", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diamond,_Reed", "url": "http://www.tvguide.com/celebrities/reed-diamond/171129"} +{"d:Title": "My Shrine to Reed Diamond", "d:Description": "Fan site with links, photos, and multimedia of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diamond,_Reed", "url": "http://reeddiamond.tripod.com/"} +{"d:Title": "IMDb.com - Reed Diamond", "d:Description": "Complete filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diamond,_Reed", "url": "http://www.imdb.com/name/nm0224703/"} +{"d:Title": "About.com: Cameron Diaz", "d:Description": "Includes interviews, photos, reviews, and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron", "url": "http://romanticmovies.about.com/cs/diazcameron/"} +{"d:Title": "1440 Media: Cameron Diaz", "d:Description": "Filmography, mailing list, and picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron", "url": "http://www.radiofree.com/profiles/cameron_diaz/"} +{"d:Title": "Entwagon.com : Cameron Diaz", "d:Description": "Includes a image gallery, biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron", "url": "http://www.entwagon.com/celeb/Cameron_Diaz/"} +{"d:Title": "Actress Cameron Diaz", "d:Description": "Box office information on her movies, pictures and a message area.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?cdiaz"} +{"d:Title": "IMDb: Cameron Diaz", "d:Description": "Includes biography, filmography, awards, trivia, quotes, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron", "url": "http://www.imdb.com/name/nm0000139/"} +{"d:Title": "Thespian.net: Cameron Diaz", "d:Description": "Biography, filmography, awards she has won, fan mail address, 4 pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron", "url": "http://www.thespiannet.com/actresses/D/diaz_cameron/cameron_diaz.shtml"} +{"d:Title": "Cameron Diaz has 'something' going for her [CNN]", "d:Description": "\"There's something about Cameron Diaz. Perhaps it's the blonde-haired, blue-eyed look that launched her modeling career at the age of 16.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9807/13/something.about.mary/index.html"} +{"d:Title": "The Sweetest Thing (2002): Cameron Diaz, Christina Applegate, Selma Blair.", "d:Description": "Review by Todd R. Ramlow.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Articles_and_Interviews", "url": "http://www.popmatters.com/film/reviews/s/sweetest-thing.html"} +{"d:Title": "A Cheap Date with Cameron Diaz [NY Rock]", "d:Description": "\"Cameron Diaz must be a cheap date. She loves French fries and Egg McMuffins, and is a self-confessed 'burger whore.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Articles_and_Interviews", "url": "http://www.nyrock.com/features/diaz.htm"} +{"d:Title": "Cameron Chameleon [Ireland Film and Television Net]", "d:Description": "An interview about her movie roles, her looks and her career in general.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Articles_and_Interviews", "url": "http://home.kpn.nl/meisner/iftn.html"} +{"d:Title": "Digital Hit Entertainment's Celebrity Row", "d:Description": "A short biography of Cameron Diaz.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Articles_and_Interviews", "url": "http://www.digitalhit.com/cr/camerondiaz/"} +{"d:Title": "Canoe.ca: Cameron Diaz", "d:Description": "News stories published in both online and offline media, ranging from 1996 to present.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/D/Diaz_Cameron/"} +{"d:Title": "Bestcelebritysites.com: Cameron Diaz", "d:Description": "List of websites rated, ranked, and reviewed.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Directories", "url": "http://www.bestcelebritysites.com/camerondiaz/"} +{"d:Title": "Cool Wallpaper:Carmeron Diaz", "d:Description": "Provides wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Downloads", "url": "http://www.coolwallpaper.com/model/diaz.shtml"} +{"d:Title": "Cameron Diaz", "d:Description": "Pictures, biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Fan_Pages", "url": "http://members.tripod.com/diaz_cameron/"} +{"d:Title": "Cameron Diaz Corner", "d:Description": "Features a biography, vital statistics, filmography, thumbnailed pictures, and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Fan_Pages", "url": "http://www.angelfire.com/stars2/camerondi4z/"} +{"d:Title": "The Most Beauteous Cameron Diaz", "d:Description": "Offers picture galleries, biography, filmography with comments, news and links to articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Fan_Pages", "url": "http://home.kpn.nl/meisner/diaz.htm"} +{"d:Title": "Cameron Diaz Online", "d:Description": "Scans, magazine covers, wallpapers, biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Fan_Pages", "url": "http://camerononline.tripod.com/"} +{"d:Title": "Cameron Diaz", "d:Description": "Includes 230 pictures and 50 Wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Image_Galleries", "url": "http://www.angelfire.com/celeb2/jammerl1/cd/main.htm"} +{"d:Title": "All Pics: Cameron Diaz", "d:Description": "Pictures of the model.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Image_Galleries", "url": "http://allpics.0catch.com/diaz_cameron/"} +{"d:Title": "Old Socks - Cameron Diaz", "d:Description": "Thumbnailed images that can be used as wallpaper.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Image_Galleries", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Cameron+Diaz"} +{"d:Title": "Cameron Diaz", "d:Description": "4 pages of thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Image_Galleries", "url": "http://www.coolestmag.com/celeb/Cameron_Diaz/gallery/index.html"} +{"d:Title": "TVGuide.com: Cameron Diaz", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Cameron/Movies", "url": "http://www.tvguide.com/celebrities/cameron-diaz/143212"} +{"d:Title": "IMDb: Sully Diaz", "d:Description": "Filmography for the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Diaz,_Sully", "url": "http://www.imdb.com/name/nm0225049/"} +{"d:Title": "On the Beach with Leo DiCaprio in Thailand", "d:Description": "An article on the controversy surrounding DiCaprio's movie, \"The Beach\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo", "url": "http://www.gluckman.com/Beach.html"} +{"d:Title": "Abstracts.net: Leonardo DiCaprio", "d:Description": "Artistic thumbnailed picture gallery, biography, the latest news and gossip, film credits, hangman game, chat, and quiz on the star of Titanic.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo", "url": "http://abstracts.net/leonardo-dicaprio/"} +{"d:Title": "About.com: Leonardo DiCaprio Page", "d:Description": "Links to information on the actor including photos, reviews, movie news, biographical info, fansites and movie sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo", "url": "http://romanticmovies.about.com/cs/dicaprioleonardo/"} +{"d:Title": "Leonardo DiCaprio", "d:Description": "Official website of the actor with biographical information, news, photos, filmography, awards, information about his environmental causes, art gallery, chat and store.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo", "url": "http://www.leonardodicaprio.com/"} +{"d:Title": "PopEntertainment.com: Leonardo DiCaprio - Flying High", "d:Description": "The actor tells Brad Balfour about 'The Aviator,' his movie about the life of multi-millionare Howard Hughes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo", "url": "http://www.popentertainment.com/dicaprio.htm"} +{"d:Title": "Rotten Tomatoes: Leonardo DiCaprio", "d:Description": "Information, filmography, the latest news, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo", "url": "http://www.rottentomatoes.com/celebrity/leonardo_di_caprio/"} +{"d:Title": "Sexy Male Celebrities: Leonardo DiCaprio", "d:Description": "Information, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Directories", "url": "http://www.kcweb.com/superm/l_dicaprio.htm"} +{"d:Title": "Actor Leonardo Dicaprio [The Movie Times]", "d:Description": "Box office information of all his movies, biography, links, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Directories", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?ldicaprio"} +{"d:Title": "Bean's Leonardo DiCaprio Web-Site", "d:Description": "Biography, filmography, postcards, quotes and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Fan_Pages", "url": "http://www.angelfire.com/me/Iluvleo/"} +{"d:Title": "Leo DiCaprio Forever", "d:Description": "Biography, filmography, some pictures, drawings, a message board and a chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Fan_Pages", "url": "http://www.angelfire.com/celeb2/leodicaprio/"} +{"d:Title": "My Leomania", "d:Description": "Facts, English and German articles and interviews, filmography, photo galleries, quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Fan_Pages", "url": "http://www.anlimara.com/"} +{"d:Title": "Simply Leonardo DiCaprio", "d:Description": "News of the actor, biography, filmography, image gallery, and environment.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Fan_Pages", "url": "http://www.simplyleonardodicaprio.com/"} +{"d:Title": "The Old Woman's Leo Site", "d:Description": "Plot summaries, images and reviews of Leonardo DiCaprio's films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Fan_Pages", "url": "http://theoldwoman.tripod.com/"} +{"d:Title": "Leonardo DiCaprio's Exclusive Website", "d:Description": "Biography, filmography, news, rumors, quotes, interviews, and picture galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Fan_Pages", "url": "http://philien.tripod.com/AllLeonardoDiCaprioindex-2.html"} +{"d:Title": "Celebrities-Pictures.com: Leonardo DiCaprio", "d:Description": "Gallery of pictures and wallpapers, facts, trivia and some quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DiCaprio,_Leonardo/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/leonardo%20Dicaprio"} +{"d:Title": "IMDb: Kim Dickens", "d:Description": "The Internet Movie Database includes her filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dickens,_Kim", "url": "http://www.imdb.com/name/nm0225332/"} +{"d:Title": "IMDb: Angie Dickinson", "d:Description": "Brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dickinson,_Angie", "url": "http://www.imdb.com/name/nm0001141/"} +{"d:Title": "IMDb: Stephen Dillane", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dillane,_Stephen", "url": "http://www.imdb.com/name/nm0226820/"} +{"d:Title": "TVGuide.com: Kevin Dillon", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dillon,_Kevin", "url": "http://www.tvguide.com/celebrities/kevin-dillon/160573"} +{"d:Title": "The Ultimate Matt Dillon Fan Page", "d:Description": "Includes biography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dillon,_Matt", "url": "http://www.angelfire.com/indie/liarsmoon/"} +{"d:Title": "IMDb: Matt Dillon", "d:Description": "Offers facts and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dillon,_Matt", "url": "http://www.imdb.com/name/nm0000369/"} +{"d:Title": "The Arrow Interviews Andrew Divoff", "d:Description": "Interview with the actor about his career, horror films, and playing bad guys.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Divoff,_Andrew", "url": "http://www.joblo.com/arrow/interview3.htm"} +{"d:Title": "IMDb: Andrew Divoff", "d:Description": "Biographical information and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Divoff,_Andrew", "url": "http://www.imdb.com/name/nm0228678/"} +{"d:Title": "Dix, Richard", "d:Description": "Goal is to create a page for each of the 98 feature films that starred the actor, sorted by studios.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dix,_Richard", "url": "http://www.richarddix.org/"} +{"d:Title": "Shrivant's Page", "d:Description": "Include pictures, wallpapers, songs, filmography, awards and screensavers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dixit,_Madhuri", "url": "http://members.tripod.com/~shrivant_15/madhuri.html"} +{"d:Title": "Madhuri Dixit - The Bollywood Queen", "d:Description": "Includes a picture gallery, e-mail service, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dixit,_Madhuri", "url": "http://la_dixit.tripod.com/"} +{"d:Title": "Official Carrie Dobro Website", "d:Description": "Includes news, biography, image gallery, merchandise, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dobro,_Carrie", "url": "http://www.carriedobro.com/"} +{"d:Title": "IMDb: Peter Dobson (I)", "d:Description": "A filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dobson,_Peter", "url": "http://www.imdb.com/name/nm0229930/"} +{"d:Title": "Shannen-Doherty.net", "d:Description": "Features news, pictures, biography, filmography, and media clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doherty,_Shannen", "url": "http://www.shannen-doherty.net/"} +{"d:Title": "MyShannen.Inc", "d:Description": "Profile, filmography, picture gallery, and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doherty,_Shannen", "url": "http://www.angelfire.com/ma4/sakura19/sdoherty/index.html"} +{"d:Title": "Rotten Tomatoes: Shannen Doherty", "d:Description": "Filmography and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doherty,_Shannen", "url": "http://www.rottentomatoes.com/celebrity/shannen_doherty/"} +{"d:Title": "IMDb.com: Shannen Doherty", "d:Description": "Filmography, trivia, and picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doherty,_Shannen", "url": "http://www.imdb.com/name/nm0001147/"} +{"d:Title": "Canoe: Shannen Doherty", "d:Description": "A collection of articles and interviews from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doherty,_Shannen", "url": "http://jam.canoe.com/Movies/Artists/D/Doherty_Shannen/"} +{"d:Title": "Shannen Doherty's Pictures", "d:Description": "Features thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doherty,_Shannen/Image_Galleries", "url": "http://shannen-doherty.20megsfree.com/Shannenthumbnails.html"} +{"d:Title": "IMDb: Jason Dohring", "d:Description": "Filmography, biography, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dohring,_Jason", "url": "http://www.imdb.com/name/nm0230655/"} +{"d:Title": "NeptuneSite: Interview With Jason Dohring", "d:Description": "Interview by Craig Byrne discussing Jason's 'Veronica Mars' character Logan.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dohring,_Jason", "url": "http://neptunesite.com/dohringinterview.htm"} +{"d:Title": "IMDb - Lexa Doig", "d:Description": "Includes filmography, biography, guest appearances, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doig,_Lexa", "url": "http://www.imdb.com/name/nm0230693/"} +{"d:Title": "The Official Ami Dolenz Web Site", "d:Description": "Actress who has stared in movies such as, She's out of Control, Witchboard 2, and Miracle Beach. She is also the daughter of Micky Dolenz of The Monkees.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dolenz,_Ami", "url": "http://www.amidolenz.com/"} +{"d:Title": "Elinor Donahue", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donahue,_Elinor", "url": "http://www.imdb.com/name/nm0231942/"} +{"d:Title": "Heather Donahue Fan Page", "d:Description": "Small site, interviews, pictures, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donahue,_Heather", "url": "http://bwitch.freeservers.com/"} +{"d:Title": "Wikipedia - Robert Donat", "d:Description": "Concise career overview with biographical details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donat,_Robert", "url": "http://en.wikipedia.org/wiki/Robert_Donat"} +{"d:Title": "IMDb: Robert Donat", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donat,_Robert", "url": "http://www.imdb.com/name/nm0232196/"} +{"d:Title": "Chad Donella", "d:Description": "Fan site for the actor who appeared in Final Destination, Disturbing Behavior, on television shows The X-files, e.r., The Practice, Secret Agent Man.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donella,_Chad", "url": "http://www.angelfire.com/stars/chaddonella/"} +{"d:Title": "IMDb - Brian Donlevy", "d:Description": "Includes the actor's biography, filmography, and TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donlevy,_Brian", "url": "http://www.imdb.com/name/nm0002046/"} +{"d:Title": "IMDb: Tim Donnelly", "d:Description": "Includes vital statistics and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donnelly,_Tim", "url": "http://www.imdb.com/name/nm0232778/"} +{"d:Title": "IMDb: Amanda Donohoe", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donohoe,_Amanda", "url": "http://www.imdb.com/name/nm0000372/"} +{"d:Title": "IMDb: Elisa Donovan", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donovan,_Elisa", "url": "http://www.imdb.com/name/nm0232975/"} +{"d:Title": "IMDb: Jason Donovan", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donovan,_Jason", "url": "http://www.imdb.com/name/nm0232997/"} +{"d:Title": "Jeffrey Donovan: Recondite Thespian", "d:Description": "Biography, filmography, news, image gallery, and mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donovan,_Jeffrey", "url": "http://ausgon.tripod.com/jeffreydonovan/"} +{"d:Title": "IMDb: Jeffrey Donovan", "d:Description": "Biography, filmography, pictures and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Donovan,_Jeffrey", "url": "http://www.imdb.com/name/nm0232998/"} +{"d:Title": "StarTrek.com - James Doohan", "d:Description": "Biography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doohan,_James", "url": "http://www.startrek.com/database_article/doohan"} +{"d:Title": "IMDb - Matt Doran", "d:Description": "Includes the actor's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doran,_Matt", "url": "http://www.imdb.com/name/nm0233391/"} +{"d:Title": "TV.com - Matt Doran", "d:Description": "Includes the actor's biography, roles and appearances, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doran,_Matt", "url": "http://www.tv.com/people/matt-doran/"} +{"d:Title": "Stephen Dorff Picture Page", "d:Description": "Lots of pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dorff,_Stephen", "url": "http://www.angelfire.com/nj/stephendorff/index.html"} +{"d:Title": "The Dorff Project", "d:Description": "Includes a picture archive, biography, sounds, and a message forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dorff,_Stephen", "url": "http://thewayweseeit.tripod.com/thedorffproject/"} +{"d:Title": "Ethans Stephen Dorff Shrine", "d:Description": "Consists of a few pictures and essays.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dorff,_Stephen", "url": "http://www.angelfire.com/ca4/sdorff/index.html"} +{"d:Title": "TVGuide.com: Stephen Dorff", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dorff,_Stephen", "url": "http://www.tvguide.com/celebrities/stephen-dorff/175310"} +{"d:Title": "Roy Dotrice", "d:Description": "Thorough summary of the Tony Award winning British actor life and work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dotrice,_Roy", "url": "http://www.roydotrice.com/"} +{"d:Title": "First Unofficial Site of Sarah Douglas, The", "d:Description": "A fan site with contact information and information about \"V: The Final Battle.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Douglas,_Sarah", "url": "http://www.angelfire.com/ca4/sarahdouglas/"} +{"d:Title": "IMDb: Sarah Douglas", "d:Description": "Offers facts and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Douglas,_Sarah", "url": "http://www.imdb.com/name/nm0235243/"} +{"d:Title": "The Gary Dourdan Gallery", "d:Description": "Image gallery and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dourdan,_Gary", "url": "http://www.angelfire.com/ky3/dourdangallery/index.html"} +{"d:Title": "Yahoo Movies: Gary Dourdan", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dourdan,_Gary", "url": "http://movies.yahoo.com/person/gary-dourdan/"} +{"d:Title": "IMDb: Gary Dourdan", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dourdan,_Gary", "url": "http://www.imdb.com/name/nm0235427/"} +{"d:Title": "IMDb.com: Brad Dourif", "d:Description": "Filmography from The Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dourif,_Brad", "url": "http://www.imdb.com/name/nm0000374/"} +{"d:Title": "IMDb: Alisen Down", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Down,_Alisen", "url": "http://www.imdb.com/name/nm0235888/"} +{"d:Title": "IMDb: Jerry Doyle", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Doyle,_Jerry", "url": "http://www.imdb.com/name/nm0236381/"} +{"d:Title": "IMDb: Zac Drayson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Drayson,_Zac", "url": "http://www.imdb.com/name/nm0237280/"} +{"d:Title": "Internet Movie Database: Marie Dressler", "d:Description": "Profile, photographs, filmography, and awards, as well as other career facts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dressler,_Marie", "url": "http://www.imdb.com/name/nm0237597/"} +{"d:Title": "Internet Broadway Database: Marie Dressler", "d:Description": "Official Broadway credits for the actress and other related facts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dressler,_Marie", "url": "https://www.ibdb.com/broadway-cast-staff/marie-dressler-7532"} +{"d:Title": "John Ducey.com", "d:Description": "Play by play of a guy trying to make it in Hollywood. Star of the recently cancelled \"Oh Grow Up\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Ducey,_John", "url": "http://www.ducey.com/"} +{"d:Title": "IMDb - Deborah Duchene", "d:Description": "Includes the actress's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchene,_Deborah", "url": "http://www.imdb.com/name/nm0239824/"} +{"d:Title": "David Duchovny Online", "d:Description": "Fan site with pictures, biographical information, and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David", "url": "http://www.angelfire.com/ca4/hollyann/"} +{"d:Title": "Tea Time with David", "d:Description": "The infamous \"Tea Cup\" photos have a home here.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David", "url": "http://www.angelfire.com/sk/mulder/index.html"} +{"d:Title": "David Duchovny - Dialogue Man", "d:Description": "News, quotes, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David", "url": "http://www.squidge.org/~slashingthefox/duchovny/"} +{"d:Title": "\"Trust No One\" A David Duchovny Fan Site", "d:Description": "Contains pictures, a quiz, filmography, and X-Files funnies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David", "url": "http://sparkledust24.tripod.com/myddwebsite/"} +{"d:Title": "DuchovnyNet", "d:Description": "Contains news, biography, filmography, a photo gallery, multimedia, and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David", "url": "http://duchovny.net/"} +{"d:Title": "David Duchovny Drool Brigade Who's Who", "d:Description": "Background information and pictures of the members of this mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David", "url": "http://droolers.tripod.com/"} +{"d:Title": "Yahoo Groups: Allduchovny", "d:Description": "Mailing list for devoted fans of David Duchovny.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David/Chats_and_Forums", "url": "http://groups.yahoo.com/group/allduchovny"} +{"d:Title": "Yahoo Groups: Ddij", "d:Description": "Fans of David Duchovny or Mulder in jeans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ddij"} +{"d:Title": "Yahoo Groups: David Duchovny List", "d:Description": "Fans of Duchovny, Taylor Hanson, and the X-Files.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Dtx/"} +{"d:Title": "Yahoo Groups: David Duchovny", "d:Description": "Discussion group requiring sign-up. No message archives.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Davidduchovny/"} +{"d:Title": "YahooGroups: WaytogoDavidDuchovny", "d:Description": "Exists so that supporters of the actor can meet, attend fan events and share and discuss news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David/Chats_and_Forums", "url": "http://groups.yahoo.com/group/WaytogoDavidDuchovny/"} +{"d:Title": "Celebrities-Pictures.com: David Duchovny", "d:Description": "Gallery of pictures and wallpapers as well as facts, trivia and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duchovny,_David/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/david%20duchovny"} +{"d:Title": "IMDb: Michael Dudikoff", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dudikoff,_Michael", "url": "http://www.imdb.com/name/nm0001154/"} +{"d:Title": "IMDb: Anne-Marie Duff", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duff,_Anne-Marie", "url": "http://www.imdb.com/name/nm0240359/"} +{"d:Title": "IMDb: Haylie Duff", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duff,_Haylie", "url": "http://www.imdb.com/name/nm0240380/"} +{"d:Title": "LovinLeo", "d:Description": "A mailing list for discussion about Leo du Pres and his portrayer, Josh Duhamel.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duhamel,_Josh", "url": "http://groups.yahoo.com/group/LovinLeo/"} +{"d:Title": "Randall Duk Kim", "d:Description": "Includes a profile of the actor, photos, and a listing of his TV and film work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duk_Kim,_Randall", "url": "http://randalldukkim.com/"} +{"d:Title": "IMDb - Randall Duk Kim", "d:Description": "Includes the actor's filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duk_Kim,_Randall", "url": "http://www.imdb.com/name/nm0453641/"} +{"d:Title": "IMDb: Faye Dunaway", "d:Description": "Biography, filmography, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunaway,_Faye", "url": "http://www.imdb.com/name/nm0001159/"} +{"d:Title": "IMDb - Rockmond Dunbar", "d:Description": "Includes the actor's filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunbar,_Rockmond", "url": "http://www.imdb.com/name/nm0241870/"} +{"d:Title": "IMDb - Lindsay Duncan", "d:Description": "Includes trivia, the actress's filmography, and TV Guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Duncan,_Lindsay", "url": "http://www.imdb.com/name/nm0242026/"} +{"d:Title": "IMDb - Merrin Dungey", "d:Description": "Includes the actress's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dungey,_Merrin", "url": "http://www.imdb.com/name/nm0242257/"} +{"d:Title": "IMDb: Stephen Dunham", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunham,_Stephen", "url": "http://www.imdb.com/name/nm0242295/"} +{"d:Title": "Wizards and Warriors - Tim Dunigan", "d:Description": "An interview with the actor from Wizards and Warriors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunigan,_Tim", "url": "http://www.wizardsandwarriors.org/show/interviews/dunigan.htm"} +{"d:Title": "The Dominique Dunne Site", "d:Description": "Biography, filmography, and a picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunne,_Dominique", "url": "http://www.dddis.de/dominique-dunne-site/"} +{"d:Title": "Dominique Dunne Filmography", "d:Description": "From the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunne,_Dominique", "url": "http://www.imdb.com/name/nm0001161/"} +{"d:Title": "Irene Dunne - Comedienne Extraordinaire", "d:Description": "Images and mini-essay about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunne,_Irene", "url": "http://www.stanford.edu/~brooksie/Stars/Irene.html"} +{"d:Title": "Irene Dunne - Forgotten Treasure", "d:Description": "Includes a filmography, biography, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunne,_Irene", "url": "http://www.meredy.com/irenedunne/"} +{"d:Title": "IMDb: Irene Dunne", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunne,_Irene", "url": "http://www.imdb.com/name/nm0002050/"} +{"d:Title": "IMDb: Debbe Dunning", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunning,_Debbe", "url": "http://www.imdb.com/name/nm0004888/"} +{"d:Title": "IMDb: Kirsten Dunst", "d:Description": "Includes filmography, biography, photographs, awards, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten", "url": "http://www.imdb.com/name/nm0000379/"} +{"d:Title": "Adoring Kirsten Dunst", "d:Description": "Includes a thumbnailed picture gallery, biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten", "url": "http://www.adoring.net/kirstendunst/"} +{"d:Title": "TVGuide.com: Kirsten Dunst", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten", "url": "http://www.tvguide.com/celebrities/kirsten-dunst/160816"} +{"d:Title": "The Movie Times: Kirsten Dunst", "d:Description": "Picture gallery, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?kdunst"} +{"d:Title": "Thespian Net Presents Kirsten Dunst", "d:Description": "Short biography, film and television credits, links to interviews and articles, and related merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten", "url": "http://www.thespiannet.com/actresses/D/dunst_kirsten/kirsten_dunst.shtml"} +{"d:Title": "TalkTalk: Kirsten Dunst", "d:Description": "Article published in 2001 in which Kirsten discusses being an actress and her recent film roles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten/Articles_and_Interviews", "url": "http://www.talktalk.co.uk/entertainment/film/interviews/kirsten_dunst.html"} +{"d:Title": "Amanda's Kirsten Dunst Page", "d:Description": "Includes pictures, biography, filmography, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten/Fan_Pages", "url": "http://www.angelfire.com/la2/amanda7032/"} +{"d:Title": "Kirsten Dunst", "d:Description": "Features media, filmography, biography,and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten/Fan_Pages", "url": "http://www.kirsten-dunst.org/"} +{"d:Title": "My Dedication to Kirsten Caroline Dunst", "d:Description": "Features biography, filmography, and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten/Fan_Pages", "url": "http://k-dunst.tripod.com/home.html"} +{"d:Title": "Mxdpi.com: Kirsten Dunst Pictures Gallery", "d:Description": "Includes picture gallery of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dunst,_Kirsten/Image_Galleries", "url": "http://www.mxdpi.com/pictures/ACTRESSES/Kirsten_Dunst"} +{"d:Title": "Roy Dupuis Online", "d:Description": "FAQs, career profile, interviews, and pictures of the French-Canadian actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dupuis,_Roy", "url": "http://www.roydupuis-online.com/"} +{"d:Title": "Marj Dusay", "d:Description": "Official website including biography, image gallery, recordings, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dusay,_Marj", "url": "http://www.marjdusay.com/"} +{"d:Title": "Goddess Faith", "d:Description": "Includes a biography, filmography, articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dushku,_Eliza", "url": "http://www.angelfire.com/realm2/elizadushku/"} +{"d:Title": "Greatest Heroine", "d:Description": "Contains fan fiction, a filmography, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dushku,_Eliza", "url": "http://www.angelfire.com/vamp/theslayerfaith/index.html"} +{"d:Title": "About.com - Eliza Dushku", "d:Description": "Information about the actress including interviews, photos, movie news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dushku,_Eliza", "url": "http://movies.about.com/od/dushkueliza/"} +{"d:Title": "IMDb - Eliza Dushku", "d:Description": "Filmography and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dushku,_Eliza", "url": "http://www.imdb.com/name/nm0244630/"} +{"d:Title": "IMDb: Clea DuVall", "d:Description": "Includes filmography, awards, trivia, quotes, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/DuVall,_Clea", "url": "http://www.imdb.com/name/nm0245112/"} +{"d:Title": "IMDb: Karyn Dwyer", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dwyer,_Karyn", "url": "http://www.imdb.com/name/nm0245507/"} +{"d:Title": "YahooGroups: johndye", "d:Description": "Topical discussion about the actor and his projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dye,_John", "url": "http://groups.yahoo.com/group/johndye"} +{"d:Title": "The John/Andrew Bucket Brigade", "d:Description": "Humor about the actor and his fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dye,_John", "url": "http://www.onthisside.net/"} +{"d:Title": "The John Dye Homepage", "d:Description": "Background information from his mother, filmography, charitable appearances, interviews, articles, pictures, sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/D/Dye,_John", "url": "http://www.ishouldsleep.net/JD/"} +{"d:Title": "Native Celebrity Casting Photos", "d:Description": "Resource for native Americans who want to post their picture on the Internet for directors to view.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.nativecelebs.com/casting/"} +{"d:Title": "Breakdown Services, Ltd.", "d:Description": "Publishes detailed casting information to legitimate representatives of talent. Includes restricted member access, open calls, links, online store and contacts.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.breakdownservices.com/"} +{"d:Title": "Castcall Information Services", "d:Description": "Provides casting information / breakdowns by subscription. UK based.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.castcall.co.uk/"} +{"d:Title": "Antalya Tanitim Casting Service", "d:Description": "Database of actors and actresses, with photographs, and contact possibilities. From Turkey.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.tanitimonline.com/"} +{"d:Title": "Castingyou", "d:Description": "Casting call audition information for television, films, national commercials, print work, articles, forums, and free email accounts for all entertainers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.castingyou.com/"} +{"d:Title": "Pro-Labels", "d:Description": "An organized system for mail campaigns, with over 18 types of mailing lists. Specifically designed to help actors get work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.pro-labels.com/"} +{"d:Title": "VIP Casting", "d:Description": "Professional casting engine includes online screentest, interactive video and photo database of actors, fashion models and new faces. English and Fran\u00e7ais.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.vipcasting.com/"} +{"d:Title": "Action Casting", "d:Description": "Searchable online resource for the talent community. Hosts headshots, workshops, casting calls, and photography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.actioncasting.com/"} +{"d:Title": "ActorGuild.com", "d:Description": "Member-supported site, promoting actors and actresses worldwide.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.actorguild.com/"} +{"d:Title": "CKA Artist Management", "d:Description": "Serving actors and musicians. They consider online submissions for representation by serious artists.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.ckamanagement.com/"} +{"d:Title": "The Casting Workbook", "d:Description": "This 28,000 performer database books over 2000 jobs each month.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.castingworkbook.com/"} +{"d:Title": "The Company One, Inc.", "d:Description": "Resource for actors, talent agencies, casting, motion picture production, jobs, communication, and film archives.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://thecompa.tripod.com/thecompany1"} +{"d:Title": "...And That's A Wrap", "d:Description": "A guidebook for movie and television extras, casting notices to view or post, an actors and talent registry, FAQs, resources, photograph shoot tips, and an actors store.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.movieextras.bizhosting.com/thatsawrap2.html"} +{"d:Title": "MikeLemonCasting.com", "d:Description": "Casting and agent services for feature and independent films, commercials, industrials, voiceovers, television, and radio.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.mikelemoncasting.com/"} +{"d:Title": "ExtrasForMovies.com", "d:Description": "Extras, models, entertainers and animal actors. Accepts photos to be posted on the site.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.extrasformovies.com/"} +{"d:Title": "Henderson Enterprises", "d:Description": "An actor resource which provides mailing labels, career consultations, auditioning and casting notice information for union performers and non union performers", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.hendersonenterprises.com/"} +{"d:Title": "The Right Cast", "d:Description": "Actor and actress headshots and resumes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://therightcast.com/"} +{"d:Title": "Websites 4 Actors", "d:Description": "Provides professional websites for actors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.websites4actors.com/"} +{"d:Title": "World Entertainment", "d:Description": "Acting auditions and movie extras. Several locations throughout the United States.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.worldeonline.com/"} +{"d:Title": "Casting Directors Guild of Great Britain", "d:Description": "A professional organization of casting directors who work in film, television, theatre, and commercials.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.thecdg.co.uk/"} +{"d:Title": "MBA Theatrical Agency", "d:Description": "UK based agency, handling actors and actresses working in film, theater, television, radio, and commercials", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.mbagency.co.uk/"} +{"d:Title": "BackStage.Com: Casting", "d:Description": "View current casting notices across the US, post your headshots and resume, and subscribe for free casting notices via e-mail.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://www.backstage.com/bso/index.jsp"} +{"d:Title": "The Casting Frontier", "d:Description": "Casting service allowing directors, producers, and advertising executives view actors auditions online.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://castingfrontier.com/"} +{"d:Title": "Artist Websites", "d:Description": "An online listing service for actors. Headshots, resumes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Databases_and_Casting_Services", "url": "http://artistwebsites.com/"} +{"d:Title": "Pierce and Shelly Celebrity Services", "d:Description": "Free celebrity photo catalogs, autograph collecting, and celebrity addresses.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories", "url": "http://www.pscelebrities.com/"} +{"d:Title": "Avagant's Fanpage Directory", "d:Description": "Entertainment directory focusing on Actress and Actor websites made and maintained by fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories", "url": "http://www.actressactor.com/"} +{"d:Title": "Live 'n' Loud", "d:Description": "Directors: Find the next actor, actress, or comedian. Talent and Production: Also offers jobs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories", "url": "http://members.tripod.com/~Nahteboy/actors.html"} +{"d:Title": "Who Represents", "d:Description": "A quick reference search guide for representatives of actors, such as attorneys, managers, or publicists. Registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories", "url": "http://whorepresents.com/"} +{"d:Title": "Glamour Girls of the Silver Screen", "d:Description": "Biographies and photographs of movie actresses from the 1940s, '50s and '60s. Site is free, but additional content is available with paid membership.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories", "url": "http://www.glamourgirlsofthesilverscreen.com/"} +{"d:Title": "Famous Birthdays", "d:Description": "Find celebrity birthdays, bios, and popularity rankings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories", "url": "http://www.famousbirthdays.com/"} +{"d:Title": "FilmBug: Movie Stars", "d:Description": "Search engine for actors, actresses, and directors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories", "url": "http://www.filmbug.com/people/"} +{"d:Title": "Beautiful Actors Ring", "d:Description": "Sites dedicated to respected and/or fair-faced actors and actresses and celebrities.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=babe_city"} +{"d:Title": "The Best Actors TV/Movies Webring", "d:Description": "For those who love TV/Movie actors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=natalief"} +{"d:Title": "Actors Webring", "d:Description": "Ring for male and female actors' homepages, fanpages, acting teachers, coaches, ex-actors, others who work in any capacity with actors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=actorswebring"} +{"d:Title": "Little Known but Well Loved Actors Webring", "d:Description": "Bringing attention and recognition to the talented yet underrated stars in the entertainment industry.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=littleknownbutwe"} +{"d:Title": "Ellery, Justin", "d:Description": "UK based actor. Includes resume, biography, photos, reviews and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E", "url": "http://www.justinellery.co.uk/"} +{"d:Title": "IMDb.com - George Eads", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eads,_George", "url": "http://www.imdb.com/name/nm0247040/"} +{"d:Title": "AskMen.com - Alison Eastwood", "d:Description": "Offers photos, biography and commentary with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eastwood,_Alison", "url": "http://uk.askmen.com/celebs/women/actress_200/202_alison_eastwood.html"} +{"d:Title": "IMDb.com - Alison Eastwood", "d:Description": "Profile, filmography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eastwood,_Alison", "url": "http://www.imdb.com/name/nm0002055/"} +{"d:Title": "Filmbug.com - Alison Eastwood", "d:Description": "Biography, movies, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eastwood,_Alison", "url": "http://www.filmbug.com/db/58089"} +{"d:Title": "IMDb.com - Luke Eberl", "d:Description": "Vital statistics, filmography, and notable TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eberl,_Luke", "url": "http://www.imdb.com/name/nm0248063/"} +{"d:Title": "Bad Wolf. The 9th Dr/Christopher Eccleston Group", "d:Description": "Discussion group offering photographs, interviews, fan fiction, a biography and filmography. [Yahoo! ID required].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://uk.groups.yahoo.com/group/badwolf/"} +{"d:Title": "Wikipedia: Christopher Eccleston", "d:Description": "Article providing a biography, a list of film, television and theatre credits, trivia, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://en.wikipedia.org/wiki/Christopher_Eccleston"} +{"d:Title": "Hidden Shallows: A Christopher Eccleston Fansite", "d:Description": "Provides screen capture galleries, e-cards and icons, with news, audio clips, and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.hiddenshallows.co.uk/"} +{"d:Title": "BBC News: Eccleston is New Doctor Who", "d:Description": "'Shallow Grave actor Christopher Eccleston is named as the BBC's new Doctor Who.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://news.bbc.co.uk/1/hi/entertainment/3552087.stm"} +{"d:Title": "Salford Star: At Home With Christopher Eccleston", "d:Description": "The actor talks about his hometown and how it's influenced him and his work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.salfordstar.com/article.asp?id=102"} +{"d:Title": "Virginia's Christopher Eccleston Website", "d:Description": "Fan-run site with biography, filmography, videos, pictures and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.bad-wolf.info/"} +{"d:Title": "The Observer: Doctor in the House", "d:Description": "'Christopher Eccleston is best known for flinty dramas such as Our Friends in the North. In an exclusive interview he reveals why he wants to be the new Dr Who.' Conducted by Liz Hoggard.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.theguardian.com/media/2005/mar/20/broadcasting.arts"} +{"d:Title": "Guardian Unlimited: Home Truths", "d:Description": "'Christopher Eccleston is an actor known for his anguished portraints of men in conflict. He wants to do work of integrity, prefers television, and likes his family to approve.' Interview conducted by Simon Fanshawe.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.theguardian.com/film/2000/jan/15/features.weekend"} +{"d:Title": "Guardian Unlimited: The Happy Prince", "d:Description": "'Dour, narky Christopher Eccleston has cheered himself up - with Hamlet.' Interview conducted by Libby Brooks.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.theguardian.com/film/2002/oct/10/theatre.stage"} +{"d:Title": "IMDb.com - Christopher Eccleston", "d:Description": "Vital statistics, filmography, trivia, quotes, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.imdb.com/name/nm0001172/"} +{"d:Title": "Filmbug.com - Christopher Eccleston", "d:Description": "Biography, movies, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eccleston,_Christopher", "url": "http://www.filmbug.com/db/4365"} +{"d:Title": "IMDb.com - Aaron Eckhart", "d:Description": "Vital statistics, trivia, filmography, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eckhart,_Aaron", "url": "http://www.imdb.com/name/nm0001173/"} +{"d:Title": "Canoe: Aaron Eckhart", "d:Description": "A collection of articles on the actor, from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eckhart,_Aaron", "url": "http://jam.canoe.com/Movies/Artists/E/Eckhart_Aaron/"} +{"d:Title": "Abby Pictures", "d:Description": "Collection of pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ecklund,_Amy", "url": "http://www.angelfire.com/ar/Rabby/picabby.html"} +{"d:Title": "Amy Ecklund - About.com", "d:Description": "An article with related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ecklund,_Amy", "url": "http://deafness.about.com/cs/celebfeatures/a/amyecklund.htm"} +{"d:Title": "Barbara Eden - Trivia Tribute", "d:Description": "Contains images, trivia, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eden,_Barbara", "url": "http://www.triviatribute.com/barbaraeden.html"} +{"d:Title": "IMDb: Barbara Eden (I)", "d:Description": "Vital statistics, filmography, trivia, quotes, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eden,_Barbara", "url": "http://www.imdb.com/name/nm0001174/"} +{"d:Title": "Barbara Eden - Her Official Web Site", "d:Description": "Site includes filmography, appearance schedule, information on her book, and merchandise for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eden,_Barbara", "url": "http://barbaraeden.com/"} +{"d:Title": "GigiEdgley.com", "d:Description": "Official website with biography, photo gallery, a message board, links, and electronic postcards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Edgley,_Gigi", "url": "http://www.gigiedgley.com/"} +{"d:Title": "Gigi Edgley Fan Page", "d:Description": "Profile of the actress, picture galleries, and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Edgley,_Gigi", "url": "http://members.tripod.com/ligrim/"} +{"d:Title": "The Sandbox", "d:Description": "Message board for devotees.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Edgley,_Gigi", "url": "http://oursandbox.proboards.com/"} +{"d:Title": "IMDb.com - Gigi Edgley", "d:Description": "Vital statistics, filmography, notable TV guest appearances, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Edgley,_Gigi", "url": "http://www.imdb.com/name/nm0249319/"} +{"d:Title": "IMDb: Chris Egan", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Egan,_Chris", "url": "http://www.imdb.com/name/nm0250659/"} +{"d:Title": "Maggie Egan Chat at TNT", "d:Description": "Transcript of the chat moderated by Sandra Bruckner and T.E.D. Andrick.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Egan,_Maggie", "url": "http://www.earth62.net/transcripts/eganmar00.htm"} +{"d:Title": "IMDb.com - Maggie Egan", "d:Description": "Filmography and notable TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Egan,_Maggie", "url": "http://www.imdb.com/name/nm0250699/"} +{"d:Title": "Nicole Eggert Homepage", "d:Description": "Fan site with profile, contact information, filmography, gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eggert,_Nicole", "url": "http://www.freeweb.hu/eggert/"} +{"d:Title": "Nicole Eggert Picture Gallery", "d:Description": "Fan site including thumbnailed picture galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eggert,_Nicole", "url": "http://nicole-eggert.8m.com/"} +{"d:Title": "Nicky-Eggert.com", "d:Description": "Biography, photo gallery, video gallery, sounds, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eggert,_Nicole", "url": "http://nicky-eggert.com/"} +{"d:Title": "Thespian Net presents Nicole Eggert", "d:Description": "Includes profile, filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eggert,_Nicole", "url": "http://www.thespiannet.com/actresses/E/eggert_nicole/nicole_eggert.shtml"} +{"d:Title": "IMDb.com - Nicole Eggert", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eggert,_Nicole", "url": "http://www.imdb.com/name/nm0001176/"} +{"d:Title": "Go Gretchen", "d:Description": "Fan site with biography and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Egolf,_Gretchen", "url": "http://members.tripod.com/go_gretchen_egolf/"} +{"d:Title": "IMDb.com - Gretchen Egolf", "d:Description": "Vital statistics, filmography, notable TV guest appearances, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Egolf,_Gretchen", "url": "http://www.imdb.com/name/nm0251098/"} +{"d:Title": "Jennifer Ehle fan blog", "d:Description": "The hub of Jennifer Ehle fandom. A regularly updated blog with a chronological CV plus the latest news, interviews and photos. Features an interview with 100+ questions from fans. Includes two active forums.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ehle,_Jennifer", "url": "http://jenniferehle.blogspot.com/"} +{"d:Title": "Youtube: Tonys acceptance speech", "d:Description": "Jennifer Ehle's acceptance speech when winning Best Featured Actress in 2007 for her roles in Tom Stoppard's Coast of Utopia trilogy.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ehle,_Jennifer", "url": "https://www.youtube.com/watch?v=PcW4ix2dUHE"} +{"d:Title": "IMDb.com: Jennifer Ehle", "d:Description": "Vital statistics, filmography, trivia, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ehle,_Jennifer", "url": "http://www.imdb.com/name/nm0000383/"} +{"d:Title": "Aron Eisenberg", "d:Description": "Biography of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eisenberg,_Aron", "url": "http://members.tripod.com/TheCelestialTemple/aron.htm"} +{"d:Title": "IMDb.com - Aron Eisenberg", "d:Description": "Vital statistics, filmography, notable TV guest appearances, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eisenberg,_Aron", "url": "http://www.imdb.com/name/nm0251969/"} +{"d:Title": "StarTrek.com: Aron Eisenberg", "d:Description": "Provides a character profile, brief biography, photographs and chat transcripts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eisenberg,_Aron", "url": "http://www.startrek.com/database_article/eisenberg"} +{"d:Title": "Anita Ekberg's Portrait", "d:Description": "Fan site with profile and portrait of the star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ekberg,_Anita", "url": "http://www.ianardo.com/hall3/ekberg.html"} +{"d:Title": "IMDb.com - Anita Ekberg", "d:Description": "Vital statistics, filmography, trivia, quotes, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ekberg,_Anita", "url": "http://www.imdb.com/name/nm0001179/"} +{"d:Title": "Erika Eleniak by Elman", "d:Description": "Features news, articles, FAQ, multimedia, filmography, web ring, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eleniak,_Erika", "url": "http://www.erikaeleniak.info/"} +{"d:Title": "IMDb.com: Erika Eleniak", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eleniak,_Erika", "url": "http://www.imdb.com/name/nm0000143/"} +{"d:Title": "Canoe - Erika Eleniak", "d:Description": "Collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eleniak,_Erika", "url": "http://jam.canoe.com/Movies/Artists/E/Eleniak_Erika/"} +{"d:Title": "Jenna Elfman", "d:Description": "Official site discusses her life and career, including information on her involvement in Scientology. Includes letters, image gallery, favorite things, links and fan club.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elfman,_Jenna", "url": "http://jennaelfman.com/"} +{"d:Title": "Wikipedia - Jenna Elfman", "d:Description": "Publicly edited encyclopedia page about the actress with biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elfman,_Jenna", "url": "http://en.wikipedia.org/wiki/Jenna_Elfman"} +{"d:Title": "Mama Jams with Jenna Elfman", "d:Description": "Short comic film added to Will Ferrell's video site Funnyordie.com by Jenna Elfman, called \"Mama Jams\" featuring Elfman and her husband.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elfman,_Jenna", "url": "http://www.funnyordie.com/videos/5738"} +{"d:Title": "Thespian Net", "d:Description": "Photographs, biography, filmography, and links to interviews and other information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elfman,_Jenna", "url": "http://www.thespiannet.com/actresses/E/elfman_jenna/jenna_elfman.shtml"} +{"d:Title": "IMDb - Jenna Elfman", "d:Description": "Vital statistics, filmography, trivia, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elfman,_Jenna", "url": "http://www.imdb.com/name/nm0001184/"} +{"d:Title": "Shannon Elizabeth Fun Site", "d:Description": "Fan page including biography, filmography, interview, picture gallery, wallpaper, screensavers, and multimedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elizabeth,_Shannon", "url": "http://www.angelfire.com/celeb2/shannonelizabeth01/"} +{"d:Title": "About Romantic Movies: Shannon Elizabeth", "d:Description": "Interview with the actress about her role in \"American Pie 2\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elizabeth,_Shannon", "url": "http://romanticmovies.about.com/library/weekly/aa080301b.htm"} +{"d:Title": "IMDb.com - Shannon Elizabeth", "d:Description": "Profile, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elizabeth,_Shannon", "url": "http://www.imdb.com/name/nm0002436/"} +{"d:Title": "Jaweed Galleries: Shannon Elizabeth", "d:Description": "Collection of pictures and several related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elizabeth,_Shannon/Image_Galleries", "url": "http://jaweed3.tripod.com/shannonelizabeth/"} +{"d:Title": "William Morris Agency: Hector Elizondo", "d:Description": "Talent agent for actor providing online and .pdf biography, and publicity photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elizondo,_Hector", "url": "http://www.wma.com/hector_elizondo/summary/"} +{"d:Title": "IMDb: Hector Elizondo", "d:Description": "Includes biography, photo gallery, filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elizondo,_Hector", "url": "http://www.imdb.com/name/nm0001185/"} +{"d:Title": "IMDb.com - David James Elliott", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elliott,_David_James", "url": "http://www.imdb.com/name/nm0004895/"} +{"d:Title": "Filmbug - David James Elliott", "d:Description": "Biography, movies, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elliott,_David_James", "url": "http://www.filmbug.com/db/261195"} +{"d:Title": "IMDb.com - Denholm Elliott", "d:Description": "Offers vital statistics, filmography, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elliott,_Denholm", "url": "http://www.imdb.com/name/nm0001186/"} +{"d:Title": "A Tribute to Sam Elliott", "d:Description": "Filmography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elliott,_Sam", "url": "http://www.automatedculture.com/sam_elliott/"} +{"d:Title": "Sam Elliott Shrine", "d:Description": "Yahoo discussion club.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elliott,_Sam", "url": "http://groups.yahoo.com/group/samelliottshrine/"} +{"d:Title": "IMDb.com - Sam Elliot", "d:Description": "Vital statistics, filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elliott,_Sam", "url": "http://www.imdb.com/name/nm0000385/"} +{"d:Title": "Canoe: Sam Elliott", "d:Description": "A collection of articles on the actor, from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elliott,_Sam", "url": "http://jam.canoe.com/Movies/Artists/E/Elliott_Sam/"} +{"d:Title": "Jennifer Ellison - The Scouse Babe", "d:Description": "Offers profile, images, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ellison,_Jennifer", "url": "http://www.angelfire.com/celeb/jenniferellison/"} +{"d:Title": "Jennifer Ellison Heaven", "d:Description": "Vital statistics, pictures, wallpapers, video captures, and fan mail.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ellison,_Jennifer", "url": "http://www.members.tripod.com/jennifer_ellison1/id348.htm"} +{"d:Title": "Yahoo! Groups: Jennifer Ellison Mpegs", "d:Description": "Fans sharing video clips and pictures of the star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ellison,_Jennifer", "url": "http://groups.yahoo.com/group/jenniferellisonmpegs/"} +{"d:Title": "IMDb: Jamie Elman", "d:Description": "Complete filmography, photo gallery, links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elman,_Jamie", "url": "http://www.imdb.com/name/nm0255373/"} +{"d:Title": "TV.com: Jamie Elman", "d:Description": "Photo, biographical information and complete filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elman,_Jamie", "url": "http://www.tv.com/people/colin-firth/"} +{"d:Title": "BBC News: The life of Michael Elphick", "d:Description": "Millions of people will remember Michael Elphick as motorbike-mad private investigator Ken Boon in the hit ITV series.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elphick,_Michael", "url": "http://news.bbc.co.uk/1/hi/uk/2247775.stm"} +{"d:Title": "IMDb: Michael Elphick", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elphick,_Michael", "url": "http://www.imdb.com/name/nm0255573/"} +{"d:Title": "Yahoo! Movies: Michael Elphick", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elphick,_Michael", "url": "http://movies.yahoo.com/person/michael-elphick/"} +{"d:Title": "The Guardian: Michael Elphick", "d:Description": "Obituary - 'Screen actor whose ready scowl belied a quiet, impressive presence'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elphick,_Michael", "url": "http://www.theguardian.com/news/2002/sep/11/guardianobituaries1"} +{"d:Title": "Thespian Net - Cary Elwes", "d:Description": "Vital statistics, filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elwes,_Cary", "url": "http://www.thespiannet.com/actors/E/elwes_cary/"} +{"d:Title": "IMDb.com - Cary Elwes", "d:Description": "Vital statistics, filmography, trivia, quotes, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Elwes,_Cary", "url": "http://www.imdb.com/name/nm0000144/"} +{"d:Title": "Ron Ely at Brian's Drive-In Theater", "d:Description": "Contains biography, filmography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ely,_Ron", "url": "http://www.briansdriveintheater.com/ronely.html"} +{"d:Title": "IMDb.com - Ron Ely", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Ely,_Ron", "url": "http://www.imdb.com/name/nm0255935/"} +{"d:Title": "The Ethan Embry/Randall Website", "d:Description": "Links to articles. Profiles of his movie roles and life in general.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Embry,_Ethan", "url": "http://www.angelfire.com/ca/Quinzelle/"} +{"d:Title": "Ethan Embry Screen Caps", "d:Description": "A fan site including filmography, photographs and screen captures, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Embry,_Ethan", "url": "http://www.angelfire.com/celeb2/ethancaps/"} +{"d:Title": "IMDb.com - Ethan Embry", "d:Description": "Vital statistics, filmography, trivia, quotes, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Embry,_Ethan", "url": "http://www.imdb.com/name/nm0256121/"} +{"d:Title": "Robertenglund.net", "d:Description": "Includes pictures, a filmography, news, chat, interviews, reviews, and a mailing list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Englund,_Robert", "url": "http://www.angelfire.com/ri/robertenglund/"} +{"d:Title": "IMDb.com - Robert Englund", "d:Description": "Filmography, trivia, quotes, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Englund,_Robert", "url": "http://www.imdb.com/name/nm0000387/"} +{"d:Title": "IMDb.com - Omar Epps", "d:Description": "Vital statistics, filmography, photo gallery, trivia, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Epps,_Omar", "url": "http://www.imdb.com/name/nm0004898/"} +{"d:Title": "Filmbug - Omar Epps", "d:Description": "Biography, movies, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Epps,_Omar", "url": "http://www.filmbug.com/db/4356"} +{"d:Title": "IMDb.com - Kaj-Erik Eriksen", "d:Description": "Vital statistics, filmography, and notable TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Eriksen,_Kaj-Erik", "url": "http://www.imdb.com/name/nm0259264/"} +{"d:Title": "Wikipedia: Arlen Escarpeta", "d:Description": "Biography of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Escarpeta,_Arlen", "url": "http://en.wikipedia.org/wiki/Arlen_Escarpeta"} +{"d:Title": "YouTube: Street Cred and You", "d:Description": "A satirical look at exploring street credibility and how to accumulate it, starring Arlen Escarpeta and Bryan Ross.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Escarpeta,_Arlen", "url": "http://www.youtube.com/watch?v=b42UoH8pGHQ"} +{"d:Title": "IMDb - Arlen Escarpeta", "d:Description": "Includes the actor's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Escarpeta,_Arlen", "url": "http://www.imdb.com/name/nm0260224/"} +{"d:Title": "Twitter: Arlen Escarpeta", "d:Description": "Personal microblogging feed for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Escarpeta,_Arlen", "url": "https://twitter.com/arlenescarpeta"} +{"d:Title": "Channel Guide Magazine: \"Arlen Escarpeta on being Bobby Brown to Lifetime's \u2018Whitney\u2019\"", "d:Description": "Interview in relation to a 2015 television movie about Whitney Houston.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Escarpeta,_Arlen", "url": "http://www.channelguidemagblog.com/index.php/2015/01/15/arlen-escarpeta-bobby-brown-lifetimes-whitney/"} +{"d:Title": "Jennifer Esposito's Devoted Fan", "d:Description": "Fansite with filmography, and screencaptures related to her character Stacey Paterno in Spin City.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Esposito,_Jennifer", "url": "http://www.angelfire.com/celeb2/jenfan/index.html"} +{"d:Title": "IMDb.com - Jennifer Esposito", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Esposito,_Jennifer", "url": "http://www.imdb.com/name/nm0261170/"} +{"d:Title": "Filmbug - Jennifer Esposito", "d:Description": "Biography, movies, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Esposito,_Jennifer", "url": "http://www.filmbug.com/db/4251"} +{"d:Title": "IMDb.com - Will Estes", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estes,_Will", "url": "http://www.imdb.com/name/nm0261678/"} +{"d:Title": "The Emilio Estevez Homepage", "d:Description": "Filmography, biography, guestbook, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estevez,_Emilio", "url": "http://members.tripod.com/~emilio_3/emilio.htm"} +{"d:Title": "IMDb.com - Emilio Estevez", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estevez,_Emilio", "url": "http://www.imdb.com/name/nm0000389/"} +{"d:Title": "IMDb: Joe Estevez", "d:Description": "Filmography and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estevez,_Joe", "url": "http://www.imdb.com/name/nm0261724/"} +{"d:Title": "Pam&Renee is Vanity Fair 2002", "d:Description": "Fan page with picture.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estevez,_Ren\u00e9e", "url": "http://www.angelfire.com/retro/officialsleepaway2/vanityfair02.html"} +{"d:Title": "IMDb.com - Ren\u00e9e Estevez", "d:Description": "Filmography and vital statistics.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estevez,_Ren\u00e9e", "url": "http://www.imdb.com/name/nm0261729/"} +{"d:Title": "IMDb.com - Jennifer Estlin", "d:Description": "Filmography and notable TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estlin,_Jennifer", "url": "http://www.imdb.com/name/nm0082279/"} +{"d:Title": "The Erik Estrada Official Website", "d:Description": "Biography, credits, picture galleries, wallpapers, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estrada,_Erik", "url": "http://www.erikestrada.com/"} +{"d:Title": "CHiPs99 - Erik Estrada", "d:Description": "Fan page with pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estrada,_Erik", "url": "http://members.tripod.com/~CHiPs99/estrada.html"} +{"d:Title": "IMDb.com - Erik Estrada (I)", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Estrada,_Erik", "url": "http://www.imdb.com/name/nm0261805/"} +{"d:Title": "IMDb: Andrea Evans", "d:Description": "Vital statistics, filmography, trivia, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Evans,_Andrea", "url": "http://www.imdb.com/name/nm0262529/"} +{"d:Title": "IMDb: Josh Ryan Evans", "d:Description": "Filmography, including television appearances. Biography, trivia, quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Evans,_Josh_Ryan", "url": "http://www.imdb.com/name/nm0262924/"} +{"d:Title": "AskMen.com", "d:Description": "An exclusive interview with the beautiful redhead.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Everhart,_Angie", "url": "http://www.askmen.com/toys/interview/25_angie_everhart_interview.html"} +{"d:Title": "TVGuide.com: Angie Everhart", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Everhart,_Angie", "url": "http://www.tvguide.com/celebrities/angie-everhart/139845"} +{"d:Title": "Internet Movie Database: Angie Everhart", "d:Description": "Filmography, TV credits, trivia, photo gallery, links and other details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Everhart,_Angie", "url": "http://www.imdb.com/name/nm0004904/"} +{"d:Title": "FreeBikini: Angie Everhart", "d:Description": "Gallery with full-size pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Everhart,_Angie/Image_Galleries", "url": "http://freebikini.tripod.com/angie/"} +{"d:Title": "Zothike's Supermodel Pages", "d:Description": "Collection of thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Everhart,_Angie/Image_Galleries", "url": "http://www.zothike.com/tharsus/angie-everheart.htm"} +{"d:Title": "Kathy Evison", "d:Description": "Features photo gallery and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Evison,_Kathy", "url": "http://www.culttv.plus.com/babes/evison.html"} +{"d:Title": "IMDb: Kathy Evison", "d:Description": "Provides brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/E/Evison,_Kathy", "url": "http://www.imdb.com/name/nm0263773/"} +{"d:Title": "Fauser, Mark", "d:Description": "California based actor/writer. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F", "url": "http://www.markfauser.com/"} +{"d:Title": "Fallon, John", "d:Description": "US based actor. Includes credits, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F", "url": "http://pages.infinit.net/fallon/"} +{"d:Title": "Feldman, David M", "d:Description": "US based actor. Includes resume, photographs, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F", "url": "http://www.davidmfeldman.com/"} +{"d:Title": "Fuller, Stephon", "d:Description": "Includes resume, photos, biography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F", "url": "http://www.stephonfuller.com/"} +{"d:Title": "Frank Finlay", "d:Description": "Covers the life and work of British actor Frank Finlay C.B.E.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F", "url": "http://www.frankfinlay.net/"} +{"d:Title": "The Official Peter Facinelli Home Page", "d:Description": "Features pictures, mini biography, projects, and interviews. [Flash]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Facinelli,_Peter", "url": "http://www.peter-facinelli.com/"} +{"d:Title": "Morgan Fairchild Official Web Site", "d:Description": "Offers news, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fairchild,_Morgan", "url": "http://www.morganfairchild.com/"} +{"d:Title": "IMDb: Morgan Fairchild", "d:Description": "Features include filmography, trivia, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fairchild,_Morgan", "url": "http://www.imdb.com/name/nm0000392/"} +{"d:Title": "The Lady Morgan Fairchild", "d:Description": "Fan site offers photos, desktop wallpapers and icons.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fairchild,_Morgan", "url": "http://morganfarichild.tvheaven.com/"} +{"d:Title": "Imdb.com: Anna Falchi", "d:Description": "Anna Falchi's profile and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Falchi,_Anna", "url": "http://www.imdb.com/name/nm0001197/"} +{"d:Title": "Edie Falco", "d:Description": "Falco's filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Falco,_Edie", "url": "http://www.imdb.com/name/nm0004908/"} +{"d:Title": "Yahoo! Groups: theofficialdakotafanningclub", "d:Description": "Moderated e-mail discussion group.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fanning,_Dakota", "url": "http://groups.yahoo.com/group/theofficialdakotafanningclub/"} +{"d:Title": "Lovely Dakota", "d:Description": "Images, biography, multimedia, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fanning,_Dakota", "url": "http://dakota-fanning.org/"} +{"d:Title": "Dream Dakota", "d:Description": "Images, desktop wallpapers, biography, filmography and screen savers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fanning,_Dakota", "url": "http://www.dakota-fanning.20m.com/"} +{"d:Title": "Dennis Farina", "d:Description": "Dennis Farina's filmography located at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farina,_Dennis", "url": "http://www.imdb.com/name/nm0001199/"} +{"d:Title": "IMDb: Anna Faris", "d:Description": "Features filmography, awards, biography, and a fan discussion.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Faris,_Anna", "url": "http://www.imdb.com/name/nm0267506/"} +{"d:Title": "IMDb: Kevin P. Farley", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farley,_Kevin", "url": "http://www.imdb.com/name/nm0267659/"} +{"d:Title": "Wikipedia: Frances Farmer", "d:Description": "Hyperlinked encyclopedia article covers early life, career, legal and psychological problems, life after hospitalization, filmography, and bibliography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farmer,_Frances", "url": "http://en.wikipedia.org/wiki/Frances_Farmer"} +{"d:Title": "Frances Farmer: Shedding Light on Shadowland", "d:Description": "An article by the journalist and researcher Jeffrey Kauffman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farmer,_Frances", "url": "http://jeffreykauffman.net/francesfarmer/sheddinglight.html"} +{"d:Title": "The Numbers: box office data for Colin Farrell", "d:Description": "Provides box office news and analysis of Colin Farrell's movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Colin", "url": "http://www.the-numbers.com/people/CFARR.php"} +{"d:Title": "Yahoo! Movies: Colin Farrell", "d:Description": "Contains photographs, filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Colin", "url": "http://movies.yahoo.com/person/colin-farrell/"} +{"d:Title": "IMDb: Colin Farrell", "d:Description": "Profile, filmography, and message board from The Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Colin", "url": "http://www.imdb.com/name/nm0268199/"} +{"d:Title": "Contact Music: Farrell and Sutherland interview", "d:Description": "Colin Farrell and Kiefer Sutherland video interview on the film Phone Booth.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Colin/Articles_and_Interviews", "url": "http://www.contactmusic.com/new/home.nsf/webpages/phoneboothx03x04x03"} +{"d:Title": "View London: Colin Farrell interview", "d:Description": "The Irish actor talks about his life and his recent films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Colin/Articles_and_Interviews", "url": "http://www.viewlondon.co.uk/cinemas/colin-farrell-interview-feature-1235.html"} +{"d:Title": "Celebrities-Pictures.com: Colin Farrell", "d:Description": "A gallery of pictures and wallpapers, as well as news and facts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Colin/Images", "url": "http://www.celebrities-pictures.com/photo/v/males/colin%20farrell"} +{"d:Title": "Terry Farrell", "d:Description": "A fan's collection of publicity shots, magazine photos, and other pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Terry", "url": "http://members.tripod.com/lucath/farrell.html"} +{"d:Title": "StarTrek.com: Terry Farrell", "d:Description": "Offers biography, character information and chat transcripts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Farrell,_Terry", "url": "http://www.startrek.com/database_article/terry-farrell"} +{"d:Title": "Yahoo Group: Michael Fassbender", "d:Description": "Online club offers photos, messages about the star's latest activities, and a discussion forum. Registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fassbender,_Michael", "url": "http://movies.groups.yahoo.com/group/michaelfassbender/"} +{"d:Title": "Wikipedia: Michael Fassbender", "d:Description": "Offers brief biography, projects, filmography and external links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fassbender,_Michael", "url": "http://en.wikipedia.org/wiki/Michael_Fassbender"} +{"d:Title": "IMDb: Michael Fassbender", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fassbender,_Michael", "url": "http://www.imdb.com/name/nm1055413/"} +{"d:Title": "IMDb: Lisa Faulkner", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Faulkner,_Lisa", "url": "http://www.imdb.com/name/nm0269096/"} +{"d:Title": "Farrah Fawcett Film and TV Appearances", "d:Description": "A fan site with filmography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fawcett,_Farrah", "url": "http://sjisasillyboy.tripod.com/farrah.html"} +{"d:Title": "IMDb: Farrah Fawcett", "d:Description": "Biography, credits, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fawcett,_Farrah", "url": "http://www.imdb.com/name/nm0000396/"} +{"d:Title": "The Angela Featherstone Website", "d:Description": "Includes a biography, a filmography, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Featherstone,_Angela", "url": "http://www.ojohaven.com/featherstone/"} +{"d:Title": "Brendan Fehr.com", "d:Description": "Official site of the actor offers news, a biography, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fehr,_Brendan", "url": "http://www.brendanfehr.com/"} +{"d:Title": "Yahoo! Movies: Brendan Fehr", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fehr,_Brendan", "url": "http://movies.yahoo.com/person/brendan-fehr/"} +{"d:Title": "IMDb: Brendan Fehr", "d:Description": "Offers an image gallery and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fehr,_Brendan", "url": "http://www.imdb.com/name/nm0270451/"} +{"d:Title": "Oded Fehr Appreciation Site", "d:Description": "Lots of information, photos, and sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fehr,_Oded", "url": "http://www.odedfehr.de/"} +{"d:Title": "IMDB Featuring Oded Fehr", "d:Description": "Oded Fehr's filmography at IMDb", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fehr,_Oded", "url": "http://www.imdb.com/name/nm0004912/"} +{"d:Title": "How Sweet it Was", "d:Description": "Includes links, pictures, WAV files, statistics, merchandise, and movie reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Feldman,_Corey", "url": "http://www.angelfire.com/movies/howsweetitwas/"} +{"d:Title": "AskMen.com - Corey Feldman Exclusive Interview", "d:Description": "Interview conducted while the former actor was on the reality television series \"The Surreal Life.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Feldman,_Corey", "url": "http://www.askmen.com/toys/interview_60/72_corey_feldman_interview.html"} +{"d:Title": "IMDB: Norman Fell", "d:Description": "Features filmography, biography, discussions, photos and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fell,_Norman", "url": "http://www.imdb.com/name/nm0001205/"} +{"d:Title": "IMDb: Lindsay Felton", "d:Description": "Includes filmography, awards, mini-biography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Felton,_Lindsay", "url": "http://www.imdb.com/name/nm0271647/"} +{"d:Title": "The Unofficial Tom Felton Website", "d:Description": "Includes image galleries, screen shots, downloads, biography, sound files, interviews, FAQ, and other information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Felton,_Tom", "url": "http://www.angelfire.com/celeb2/tomfelton2/"} +{"d:Title": "IMDb: Tom Felton", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Felton,_Tom", "url": "http://www.imdb.com/name/nm0271657/"} +{"d:Title": "Smoking List - Fenn", "d:Description": "Information on Sherilyn Fenn's smoking habits in her movies as well as in real life.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fenn,_Sherilyn", "url": "http://smokingsides.com/asfs/F/Fenn.html"} +{"d:Title": "Wikipedia: Sherilyn Fenn", "d:Description": "Contains biography, detailed career information, filmography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fenn,_Sherilyn", "url": "http://en.wikipedia.org/wiki/Sherilyn_Fenn"} +{"d:Title": "TVGuide.com: Sherilyn Fenn", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fenn,_Sherilyn", "url": "http://www.tvguide.com/celebrities/sherilyn-fenn/174534"} +{"d:Title": "IMDb: Sherilyn Fenn", "d:Description": "The Internet Movie Database includes filmography, mini biography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fenn,_Sherilyn", "url": "http://www.imdb.com/name/nm0000145/"} +{"d:Title": "IMDb: Craig Ferguson", "d:Description": "Filmography, awards, biography, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferguson,_Craig", "url": "http://www.imdb.com/name/nm0272401/"} +{"d:Title": "Craig Ferguson Online", "d:Description": "Features news, merchandise, filmography, pictures, multimedia files, win-amp skins, DVD screen captures, wallpapers and desktop icons.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferguson,_Craig", "url": "http://www.severed-dreams.net/craigferguson/"} +{"d:Title": "USAToday.com - Nighttime Is His Niche", "d:Description": "Bill Keveney favorably reviews Craig Ferguson's first year as host of \"The Late, Late Show\" on CBS.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferguson,_Craig", "url": "http://www.usatoday.com/life/television/news/2005-12-29-craig-ferguson_x.htm?POE=LIFISVA"} +{"d:Title": "Deseretnews.com: Did You Hear the One About the Scotsman . . .Who Came to America and Got a Late-Night TV Show?", "d:Description": "\"I feel good. I feel energized. It was a good show tonight,\" he says in his small dressing room at CBS Television City. \"For the first week I felt very nervous and adrenal. But now it doesn't feel like that.\" Includes timeline. Story by Scott D. Pierce.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferguson,_Craig", "url": "http://www.deseretnews.com/article/600112826/Did-you-hear-the-one-about-the-Scotsman----who-came-to-America-and-got-a-late-night-TV-show.html"} +{"d:Title": "TVGuide.com: Craig Ferguson", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferguson,_Craig", "url": "http://www.tvguide.com/celebrities/craig-ferguson/145535"} +{"d:Title": "All About Matthew", "d:Description": "Fan site offers biography, filmography, interview archive, photo galleries and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferguson,_Matthew", "url": "http://www.allaboutmatthew.com/"} +{"d:Title": "IMDb: Matthew Ferguson", "d:Description": "Filmography, television appearances, and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferguson,_Matthew", "url": "http://www.imdb.com/name/nm0272536/"} +{"d:Title": "IMDb: Michelle Ferre", "d:Description": "A detailed filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferre,_Michelle", "url": "http://www.imdb.com/name/nm0274255/"} +{"d:Title": "Hulk or Hercules", "d:Description": "Biographical information, filmography, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferrigno,_Lou", "url": "http://www.briansdriveintheater.com/louferrigno.html"} +{"d:Title": "Sports Psychology", "d:Description": "Interview transcript provides insight into his bodybuilding technique and experience.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferrigno,_Lou", "url": "http://www.exrx.net/Bodybuilding/Ferrigno.html"} +{"d:Title": "Lou Ferrigno", "d:Description": "Official site includes biography, photo gallery, training information, links, filmography, and information on his book about bodybuilding.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferrigno,_Lou", "url": "http://www.louferrigno.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, biography, links, and other information also provided.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferrigno,_Lou", "url": "http://www.imdb.com/name/nm0002073/"} +{"d:Title": "About Deafness: Lou Ferrigno", "d:Description": "The story of the bodybuilder and actor with hearing loss.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ferrigno,_Lou", "url": "http://deafness.about.com/cs/celebfeatures/a/louferrigno.htm"} +{"d:Title": "IMDb: William Fichtner", "d:Description": "The Internet Movie Database includes filmography, biography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fichtner,_William", "url": "http://www.imdb.com/name/nm0001209/"} +{"d:Title": "William Fichtner Autograph", "d:Description": "An autographed black and white pictures plus a tiny bit of background information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fichtner,_William", "url": "http://my-autographs.de/fichtner.htm"} +{"d:Title": "All Movie Guide: William Fichtner", "d:Description": "Includes vital statistics, biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fichtner,_William", "url": "http://www.allmovie.com/artist/william-fichtner-p23302"} +{"d:Title": "TVGuide.com: William Fichtner", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fichtner,_William", "url": "http://www.tvguide.com/celebrities/william-fichtner/179152"} +{"d:Title": "Wikipedia: William Fichtner", "d:Description": "Includes biographical and career information, filmography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fichtner,_William", "url": "https://en.wikipedia.org/wiki/William_Fichtner"} +{"d:Title": "No Ordinary Joe - Joseph Fiennes", "d:Description": "Fan site includes news, articles, reviews, a biography, and photo archives.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Joseph", "url": "http://www.angelfire.com/biz5/beeswing/index.html"} +{"d:Title": "The Original Joseph Fiennes", "d:Description": "Includes a biography, articles, pictures, and a forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Joseph", "url": "http://www.angelfire.com/az/msmossie9z/fiennes.html"} +{"d:Title": "The Joy of Joseph Fiennes", "d:Description": "A fan site with a biography, articles, pictures, discussion forum, mailing list, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Joseph", "url": "http://joyjoy2.tripod.com/joefiennes.html"} +{"d:Title": "Thespian Net presents Joseph Fiennes", "d:Description": "Includes movie and television credits, pictures, articles and interviews, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Joseph", "url": "http://www.thespiannet.com/actors/F/fiennes_joseph/joseph_fiennes.shtml"} +{"d:Title": "IMDb: Joseph Fiennes", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Joseph", "url": "http://www.imdb.com/name/nm0001212/"} +{"d:Title": "Ralph Fiennes Reading Room", "d:Description": "Offers background information, pictures and lists of articles that mention Ralph Fiennes categorized by years.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Ralph", "url": "http://home.the-wire.com/~steph/rfrr/"} +{"d:Title": "Thespian Net presents Ralph Fiennes", "d:Description": "Includes a profile, a filmography, an awards list, and links to articles and fan pages.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Ralph", "url": "http://www.thespiannet.com/actors/F/fiennes_ralph/index.shtml"} +{"d:Title": "World of Wuthering Heights", "d:Description": "Ralph Fiennes related site specializing in Wuthering Heights with Juliette Binoche. Also contains information about other versions of Wuthering Heights.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Ralph", "url": "http://members.tripod.com/~fiennes/bronte/whframes.html"} +{"d:Title": "IMDb: Ralph Fiennes", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiennes,_Ralph", "url": "http://www.imdb.com/name/nm0000146/"} +{"d:Title": "Yahoo! Groups: Nathan Fillion Fans", "d:Description": "Members discuss the actor and his roles. [Yahoo! registration required.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fillion,_Nathan", "url": "http://groups.yahoo.com/group/nathanfillionfans/"} +{"d:Title": "Nathan Fillion", "d:Description": "Fan site with news, biography, video, audio, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fillion,_Nathan", "url": "http://nathanfillion.org/"} +{"d:Title": "IMDb: Nathan Fillion", "d:Description": "Filmography and photo, as well as date and place of birth.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fillion,_Nathan", "url": "http://www.imdb.com/name/nm0277213/"} +{"d:Title": "IMDb: Jon Finch", "d:Description": "Filmography for the British actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Finch,_Jon", "url": "http://www.imdb.com/name/nm0277424/"} +{"d:Title": "Yahoo! Movies: Peter Finch", "d:Description": "Biography, filmography, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Finch,_Peter", "url": "http://movies.yahoo.com/person/peter-finch/"} +{"d:Title": "IMDb: Peter Finch", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Finch,_Peter", "url": "http://www.imdb.com/name/nm0002075/"} +{"d:Title": "The Official Stewart Finlay-McLennan Website and Fan Club", "d:Description": "Contains images, information, and sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Finlay-McLennan,_Stewart", "url": "http://www.sfmclennan.com/"} +{"d:Title": "Dogma Cast and Crew: Linda Fiorentino", "d:Description": "Her brief profile page at the official site for her 1999 movie, \"Dogma\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://www.dogma-movie.com/about/linda.html"} +{"d:Title": "Washingtonpost.com: Linda Fiorentino Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/linda_fiorentino.htm"} +{"d:Title": "IMDb: Linda Fiorentino", "d:Description": "The Internet Movie Database includes filmography, biography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://www.imdb.com/name/nm0000400/"} +{"d:Title": "Box Office Data for Linda Fiorentino", "d:Description": "Summarizes box office statistics for movies the actress appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://www.the-numbers.com/people/LFIOR.php"} +{"d:Title": "Smoking List - Fiorentino", "d:Description": "Information on Linda Fiorentino's smoking habits in her movies and in real life.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://smokingsides.com/asfs/F/Fiorentino.html"} +{"d:Title": "All Movie Guide: Linda Fiorentino", "d:Description": "Includes vital statistics, biography, filmography, awards, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://www.allmovie.com/artist/linda-fiorentino-23568"} +{"d:Title": "TVGuide.com: Linda Fiorentino", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://www.tvguide.com/celebrities/linda-fiorentino/162240"} +{"d:Title": "Canoe: Linda Fiorentino", "d:Description": "A collection of articles on the actress' life and career from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fiorentino,_Linda", "url": "http://jam.canoe.com/Movies/Artists/F/Fiorentino_Linda/"} +{"d:Title": "IMDb: Colin Firth", "d:Description": "Filmography, biography, photographs, news articles and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Colin", "url": "http://imdb.com/name/nm0000147/"} +{"d:Title": "Afirthionado", "d:Description": "News and information archive, discussion forum and interactive link list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Colin", "url": "http://www.afirthionado.com/"} +{"d:Title": "Colin Firth.com", "d:Description": "Information and scoops on Colin Firth's work in film and theater. Also an article and interview archive.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Colin", "url": "http://www.firth.com/"} +{"d:Title": "Wikipedia: Colin Firth", "d:Description": "Contains a biography, selected filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Colin", "url": "http://en.wikipedia.org/wiki/Colin_Firth"} +{"d:Title": "TVGuide.com: Colin Firth", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Colin", "url": "http://www.tvguide.com/celebrities/colin-firth/145295"} +{"d:Title": "TV.com: Colin Firth", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Colin", "url": "http://www.tv.com/people/colin-firth/"} +{"d:Title": "Filmbug: Peter Firth", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Peter", "url": "http://www.filmbug.com/db/270744"} +{"d:Title": "IMDb: Peter Firth", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Firth,_Peter", "url": "http://www.imdb.com/name/nm0278752/"} +{"d:Title": "Laurence Fishburne", "d:Description": "Fishburne's filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fishburne,_Laurence", "url": "http://www.imdb.com/name/nm0000401/"} +{"d:Title": "Gregor Fisher", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_Gregor", "url": "http://www.scottish-places.info/people/famousfirst818.html"} +{"d:Title": "IMDb: Gregor Fisher", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_Gregor", "url": "http://www.imdb.com/name/nm0279524/"} +{"d:Title": "IMDb: Isla Fisher", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_Isla", "url": "http://www.imdb.com/name/nm0279545/"} +{"d:Title": "Isla Fisher", "d:Description": "Offers biography, filmography, news articles, trivia, awards, wallpaper and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_Isla", "url": "http://www.aceshowbiz.com/celebrity/isla_fisher/"} +{"d:Title": "About.com: Isla Fisher Interview", "d:Description": "The actress talks about her film Wedding Crashers, Vince Vaughn, Christopher Walken, her crazy eyes and her upcoming wedding to Sacha Baron Cohen. By Rebecca Murray.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_Isla", "url": "http://movies.about.com/od/theweddingcrashers/a/weddingif071005.htm"} +{"d:Title": "IMDb: Joely Fisher", "d:Description": "Includes filmography, awards, mini-biography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_Joely", "url": "http://www.imdb.com/name/nm0004921/"} +{"d:Title": "Yahoo! Groups: L.B. Fisher Online", "d:Description": "Chats held weekly. Post messages, photos, and links. [Free registration required.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_L.B.", "url": "http://groups.yahoo.com/group/lbfisheronline/"} +{"d:Title": "IMDb: L.B. Fisher", "d:Description": "Brief biography, facts, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fisher,_L.B.", "url": "http://www.imdb.com/name/nm0279635/"} +{"d:Title": "Yahoo! Groups: Tara Fitzgerald", "d:Description": "Fans trade photographs and news [Free registration requested].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fitzgerald,_Tara", "url": "http://groups.yahoo.com/group/TaraFitzgerald"} +{"d:Title": "IMDb: Tara Fitzgerald", "d:Description": "Includes biographical information, a filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fitzgerald,_Tara", "url": "http://www.imdb.com/name/nm0001216/"} +{"d:Title": "TVGuide.com: Tara Fitzgerald", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fitzgerald,_Tara", "url": "http://www.tvguide.com/celebrities/tara-fitzgerald/176228"} +{"d:Title": "Smile", "d:Description": "A Vitamin C site with pictures, discography, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fitzpatrick,_Colleen", "url": "http://vc_smile.tripod.com/"} +{"d:Title": "Vitamin C Fan Site", "d:Description": "News updates, a chart history, and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fitzpatrick,_Colleen", "url": "http://www.vitaminc.50megs.com/main.html"} +{"d:Title": "Thespian Net presents Sean Patrick Flanery", "d:Description": "Includes a filmography, a biography, articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flanery,_Sean_Patrick", "url": "http://www.thespiannet.com/actors/F/flanery_seanpatrick/index.shtml"} +{"d:Title": "Coffeerooms the Strip", "d:Description": "A gathering place for fans of the Strip, and Sean Patrick Flanery on the Web", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flanery,_Sean_Patrick", "url": "http://www.coffeerooms.com/forums/tv/strip/index.html"} +{"d:Title": "Sean Patrick Flanery Fan Site", "d:Description": "Includes pictures, news, biography, messageboards, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flanery,_Sean_Patrick", "url": "http://sean_patrick_flanery.tripod.com/seanpatrickflaneryfansite"} +{"d:Title": "Sean Patrick Flanery Fan Group", "d:Description": "Fan discussion group with photo archive.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flanery,_Sean_Patrick", "url": "http://groups.yahoo.com/group/seanpatrickflaneryfangroup/"} +{"d:Title": "Brian's Drive-In Theater: Rhonda Fleming", "d:Description": "Biography, filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fleming,_Rhonda", "url": "http://www.briansdriveintheater.com/rhondafleming.html"} +{"d:Title": "IMDb: Jason Flemyng", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flemyng,_Jason", "url": "http://www.imdb.com/name/nm0002076/"} +{"d:Title": "Yahoo! Group \"alexandrafletcher\"", "d:Description": "Fans of the British soap opera actor discuss her career in this forum. [Free registration requested.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fletcher,_Alexandra", "url": "http://uk.groups.yahoo.com/group/alexandrafletcher/"} +{"d:Title": "Thespian Net presents Louise Fletcher", "d:Description": "Includes pictures, a biography, credits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fletcher,_Louise", "url": "http://www.thespiannet.com/actresses/F/fletcher_louise/index.shtml"} +{"d:Title": "Louise Fletcher Appreciation Page", "d:Description": "A fan site with news, reviews, articles, and a collection of photographs from her film and stage work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fletcher,_Louise", "url": "http://www.littlereview.com/goddesslouise/"} +{"d:Title": "Undying Calista Flockhart", "d:Description": "Photo gallery, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista", "url": "http://www.undying.com/celeb/Calista_Flockhart/index.htm"} +{"d:Title": "Calista Flockhart", "d:Description": "Includes pictures, a short biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista", "url": "http://members.tripod.com/flockhart_calista/"} +{"d:Title": "TV and Movie Trivia Tribute: Calista Flockhart", "d:Description": "Pictures, vital statistics, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista", "url": "http://www.triviatribute.com/calistaflockhart.html"} +{"d:Title": "IMDb.com - Calista Flockhart", "d:Description": "Filmography, profile, trivia and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista", "url": "http://www.imdb.com/name/nm0001222/"} +{"d:Title": "Calista Flockhart Is an Idoru", "d:Description": "Article written by Joe Clark.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista/Articles_and_Interviews", "url": "http://www.fawny.org/calista.html"} +{"d:Title": "Flockhart 'stages' a comeback", "d:Description": "Article written by Cynthia Tornquist CNN Entertainment News Correspondent.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/TV/9906/29/flockhart/"} +{"d:Title": "A Star Is Born Calista Flockhart", "d:Description": "Interview by Margy Rochlin for US Magazine.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista/Articles_and_Interviews", "url": "http://shockme99.tripod.com/allymcbealefiles29.html"} +{"d:Title": "Happywomanmagazine: Calista Flockhart", "d:Description": "Libby Zimmerman gets the skinny on the star in an original interview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista/Articles_and_Interviews", "url": "http://www.happywomanmagazine.com/Celebrities/calista.htm"} +{"d:Title": "Calista Flockhart on Digital Hit", "d:Description": "Includes a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista/Articles_and_Interviews", "url": "http://www.digitalhit.com/cr/calistaflockhart"} +{"d:Title": "Canoe: Calista Flockhart", "d:Description": "A collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flockhart,_Calista/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/F/Flockhart_Calista/"} +{"d:Title": "Wikipedia: Dann Florek", "d:Description": "Hyperlinked encyclopedia article covers career, personal life, and filmography (television, producer, and director).", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Florek,_Dann", "url": "http://en.wikipedia.org/wiki/Dann_Florek"} +{"d:Title": "Erika Flores Tribute", "d:Description": "Fan site features photo galleries, interaction and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flores,_Erika", "url": "http://www.branduin.com/erika/index.html"} +{"d:Title": "IMDb: Jerome Flynn", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Flynn,_Jerome", "url": "http://www.imdb.com/name/nm0283492/"} +{"d:Title": "Megan Follows Discussion Group", "d:Description": "E-mail group for fans of Megan Follows.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Follows,_Megan", "url": "http://groups.yahoo.com/group/MeganFollows"} +{"d:Title": "Megan Follows Forever Club", "d:Description": "A Yahoo! group for discussion of Megan's career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Follows,_Megan", "url": "http://groups.yahoo.com/group/meganfollowsforever/"} +{"d:Title": "IMDb.com - Megan Follows", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Follows,_Megan", "url": "http://www.imdb.com/name/nm0001227/"} +{"d:Title": "Bridget Fonda Online", "d:Description": "Contains pictures, a filmography, a biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Bridget", "url": "http://members.tripod.com/briget_fonda/"} +{"d:Title": "Bridget Fonda", "d:Description": "IMDB filmography for Bridget Fonda", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Bridget", "url": "http://www.imdb.com/name/nm0000403/"} +{"d:Title": "Henry Fonda", "d:Description": "IMDB filmography for Henry Fonda.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Henry", "url": "http://www.imdb.com/name/nm0000020/"} +{"d:Title": "Jane Fonda in North Vietnam", "d:Description": "This page deals with the American actress Jane Fonda and her trip to North Vietnam in 1972.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Jane", "url": "http://www.rjgeib.com/thoughts/fonda/fonda.html"} +{"d:Title": "Fond of Jane", "d:Description": "A fan site with photo galleries, filmography, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Jane", "url": "http://members.tripod.com/~brandyrae/index.html"} +{"d:Title": "Urban Legends: 'Hanoi Jane' Rumors Blend Fact and Fiction", "d:Description": "Article by David Emery with analysis of email rumors accusing Jane Fonda of betraying American POWs in North Vietnam.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Jane", "url": "http://urbanlegends.about.com/library/weekly/aa110399.htm"} +{"d:Title": "The Movie Times: Jane Fonda", "d:Description": "Pictures, box office information, vital statistics, links, and a messageboard.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Jane", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jfonda"} +{"d:Title": "IMDb: Jane Fonda", "d:Description": "Filmography, photograph gallery, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fonda,_Jane", "url": "http://www.imdb.com/name/nm0000404/"} +{"d:Title": "Joan Fontaine - The Beauty Has Been Delivered to This Planet", "d:Description": "Contains a biography, a filmography, and a photo gallery; it is available in English and Japanese.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fontaine,_Joan", "url": "http://www.geocities.co.jp/Hollywood-Miyuki/2078/englishindex.html"} +{"d:Title": "Meredy's Glenn Ford Trivia Mania", "d:Description": "A fan's site asks viewers a set of trivia questions about the actor. Also offers downloads and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ford,_Glenn", "url": "http://www.meredy.com/glennfordtriv.html"} +{"d:Title": "Thespian Net presents Harrison Ford", "d:Description": "A short biography and a detailed filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ford,_Harrison", "url": "http://www.thespiannet.com/actors/F/ford_harrison/index.shtml"} +{"d:Title": "Digital Hit Entertainment's Celebrity Row: Harrison Ford", "d:Description": "A short biography, a chat area and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ford,_Harrison", "url": "http://www.digitalhit.com/harrisonford.shtml"} +{"d:Title": "Topix: Harrison Ford", "d:Description": "News about Harrison Ford, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ford,_Harrison", "url": "http://www.topix.com/rss/who/harrison-ford.xml"} +{"d:Title": "Movie Times, The: Harrison Ford", "d:Description": "Box office information for all his movies, a biography, links, and four pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ford,_Harrison", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?harrisonford2"} +{"d:Title": "IMDb: Harrison Ford (I)", "d:Description": "Filmography, biography and related trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ford,_Harrison", "url": "http://www.imdb.com/name/nm0000148/"} +{"d:Title": "Harrison Ford Fan Page", "d:Description": "A fan site with pictures, a few sounds and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Ford,_Harrison/Fan_Pages", "url": "http://www.triviatribute.com/harrisonford.html"} +{"d:Title": "Foreman, Deborah", "d:Description": "Official web site contains news, free stuff, FAQ about yoga, and a blog.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foreman,_Deborah", "url": "http://www.deborahforeman.net/"} +{"d:Title": "The Austin Chronicle Movie Guide: Frederic Forrest", "d:Description": "Acting credits in films reviewed by the newspaper.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Forrest,_Frederic", "url": "http://www.austinchronicle.com/film/pages/people/962.html"} +{"d:Title": "Frederic Forrest", "d:Description": "IMDb filmography of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Forrest,_Frederic", "url": "http://www.imdb.com/name/nm0002078/"} +{"d:Title": "IMDb: Robert Forster", "d:Description": "Site offers filmography, awards, biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Forster,_Robert", "url": "http://www.imdb.com/name/nm0001233/"} +{"d:Title": "IMDb: William Forsythe (I)", "d:Description": "Profile and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Forsythe,_William", "url": "http://www.imdb.com/name/nm0001235/"} +{"d:Title": "Unofficial Laurie Fortier Homepage, The", "d:Description": "The first site dedicated to the talented actress of \"To Gillian on Her 37th Birthday.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fortier,_Laurie", "url": "http://www.angelfire.com/celeb/lauriefortier/"} +{"d:Title": "IMDb: Laurie Fortier", "d:Description": "Facts and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fortier,_Laurie", "url": "http://www.imdb.com/name/nm0287266/"} +{"d:Title": "Ben Foster - IMDb", "d:Description": "Born October 29th, 1980, in Boston, Massachusetts. Includes photos, filmography, discussions, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foster,_Ben", "url": "http://www.imdb.com/name/nm0004936/"} +{"d:Title": "CanMag Interview: Ben Foster on 3:10 to Yuma", "d:Description": "Ben Foster plays Charlie Prince, a ruthless member in Ben Wade's gang. Actor discusses what it takes to become a cold-blooded killer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foster,_Ben", "url": "http://www.canmag.com/nw/8910-310-yuma-ben-foster"} +{"d:Title": "2006 Tony Awards Q&A: Sutton Foster", "d:Description": "Best leading actress in a musical nominee Sutton Foster talks about The Drowsy Chaperone's path to Broadway.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foster,_Sutton", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=9834"} +{"d:Title": "IMDb: Edward Fox (I)", "d:Description": "Filmography, trivia, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Edward", "url": "http://www.imdb.com/name/nm0002081/"} +{"d:Title": "IMDb: Emilia Fox", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Emilia", "url": "http://www.imdb.com/name/nm0288976/"} +{"d:Title": "IMDb: Jorja Fox", "d:Description": "Includes biographical information, filmography, trivia, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Jorja", "url": "http://www.imdb.com/name/nm0289080/"} +{"d:Title": "IMdb: Matthew Fox", "d:Description": "Features brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Matthew", "url": "http://www.imdb.com/name/nm0289142/"} +{"d:Title": "IMDb: Megan Fox (I)", "d:Description": "Filmography and profile overview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Megan", "url": "http://www.imdb.com/name/nm1083271/"} +{"d:Title": "Wikipedia: Megan Fox", "d:Description": "Contains information on personal life, career, tattoos and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Megan", "url": "http://en.wikipedia.org/wiki/Megan_Fox"} +{"d:Title": "Megan Fox Fan", "d:Description": "Contains news, image galleries, wallpapers and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Megan", "url": "http://www.celebrityfanweb.com/megan-fox/"} +{"d:Title": "IMDb: Michael J. Fox (I)", "d:Description": "Site offers biographical information, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Michael_J.", "url": "http://www.imdb.com/name/nm0000150/"} +{"d:Title": "Actor Michael J. Fox", "d:Description": "Includes pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Michael_J.", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?mjfox"} +{"d:Title": "Official Website for Vivica A. Fox", "d:Description": "Includes biography, filmography, photo gallery, movie trailers, video clips, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Vivica_A.", "url": "http://www.vivicafox.com/"} +{"d:Title": "Thespian Net Presents Vivica A. Fox", "d:Description": "A starting point for Vivica A. Fox information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Vivica_A.", "url": "http://www.thespiannet.com/actresses/F/fox_vivicaa/vivica_a_fox.shtml"} +{"d:Title": "IMDb: Vivica A. Fox", "d:Description": "Includes filmography, awards, trivia, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Vivica_A.", "url": "http://www.imdb.com/name/nm0000407/"} +{"d:Title": "Canoe: Vivica A. Fox", "d:Description": "A collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fox,_Vivica_A.", "url": "http://jam.canoe.com/Movies/Artists/F/Fox_Vivica_A/"} +{"d:Title": "CNN: Actor Jamie Foxx in casino brawl", "d:Description": "Article about the actor and his sister getting arrested during a melee at a New Orleans casino.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foxx,_Jamie", "url": "http://www.cnn.com/2003/SHOWBIZ/TV/04/26/foxx/"} +{"d:Title": "IMDb: Jamie Foxx", "d:Description": "Filmography, awards, biography, discussions, photographs, news articles and fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foxx,_Jamie", "url": "http://www.imdb.com/name/nm0004937/"} +{"d:Title": "PopEntertainment.com: Jamie Foxx - What'd He Say?", "d:Description": "The actor and comedian tells Brad Balfour about his Oscar-nominated role as Ray Charles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foxx,_Jamie", "url": "http://www.popentertainment.com/jamiefoxx.htm"} +{"d:Title": "EpGuides.com: The Jamie Foxx Show", "d:Description": "A guide listing the title and air date for each episode of Foxx's self-titled TV series.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foxx,_Jamie", "url": "http://epguides.com/JamieFoxxShow/"} +{"d:Title": "Today: Jamie Foxx becoming showbiz superman", "d:Description": "Interview with the actor about his career and movie \"Collateral.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foxx,_Jamie", "url": "http://www.today.com/id/5592076"} +{"d:Title": "CBS News: Jamie Foxx - Behind The Scenes", "d:Description": "Ed Gordon talks with the actor about \"Ray.\" (Video included.)", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Foxx,_Jamie", "url": "http://www.cbsnews.com/news/jamie-foxx-behind-the-scenes/"} +{"d:Title": "IMDb: James Frain", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frain,_James", "url": "http://www.imdb.com/name/nm0289656/"} +{"d:Title": "IMDb: Clive Francis", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Francis,_Clive", "url": "http://www.imdb.com/name/nm0290117/"} +{"d:Title": "James Franco Online", "d:Description": "Fansite with photos, articles, filmography, and links on James Franco.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Franco,_James", "url": "http://www.angelfire.com/celeb/jamesfranco/jamesfranco.html"} +{"d:Title": "GB Design's James Franco Biography", "d:Description": "Biography of Franco as Daniel on Freaks and Geeks.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Franco,_James", "url": "http://www.gbdesigns.com/freaksandgeeks/bios/franco_james.html"} +{"d:Title": "Lindzi.com: James Franco Interview", "d:Description": "The actor discusses his roles, dating Marla Sokoloff, and art.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Franco,_James", "url": "http://www.lindzi.com/interviews/james.htm"} +{"d:Title": "Mark Frankel: A Profile and Gallery", "d:Description": "Fan site for the actor offers photo galleries, downloads, and a news archive.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frankel,_Mark", "url": "http://www.mark-frankel.com/"} +{"d:Title": "Detective Andy Sipowicz", "d:Description": "Biography of Franz and of his NYPD Blue character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Franz,_Dennis", "url": "http://www.stwing.upenn.edu/~sepinwal/sipowicz.txt.html"} +{"d:Title": "IMDb: Dennis Franz", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Franz,_Dennis", "url": "http://www.imdb.com/name/nm0001240/"} +{"d:Title": "Brendan Fraser", "d:Description": "Official web site offering filmography, biography, photographs taken by the actor, and a listing of his favorite sites.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan", "url": "http://www.brendanfraser.com/"} +{"d:Title": "Brendan Fraser: The Unofficial Site", "d:Description": "Features biography, filmography, picture gallery, wallpaper, an interview, and multimedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan", "url": "http://brendanfraser.20fr.com/"} +{"d:Title": "DigitalHit.com: Brendan Fraser", "d:Description": "Biography, news, fan information, and links to related sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan", "url": "http://www.digitalhit.com/row/brendanfraser.shtml"} +{"d:Title": "Thespian Net: Brendan Fraser", "d:Description": "Biography, film credits, news, and other fan information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan", "url": "http://www.thespiannet.com/actors/F/fraser_brendan/index.shtml"} +{"d:Title": "TVGuide.com: Brendan Fraser", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan", "url": "http://www.tvguide.com/celebrities/brendan-fraser/142667"} +{"d:Title": "IMDb: Brendan Fraser", "d:Description": "Filmography, biography, photographs, and news articles from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan", "url": "http://www.imdb.com/name/nm0000409/"} +{"d:Title": "Addicted to Brendan", "d:Description": "Message board, art work, biography, filmography, sound files, picture gallery, personal quotes, and other fan related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan/Fan_Pages", "url": "http://www.angelfire.com/ks3/atb/index.html"} +{"d:Title": "Brendan Fraser", "d:Description": "A collection of images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan/Image_Galleries", "url": "http://www.coolncute.20m.com/brendanfraser.htm"} +{"d:Title": "Fraser Mania", "d:Description": "A few pictures of Brendan are featured, with links to their other favorite sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan/Image_Galleries", "url": "http://www.angelfire.com/stars2/frasermania/"} +{"d:Title": "All-Reviews.com: Brendan Fraser", "d:Description": "Filmography with links to reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Brendan/Movies", "url": "http://www.all-reviews.com/actors-1/Brendan-Fraser.htm"} +{"d:Title": "Laura Fraser's World", "d:Description": "Fan site offers news, article transcripts and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fraser,_Laura", "url": "http://www.laurafraser.bravepages.com/"} +{"d:Title": "William Frawley", "d:Description": "IMDb filmography for William Frawley.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frawley,_William", "url": "http://www.imdb.com/name/nm0292433/"} +{"d:Title": "IMDb - Martin Freeman", "d:Description": "Filmography, trivia, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Freeman,_Martin", "url": "http://www.imdb.com/name/nm0293509/"} +{"d:Title": "BBC NEWS - Life in The Office", "d:Description": "\"Martin Freeman has become a cult hero thanks to his role as hapless Tim in award-winning comedy The Office.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Freeman,_Martin", "url": "http://news.bbc.co.uk/1/hi/entertainment/tv_and_radio/2285528.stm"} +{"d:Title": "IndieLondon: Martin Freeman Interview", "d:Description": "Interview concerning his role in the movie 'Confetti'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Freeman,_Martin", "url": "http://www.indielondon.co.uk/Film-Review/confetti-martin-freeman-interview"} +{"d:Title": "BBC Radio Gloucestershire: Martin Freeman", "d:Description": "Audio interview. [Requires Realplayer]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Freeman,_Martin", "url": "http://www.bbc.co.uk/gloucestershire/content/articles/2007/02/07/martin_freeman_feature.shtml"} +{"d:Title": "The Observer - Tim for a Change", "d:Description": "\"In The Office, Martin Freeman seduced the receptionist, and the critics. Stephanie Merritt asks him where he's going next.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Freeman,_Martin", "url": "http://www.theguardian.com/theobserver/2004/feb/22/features.review7"} +{"d:Title": "Guardian Unlimited - Nice and other four-letter words", "d:Description": "\"Ricky Gervais as David Brent in The Office had British workers howling, yet it was Tim who stole their hearts.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Freeman,_Martin", "url": "http://www.theguardian.com/media/2003/mar/15/broadcasting.weekendmagazine"} +{"d:Title": "The Martin Freeman Massive", "d:Description": "Online journal with pictures, news items and links to articles and other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Freeman,_Martin", "url": "http://martin-freeman.livejournal.com/"} +{"d:Title": "IMDb: Jonathan Frid", "d:Description": "Includes a complete filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frid,_Jonathan", "url": "http://www.imdb.com/name/nm0294847/"} +{"d:Title": "Andrea's Unofficial Will Friedle Picture Webpage", "d:Description": "Fan page with screen captures from \"Boy Meets World\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Friedle,_Will", "url": "http://www.angelfire.com/tv/friedle/"} +{"d:Title": "IMDb: Anna Friel", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Friel,_Anna", "url": "http://www.imdb.com/name/nm0295484/"} +{"d:Title": "Colin Friels", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Friels,_Colin", "url": "http://www.imdb.com/name/nm0295506/"} +{"d:Title": "IMDb: Joanne Frogatt", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frogatt,_Joanne", "url": "http://www.imdb.com/name/nm0296219/"} +{"d:Title": "IMDb: Nick Frost", "d:Description": "Filmography, trivia, photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frost,_Nick", "url": "http://www.imdb.com/name/nm0296545/"} +{"d:Title": "Frostitution", "d:Description": "Fan page offering a biography, filmography, pictures, a forum and links to interviews and other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frost,_Nick", "url": "http://www.frostitution.net/"} +{"d:Title": "IMDb: Sadie Frost", "d:Description": "Includes filmography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frost,_Sadie", "url": "http://www.imdb.com/name/nm0001244/"} +{"d:Title": "IMDb: Dwight Frye", "d:Description": "Profile, pictures, and complete filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Frye,_Dwight", "url": "http://www.imdb.com/name/nm0296859/"} +{"d:Title": "Patrick Fugit Fansite", "d:Description": "Site offers a photo gallery, and links to media interviews and video.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fugit,_Patrick", "url": "http://www.angelfire.com/film/patrickfugit/main.html"} +{"d:Title": "IMDb: Patrick Fugit", "d:Description": "Provides photos, bio, filmography, message boards, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fugit,_Patrick", "url": "http://www.imdb.com/name/nm0297578/"} +{"d:Title": "Wikipedia - Patrick Fugit", "d:Description": "Features the actors filmography, biography, photos and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fugit,_Patrick", "url": "http://en.wikipedia.org/wiki/Patrick_Fugit"} +{"d:Title": "IMDb: Ky\u00f4ko Fukada", "d:Description": "Filmography and related career data.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fukada,_Ky\u00f4ko", "url": "http://imdb.com/name/nm0297906/"} +{"d:Title": "Imdb: Robert Fuller", "d:Description": "Provides brief filmography and actor biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fuller,_Robert", "url": "http://www.imdb.com/name/nm0298333/"} +{"d:Title": "Stephen Fung Fan Site", "d:Description": "Site offers a biography, filmography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Fung,_Stephen", "url": "http://stephenfungfans.tripod.com/"} +{"d:Title": "IMDb: Mira Furlan", "d:Description": "The Internet Movie Database includes a filmography, biographical information, and links for Mira Furlan.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Furlan,_Mira", "url": "http://www.imdb.com/name/nm0001245/"} +{"d:Title": "Edward Furlong", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/F/Furlong,_Edward/Movies", "url": "http://www.imdb.com/name/nm0000411/"} +{"d:Title": "Gorney, Karen Lynn", "d:Description": "U.S. based actress. Includes resume, photos, news, reviews and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.karenlynngorney.com/"} +{"d:Title": "Grover, Fabrice", "d:Description": "Canada based actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.fabricegrover.com/"} +{"d:Title": "Gerdes, George", "d:Description": "U.S. based actor. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.georgegerdes.com/"} +{"d:Title": "Gaffigan, Jim", "d:Description": "Indiana based comedy actor. Includes biography, photo show reels and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.jimgaffigan.com/"} +{"d:Title": "Gaston, Loren", "d:Description": "Sydney, Australia based actress. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://lorengaston.8m.com/"} +{"d:Title": "Grays, Donnetta Lavinia", "d:Description": "New York based actress. Includes resume, photographs, reviews and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.donnettagrays.com/"} +{"d:Title": "Ganey, Catrina", "d:Description": "Includes biography, photographs and message boards for the U.S. based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.angelfire.com/super/catrina/"} +{"d:Title": "Grimes, Karolyn", "d:Description": "Includes biography, appearance information, news, filmography, essays and Angel corner for the U.S. based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.zuzu.net/"} +{"d:Title": "Gregoric , Bojana", "d:Description": "Official website offers photos and news. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.bojanagregoric.com/"} +{"d:Title": "Gallo, Carla", "d:Description": "Provides pictures, articles, interviews, biography, filmography, forum and links for the U.S. based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://carlagallo.atspace.com/"} +{"d:Title": "Goldstein, Jeff", "d:Description": "Provides resume, reviews, gallery, demo reel and journal entries for the New York based actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.jeffgoldstein.com/"} +{"d:Title": "Gil, Arturo", "d:Description": "Official site provides biography, resume, agent information, photos and email contact for the California based actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.arturogil.com/"} +{"d:Title": "Griffith, Rhiana", "d:Description": "Official site offers galleries, news, biography, filmography and forums for the Australian actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.rhiana-griffith.com/"} +{"d:Title": "Gorgano, Charles", "d:Description": "Los Angeles based actor. Provides television and theatre credits, recent work list, photo gallery and agent information. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.charlesgorgano.com/"} +{"d:Title": "Gilbert, Marcus", "d:Description": "Features include news, photos, FAQ page and forum for this actor based in England.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.marcusgilbert.org.uk/"} +{"d:Title": "Gibby, Ross", "d:Description": "U.S. based actor. Features resume, updates and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.rossgibby.com/"} +{"d:Title": "Gosselin, Paul", "d:Description": "Information for the New York City based actor includes resume and career updates.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.paulgosselin.net/"} +{"d:Title": "Galit\u00f3-Cava, Javier", "d:Description": "Official site for this California based actor provides brief biography, photo galleries and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.javiergalitocava.com/"} +{"d:Title": "Gummersall, Devon", "d:Description": "Bi-lingual French/English fan site offers news, biography, filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://devononline.chez-alice.fr/"} +{"d:Title": "Gay, Megan", "d:Description": "Germany based actress. Features include photos, clips, voice samples and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.megangay.com/"} +{"d:Title": "Giegerich, Karin", "d:Description": "Offers biography, pictures, reviews and agent information. Curriculum vitae offered in four languages for the European based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://karingiegerich.com/"} +{"d:Title": "Grabo, Steven", "d:Description": "U.S. based actor. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://stevengrabo.misplacedcomedygroup.com/"} +{"d:Title": "Gibbs, Julian", "d:Description": "UK actor in film and theatre. Includes CV, links, photographs and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.juliangibbs.com/"} +{"d:Title": "Goffe, Rusty", "d:Description": "Filmography, current projects, a brief biography, and a list of his personal interests.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://www.rustygoffe.co.uk/"} +{"d:Title": "Giglio, Laura", "d:Description": "Offers photos and brief biography for the U.S. based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G", "url": "http://lauragiglio.tripod.com/"} +{"d:Title": "Meredy's Clark Gable Trivia Mania", "d:Description": "25 trivia questions and answers about the actor, with photographs and wallpapers for download.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gable,_Clark", "url": "http://www.meredy.com/gabletriv.htm"} +{"d:Title": "Clark Gable: The Unforgettable King", "d:Description": "Fan site includes rare photographs, vintage lobby cards and movie magazine covers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gable,_Clark", "url": "http://www.classichollywoodbios.com/clarkgable.htm"} +{"d:Title": "TVGuide.com: Clark Gable", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gable,_Clark", "url": "http://www.tvguide.com/celebrities/clark-gable/145043"} +{"d:Title": "Reel Classics: Clark Gable", "d:Description": "Profile and information on his movie career. Includes pictures, poster scans, quotes, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gable,_Clark", "url": "http://www.reelclassics.com/Actors/Gable/gable.htm"} +{"d:Title": "IMDb.com: Clark Gable", "d:Description": "Complete filmography, pictures, biography, articles, awards, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gable,_Clark", "url": "http://www.imdb.com/name/nm0000022/"} +{"d:Title": "Gabor, Eva", "d:Description": "Features filmography, biography, photographs, and links to information regarding \"Green Acres\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gabor,_Eva", "url": "http://gaborabilia.tripod.com/"} +{"d:Title": "IMDb: Eva Gabor", "d:Description": "Includes statistics, brief biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gabor,_Eva", "url": "http://www.imdb.com/name/nm0001247/"} +{"d:Title": "BBC: Actress Gabor's Condition Improves", "d:Description": "Zsa Zsa Gabor's condition is described as fair following a car crash in Los Angeles last week.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gabor,_Zsa_Zsa", "url": "http://news.bbc.co.uk/1/hi/entertainment/showbiz/2541983.stm"} +{"d:Title": "Who2 Profile: Zsa Zsa Gabor", "d:Description": "Profile of the Hungarian-born acress and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gabor,_Zsa_Zsa", "url": "http://www.who2.com/bio/zsa-zsa-gabor"} +{"d:Title": "IMDb.com : Zsa Zsa Gabor", "d:Description": "A complete filmography, biography, photos, articles, awards, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gabor,_Zsa_Zsa", "url": "http://www.imdb.com/name/nm0001248/"} +{"d:Title": "Kevin Gage, Working Actor", "d:Description": "Official site includes news, photos, movie reviews, screencaps and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gage,_Kevin", "url": "http://www.kevingage.com/"} +{"d:Title": "IMDb: Kevin Gage", "d:Description": "Filmography, brief biography, discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gage,_Kevin", "url": "http://www.imdb.com/name/nm0300824/"} +{"d:Title": "Wikipedia: Kevin Gage", "d:Description": "Brief biography, information on some of his films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gage,_Kevin", "url": "http://en.wikipedia.org/wiki/Kevin_Gage"} +{"d:Title": "TV.com: Kevin Gage", "d:Description": "Details about television episodes which featured Kevin Gage.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gage,_Kevin", "url": "http://www.tv.com/people/kevin-gage/"} +{"d:Title": "The Official Unofficial Charlotte Gainsbourg Page", "d:Description": "Biography, photos and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gainsbourg,_Charlotte", "url": "http://www.math.utah.edu/~gold/gainsbourg.html"} +{"d:Title": "Movie Habit: Charlotte Gainsbourg and Yvan Attal", "d:Description": "Interview in which husband and wife talk about the film 'My Wife is an Actress' in which they play husband and wife.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gainsbourg,_Charlotte", "url": "http://www.moviehabit.com/essays/gainsbourg_attal_02.shtml"} +{"d:Title": "The Guardian: Interview with Charlotte Gainsbourg", "d:Description": "It took more than 30 years, but Charlotte Gainsbourg has finally come to terms with fame.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gainsbourg,_Charlotte", "url": "http://www.theguardian.com/film/2002/sep/24/artsfeatures.features"} +{"d:Title": "IMDb: Charlotte Gainsbourg", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gainsbourg,_Charlotte", "url": "http://www.imdb.com/name/nm0001250/"} +{"d:Title": "IMDb: Johnny Galecki", "d:Description": "Filmography, notable TV guest appearances, pictures, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Galecki,_Johnny", "url": "http://www.imdb.com/name/nm0301959/"} +{"d:Title": "The David Gallagher Resource Forum", "d:Description": "Interactive fan forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gallagher,_David", "url": "http://dgresource.suddenlaunch.com/"} +{"d:Title": "TVGuide.com: David Gallagher", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gallagher,_David", "url": "http://www.tvguide.com/celebrities/david-gallagher/146441"} +{"d:Title": "Kevin Zegers/David Gallagher Fan Page", "d:Description": "News, pictures, and biographies of two young movie stars.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gallagher,_David", "url": "http://kevindave.tripod.com/"} +{"d:Title": "IMDb.com : David Gallagher", "d:Description": "Profile, filmography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gallagher,_David", "url": "http://www.imdb.com/name/nm0302356/"} +{"d:Title": "IMDb.com : Megan Gallagher", "d:Description": "Complete filmography, notable TV guest appearances, profile, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gallagher,_Megan", "url": "http://www.imdb.com/name/nm0302446/"} +{"d:Title": "Official Peter Gallagher Site", "d:Description": "Contains a biography, filmography, photographs, fan mail address, and answers to frequently asked questions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gallagher,_Peter", "url": "http://www.petergallagher.com/"} +{"d:Title": "IMDb.com : Peter Gallagher (I)", "d:Description": "A complete filmography, profile, photo gallery, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gallagher,_Peter", "url": "http://www.imdb.com/name/nm0001251/"} +{"d:Title": "Anna Galvin : An Unofficial Site", "d:Description": "Includes news, filmography, biography, pictures, articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Galvin,_Anna", "url": "http://www.kelesa.net/anna-galvin/index.html"} +{"d:Title": "IMDb.com : Anna Galvin", "d:Description": "Filmography, notable TV guest appearances, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Galvin,_Anna", "url": "http://www.imdb.com/name/nm0303442/"} +{"d:Title": "Gamble, Mason", "d:Description": "Offers biography, multimedia files and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gamble,_Mason", "url": "http://masongamble.fc2web.com/mason_001.htm"} +{"d:Title": "IMDb.com : Mason Gamble", "d:Description": "Short biography, filmography, photo gallery, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gamble,_Mason", "url": "http://www.imdb.com/name/nm0001253/"} +{"d:Title": "Yahoo! Movies: Michael Gambon", "d:Description": "Biography, filmography, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gambon,_Michael", "url": "http://movies.yahoo.com/person/michael-gambon/"} +{"d:Title": "IMDb: Michael Gambon", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gambon,_Michael", "url": "http://www.imdb.com/name/nm0002091/"} +{"d:Title": "IMDb.com : James Gandolfini", "d:Description": "Profile, filmography, pictures, links to articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gandolfini,_James", "url": "http://www.imdb.com/name/nm0001254/"} +{"d:Title": "All-Reviews.com - James Gandolfini", "d:Description": "Reviews of his best-known films from a period 1995-2001.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gandolfini,_James/Movies", "url": "http://www.all-reviews.com/actors-3/James-Gandolfini.htm"} +{"d:Title": "Gantastic-America", "d:Description": "Official fan site offers news and appearance information, pictures, career credits, fan art and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gant,_Robert", "url": "http://www.squidge.org/gantfans/"} +{"d:Title": "IMDb: Robert Gant", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gant,_Robert", "url": "http://www.imdb.com/name/nm0304580/"} +{"d:Title": "IMDb: Romola Garai", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garai,_Romola", "url": "http://www.imdb.com/name/nm0304801/"} +{"d:Title": "IMDb: Victor Garber", "d:Description": "Contains filmography, awards, biography, photographs, news and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garber,_Victor", "url": "http://imdb.com/name/nm0001255/"} +{"d:Title": "Greta Garbo : Legendary Actress", "d:Description": "Overview of her films, virtual tour to the life of the actress, and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garbo,_Greta", "url": "http://www.angelfire.com/ny5/gretagarbo/"} +{"d:Title": "Godess of the Silver Screen", "d:Description": "Photos, quotes, filmography, romances, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garbo,_Greta", "url": "http://matahari230.tripod.com/"} +{"d:Title": "IMDb.com : Greta Garbo", "d:Description": "Mini biography, complete filmography, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garbo,_Greta", "url": "http://imdb.com/name/nm0001256/"} +{"d:Title": "Anna Karenina: The Face of a Century", "d:Description": "A photo gallery with a brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garbo,_Greta", "url": "http://web.stanford.edu/~brooksie/Garbo/Garbo.html"} +{"d:Title": "Paula Garces Interview : Clockstoppers Movie", "d:Description": "The actress talks about her latest film.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garc\u00e9s,_Paula", "url": "http://movies.about.com/library/weekly/aa032302b.htm"} +{"d:Title": "IMDb.com : Paula Garc\u00e9s", "d:Description": "Trivia, filmography, contact information, weekly TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garc\u00e9s,_Paula", "url": "http://www.imdb.com/name/nm0305519/"} +{"d:Title": "IMDb.com : Adam Garcia", "d:Description": "Biography, filmography, photo gallery, articles, TV schedule, contact information, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garcia,_Adam", "url": "http://www.imdb.com/name/nm0305081/"} +{"d:Title": "Official Andr\u00e9s Garc\u00eda Website, The", "d:Description": "Offers film credits and photographs combined with his career real estate.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garc\u00eda,_Andr\u00e9s", "url": "http://www.andresgarcia.com/"} +{"d:Title": "IMDb.com : Andr\u00e9s Garcia", "d:Description": "Profile, complete filmography, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garc\u00eda,_Andr\u00e9s", "url": "http://www.imdb.com/name/nm0305955/"} +{"d:Title": "IMDb: Jorge Garcia", "d:Description": "Provides filmography, trivia, and statistics.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garcia,_Jorge", "url": "http://www.imdb.com/name/nm0306201/"} +{"d:Title": "Wikipedia: Jorge Garcia", "d:Description": "Brief biography includes picture.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garcia,_Jorge", "url": "http://en.wikipedia.org/wiki/Jorge_Garcia"} +{"d:Title": "USA Today: Dude, he's a real fan favorite", "d:Description": "Jorge Garcia discusses his character, Hurley, and several episodes of 'Lost'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garcia,_Jorge", "url": "http://usatoday30.usatoday.com/life/television/news/2005-03-01-lost-hurley_x.htm"} +{"d:Title": "Garcia, Franz Patrick Velasco", "d:Description": "Fansite with biography, pictures, news, credits, awards and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garcia,_Patrick", "url": "http://www.angelfire.com/md/F1LaPiNaYg/index.html"} +{"d:Title": "IMDb.com : Patrick Garcia", "d:Description": "Biography, filmography, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garcia,_Patrick", "url": "http://www.imdb.com/name/nm0305374/"} +{"d:Title": "Ava Gardner Museum", "d:Description": "Official site of the museum located in Smithfield, North Carolina offers news, biography, filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gardner,_Ava", "url": "http://www.avagardner.org/"} +{"d:Title": "TVGuide.com: Ava Gardner", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gardner,_Ava", "url": "http://www.tvguide.com/celebrities/ava-gardner/140971"} +{"d:Title": "IMDb.com : Ava Gardner", "d:Description": "Filmography, biography, photos, articles, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gardner,_Ava", "url": "http://www.imdb.com/name/nm0001257/"} +{"d:Title": "IMDb.com : John Garfield", "d:Description": "Filmography, biography, pictures, nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garfield,_John", "url": "http://www.imdb.com/name/nm0002092/"} +{"d:Title": "IMDb.com : Jennifer Garner", "d:Description": "Profile, filmography, photographs, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garner,_Jennifer", "url": "http://www.imdb.com/name/nm0004950/"} +{"d:Title": "Sandra Grabman: Peggy Ann Garner", "d:Description": "Biography, filmography, and fans' comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garner,_Peggy_Ann", "url": "http://www.angelfire.com/stars3/peggyann/biography.html"} +{"d:Title": "TVGuide.com: Peggy Ann Garner", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garner,_Peggy_Ann", "url": "http://www.tvguide.com/celebrities/peggy-ann-garner/169666"} +{"d:Title": "IMDb.com: Peggy Ann Garner", "d:Description": "Profile, filmography, pictures, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garner,_Peggy_Ann", "url": "http://www.imdb.com/name/nm0307750/"} +{"d:Title": "TV.com: Peggy Ann Garner", "d:Description": "Biography, filmography, and guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garner,_Peggy_Ann", "url": "http://www.tv.com/people/peggy-ann-garner/"} +{"d:Title": "My Presley Gallery : Teri Garr", "d:Description": "Profile, filmography, TV guest appearances, and movie stills.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garr,_Teri", "url": "http://www.angelfire.com/ca4/mypresleygallery/page49tg.html"} +{"d:Title": "The Movie Times : Teri Garr", "d:Description": "Profile, filmography, and box office information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garr,_Teri", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?tgarr"} +{"d:Title": "IMDb.com : Teri Garr", "d:Description": "Profile, complete filmography, photo gallery, articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garr,_Teri", "url": "http://www.imdb.com/name/nm0000414/"} +{"d:Title": "Grave of Greer Garson", "d:Description": "Profile of the actress, and photos of her grave.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garson,_Greer", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2465"} +{"d:Title": "TVGuide.com: Greer Garson", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garson,_Greer", "url": "http://www.tvguide.com/celebrities/greer-garson/152548"} +{"d:Title": "IMDb.com : Greer Garson", "d:Description": "Biography, filmography, picture gallery, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garson,_Greer", "url": "http://www.imdb.com/name/nm0002093/"} +{"d:Title": "IMDb.com : Jennie Garth", "d:Description": "Filmography, trivia, photos, articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Garth,_Jennie", "url": "http://www.imdb.com/name/nm0001259/"} +{"d:Title": "IMDb.com : Ana Gasteyer", "d:Description": "Filmography, biography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gasteyer,_Ana", "url": "http://www.imdb.com/name/nm0309430/"} +{"d:Title": "TVGuide.com: Dan Gauthier", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gauthier,_Dan", "url": "http://www.tvguide.com/celebrities/dan-gauthier/145823"} +{"d:Title": "IMDb.com : Dan Gauthier", "d:Description": "Complete filmography, notable TV guest appearances, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gauthier,_Dan", "url": "http://www.imdb.com/name/nm0310248/"} +{"d:Title": "John Gavin : So Suave", "d:Description": "Full biography, filmography, downloads, rare photographs, and some audio files.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gavin,_John", "url": "http://www.meredy.com/johngavin/"} +{"d:Title": "IMDb: John Gavin", "d:Description": "Short biography, filmography, photographs, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gavin,_John", "url": "http://www.imdb.com/name/nm0001260/"} +{"d:Title": "Rebecca Gayheart Fanpage", "d:Description": "Fansite with pictures, videos, and articles about Rebecca.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gayheart,_Rebecca", "url": "http://www.rebecca-gayheart.de/"} +{"d:Title": "IMDb.com : Rebecca Gayheart", "d:Description": "Trivia, filmography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gayheart,_Rebecca", "url": "http://www.imdb.com/name/nm0001261/"} +{"d:Title": "IMDb: Janet Gaynor", "d:Description": "Photographs, profile, complete filmography, and related data.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gaynor,_Janet", "url": "http://www.imdb.com/name/nm0310980/"} +{"d:Title": "Lukeson 2001 : Anthony Geary/Maurice Benard Fan Site", "d:Description": "Fan site of \"General Hospital\" stars. Includes photo gallery, trivia, and transcripts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Geary,_Anthony", "url": "http://www.angelfire.com/ma/LazyLobsterPub/lukeson.html"} +{"d:Title": "Cool Hand Luke: A Tony Geary Website", "d:Description": "Includes biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Geary,_Anthony", "url": "http://members.tripod.com/~anthony_geary/index2.html"} +{"d:Title": "IMDb.com : Anthony Geary", "d:Description": "Short biography, filmography, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Geary,_Anthony", "url": "http://www.imdb.com/name/nm0311270/"} +{"d:Title": "IMDb.com : Jeff Geddis", "d:Description": "Short biography, filmography, weekly TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Geddis,_Jeff", "url": "http://www.imdb.com/name/nm0311473/"} +{"d:Title": "Jason Gedrick Fan Page", "d:Description": "Includes profile, filmography, several photo galleries, and links to other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gedrick,_Jason", "url": "http://members.tripod.com/~tracy_lyn/jason_index.htm"} +{"d:Title": "IMDb.com : Jason Gedrick", "d:Description": "Profile, filmography, photo gallery, articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gedrick,_Jason", "url": "http://www.imdb.com/name/nm0001263/"} +{"d:Title": "Abstracts.net : Sarah Michelle Gellar", "d:Description": "News, biography, filmography, games, polls, and links to photo resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle", "url": "http://abstracts.net/sarah-michelle-gellar/"} +{"d:Title": "PopEntertainment.com: Sarah Michelle Gellar Gets Under the Skin in \"The Grudge.\"", "d:Description": "The actress speaks with Brad Balfour about her role in the remake of the Japanese horror film.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle", "url": "http://www.popentertainment.com/gellar.htm"} +{"d:Title": "IMDb.com : Sarah Michelle Gellar", "d:Description": "Mini biography, complete filmography, photographs, news articles, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle", "url": "http://www.imdb.com/name/nm0001264/"} +{"d:Title": "Gellar, Sarah Michelle", "d:Description": "Picture galleries, contact information, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Fan_Pages", "url": "http://home.ipoline.com/~legends/insatiable/smg/"} +{"d:Title": "The S-M-Gellar Domain", "d:Description": "Biography, sounds, pictures, awards, banners, calculator, and Buffy information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Fan_Pages", "url": "http://s-m-gellar.8m.com/menu.htm"} +{"d:Title": "Sarah Michelle Gellar Zone", "d:Description": "Biography, filmography, news and gossip, pictures, and information on \"Buffy\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Fan_Pages", "url": "http://nats2000uk.tripod.com/sarahmichellegellerzone/"} +{"d:Title": "Casanova's Sarah Michelle Gellar Tribute", "d:Description": "Includes a biography, 50 pages of pictures, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Fan_Pages", "url": "http://johnpaul1021.tripod.com/mysarahgellerpage/"} +{"d:Title": "Undying Celebrities : Sarah Michelle Gellar", "d:Description": "Photographs in a thumbnailed image gallery, a biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Fan_Pages", "url": "http://www.undying.com/celeb/Sarah_Michelle_Gellar/index.htm"} +{"d:Title": "Sarah Michelle Gellar Paridise", "d:Description": "Information, pictures, biography, downloads, news about Buffy, chat, and tips on how to play the Buffy games.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Fan_Pages", "url": "http://www.angelfire.com/sc/ric/"} +{"d:Title": "SMG World", "d:Description": "Includes pictures, wallpaper, biography, filmography, and a quiz.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Fan_Pages", "url": "http://www.angelfire.com/sk/smgworld/index2.html"} +{"d:Title": "S.M.G. Pictures and Wallpapers", "d:Description": "Includes 9 image galleries, wallpapers, \"Buffy\" clips, games, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Image_Galleries", "url": "http://www.angelfire.com/mi4/mike1/"} +{"d:Title": "All Pics : Sarah Michelle Gellar", "d:Description": "Includes about 50 thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gellar,_Sarah_Michelle/Image_Galleries", "url": "http://allpics.0catch.com/gellar_sarah_michelle/"} +{"d:Title": "IMDb.com : Denise Gentile", "d:Description": "A complete filmography and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gentile,_Denise", "url": "http://www.imdb.com/name/nm0313063/"} +{"d:Title": "Stephen Geoffreys", "d:Description": "Background information on the actor, a couple of pictures from his films, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Geoffreys,_Stephen", "url": "http://www.angelfire.com/or/stephengeoffreys/"} +{"d:Title": "IMDb.com : Stephen Geoffreys", "d:Description": "Information on actor known also as Sam Ritter. Includes trivia, filmography, photographs, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Geoffreys,_Stephen", "url": "http://www.imdb.com/name/nm0313267/"} +{"d:Title": "Melissa George", "d:Description": "Official site. Includes biography, filmography, photo gallery, multimedia, articles and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/George,_Melissa", "url": "http://www.melissageorge.co.uk/"} +{"d:Title": "Wikipedia: Melissa George", "d:Description": "Includes biographical information, filmography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/George,_Melissa", "url": "http://en.wikipedia.org/wiki/Melissa_George"} +{"d:Title": "IMDb.com : Melissa George", "d:Description": "Trivia, filmography, photo gallery, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/George,_Melissa", "url": "http://www.imdb.com/name/nm0313534/"} +{"d:Title": "Richard Gere's Hollywood", "d:Description": "L.A. locations related to the actor's life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gere,_Richard", "url": "http://seeing-stars.com/StarIndexes/RichardGere.shtml"} +{"d:Title": "Getting Into Gere 3", "d:Description": "Fan page containing screen captures, scanned pictures and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gere,_Richard", "url": "http://www.stormpages.com/getintogere3/index.html"} +{"d:Title": "Thespian Net : Richard Gere", "d:Description": "Biography, film and television credits, awards, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gere,_Richard", "url": "http://www.thespiannet.com/actors/G/gere_richard/"} +{"d:Title": "IMDb.com : Richard Gere", "d:Description": "Biography, filmography, photo gallery, articles, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gere,_Richard", "url": "http://www.imdb.com/name/nm0000152/"} +{"d:Title": "IMDb.com : Galen Gering", "d:Description": "Filmography, trivia, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gering,_Galen", "url": "http://www.imdb.com/name/nm0004955/"} +{"d:Title": "Gina Gershon Galleries", "d:Description": "Five pages of photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gershon,_Gina", "url": "http://www.angelfire.com/ar/punkass/page63.gina.html"} +{"d:Title": "Gina Gershon Sanctuary", "d:Description": "Includes biography, career overview, downloads, galleries, e-cards, polls, and message forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gershon,_Gina", "url": "http://softbutchdyke.tripod.com/"} +{"d:Title": "IMDb.com : Gina Gershon", "d:Description": "Filmography, profile, photographs, articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gershon,_Gina", "url": "http://www.imdb.com/name/nm0000153/"} +{"d:Title": "Gina Gershon - Did The Right Thing", "d:Description": "Image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gershon,_Gina", "url": "http://www.geocities.jp/ecoshistar/gina3.html"} +{"d:Title": "Thespian Net : Gina Gershon", "d:Description": "Profile, film credits, pictures, fan comments, and links to other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gershon,_Gina", "url": "http://www.thespiannet.com/actresses/G/gershon_gina/gina_gershon.shtml"} +{"d:Title": "Thespian Net : Jami Gertz", "d:Description": "A short biography, film credits, articles, fan comments, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gertz,_Jami", "url": "http://www.thespiannet.com/actresses/G/gertz_jami/jami_gertz.shtml"} +{"d:Title": "IMDb.com : Jami Gertz", "d:Description": "Profile, filmography, photo gallery, articles, contact information, weekly TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gertz,_Jami", "url": "http://www.imdb.com/name/nm0000415/"} +{"d:Title": "Ricky Gervais", "d:Description": "Biography from an '11 O'Clock Show' fansite.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gervais,_Ricky", "url": "http://www.angelfire.com/tv/11Show/Ricky/Information.html"} +{"d:Title": "Chortle: Ricky Gervais", "d:Description": "Biography, feature, and news. Also user comments on the TV comedy 'The Office'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gervais,_Ricky", "url": "http://www.chortle.co.uk/comics/comics.html?http&&&www.chortle.co.uk/comics/rgervais.html"} +{"d:Title": "BBC News: Why Ricky Gervais loves Office life", "d:Description": "Comedian Ricky Gervais - star of hit BBC comedy The Office - tells BBC News Online he is a natural sloth looking for an easy life.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gervais,_Ricky", "url": "http://news.bbc.co.uk/1/hi/1778481.stm"} +{"d:Title": "Guardian Unlimited: Did you miss me?", "d:Description": "On the eve of its second series, Sally Vincent meets Ricky Gervais, boss of The Office.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gervais,_Ricky", "url": "http://www.theguardian.com/culture/2002/sep/07/artsfeatures.comedy"} +{"d:Title": "Estelle Getty : Maverick Mother and TV Trailblazer", "d:Description": "Interview with the actress by Kira Albin from 1995.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Getty,_Estelle", "url": "http://www.grandtimes.com/getty.html"} +{"d:Title": "IMDb.com : Estelle Getty", "d:Description": "Biography, filmography, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Getty,_Estelle", "url": "http://www.imdb.com/name/nm0001268/"} +{"d:Title": "IMDb.com : Paul Giamatti", "d:Description": "Biography, complete filmography, photographs, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Giamatti,_Paul", "url": "http://www.imdb.com/name/nm0316079/"} +{"d:Title": "Blunt Review: Paul Giamatti", "d:Description": "Emily Blunt interviews the star of HBO Films' \"American Splendor\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Giamatti,_Paul", "url": "http://www.bluntreview.com/reviews/giamatti.htm"} +{"d:Title": "Exclusive Paul Giamatti: Sideways Interview at The Toronto Film Festival", "d:Description": "The star takes his career \"Sideways\" in this article by Paul Fischer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Giamatti,_Paul", "url": "http://www.filmmonthly.com/Profiles/Articles/PaulGiamatti/PaulGiamatti.html"} +{"d:Title": "Guardian Unlimited Film: Interviews: 'It's All So Freaky'", "d:Description": "Paul Giamatti, star of \"Sideways\" and \"American Splendor\", talks to John Patterson about fame, wine-tasting and how people want him to listen to their singing fish.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Giamatti,_Paul", "url": "http://www.theguardian.com/film/2005/jan/14/2"} +{"d:Title": "Yahoo! Movies: Giancarlo Giannini", "d:Description": "Contains a biography, filmography and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Giannini,_Giancarlo", "url": "http://movies.yahoo.com/person/giancarlo-giannini/"} +{"d:Title": "IMDb.com : Giancarlo Giannini", "d:Description": "Filmography, profile, photographs, awards and nominations, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Giannini,_Giancarlo", "url": "http://www.imdb.com/name/nm0316284/"} +{"d:Title": "Cynthia Gibb Online", "d:Description": "Fan page with biography, photographs, and past projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gibb,_Cynthia", "url": "http://milma.tripod.com/"} +{"d:Title": "IMDb.com : Cynthia Gibb", "d:Description": "Filmography, short biography, photo gallery, TV schedule, titles for sale, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gibb,_Cynthia", "url": "http://www.imdb.com/name/nm0001269/"} +{"d:Title": "Internet Movie Database: Helen Gibson", "d:Description": "Filmography, awards received, brief biography, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gibson,_Helen", "url": "http://www.imdb.com/name/nm0316993/"} +{"d:Title": "BBC News: Theatres go dark for Gielgud", "d:Description": "Theatre audiences across London's West End remember acting legend Sir John Gielgud, who has died aged 96.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gielgud,_John", "url": "http://news.bbc.co.uk/1/hi/uk/758948.stm"} +{"d:Title": "IMDb: John Gielgud", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gielgud,_John", "url": "http://www.imdb.com/name/nm0000024/"} +{"d:Title": "Melissa Gilbert as Anna Sheridan", "d:Description": "Images of the actress, mostly from TV series \"Babylon 5\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gilbert,_Melissa", "url": "http://www.maestravida.com/weinwalk/wifekabob.html"} +{"d:Title": "IMDb.com : Melissa Gilbert", "d:Description": "Profile, complete filmography, photographs, news articles, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gilbert,_Melissa", "url": "http://www.imdb.com/name/nm0001271/"} +{"d:Title": "Yahoo Groups: Sara Gilbert", "d:Description": "Subscribe to an email group to exchange facts about Sara Gilbert.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gilbert,_Sara", "url": "http://groups.yahoo.com/group/saragilbert/"} +{"d:Title": "TVGuide.com: Sara Gilbert", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gilbert,_Sara", "url": "http://www.tvguide.com/celebrities/sara-gilbert/173864"} +{"d:Title": "IMDb: Sara Gilbert", "d:Description": "Filmography, biography and notable TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gilbert,_Sara", "url": "http://www.imdb.com/name/nm0004960/"} +{"d:Title": "IMDb.com : Sean Gilder", "d:Description": "Filmography, notable TV guest appearances, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gilder,_Sean", "url": "http://www.imdb.com/name/nm0318409/"} +{"d:Title": "IMDb.com : Aidan Gillen", "d:Description": "Profile, filmography, photographs, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gillen,_Aidan", "url": "http://www.imdb.com/name/nm0318821/"} +{"d:Title": "Jed Gillin's Site", "d:Description": "Official homepage of the actor best known for his role as the voice of President Kennedy in Forrest Gump.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gillin,_Jed", "url": "http://home.earthlink.net/~jed816"} +{"d:Title": "IMDb.com : Jed Gillin", "d:Description": "Filmography, profile, contact address of the agent, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gillin,_Jed", "url": "http://www.imdb.com/name/nm0319236/"} +{"d:Title": "IMDb.com : Peri Gilpin", "d:Description": "Filmography, biography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gilpin,_Peri", "url": "http://www.imdb.com/name/nm0004963/"} +{"d:Title": "Yahoo Groups: Saint Annabeth", "d:Description": "An Annabeth Gish fan club with message board, chat room, and a photo album.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gish,_Annabeth", "url": "http://groups.yahoo.com/group/saint_annabeth/"} +{"d:Title": "TVGuide.com: Annabeth Gish", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gish,_Annabeth", "url": "http://www.tvguide.com/celebrities/annabeth-gish/140125"} +{"d:Title": "IMDb: Annabeth Gish", "d:Description": "Includes filmography, biographical information, trivia, an image gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gish,_Annabeth", "url": "http://www.imdb.com/name/nm0001272/"} +{"d:Title": "IMDb.com : Robin Givens", "d:Description": "Short biography, filmography, photographs, news articles, awards, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Givens,_Robin", "url": "http://www.imdb.com/name/nm0002101/"} +{"d:Title": "IMDb.com : Leslie Glass", "d:Description": "Database entry with filmography, profile, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glass,_Leslie", "url": "http://www.imdb.com/name/nm0321969/"} +{"d:Title": "IMDb: Summer Glau", "d:Description": "Includes biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glau,_Summer", "url": "http://www.imdb.com/name/nm1132359/"} +{"d:Title": "IMDb.com : Eugene Robert Glazer", "d:Description": "Filmography, notable TV guest appearances, weekly TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glazer,_Eugene_Robert", "url": "http://www.imdb.com/name/nm0322239/"} +{"d:Title": "Joanna Gleason Fan Group", "d:Description": "Discussion group requires registration.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gleason,_Joanna", "url": "http://movies.groups.yahoo.com/group/joanna_gleason/"} +{"d:Title": "Joanna Gleason.com Fan Site", "d:Description": "Provides photos, biography, news and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gleason,_Joanna", "url": "http://www.joannagleason.com/"} +{"d:Title": "TVGuide.com: Joanna Gleason", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gleason,_Joanna", "url": "http://www.tvguide.com/celebrities/joanna-gleason/157392"} +{"d:Title": "IMDb.com : Joanna Gleason", "d:Description": "Biographical information, filmography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gleason,_Joanna", "url": "http://www.imdb.com/name/nm0322306/"} +{"d:Title": "Internet Movie Database: Philip Glenister", "d:Description": "Film and TV credits, biographical information, quotes and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenister,_Philip", "url": "http://www.imdb.com/name/nm0322562/"} +{"d:Title": "Intense - A Philip Glenister Fan Gallery", "d:Description": "Screen captures, wallpapers and list of characters he's played.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenister,_Philip", "url": "http://www.severed-dreams.net/glenister/index.php"} +{"d:Title": "Philip Glenister - The Official Site", "d:Description": "News, biography, fans' comments, press, projects, pictures and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenister,_Philip", "url": "http://www.philipglenister.com/"} +{"d:Title": "Thespian Net : Scott Glenn", "d:Description": "Film credits, articles, pictures, fan comments, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenn,_Scott", "url": "http://www.thespiannet.com/actors/G/glenn_scott/index.shtml"} +{"d:Title": "Actor Scott Glenn Fan Page", "d:Description": "Includes biography, filmography, news and gossip, forum, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenn,_Scott", "url": "http://debbi25311.tripod.com/scottglenn"} +{"d:Title": "All-Reviews.com : Scott Glenn", "d:Description": "Selected filmography from 1983-2002 with film descriptions and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenn,_Scott", "url": "http://www.all-reviews.com/actors-5/Scott-Glenn.htm"} +{"d:Title": "TVGuide.com: Scott Glenn", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenn,_Scott", "url": "http://www.tvguide.com/celebrities/scott-glenn/174035"} +{"d:Title": "IMDb.com : Scott Glenn", "d:Description": "Profile, filmography, photo gallery, news articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Glenn,_Scott", "url": "http://www.imdb.com/name/nm0001277/"} +{"d:Title": "Daniel Goddard's Appreciation Site", "d:Description": "Includes biography, filmography, gallery and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Goddard,_Daniel", "url": "http://danielgoddard1.tripod.com/"} +{"d:Title": "Going, Joanna", "d:Description": "Pictures, articles and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Going,_Joanna", "url": "http://free-zg.htnet.hr/ddcavar/index.html"} +{"d:Title": "Thespian Net Presents Joanna Going", "d:Description": "Contains a biography and filmography, with links to articles, fan pages and other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Going,_Joanna", "url": "http://www.thespiannet.com/actresses/G/going_joanna/"} +{"d:Title": "Welcome to: Jeff Goldblum", "d:Description": "A fan site with biography, photos, e-cards and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Goldblum,_Jeff", "url": "http://pulidok.tripod.com/index.html"} +{"d:Title": "The Movie Times: Jeff Goldblum", "d:Description": "Pictures, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Goldblum,_Jeff", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jgoldblum"} +{"d:Title": "The Original Jeff Goldblum Webring", "d:Description": "Directory of sites devoted to the star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Goldblum,_Jeff", "url": "http://www.webring.org/hub?ring=jeffring1"} +{"d:Title": "IMDb: Jeff Goldblum", "d:Description": "Photo gallery, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Goldblum,_Jeff", "url": "http://www.imdb.com/name/nm0000156/"} +{"d:Title": "Actress: Valeria Golino", "d:Description": "Box office information on all her movies, links, pictures, and message area.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Golino,_Valeria", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?vgolino"} +{"d:Title": "Thespian Net Presents Cuba Gooding Jr.", "d:Description": "Offers biography, pictures, interviews, video-caps and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gooding,_Cuba,_Jr.", "url": "http://www.thespiannet.com/actors/G/goodingjr_cuba/index.shtml"} +{"d:Title": "IMDb: Cuba Gooding Jr.", "d:Description": "Includes filmography, awards, trivia, quotes, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gooding,_Cuba,_Jr.", "url": "http://www.imdb.com/name/nm0000421/"} +{"d:Title": "New York Times Movies: John Goodman", "d:Description": "Provides biography, filmography, awards list, news and reviews .", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Goodman,_John", "url": "http://www.nytimes.com/movies/person/27679/John-Goodman"} +{"d:Title": "IMDb: Claire Goose", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Goose,_Claire", "url": "http://www.imdb.com/name/nm0329647/"} +{"d:Title": "IMDb - Gale Gordon", "d:Description": "Filmography, biographical note.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gordon,_Gale", "url": "http://www.imdb.com/name/nm0330198/"} +{"d:Title": "Yahoo Groups: Joseph Gordon Levitt Club", "d:Description": "Message board, chat, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gordon-Levitt,_Joseph", "url": "http://movies.groups.yahoo.com/group/josephgordonlevittclub/"} +{"d:Title": "IMDb.com: Joseph Gordon-Levitt", "d:Description": "Filmography, biography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gordon-Levitt,_Joseph", "url": "http://www.imdb.com/name/nm0330687/"} +{"d:Title": "TVGuide.com: Frank Gorshin", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gorshin,_Frank", "url": "http://www.tvguide.com/celebrities/frank-gorshin/150606"} +{"d:Title": "IMDb: Frank Gorshin", "d:Description": "Actor's biography, filmography, list of TV guest appearances, photo gallery and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gorshin,_Frank", "url": "http://www.imdb.com/name/nm0331319/"} +{"d:Title": "Theater News Feature: Burns Returns", "d:Description": "Michael Buckley's feature article about how Frank Gorshin and Rupert Holmes brought George Burns to Broadway in \"Say Goodnight Gracie\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gorshin,_Frank", "url": "http://www.theatermania.com/new-york-city-theater/news/10-2002/burns-returns_2644.html"} +{"d:Title": "Mark-Paul Gosselaar.net", "d:Description": "Vital statistics, filmography, photos, links, articles and a fan club.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gosselaar,_Mark-Paul", "url": "http://www.markpaulgosselaar.net/"} +{"d:Title": "Actor Profile: Elliott Gould", "d:Description": "Profile of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gould,_Elliott", "url": "http://www.angelfire.com/oh2/writer/elliottgould.html"} +{"d:Title": "TVGuide.com: Elliott Gould", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gould,_Elliott", "url": "http://www.tvguide.com/celebrities/elliott-gould/149121"} +{"d:Title": "IMDb: Elliott Gould", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gould,_Elliott", "url": "http://www.imdb.com/name/nm0001285/"} +{"d:Title": "Betty Grable Online", "d:Description": "Galleries, FAQ, film and TV credits, and wallpaper.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grable,_Betty", "url": "http://grableonline.tripod.com/"} +{"d:Title": "Gracen, Elizabeth", "d:Description": "Mailing list for discussion of the actress and her current projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gracen,_Elizabeth", "url": "http://movies.groups.yahoo.com/group/elizabethgracen/"} +{"d:Title": "TVGuide.com: Elizabeth Gracen", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gracen,_Elizabeth", "url": "http://www.tvguide.com/celebrities/elizabeth-gracen/148977"} +{"d:Title": "IMDb: Elizabeth Gracen", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gracen,_Elizabeth", "url": "http://www.imdb.com/name/nm0001286/"} +{"d:Title": "TVGuide.com: Heather Graham", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather", "url": "http://www.tvguide.com/celebrities/heather-graham/153357"} +{"d:Title": "IMDb: Heather Graham (I)", "d:Description": "Filmography, TV credits, trivia, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather", "url": "http://www.imdb.com/name/nm0001287/"} +{"d:Title": "CNN - Heather Graham Earns Adoration as Felicity Shagwell", "d:Description": "Article by Paul Vercammen.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/Movies/9906/15/heather.graham/"} +{"d:Title": "Heather Graham on Digital Hit", "d:Description": "A look at the life and career of the star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Articles_and_Interviews", "url": "http://www.digitalhit.com/cr/heathergraham"} +{"d:Title": "The Numbers - Heather Graham", "d:Description": "Box office news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Articles_and_Interviews", "url": "http://www.the-numbers.com/person/1120401-Heather-Graham"} +{"d:Title": "Canoe: Heather Graham", "d:Description": "Collection of news articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/G/Graham_Heather/"} +{"d:Title": "Aaron's Bombshell Picture Gallery Of Heather Graham", "d:Description": "Collection of thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Image_Galleries", "url": "http://www.angelfire.com/ia/HeatherGraham/index.html"} +{"d:Title": "All Pics: Heather Graham", "d:Description": "Includes thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Image_Galleries", "url": "http://allpics.0catch.com/graham_heather/"} +{"d:Title": "Heather Graham Gallery", "d:Description": "Includes thumbnailed pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Image_Galleries", "url": "http://fimages2.tripod.com/heather/heather01.htm"} +{"d:Title": "The-Movie-Times: Heather Graham", "d:Description": "Box office information, vital statistics, images, message board, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Image_Galleries", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?hgraham"} +{"d:Title": "Thespian Net - Heather Graham", "d:Description": "Filmography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Image_Galleries", "url": "http://www.thespiannet.com/actresses/G/graham_heather/heather_graham.shtml"} +{"d:Title": "Graham Crackers", "d:Description": "Includes a picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Heather/Image_Galleries", "url": "http://hartboy.tripod.com/queens/hg.html"} +{"d:Title": "Super Hottie - Lauren Graham", "d:Description": "Short biography, filmography, quotes and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Lauren", "url": "http://www.s515.com/lauren_graham.html"} +{"d:Title": "Internet Movie Database: Lauren Graham", "d:Description": "List of credits, biography, award nominations, pictures and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Lauren", "url": "http://www.imdb.com/name/nm0334179/"} +{"d:Title": "Lauren Graham Archive Forum", "d:Description": "Offers interactive fan message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Lauren", "url": "http://lgarchive.proboards.com/"} +{"d:Title": "Rotten Tomatoes: Lauren Graham", "d:Description": "Includes filmography, image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Lauren", "url": "http://www.rottentomatoes.com/celebrity/lauren_graham/"} +{"d:Title": "IMDb: Marcus Graham", "d:Description": "Offers full filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graham,_Marcus", "url": "http://www.imdb.com/name/nm0334198/"} +{"d:Title": "NancyFan.com", "d:Description": "Includes personal appearance information, career credits, photos and transcripts for actress Nancy Lee Grahn.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grahn,_Nancy_Lee", "url": "http://nancyfan.com/"} +{"d:Title": "The Official Nancy Lee Grahn Website", "d:Description": "Features biography, filmography, media center and messageboard.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grahn,_Nancy_Lee", "url": "http://www.nancyleegrahn.com/"} +{"d:Title": "Grahn, Nancy Lee", "d:Description": "New York based actress. Offers biography, career credits, photos and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grahn,_Nancy_Lee", "url": "http://nlgonline.tripod.com/"} +{"d:Title": "Cary Grant - Cosmopolis", "d:Description": "Biography, films and DVDs of Archibald Alexander Leach.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.cosmopolis.ch/english/cosmo14/carygrant.htm"} +{"d:Title": "Cary Grant's Hollywood", "d:Description": "Places in and around Los Angeles and Hollywood related to this actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.seeing-stars.com/StarIndexes/CaryGrant.shtml"} +{"d:Title": "Images - The Glory of Cary Grant", "d:Description": "An essay by Elizabeth Abele on the actor's screen presence.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.imagesjournal.com/issue05/features/carygrant.htm"} +{"d:Title": "Meredy's Cary Grant Trivia Mania", "d:Description": "How much do you know about this classic star of the silver screen?", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.meredy.com/carytriv.htm"} +{"d:Title": "Archie Leach.com - The Story of Cary Grant", "d:Description": "Site about the musical \"Archie\", based on the life of this famous actor before he became a Hollywood star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.archieleach.com/"} +{"d:Title": "The Cary Grant Statue Campaign", "d:Description": "A campaign for a memorial to this famous Hollywood star to be erected in his home town of Bristol, England.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.carygrant.net/statue.html"} +{"d:Title": "Grant, Cary", "d:Description": "Includes biography. photographs and vintage movie magazine covers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.classichollywoodbios.com/carygrant.htm"} +{"d:Title": "Internet Movie Database", "d:Description": "Includes filmography, a biography, news articles, and other details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Cary", "url": "http://www.imdb.com/name/nm0000026/"} +{"d:Title": "IMDb: David Marshall Grant", "d:Description": "Provides biography, trivia, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_David_Marshall", "url": "http://www.imdb.com/name/nm0335344/"} +{"d:Title": "IMDb: Faye Grant", "d:Description": "Includes filmography, profile, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Faye", "url": "http://www.imdb.com/name/nm0002109/"} +{"d:Title": "IMDb: Hugh Grant", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Hugh", "url": "http://www.imdb.com/name/nm0000424/"} +{"d:Title": "Grant, Richard E.", "d:Description": "Biography, filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Richard_E.", "url": "http://www.tmaw.co.uk/richardeg.html"} +{"d:Title": "The REG Temple", "d:Description": "Provides biography, interviews, filmography, articles and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Richard_E.", "url": "http://www.richard-e-grant.com/"} +{"d:Title": "IMDb: Richard E. Grant", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grant,_Richard_E.", "url": "http://www.imdb.com/name/nm0001290/"} +{"d:Title": "Rupert Graves Online", "d:Description": "Official website for this English actor. Includes a detailed filmography, large galleries, news, chat, message board, sound files and competitions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graves,_Rupert", "url": "http://www.rupert-graves.com/"} +{"d:Title": "TVGuide.com: Rupert Graves", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graves,_Rupert", "url": "http://www.tvguide.com/celebrities/rupert-graves/173271"} +{"d:Title": "IMDb: Rupert Graves (I)", "d:Description": "Filmography and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Graves,_Rupert", "url": "http://www.imdb.com/name/nm0001291/"} +{"d:Title": "Yahoo Groups - Erin Gray Fan Site", "d:Description": "Fan page features discussion, news, photos, and appearance information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gray,_Erin", "url": "http://movies.groups.yahoo.com/group/erin_gray/"} +{"d:Title": "Robson Green: The Northern Lights Web Site", "d:Description": "Offers news, photos and career credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Green,_Robson", "url": "http://www.robsongreen.com/"} +{"d:Title": "American Robson Green Site, The", "d:Description": "Photographs, series descriptions and episode guides.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Green,_Robson", "url": "http://www.angelfire.com/ut/westbound341/robsongreen.html"} +{"d:Title": "IMDb: Robson Green", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Green,_Robson", "url": "http://www.imdb.com/name/nm0338292/"} +{"d:Title": "Fallen Angels Seth Green Web Site", "d:Description": "Fan's personal site.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Green,_Seth", "url": "http://www.angelfire.com/sd/fallenangels/seth.html"} +{"d:Title": "The Oz Gateway 5.0", "d:Description": "This Seth Green fan site contains features including a screen capture index, transcript archive, fan fiction archive, mailing lists and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Green,_Seth", "url": "http://members.tripod.com/~Baby_Fox/seth.html"} +{"d:Title": "Seth Green", "d:Description": "Official site with news, appearances, filmography, archived articles and interviews, image gallery, audio and video clips, wallpapers, message board, trivia, and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Green,_Seth", "url": "http://www.sethgreen.com/"} +{"d:Title": "IMDb.com : Kevin Scott Greer", "d:Description": "Biography, filmography, weekly TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greer,_Kevin_Scott", "url": "http://www.imdb.com/name/nm0990497/"} +{"d:Title": "Rio's Attic - Stand By Me", "d:Description": "Brief mention of actor Bradley Gregg.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gregg,_Bradley", "url": "http://www.river-phoenix.org/filmography/crusade/page2/"} +{"d:Title": "Sitcomsonline.com", "d:Description": "Picture with Bradley Gregg, Rick Schroder, Adam Rich and Matthew Perry from Silver Spoons.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gregg,_Bradley", "url": "http://www.sitcomsonline.com/perrystoneschroderrichbradleygregg.html"} +{"d:Title": "IMDb: Bradley Gregg", "d:Description": "Provides biography, trivia, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gregg,_Bradley", "url": "http://www.imdb.com/name/nm0339562/"} +{"d:Title": "IMDb: James Gregory", "d:Description": "Provides biography, trivia, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gregory,_James", "url": "http://www.imdb.com/name/nm0339834/"} +{"d:Title": "IMDb - Tamsin Greig", "d:Description": "Message board, filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greig,_Tamsin", "url": "http://www.imdb.com/name/nm0340067/"} +{"d:Title": "BBC Radio 4 - The Archers Backstage", "d:Description": "Chat transcript discussing her role in the radio series.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greig,_Tamsin", "url": "http://www.bbc.co.uk/radio4/archers/backstage/questionnaire_tamsin_greig.shtml"} +{"d:Title": "BBC Radio 4 - Woman's Hour -Tamsin Greig", "d:Description": "Audio interview examining her role in the BBC comedy drama 'Love Soup'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greig,_Tamsin", "url": "http://www.bbc.co.uk/radio4/womanshour/2005_39_wed_02.shtml"} +{"d:Title": "Wikipedia - Tamsin Greig", "d:Description": "Article contains a biography, photographs, links and a list of acting credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greig,_Tamsin", "url": "http://en.wikipedia.org/wiki/Tamsin_Greig"} +{"d:Title": "TVNZ - Tamsin Greig", "d:Description": "Interview detailing her views on the character she portrayed in 'Love Soup'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greig,_Tamsin", "url": "http://tvnz.co.nz/view/page/410940/957012"} +{"d:Title": "Telegraph - Seriously Funny", "d:Description": "Interview providing information on her role in the Shakespearean tragedy 'King John'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greig,_Tamsin", "url": "http://www.telegraph.co.uk/culture/theatre/drama/3654126/Seriously-funny.html"} +{"d:Title": "Guardian Unlimited - Knowing Me Knowing You", "d:Description": "Article penned by the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Greig,_Tamsin", "url": "http://www.theguardian.com/theguardian/2004/nov/06/weekend7.weekend"} +{"d:Title": "Adrian Grenier : The Zone", "d:Description": "Includes pictures, biography, filmography, wallpaper, fan forum and chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grenier,_Adrian", "url": "http://www.angelfire.com/az3/jbdts/intro.html"} +{"d:Title": "Unofficial Adrian Grenier", "d:Description": "Contains a photo gallery, information, and videos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grenier,_Adrian", "url": "http://adriangrenier.tripod.com/"} +{"d:Title": "IMDb: Jennifer Grey", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grey,_Jennifer", "url": "http://www.imdb.com/name/nm0000426/"} +{"d:Title": "Richard Grieco", "d:Description": "Official website for the actor, producer, musician, and director. Biography, news, discography, music and gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grieco,_Richard", "url": "http://www.richardgrieco-official.com/"} +{"d:Title": "Pam Grier Filmography", "d:Description": "Contains career credits for the celebrity.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grier,_Pam", "url": "http://washingtonpost.com/wp-srv/style/longterm/filmgrph/pam_grier.htm"} +{"d:Title": "New York Times Movies: Pam Grier", "d:Description": "Offers biography, filmography, awards list, news and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grier,_Pam", "url": "http://www.nytimes.com/movies/person/28768/Pam-Grier"} +{"d:Title": "Canoe: Pam Grier", "d:Description": "A collection of articles from various Canadian publications.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grier,_Pam", "url": "http://jam.canoe.com/Movies/Artists/G/Grier_Pam/"} +{"d:Title": "Griffin, Angela", "d:Description": "Biography from a Coronation Street fansite.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Griffin,_Angela", "url": "http://www.corrie.net/profiles/actors/griffin_angela.html"} +{"d:Title": "IMDb: Angela Griffin", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Griffin,_Angela", "url": "http://www.imdb.com/name/nm0341110/"} +{"d:Title": "Thespian Net - Melanie Griffith", "d:Description": "Brief biography, related links, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Griffith,_Melanie", "url": "http://www.thespiannet.com/actresses/G/griffith_melanie/melanie_griffith.shtml"} +{"d:Title": "Yahoo! Movies: Richard Griffiths", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Griffiths,_Richard", "url": "http://uk.movies.yahoo.com/artists/g/Richard-Griffiths/index-398940.html"} +{"d:Title": "IMDb: Richard Griffiths", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Griffiths,_Richard", "url": "http://www.imdb.com/name/nm0341743/"} +{"d:Title": "Guardian Unlimited Film: Richard Griffiths", "d:Description": "Brief profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Griffiths,_Richard", "url": "http://www.theguardian.com/film/Player/Player_Page/0,4159,506345,00.html"} +{"d:Title": "IMDb: Rupert Grint", "d:Description": "Includes filmography, awards, mini-biography, trivia, quotes, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grint,_Rupert", "url": "http://www.imdb.com/name/nm0342488/"} +{"d:Title": "IMDb: Max Grod\u00e9nchik", "d:Description": "Includes filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grod\u00e9nchik,_Max", "url": "http://www.imdb.com/name/nm0342858/"} +{"d:Title": "StarTrek.com: Max Grod\u00e9nchik", "d:Description": "Biography, character profile and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Grod\u00e9nchik,_Max", "url": "http://www.startrek.com/database_article/grodenchik"} +{"d:Title": "Gruffy The Vampire Slayer", "d:Description": "A Fan Fiction site, of which Ioan is the focal point on a take off from the Fox TV Show, Buffy the Vampire Slayer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gruffudd,_Ioan", "url": "http://www.angelfire.com/zine/gruffyslayer/index.html"} +{"d:Title": "IMDb: Ioan Gruffudd", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gruffudd,_Ioan", "url": "http://www.imdb.com/name/nm0344435/"} +{"d:Title": "IMDB: Taimak", "d:Description": "Includes filmography, awards, biography, discussions, photos, news and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guarriello,_Taimak", "url": "http://www.imdb.com/name/nm0846818/"} +{"d:Title": "IMDb: Lance Guest", "d:Description": "Provides date of birth, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guest,_Lance", "url": "http://www.imdb.com/name/nm0346411/"} +{"d:Title": "IMDb: Carla Gugino", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gugino,_Carla", "url": "http://www.imdb.com/name/nm0001303/"} +{"d:Title": "Wikipedia: Carla Gugino", "d:Description": "Includes biographical information, filmography, a photo, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gugino,_Carla", "url": "http://en.wikipedia.org/wiki/Carla_Gugino"} +{"d:Title": "TVGuide.com: Carla Gugino", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gugino,_Carla", "url": "http://www.tvguide.com/celebrities/carla-gugino/143359"} +{"d:Title": "New York Times Movies: Carla Gugino", "d:Description": "Features biography, filmography, news and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gugino,_Carla", "url": "http://www.nytimes.com/movies/person/29147/Carla-Gugino"} +{"d:Title": "Canoe: Carla Gugino", "d:Description": "A collection of articles on her work and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gugino,_Carla", "url": "http://jam.canoe.com/Movies/Artists/G/Gugino_Carla/"} +{"d:Title": "IMDb: Sienna Guillory", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guillory,_Sienna", "url": "http://www.imdb.com/name/nm0347149/"} +{"d:Title": "Sir Alec Guinness - A Man of Many Parts", "d:Description": "Biography, films and theatre work, quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guinness,_Alec", "url": "http://members.tripod.com/~i_love_movies/guinness/entry.html"} +{"d:Title": "Alec Guinness", "d:Description": "A page dedicated to the star with sounds. Part of the TV and Movie Trivia Tribute site.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guinness,_Alec", "url": "http://www.triviatribute.com/alecguinness.html"} +{"d:Title": "Elegance: In Memory of Sir Alec Guinness", "d:Description": "The New York Times described the life's work of Sir Alec Guinness as \"elegant.\" This page elaborates on that nowadays ill-understood adjective.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guinness,_Alec", "url": "http://m759.freeservers.com/PHelegance.html"} +{"d:Title": "IMDb: Alec Guinness", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guinness,_Alec", "url": "http://www.imdb.com/name/nm0000027/"} +{"d:Title": "Slashdot: Sir Alec Guinness Dies", "d:Description": "Discussion from the Star Wars perspective.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Guinness,_Alec", "url": "http://news.slashdot.org/story/00/08/07/020236/sir-alec-guinness-dies"} +{"d:Title": "The Unofficial David Gunn Website", "d:Description": "Biography, filmography, gallery, and message board about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gunn,_David", "url": "http://www.angelfire.com/celeb/davidgunn/index.html"} +{"d:Title": "IMDb: Janet Gunn", "d:Description": "The Internet Movie Database includes her filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gunn,_Janet", "url": "http://www.imdb.com/name/nm0348186/"} +{"d:Title": "TVGuide.com: Janet Gunn", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gunn,_Janet", "url": "http://www.tvguide.com/celebrities/janet-gunn/155828"} +{"d:Title": "IMDb: Fred Gwynne", "d:Description": "Filmography, notable TV guest appearances, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gwynne,_Fred", "url": "http://www.imdb.com/name/nm0001304/"} +{"d:Title": "Haydn Gwynne", "d:Description": "Fan site offering autographed pictures, screen captures, interviews, multimedia clips, desktop materials, a forum, links and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gwynne,_Haydn", "url": "http://www.haydngwynne-online.co.uk/"} +{"d:Title": "IMDb: Haydn Gwynne", "d:Description": "Provides a filmography, details of awards and nominations, photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gwynne,_Haydn", "url": "http://www.imdb.com/name/nm0006522/"} +{"d:Title": "Telegraph: All-singing, All-dancing, All-smoking", "d:Description": "Interview concerning her role in the stage adaptation of 'Billy Elliot'. [Requires free registration].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gwynne,_Haydn", "url": "http://www.telegraph.co.uk/culture/theatre/drama/3640223/All-singing-all-dancing-all-smoking.html"} +{"d:Title": "The Unofficial Jake Gyllenhaal Fan Site", "d:Description": "Includes biography, filmography, pictures, articles, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gyllenhaal,_Jake", "url": "http://www.angelfire.com/ok3/jakefan/"} +{"d:Title": "I Heart Jake", "d:Description": "Fan site devoted to actor Jake Gyllenhaal includes galleries, interviews and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gyllenhaal,_Jake", "url": "http://www.iheartjake.com/"} +{"d:Title": "IMDb: Jake Gyllenhaal", "d:Description": "Profile and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gyllenhaal,_Jake", "url": "http://www.imdb.com/name/nm0350453/"} +{"d:Title": "IMDb: Maggie Gyllenhaal", "d:Description": "Includes filmography, biography, photos, and message boards .", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/G/Gyllenhaal,_Maggie", "url": "http://www.imdb.com/name/nm0350454/"} +{"d:Title": "Hayden, Taylor", "d:Description": "US based actor. Includes credits, photos and contact details. Flash plugin required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H", "url": "http://www.taylorhayden.com/"} +{"d:Title": "Hale, Julie", "d:Description": "Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H", "url": "http://www.juliehale.com/"} +{"d:Title": "Holewinski, Tina", "d:Description": "US based actress. Includes resumes, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H", "url": "http://tinaholewinski.tripod.com/Index.htm"} +{"d:Title": "Hayden, Tamra", "d:Description": "Pictures, resume, and news of this musical theatre actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H", "url": "http://www.tamrahayden.com/"} +{"d:Title": "Desmond Harrington Fans", "d:Description": "Offers interactive forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H", "url": "http://desmondfans.proboards.com/"} +{"d:Title": "Habermann, Eva", "d:Description": "Listing from Rotten Tomatoes with partial filmography, links to selected films, interactive ratings, news, photographs, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Habermann,_Eva", "url": "http://www.rottentomatoes.com/celebrity/eva_habermann/"} +{"d:Title": "IMDb: Eva Habermann", "d:Description": "Filmography that includes movie and television acting roles and TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Habermann,_Eva", "url": "http://www.imdb.com/name/nm0352230/"} +{"d:Title": "Shelley Hack Unofficial Fan Tribute Page", "d:Description": "A fan site with biography, photos, filmography and links", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hack,_Shelley", "url": "http://www.angelfire.com/ms/shelleyhack/"} +{"d:Title": "IMDb: Shelley Hack", "d:Description": "Facts and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hack,_Shelley", "url": "http://www.imdb.com/name/nm0352379/"} +{"d:Title": "IMDb: Martha Hackett", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hackett,_Martha", "url": "http://www.imdb.com/name/nm0352485/"} +{"d:Title": "IMDb - William Haines", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Haines,_William", "url": "http://www.imdb.com/name/nm0354313/"} +{"d:Title": "IMDb: Haji", "d:Description": "Includes the actress's filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Haji", "url": "http://www.imdb.com/name/nm0354486/"} +{"d:Title": "IMDb: Bruce Michael Hall", "d:Description": "Includes the actors filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hall,_Bruce_Michael", "url": "http://www.imdb.com/name/nm0355376/"} +{"d:Title": "IMDb: Kevin Peter Hall", "d:Description": "Biography, filmography, trailers and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hall,_Kevin_Peter", "url": "http://www.imdb.com/name/nm0001310/"} +{"d:Title": "Rotten Tomatoes: Kevin Peter Hall", "d:Description": "Biography, image gallery and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hall,_Kevin_Peter", "url": "http://www.rottentomatoes.com/celebrity/kevin_peter_hall/"} +{"d:Title": "Movies.com: Kevin Peter Hall", "d:Description": "Biography, filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hall,_Kevin_Peter", "url": "http://www.movies.com/actors/kevin-peter-hall/p301843"} +{"d:Title": "MovieWeb: Kevin Peter Hall", "d:Description": "Biography and acting credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hall,_Kevin_Peter", "url": "http://www.movieweb.com/person/kevin-peter-hall"} +{"d:Title": "Yahoo! Movies: Kevin Peter Hall", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hall,_Kevin_Peter", "url": "http://movies.yahoo.com/person/kevin-peter-hall/"} +{"d:Title": "TV.com: Kevin Peter Hall", "d:Description": "Biography, acting credits and fan reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hall,_Kevin_Peter", "url": "http://www.tv.com/people/kevin-peter-hall/"} +{"d:Title": "IMDb: Suzanna Hamilton", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hamilton,_Suzanna", "url": "http://www.imdb.com/name/nm0358180/"} +{"d:Title": "Mike Hammett at IMDB", "d:Description": "Mike Hammett was an Another World cast member 1972-1978.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hammett,_Mike", "url": "http://www.imdb.com/name/nm0358603/"} +{"d:Title": "Mike Hammett Confessions of a Teenage Actor", "d:Description": "California based actor who played Dennis Carrington on the NBC soap opera Another World.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hammett,_Mike", "url": "http://mikehammett.com/"} +{"d:Title": "IMDb: Sheila Hancock", "d:Description": "Presents a full filmography, with biographical details, trivia, quotes and details of awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://www.imdb.com/name/nm0359430/"} +{"d:Title": "Meet the Author USA: Ramblings of an Actress", "d:Description": "Video clip in which she introduces her second autobiographical work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://www.meettheauthor.com/bookbites/806.html"} +{"d:Title": "BBC Radio 4 Woman's Hour: Sheila Hancock", "d:Description": "Streaming audio interview on the subject of her published account of her marriage to fellow actor John Thaw.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://www.bbc.co.uk/radio4/womanshour/2004_40_mon_02.shtml"} +{"d:Title": "BBC News: Author of the year: Sheila Hancock", "d:Description": "Streamed video interview discussing her book 'The Two of Us'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://news.bbc.co.uk/1/hi/programmes/breakfast/4460333.stm"} +{"d:Title": "BBC NEWS: Sheila Hancock", "d:Description": "Video interview examining stage fright and her role in 'The Arab Israeli Coockbook'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://news.bbc.co.uk/1/hi/programmes/breakfast/3765227.stm"} +{"d:Title": "Telegraph: Hancock Rewarded for Helping Out Backstage", "d:Description": "Brief article describing her efforts to prevent the maltreatment of women working behind the scenes in theater.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://www.telegraph.co.uk/news/uknews/1377602/Hancock-rewarded-for-helping-out-backstage.html"} +{"d:Title": "BBC News: Hancock Reveals 'Chasm' of Grief", "d:Description": "Describes her reaction to the loss of her husband.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://news.bbc.co.uk/1/hi/entertainment/1938957.stm"} +{"d:Title": "Telegraph: Now I Want to Make People Laugh", "d:Description": "Interview in which she expounds upon the direction of her career following the publication of her memoirs. [Requires free registration]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://www.telegraph.co.uk/culture/theatre/3634762/Now-I-want-to-make-people-laugh.html"} +{"d:Title": "Guardian Unlimited: Sheila Hancock", "d:Description": "Interview discussing life as a party-going widow.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hancock,_Sheila", "url": "http://www.theguardian.com/media/2004/mar/23/broadcasting.g2"} +{"d:Title": "IMDb: Chelsea Handler", "d:Description": "Offers filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Handler,_Chelsea", "url": "http://www.imdb.com/name/nm1314546/"} +{"d:Title": "PopEntertainment.com: Chelsea Handler", "d:Description": "The stand-up comedienne and TV personality tells Jay S. Jacobs about her book, \"My Horizontal Life.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Handler,_Chelsea", "url": "http://www.popentertainment.com/handler.htm"} +{"d:Title": "IMDb: Lars Hanson", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hanson,_Lars", "url": "http://www.imdb.com/name/nm0361319/"} +{"d:Title": "IMDb: Robert Hardy", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hardy,_Robert", "url": "http://www.imdb.com/name/nm0362735/"} +{"d:Title": "TV.com: Robert Hardy", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hardy,_Robert", "url": "http://www.tv.com/people/robert-hardy/"} +{"d:Title": "IMdB: Mark Harmon", "d:Description": "Filmography, awards, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Harmon,_Mark", "url": "http://www.imdb.com/name/nm0001319/"} +{"d:Title": "Queer As Folk Addiction", "d:Description": "Queer As Folk fan site with an emphasis on actor Gale Harold. Offers pictures, quotes, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Harold,_Gale", "url": "http://www.angelfire.com/home/qaf/directory.html"} +{"d:Title": "Gale Harold: Piece Of Heaven", "d:Description": "Quotes, fan fiction, wallpaper images, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Harold,_Gale", "url": "http://savyu2.tripod.com/galeharold/"} +{"d:Title": "'Desperate Housewives' Star Gale Harold Released From ICU and Improving", "d:Description": "The actor has been released from the intensive care unit at Los Angeles hospital and is improving daily after a motorcycle accident last week.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Harold,_Gale", "url": "http://www.broadwayworld.com/article/Desperate_Housewives_Star_Gale_Harold_Released_From_ICU_and_Improving_20081024"} +{"d:Title": "IMDb - Gale Harold", "d:Description": "Filmography, trivia and links from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Harold,_Gale", "url": "http://www.imdb.com/name/nm0363736/"} +{"d:Title": "Wikipedia: William Hartnell", "d:Description": "Article providing biographical information, a career overview and examples of his occasional errors made during the filming of 'Doctor Who'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hartnell,_William", "url": "http://en.wikipedia.org/wiki/William_Hartnell"} +{"d:Title": "IMDb: William Hartnell", "d:Description": "Biography, filmography, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hartnell,_William", "url": "http://www.imdb.com/name/nm0367156/"} +{"d:Title": "BBC Interview: Anne Hathaway", "d:Description": "The actor talks about acting royal and bushy eyebrows from her role in \"The Princess Diaries.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hathaway,_Anne", "url": "http://www.bbc.co.uk/films/2001/12/11/anne_hathaway_princess_diaries_interview.shtml"} +{"d:Title": "IMDb: Anne Hathaway", "d:Description": "Features include filmography, trivia, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hathaway,_Anne", "url": "http://www.imdb.com/name/nm0004266/"} +{"d:Title": "Yahoo! Group \"annehathaway\"", "d:Description": "Message board for over two-hundred fans of Hathaway. [Free registration requested.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hathaway,_Anne", "url": "http://movies.groups.yahoo.com/group/annehathaway/"} +{"d:Title": "Yahoo! Group \"annehathawayfanclub \"", "d:Description": "Over five-hundred fans of Hathaway trade news and opinions of the actor's life. [Free registration requested.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hathaway,_Anne", "url": "http://groups.yahoo.com/group/annehathawayfanclub/"} +{"d:Title": "IMDb - Nigel Hawthorne", "d:Description": "Filmography, biography, photographs and a list of awards won by the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hawthorne,_Nigel", "url": "http://www.imdb.com/name/nm0001329/"} +{"d:Title": "Salmac Productions: Sir Nigel Hawthorne", "d:Description": "Tribute from a production company of which the actor was honorary patron. Contains a biography, filmography, theatre credits, multimedia clips, interviews and links to related pages.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hawthorne,_Nigel", "url": "http://www.salmac.com/nigel/"} +{"d:Title": "BBC News - Sir Nigel Hawthorne mourned", "d:Description": "\"Tributes have been paid to distinguished British actor Sir Nigel Hawthorne, who has died aged 72.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hawthorne,_Nigel", "url": "http://news.bbc.co.uk/1/hi/uk/1729442.stm"} +{"d:Title": "The Sir Nigel Hawthorne Fan Page", "d:Description": "Fan tributes and news items, with a filmography, facts, reviews, images, articles, links and special features on \"The Madness of King George\", \"Yes Minister\" and \"The Fragile Heart\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hawthorne,_Nigel", "url": "http://users.skynet.be/fa419863/"} +{"d:Title": "BBC News - Knighthood for Sir Humphrey", "d:Description": "\"Actor Nigel Hawthorne, best known for playing the cunning civil servant Sir Humphrey Appleby in the TV series Yes, Minister, has been knighted at Buckingham Palace.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hawthorne,_Nigel", "url": "http://news.bbc.co.uk/1/hi/entertainment/284856.stm"} +{"d:Title": "BBC News - Hawthorne's late blooming career", "d:Description": "\"Sir Nigel Hawthorne, who has died aged 72, did not enjoy TV success until his fifties, but his roles as Sir Humphrey Appleby and King George III brought him international acclaim.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hawthorne,_Nigel", "url": "http://news.bbc.co.uk/2/hi/entertainment/1729437.stm"} +{"d:Title": "Guardian Unlimited Obituary: Sir Nigel Hawthorne", "d:Description": "\"A sensitive and bleakly intelligent actor best known for his role as a suave civil servant.\" By Dennis Barker.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hawthorne,_Nigel", "url": "http://www.theguardian.com/news/2001/dec/26/guardianobituaries.filmnews"} +{"d:Title": "IMDb: Dennis Haysbert", "d:Description": "Filmography, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Haysbert,_Dennis", "url": "http://www.imdb.com/name/nm0371660/"} +{"d:Title": "Pittsburgh Post-Gazette: Dennis Haysbert as President David Palmer", "d:Description": "Article discussing his role in the second season.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Haysbert,_Dennis", "url": "http://www.post-gazette.com/tv/20021027haysbert1027p8.asp"} +{"d:Title": "TV.com: Dennis Haysbert", "d:Description": "Biography, roles, and appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Haysbert,_Dennis", "url": "http://www.tv.com/people/dennis-haysbert/"} +{"d:Title": "IMDb: Pippa Haywood", "d:Description": "Filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Haywood,_Pippa", "url": "http://www.imdb.com/name/nm0371846/"} +{"d:Title": "IMDb - Anthony Heald", "d:Description": "Includes the actors filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Heald,_Anthony", "url": "http://www.imdb.com/name/nm0372217/"} +{"d:Title": "IMDb: Mark Heap", "d:Description": "Filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Heap,_Mark", "url": "http://www.imdb.com/name/nm0372423/"} +{"d:Title": "IMDb: David Hemmings", "d:Description": "Biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hemmings,_David", "url": "http://www.imdb.com/name/nm0376101/"} +{"d:Title": "The Telegraph: Rollercoaster life of 60s icon David Hemmings", "d:Description": "Report on his death by Hugh Davies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hemmings,_David", "url": "http://www.telegraph.co.uk/news/uknews/1448543/Rollercoaster-life-of-60s-icon-David-Hemmings.html"} +{"d:Title": "Yahoo! Movies: David Hemmings", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hemmings,_David", "url": "http://movies.yahoo.com/person/david-hemmings/"} +{"d:Title": "My little site about Meredith Henderson", "d:Description": "Information, video captures, scans, video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Henderson,_Meredith", "url": "http://meredithhenderson.specialcelebrities.com/"} +{"d:Title": "IMDb.com: Meredith Henderson", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Henderson,_Meredith", "url": "http://www.imdb.com/name/nm0376546/"} +{"d:Title": "TV.com: Meredith Henderson", "d:Description": "Information on her TV and movie appearances. Also includes a discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Henderson,_Meredith", "url": "http://www.tv.com/people/meredith-henderson/"} +{"d:Title": "Internet Movie Database: Edward Herrmann", "d:Description": "List of film and TV appearances, biography, TV schedule and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Herrmann,_Edward", "url": "http://www.imdb.com/name/nm0001346/"} +{"d:Title": "Edward Herrmann, One Fine Actor", "d:Description": "Fan site with articles, pictures, filmography and list of audiobooks.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Herrmann,_Edward", "url": "http://www.angelfire.com/me3/lewiscat/eh.html"} +{"d:Title": "IMDb: Kam Heskin", "d:Description": "Profile, photographs, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Heskin,_Kam", "url": "http://www.imdb.com/name/nm0004349/"} +{"d:Title": "IMDb: Jonah Hill", "d:Description": "Contains a photo gallery, filmography and user comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hill,_Jonah", "url": "http://www.imdb.com/name/nm1706767/"} +{"d:Title": "Wikipedia: Jonah Hill", "d:Description": "Offers biography, screenwriting and acting career information, and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hill,_Jonah", "url": "http://en.wikipedia.org/wiki/Jonah_Hill"} +{"d:Title": "HoboTrashcan: Jonah Hill Interview", "d:Description": "Talks with the actor about his film career as well as screenwriting.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hill,_Jonah", "url": "http://www.hobotrashcan.com/interviews/jonahhill.php"} +{"d:Title": "IGN Interview: Jonah Hill", "d:Description": "Discussion about playing Rogen's pal in Knocked Up.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hill,_Jonah", "url": "http://www.ign.com/articles/2007/05/31/ign-interview-jonah-hill"} +{"d:Title": "Hird, Thora", "d:Description": "Biography, and details of her official biography book by Morris Bright.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hird,_Thora", "url": "http://authorpages.hoddersystems.com/ThoraHird/"} +{"d:Title": "IMDb: Thora Hird", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hird,_Thora", "url": "http://www.imdb.com/name/nm0386331/"} +{"d:Title": "Dame Thora Hird", "d:Description": "Profile by Geoffrey Matther, following her death on 15/3/03.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hird,_Thora", "url": "http://www.northtrek.plus.com/thora_hird.htm"} +{"d:Title": "BBC News: Actress Dame Thora Hird dies", "d:Description": "Dame Thora Hird - one of Britain's best loved character actresses - has died at the age of 91.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hird,_Thora", "url": "http://news.bbc.co.uk/1/hi/entertainment/2853893.stm"} +{"d:Title": "Yahoo! Movies: Thora Hird", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hird,_Thora", "url": "http://movies.yahoo.com/person/thora-hird/"} +{"d:Title": "IMDb: Alexandra Holden", "d:Description": "Filmography, television appearances, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Holden,_Alexandra", "url": "http://imdb.com/name/nm0005016/"} +{"d:Title": "IMDb: Peter Asle Holden", "d:Description": "Filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Holden,_Peter", "url": "http://www.imdb.com/name/nm1132464/"} +{"d:Title": "IMDb: Judy Holliday", "d:Description": "Profile, photographs, filmography, and television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Holliday,_Judy", "url": "http://imdb.com/name/nm0391062/"} +{"d:Title": "IMDb: Josh Holloway", "d:Description": "Provides filmography, brief biography, and lists notable TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Holloway,_Josh", "url": "http://www.imdb.com/name/nm0391326/"} +{"d:Title": "ShuQi.org", "d:Description": "Fan page containing a filmography, biography, news items, film reviews, screen captures, downloads and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hsu,_Chi", "url": "http://www.shuqi.org/"} +{"d:Title": "IMDb - Hsu Chi", "d:Description": "Biography, filmography and gallery containing 7 photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hsu,_Chi", "url": "http://www.imdb.com/name/nm0795517/"} +{"d:Title": "Wikipedia: Jesse Hutch", "d:Description": "Biography, filmography, with numerous endnote references.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hutch,_Jesse", "url": "http://en.wikipedia.org/wiki/Jesse_Hutch"} +{"d:Title": "IMDb - Jesse Hutch", "d:Description": "Includes the actor's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hutch,_Jesse", "url": "http://www.imdb.com/name/nm1237948/"} +{"d:Title": "TV.com: Jesse Hutch", "d:Description": "Filmography and photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/H/Hutch,_Jesse", "url": "http://www.tv.com/people/jesse-hutch/"} +{"d:Title": "Indrani, Seeta", "d:Description": "An official site dedicated to the actress who originated the role of Cassandra in the West End production. Credits, articles, biography, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I", "url": "http://www.seetaindrani.com/"} +{"d:Title": "Ingham, Barrie", "d:Description": "Official website with detailed information on the stage, screen and television actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I", "url": "http://www.barrieingham.com/"} +{"d:Title": "Iversen, Jeremy", "d:Description": "Official site with news and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I", "url": "http://www.jeremyiversen.com/"} +{"d:Title": "IMDb: Sarimah Ibrahim", "d:Description": "Includes filmography and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ibrahim,_Sarimah", "url": "http://www.imdb.com/name/nm0406566/"} +{"d:Title": "Robert Iler Unofficial Fan Page", "d:Description": "Profile, pictures, screen captures, links, and interview transcript.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Iler,_Robert", "url": "http://www.angelfire.com/sk2/crashed/"} +{"d:Title": "The Smoking Gun: Robert Iler", "d:Description": "Criminal complaint filed against Iler, charging him with robbery and possession of marijuana on July 3rd.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Iler,_Robert", "url": "http://www.thesmokinggun.com/archive/iler1.html"} +{"d:Title": "IMDb: Robert Iler", "d:Description": "Profile and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Iler,_Robert", "url": "http://www.imdb.com/name/nm0407615/"} +{"d:Title": "IMDb.com: Michael Imperioli", "d:Description": "Features photo gallery, filmography, discussions, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Imperioli,_Michael", "url": "http://www.imdb.com/name/nm0408284/"} +{"d:Title": "Filmbug: Michael Imperioli", "d:Description": "Biography, movies, facts, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Imperioli,_Michael", "url": "http://www.filmbug.com/db/22377"} +{"d:Title": "TheForce.net: Celia Imrie", "d:Description": "Interview in which Celia discusses her role in 'Star Wars' as a fighter pilot, and her failed attempt to be Darth Vadar's mother.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Imrie,_Celia", "url": "http://www.theforce.net/episode1/oldPreq/cast/imrie.html"} +{"d:Title": "Gormenghast: Gertrude", "d:Description": "Description and photographs of Celia Imrie's role as Lady Gertrude in 'Gormenghast.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Imrie,_Celia", "url": "http://www.pbs.org/wgbh/gormenghast/characters/gertrude.html"} +{"d:Title": "IMDb: Celia Imrie", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Imrie,_Celia", "url": "http://www.imdb.com/name/nm0408309/"} +{"d:Title": "IMDb: Anthony F. Ingram", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ingram,_Anthony_F.", "url": "http://www.imdb.com/name/nm0408948/"} +{"d:Title": "BBC News: John Inman", "d:Description": "'Despite critics and huge stage experience, John Inman's place in the nation's heart is as Mr Humphries.' Obituary offering details of the late actor's life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Inman,_John", "url": "http://news.bbc.co.uk/1/hi/entertainment/6430147.stm"} +{"d:Title": "IMDb: John Inman", "d:Description": "Contains biographical information, a filmography, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Inman,_John", "url": "http://imdb.com/name/nm0409132/"} +{"d:Title": "Guardian Unlimited: John Inman", "d:Description": "Obituary by Dennis Barker.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Inman,_John", "url": "http://www.theguardian.com/media/2007/mar/08/guardianobituaries.broadcasting"} +{"d:Title": "Weaver Lovers Page", "d:Description": "A fan tribute with pictures, filmography, sounds, fan fiction and background information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Innes,_Laura", "url": "http://digilander.libero.it/jus41/weaver/home.html"} +{"d:Title": "IMDb.com: Laura Innes (I)", "d:Description": "Includes photo gallery, filmography, trivia and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Innes,_Laura", "url": "http://www.imdb.com/name/nm0005041/"} +{"d:Title": "Just Laura Innes", "d:Description": "Fansite featuring biography, filmography, videos and photo galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Innes,_Laura", "url": "http://laurainnes.free.fr/"} +{"d:Title": "IMDb: Kathy Ireland", "d:Description": "Includes biography, photo gallery, fan sites and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ireland,_Kathy", "url": "http://www.imdb.com/name/nm0001387/"} +{"d:Title": "The Vincent Irizarry Website", "d:Description": "Biography, news and announcements, interviews, photographs, fan fun, as well as a message board and fan club information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irizarry,_Vincent", "url": "http://www.vincentirizarry.com/"} +{"d:Title": "Filmbug.com: Jeremy Irons", "d:Description": "Biography, movies, facts, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irons,_Jeremy", "url": "http://www.filmbug.com/db/23639"} +{"d:Title": "The Movie Times: Jeremy Irons", "d:Description": "Pictures, box office information, vital statistics, links and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irons,_Jeremy", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?jirons"} +{"d:Title": "ThespianNet.com: Michael Ironside", "d:Description": "Profile, film and TV credits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ironside,_Michael", "url": "http://www.thespiannet.com/actors/I/ironside_michael/index.shtml"} +{"d:Title": "Filmbug.com: Michael Ironside", "d:Description": "Biography, movies, facts, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ironside,_Michael", "url": "http://www.filmbug.com/db/771"} +{"d:Title": "IMDb: Michael Ironside", "d:Description": "Includes filmography, awards, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ironside,_Michael", "url": "http://www.imdb.com/name/nm0000461/"} +{"d:Title": "IMDb: Amy Irving", "d:Description": "Filmography, television appearances, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irving,_Amy", "url": "http://www.imdb.com/name/nm0001388/"} +{"d:Title": "FilmForce: Interview with Amy Irving", "d:Description": "Kenneth Plume talks with the actress about her role in the film Traffic.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irving,_Amy", "url": "http://www.ign.com/articles/2001/06/02/interview-with-amy-irving"} +{"d:Title": "George Irving", "d:Description": "Includes filmography, interviews, biography, screen captures, sound files and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irving,_George", "url": "http://www.georgeirving.co.uk/"} +{"d:Title": "IMDb: George Irving", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irving,_George", "url": "http://www.imdb.com/name/nm0410272/"} +{"d:Title": "IMDb: Tom Irwin (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irwin,_Tom_-_1", "url": "http://www.imdb.com/name/nm0410463/"} +{"d:Title": "IMDb: Tom Irwin (II)", "d:Description": "Filmography, profile, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Irwin,_Tom_-_2", "url": "http://www.imdb.com/name/nm0410464/"} +{"d:Title": "Jason Isaacs Photo Albums Online", "d:Description": "A fan of the British actor presents his filmography, news and a large collection of photographs and high-resolution screen captures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Isaacs,_Jason", "url": "http://www.jasonisaacsphotoalbumsonline.com/"} +{"d:Title": "IMDb: Jason Isaacs", "d:Description": "Filmography, profile, and photographs of the British actor from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Isaacs,_Jason", "url": "http://www.imdb.com/name/nm0005042/"} +{"d:Title": "IMDB.com: Teri Ivens", "d:Description": "Features filmography, videos and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ivens,_Terri", "url": "http://www.imdb.com/name/nm0412238/"} +{"d:Title": "Wikipedia: Terri Ivens", "d:Description": "Offers date of birth, filmography, brief biography and external links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/I/Ivens,_Terri", "url": "http://en.wikipedia.org/wiki/Terri_Ivens"} +{"d:Title": "Jordan, Michael", "d:Description": "Chicago based actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J", "url": "http://home.earthlink.net/~mj023/"} +{"d:Title": "James, Reginald", "d:Description": "US based actor. Includes credits, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J", "url": "http://www.reginaldjames.com/"} +{"d:Title": "James, Ryan", "d:Description": "US based actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J", "url": "http://ryanjames.kidactors.com/"} +{"d:Title": "Josefson, Jake", "d:Description": "Character actor with a distinct look. Information, photograph gallery, and resume.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J", "url": "http://jakeact.tripod.com/"} +{"d:Title": "Yahoo! Movies: Glenda Jackson", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Jackson,_Glenda", "url": "http://movies.yahoo.com/person/glenda-jackson/"} +{"d:Title": "IMDb: Glenda Jackson", "d:Description": "Includes filmography, trivia, quotes, awards and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Jackson,_Glenda", "url": "http://www.imdb.com/name/nm0413559/"} +{"d:Title": "IMDb: Ryan Paul James", "d:Description": "Includes filmography, photo gallery, resume and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/James,_Ryan_Paul", "url": "http://www.imdb.com/name/nm1458974/"} +{"d:Title": "IMDb: Hyuk Jang", "d:Description": "Filmography about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Jang,_Hyuk", "url": "http://www.imdb.com/name/nm1030267/"} +{"d:Title": "Rotten Tomatoes: Richard Jenkins", "d:Description": "Contains filmography, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Jenkins,_Richard", "url": "http://www.rottentomatoes.com/celebrity/richard_jenkins/"} +{"d:Title": "Yahoo! Movies: Richard Jenkins", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Jenkins,_Richard", "url": "http://movies.yahoo.com/person/richard-jenkins/"} +{"d:Title": "Internet Movie Database: Richard Jenkins", "d:Description": "Includes biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Jenkins,_Richard", "url": "http://www.imdb.com/name/nm0420955/"} +{"d:Title": "IMDb: Carolyn Jones", "d:Description": "Includes filmography, awards, biography, photos, news articles, and upcoming television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Jones,_Carolyn", "url": "http://www.imdb.com/name/nm0427700/"} +{"d:Title": "Joseph, Sandra", "d:Description": "Official site for the soprano offers news, biography, onstage and offstage photo galleries, interviews, reviews and email address.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Joseph,_Sandra", "url": "http://www.sandrajoseph.com/"} +{"d:Title": "Wikipedia: Victoria Justice", "d:Description": "Article offering a biography and filography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Justice,_Victoria", "url": "http://en.wikipedia.org/wiki/Victoria_Justice"} +{"d:Title": "Victoria Justice", "d:Description": "Official site containing image galleries, forum, resume and a biography.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Justice,_Victoria", "url": "http://www.victoriajustice.net/"} +{"d:Title": "FanPix: Victoria Justice", "d:Description": "Contains thumbnailed image galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Justice,_Victoria", "url": "http://www.fanpix.net/gallery/victoria-justice-pictures.htm"} +{"d:Title": "IMDb: Victoria Justice", "d:Description": "Offers a filmography, discussion board, and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Justice,_Victoria", "url": "http://www.imdb.com/name/nm1842439/"} +{"d:Title": "TV.com: Victoria Justice", "d:Description": "Offers a biography, trivia, quotes and image galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/J/Justice,_Victoria", "url": "http://www.tv.com/people/victoria-justice/"} +{"d:Title": "Kelman, Pat", "d:Description": "London based actor. Includes resume, photos, video clips, showreel and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K", "url": "http://www.patkelman.com/"} +{"d:Title": "Kay, Marysia", "d:Description": "London based actress. Includes resume, photos, links to video content, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K", "url": "http://www.marysia.com/"} +{"d:Title": "Kolack, Kevin", "d:Description": "US based eclectic actor. Includes resume, photos, video and voice demos, guest book, quotes, links and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K", "url": "http://www.kevinkolack.com/"} +{"d:Title": "Kalisher, Reed", "d:Description": "US based actor. Includes resume, photos, voice over demo and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K", "url": "http://www.reedkalisher.com/"} +{"d:Title": "Kiesche, Tom", "d:Description": "US based actor/writer. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K", "url": "http://www.tomkiesche.com/"} +{"d:Title": "Kovacevich, John", "d:Description": "US based actor. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K", "url": "http://www.johnkovacevich.com/"} +{"d:Title": "Kent, Diana", "d:Description": "UK based actress. Includes biography, credits, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K", "url": "http://www.dianakent.com/"} +{"d:Title": "IMDB.com: Patricia Kalember", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kalember,_Patricia", "url": "http://www.imdb.com/name/nm0435678/"} +{"d:Title": "IMDb: John Kapelos", "d:Description": "Biography and filmography of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kapelos,_John", "url": "http://www.imdb.com/name/nm0438127/"} +{"d:Title": "Wikipedia: Ramin Karimloo", "d:Description": "Includes biographical data and performance details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Karimloo,_Ramin", "url": "http://en.wikipedia.org/wiki/Ramin_Karimloo"} +{"d:Title": "IMDb: Ramin Karimloo", "d:Description": "Features include filmography, awards, biography, discussions, photos, news articles and fan site listing.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Karimloo,_Ramin", "url": "http://www.imdb.com/name/nm1546077/"} +{"d:Title": "IMDB: Julie Kavner", "d:Description": "Biography, filmography, news items, and other information about this actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kavner,_Julie", "url": "http://www.imdb.com/name/nm0001413/"} +{"d:Title": "Kelley, Sheila", "d:Description": "Includes credits, biography, photographs and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelley,_Sheila", "url": "http://timcoh.tripod.com/"} +{"d:Title": "IMDb: Sheila Kelley", "d:Description": "Profile, photograph gallery, filmography, television appearances, links, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelley,_Sheila", "url": "http://www.imdb.com/name/nm0445992/"} +{"d:Title": "IMDb - Frank Kelly", "d:Description": "Provides a filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelly,_Frank", "url": "http://www.imdb.com/name/nm0446392/"} +{"d:Title": "Wikipedia - Frank Kelly", "d:Description": "Concise biography with links to related articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelly,_Frank", "url": "http://en.wikipedia.org/wiki/Frank_Kelly"} +{"d:Title": "BBC News - Father Ted star backs budget film", "d:Description": "\"One of the stars of the hit TV comedy Father Ted is appearing in a budget film produced on a shoestring by two students. \"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelly,_Frank", "url": "http://news.bbc.co.uk/1/hi/wales/south_east/4265383.stm"} +{"d:Title": "IMDB: Lisa Robin Kelly", "d:Description": "Provides filmography, awards, biography, agent, discussions, photos, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelly,_Lisa_Robin", "url": "http://www.imdb.com/name/nm0446605/"} +{"d:Title": "Emmerdale's Dave Glover", "d:Description": "The history of the first TV character played by Ian", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelsey,_Ian", "url": "http://www.emmerdale.org/emmerdale/profiles/dave.htm"} +{"d:Title": "The Ian Kelsey Site", "d:Description": "Fansite with news, videos, photos and details of the shows that the actor has appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelsey,_Ian", "url": "http://www.iankelseysite.co.uk/"} +{"d:Title": "Daily Mail interview: Ian Kelsey", "d:Description": "Ian Kelsey: \"TV dramas helped me buy my homes.\" Interview with photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelsey,_Ian", "url": "http://www.dailymail.co.uk/news/article-1084793/Ian-Kelsey-TV-dramas-helped-buy-homes-.html"} +{"d:Title": "Ian Kelsey on his role in \"Doctors\"", "d:Description": "Ian Kelsey speaks to Digital Spy about his new role as practice manager Howard Bellamy in BBC soap \"Doctors\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelsey,_Ian", "url": "http://www.digitalspy.co.uk/soaps/s16/doctors/interviews/a383044/doctors-ian-kelsey-interview-howard-will-bark-orders.html"} +{"d:Title": "IMDb: Ian Kelsey", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kelsey,_Ian", "url": "http://www.imdb.com/name/nm0447050/"} +{"d:Title": "IMDb: Rachel Kempson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kempson,_Rachel", "url": "http://www.imdb.com/name/nm0447499/"} +{"d:Title": "Kempson, Rachel", "d:Description": "Biography from daughter Lynn's website.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kempson,_Rachel", "url": "http://www.redgrave.com/biork.htm"} +{"d:Title": "Theatre, Musicals and Actors Web: Rachel Kempson", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kempson,_Rachel", "url": "http://www.tmaw.co.uk/rachelk.html"} +{"d:Title": "National Portrait Gallery: Rachel Kempson", "d:Description": "Portraits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kempson,_Rachel", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp60258"} +{"d:Title": "BBC News: Actress Rachel Kempson dies", "d:Description": "Actress Rachel Kempson, mother of actors Lynn, Vanessa and Corin Redgrave, dies aged 92.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kempson,_Rachel", "url": "http://news.bbc.co.uk/1/hi/entertainment/2937308.stm"} +{"d:Title": "IMDb - Felicity Kendal", "d:Description": "Provides a filmography, trivia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kendal,_Felicity", "url": "http://www.imdb.com/name/nm0447555/"} +{"d:Title": "IMDb: Kilcher, Q'Orianka", "d:Description": "Brief filmography and vital statistics provided.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kilcher,_Q'orianka", "url": "http://www.imdb.com/name/nm0452963/"} +{"d:Title": "Time Magazine: Picking Pocahontas", "d:Description": "Concerns young Q'Orianka Kilcher, 14, being chosen to play Pocahontas in Terrence Malick's The New World.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kilcher,_Q'orianka", "url": "http://www.time.com/time/magazine/article/0,9171,995645,00.html"} +{"d:Title": "IMDb: Daniel Dae Kim", "d:Description": "Provides brief biography, photograph, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kim,_Daniel_Dae", "url": "http://www.imdb.com/name/nm0196654/"} +{"d:Title": "IMDb: Takuya Kimura", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kimura,_Takuya", "url": "http://www.imdb.com/name/nm0454120/"} +{"d:Title": "IMDb: Kristin Kreuk", "d:Description": "Features include filmography, trivia, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kreuk,_Kristin", "url": "http://www.imdb.com/name/nm0471036/"} +{"d:Title": "Kidzworld: Kristin Kreuk Biography", "d:Description": "A Biography of Kreuk presented in a news report-like format aimed towards a younger audience.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kreuk,_Kristin/Articles_and_Interviews", "url": "http://www.kidzworld.com/site/p1539.htm"} +{"d:Title": "AskMen.com - Kristin Kreuk", "d:Description": "Site offers a biographical article, and ratings on the actor from the site.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kreuk,_Kristin/Articles_and_Interviews", "url": "http://www.askmen.com/celebs/women/actress_150/171_kristin_kreuk.html"} +{"d:Title": "Kristin's on a role; Actually, Vancouver teen is on 3 ...", "d:Description": "The Toronto Sun talks to Kreuk about her work and how she entered acting. Also features other articles related to \"Smallville.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kreuk,_Kristin/Articles_and_Interviews", "url": "http://jam.canoe.com/Television/TV_Shows/S/Smallville/2004/09/26/pf-733313.html"} +{"d:Title": "Yahoo! Group \"KristenKreukFans\"", "d:Description": "Discussion board for fans of Kreuk. [Free registration requested.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kreuk,_Kristin/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/kristenkreukfans/"} +{"d:Title": "Yahoo! Group \"KristenKreukHottie\"", "d:Description": "Message boards for members trading fan fiction, photos and other items relating to Kreuk. [Free registration requested.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kreuk,_Kristin/Chats_and_Forums", "url": "http://movies.groups.yahoo.com/group/KristenKreukHottie/"} +{"d:Title": "DVDWolf.com: Diane Kruger", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kruger,_Diane", "url": "http://www.dvdwolf.com/News/templates/dsp_bio.php?peopleid=5154"} +{"d:Title": "IMDb: Diane Kruger", "d:Description": "Includes a mini-biography, a filmography, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/K/Kruger,_Diane", "url": "http://www.imdb.com/name/nm1208167/"} +{"d:Title": "Lee, Julian Jung", "d:Description": "Colorado based martial arts actor. Includes filmography, articles and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.julianjunglee.com/"} +{"d:Title": "LeGette, Wayne", "d:Description": "South Florida based actor. Includes resume, biography, reviews, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.waynelegette.com/"} +{"d:Title": "Llana, Francisco", "d:Description": "US based actor/model. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.angelfire.com/my/Francisco/"} +{"d:Title": "Lode, Trygve", "d:Description": "US based actor/weightlifter. Includes upcoming events and releases, photo galleries and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.trygve.com/"} +{"d:Title": "Lewis, Monzell", "d:Description": "US based actor. Includes credits, training, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.angelfire.com/film/monzell/"} +{"d:Title": "Lehmann, Paul", "d:Description": "UK based actor. Includes resume, photographs and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.paullehmann.co.uk/"} +{"d:Title": "Lucas, Peter", "d:Description": "US based Polish-born actor. Includes biography, filmography, photos and guestbook.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.peterlucas.com/"} +{"d:Title": "Lobit, Alyssa", "d:Description": "US based actress. Includes resume, photos, journal and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.alyssalobit.com/"} +{"d:Title": "LaBranch, Jason", "d:Description": "San Francisco based actor. Includes resume and headshots.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.labranch.com/actor/"} +{"d:Title": "Langs, Samy", "d:Description": "International actor based in California. Biography, resume, and listing of his film, television, theatre, and commercial work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.samylangs.com/"} +{"d:Title": "Lankford, Dave", "d:Description": "Official site for news, resume, and head shots of this actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.davelankford.com/"} +{"d:Title": "Lamb, Chuck", "d:Description": "Actor wants to portray dead guy on screen. Includes photos, news history, and weblog.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.deadbodyguy.com/"} +{"d:Title": "Leigh, Cricket", "d:Description": "Los Angeles based SAG/AFTRA member. Resume, photographs, voice-over demo and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://www.cricketleigh.com/"} +{"d:Title": "Lord, Neil", "d:Description": "UK actor, extra, and presenter; also known as Adam Jones. Resume and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L", "url": "http://ukscreen.com/adamjones/"} +{"d:Title": "IMDb: Shia LaBeouf", "d:Description": "Overview, filmography, and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/LaBeouf,_Shia", "url": "http://www.imdb.com/name/nm0479471/"} +{"d:Title": "Wikipedia: Shia LaBeouf", "d:Description": "Offers biography, filmography, and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/LaBeouf,_Shia", "url": "http://en.wikipedia.org/wiki/Shia_LaBeouf"} +{"d:Title": "IMDb: John Larroquette", "d:Description": "Includes biography, background trivia, filmography and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Larroquette,_John", "url": "http://www.imdb.com/name/nm0488662/"} +{"d:Title": "Wikipedia: Vicki Lawrence", "d:Description": "Provides biographical details, a career overview and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lawrence,_Vicki", "url": "http://en.wikipedia.org/wiki/Vicki_Lawrence"} +{"d:Title": "IMDb: Bianca Lawson", "d:Description": "Includes a filmography, trivia, a message board and a list of awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lawson,_Bianca", "url": "http://www.imdb.com/name/nm0493161/"} +{"d:Title": "IMDb: David Leitch", "d:Description": "Offers biography, photo gallery, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Leitch,_David", "url": "http://www.imdb.com/name/nm0500610/"} +{"d:Title": "Wikipedia: Vanessa Lengies", "d:Description": "Biography of the actress, including a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lengies,_Vanessa", "url": "http://en.wikipedia.org/wiki/Vanessa_Lengies"} +{"d:Title": "IMDb: Vanessa Lengies", "d:Description": "Includes a filmography, biography, photographs and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lengies,_Vanessa", "url": "http://www.imdb.com/name/nm0501837/"} +{"d:Title": "TV.com: Vanessa Lengies", "d:Description": "Biographical information, filmography, links related to the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lengies,_Vanessa", "url": "http://www.tv.com/people/vanessa-lengies/"} +{"d:Title": "IMDb: Matt Letscher", "d:Description": "Filmography, trivia, photographs and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Letscher,_Matt", "url": "http://www.imdb.com/name/nm0504762/"} +{"d:Title": "IBDb: Michael Shawn Lewis", "d:Description": "Provides official Broadway credits and biographical information for the performer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lewis,_Michael_Shawn", "url": "https://www.ibdb.com/broadway-cast-staff/michael-shawn-lewis-92952"} +{"d:Title": "People of fucking \u00c5m\u00e5l", "d:Description": "Contains pictures and movieclips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Liljeberg,_Rebecca", "url": "http://www.goodwin.ee/vidcaps.html"} +{"d:Title": "Rebecka Liljeberg fansite", "d:Description": "Contains a biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Liljeberg,_Rebecca", "url": "http://users.belgacom.net/gc855995/index.html"} +{"d:Title": "IMDb: Evangeline Lilly", "d:Description": "Brief biography and filmography featured.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lilly,_Evangeline", "url": "http://www.imdb.com/name/nm1431940/"} +{"d:Title": "IMDb: Blake Lively", "d:Description": "Overview, trivia, filmography and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lively,_Blake", "url": "http://www.imdb.com/name/nm0515116/"} +{"d:Title": "Wikipedia: Blake Lively", "d:Description": "Early life, career, filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lively,_Blake", "url": "http://en.wikipedia.org/wiki/Blake_Lively"} +{"d:Title": "Rotten Tomatoes: Jeremy London", "d:Description": "Includes filmography, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/London,_Jeremy", "url": "http://www.rottentomatoes.com/celebrity/jeremy_london/"} +{"d:Title": "Yahoo! Movies: Jeremy London", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/London,_Jeremy", "url": "http://movies.yahoo.com/person/jeremy-london/"} +{"d:Title": "IMDb: Jeremy London", "d:Description": "Provides a filmography, biography, image gallery and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/London,_Jeremy", "url": "http://www.imdb.com/name/nm0518718/"} +{"d:Title": "IMDb: Eva Longoria", "d:Description": "Includes a filmography, biographical information, trivia quotes, a message board, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Longoria,_Eva", "url": "http://www.imdb.com/name/nm0519456/"} +{"d:Title": "ScottLowell.com", "d:Description": "Official site providing a biography, credits, an archived web journal, photographs, a demo reel, forum, desktop materials and answers to frequently asked questions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lowell,_Scott", "url": "http://www.scottlowell.com/"} +{"d:Title": "IMDb: Scott Lowell", "d:Description": "Filmography, message board, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lowell,_Scott", "url": "http://www.imdb.com/name/nm0523116/"} +{"d:Title": "An Interview with Zoe Lund", "d:Description": "The actress discusses Bad Lieutenant, MS. 45 and her future plans with Josh Long.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lund,_Zoe", "url": "http://www.dantenet.com/er/chats/interviews/zoe/zoe.html"} +{"d:Title": "IMDb: Zo\u00eb Lund", "d:Description": "Offers a filmography, biographical details and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lund,_Zoe", "url": "http://www.imdb.com/name/nm0526259/"} +{"d:Title": "Zo\u00eb Lund", "d:Description": "Official tribute containing articles, a biography, film information, personal photographs, multimedia clips and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/L/Lund,_Zoe", "url": "http://lundissimo.info/Zoe/"} +{"d:Title": "Mapother, William R.", "d:Description": "Official site with biography, current and upcoming projects, filmography, gallery and video.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.williammapother.com/"} +{"d:Title": "MacDonald, Drew", "d:Description": "Canada based actor. Includes resume and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.chebucto.ns.ca/~aq733/actres.html"} +{"d:Title": "Morrill, E.F.", "d:Description": "US based actor. Includes statistics, resume, photographs and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.whitebelt.com/actor/"} +{"d:Title": "McCord, Alex", "d:Description": "New York-based actress. Includes news, biography, resume, reviews, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.alexmccord.com/"} +{"d:Title": "Michelle, Vicki", "d:Description": "UK based actress, known for her role as Yvette in the sitcom, Allo Allo. Official site includes resume, photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.vickimichelle.co.uk/"} +{"d:Title": "Marchelletta, Jeff", "d:Description": "US based actor. Official site includes biography, filmography, photos, news, video, links and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.jeffmarchelletta.com/"} +{"d:Title": "McGaha, Kris", "d:Description": "US based actress and comedienne. Official site includes filmography, biography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.krismcgaha.com/"} +{"d:Title": "Motley, Byron", "d:Description": "Actor, singer, dancer, performer and director. Promotes the Negro Leagues and Negro League Baseball Museum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.byronmotley.com/"} +{"d:Title": "McKinney, Nina Mae", "d:Description": "Offers biography, tributes and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.angelfire.com/jazz/ninamaemckinney/"} +{"d:Title": "Miranda, Soledad", "d:Description": "Offers biography, photos, filmography, tributes and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.soledadmiranda.com/"} +{"d:Title": "Munro, Pamela", "d:Description": "Resume, pictures, and contact information for this SAG, AEA, and AFTRA actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.nowcasting.com/pamelamunro"} +{"d:Title": "Mukes , Robert", "d:Description": "Offers demo reel in two formats, resume, biography and gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.robertmukes.com/"} +{"d:Title": "Mac Rae, Meredith", "d:Description": "Offers biography, career credits and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.meredithmacrae.com/"} +{"d:Title": "Mercer, Jo", "d:Description": "Includes resume, photo gallery, news and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.jomercer.biz/"} +{"d:Title": "Monu, Nick", "d:Description": "Provides news, biography, curriculum vitae, gallery and demo reels for the Vienna-based television and theatre actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.nickmonu.com/"} +{"d:Title": "Martin, Tanjareen Chere", "d:Description": "Offers clips, headshot, resume, chat area and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.tanjareen.com/"} +{"d:Title": "McKoy, Madison", "d:Description": "Provides news, biography, resume, photos, chat area and merchandise for this American-born, Australia-based actor, singer, songwriter.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.madisonmckoy.com/"} +{"d:Title": "McLean, Sally", "d:Description": "Includes filmography, curriculum vitae, biography for the Australian actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://actorshrine.tripod.com/actors/sally.html"} +{"d:Title": "Moore, Shenita", "d:Description": "Provides photo gallery, resume, reels, biography, weblog, news and related links for the Los Angeles based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.shenitamoore.com/"} +{"d:Title": "Marr, Pamela", "d:Description": "Offers biography, headshots, resume, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.angelfire.com/film/pjmarr/"} +{"d:Title": "Maldonado, Tomas", "d:Description": "Provides biography, resume, portfolio, clips and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.myactorsite.com/"} +{"d:Title": "Morton, Euan", "d:Description": "Features news, career credits, articles, photos, mutimedia and merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://pagesperso-orange.fr/euan.morton/"} +{"d:Title": "Mathews, Bruce B.", "d:Description": "Los Angeles based actor. Includes resume, photos and contact email.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://brucebasilmathews.com/"} +{"d:Title": "Miller, Rick", "d:Description": "Theatre and voice actor, producer, and comedian. Includes news,and schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://rickmiller.ca/"} +{"d:Title": "McDougall, Robert", "d:Description": "Florida based actor and voiceover artist. Includes news, photos, audio files, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M", "url": "http://www.robertskymcdougall.net/"} +{"d:Title": "IMDb: Kate Maberly", "d:Description": "Profile and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Maberly,_Kate", "url": "http://www.imdb.com/name/nm0531069/"} +{"d:Title": "IMDb: Kelly Macdonald", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macdonald,_Kelly", "url": "http://www.imdb.com/name/nm0531808/"} +{"d:Title": "Yahoo! Movies: Kelly MacDonald", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macdonald,_Kelly", "url": "http://movies.yahoo.com/person/kelly-macdonald/"} +{"d:Title": "Guardian Film: Amy Raphael meets Kelly Macdonald", "d:Description": "Interview with Kelly, who discusses her career from auditioning for 'Trainspotting' to starring in 'Intermission'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macdonald,_Kelly", "url": "http://www.theguardian.com/film/2003/nov/23/features.review1"} +{"d:Title": "Rotten Tomatoes: Kelly MacDonald", "d:Description": "Filmography, news, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macdonald,_Kelly", "url": "http://www.rottentomatoes.com/celebrity/kelly_macdonald/"} +{"d:Title": "Guardian Film: Kelly Macdonald", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macdonald,_Kelly", "url": "http://www.theguardian.com/film/Player/Player_Page/0,4159,46548,00.html"} +{"d:Title": "Starring Angus Macfadyen", "d:Description": "Includes image galleries, news, fan page, biography, career history and samples of the actor's paintings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macfadyen,_Angus", "url": "http://www.angusmacfadyen.com/"} +{"d:Title": "IMDb: Angus Macfadyen", "d:Description": "Film and TV credits for the Scottish actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macfadyen,_Angus", "url": "http://imdb.com/name/nm0005171/"} +{"d:Title": "Macht, Gabriel", "d:Description": "Includes a biography, images, and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macht,_Gabriel", "url": "http://webspin1.tripod.com/gabrielmacht/index.html"} +{"d:Title": "IMDb.com - Gabriel Macht", "d:Description": "Filmography and a brief biography of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Macht,_Gabriel", "url": "http://www.imdb.com/name/nm0532683/"} +{"d:Title": "IMDb.com - Alison MacInnis", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/MacInnis,_Alison", "url": "http://www.imdb.com/name/nm0532829/"} +{"d:Title": "AllisonMack.com", "d:Description": "Official site for the actress. Includes biography and filmography, image galleries, listings of television appearances, and fan mail form.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mack,_Allison", "url": "http://www.allisonmack.com/"} +{"d:Title": "Girl Friday", "d:Description": "Dedicated to the actress and her Smallville role as Chloe Sullivan. Includes character and actress profiles, fan fiction, fanlisting, news, articles, interviews, and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mack,_Allison", "url": "http://www.loony-archivist.com/girlfriday/"} +{"d:Title": "IMDb: Allison Mack", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mack,_Allison", "url": "http://www.imdb.com/name/nm0532928/"} +{"d:Title": "Mackenzie Fan Pages", "d:Description": "Fansite focusing on the young actress, Mackenzie, who has appeared on such shows as \"Ally McBeal\" and \"Just Shoot Me\". It features pictures, links, and a fan club.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mackenzie", "url": "http://mackenzie.8m.com/"} +{"d:Title": "TVGuide.com: Steven Mackintosh", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mackintosh,_Steven", "url": "http://www.tvguide.com/celebrities/steven-mackintosh/175534"} +{"d:Title": "IMDb: Kyle MacLachlan", "d:Description": "Photo gallery and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/MacLachlan,_Kyle", "url": "http://www.imdb.com/name/nm0001492/"} +{"d:Title": "AskMen.com: Virginia Madsen", "d:Description": "Feature includes pictures, profile, and commentary with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://www.askmen.com/women/actress_250/284_virginia_madsen.html"} +{"d:Title": "NNDB: Virginia Madsen", "d:Description": "Biography of the actress, includes filmography and relatives.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://www.nndb.com/people/931/000025856/"} +{"d:Title": "Rotten Tomatoes: Virginia Madsen", "d:Description": "Virginia Madsen filmography, photos and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://www.rottentomatoes.com/celebrity/virginia_madsen/"} +{"d:Title": "Yahoo! Movies: Virginia Madsen", "d:Description": "Biography, filmography, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://movies.yahoo.com/person/virginia-madsen/"} +{"d:Title": "IMDb: Virginia Madsen", "d:Description": "Includes the actress's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://www.imdb.com/name/nm0000515/"} +{"d:Title": "Virginia Madsen (official site)", "d:Description": "Official website of actress and producer Virginia Madsen, including news and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://www.virginia-madsen.org/"} +{"d:Title": "Wikipedia: Virginia Madsen", "d:Description": "Biography of the film actress and producer, including an extensive list of credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://en.wikipedia.org/wiki/Virginia_Madsen"} +{"d:Title": "TV.com: Virginia Madsen", "d:Description": "Extensive filmography with some biographical information, and a photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Madsen,_Virginia", "url": "http://www.tv.com/people/virginia-madsen/"} +{"d:Title": "IMDb: Anna Magnani", "d:Description": "Filmography, biography, photographs, and other related career data.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Magnani,_Anna", "url": "http://www.imdb.com/name/nm0536167/"} +{"d:Title": "IMDb: John Mahoney (I)", "d:Description": "Contains filmography and additional information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mahoney,_John", "url": "http://www.imdb.com/name/nm0001498/"} +{"d:Title": "IMDb: Stephen Mangan", "d:Description": "Provides a filmography, biographical information, trivia and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mangan,_Stephen", "url": "http://www.imdb.com/name/nm0542118/"} +{"d:Title": "IndieLondon: Stephen Mangan Interview", "d:Description": "Interview examining his role in the movie 'Confetti'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mangan,_Stephen", "url": "http://www.indielondon.co.uk/Film-Review/confetti-stephen-mangan-interview"} +{"d:Title": "IMDb - Lily Mariye", "d:Description": "Filmography, biography, and discussions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mariye,_Lily", "url": "http://www.imdb.com/name/nm0548159/"} +{"d:Title": "Mariye, Lily", "d:Description": "Official site provides news, biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mariye,_Lily", "url": "http://www.lilymariye.net/"} +{"d:Title": "TV.com: Kyal Marsh", "d:Description": "Biography, roles and appearances, gossip and notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Marsh,_Kyal", "url": "http://www.tv.com/people/kyal-marsh/"} +{"d:Title": "IMDb: Rudolf Martin", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Martin,_Rudolf", "url": "http://www.imdb.com/name/nm0553020/"} +{"d:Title": "TV.com: Rudolf Martin", "d:Description": "Features episode guide, cast and crew information, forum, polls and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Martin,_Rudolf", "url": "http://www.tv.com/people/rudolf-martin/"} +{"d:Title": "IMDb: James Mason", "d:Description": "Includes filmography, awards, mini-biography, trivia, quotes, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mason,_James", "url": "http://www.imdb.com/name/nm0000051/"} +{"d:Title": "James Mason Club", "d:Description": "Discussion group devoted to the actor from Huddersfield, Yorkshire, England requires Yahoo! ID and registration.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mason,_James", "url": "http://movies.groups.yahoo.com/group/jamesmasonclub/"} +{"d:Title": "Pat Mastroianni Central", "d:Description": "Includes a library of web articles that pertain to Pat's career plus news, a photo gallery, and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mastroianni,_Pat", "url": "http://www.angelfire.com/celeb/mastroianni/"} +{"d:Title": "HBO: Drea De Matteo", "d:Description": "Provides photo and profile of the actress who plays Adriana La Cerva on The Sopranos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Matteo,_Drea_de", "url": "http://www.hbo.com/sopranos/cast/actor/drea_dematteo.shtml"} +{"d:Title": "Drea de Matteo The Soprano Sings", "d:Description": "Features interview and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Matteo,_Drea_de", "url": "http://www.papermag.com/?section=article&parid=209"} +{"d:Title": "IMDb: Drea de Matteo", "d:Description": "Provides filmography, awards, biography, agent, discussions, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Matteo,_Drea_de", "url": "http://www.imdb.com/name/nm0005576/"} +{"d:Title": "IMDb: Rachel McAdams", "d:Description": "Contains photos, filmography, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McAdams,_Rachel", "url": "http://www.imdb.com/name/nm1046097/"} +{"d:Title": "Rachel McAdams Online", "d:Description": "Features news, photo gallery, and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McAdams,_Rachel", "url": "http://www.rachelmcadams.org/"} +{"d:Title": "Wikipedia: Rachel McAdams", "d:Description": "Offers information about her early life, career, and achievements. Also includes filmography and a photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McAdams,_Rachel", "url": "http://en.wikipedia.org/wiki/Rachel_McAdams"} +{"d:Title": "Kidz World: Rachel McAdams", "d:Description": "Offers a biography of the actress along with facts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McAdams,_Rachel", "url": "http://www.kidzworld.com/article/5799-rachel-mcadams-biography"} +{"d:Title": "IMDb: Cady McClain", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McClain,_Cady", "url": "http://www.imdb.com/name/nm0565596/"} +{"d:Title": "McClain, Cady", "d:Description": "Provides photos, biography, career credits, articles, games and recaps.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McClain,_Cady", "url": "http://cadymcclain.net/"} +{"d:Title": "Canvas of a Cowboy: Trampas Gallery", "d:Description": "Dedicated to the memory of Doug McClure and the character, Trampas. Features photographs, quotes, and cast and crew information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McClure,_Doug", "url": "http://www.buckskins.com/"} +{"d:Title": "IMDb: Doug McClure", "d:Description": "Features brief biography, photograph, statistics, and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McClure,_Doug", "url": "http://www.imdb.com/name/nm0565982/"} +{"d:Title": "Guardian Unlimited: Martine McCutcheon", "d:Description": "An in-depth interview with Martine made just before the UK release of \"Love Actually\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McCutcheon,_Martine", "url": "http://www.theguardian.com/stage/2003/nov/15/theatre"} +{"d:Title": "IMDb: Martine McCutcheon", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McCutcheon,_Martine", "url": "http://www.imdb.com/name/nm0567356/"} +{"d:Title": "IMDb: Frances McDormand", "d:Description": "Profile, photographs, filmography and television appearances, and fan comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McDormand,_Frances", "url": "http://www.imdb.com/name/nm0000531/"} +{"d:Title": "BBC Films: Geraldine McEwan Interview", "d:Description": "The British stage actress talks about her role in Peter Mullan's drama 'The Magdalene Sisters'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McEwan,_Geraldine", "url": "http://www.bbc.co.uk/films/2003/02/06/geraldine_mcewan_the_magdalene_sisters_interview.shtml"} +{"d:Title": "McEwan, Geraldine", "d:Description": "Offers news, pictures, biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McEwan,_Geraldine", "url": "http://www.geraldinemcewan.com/"} +{"d:Title": "IMDb: Geraldine McEwan", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McEwan,_Geraldine", "url": "http://www.imdb.com/name/nm0568603/"} +{"d:Title": "Benjamin McKenzie Fans", "d:Description": "Images including screen captures, fan art, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McKenzie,_Benjamin", "url": "http://benmckenziefans.tripod.com/"} +{"d:Title": "IMDb: Benjamin McKenzie", "d:Description": "Filmography, biography, agent, discussions, photos, news articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McKenzie,_Benjamin", "url": "http://imdb.com/name/nm1360270/"} +{"d:Title": "TV.com: Ben McKenzie", "d:Description": "Biography, roles and appearances, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McKenzie,_Benjamin", "url": "http://www.tv.com/people/ben-mckenzie-1/"} +{"d:Title": "TORN: Sarah McLeod", "d:Description": "Brief biography and picture of the actress picked for the character of Rosie Cotton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McLeod,_Sarah", "url": "http://www.theonering.net/movie/cast/mcleod.html"} +{"d:Title": "TORN: Interview with Sarah McLeod", "d:Description": "Personal interview with actress concerning her role in Lord of the Rings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McLeod,_Sarah", "url": "http://www.theonering.net/features/newsroom/files/022502_mcleod.html"} +{"d:Title": "IMDb: Sarah McLeod", "d:Description": "Provides brief biography and filmography of actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McLeod,_Sarah", "url": "http://www.imdb.com/name/nm0572867/"} +{"d:Title": "Julie McNiven", "d:Description": "Official site with photo gallery, video, resume, bio, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McNiven,_Julie", "url": "http://www.juliemcniven.net/"} +{"d:Title": "IMDb: Julie McNiven", "d:Description": "Contains photos, filmography, bio and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McNiven,_Julie", "url": "http://www.imdb.com/name/nm1617837/"} +{"d:Title": "Wikipedia: Ian McShane", "d:Description": "Offers brief biography, filmography and photo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McShane,_Ian", "url": "http://en.wikipedia.org/wiki/Ian_McShane"} +{"d:Title": "IMDb: Ian McShane", "d:Description": "Includes nominations, awards, biography, photo gallery, news articles, filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McShane,_Ian", "url": "http://www.imdb.com/name/nm0574534/"} +{"d:Title": "TVGuide.com: Ian McShane", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/McShane,_Ian", "url": "http://www.tvguide.com/celebrities/ian-mcshane/154261"} +{"d:Title": "IMDb: Sarah Miles", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Miles,_Sarah", "url": "http://www.imdb.com/name/nm0587234/"} +{"d:Title": "IMDb: Wentworth Miller", "d:Description": "Biography, filmography, photo gallery, award nominations and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Miller,_Wentworth", "url": "http://www.imdb.com/name/nm0589505/"} +{"d:Title": "Wentworth Miller : Who's That Guy?", "d:Description": "Message based Yahoo group features media gallery, appearance calendar and photos. Yahoo ID and registration required for membership.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Miller,_Wentworth", "url": "http://movies.groups.yahoo.com/group/Wentworth_Miller/"} +{"d:Title": "Yahoo! Movies: Wentworth Miller", "d:Description": "Offers news, biography, photos and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Miller,_Wentworth", "url": "http://movies.yahoo.com/person/wentworth-miller/"} +{"d:Title": "TV.com: Wentworth Miller", "d:Description": "Film credits, trivia, news, videos, photos, reviews, biography and episode guide for \"Prison Break\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Miller,_Wentworth", "url": "http://www.tv.com/people/wentworth-miller/"} +{"d:Title": "BBC News: Sir John Mills' thriving career", "d:Description": "At 92 Sir John Mills is still building on a career that includes an Oscar, a knighthood, public adulation and critical acclaim.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mills,_Sir_John", "url": "http://news.bbc.co.uk/1/hi/1119957.stm"} +{"d:Title": "BBC News: Sir John Mills gets Bafta honour", "d:Description": "Sir John Mills receives the highest award bestowed on an individual by the British Academy of Film and Television Arts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mills,_Sir_John", "url": "http://news.bbc.co.uk/1/hi/2532215.stm"} +{"d:Title": "Yahoo! Movies: John Mills", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mills,_Sir_John", "url": "http://movies.yahoo.com/person/john-mills/"} +{"d:Title": "IMDb: John Mills", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mills,_Sir_John", "url": "http://www.imdb.com/name/nm0590055/"} +{"d:Title": "IMDb: Thomas Mitchell", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mitchell,_Thomas", "url": "http://www.imdb.com/name/nm0593775/"} +{"d:Title": "The Avengers Forever: Warren Mitchell", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mitchell,_Warren", "url": "http://theavengers.tv/forever/pnote-mitchell.htm"} +{"d:Title": "IMDb: Warren Mitchell", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mitchell,_Warren", "url": "http://www.imdb.com/name/nm0593803/"} +{"d:Title": "Elizabeth Montgomery Site", "d:Description": "Detailed site about the beautiful and talented actress Elizabeth Montgomery and her television series Bewitched. Rare photographs, interviews, and sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Montgomery,_Elizabeth", "url": "http://www.bewitched.net/index3.htm"} +{"d:Title": "IMDb: Elizabeth Montgomery (I)", "d:Description": "Offers a biography and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Montgomery,_Elizabeth", "url": "http://www.imdb.com/name/nm0000548/"} +{"d:Title": "IMDb - Tracy Morgan", "d:Description": "Includes the actors filmography, trivia, and TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morgan,_Tracy", "url": "http://www.imdb.com/name/nm0605079/"} +{"d:Title": "Tracy Morgan", "d:Description": "Includes the actors biography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morgan,_Tracy", "url": "http://www.laauditions.com/bios/tracy_morgan.htm"} +{"d:Title": "Tracy Morgan", "d:Description": "Includes pictures, sounds, a forum, and the actor's biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morgan,_Tracy", "url": "http://www.tracymorgan.net/"} +{"d:Title": "IMDb: Maia Morgenstern", "d:Description": "Filmography and screen captures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morgenstern,_Maia", "url": "http://www.imdb.com/name/nm0605164/"} +{"d:Title": "Mayberry.com: Howard Morris Interview", "d:Description": "Interview with The Andy Griffith Show's Ernest T. Bass, available in Real Audio.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morris,_Howard", "url": "http://www.mayberry.com/tagsrwc/wbmutbb/anewsome/private/HowardMorrisInterview26Jun98.html"} +{"d:Title": "Mayberry.com: Howard Morris", "d:Description": "Profile, credits, and links from a tribute to \"The Andy Griffith Show\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morris,_Howard", "url": "http://www.mayberry.com/tagsrwc/wbmutbb/anewsome/private/morris_h.htm"} +{"d:Title": "Ernest T. Bass Official Website", "d:Description": "Home to Howard Morris' character from \"The Andy Griffith Show\". Includes message board, merchandise, and fan club information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morris,_Howard", "url": "http://www.ernestt.com/"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, TV credits, trivia, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morris,_Howard", "url": "http://www.imdb.com/name/nm0606593/"} +{"d:Title": "Jigsaw Lounge - David Morrissey", "d:Description": "Interview with Neil Young.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morrissey,_David", "url": "http://www.jigsawlounge.co.uk/film/dmorris.html"} +{"d:Title": "IMDb: David Morrissey", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morrissey,_David", "url": "http://www.imdb.com/name/nm0607375/"} +{"d:Title": "BBC Drama: David Morrissey", "d:Description": "David Morrissey's role as Dave Dewston in the BBC drama 'Murder' (2002).", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morrissey,_David", "url": "http://www.bbc.co.uk/drama/people/david_morrissey_person_page.shtml"} +{"d:Title": "Cinema.com: David Morrissey", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morrissey,_David", "url": "http://www.cinema.com/people/003/609/david-morrissey/index.phtml"} +{"d:Title": "Yahoo! Movies: David Morrissey", "d:Description": "Filmography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Morrissey,_David", "url": "http://movies.yahoo.com/person/david-morrissey-1964/"} +{"d:Title": "IMDb: Emily Mortimer", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mortimer,_Emily", "url": "http://www.imdb.com/name/nm0607865/"} +{"d:Title": "Rottentomatoes: Emily Mortimer", "d:Description": "Filmography, news, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mortimer,_Emily", "url": "http://www.rottentomatoes.com/celebrity/emily_mortimer/"} +{"d:Title": "Yahoo! Movies: Emily Mortimer", "d:Description": "Biography, filmography, photos and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Mortimer,_Emily", "url": "http://movies.yahoo.com/person/emily-mortimer/"} +{"d:Title": "IMDb: Devon Murray", "d:Description": "Includes photographs, filmography, discussions, brief biography, and message boards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Murray,_Devon", "url": "http://www.imdb.com/name/nm0614912/"} +{"d:Title": "Official Ellen Muth Fansite", "d:Description": "Provides news, pictures, links and forums.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Muth,_Ellen", "url": "http://www.ellen-muth.net/"} +{"d:Title": "IMDb: Ellen Muth", "d:Description": "Features filmography, pictures, news and links for the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Muth,_Ellen", "url": "http://imdb.com/name/nm0616091/"} +{"d:Title": "Wikipedia: Ellen Muth", "d:Description": "Provides biography, filmography and information about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/M/Muth,_Ellen", "url": "http://en.wikipedia.org/wiki/Ellen_Muth"} +{"d:Title": "IMDb: Parminder K. Nagra", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nagra,_Parminder_K.", "url": "http://www.imdb.com/name/nm0619406/"} +{"d:Title": "Yahoo! Groups : Parminder Nagra", "d:Description": "Discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nagra,_Parminder_K.", "url": "http://groups.yahoo.com/group/Parminder-Nagra/"} +{"d:Title": "USATODAY: Parminder Nagra bends the rules for 'Beckham'", "d:Description": "Feature article about Parminder Nagra, who had never kicked a soccer ball before starring as a soccer-crazed teen in 'Bend It Like Beckham'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nagra,_Parminder_K.", "url": "http://www.usatoday.com/life/movies/news/2003-04-07-parminder_x.htm"} +{"d:Title": "BBC Radio: Woman's Hour - Parminder Nagra", "d:Description": "Listen to the interview in which Parminder talks about moving to the States, finding herself in a major hit movie, and fitting in with the staff of the large fictional Chicago hospital.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nagra,_Parminder_K.", "url": "http://www.bbc.co.uk/radio4/womanshour/15_12_03/friday/info1.shtml"} +{"d:Title": "Guardian Film: She shoots, she scores", "d:Description": "Neil Spencer joins Parminder Nagra on set in Calcutta for the filming of the TV drama 'Second Generation'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nagra,_Parminder_K.", "url": "http://www.theguardian.com/film/2003/aug/24/1"} +{"d:Title": "IMDb: \u00d6zg\u00fc Namal", "d:Description": "Features filmography, biography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Namal,_\u00d6zg\u00fc", "url": "http://imdb.com/name/nm1031569/"} +{"d:Title": "Diane Neal", "d:Description": "Vital statistics, photo galleries, filmography, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Neal,_Diane", "url": "http://www.have-dog.com/neal"} +{"d:Title": "IMDb: Diane Neal", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Neal,_Diane", "url": "http://www.imdb.com/name/nm1011812/"} +{"d:Title": "Diane Neal Kicks", "d:Description": "News, biography, resume, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Neal,_Diane", "url": "http://www.angelfire.com/celeb2/diane-neal/index.html"} +{"d:Title": "Biography - Patricia Neal", "d:Description": "Short account of her acting career, her recovery from a debilitating stroke, and her contributions to the field of stroke rehabilitation.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Neal,_Patricia", "url": "http://www.wic.org/bio/pneal.htm"} +{"d:Title": "Patricia Neal", "d:Description": "IMDb entry, including biographies, filmography, awards, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Neal,_Patricia", "url": "http://www.imdb.com/name/nm0623658/"} +{"d:Title": "The Pola Negri Appreciation Site", "d:Description": "Information on the actress and her career includes FAQ, filmography, articles, 1978 interview, and film sources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Negri,_Pola", "url": "http://www.polanegri.com/"} +{"d:Title": "Pola Negri", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Negri,_Pola", "url": "http://www.imdb.com/name/nm0624470/"} +{"d:Title": "Yahoo! Movies: James Nesbitt", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nesbitt,_James", "url": "http://movies.yahoo.com/person/james-nesbitt/"} +{"d:Title": "IMDb: James Nesbitt", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nesbitt,_James", "url": "http://www.imdb.com/name/nm0626362/"} +{"d:Title": "Filmbug: Bill Nighy", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nighy,_Bill", "url": "http://www.filmbug.com/db/299453"} +{"d:Title": "Yahoo! Movies: Bill Nighy", "d:Description": "Contains filmography, photographs and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nighy,_Bill", "url": "http://movies.yahoo.com/person/bill-nighy/"} +{"d:Title": "IMDb: Bill Nighy", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nighy,_Bill", "url": "http://www.imdb.com/name/nm0631490/"} +{"d:Title": "IMDb: Mizan Nunes", "d:Description": "Provides filmography, photos, contacts and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nunes,_Mizan", "url": "http://imdb.com/name/nm0637984/"} +{"d:Title": "IMDb: David Nykl", "d:Description": "Provides photos, filmography and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nykl,_David", "url": "http://imdb.com/name/nm0638706/"} +{"d:Title": "Nykl, David", "d:Description": "Canada based actor. Includes resume, credits for film/television, commercial/voice, theatre, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/N/Nykl,_David", "url": "http://www.davidnykl.com/"} +{"d:Title": "O'Regan, James", "d:Description": "Los Angeles based actor. Includes resume, biography, audio/video clips and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O", "url": "http://www.jamesoregan.com/"} +{"d:Title": "O'Neill, Colleen", "d:Description": "Chicago based actress providing a photograph gallery, multimedia, and resume.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O", "url": "http://www.colleenoneill.com/"} +{"d:Title": "Ory, Veronique", "d:Description": "Actress in the Hollywood, California area, with current photographs, resume, online footage, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O", "url": "http://www.veroniqueory.com/"} +{"d:Title": "Overstreet, Karyn", "d:Description": "Resource showcasing career and life of Broadway, film, and television actress. Audio and video clips, biography, credits, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O", "url": "http://www.karynoverstreet.com/"} +{"d:Title": "O'Neill, Robyn", "d:Description": "Actress based in Richmond, Virginia, offers news, biography, pictures, and sound clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O", "url": "http://www.robynoneill.com/"} +{"d:Title": "On Chi-Kit, Andy", "d:Description": "A discussion group dedicated to the Hong Kong actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O", "url": "http://movies.groups.yahoo.com/group/only_andy_on/"} +{"d:Title": "IMDb: Alex O'Loughlin", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O/O'Loughlin,_Alex", "url": "http://www.imdb.com/name/nm1533927/"} +{"d:Title": "TV.com: Alex O'Loughlin", "d:Description": "Includes news, photos, trivia, quotes and career credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O/O'Loughlin,_Alex", "url": "http://www.tv.com/people/alex-oloughlin/"} +{"d:Title": "IMDb: Terry O'Quinn", "d:Description": "Provides filmography and brief biography about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O/O'Quinn,_Terry", "url": "http://www.imdb.com/name/nm0642368/"} +{"d:Title": "Yahoo Groups : Terry O'Quinn Forum", "d:Description": "Discussion resolves around the actor and his work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O/O'Quinn,_Terry", "url": "http://movies.groups.yahoo.com/group/terryoquinnforum/"} +{"d:Title": "IMDb: Sandra Oh", "d:Description": "Biography, images, and list of credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O/Oh,_Sandra", "url": "http://www.imdb.com/name/nm0644897/"} +{"d:Title": "NPR : The Winding Career of Sandra Oh", "d:Description": "Audio interview discussing career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O/Oh,_Sandra", "url": "http://www.npr.org/templates/story/story.php?storyId=4183846"} +{"d:Title": "Ashley Rose Orr", "d:Description": "Biography, articles and reviews, sound clips, headshots and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/O/Orr,_Ashley_Rose", "url": "http://www.angelfire.com/celeb2/ashleyrose/indexa.html"} +{"d:Title": "Parris, Mamie", "d:Description": "US based actress. Includes resume, photos, voice samples, reviews, links and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://members.tripod.com/~mamieparris/"} +{"d:Title": "Panou", "d:Description": "Toronto based actor. Includes resume, biography, photos, news and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.panou.net/"} +{"d:Title": "Pringle, Michael Eric", "d:Description": "US based actor. Includes credits, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.inmikescorner.com/"} +{"d:Title": "Phillips, Joseph C.", "d:Description": "US based actor. Includes credits, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.josephcphillips.com/"} +{"d:Title": "Paterson, Bill", "d:Description": "London Based actor. Includes credits, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.billpaterson.co.uk/"} +{"d:Title": "Pope, Michael", "d:Description": "UK based actor/theatre director. Includes credits, photos, voice demos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.michaelpope.co.uk/"} +{"d:Title": "Piper, Andrew", "d:Description": "UK based actor. Includes resume, reviews, photos and contact details", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.asharp.dircon.co.uk/"} +{"d:Title": "Powers, Wayne", "d:Description": "US based actor/singer/voice-over artist. Includes biography, photos, voice-over demo and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.waynepowers.com/"} +{"d:Title": "Purviance, Edna", "d:Description": "Chaplin's leading lady between 1915 to 1923. Purviance played in 34 films with Chaplin. Information on her life and birth place, as well as related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://ednapurviance.org/"} +{"d:Title": "Phillips, Miles", "d:Description": "New York based actor/singer/director. Features career highlights and clips from his cabaret appearances, films, and recordings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://milesphillips.com/"} +{"d:Title": "Phoenix, Hunter", "d:Description": "Canadian actress, model, and voice-over talent. Biography, resume, photographs, video clips, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.hunterphoenix.com/"} +{"d:Title": "Power, Tyrone", "d:Description": "Includes career milestones, photos and reviews for the film star born in 1913.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://tyforum.bravepages.com/typower.html"} +{"d:Title": "Peters, Jessica", "d:Description": "US based actress. Includes biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://jessicapeters2.tripod.com/"} +{"d:Title": "Payne, Brett", "d:Description": "Stage and television actor; includes resume and downloads.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.brettpayne.net/"} +{"d:Title": "Jeff Daniel Phillips", "d:Description": "Official website. Includes photos, biography, and list of projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.jeffdanielphillips.com/"} +{"d:Title": "Drew Powell", "d:Description": "Official website. Includes news, biography, videos, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.thedrewpowell.com/"} +{"d:Title": "Pepper, Bob", "d:Description": "Website for the SAG Actor with photos, videos, filmography and guestbook.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P", "url": "http://www.bobtheactor.com/"} +{"d:Title": "Deepika Padukone - Times of India", "d:Description": "Features portfolio for the model turned actress, movies and special reports.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Padukone,_Deepika", "url": "http://photogallery.indiatimes.com/portfoliolist/3879056.cms"} +{"d:Title": "Deepika Padukone - IMDB", "d:Description": "IMDB profile, includes news and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Padukone,_Deepika", "url": "http://www.imdb.com/name/nm2138653/"} +{"d:Title": "IMDb: Ellen Page", "d:Description": "Includes filmography, awards, quotes, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Page,_Ellen", "url": "http://www.imdb.com/name/nm0680983"} +{"d:Title": "Ellen Page Online", "d:Description": "Fansite featuring a biography, filmography, news, awards, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Page,_Ellen", "url": "http://www.ellenpage.org/"} +{"d:Title": "IMDb: Geraldine Page", "d:Description": "Profile, filmography, television appearances, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Page,_Geraldine", "url": "http://www.imdb.com/name/nm0656183/"} +{"d:Title": "IMDb: Geoffrey Palmer", "d:Description": "Biography and filmography, with a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Palmer,_Geoffrey", "url": "http://www.imdb.com/name/nm0658244/"} +{"d:Title": "Yahoo! Groups: Geoffrey Palmer", "d:Description": "Email discussion forum for fans. [Requires registration]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Palmer,_Geoffrey", "url": "http://movies.groups.yahoo.com/group/geoffreypalmer/"} +{"d:Title": "BBC News: Royal honour for TV actor Palmer", "d:Description": "\"Actor Geoffrey Palmer and author Leslie Thomas have been made OBEs by the Queen at Buckingham Palace.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Palmer,_Geoffrey", "url": "http://news.bbc.co.uk/1/hi/entertainment/4119654.stm"} +{"d:Title": "IMDb: Hugh Panaro", "d:Description": "Filmography, biography, trivia, Broadway credits and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://www.imdb.com/name/nm0659101/"} +{"d:Title": "Hugh-Panaro.net", "d:Description": "Articles, interviews, reviews, recordings, photo gallery and interactive message forum devoted to the Broadway performer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://www.hugh-panaro.net/"} +{"d:Title": "Broadway Tenors, The", "d:Description": "Profile and photo of singer, Hugh Panaro.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://www.broadwaytenors.com/profiles.htm#hugh"} +{"d:Title": "Photo Coverage: Children and Art Sondheim 75th Birthday Celebration", "d:Description": "One-night-only musical gala evening in celebration of Stephen Sondheim\u2019s 75th birthday at Broadway\u2019s New Amsterdam Theatre. Includes several photos of Hugh Panaro.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=2537"} +{"d:Title": "Hugh Panaro to Play Bobby in 5th Avenue", "d:Description": "Hugh Panaro will take on the role of Bobby in the 5th Avenue Theatre's upcoming production of the Stephen Sondheim-George Furth classic, \"Company\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=11848"} +{"d:Title": "Photo Coverage: 'Broadway for Medicine' Benefit '", "d:Description": "The National Foundation for Facial Reconstruction presented 'Broadway for Medicine,' a gala concert at New York City Center featuring the best of Broadway. Includes image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://baltimore.broadwayworld.com/viewcolumn.cfm?colid=16600"} +{"d:Title": "Wikipedia: Hugh Panaro", "d:Description": "Includes brief biography and external links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://en.wikipedia.org/wiki/Hugh_Panaro"} +{"d:Title": "'How Lucky Can You Get?' 4 of Broadway's Best to Tour Europe with Streisand", "d:Description": "Hugh Panaro is set to tour with Barbra in Europe as one of the 'Broadway Four'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=17511"} +{"d:Title": "Les Miserables", "d:Description": "The show's chief asset is Broadway veteran Hugh Panaro's robust, passionate, and delicately sung performance as Jean Valjean. By Andy Propst.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://www.theatermania.com/content/news.cfm/story/13981"} +{"d:Title": "BroadwayWorld.com: An Interview with Hugh Panaro", "d:Description": "Broadway star Hugh Panaro has been thrilling audiences for years with his Hollywood good looks and talent to match, but it's his boy next door charm and down to earth personality that adds to his appeal. Includes photos. By Pati Buehler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=29037"} +{"d:Title": "DC Theatre Scene: Hugh Panaro", "d:Description": "Podcast conversation with actor Hugh Panaro as he spins stories of his Broadway experiences including the short-lived Red Shoes, working with Christine Baranski and actor J.C. Sheets. By Joel Markowitz.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://dctheatrescene.com/2008/07/01/hugh-panaro/"} +{"d:Title": "Panaro Award", "d:Description": "Temple University General Alumni Association presents Hugh Panaro with the Boyer College of Music Certificate of Honor for his career in musical theater.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://astro.temple.edu/~boyer/_baks/PanaroAward.htm.0001.e135.bak"} +{"d:Title": "BroadwayWorld.com: Hugh Panaro Biography", "d:Description": "Information regarding Broadway shows, national tours, London/West End shows, Off-Broadway, regional theater, news, fan pictures and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://broadwayworld.com/people/Hugh-Panaro"} +{"d:Title": "BroadwayWorld.com: Hugh Panaro Photos", "d:Description": "Continuously updated photo gallery with new image alert option.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://broadwayworld.com/people/gallery-person/Hugh-Panaro/"} +{"d:Title": "Hugh Panaro Fans", "d:Description": "Official live journal page for the Broadway tenor includes videos, commentary and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "http://hughpanaro.livejournal.com/"} +{"d:Title": "IBDb: Hugh Panaro: Credits on Broadway", "d:Description": "List of productions, opening and closing dates, total number of performances, awards and nominations, songs and full cast lists.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Panaro,_Hugh", "url": "https://www.ibdb.com/broadway-cast-staff/hugh-panaro-55499"} +{"d:Title": "IMDb: Nicole Ari Parker", "d:Description": "Includes filmography, awards, trivia, quotes, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Parker,_Nicole_Ari", "url": "http://www.imdb.com/name/nm0662519/"} +{"d:Title": "Jim Parsons Online", "d:Description": "Fansite about the actor and the Big Bang Theory sitcom, with photo gallery, forum, fan creations, and press archive.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Parsons,_Jim", "url": "http://www.jimparsons.net/"} +{"d:Title": "Wikipedia: Jim Parsons", "d:Description": "Encyclopedia entry with brief biography and filmography, and overview of awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Parsons,_Jim", "url": "http://en.wikipedia.org/wiki/Jim_Parsons"} +{"d:Title": "IMDb: Jim Parsons", "d:Description": "Summary page with photos, trivia, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Parsons,_Jim", "url": "http://www.imdb.com/name/nm1433588/"} +{"d:Title": "IMDb: Robert Pattinson", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pattinson,_Robert", "url": "http://www.imdb.com/name/nm1500155/"} +{"d:Title": "Virgin Media: Robert Pattinson Interview", "d:Description": "The actor talks about his role in Harry Potter And The Goblet Of Fire, \"epic-ness\" and a band called Bad Girls. By Corrina J. Antrobus.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pattinson,_Robert", "url": "http://www.virginmedia.com/movies/interviews/robertpattinson.php"} +{"d:Title": "Robert Pattinson Interview", "d:Description": "The London born actor talks about making Twilight, his music, and playing Salvador Dali. By Steve 'Frosty' Weintraub.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pattinson,_Robert", "url": "http://www.collider.com/entertainment/article.asp/aid/9788/cid/13/tcid/1"} +{"d:Title": "Robert Pattinson Daily", "d:Description": "Features photos, video clips and news. Allows visitor comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pattinson,_Robert", "url": "http://rpattzdaily.livejournal.com/"} +{"d:Title": "IMDb: Neil Pearson", "d:Description": "Filmography, biography, details of awards and nominations, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pearson,_Neil", "url": "http://www.imdb.com/name/nm0669345/"} +{"d:Title": "Theatre Musicals and Actors Web: Neil Pearson", "d:Description": "Biography and career overview with photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pearson,_Neil", "url": "http://www.tmaw.co.uk/neilp.html"} +{"d:Title": "Gushoneybungirl's Neil Pearson Page", "d:Description": "Fan site containing interviews, scanned articles, screen captures, reviews, a filmography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pearson,_Neil", "url": "http://neilpearson.freewebspace.com/"} +{"d:Title": "Telegraph: Why Spacey and I Are Poles Apart", "d:Description": "Interview discussing his role in the play 'Cloaca'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pearson,_Neil", "url": "http://www.telegraph.co.uk/culture/theatre/3623519/Why-Spacey-and-I-are-poles-apart.html"} +{"d:Title": "Syney Penny", "d:Description": "Official site with filmography and biography, news clippings, and demo video.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Penny,_Sydney", "url": "http://www.sydneypenny.net/"} +{"d:Title": "IMDb: Sydney Penny", "d:Description": "Contains full filmography, news, image gallery, biography, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Penny,_Sydney", "url": "http://www.imdb.com/name/nm0001607"} +{"d:Title": "IMDb.com: Pauley Perrette", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Perrette,_Pauley", "url": "http://www.imdb.com/name/nm0005306/"} +{"d:Title": "IMDb: Jon Pertwee", "d:Description": "Includes a filmography, biography, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pertwee,_Jon", "url": "http://www.imdb.com/name/nm0675727/"} +{"d:Title": "Wikipedia: Jon Pertwee", "d:Description": "Encyclopedia entry providing career highlights, a photograph, links and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pertwee,_Jon", "url": "http://en.wikipedia.org/wiki/Jon_Pertwee"} +{"d:Title": "Find A Grave Cemetery Records: Jon Pertwee", "d:Description": "The location of his grave, photographs, a profile, and tributes from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pertwee,_Jon", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GSln=pertwee&GSfn=jon&GSbyrel=all&GSdyrel=all&GSob=n&GRid=6821181&pt=Jon+Pertwee&"} +{"d:Title": "Screenonline: Pertwee, Jon", "d:Description": "Offers a biography, credits and links to articles on some of his more prominent works.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pertwee,_Jon", "url": "http://www.screenonline.org.uk/people/id/545943/index.html"} +{"d:Title": "IMDb: Chris Pine", "d:Description": "Biography, filmography, image gallery, video clips and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Pine,_Chris", "url": "http://www.imdb.com/name/nm1517976/"} +{"d:Title": "BBC Radio 4: Joan Plowright", "d:Description": "Interview in which she talks about her memoirs. Audio programme.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Plowright,_Joan", "url": "http://www.bbc.co.uk/radio4/womanshour/08_10_01/thursday/info1.shtml"} +{"d:Title": "Yahoo! Movies: Joan Plowright", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Plowright,_Joan", "url": "http://movies.yahoo.com/person/joan-plowright/"} +{"d:Title": "The Observer: Deconstructing Larry", "d:Description": "Review of Joan Plowright's memoirs, which reveal that the greatest role of her career - and the longest running - was her 25 years as Laurence Olivier's wife.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Plowright,_Joan", "url": "http://www.theguardian.com/books/2001/oct/07/biography.features1"} +{"d:Title": "IMDb: Joan Plowright", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Plowright,_Joan", "url": "http://www.imdb.com/name/nm0687506/"} +{"d:Title": "IMDb: Pete Postlethwaite", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Postlethwaite,_Pete", "url": "http://www.imdb.com/name/nm0000592/"} +{"d:Title": "Tom Poston Online", "d:Description": "Biography, credits, current projects, awards, and photos for this Emmy award-winning actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Poston,_Tom", "url": "http://www.tomposton.com/"} +{"d:Title": "Internet Movie Database: Tom Poston", "d:Description": "Detailed filmography, brief biography, articles, related discussion, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Poston,_Tom", "url": "http://imdb.com/name/nm0692976/"} +{"d:Title": "Nytshaed's Michael Praed Shrine", "d:Description": "Includes galleries and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Praed,_Michael", "url": "http://www.chaoticworks.com/praed/"} +{"d:Title": "Michael Praed", "d:Description": "Official site. Includes resume, news, reviews, and photo gallery as well as his official fan club, which offers fan artwork, fiction, and a forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Praed,_Michael", "url": "http://michael-praed.com/"} +{"d:Title": "IMDb: Michael Praed", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/P/Praed,_Michael", "url": "http://www.imdb.com/name/nm0695084/"} +{"d:Title": "Quinn, Patricia", "d:Description": "Includes filmography, trivia, photos and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Q", "url": "http://www.imdb.com/name/nm0703946/"} +{"d:Title": "Raft, Thomas", "d:Description": "Denmark based actor. Includes resume, biography, photographs and contact details. [In English and Danish]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.thomas-raft.net/"} +{"d:Title": "Richman, Peter Mark", "d:Description": "US based actor/novelist. Includes biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.petermarkrichman.com/"} +{"d:Title": "Rogers, Duncan M.", "d:Description": "New York based actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.duncanmrogers.com/"} +{"d:Title": "Rush, Ken", "d:Description": "US based actor. Includes resume, biography, photos, streaming video and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.kenrush.com/"} +{"d:Title": "Ring, Richie", "d:Description": "US based actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.richiering.com/"} +{"d:Title": "Reisser, Dora", "d:Description": "The biography, credits, and image gallery of this British actress, dancer, and fashion designer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://dexlabboy.tripod.com/dorareisser/"} +{"d:Title": "Ramsey, West", "d:Description": "Sacramento-based actor presents resume, pictures, technical experience, and theatre links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.angelfire.com/ca4/mytheater/index.html"} +{"d:Title": "Rueckert, Peter", "d:Description": "Germany based actor who has appeared in several Bollywood films. Includes photograph, reviews, news and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.germanactorpeter.20m.com/"} +{"d:Title": "Rose, Jennifer", "d:Description": "New York based actress. Includes a biography, resume, guestbook and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.jenniferrose.net/"} +{"d:Title": "Rose, Laura", "d:Description": "US based actress. Includes resume, contact information, headshots and on location photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://laurarose.net/"} +{"d:Title": "Rose, Joanne", "d:Description": "Australian actress based in the US. Includes a biography, photo gallery, resume, news, contact details and a wallpaper download.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.joannerose.com/"} +{"d:Title": "Ruiz, Pilar", "d:Description": "UK/Spain based actress. Includes a biography, resume, photo gallery and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://www.iainfisher.com/pilar.html"} +{"d:Title": "Richards, Jeffery", "d:Description": "Australian actor. Biography, filmography, photographs, news and general industry information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R", "url": "http://discontented-winter.tripod.com/"} +{"d:Title": "IMDb: Luise Rainer", "d:Description": "Filmography, profile, photographs, and other related career information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Rainer,_Luise", "url": "http://www.imdb.com/name/nm0707023/"} +{"d:Title": "Wikipedia: Sarah Ramos", "d:Description": "Encyclopedia article on the actress, including picture and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ramos,_Sarah", "url": "http://en.wikipedia.org/wiki/Sarah_Ramos"} +{"d:Title": "IMDb - Sarah Ramos", "d:Description": "Includes the actress's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ramos,_Sarah", "url": "http://www.imdb.com/name/nm1186061/"} +{"d:Title": "TV.com: Sarah Ramos", "d:Description": "Biography, actress news and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ramos,_Sarah", "url": "http://www.tv.com/people/sarah-ramos/"} +{"d:Title": "IMDb: Raven-Symon\u00e9", "d:Description": "Features biography, picture, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Raven-Symon\u00e9", "url": "http://www.imdb.com/name/nm0712368/"} +{"d:Title": "Raven Symone Presents", "d:Description": "Find out videos about the girl from The Cosby Show and That's So Raven.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Raven-Symon\u00e9", "url": "http://www.youtube.com/ravensymonepresents"} +{"d:Title": "Lynn Redgrave Official Home Page", "d:Description": "Official site for the actress, with biography, filmography, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Redgrave,_Lynn", "url": "http://www.redgrave.com/"} +{"d:Title": "IMDb: Lynn Redgrave", "d:Description": "Filmography, including television work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Redgrave,_Lynn", "url": "http://imdb.com/name/nm0001655/"} +{"d:Title": "Lynn Redgrave", "d:Description": "Biography, career overview, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Redgrave,_Lynn", "url": "http://www.tmaw.co.uk/lynnr.html"} +{"d:Title": "IMDb: Talulah Riley", "d:Description": "Biography, filmography, images and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Riley,_Talulah", "url": "http://www.imdb.com/name/nm1506908/"} +{"d:Title": "Yahoo! Groups: Jason Ritter", "d:Description": "Mailing list for fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ritter,_Jason", "url": "http://groups.yahoo.com/group/Jason_Ritter/"} +{"d:Title": "IMDb.com: Jason Ritter", "d:Description": "Filmography, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ritter,_Jason", "url": "http://www.imdb.com/name/nm0728762/"} +{"d:Title": "IMDb: Doris Roberts", "d:Description": "Biography, filmography, discussions, news, awards and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Roberts,_Doris", "url": "http://imdb.com/name/nm0005368/"} +{"d:Title": "Doris Roberts - Wikipedia", "d:Description": "Encyclopedia article about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Roberts,_Doris", "url": "http://en.wikipedia.org/wiki/Doris_Roberts"} +{"d:Title": "IMDb - Keith Robinson", "d:Description": "Includes the actor's biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Robinson,_Keith", "url": "http://www.imdb.com/name/nm0732803/"} +{"d:Title": "IMDb: Jean Rochefort", "d:Description": "Filmography, awards, biography, agent, discussions, photographs and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Rochefort,_Jean", "url": "http://www.imdb.com/name/nm0734000/"} +{"d:Title": "Teryl Rothery Homepage", "d:Description": "Official site for the actress who plays Stargate SG-1's Doctor Janet Fraiser. Includes biography, news on public appearances, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Rothery,_Teryl", "url": "http://www.terylrothery.com/"} +{"d:Title": "IMDb: Teryl Rothery", "d:Description": "Provides a brief biography and filmograpny of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Rothery,_Teryl", "url": "http://www.imdb.com/name/nm0745186/"} +{"d:Title": "Roy, Courtney", "d:Description": "Pennsylvania based. Resume, gallery, events, and previous work experience.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Roy,_Courtney", "url": "http://courtneyroy.com/"} +{"d:Title": "IMDb: Courtney Roy", "d:Description": "Photos, filmography, message board and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Roy,_Courtney", "url": "http://imdb.com/name/nm1732603/"} +{"d:Title": "IMDb: Mark Ruffalo", "d:Description": "Filmography, awards, biography, agent, discussions, photos and news articles for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ruffalo,_Mark", "url": "http://www.imdb.com/name/nm0749263/"} +{"d:Title": "Hollywood Bitchslap: Mark Ruffalo - Official Busiest Actor of 2004", "d:Description": "Paul Zimmerman talks with the actor about his career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ruffalo,_Mark", "url": "http://hollywoodbitchslap.com/feature.php?feature=1180"} +{"d:Title": "About.com: Mark Ruffalo talks about \"XX/XY.\"", "d:Description": "Interview with the actor about his role in the dramatic romance co-starring Kathleen Robertson and Petra Wright.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ruffalo,_Mark", "url": "http://romanticmovies.about.com/library/weekly/aaxxxyinta.htm"} +{"d:Title": "Star Chat: A Conversation with Mark Ruffalo", "d:Description": "Bonnie Laufer talks with the actor about starring opposite Meg Ryan in the erotic thriller \"In the Cut.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Ruffalo,_Mark", "url": "http://www.tribute.ca/newsletter/109/starchat_04.html"} +{"d:Title": "IMDb: Roland Rusinek", "d:Description": "Offers filmography, contact information and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/R/Rusinek,_Roland", "url": "http://www.imdb.com/name/nm1931287/"} +{"d:Title": "Silva, Frank", "d:Description": "A Venezuelan actor living in New Zealand. Biography, image gallery, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.franksilva.com/"} +{"d:Title": "Santamaria, Carmen", "d:Description": "Official site of actress. Includes biography, filmography, theatre and advertisement credits, video clips, photographs and contacts. [English, Spanish].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.carmensantamaria.es/"} +{"d:Title": "Smith, Sheridan", "d:Description": "US based actress. Includes credits, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.sheridansmith.com/"} +{"d:Title": "Sutherland, John", "d:Description": "New York City based actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://iamjohnsutherland.tripod.com/"} +{"d:Title": "Smith, Kali", "d:Description": "Includes resume, photos and vocal clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.angelfire.com/il2/kalismith/"} +{"d:Title": "St. Denis, Derra", "d:Description": "US based actress/impersonator. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.derrastdenis.com/"} +{"d:Title": "Schofield, Andrew", "d:Description": "US based actor. Includes credits, photos, links and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.moviemanandy.com/"} +{"d:Title": "Santos, John", "d:Description": "Los Angeles based SAG actor. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.johnsantos.net/"} +{"d:Title": "Somers, Nick", "d:Description": "California based actor. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.nicksomers.com/"} +{"d:Title": "Spencer, Jonathan", "d:Description": "Georgia based actor. Includes photos, news, showreel, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.angelfire.com/band/jonaspence/index.html"} +{"d:Title": "Schmid, Tom", "d:Description": "Contains a biography, pictures, sound bites, and schedule of this actor and singer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.tomschmid.com/"} +{"d:Title": "Salvatore, Jr., Jack", "d:Description": "Official site features news, biography, work, current projects, and contact information for this young actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.jacksalvatorejr.com/"} +{"d:Title": "Sabath, Bruce", "d:Description": "Background information, upcoming plays and films, resume, photographs, news and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.brucesabath.com/"} +{"d:Title": "Seymour, William", "d:Description": "New York/Los Angeles actor presents his resume, current projects, video and audio multimedia, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.williamseymour.com/"} +{"d:Title": "Saram, Michelle", "d:Description": "Fan site featuring news, biography, pictures and discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://michellesaram.topbuzz.com/"} +{"d:Title": "Sand, Sara", "d:Description": "Actress and artist providing biographical information and works.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.sarasand.de/"} +{"d:Title": "Stone, B. T.", "d:Description": "US based actor. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.btthomas.com/"} +{"d:Title": "Schroeder, Jeppe", "d:Description": "Danish actor, specializing in musical theater. Includes CV, pictures and contact information. [In Danish and English]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.jeppeschroeder.dk/"} +{"d:Title": "Speer, Emily Rose", "d:Description": "New York city based actress. Offers a resume, contact details and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://emilyrosespeer.com/"} +{"d:Title": "Scully, Aaron", "d:Description": "Based in Sydney, Australia. Offers a resume, photographs, video clips and contact facilities.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.aaronscully.com/"} +{"d:Title": "Sedgwick, David", "d:Description": "Australian actor based in New York. Contact details, production photographs and credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.davidsedgwick.com/"} +{"d:Title": "Salvador, Gerard", "d:Description": "New York based television and theater actor. Contains a resume, production photographs, multimedia clips, reviews and contact facilities.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.gerardsalvador.com/"} +{"d:Title": "Scheffler, Anne Marie", "d:Description": "Actress and comedian. Resume, acting activities, contact information, and picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.annemariescheffler.com/"} +{"d:Title": "Sosebee, Marlene", "d:Description": "Includes resume, list of works and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.queenboudica.com/"} +{"d:Title": "Sagnier, Ludivine", "d:Description": "Feature includes pictures, biography, and commentary with pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://uk.askmen.com/celebs/women/actress_200/239_ludivine_sagnier.html"} +{"d:Title": "Schwarzw\u00e4lder, Uwe", "d:Description": "Swiss SAG member. Provides a resume, photographs, video clips and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S", "url": "http://www.uweschwarzwaelder.com/"} +{"d:Title": "IMDb: Sabrina (I)", "d:Description": "Provides filmography, photos and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sabrina", "url": "http://imdb.com/name/nm0754910/"} +{"d:Title": "Sabrina", "d:Description": "Provides biography, pictures and information related to the British actress Norma Sykes in the 1950s and 1960s.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sabrina", "url": "http://nylon.net/sabrina"} +{"d:Title": "BBC News: Your Tributes to Laura Sadler", "d:Description": "Offers a variety of testimonials from fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sadler,_Laura", "url": "http://news.bbc.co.uk/1/hi/talking_point/3001606.stm"} +{"d:Title": "IMDb: Laura Sadler", "d:Description": "Includes a profile, filmography, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sadler,_Laura", "url": "http://www.imdb.com/name/nm0755548/"} +{"d:Title": "BBC News: Obituary - Laura Sadler", "d:Description": "Examines the career of Holby City actress Laura Sadler, who died after falling from a balcony.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sadler,_Laura", "url": "http://news.bbc.co.uk/1/hi/entertainment/2994486.stm"} +{"d:Title": "IMDb: Zoe Saldana", "d:Description": "Biography, filmography, images, video clips and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Saldana,_Zoe", "url": "http://www.imdb.com/name/nm0757855/"} +{"d:Title": "USATODAY.com: 'Weezie' brought love, dignity to role.", "d:Description": "'Isabel Sanford... one, big, groundbreaking TV part was enough to endear her to anyone who loves classic sitcoms.' By Robert Bianco.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sanford,_Isabel", "url": "http://www.usatoday.com/life/people/2004-07-12-sanford-death_x.htm"} +{"d:Title": "Rotten Tomatoes: Isabel Sanford", "d:Description": "Contains filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sanford,_Isabel", "url": "http://www.rottentomatoes.com/celebrity/isabel_sanford/"} +{"d:Title": "Isabel Sanford Scholarship", "d:Description": "Includes biography, scholarship information, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sanford,_Isabel", "url": "http://www.isabelsanford.tv/"} +{"d:Title": "Yahoo! Movies: Isabel Sanford", "d:Description": "Includes biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sanford,_Isabel", "url": "http://movies.yahoo.com/person/isabel-sanford/"} +{"d:Title": "IMDb: Isabel Sanford", "d:Description": "Provides a`biography, filmography, awards, photographs and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sanford,_Isabel", "url": "http://www.imdb.com/name/nm0762567/"} +{"d:Title": "IMDb: Saundra Santiago", "d:Description": "Includes a filmography, biography and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Santiago,_Saundra", "url": "http://www.imdb.com/name/nm0763639/"} +{"d:Title": "IMDb: Peter Sarsgaard", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sarsgaard,_Peter", "url": "http://www.imdb.com/name/nm0765597/"} +{"d:Title": "The Peter Sarsgaard Hub", "d:Description": "Fan page offering a biography, filmography, quotes, articles, reviews, desktop icons and a list of frequently asked questions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sarsgaard,_Peter", "url": "http://www.angelfire.com/film/petersarsgaard/"} +{"d:Title": "New York Times: Peter Sarsgaard", "d:Description": "Provides biography, filmography, news and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sarsgaard,_Peter", "url": "http://movies.nytimes.com/person/237700/Peter-Sarsgaard"} +{"d:Title": "IMDb: Charlie Schlatter", "d:Description": "Filmography, biography, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Schlatter,_Charlie", "url": "http://www.imdb.com/name/nm0772116/"} +{"d:Title": "IMDB.com: Amanda Seyfried", "d:Description": "Filmography, biography, forums, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Seyfried,_Amanda", "url": "http://www.imdb.com/name/nm1086543/"} +{"d:Title": "RadioFree.com: Amanda Seyfried", "d:Description": "Interview on the subject of 'Mean Girls'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Seyfried,_Amanda", "url": "http://movies.radiofree.com/interviews/meangirl_amanda_seyfried.shtml"} +{"d:Title": "TV.com: Amanda Seyfried", "d:Description": "Biography, roles and appearances, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Seyfried,_Amanda", "url": "http://www.tv.com/people/amanda-seyfried/"} +{"d:Title": "HBO: Big Love: Amanda Seyfried", "d:Description": "Interview concerning her role in a drama series about a polygamous family.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Seyfried,_Amanda", "url": "http://www.hbo.com/big-love/cast-and-crew/sarah-henrickson/index.html"} +{"d:Title": "IMDb: Omar Sharif", "d:Description": "Provides a filmography, biography, photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sharif,_Omar", "url": "http://www.imdb.com/name/nm0001725/"} +{"d:Title": "BBC: Pyramid with Omar Sharif", "d:Description": "Article about the actor providing the voice for Nakht on a TV history program.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sharif,_Omar", "url": "http://www.bbc.co.uk/pressoffice/pressreleases/stories/2002/10_october/10/omar_sharif_pyramid.shtml"} +{"d:Title": "Omar Sharif Interview", "d:Description": "Discusses his role in the movie 'Monsieur Ibrahim', choosing roles at this stage in his lengthy career, and filming 'Lawrence of Arabia' and 'Dr. Zhivago'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sharif,_Omar", "url": "http://movies.about.com/cs/monsieuribrahim/a/sharif21125032.htm"} +{"d:Title": "Yahoo! Movies: Omar Sharif", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sharif,_Omar", "url": "http://movies.yahoo.com/person/omar-sharif/"} +{"d:Title": "Guardian: Knave of Hearts", "d:Description": "Interview discussing his life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sharif,_Omar", "url": "http://www.theguardian.com/film/2004/mar/22/features.timdowling"} +{"d:Title": "Canoe: Omar the Myth", "d:Description": "'Film legend quick to dismiss the Oscar buzz surrounding his role'. By Jane Stevenson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sharif,_Omar", "url": "http://jam.canoe.com/Movies/Artists/S/Sharif_Omar/2003/09/08/761649.html"} +{"d:Title": "IMDb: Charles Shaughnessy", "d:Description": "Biography, filmography, photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Shaughnessy,_Charles", "url": "http://www.imdb.com/name/nm0789478/"} +{"d:Title": "IMDb: Martin Shaw", "d:Description": "Provides contacts, awards, message boards, biography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Shaw,_Martin", "url": "http://imdb.com/name/nm0789864/"} +{"d:Title": "Internet Movie Database: Tracy Shaw", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Shaw,_Tracy", "url": "http://www.imdb.com/name/nm0790012/"} +{"d:Title": "Vladek Sheybal Online", "d:Description": "Provides information on the late actor/director with biography, filmography and photographs. [English and Polish]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sheybal,_Vladek", "url": "http://www.vladeksheybal.com/"} +{"d:Title": "FAB Magazine: Vladek Sheybal", "d:Description": "Profile and interview, featuring his part of Dr. Jackson in Gerry Anderson's 'UFO' TV series.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sheybal,_Vladek", "url": "http://www.ufoseries.com/magazines/fab08.html"} +{"d:Title": "Savage Messiah: Vladek Sheybal", "d:Description": "Interview and article by David Del Valle, from Psychotronic magazine.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sheybal,_Vladek", "url": "http://www.iainfisher.com/russell/rusard.html"} +{"d:Title": "IMDb: Vladek Sheybal", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sheybal,_Vladek", "url": "http://www.imdb.com/name/nm0792996/"} +{"d:Title": "Internet Movie Database: Grant Show", "d:Description": "Contains filmography, biography, image gallery, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Show,_Grant", "url": "http://www.imdb.com/name/nm0005424/"} +{"d:Title": "Yahoo! Movies: Grant Show", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Show,_Grant", "url": "http://movies.yahoo.com/person/grant-show/"} +{"d:Title": "Find A Grave Cemetery Records: Simone Signoret", "d:Description": "Provides an exact cause of death, photographs and the location of her grave.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Signoret,_Simone", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=1358&pt=Simone+Signoret"} +{"d:Title": "Wikipedia: Simone Signoret", "d:Description": "Offers a biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Signoret,_Simone", "url": "http://en.wikipedia.org/wiki/Simone_Signoret"} +{"d:Title": "IMDb: Simone Signoret", "d:Description": "Filmography, biography, photographs, and other related career data.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Signoret,_Simone", "url": "http://www.imdb.com/name/nm0797531/"} +{"d:Title": "IMDb: Elisabeth Sladen", "d:Description": "Provides a filmography, biographical details, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sladen,_Elisabeth", "url": "http://www.imdb.com/name/nm0805207/"} +{"d:Title": "Wikipedia: Elisabeth Sladen", "d:Description": "Article offering a career overview, biographical information and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sladen,_Elisabeth", "url": "http://en.wikipedia.org/wiki/Elizabeth_Sladen"} +{"d:Title": "Richard Briggs Dr Who Collection: Elizabeth Sladen", "d:Description": "A selection of publicity photographs from 'Doctor Who'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sladen,_Elisabeth", "url": "http://www.richardwho.com/collections/collection17.asp"} +{"d:Title": "BBC: Doctor Who: Elisabeth Sladen Talks", "d:Description": "Video interview on the subject of 'Doctor Who'. With a transcript and links to photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sladen,_Elisabeth", "url": "http://www.bbc.co.uk/norfolk/content/articles/2006/04/19/film_doctor_who_lis_sladen_interview_feature.shtml"} +{"d:Title": "IMDb: Ian Somerhalder", "d:Description": "Brief biography and filmography available.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Somerhalder,_Ian", "url": "http://www.imdb.com/name/nm0813812/"} +{"d:Title": "Yahoo! Movies: Ian Somerhalder", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Somerhalder,_Ian", "url": "http://movies.yahoo.com/person/ian-somerhalder/"} +{"d:Title": "Vincent Spano Tribute", "d:Description": "Features galleries, links, appearances and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Spano,_Vincent", "url": "http://home.hiwaay.net/~keiper/spano.htm"} +{"d:Title": "Le Site Vincent Spano", "d:Description": "Site provides filmography, biography, photo albums, autograph page and interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Spano,_Vincent", "url": "http://www.angelfire.com/art/vincentspano/vspage.html"} +{"d:Title": "IMDb: Michael St. Gerard", "d:Description": "Filmography, biography, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/St._Gerard,_Michael", "url": "http://www.imdb.com/name/nm0820544/"} +{"d:Title": "IMDb: David St. James", "d:Description": "Provides news, photos and filmography about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/St._James,_David", "url": "http://imdb.com/name/nm0820574/"} +{"d:Title": "St. James, David", "d:Description": "US based SAG actor. Includes resume, biography, showreel, photos, reviews and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/St._James,_David", "url": "http://davidstjames.net/"} +{"d:Title": "IMDB - Michelle Stafford", "d:Description": "Features a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stafford,_Michelle", "url": "http://www.imdb.com/name/nm0821325/"} +{"d:Title": "Internet Broadway Database: Mary Leigh Stahl", "d:Description": "Provides official Broadway credits and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stahl,_Mary_Leigh", "url": "https://www.ibdb.com/broadway-cast-staff/mary-leigh-stahl-75099"} +{"d:Title": "Official Site: Jewel Staite", "d:Description": "Biography, news and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Staite,_Jewel", "url": "http://jewelstaite.com/"} +{"d:Title": "IMDb: Jewel Staite", "d:Description": "Biography and filmography of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Staite,_Jewel", "url": "http://www.imdb.com/name/nm0821612/"} +{"d:Title": "Yahoo! Movies: Jean Stapleton", "d:Description": "Includes filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stapleton,_Jean", "url": "http://movies.yahoo.com/person/jean-stapleton/"} +{"d:Title": "Internet Movie Database: Jean Stapleton", "d:Description": "Contains filmography, biography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stapleton,_Jean", "url": "http://www.imdb.com/name/nm0822958/"} +{"d:Title": "IMDb: Jason Statham", "d:Description": "Provides filmography, photos and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Statham,_Jason", "url": "http://imdb.com/name/nm0005458/"} +{"d:Title": "IMDb: Kyley Statham", "d:Description": "Provides filmography, photos, biography and news about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Statham,_Kyley", "url": "http://imdb.com/name/nm1022247/"} +{"d:Title": "IMDb: Alison Steadman", "d:Description": "Provides a filmography, biography, details of awards and nominations, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Steadman,_Alison", "url": "http://www.imdb.com/name/nm0824102/"} +{"d:Title": "Telegraph: Dazzlingly Down to Earth", "d:Description": "Interview concentrating on her role in the play 'The Woman Who Cooked Her Husband'. [Requires free registration]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Steadman,_Alison", "url": "http://www.telegraph.co.uk/culture/theatre/drama/3582216/Dazzlingly-down-to-earth.html"} +{"d:Title": "IMDb: Rick Steadman", "d:Description": "Provides pictures, filmography and news about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Steadman,_Rick", "url": "http://imdb.com/name/nm1942676/"} +{"d:Title": "IMDb: David Steinberg (III)", "d:Description": "Provides pictures, filmography, news and contacts for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Steinberg,_David", "url": "http://imdb.com/name/nm1051857/"} +{"d:Title": "IMDb: Debra Stephenson", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stephenson,_Debra", "url": "http://www.imdb.com/name/nm0827228/"} +{"d:Title": "IMDb: Lee E. Stevens", "d:Description": "Provides filmography, photos and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stevens,_Lee", "url": "http://imdb.com/name/nm0828556/"} +{"d:Title": "IMDb - Jessica Stevenson", "d:Description": "Biographical details, filmography, a message board and a list of awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stevenson,_Jessica", "url": "http://www.imdb.com/name/nm0828961/"} +{"d:Title": "BBC Comedy - Jessica Stevenson", "d:Description": "Profile and career overview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stevenson,_Jessica", "url": "http://www.bbc.co.uk/comedy/profiles/jessica_stevenson.shtml"} +{"d:Title": "Spaced Out - Jessica Stevenson", "d:Description": "Biography with a profile of the Spaced character Daisy Steiner.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stevenson,_Jessica", "url": "http://www.spaced-out.org.uk/about-spaced/biogs/cast/daisy.shtml"} +{"d:Title": "The Guardian - Accentuating the Positive", "d:Description": "\"In person Jessica Stevenson can be charming, assertive, self-deprecating, and the characters she's played have been multifaceted, too, and above all funny.\" By Simon Hattenstone.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stevenson,_Jessica", "url": "http://www.theguardian.com/stage/2005/jan/01/comedy.television"} +{"d:Title": "IMDb: Michael Stever", "d:Description": "Provides photos, filmography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stever,_Michael", "url": "http://imdb.com/name/nm1144187/"} +{"d:Title": "IMDb: Kristen Stewart", "d:Description": "Features photos, filmography and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stewart,_Kristen", "url": "http://www.imdb.com/name/nm0829576/"} +{"d:Title": "Wikipedia: Kristen Stewart", "d:Description": "Personal life, career and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stewart,_Kristen", "url": "http://en.wikipedia.org/wiki/Kristen_Stewart"} +{"d:Title": "Celebrity Fan Web: Kristen Stewart", "d:Description": "Features photo gallery, filmography and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stewart,_Kristen", "url": "http://www.celebrityfanweb.com/kristen-stewart/"} +{"d:Title": "Stogner, Patrick", "d:Description": "US based actor. Includes resume, photographs and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stogner,_Patrick", "url": "http://www.patrickstogner.com/"} +{"d:Title": "IMDb: Patrick Stogner", "d:Description": "Provides filmography, photos, biography and news about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stogner,_Patrick", "url": "http://imdb.com/name/nm0831125/"} +{"d:Title": "Yahoo! Movies: Ken Stott", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stott,_Ken", "url": "http://movies.yahoo.com/person/ken-stott/"} +{"d:Title": "IMDb: Ken Stott", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stott,_Ken", "url": "http://www.imdb.com/name/nm0832792/"} +{"d:Title": "IMDb: Wendy Stuart (V)", "d:Description": "Provides filmography, photos and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stuart,_Wendy", "url": "http://imdb.com/name/nm2655971/"} +{"d:Title": "IMDb: George Stults", "d:Description": "Offers a filmography, trivia, photographs, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stults,_George", "url": "http://www.imdb.com/name/nm1169471/"} +{"d:Title": "TheStarScoop.com: Interview with George Stults", "d:Description": "Discusses his career and personal preferences.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Stults,_George", "url": "http://www.thestarscoop.com/archives/george-stults.php"} +{"d:Title": "IMDb: Erik Per Sullivan", "d:Description": "Provides filmography, photos, biography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sullivan,_Erik_Per", "url": "http://imdb.com/name/nm0838059/"} +{"d:Title": "Jeremy Sumpter", "d:Description": "Official site offers news and appearances, resume, profile and facts, FAQs, photographs, and other related information on the young actor.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sumpter,_Jeremy", "url": "http://www.jeremysumpter.com/"} +{"d:Title": "IMDb: Jeremy Sumpter", "d:Description": "Filmography, trivia, profile, related links, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sumpter,_Jeremy", "url": "http://www.imdb.com/name/nm0838911/"} +{"d:Title": "Surovy, Cory", "d:Description": "Biographical information, current projects, resume, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Surovy,_Cory", "url": "http://corysurovy.com/"} +{"d:Title": "IMDb: Cory Surovy", "d:Description": "Provides filmography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Surovy,_Cory", "url": "http://imdb.com/name/nm2003412/"} +{"d:Title": "IMDb: Silvia Suvadova", "d:Description": "Provides filmography, photos, biography and contacts for the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Suvadova,_Silvia", "url": "http://imdb.com/name/nm0840445/"} +{"d:Title": "IMDb: Kimmy Suzuki", "d:Description": "Provides filmography, photos, biography and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Suzuki,_Kimmy", "url": "http://imdb.com/name/nm0840617/"} +{"d:Title": "IMDb: Alison Sweeney", "d:Description": "Provides pictures, biography, contacts and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sweeney,_Alison", "url": "http://imdb.com/name/nm0842081/"} +{"d:Title": "Sweeney, Garry", "d:Description": "Equity member. Profile, contact details and curriculum vitae.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sweeney,_Garry", "url": "http://www.angelfire.com/movies/garry/"} +{"d:Title": "IMDb: Garry Sweeney", "d:Description": "Filmography and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sweeney,_Garry", "url": "http://imdb.com/name/nm0842116/"} +{"d:Title": "IMDb: Gregor Sweers", "d:Description": "Provides filmography, biography, photos and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sweers,_Gregor", "url": "http://imdb.com/name/nm1778962/"} +{"d:Title": "Sweeten, Madylin", "d:Description": "Biography, filmography, television, photographs, and related information on this actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sweeten,_Madylin", "url": "http://www.madylinsweeten.com/"} +{"d:Title": "IMDb: Madylin Sweeten", "d:Description": "Features filmography, photos, biography and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Sweeten,_Madylin", "url": "http://imdb.com/name/nm0842324/"} +{"d:Title": "IMDb: Eliza Swenson", "d:Description": "Feature pictures, filmography, news and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Swenson,_Eliza", "url": "http://imdb.com/name/nm1918942/"} +{"d:Title": "Yahoo! Movies: Tilda Swinton", "d:Description": "Biography, filmography, photos, awards and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Swinton,_Tilda", "url": "http://movies.yahoo.com/person/tilda-swinton/"} +{"d:Title": "IMDb: Tilda Swinton", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Swinton,_Tilda", "url": "http://www.imdb.com/name/nm0842770/"} +{"d:Title": "Internet Movie Database: Amanda Swisten", "d:Description": "Contains biography, filmography and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Swisten,_Amanda", "url": "http://www.imdb.com/name/nm1343600/"} +{"d:Title": "Yahoo! Movies: Amanda Swisten", "d:Description": "Contains filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Swisten,_Amanda", "url": "http://movies.yahoo.com/person/amanda-swisten/"} +{"d:Title": "IMDb: Eric Szmanda", "d:Description": "Provides pictures, news, filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/S/Szmanda,_Eric", "url": "http://imdb.com/name/nm0844172/"} +{"d:Title": "Turkel, Ann", "d:Description": "US based actress, dancer, singer, writer, producer. Includes biography, photos, clips, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.annturkel.com/"} +{"d:Title": "Toy, Alan", "d:Description": "US based wheelchair actor. Includes biography, filmography, credits, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.alantoy.com/"} +{"d:Title": "Takagi, Tokuko Nagai", "d:Description": "Information on the life and career of the first Japanese actress to perform professionally in motion pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.brightlightsfilm.com/30/tokuko.html"} +{"d:Title": "Thomas, Simms", "d:Description": "California based film and television actress. Includes pictures, biography, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.simmsthomas.com/"} +{"d:Title": "Toibin, Niall", "d:Description": "Ireland based actor. Includes, Biography, filmography, news and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.nialltoibin.com/"} +{"d:Title": "Tobias, Oliver", "d:Description": "UK based actor. Includes biography, current work and a picture gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.olivertobias.co.uk/"} +{"d:Title": "Tisdale, Christianne", "d:Description": "New York based actress. Includes resume, biography, news, video clips and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.christiannetisdale.com/"} +{"d:Title": "ToMatalau, John", "d:Description": "Australia based character actor. Includes photographs, resume, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.stagedoorjohnny.com/"} +{"d:Title": "Tyronne, Johnny", "d:Description": "Photographs, resume, and contact information for this actor and model.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://johnnytyronne.com/"} +{"d:Title": "Tso, Yee Jee", "d:Description": "Actor based in Canada. News, information, photographs, and an interactive questions and answers. Also tips for acting and independent producers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://yeejeetso.com/"} +{"d:Title": "Tylo, Michael", "d:Description": "Fansite with articles, forum, news and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.michaeltyloonline.com/"} +{"d:Title": "Tommey, Steve", "d:Description": "U.S. based actor. Includes television, film and theater work, personal profile, photographs and contact email.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.stevetommey.com/"} +{"d:Title": "Thompson, Leah", "d:Description": "United States-based actress and singer. Includes a biography, pictures, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://leahthompson.com/"} +{"d:Title": "Tang, Chen", "d:Description": "Professional actor and model based in U.S. Provides video clips, photographs and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.chentang.net/"} +{"d:Title": "Tsekhman, Adam", "d:Description": "Official website. Photo gallery, video, links and latest news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://adamtsekhman.com/"} +{"d:Title": "Topper, Scott", "d:Description": "Photo gallery, media and resume.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.scotttopper.com/"} +{"d:Title": "Turner, Dwight", "d:Description": "Official website for the actor provides biography, resume, pictures and information on his latest projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.dwightturner.com/"} +{"d:Title": "Thinnes, Roy", "d:Description": "Actor Roy Thinnes, star of The Invaders, official home page with career and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.roythinnes.com/"} +{"d:Title": "Tyler, Steve - TV Guide", "d:Description": "Information about actor Steve Tyler from TVguide.com.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.tvguide.com/celebrities/steve-tyler/175501"} +{"d:Title": "Tucci, Stanley", "d:Description": "Films, biography, news, interviews and articles about actor Stanley Tucci.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.freewebs.com/stanleytucci/"} +{"d:Title": "Taylor, Sharon", "d:Description": "A fan site dedicated to the actress Sharon Taylor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://sharontaylor.webs.com/"} +{"d:Title": "Tokas, Rajat", "d:Description": "Information, photos, news and links about Indian actor Rajat Tokas.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.rajat-tokas.com/"} +{"d:Title": "Thomas, Allison Carter", "d:Description": "Official site for news about film and television actress, Allison Carter Thomas.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.allisoncarterthomas.com/"} +{"d:Title": "Tucker, Bret - Fan Site", "d:Description": "Fan site with information, pictures and a forum about the Aussie actor Brett Tucker. He is well known for his part as Dave Brewer in 'McLeod's Daughters' and as Daniel Fitzgerald in 'Neighbours'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.freewebs.com/bretttucker/"} +{"d:Title": "Tishby, Noa", "d:Description": "Noa Tishby is an Israeli actress, producer, model and singer based in Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://noatishby.com/"} +{"d:Title": "Thompson, Greg", "d:Description": "Greg M Thompson is a professional variety entertainer based out of Orlando, Florida. His background includes Disney, Universal Studios and Sea World.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://entertainergregthompson.com/"} +{"d:Title": "Toomey, Melissa", "d:Description": "A New York-based actress and graduate of the conservatory of acting at SUNY Purchase.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://www.melissatoomey.webs.com/"} +{"d:Title": "Tully, Patrick Davey", "d:Description": "Los Angeles based actor. Includes resume, video, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://patrickdavey.com/"} +{"d:Title": "Torv, Anna", "d:Description": "Fan site for the Australian actress. Contains pictures, news, videos and information on her latest projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T", "url": "http://anna-torv.net/"} +{"d:Title": "IMDb: Tabu", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tabu", "url": "http://www.imdb.com/name/nm0007102/"} +{"d:Title": "George Takei", "d:Description": "Official homepage with news, appearances, biography, pictures, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Takei,_George", "url": "http://www.georgetakei.com/"} +{"d:Title": "IMDb: George Takei", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Takei,_George", "url": "http://www.imdb.com/name/nm0001786/"} +{"d:Title": "Startrek.com - George Takei", "d:Description": "Provides bio, images, and characters.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Takei,_George", "url": "http://www.startrek.com/database_article/takei"} +{"d:Title": "IMDb: Patricia Tallman", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tallman,_Patricia", "url": "http://www.imdb.com/name/nm0848191/"} +{"d:Title": "Norma Talmadge", "d:Description": "Biography by Kally Mavromatis.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Talmadge,_Norma", "url": "http://www.csse.monash.edu.au/~pringle/silent/ssotm/Nov97/"} +{"d:Title": "The Norma Talmadge Website", "d:Description": "Dedicated to the career of the silent film actress including a filmography, photos, articles, video reviews and vintage reviews of her films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Talmadge,_Norma", "url": "http://www.stanford.edu/~gdegroat/NT/"} +{"d:Title": "Norma Talmadge", "d:Description": "Filmography, biography and photographs from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Talmadge,_Norma", "url": "http://www.imdb.com/name/nm0848232/"} +{"d:Title": "Amber Tamblyn", "d:Description": "Official site. Includes a message forum, personal thoughts and poetry, photos, news, and memorabilia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tamblyn,_Amber_Rose", "url": "http://www.amtam.com/"} +{"d:Title": "IMDb: Amber Tamblyn", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tamblyn,_Amber_Rose", "url": "http://www.imdb.com/name/nm0848554/"} +{"d:Title": "Russ Tamblyn", "d:Description": "Filmography provided by IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tamblyn,_Russ", "url": "http://www.imdb.com/name/nm0848560/"} +{"d:Title": "Movie Magazine International: Jessica Tandy Tribute", "d:Description": "Short profile of her life and work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tandy,_Jessica", "url": "http://www.shoestring.org/mmi_revs/jessica-tandy.html"} +{"d:Title": "IMDb: Jessica Tandy", "d:Description": "Profile, filmography, and television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tandy,_Jessica", "url": "http://www.imdb.com/name/nm0001788/"} +{"d:Title": "The Official Amanda Tapping Website", "d:Description": "Includes biography, filmography, photo gallery, events, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tapping,_Amanda", "url": "http://www.amandatapping.com/"} +{"d:Title": "IMDb: Amanda Tapping", "d:Description": "The Internet Movie Database includes filmography, trivia, photo gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tapping,_Amanda", "url": "http://www.imdb.com/name/nm0850102/"} +{"d:Title": "Stargate SG-1: Amanda Tapping", "d:Description": "Her biography and picture gallery at MGM's promo site for the Stargate series.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tapping,_Amanda", "url": "http://stargate.mgm.com/char_detail.php?id=14"} +{"d:Title": "Thespian Net presents Amanda Tapping", "d:Description": "Includes biography, movie and TV credits, articles, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tapping,_Amanda", "url": "http://www.thespiannet.com/actresses/T/tapping_amanda/amanda_tapping.shtml"} +{"d:Title": "TVGuide.com: Amanda Tapping", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tapping,_Amanda", "url": "http://www.tvguide.com/celebrities/amanda-tapping/189453"} +{"d:Title": "Amanda Tapping Ring", "d:Description": "A web ring that connects various pages and sites devoted to actress Amanda Tapping.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tapping,_Amanda", "url": "http://www.webring.org/hub?ring=amandatappingand"} +{"d:Title": "IMDb: Audrey Tautou", "d:Description": "The Internet Movie Database includes filmography, trivia, photo gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tautou,_Audrey", "url": "http://www.imdb.com/name/nm0851582/"} +{"d:Title": "Audrey Tautou owes Success to her \"Amelie\"", "d:Description": "Interview by Paul Fischer in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tautou,_Audrey", "url": "http://www.filmmonthly.com/Profiles/Articles/ATautau/ATautau.html"} +{"d:Title": "PopEntertainment.com: Audrey Tautou", "d:Description": "Interview with Brad Balfour to discuss her performance in A Very Long Engagement.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tautou,_Audrey", "url": "http://www.popentertainment.com/tautou.htm"} +{"d:Title": "Audrey Tautou", "d:Description": "Fan site with biography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tautou,_Audrey", "url": "http://audrey.tautou.info/"} +{"d:Title": "IMDb: Zoe Tay", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tay,_Zoe", "url": "http://www.imdb.com/name/nm0851848/"} +{"d:Title": "TVGuide.com: Christine Taylor", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Christine", "url": "http://www.tvguide.com/celebrities/christine-taylor/144720"} +{"d:Title": "IMDb: Christine Taylor (I)", "d:Description": "The Internet Movie Database includes filmography, profile, trivia, images, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Christine", "url": "http://www.imdb.com/name/nm0852132/"} +{"d:Title": "Canoe: Christine Taylor", "d:Description": "The Canadian Online Explorer's archive of articles on Taylor's work and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Christine", "url": "http://jam.canoe.com/Movies/Artists/T/Taylor_Christine/"} +{"d:Title": "Reel Classics - Elizabeth Taylor", "d:Description": "Features her film career and biography with photos and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Elizabeth", "url": "http://www.reelclassics.com/Actresses/Liz_Taylor/liz.htm"} +{"d:Title": "IMDb: Elizabeth Taylor (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Elizabeth", "url": "http://www.imdb.com/name/nm0000072/"} +{"d:Title": "Lili Taylor Homepage", "d:Description": "Dedicated to the films, interests and life of Lili Taylor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Lili", "url": "http://lili.net/"} +{"d:Title": "IMDb: Lili Taylor", "d:Description": "Filmography and brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Lili", "url": "http://www.imdb.com/name/nm0000666/"} +{"d:Title": "IMDb: Noah Taylor", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Noah", "url": "http://www.imdb.com/name/nm0852965/"} +{"d:Title": "The Complete Rod Taylor", "d:Description": "Provides details about the actor, his performing career, biography, and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Rod", "url": "http://www.rodtaylorsite.com/"} +{"d:Title": "IMDb: Rod Taylor", "d:Description": "Features brief biography, picture, tidbits, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Taylor,_Rod", "url": "http://www.imdb.com/name/nm0001792/"} +{"d:Title": "Shirley Temple Shrine", "d:Description": "Features photograph albums, wallpaper, fan fiction, banners and movie list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://www.angelfire.com/ct2/dimple/index.html"} +{"d:Title": "Shirley Temple Fans", "d:Description": "Fan site Includes photographs, songs, a biography and other details about the child star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://shirleytemplefans.com/"} +{"d:Title": "Shirley Temple Club", "d:Description": "Chat room, message board, and fan information. Free registration for membership required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://groups.yahoo.com/group/shirleytempleclub/"} +{"d:Title": "The Official Shirley Temple Web Site", "d:Description": "Official website of Shirley Temple with information about Shirley Temple Black, Shirley Temple's movies, songs and biography. Purchase Shirley Temple DVDs, movies, music and memorabilia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://www.shirleytemple.com/"} +{"d:Title": "Loretta's Shirley Temple Dolls", "d:Description": "A Shirley Temple doll reference site for collectors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://www.allmydolls.com/"} +{"d:Title": "Shirley Temple Fan Page", "d:Description": "Career highlights, fan clubs, collectable items and events. Also provides song clips and lyrics.from Shirley's movies, and quotes about her from her movie peers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://users.tpg.com.au/l_west/"} +{"d:Title": "Reel Classics: Shirley Temple", "d:Description": "Photographs, information about her movies and wallpaper images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://www.reelclassics.com/Actresses/Shirley/shirley.htm"} +{"d:Title": "IMDb: Shirley Temple", "d:Description": "The Internet Movie Database includes her complete filmography, profile, picture gallery, trivia, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://www.imdb.com/name/nm0000073/"} +{"d:Title": "Wikipedia: Shirley Temple", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "https://en.wikipedia.org/wiki/Shirley_Temple"} +{"d:Title": "CNN: Famed former child actress Shirley Temple dies", "d:Description": "News article announces her death and gives details of her career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Temple_Black,_Shirley", "url": "http://www.cnn.com/2014/02/11/showbiz/hollywood-shirley-temple-death/"} +{"d:Title": "IMDb: David Tennant", "d:Description": "Includes a filmography, biographical information, a message board, photographs and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://www.imdb.com/name/nm0855039/"} +{"d:Title": "Wikipedia: David Tennant", "d:Description": "Biography and career overview, with a filmography, theatre credits, voice acting work and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://en.wikipedia.org/wiki/David_Tennant"} +{"d:Title": "David-Tennant.com", "d:Description": "Offers a biography, filmography, scanned articles, interviews, promotional photographs, screen captures, reviews, desktop and mobile phone enhancements, a message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://tennant05.tripod.com/"} +{"d:Title": "BBC News: New Doctor Prepares for Invasion", "d:Description": "'New Doctor Who David Tennant is interviewed ahead of his first show as the Doctor on Christmas Day.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://news.bbc.co.uk/1/hi/entertainment/4524180.stm"} +{"d:Title": "BBC News: Tennant to Take Over the Tardis", "d:Description": "'Actor David Tennant, star of BBC period drama Casanova, is officially named the new Doctor Who.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://news.bbc.co.uk/1/hi/wales/4450285.stm"} +{"d:Title": "BBC: Is David Tennant Right for Doctor Who?", "d:Description": "Devotees of the programme discuss the actor's suitabilty for the role.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://news.bbc.co.uk/1/hi/talking_point/4452119.stm"} +{"d:Title": "BBC News: New Doctor Who's Fears Over Role", "d:Description": "'New Doctor Who actor David Tennant says predecessor Christopher Eccleston will be a hard act to follow.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://news.bbc.co.uk/1/hi/entertainment/4702425.stm"} +{"d:Title": "BBC News: Actor David Tennant Conquers TV", "d:Description": "'Actor David Tennant becomes the new Doctor Who after a meteoric rise in television and theatre.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://news.bbc.co.uk/1/hi/entertainment/4396961.stm"} +{"d:Title": "DavidTennantOnTwitter.com", "d:Description": "Includes news, photos, videos and MP3s of all aspects of his career including stage, television, movies and Doctor Who.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://www.davidtennantontwitter.com/"} +{"d:Title": "The Observer: Tennant's Extra", "d:Description": "Interview examining his career and thoughts on being cast as the 10th Doctor Who.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://www.theguardian.com/media/2005/dec/11/bbc.broadcasting"} +{"d:Title": "Guardian: Love and Anger", "d:Description": "Interview discussing his role in a 2005 production of 'Look Back in Anger'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_David", "url": "http://www.theguardian.com/stage/2005/jan/01/theatre1"} +{"d:Title": "IMDb: Victoria Tennant", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tennant,_Victoria", "url": "http://www.imdb.com/name/nm0005481/"} +{"d:Title": "IMDb: Esta TerBlanche", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Terblanche,_Esta", "url": "http://www.imdb.com/name/nm0855452/"} +{"d:Title": "Lee Tergesen Fan Site", "d:Description": "Has archived images and video clips. [Flash]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tergesen,_Lee", "url": "http://www.angelfire.com/ok5/beecher8/"} +{"d:Title": "IMDb: Lee Tergesen", "d:Description": "Filmography, including television work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tergesen,_Lee", "url": "http://www.imdb.com/name/nm0855564/"} +{"d:Title": "Annotated Lee Tergesen Site", "d:Description": "News, images, TV listings and a detailed guide to the actor's career", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tergesen,_Lee", "url": "http://www.fanfromfla.net/lee/"} +{"d:Title": "Official Lee Tergesen Site", "d:Description": "Highlights include message boards, archive of press interviews and a past \"Ask Lee\" section.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tergesen,_Lee", "url": "http://www.leetergesen.com/"} +{"d:Title": "IMdb: Eric Thal", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thal,_Eric", "url": "http://www.imdb.com/name/nm0856908/"} +{"d:Title": "John Thaw", "d:Description": "Detailed biography, photos, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thaw,_John", "url": "http://www.tmaw.co.uk/johnt.html"} +{"d:Title": "BBC News: John Thaw: Your tributes", "d:Description": "Actor John Thaw, star of Inspector Morse and The Sweeney, has died at home at the age of 60, after a much-publicised battle against cancer. Send us your tributes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thaw,_John", "url": "http://news.bbc.co.uk/1/hi/talking_point/1834936.stm"} +{"d:Title": "BBC News: Hancock 'to write \u00a31m Thaw book'", "d:Description": "'John Thaw's widow Sheila Hancock could reportedly be offered an advance of up to \u00a31m for a biography of the late Inspector Morse star.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thaw,_John", "url": "http://news.bbc.co.uk/1/hi/entertainment/2061014.stm"} +{"d:Title": "BBC News: Thaw Undergoes Cancer Treatment", "d:Description": "'The 59-year-old, known to a generation as Inspector Morse, is suffering from cancer of the oesophagus.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thaw,_John", "url": "http://news.bbc.co.uk/1/hi/entertainment/1397328.stm"} +{"d:Title": "BBC News: Bafta Honour for John Thaw", "d:Description": "'Inspector Morse star John Thaw is to be given a Bafta fellowship, the screen body's highest honour.'", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thaw,_John", "url": "http://news.bbc.co.uk/1/hi/entertainment/1324049.stm"} +{"d:Title": "IMDb: John Thaw", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thaw,_John", "url": "http://www.imdb.com/name/nm0857177/"} +{"d:Title": "Charlize Theron Site", "d:Description": "Fan site with biography, filmography, images, and wallpaper.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://charlizetheron01.20m.com/"} +{"d:Title": "IMDb: Charlize Theron", "d:Description": "The Internet Movie Database includes filmography, mini biography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://www.imdb.com/name/nm0000234/"} +{"d:Title": "Charlize Theron Net Guide", "d:Description": "Expansive collection of web links and recommended sites related to the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://koti.mbnet.fi/ctguide/"} +{"d:Title": "Topix: Charlize Theron", "d:Description": "News about Charlize Theron, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://www.topix.com/rss/who/charlize-theron.xml"} +{"d:Title": "AskMen: Charlize Theron", "d:Description": "Photos, biography, editors' rating, quotes, articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://www.askmen.com/celebs/women/actress/17_charlize_theron.html"} +{"d:Title": "Wikipedia", "d:Description": "Article describing her career, personal life, promotional deals, activism, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://en.wikipedia.org/wiki/Charlize_Theron"} +{"d:Title": "Actress Charlize Theron", "d:Description": "Box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?ctheron"} +{"d:Title": "The Official Website of Charlize Theron", "d:Description": "Includes biography, articles, interviews, links, film reviews, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize", "url": "http://www.charlizeafricaoutreach.org/"} +{"d:Title": "AskMen.com: Charlize Theron", "d:Description": "Pictures, biography, commentary and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize/Articles_and_Interviews", "url": "http://www.askmen.com/women/actress/17_charlize_theron.html"} +{"d:Title": "HollywoodBabes.com - Charlize Theron", "d:Description": "Discography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize/Articles_and_Interviews", "url": "http://www.hollywoodbabes.com/bios/theron_charlize.htm"} +{"d:Title": "CBS News: Charlize Theron's 'Monster' Role", "d:Description": "Charlize Theron talks about her role in the 2003 movie 'Monster'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize/Articles_and_Interviews", "url": "http://www.cbsnews.com/news/charlize-therons-monster-role/"} +{"d:Title": "CBS News: 'Monster' Success For Theron", "d:Description": "News item on Charlize Theron's 'Best Actress' Oscar win for 'Monster'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Theron,_Charlize/Articles_and_Interviews", "url": "http://www.cbsnews.com/news/monster-success-for-theron/"} +{"d:Title": "TAT Webpage", "d:Description": "Biography, career highlights, links, and large photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thiessen,_Tiffani", "url": "http://members.tripod.com/tatwp/"} +{"d:Title": "Askmen.com: Tiffani Thiessen", "d:Description": "Offers commentary, a biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thiessen,_Tiffani", "url": "http://www.askmen.com/women/actress/27_tiffani_amber_thiessen.html"} +{"d:Title": "Undying Tiffani Amber Thiessen", "d:Description": "Picture gallery, biography, filmography, contact information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thiessen,_Tiffani", "url": "http://www.undying.com/celeb/Tiffani_Amber_Thiessen/index.htm"} +{"d:Title": "IMDb.com: Tiffani Thiessen", "d:Description": "Includes a photo gallery, a mini biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thiessen,_Tiffani", "url": "http://www.imdb.com/name/nm0005485/"} +{"d:Title": "Rave Tiffani Thiessen", "d:Description": "Offers a biography, photo gallery, videos, style book, various games and a forum. News is posted daily to keep Tiffani fans in the loop.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thiessen,_Tiffani", "url": "http://www.tiffanithiessen.org/"} +{"d:Title": "IMDb: Damien Thomas", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Damien", "url": "http://www.imdb.com/name/nm0858669/"} +{"d:Title": "TVGuide.com: Heather Thomas", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Heather", "url": "http://www.tvguide.com/celebrities/heather-thomas/153385"} +{"d:Title": "IMDb: Heather Thomas", "d:Description": "Filmography and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Heather", "url": "http://www.imdb.com/name/nm0001793/"} +{"d:Title": "IMDb: Henry Thomas (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Henry", "url": "http://www.imdb.com/name/nm0001794/"} +{"d:Title": "Yahoo! Movies: Henry Thomas", "d:Description": "Biography, filmography, photos and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Henry", "url": "http://movies.yahoo.com/person/henry-thomas/"} +{"d:Title": "Jake Thomas", "d:Description": "California based actor. Includes biography, photos and contact details.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Jake", "url": "http://www.jakethomas.com/"} +{"d:Title": "IMDb: Jake Thomas (I)", "d:Description": "Photos, filmography, discussions, bio, news, awards and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Jake", "url": "http://imdb.com/name/nm0858969"} +{"d:Title": "Jake Thomas - Wikipedia", "d:Description": "Encyclopedia article about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Jake", "url": "http://en.wikipedia.org/wiki/Jake_Thomas"} +{"d:Title": "The Life and Death of Olive Thomas", "d:Description": "A recounting of \"the movie industry's first real scandal.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Olive", "url": "http://www.public.asu.edu/~ialong/Taylor33.txt"} +{"d:Title": "Olive Thomas", "d:Description": "Filmography and biography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomas,_Olive", "url": "http://www.imdb.com/name/nm0859310/"} +{"d:Title": "IMDb: Marsha Thomason", "d:Description": "Features filmography, biography, discussions and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomason,_Marsha", "url": "http://www.imdb.com/name/nm0859720/"} +{"d:Title": "BBC: Marsha Thomason", "d:Description": "British actress talks ghosts, Eddie Murphy and Cockney rhyming slang on the set of The Haunted Mansion.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomason,_Marsha", "url": "http://www.bbc.co.uk/films/2004/01/30/marsha_thomason_the_haunted_mansion_interview.shtml"} +{"d:Title": "Woosh!: Interview with Tim Thomerson", "d:Description": "Interview discussing his life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomerson,_Tim", "url": "http://www.whoosh.org/issue16/ithom1.html"} +{"d:Title": "IMDb: Tim Thomerson", "d:Description": "Full filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thomerson,_Tim", "url": "http://www.imdb.com/name/nm0859772/"} +{"d:Title": "Andrea Thompson Web Page", "d:Description": "Fan site with interviews, articles, biography, photo gallery, audio and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Andrea", "url": "http://members.tripod.com/~AndreaThompson/index.html"} +{"d:Title": "Detective Jill Kirkendall", "d:Description": "Short biography and a picture of Andrea Thompson and of her NYPD Blue character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Andrea", "url": "http://www.stwing.upenn.edu/~sepinwal/kirkendall.txt.html"} +{"d:Title": "IMDb: Andrea Thompson", "d:Description": "The Internet Movie Database includes filmography, mini biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Andrea", "url": "http://www.imdb.com/name/nm0005487/"} +{"d:Title": "Foxy Andrea Thompson", "d:Description": "A Yahoo based online club with message board, chat room, photos, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Andrea", "url": "http://movies.groups.yahoo.com/group/foxyandreathompson/"} +{"d:Title": "TVGuide.com: Andrea Thompson", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Andrea", "url": "http://www.tvguide.com/celebrities/andrea-thompson/139591"} +{"d:Title": "Emma Thompson", "d:Description": "Biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Emma", "url": "http://www.tmaw.co.uk/emmat.html"} +{"d:Title": "IMDb: Emma Thompson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Emma", "url": "http://www.imdb.com/name/nm0000668/"} +{"d:Title": "Acid Logic: Fred Thompson", "d:Description": "A negative look at the Senator by John Saleeby.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Fred_Dalton", "url": "http://www.acidlogic.com/im_fredthompson.htm"} +{"d:Title": "CNN: Inside Politics", "d:Description": "Transcript of an interview with the Senator.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Fred_Dalton", "url": "http://www.cnn.com/ALLPOLITICS/1998/05/20/ip.thompson/"} +{"d:Title": "IMDb: Fred Dalton Thompson", "d:Description": "Includes biography, trivia, news articles, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Fred_Dalton", "url": "http://www.imdb.com/name/nm0000669/"} +{"d:Title": "FILM - Jack Thompson", "d:Description": "A dinkum Australian award - with brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Jack", "url": "http://www.dinkumaussies.com/FILM/Jack%20Thompson.htm"} +{"d:Title": "TVGuide.com: Jack Thompson", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Jack", "url": "http://www.tvguide.com/celebrities/jack-thompson/154999"} +{"d:Title": "Jack Thompson", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Jack", "url": "http://www.imdb.com/name/nm0860233/"} +{"d:Title": "Hoprdox's Lea Thompson Fanpage", "d:Description": "Biography, filmography, photos, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Lea", "url": "http://compz.cz/lkt/"} +{"d:Title": "Lea Thompson", "d:Description": "IMDb- Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Lea", "url": "http://www.imdb.com/name/nm0000670/"} +{"d:Title": "Actress Lea Thompson", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thompson,_Lea", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?lthompson"} +{"d:Title": "IMDb: Courtney Thorne-Smith", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thorne-Smith,_Courtney", "url": "http://www.imdb.com/name/nm0005489/"} +{"d:Title": "TVGuide.com: Courtney Thorne-Smith", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thorne-Smith,_Courtney", "url": "http://www.tvguide.com/celebrities/courtney-thorne-smith/145521"} +{"d:Title": "Sigrid Thornton Website", "d:Description": "One of Australia's leading and best loved actresses, Sigrid Thornton has starred in many of the films which are now regarded as classics of the Australian cinema.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thornton,_Sigrid", "url": "http://www.sigridthornton.com/"} +{"d:Title": "Sigrid Thornton", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thornton,_Sigrid", "url": "http://www.imdb.com/name/nm0861600/"} +{"d:Title": "Uma Forever", "d:Description": "Includes image gallery, biography, filmography, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thurman,_Uma", "url": "http://members.tripod.com/~no1_umafan/index.html"} +{"d:Title": "Undying Uma Thurman", "d:Description": "Photo gallery, biography, filmography, vital statistics, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thurman,_Uma", "url": "http://www.undying.com/celeb/Uma_Thurman/index.htm"} +{"d:Title": "AskMen.com: Uma Thurman", "d:Description": "Includes photographs, brief biography, ratings, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thurman,_Uma", "url": "http://www.askmen.com/women/actress_60/62_uma_thurman.html"} +{"d:Title": "Yahoo Clubs: UmaClub", "d:Description": "Message forum for fans to discuss Uma Thurman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thurman,_Uma", "url": "http://groups.yahoo.com/group/umaclub/"} +{"d:Title": "IMDb: Uma Thurman", "d:Description": "Includes filmography, picture gallery, awards, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thurman,_Uma", "url": "http://www.imdb.com/name/nm0000235/"} +{"d:Title": "Celebrity Photo Archives: Uma Thurman", "d:Description": "Over 70 photographs, in a thumbnail gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thurman,_Uma/Image_Galleries", "url": "http://www.celebrityphotoarchives.com/cgi-bin/imageFolio.cgi?direct=Female_Celebs/Uma_Thurman"} +{"d:Title": "Old Socks - Uma Thurman", "d:Description": "Provides photos that can be used as wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Thurman,_Uma/Image_Galleries", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Uma+Thurman"} +{"d:Title": "IMDb: Gene Tierney", "d:Description": "Provides filmography, awards, biography, discussions and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tierney,_Gene", "url": "http://www.imdb.com/name/nm0000074/"} +{"d:Title": "Gene Tierney", "d:Description": "Tribute featuring image galleries, movie magazine articles, biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tierney,_Gene", "url": "http://themave.com/Tierney/"} +{"d:Title": "Meredy's Gene Tierney Trivia Mania", "d:Description": "Features trivia questions about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tierney,_Gene", "url": "http://www.meredy.com/gttriv.html"} +{"d:Title": "Lawrence Tierney", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tierney,_Lawrence", "url": "http://www.imdb.com/name/nm0862937/"} +{"d:Title": "Lawrence Tierney Dead at 82", "d:Description": "Obituary from Film Threat.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tierney,_Lawrence", "url": "http://www.filmthreat.com/?section=goreydetails&Id=176"} +{"d:Title": "IMDb: Maura Tierney", "d:Description": "Includes filmography, profile, mini biography, images, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tierney,_Maura", "url": "http://www.imdb.com/name/nm0005491/"} +{"d:Title": "IMDb: Jennifer Tilly", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tilly,_Jennifer", "url": "http://www.imdb.com/name/nm0000236/"} +{"d:Title": "The Movie Times: Jennifer Tilly", "d:Description": "Box office information on all her movies, links, pictures, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tilly,_Jennifer", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jtilly"} +{"d:Title": "Meg Tilly Screen Captures", "d:Description": "Pictures of Meg from the movie, The Big Chill, as well as a brief synopsis of her role. Also, sound and film clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tilly,_Meg", "url": "http://www.xmission.com/~waynew/caps14.htm"} +{"d:Title": "Infoplease.com", "d:Description": "Meg Tilly biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tilly,_Meg", "url": "http://www.infoplease.com/ipea/A0766450.html"} +{"d:Title": "Meg Tilly Homage Page", "d:Description": "Fan page with pictures, songs, high school yearbook, and a poll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tilly,_Meg", "url": "http://www.xmission.com/~waynew/page2.htm"} +{"d:Title": "Meg Tilly", "d:Description": "Official web site of the author and actress includes her blog, book excerpts, teachers' guides and news.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tilly,_Meg", "url": "http://www.officialmegtilly.com/"} +{"d:Title": "IMDb: Meg Tilly", "d:Description": "Profile and complete filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tilly,_Meg", "url": "http://www.imdb.com/name/nm0000672/"} +{"d:Title": "IMDb: Christopher Timothy", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Timothy,_Christopher", "url": "http://www.imdb.com/name/nm0863790/"} +{"d:Title": "TV.com: Christopher Timothy", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Timothy,_Christopher", "url": "http://www.tv.com/people/christopher-timothy/"} +{"d:Title": "IMDb: Alex To", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/To,_Alex", "url": "http://www.imdb.com/name/nm0864764/"} +{"d:Title": "Facebook Fan Page - Hallie Todd", "d:Description": "Official page run by Hallie Todd. Includes updated news, photos and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Hallie", "url": "http://www.facebook.com/hallietodd"} +{"d:Title": "WIkipedia: Hallie Todd", "d:Description": "Contains a biography and credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Hallie", "url": "http://en.wikipedia.org/wiki/Hallie_Todd"} +{"d:Title": "IMDb: Hallie Todd", "d:Description": "Biography and credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Hallie", "url": "http://www.imdb.com/name/nm0865177/"} +{"d:Title": "Twitter - HallieTodd", "d:Description": "Official Twitter feed.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Hallie", "url": "http://www.twitter.com/HallieTodd"} +{"d:Title": "Interview with Hallie Todd by Kees Boer", "d:Description": "Interview from March 2005.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Hallie", "url": "http://www.positive-entertainment.com/interviews/hallietoddinterview.htm"} +{"d:Title": "Hallie Todd", "d:Description": "Acting school in Los Angeles. Includes Skype private coaching, class listings and a biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Hallie", "url": "http://hallietodd.com/"} +{"d:Title": "Revisit D-Day", "d:Description": "BBC biography of the war hero turned film star.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Richard", "url": "http://www.bbc.co.uk/insideout/eastmidlands/series2/dday_richardtodd_war.shtml"} +{"d:Title": "IMDb.com: Richard Todd", "d:Description": "Offers a filmography, biography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Richard", "url": "http://www.imdb.com/name/nm0865262/"} +{"d:Title": "Films of the Golden Age: An Interview with Richard Todd", "d:Description": "Tony Williams asks Todd about his early career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Todd,_Richard", "url": "http://www.filmsofthegoldenage.com/foga/1998/fall98/todd.shtml"} +{"d:Title": "IMDb: Marisa Tomei", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tomei,_Marisa", "url": "http://www.imdb.com/name/nm0000673/"} +{"d:Title": "TVGuide.com: Marisa Tomei", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tomei,_Marisa", "url": "http://www.tvguide.com/celebrities/marisa-tomei/164488"} +{"d:Title": "IMDb: Tamlyn Tomita", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tomita,_Tamlyn", "url": "http://www.imdb.com/name/nm0000674/"} +{"d:Title": "TVGuide.com: Tamlyn Tomita", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tomita,_Tamlyn", "url": "http://www.tvguide.com/celebrities/tamlyn-tomita/176176"} +{"d:Title": "IMDb: Stephen Tompkinson", "d:Description": "Biography, filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tompkinson,_Stephen", "url": "http://imdb.com/name/nm0867017/"} +{"d:Title": "IMDb: Jacqueline Torres", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Torres,_Jacqueline", "url": "http://www.imdb.com/name/nm0868671/"} +{"d:Title": "Stuart Townsend", "d:Description": "Fan site contains a bio and filmography, a picture gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Townsend,_Stuart", "url": "http://www.stuarttownsend.org/"} +{"d:Title": "TalkTalk: Stuart Townsend", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Townsend,_Stuart", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/stuart-townsend/biography/120"} +{"d:Title": "IMDb: Stuart Townsend", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Townsend,_Stuart", "url": "http://www.imdb.com/name/nm0870204/"} +{"d:Title": "Unofficial Jeff Trachta Homepage", "d:Description": "Unofficial homepage in honor of the talented American singer/actor Jeff Trachta.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachta,_Jeff", "url": "http://members.tripod.com/pernil/"} +{"d:Title": "Bobbie Eakes and Jeff Trachta Fanclub", "d:Description": "Biography, picture galleries, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachta,_Jeff", "url": "http://www.angelfire.com/hi3/bobbiejeff/Layout3.html"} +{"d:Title": "Jeff Trachta Fan Site", "d:Description": "Fan site includes photos, articles, fun facts, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachta,_Jeff", "url": "http://home.kpn.nl/ingesche/homeJeff.html"} +{"d:Title": "IMDb: Jeff Trachta", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachta,_Jeff", "url": "http://www.imdb.com/name/nm0870466/"} +{"d:Title": "Michelle Trachtenberg: Rising Over Hollywood", "d:Description": "Fanlisting for the actress. Includes information on TV appearances in the US.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachtenberg,_Michelle", "url": "http://www.angelfire.com/stars2/michelletrachtenberg/"} +{"d:Title": "AskMen.com: Michelle Trachtenberg", "d:Description": "Feature includes pictures, biography, and commentary with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachtenberg,_Michelle", "url": "http://www.askmen.com/women/actress_200/237_michelle_trachtenberg.html"} +{"d:Title": "IMDb: Michelle Trachtenberg", "d:Description": "Includes filmography, awards, trivia, quotes, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachtenberg,_Michelle", "url": "http://www.imdb.com/name/nm0005502/"} +{"d:Title": "MichelleTrachtenberg.net", "d:Description": "Fan site includes news, biography, TV schedule, filmography, image galleries, articles and interviews, and multimedia downloads.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trachtenberg,_Michelle", "url": "http://www.michelletrachtenberg.net/"} +{"d:Title": "Spencer Tracy", "d:Description": "Biography, films, image galleries, and sound clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tracy,_Spencer", "url": "http://themave.com/Tracy/"} +{"d:Title": "Spencer Tracy's Hollywood", "d:Description": "Places in and around L.A. and Hollywood related to Spencer Tracy's life and death.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tracy,_Spencer", "url": "http://www.seeing-stars.com/StarIndexes/SpencerTracy.shtml"} +{"d:Title": "IMDb: Spencer Tracy", "d:Description": "Complete filmography, photograph gallery, and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tracy,_Spencer", "url": "http://www.imdb.com/name/nm0000075/"} +{"d:Title": "IMDb: Thuy Trang", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trang,_Thuy", "url": "http://www.imdb.com/name/nm0870962/"} +{"d:Title": "IMDb: Kylie Travis", "d:Description": "Her profile at the Internet Movie Database. Includes a mini biography, movie and TV credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Travis,_Kylie", "url": "http://www.imdb.com/name/nm0871406/"} +{"d:Title": "TVGuide.com: Nancy Travis", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Travis,_Nancy", "url": "http://www.tvguide.com/celebrities/nancy-travis/167506"} +{"d:Title": "Actress Nancy Travis", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Travis,_Nancy", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?ntravis"} +{"d:Title": "IMDb: Nancy Travis", "d:Description": "Includes a biography, filmography and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Travis,_Nancy", "url": "http://www.imdb.com/name/nm0001802/"} +{"d:Title": "IMDb: Ty Treadway", "d:Description": "Filmography and bio.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Treadway,_Ty", "url": "http://www.imdb.com/name/nm0871570/"} +{"d:Title": "A Little about Adam Trese...", "d:Description": "Welcome to a brief but heartfelt page dedicated to the talents of an up-and-coming actor, one Mr. Adam Trese.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trese,_Adam", "url": "http://members.tripod.com/~adam_trese/index-2.html"} +{"d:Title": "IMDb: Adam Trese", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trese,_Adam", "url": "http://www.imdb.com/name/nm0872242/"} +{"d:Title": "IMDb: Mark Trevorrow", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trevorrow,_Mark", "url": "http://www.imdb.com/name/nm0872487/"} +{"d:Title": "TVGuide.com: Paula Trickey", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trickey,_Paula", "url": "http://www.tvguide.com/celebrities/paula-trickey/169584"} +{"d:Title": "IMDb: Paula Trickey", "d:Description": "The Internet Movie Database includes filmography, profile, mini biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trickey,_Paula", "url": "http://www.imdb.com/name/nm0872632/"} +{"d:Title": "IMDb: Connor Trinneer", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Trinneer,_Connor", "url": "http://www.imdb.com/name/nm0872997/"} +{"d:Title": "IMDb: Jeanne Tripplehorn", "d:Description": "The Internet Movie Database includes filmography, trivia, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tripplehorn,_Jeanne", "url": "http://www.imdb.com/name/nm0000675/"} +{"d:Title": "Ossi's Jeanne Tripplehorn Fanpage", "d:Description": "A fan site with profile, filmography, video clips, pictures galleries, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tripplehorn,_Jeanne", "url": "http://www.tripplehorn.meteos.it/"} +{"d:Title": "TVGuide.com: Jeanne Tripplehorn", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tripplehorn,_Jeanne", "url": "http://www.tvguide.com/celebrities/jeanne-tripplehorn/156400"} +{"d:Title": "Actress Jeanne Tripplehorn", "d:Description": "Includes pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tripplehorn,_Jeanne", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?jtripplehorn"} +{"d:Title": "IMDb: Verne Troyer", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Troyer,_Verne", "url": "http://www.imdb.com/name/nm0873942/"} +{"d:Title": "Chris Tucker - Funniest Guy Alive and You Know This, Man", "d:Description": "Profile and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tucker,_Chris", "url": "http://www.angelfire.com/fl/kellykeith/chris.html"} +{"d:Title": "IMDb: Chris Tucker (I)", "d:Description": "Filmography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tucker,_Chris", "url": "http://www.imdb.com/name/nm0000676/"} +{"d:Title": "Canoe: Chris Tucker", "d:Description": "Collection of Canadian newspaper articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tucker,_Chris", "url": "http://jam.canoe.com/Movies/Artists/T/Tucker_Chris/"} +{"d:Title": "Yahoo Group - Robin Tunney", "d:Description": "Message board with photos and chat.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tunney,_Robin", "url": "http://groups.yahoo.com/group/robintunney2"} +{"d:Title": "Robin-Tunney.com", "d:Description": "Fansite with pictures, filmography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tunney,_Robin", "url": "http://www.robin-tunney.com/"} +{"d:Title": "IMDb: Robin Tunney", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tunney,_Robin", "url": "http://www.imdb.com/name/nm0000677/"} +{"d:Title": "The Crais-o-Files", "d:Description": "Pictures, sounds, biography, and fan fiction.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tupu,_Lani_John", "url": "http://www.angelfire.com/scifi/craisofiles/"} +{"d:Title": "IMDb: Lani John Tupu (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tupu,_Lani_John", "url": "http://www.imdb.com/name/nm0876818/"} +{"d:Title": "Aidan Turner News", "d:Description": "Current news, dozens of photographs and a biography are presented along with career highlights.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Aidan", "url": "http://aidanturner.net/"} +{"d:Title": "HuffPost Live: 'Poldark' Star Aidan Turner", "d:Description": "A 20-minute Interview featuring the actor from 2015.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Aidan", "url": "http://live.huffingtonpost.com/r/segment/bbc-series-poldark-premieres-on-pbs-aidan-turner/555ccd942b8c2a3d9f0000bb"} +{"d:Title": "IMDb: Aidan Turner", "d:Description": "Information includes a biography, filmography and photographs of the Irish actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Aidan", "url": "http://www.imdb.com/name/nm2636108/"} +{"d:Title": "Wikipedia: Aidan Turner", "d:Description": "Profile includes information on his life, career, filmography, theatre work, as well as awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Aidan", "url": "https://en.wikipedia.org/wiki/Aidan_Turner"} +{"d:Title": "Independent: \"Being a sex symbol is a little awkward\"", "d:Description": "An interview in which the Irish actor discusses his rise to fame and redefining the role of \"Poldark.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Aidan", "url": "http://www.independent.ie/entertainment/television/being-a-sex-symbol-is-a-little-awkward-aidan-turner-on-his-rise-to-fame-and-redefining-poldark-31033406.html"} +{"d:Title": "Aidan Turner 'Red Hot' Favourite for New James Bond After Betting Suspended", "d:Description": "An article exploring the possibility that Turner looks set to succeed Daniel Craig as the 007 agent, according to bets by Boyle Sports.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Aidan", "url": "http://www.standard.co.uk/showbiz/celebrity-news/aidan-turner-favourite-to-play-james-bond-after-betting-suspended-a3272546.html"} +{"d:Title": "Dwight Turner IMDb", "d:Description": "Credit listing, message board, trivia and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Dwight", "url": "http://www.imdb.com/name/nm1881501/"} +{"d:Title": "Yahoo Groups: Janineturner", "d:Description": "A Yahoo based online club for Janine Turner fans. With message board, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Janine", "url": "http://groups.yahoo.com/group/janineturner/"} +{"d:Title": "IMDb: Janine Turner", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Janine", "url": "http://www.imdb.com/name/nm0005508/"} +{"d:Title": "Janine Turner Thanks for Visiting", "d:Description": "Her official site. Features photographs, filmography, current projects and essays.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Janine", "url": "http://www.janineturner.net/"} +{"d:Title": "TVGuide.com: Janine Turner", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Janine", "url": "http://www.tvguide.com/celebrities/janine-turner/155880"} +{"d:Title": "TV and Movie Trivia Tribute: Kathleen Turner", "d:Description": "Includes pictures, autographs, brief information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Kathleen", "url": "http://www.triviatribute.com/kathleenturner.html"} +{"d:Title": "IMDb: Kathleen Turner", "d:Description": "The Internet Movie Database includes filmography, trivia, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Kathleen", "url": "http://www.imdb.com/name/nm0000678/"} +{"d:Title": "Box Office Data for Kathleen Turner", "d:Description": "Summarizes box office statistics for movies Kathleen Turner appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Kathleen", "url": "http://www.the-numbers.com/people/KTURN.php"} +{"d:Title": "All Movie Guide: Kathleen Turner", "d:Description": "Includes vital statistics, biography, filmography, and awards. May not work in all browsers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Kathleen", "url": "http://www.allmovie.com/artist/kathleen-turner-p72173"} +{"d:Title": "TVGuide.com: Kathleen Turner", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Kathleen", "url": "http://www.tvguide.com/celebrities/kathleen-turner/159996"} +{"d:Title": "IMDb: Lana Turner", "d:Description": "Filmography and television appearances, as well as photographs and the actress's profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turner,_Lana", "url": "http://www.imdb.com/name/nm0001805/"} +{"d:Title": "IMDb: John Turturro", "d:Description": "Film and television credits, biographical information, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turturro,_John", "url": "http://www.imdb.com/name/nm0001806/"} +{"d:Title": "Detective James Martinez", "d:Description": "Biography of Turturro and of his NYPD Blue character.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turturro,_Nicholas", "url": "http://www.stwing.upenn.edu/~sepinwal/martinez.txt.html"} +{"d:Title": "Obsessive Attitudes: Nicholas Turturro", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turturro,_Nicholas", "url": "http://obsessive.freeservers.com/blue/turturro.htm"} +{"d:Title": "IMDb: Nicholas Turturro", "d:Description": "Filmography and profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Turturro,_Nicholas", "url": "http://www.imdb.com/name/nm0878155/"} +{"d:Title": "Interview with Alexandra Tydings", "d:Description": "Whoosh's interview about her career and projects. With pictures and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tydings,_Alexandra", "url": "http://whoosh.org/issue17/ityd1.html"} +{"d:Title": "IMDb: Alexandra Tydings", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tydings,_Alexandra", "url": "http://www.imdb.com/name/nm0878714/"} +{"d:Title": "Stealing Beauty Special", "d:Description": "A website dedicated to Liv in her movie Stealing Beauty, with lots of pictures and information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Articles_and_Interviews", "url": "http://livtylertvb.altervista.org/stealingbeauty/intro.html"} +{"d:Title": "Liv Tyler as Arwen Undomiel", "d:Description": "A website dedicated to Liv Tyler as Arwen in the movie Lord of the Rings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Articles_and_Interviews", "url": "http://daveia.tripod.com/arwenfront.html"} +{"d:Title": "Xenite.org: Liv Tyler is Arwen", "d:Description": "Brief biography of Liv Tyler and of Arwen, the character she plays in \"The Lord of the Rings\". Includes a RealAudio discussion of Arwen.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Articles_and_Interviews", "url": "http://www.xenite.org/tolkien/movie/liv-tyler-is-arwen/"} +{"d:Title": "Lord of the Rings Special", "d:Description": "Includes information about Liv Tyler and her character in the movie Lord of the Rings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Articles_and_Interviews", "url": "http://www.lovelylivtyler.com/specials/lotr/lotr.html"} +{"d:Title": "Liv Tyler Fans", "d:Description": "Homepage for the Liv Tyler Fans discussion group at Yahoo! Groups.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Chats_and_Forums", "url": "http://groups.yahoo.com/group/LivTylerFans"} +{"d:Title": "The Liv Tyler Community", "d:Description": "A Liv Tyler message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Chats_and_Forums", "url": "http://www.lovelylivtyler.com/forum/"} +{"d:Title": "Fan Forum - Liv Tyler", "d:Description": "The Liv Tyler community at Fan Forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Chats_and_Forums", "url": "http://www.fanforum.com/forumdisplay.php?f=192"} +{"d:Title": "Lovely Liv Tyler Website", "d:Description": "A dedicated website which includes updated news, polls, quizzes, pictures, video clips, fan club, postcards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Fan_Pages", "url": "http://www.lovelylivtyler.com/"} +{"d:Title": "Ozgur's Liv Tyler Site", "d:Description": "Fan site about Liv Tyler. More than a hundred photos, filmography, biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Fan_Pages", "url": "http://liv-tyler.8k.com/"} +{"d:Title": "Sexy Liv Tyler", "d:Description": "Fan site with filmography, biography, and picture galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Fan_Pages", "url": "http://members.tripod.com/~livtylerzone/"} +{"d:Title": "Liv Tyler Web Site", "d:Description": "Devoted fan site featuring filmography, biography, sounds, quiz, forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Fan_Pages", "url": "http://www.livtylerwebsite.com/"} +{"d:Title": "Liv Hot Tyler", "d:Description": "Includes biography, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Fan_Pages", "url": "http://www.angelfire.com/film/hot_tyler/"} +{"d:Title": "AskMen.com - Liv Tyler", "d:Description": "Pictures, biography, commentary and links on the beautiful actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://www.askmen.com/women/actress_60/81_liv_tyler.html"} +{"d:Title": "Thespian Net presents Liv Tyler", "d:Description": "Filmography, address, a few pictures, and links to fan sites", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://www.thespiannet.com/actresses/T/tyler_liv/index.shtml"} +{"d:Title": "Liv Tyler - Wikipedia", "d:Description": "Liv Tyler's biography and filmography at Wikipedia, the free encyclopedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://en.wikipedia.org/wiki/Liv_Tyler"} +{"d:Title": "The-Numbers - Liv Tyler", "d:Description": "This site contains the filmography of Liv Tyler as well as detailed box office information for each movie she appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://www.the-numbers.com/people/LTYLE.php"} +{"d:Title": "Liv Tyler - Rotten Tomatoes", "d:Description": "Filmography, links to reviews and news, posters, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://www.rottentomatoes.com/celebrity/liv_tyler/"} +{"d:Title": "TVGuide.com: Liv Tyler", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://www.tvguide.com/celebrities/liv-tyler/162535"} +{"d:Title": "IMDb: Liv Tyler", "d:Description": "The Internet Movie Database includes her filmography, a mini biography, links and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://www.imdb.com/name/nm0000239/"} +{"d:Title": "E! Online - Liv Tyler", "d:Description": "Fact sheet, filmography and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyler,_Liv/Resources", "url": "http://www.eonline.com/news/liv_tyler"} +{"d:Title": "Misha's Unofficial Hunter Tylo Website", "d:Description": "A fan site with news, biography, trivia, interviews, a message board, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tylo,_Hunter", "url": "http://members.tripod.com/GIRAFFES_2/mishaindex.html"} +{"d:Title": "IMDb: Hunter Tylo", "d:Description": "The Internet Movie Database includes a mini biography, movie and TV credits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tylo,_Hunter", "url": "http://www.imdb.com/name/nm0878950/"} +{"d:Title": "IMDb: Barbara Tyson", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/T/Tyson,_Barbara", "url": "http://www.imdb.com/name/nm0879148/"} +{"d:Title": "Upton, Sue", "d:Description": "Offers career credits, video-caps, photo-scans, news articles and sound clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U", "url": "http://www.sueupton.net/"} +{"d:Title": "Ulinder, Roger", "d:Description": "Character actor featured on television shows, commercials and movies. Resume, photographs, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U", "url": "http://www.rogerulinder.net/"} +{"d:Title": "Astrocartography of Liv Ullmann", "d:Description": "Biography of the actress, with a special focus on the astrological metaphors of Saturn and Uranus in her life.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ullmann,_Liv", "url": "http://www.dominantstar.com/b_ull.htm"} +{"d:Title": "IMDb: Liv Ullmann", "d:Description": "Complete filmography, biography, pictures, and other related information from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ullmann,_Liv", "url": "http://www.imdb.com/name/nm0880521/"} +{"d:Title": "Salon.com: People: Liv Ullmann", "d:Description": "An interview from March, 2001; where she discusses Bergman, her career as an actress, and lately as a director.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ullmann,_Liv", "url": "http://www.salon.com/2001/03/28/ullmann/"} +{"d:Title": "Digital Hit: Skeet Ulrich", "d:Description": "A look at the life and career of Skeet Ulrich.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ulrich,_Skeet", "url": "http://www.digitalhit.com/row/skeetulrich.shtml"} +{"d:Title": "Thespian Net: Skeet Ulrich", "d:Description": "Biography, film credits, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ulrich,_Skeet", "url": "http://www.thespiannet.com/actors/U/ulrich_skeet/index.shtml"} +{"d:Title": "Therapy for the TMoOD and Skeet Ulrich Addicted Fans", "d:Description": "Offers discussion, comments, news, biography and information on the actor and his movie, The Magic of Ordinary Days.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ulrich,_Skeet", "url": "http://tmoodaddicts.blogspot.com/"} +{"d:Title": "IMDb: Deborah Unger", "d:Description": "Biographical information, a filmography, and links from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Unger,_Deborah_Kara", "url": "http://www.imdb.com/name/nm0000679/"} +{"d:Title": "Box Office Data for Deborah Unger", "d:Description": "Summarizes box office statistics for movies Deborah Kara Unger appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Unger,_Deborah_Kara", "url": "http://www.the-numbers.com/people/DKUNG.php"} +{"d:Title": "TVGuide.com: Deborah Kara Unger", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Unger,_Deborah_Kara", "url": "http://www.tvguide.com/celebrities/deborah-kara-unger/146867"} +{"d:Title": "Canoe: Deboroah Unger", "d:Description": "The Canadian Online Explorer's collection of articles on Unger's career and movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Unger,_Deborah_Kara", "url": "http://jam.canoe.com/Movies/Artists/U/Unger_Deborah/"} +{"d:Title": "IMDb: Gabrielle Union", "d:Description": "Filmography, photographs, profile, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Union,_Gabrielle", "url": "http://www.imdb.com/name/nm0005517/"} +{"d:Title": "Shrine of the Urbanites", "d:Description": "Pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Urban,_Karl", "url": "http://members.tripod.com/~urbanite/"} +{"d:Title": "Ped's Karl Urban Page", "d:Description": "Pictures, convention visits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Urban,_Karl", "url": "http://members.tripod.com/~ThePed/Karl/"} +{"d:Title": "Take on Karl Urban", "d:Description": "Features images from a convention, episode of Xena, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Urban,_Karl", "url": "http://www.kiari.com/karlurban/"} +{"d:Title": "sagralisse... Karl Urban Screencaps", "d:Description": "Several hundred screen captures from Karl's movies and television appearances with slide show navigation, including The Two Towers DVD extras and a Karl Urban mood theme.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Urban,_Karl", "url": "http://sagralisse.mediawood.net/urban"} +{"d:Title": "IMDb: Karl Urban", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Urban,_Karl", "url": "http://www.imdb.com/name/nm0881631/"} +{"d:Title": "IMDb: Robert Urich", "d:Description": "Complete filmography and listing of television appearances, biography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Urich,_Robert", "url": "http://www.imdb.com/name/nm0001810/"} +{"d:Title": "BBC: Obituary: Sir Peter Ustinov", "d:Description": "Looks at his rich career as a journalist, actor, playwright and author.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ustinov,_Peter", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/1260975.stm"} +{"d:Title": "IMDB: Peter Ustinov", "d:Description": "Filmography, awards, biography, photographs, quotations and discussions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ustinov,_Peter", "url": "http://www.imdb.com/name/nm0001811/"} +{"d:Title": "UNICEF: Sir Peter Ustinov", "d:Description": "Photographs and description of Sir Peter in his role as a UNICEF Goodwill Ambassador, with an obituary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ustinov,_Peter", "url": "http://www.unicef.org/people/people_sir_peter_ustinov.html"} +{"d:Title": "Wikipedia: Peter Ustinov", "d:Description": "A biography from this online encyclopedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/U/Ustinov,_Peter", "url": "http://en.wikipedia.org/wiki/Peter_Ustinov"} +{"d:Title": "Veliz, Daniel", "d:Description": "US based actor. Includes biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V", "url": "http://www.angelfire.com/tx3/fresh/index.html"} +{"d:Title": "Van Rensburg, Brumilda", "d:Description": "Cape Town based actress. Includes resume, photos, upcoming events and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V", "url": "http://www.brumilda.com/"} +{"d:Title": "Holly Valance Online", "d:Description": "Web gallery of videoflicks from the \"Neighbours\" series, and a guestbook.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valance,_Holly", "url": "http://members.tripod.com/holly2001burgenland/index.htm"} +{"d:Title": "Holly Valance", "d:Description": "E-group with message board, chat room, and photo gallery. Yahoo! membership required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valance,_Holly", "url": "http://groups.yahoo.com/group/hollyvalance"} +{"d:Title": "IMDb: Holly Valance", "d:Description": "Biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valance,_Holly", "url": "http://www.imdb.com/name/nm0883480/"} +{"d:Title": "IMDb: Karl Valentin", "d:Description": "Includes filmography, biography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valentin,_Karl", "url": "http://www.imdb.com/name/nm0884171/"} +{"d:Title": "Valentin, Karl", "d:Description": "Portrait of German actor, Karl Valentin.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valentin,_Karl", "url": "http://www.cyranos.ch/smvale-e.htm"} +{"d:Title": "Falcon Lair", "d:Description": "Web tribute to the silent star includes interviews, filmography, chronology, current screenings, movie clips, photos, memorabilia, and links. Requires JavaScript.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valentino,_Rudolph", "url": "http://www.rudolph-valentino.com/"} +{"d:Title": "IMDb: Rudolph Valentino", "d:Description": "Profile, filmography, pictures, listing of awards, collection of sound and video clips, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valentino,_Rudolph", "url": "http://www.imdb.com/name/nm0884388/"} +{"d:Title": "IMDb.com : Mark Valley", "d:Description": "Basic facts, filmography, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Valley,_Mark", "url": "http://www.imdb.com/name/nm0885090/"} +{"d:Title": "Musetta Vander", "d:Description": "Official site with video clips, photos, movie stills, biography, filmography, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vander,_Musetta", "url": "http://www.musettavander.com/"} +{"d:Title": "IMDb: Musetta Vander", "d:Description": "Includes filmography, biographical information, a photo gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vander,_Musetta", "url": "http://www.imdb.com/name/nm0888727/"} +{"d:Title": "IMDb.com : Joanne Vannicola", "d:Description": "Profile, filmography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vannicola,_Joanne", "url": "http://www.imdb.com/name/nm0889120/"} +{"d:Title": "IMDb : Lucky Vanous", "d:Description": "Includes trivia, filmography, notable TV appearances, titles for sale, and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vanous,_Lucky", "url": "http://www.imdb.com/name/nm0889305/"} +{"d:Title": "IMDb.com : Nathalie Vansier", "d:Description": "Basic facts, filmography, photos, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vansier,_Nathalie", "url": "http://www.imdb.com/name/nm0889335/"} +{"d:Title": "James Van Der Beek Fan Spot", "d:Description": "Biography, picture gallery, media clips, and links. Fan planet with message boards, e-cards, chat, and shopping.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Der_Beek,_James", "url": "http://members.tripod.com/~jvdb_/"} +{"d:Title": "Pictures of Dawson", "d:Description": "Three pages of pictures of James Van Der Beek as Dawson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Der_Beek,_James", "url": "http://members.tripod.com/~dawsonscreek_pics/dawson_pics.html"} +{"d:Title": "Thespian Net : James Van der Beek", "d:Description": "Biography, filmography, listing of articles and awards, pictures, and links to other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Der_Beek,_James", "url": "http://www.thespiannet.com/actors/V/vanderbeek_james/index.shtml"} +{"d:Title": "IMDb.com : James Van Der Beek", "d:Description": "A complete filmography, biography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Der_Beek,_James", "url": "http://www.imdb.com/name/nm0004735/"} +{"d:Title": "IMDb.com : Casper Van Dien", "d:Description": "Biography, a complete filmography, listing of awards, titles for sale, TV schedule, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Dien,_Casper", "url": "http://www.imdb.com/name/nm0000680/"} +{"d:Title": "The Official Mamie Van Doren Page", "d:Description": "Filmography, autograph collection, memorabilia, videos, fan club, and news about Mamie.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Doren,_Mamie", "url": "http://www.mamievandoren.com/"} +{"d:Title": "Brian's Drive-In Theater : Mamie Van Doren", "d:Description": "Contains a biography and many photos of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Doren,_Mamie", "url": "http://www.briansdriveintheater.com/mamie.html"} +{"d:Title": "IMDb.com : Mamie Van Doren", "d:Description": "Biography, profile, filmography, notable appearances on TV, photos, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Doren,_Mamie", "url": "http://www.imdb.com/name/nm0886638/"} +{"d:Title": "IMDb.com : Barry Van Dyke", "d:Description": "A biography and a complete filmography, titles for sale, TV schedule, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Dyke,_Barry", "url": "http://www.imdb.com/name/nm0886719/"} +{"d:Title": "IMDb: Dick Van Dyke", "d:Description": "Filmography, television appearances, trivia, profile, photograph gallery, and other related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Van_Dyke,_Dick", "url": "http://www.imdb.com/name/nm0001813/"} +{"d:Title": "WWWF Grudge Match", "d:Description": "Fictional comedic airheaded TV characters matching their wits on \"Jeopardy\" with Ernest P. Worrell competing against Kelly Bundy and Jim Ignatowski.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim", "url": "http://www.grudge-match.com/History/jeopardy.shtml"} +{"d:Title": "TVGuide.com: Jim Varney", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim", "url": "http://www.tvguide.com/celebrities/jim-varney/157111"} +{"d:Title": "Internet Movie Database", "d:Description": "A filmography, profile, photos, articles, titles for sale, and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim", "url": "http://www.imdb.com/name/nm0001815/"} +{"d:Title": "Interview with Jim Varney", "d:Description": "Transcript of a radio interview conducted by Peter Anthony Holder in talkshow on Canadian channel CJAD 800 AM.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Articles_and_Interviews", "url": "http://www.peteranthonyholder.com/cjad28.htm"} +{"d:Title": "VideoVerdicts.com : Goodbye, Ernest", "d:Description": "An obituary, and interview from year 1993 by R. Scott Bolton. Links to Jim Varney's movies on Amazon.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Articles_and_Interviews", "url": "http://www.roughedge.com/videoverdicts/features/varney.htm"} +{"d:Title": "What a Body of Work! - Jim Varney", "d:Description": "Chronological overview of Jim Varney's movies by TheAngryJew. [eFilmCritic.com]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Articles_and_Interviews", "url": "http://www.efilmcritic.com/feature.php?feature=169"} +{"d:Title": "Ernest Goes to Franchising", "d:Description": "An article by Karen M. Lundegaard. [Washington Business Journal]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Articles_and_Interviews", "url": "http://www.bizjournals.com/washington/stories/1997/05/26/story6.html"} +{"d:Title": "Toy Story Star Dies", "d:Description": "Remembrance of Jim Varney in BBC News.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Articles_and_Interviews/Obituaries", "url": "http://news.bbc.co.uk/1/hi/entertainment/639134.stm"} +{"d:Title": "Jim Varney is the Man", "d:Description": "To the memory of the beloved actor. A message board, and a chat room. [Yahoo! Groups]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Chats_and_Forums", "url": "http://groups.yahoo.com/group/jimvarneyistheman/"} +{"d:Title": "Fans of Jim Varney", "d:Description": "Message board and chat room for fans of the actor. [Yahoo! Groups]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Chats_and_Forums", "url": "http://groups.yahoo.com/group/fansofjimvarney/"} +{"d:Title": "Ernest P Worrell Fan Club", "d:Description": "Discussion about Jim Varney and his career. [Yahoo! Groups]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ernestpworrellfanclub/"} +{"d:Title": "Hey Vern It's a Ernest Club", "d:Description": "For discussing Ernest and Jim Varney. [Yahoo! Groups]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Varney,_Jim/Chats_and_Forums", "url": "http://groups.yahoo.com/group/heyvernitsaernestclub/"} +{"d:Title": "Thespian Net : Michael Vartan", "d:Description": "Biography, filmography, and pictures of French-born actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vartan,_Michael", "url": "http://www.thespiannet.com/actors/V/vartan_michael/index.shtml"} +{"d:Title": "The Michael Vartan Fantasy Zone", "d:Description": "Contains facts, filmography, pictures, sound files, artwork, TV schedule, trading post, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vartan,_Michael", "url": "http://www.michaelvartan.com/"} +{"d:Title": "Michael Vartan", "d:Description": "Message board for fans of the performer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vartan,_Michael", "url": "http://www.voy.com/121657/"} +{"d:Title": "IMDb.com : Michael Vartan", "d:Description": "A profile, filmography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vartan,_Michael", "url": "http://www.imdb.com/name/nm0890232/"} +{"d:Title": "Yahoo Groups: Randy Vasquez", "d:Description": "Message board and chat for fans of the actor who plays Gunny on JAG.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vasquez,_Randy", "url": "http://groups.yahoo.com/group/randy_vasquez/"} +{"d:Title": "IMDb: Randy Vasquez", "d:Description": "Filmography, basic facts, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vasquez,_Randy", "url": "http://www.imdb.com/name/nm0302968/"} +{"d:Title": "IMDb : Robert Vaughn (I)", "d:Description": "Filmography, profile, photos, articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vaughn,_Robert", "url": "http://www.imdb.com/name/nm0001816/"} +{"d:Title": "Da Cutest Psycho", "d:Description": "News, articles, pictures, and guestbook for Vince Vaughn.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vaughn,_Vince", "url": "http://www.angelfire.com/bc/wildthingzzz/vince.html"} +{"d:Title": "The Vince Pages", "d:Description": "Photos, biography, filmography, monthly poll, and news updates on the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vaughn,_Vince", "url": "http://www.angelfire.com/md/twosistersfourguys/vince.html"} +{"d:Title": "IMDb.com : Vince Vaughn", "d:Description": "A complete filmography, notable TV appearances, profile, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vaughn,_Vince", "url": "http://www.imdb.com/name/nm0000681/"} +{"d:Title": "Conrad Veidt", "d:Description": "Presents a biography and scanned movie posters. [In German and English].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Veidt,_Conrad", "url": "http://www.conradveidt.de/"} +{"d:Title": "The Conrad Veidt Homepage", "d:Description": "Includes biography, filmography, photo gallery, movie reviews, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Veidt,_Conrad", "url": "http://www.gildasattic.com/conradveidt.html"} +{"d:Title": "IMDb.com : Conrad Veidt", "d:Description": "A profile, his filmography as director and producer, photos, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Veidt,_Conrad", "url": "http://www.imdb.com/name/nm0891998/"} +{"d:Title": "Lauren Velez Online", "d:Description": "Biography, filmography, interviews, and pictures of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/V\u00e9lez,_Lauren", "url": "http://www.angelfire.com/ny5/LVelezBLS/index.html"} +{"d:Title": "IMDb.com : Lauren V\u00e9lez", "d:Description": "Profile, filmography, images, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/V\u00e9lez,_Lauren", "url": "http://www.imdb.com/name/nm0904967/"} +{"d:Title": "IMDb.com : Lupe Velez", "d:Description": "Biography, filmography, photo gallery, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Velez,_Lupe", "url": "http://www.imdb.com/name/nm0892473/"} +{"d:Title": "IMDb.com : Diane Venora", "d:Description": "Trivia, filmography, photos, nominations and awards, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Venora,_Diane", "url": "http://www.imdb.com/name/nm0893204/"} +{"d:Title": "The Sexy Milo Ventimiglia", "d:Description": "Yahoo! group with message board, photos, and chat. Yahoo! membership required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Ventimiglia,_Milo", "url": "http://groups.yahoo.com/group/thesexymiloventimiglia/"} +{"d:Title": "Yahoo! Groups - Milo Ventimiglia", "d:Description": "E-mail discussion forum for fans. [Registration required].", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Ventimiglia,_Milo", "url": "http://groups.yahoo.com/group/milos_home"} +{"d:Title": "IMDb.com : Milo Ventimiglia", "d:Description": "Mini biography, filmography, photo gallery, contact information, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Ventimiglia,_Milo", "url": "http://www.imdb.com/name/nm0893257/"} +{"d:Title": "Vincent Ventresca Shirtless Brigade", "d:Description": "Biography, images, links, and membership information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Ventresca,_Vincent", "url": "http://vincentventrescasb.tripod.com/"} +{"d:Title": "IMDb.com : Vincent Ventresca", "d:Description": "Filmography, brief biographical information, titles for sale, and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Ventresca,_Vincent", "url": "http://www.imdb.com/name/nm0893283/"} +{"d:Title": "Redhead : A Tribute to Gwen Verdon", "d:Description": "Includes biography, filmography, facts, quote and overview of her characters.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Verdon,_Gwen", "url": "http://www.angelfire.com/musicals/gwenverdon/"} +{"d:Title": "IMDb.com : Gwen Verdon", "d:Description": "A complete filmography, mini biography, photos, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Verdon,_Gwen", "url": "http://www.imdb.com/name/nm0893862/"} +{"d:Title": "Sofia Vergara", "d:Description": "Official webpage with personal information, games, galleries, news, and multimedia features. In English and Spanish.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vergara,_Sof\u00eda", "url": "http://www.sofiavergara.com/"} +{"d:Title": "Yahoo! Groups : Sofia Vergara", "d:Description": "Fan club with message board, chat room, gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vergara,_Sof\u00eda", "url": "http://groups.yahoo.com/group/sofiavergarafanclub/"} +{"d:Title": "IMDb.com : Sofia Vergara", "d:Description": "Filmography, photo gallery, profile, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vergara,_Sof\u00eda", "url": "http://www.imdb.com/name/nm0005527/"} +{"d:Title": "Popentertainment.com: Tom Verica interview", "d:Description": "The 'American Dreams' dad tells Ronald Sklar that the long road from Philadelphia to Hollywood took him back to Philly again.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Verica,_Tom", "url": "http://www.popentertainment.com/verica.htm"} +{"d:Title": "Pittsburgh Post-Gazette: Step Back Into the '60s", "d:Description": "Interview with 'American Dreams' cast and crew previewing the season finale of the show.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Verica,_Tom", "url": "http://www.post-gazette.com/tv/20030504dreams0504fnp1.asp"} +{"d:Title": "IMDB: Tom Verica", "d:Description": "Filmography, awards, biography, agent, discussions, photographs, news articles and fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Verica,_Tom", "url": "http://www.imdb.com/name/nm0894225/"} +{"d:Title": "TV.com: Tom Verica", "d:Description": "Biography, roles and appearances, and gossip.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Verica,_Tom", "url": "http://www.tv.com/people/tom-verica/"} +{"d:Title": "IMDb.com : Ronan Vibert", "d:Description": "A complete filmography, TV schedule, contacts of agent, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vibert,_Ronan", "url": "http://www.imdb.com/name/nm0895812/"} +{"d:Title": "IMDb: John Vickery", "d:Description": "A detailed filmography, notable TV guest appearances, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vickery,_John", "url": "http://www.imdb.com/name/nm0896043/"} +{"d:Title": "Nanites", "d:Description": "The official fan club organization for Nana Visitor. Contains personal pages of her fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visitor,_Nana", "url": "http://www.nanites.com/"} +{"d:Title": "StarTrek.com - Nana Visitor", "d:Description": "Biography, character profile and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visitor,_Nana", "url": "http://www.startrek.com/startrek/view/library/cast/bio/69062.html"} +{"d:Title": "IMDb.com : Nana Visitor", "d:Description": "Includes profile, filmography, and TV schedule of the actress born as Nana Tucker.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visitor,_Nana", "url": "http://www.imdb.com/name/nm0000684/"} +{"d:Title": "Sarah's Goran Visnjic Site", "d:Description": "Personal information, filmography, forum, sounds, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visnjic,_Goran", "url": "http://www.angelfire.com/on2/goran/"} +{"d:Title": "Yahoo! Groups : Goran Visnjic", "d:Description": "Mailing list for discussion about the actor and his latest projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visnjic,_Goran", "url": "http://groups.yahoo.com/group/GoranVisnjic/"} +{"d:Title": "Thespian Net : Goran Visnjic", "d:Description": "Biography, filmography, articles, pictures, fan comments, and links to fan sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visnjic,_Goran", "url": "http://www.thespiannet.com/actors/V/visnjic_goran/"} +{"d:Title": "Goran Visnjic Zone", "d:Description": "Biography and selected quotations with a filmography, pictures, desktop materials, multimedia downloads and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visnjic,_Goran", "url": "http://goranzone.altervista.org/"} +{"d:Title": "IMDb.com : Goran Visnjic", "d:Description": "Biography, filmography, photos, articles, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Visnjic,_Goran", "url": "http://www.imdb.com/name/nm0899681/"} +{"d:Title": "IMDb: Monica Vitti", "d:Description": "Biography, filmography and other information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vitti,_Monica", "url": "http://www.imdb.com/name/nm0900143/"} +{"d:Title": "All-reviews.com : Jon Voight", "d:Description": "Reviews of his movies from 1996 to 2001.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Voight,_Jon", "url": "http://www.all-reviews.com/actors-3/Jon-Voight.htm"} +{"d:Title": "The Voight Domain", "d:Description": "Fan site offering articles and interviews, scanned pictures and screen captures, fan fiction, multimedia clips and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Voight,_Jon", "url": "http://www.thevoightdomain.com/"} +{"d:Title": "IMDb.com : Jon Voight", "d:Description": "Biography, filmography, photos, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Voight,_Jon", "url": "http://www.imdb.com/name/nm0000685/"} +{"d:Title": "WIC Biography : Elfi Von Dassanowsky", "d:Description": "About the life and career of the Austrian film innovator and opera singer.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_Dassanowsky,_Elfi", "url": "http://www.wic.org/bio/edassano.htm"} +{"d:Title": "IMDb.com : Elfi von Dassanowsky", "d:Description": "Biography, filmography, and awards of the actress also known as Elfi Dorena.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_Dassanowsky,_Elfi", "url": "http://www.imdb.com/name/nm0902133/"} +{"d:Title": "Erik von Detten", "d:Description": "Previous official homepage with credits, photo gallery, news, and articles. Last updated in 1997.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_Detten,_Erik", "url": "http://members.tripod.com/~Abbyqq/ErikvonDetten.html"} +{"d:Title": "Blurred Reality", "d:Description": "Includes personal information, filmography, gallery, fanfiction, and contacts. Not to be updated since April, 2002.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_Detten,_Erik", "url": "http://www.angelfire.com/mi2/erikvondetten/index.html"} +{"d:Title": "IMDb.com : Erik von Detten", "d:Description": "Biography, filmography, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_Detten,_Erik", "url": "http://www.imdb.com/name/nm0902184/"} +{"d:Title": "Jenna Von Oy", "d:Description": "Official website with news, listing of performances, photos, and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_O\u00ff,_Jenna", "url": "http://www.jennavonoy.com/"} +{"d:Title": "IMDb.com : Jenna von Oy", "d:Description": "Profile, filmography, photo gallery, clips, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_O\u00ff,_Jenna", "url": "http://www.imdb.com/name/nm0001883/"} +{"d:Title": "IMDb: Max von Sydow", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/von_Sydow,_Max", "url": "http://imdb.com/name/nm0001884/"} +{"d:Title": "Arnold Vosloo's Page", "d:Description": "Pictures, animations, reviews, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vosloo,_Arnold", "url": "http://arnoldvosloo.8m.com/"} +{"d:Title": "Arnold Vosloo Files", "d:Description": "Links to articles, fan sites, filmographies, and other resources about Arnold Vosloo.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vosloo,_Arnold", "url": "http://vosloofiles.tripod.com/"} +{"d:Title": "IMDb.com : Arnold Vosloo", "d:Description": "Profile, filmography, photo gallery, clips, contact information, TV schedule, and titles for sale.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/V/Vosloo,_Arnold", "url": "http://www.imdb.com/name/nm0903677/"} +{"d:Title": "Wiggins, Chris", "d:Description": "US based actor. Includes filmography, biography, screen captures, and promotional photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://chriswigginsonline.8k.com/"} +{"d:Title": "Wimaladharma, Yashodaw", "d:Description": "Official web site for this actress from India. Biography, photo gallery, career highlights and achievements, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.yashodaw.com/"} +{"d:Title": "Wildman, Valerie", "d:Description": "News and information including biography, filmography, television, theater, training, and resume.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.valeriewildman.com/index.html"} +{"d:Title": "Weigert, Robin", "d:Description": "US based actress. Includes biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.robinweigert.com/"} +{"d:Title": "Wardell, Brandon", "d:Description": "US based actor. Includes biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.brandonwardell.com/"} +{"d:Title": "Westlake, Dawn", "d:Description": "US based actress. Includes resume, biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.dawnwestlake.com/"} +{"d:Title": "Watson, James", "d:Description": "UK based actor. Includes resume, photographs, biography, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.jameswatson.net/"} +{"d:Title": "Waters, John", "d:Description": "Australian television, film, and stage actor. Biography, filmography, news, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.theaussiejohnwaters.homestead.com/"} +{"d:Title": "Wallace, Rheagan", "d:Description": "US based actress. Includes credits, photos, links and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://rheagun.8m.com/"} +{"d:Title": "Williams, Meadow", "d:Description": "Los Angeles based actress. Includes resume, photographs and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://meadowwilliams.com/"} +{"d:Title": "Warner, Martin Charles", "d:Description": "Actor located in the USA. Headshots, streaming demo reels, acting credits, and dialects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://martin_warner.tripod.com/"} +{"d:Title": "Willis, Beth", "d:Description": "Actress and graduate of Italia Conti Academy of Theatre Arts, based in London and Brighton. Portfolio, publicity portraits, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.bethwillis.homestead.com/"} +{"d:Title": "Wray, Lindsay Lauren", "d:Description": "US based actress. Includes biography, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.lindsaywray.com/"} +{"d:Title": "Woods, Dan", "d:Description": "UK based actor. Provides a CV, photographs, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.angelfire.com/film/danwoods/"} +{"d:Title": "Wright,_Dawna Marie", "d:Description": "Toronto-based actress. Provides a biography, resume, contact details and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.freewebs.com/dawnawright/"} +{"d:Title": "Watson,_Jason", "d:Description": "Theatrical actor based in New York. Contains audio clips, production photographs and contact facilities.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://jasonwatson.net/"} +{"d:Title": "Wilson, Bo", "d:Description": "Provides original scripts, voice-over demos, biographical information, contact info and links for this voice talent.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://bowilson.net/"} +{"d:Title": "Whyment, Andy", "d:Description": "UK based actor. Includes credits, photos, links and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://andywhyment.co.uk/"} +{"d:Title": "Wisdom, Tom", "d:Description": "Fan site dedicated to the career of British actor Tom Wisdom. Contains interviews, news, pictures, career information, fan forum, movies, films and multimedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.tomwisdom.net/"} +{"d:Title": "Warren, Charlotte", "d:Description": "Chicago, IL based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://imdb.com/name/nm2684026/"} +{"d:Title": "Wolff, Meme", "d:Description": "Saint Louis based actress. Includes resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://www.memewolff.com/"} +{"d:Title": "Weed, Alex", "d:Description": "US based SAG member. Includes a resume, photographs, demo reel, audio clips and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://alexweed.com/alexweed/Home.html"} +{"d:Title": "Walton, Patrick", "d:Description": "UK based actor. Includes resume, photos, recent projects and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W", "url": "http://patrick.walton.tripod.com/"} +{"d:Title": "TVGuide.com: Justine Waddell", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Waddell,_Justine", "url": "http://www.tvguide.com/celebrities/justine-waddell/159571"} +{"d:Title": "IMDb: Justine Waddell", "d:Description": "Includes filmography, biographical information, an image gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Waddell,_Justine", "url": "http://www.imdb.com/name/nm0905311/"} +{"d:Title": "Sherry's Steven Waddington Fan Page", "d:Description": "Credits, news, galleries and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Waddington,_Steven", "url": "http://www.sherryweb.com/steven/"} +{"d:Title": "IMDb: Steven Waddington", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Waddington,_Steven", "url": "http://www.imdb.com/name/nm0905357/"} +{"d:Title": "IMDB.com: Jack Wagner", "d:Description": "Provides biography, filmography and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wagner,_Jack", "url": "http://www.imdb.com/name/nm0905935/"} +{"d:Title": "IMDb: Kristina Wagner", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wagner,_Kristina", "url": "http://www.imdb.com/name/nm0905984/"} +{"d:Title": "IMDb: Lindsay Wagner", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wagner,_Lindsay", "url": "http://www.imdb.com/name/nm0905993/"} +{"d:Title": "The Official Website for Robert Wagner", "d:Description": "Biography, pictures, filmography and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wagner,_Robert", "url": "http://www.robert-wagner.com/"} +{"d:Title": "Robert Wagner's Hollywood", "d:Description": "Places and events where Robert Wagner has been seen around town.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wagner,_Robert", "url": "http://www.seeing-stars.com/StarIndexes/RobertWagner.shtml"} +{"d:Title": "Wagner, Robert", "d:Description": "A biography, profile, interviews, articles, and latest news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wagner,_Robert", "url": "http://www.rottentomatoes.com/celebrity/1016193-robert_wagner/"} +{"d:Title": "IMDb: Robert Wagner", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wagner,_Robert", "url": "http://www.imdb.com/name/nm0001822/"} +{"d:Title": "Those Wahlberg Men", "d:Description": "A fun ride into the world of Donnie and Mark Wahlberg. Pictures, biographies, facts, profiles, filmographies, quotes, links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark", "url": "http://www.thosewahlbergmen.com/"} +{"d:Title": "Mark Wahlberg.com", "d:Description": "Comprehensive information about movies, music and official fan club.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark", "url": "http://www.markwahlberg.com/"} +{"d:Title": "Mark Wahlberg - Celebrity Page", "d:Description": "Includes vital statistics and a picture.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark", "url": "http://members.tripod.com/alanna_m/celebrity/Mark.html"} +{"d:Title": "Marky Mark Online", "d:Description": "Chat, message board, pictures, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark", "url": "http://www.angelfire.com/celeb2/markymarkonline/"} +{"d:Title": "Mark Wahlberg Message Board", "d:Description": "Message board for fans of Mark Wahlberg to come and share news, experiences and trade merchandise.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark", "url": "http://goodvibrations.yuku.com/"} +{"d:Title": "Digital Hit's Mark Wahlberg Profile", "d:Description": "A look at his life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark", "url": "http://www.digitalhit.com/cr/markwahlberg/"} +{"d:Title": "Mark Wahlberg: IMDB", "d:Description": "Mark's page of TV and movie appearances from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark", "url": "http://www.imdb.com/name/nm0000242/"} +{"d:Title": "Celebrities-Pictures.com: Mark Wahlberg", "d:Description": "Offers pictures, original wallpapers and screensavers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/mark%20wahlberg"} +{"d:Title": "Mark Wahlberg Pictures", "d:Description": "A collection of 11 publicity shots and movie stills", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark/Image_Galleries", "url": "http://jeffburris.dnsalias.com/actors/Wahlberg/"} +{"d:Title": "Another Marky Mark Photo Collection", "d:Description": "Provides 32 pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark/Image_Galleries", "url": "http://moremarkymark.tripod.com/"} +{"d:Title": "Fan Tribute to Mark Wahlberg", "d:Description": "Fan video from YouTube.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wahlberg,_Mark/Movies", "url": "http://www.youtube.com/watch?v=CRGVLooVm2Q"} +{"d:Title": "Christopher Walken at Stratford", "d:Description": "Provides archival photos from the Stratford Festival of 1968.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walken,_Christopher", "url": "http://cda.mrs.umn.edu/~webbrl/WalkenStratford.html"} +{"d:Title": "PopEntertainment.com - Christopher Walken", "d:Description": "The veteran actor interviewed by Jay S. Jacobs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walken,_Christopher", "url": "http://www.popentertainment.com/walken.htm"} +{"d:Title": "Yahoo Groups: Walken", "d:Description": "Fan club with photos, links, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walken,_Christopher", "url": "http://movies.groups.yahoo.com/group/walken2/"} +{"d:Title": "Walken, Hung and Tall", "d:Description": "Provides discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walken,_Christopher", "url": "http://walkenhungandtall.yuku.com/"} +{"d:Title": "AskMen.com - Christopher Walken", "d:Description": "Includes pictures, biography and commentary with ratings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walken,_Christopher", "url": "http://uk.askmen.com/celebs/men/entertainment_150/183_christopher_walken.html"} +{"d:Title": "The World Of Sam Waters", "d:Description": "Ally Walker fan site dedicated to her role as Samantha Waters on the Profiler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Ally", "url": "http://www.sammigirl.20m.com/worldof.htm"} +{"d:Title": "IMDb: Ally Walker", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Ally", "url": "http://www.imdb.com/name/nm0001824/"} +{"d:Title": "Portrait of a Profiler", "d:Description": "Ally Walker fan site offers biography, filmography, gallery and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Ally", "url": "http://dern.tripod.com/ally/"} +{"d:Title": "The Official Site of Star Wars First Mace: Eric Walker", "d:Description": "Provides appearance information, pictures, message board and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Eric", "url": "http://www.ericwalker.net/"} +{"d:Title": "LucasFan Interview with Eric Walker of The Ewok Adventure", "d:Description": "Interview by Michael Streeter.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Eric", "url": "http://www.lucasfan.com/ewdr/ericwalker.html"} +{"d:Title": "IMDb: Eric Walker (I)", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Eric", "url": "http://www.imdb.com/name/nm0907726/"} +{"d:Title": "The Nicola Walker Arf and Hurrah Fan Club", "d:Description": "Message board and chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Nicola", "url": "http://movies.groups.yahoo.com/group/nicolawalkerarfandhurrah/"} +{"d:Title": "IMDb: Nicola Walker", "d:Description": "Facts and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Nicola", "url": "http://www.imdb.com/name/nm0908070/"} +{"d:Title": "IMDb: Paul Walker", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Paul", "url": "http://www.imdb.com/name/nm0908094/"} +{"d:Title": "The Numbers: Box Office Data for Paul Walker", "d:Description": "Provides box office news and detailed analysis.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Paul", "url": "http://www.the-numbers.com/person/148160401-Paul-Walker"} +{"d:Title": "BBC Films - Paul Walker Interview", "d:Description": "The star of \"2 Fast 2 Furious\" talks about getting in gear for the role and being upstaged by fast cars.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Paul/Articles_and_Interviews", "url": "http://www.bbc.co.uk/films/2003/06/12/paul_walker_2fast_2furious_interview.shtml"} +{"d:Title": "Celebrities-Pictures.com: Paul Walker", "d:Description": "Offers pictures, wallpapers and screensavers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Paul/Image_Galleries", "url": "http://www.celebrities-pictures.com/photo/v/males/Paul%20Walker"} +{"d:Title": "IMDb: Polly Walker", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walker,_Polly", "url": "http://www.imdb.com/name/nm0908116/"} +{"d:Title": "Yahoo Groups - Jessie Wallace", "d:Description": "Yahoo fan group of the Kat Slater actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wallace,_Jessie", "url": "http://tv.groups.yahoo.com/group/jessie_wallace/"} +{"d:Title": "Tribute to Leighanne", "d:Description": "Includes pictures, biographical information, credits, and chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wallace,_Leighanne", "url": "http://www.angelfire.com/ri/thesite2b/"} +{"d:Title": "Leighanne 4 Eva", "d:Description": "Discussion group for fans to share information, links, chat, news, and pictures. [Requires Yahoo! registration]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wallace,_Leighanne", "url": "http://movies.groups.yahoo.com/group/leighanne4eva/"} +{"d:Title": "IMDb.com - Leighanne Littrell", "d:Description": "Includes a small biography, photo gallery, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wallace,_Leighanne", "url": "http://www.imdb.com/name/nm0514811/"} +{"d:Title": "IMDb: Rowena Wallace", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wallace,_Rowena", "url": "http://www.imdb.com/name/nm0908845/"} +{"d:Title": "IMDb: Dee Wallace-Stone", "d:Description": "Provides filmography, TV guest appearances, photography, archive footage and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wallace-Stone,_Dee", "url": "http://www.imdb.com/name/nm0908914/"} +{"d:Title": "Dee Wallace", "d:Description": "Contains biography, news, upcoming personal appearances and gallery of photographs and media.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wallace-Stone,_Dee", "url": "http://iamdeewallace.com/"} +{"d:Title": "TNG Women: B'Etor", "d:Description": "Includes an introduction to Star Trek and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walsh,_Gwynyth", "url": "http://www.sherylfranklin.com/trekwomen_betor.html"} +{"d:Title": "IMDb: Gwynyth Walsh", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walsh,_Gwynyth", "url": "http://www.imdb.com/name/nm0909657/"} +{"d:Title": "JT Walsh Supersite", "d:Description": "Detailed fan site about the actor, includes a quiz, links to reviews, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walsh,_J._T.", "url": "http://www.sebar.com/jtwalsh_dir/jtwalsh.html"} +{"d:Title": "IMDb: J.T. Walsh", "d:Description": "Provides biography, filmography and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walsh,_J._T.", "url": "http://www.imdb.com/name/nm0000687/"} +{"d:Title": "Ray Walston Interview", "d:Description": "Presented by Harry Governick, Artistic Director, TheatrGROUP.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walston,_Ray", "url": "http://www.theatrgroup.com/Ray/"} +{"d:Title": "IMDb: Ray Walston", "d:Description": "With a short biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walston,_Ray", "url": "http://www.imdb.com/name/nm0001827/"} +{"d:Title": "Jamie Walters Tribute Page", "d:Description": "Devoted to star of Shout, The Heights and Beverly Hills 90210. Includes photos, vital statistics, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walters,_Jamie", "url": "http://www.jamiewalters.homestead.com/"} +{"d:Title": "IMDb: Jamie Walters", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walters,_Jamie", "url": "http://www.imdb.com/name/nm0910256/"} +{"d:Title": "Julie Walters Fansite", "d:Description": "News, filmography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walters,_Julie", "url": "http://juliewalters.fanspace.com/"} +{"d:Title": "BBC News: Julie Walters: British Acting Treasure", "d:Description": "The actress wins a TV Bafta, endorsing her position as one of Britain's best-loved actresses.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walters,_Julie", "url": "http://news.bbc.co.uk/1/hi/1168413.stm"} +{"d:Title": "IMDb: Julie Walters", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walters,_Julie", "url": "http://www.imdb.com/name/nm0910278/"} +{"d:Title": "TalkTalk: Julie Walters biography", "d:Description": "Detailed biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Walters,_Julie", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/julie-walters/biography/65"} +{"d:Title": "Zoe Wanamaker Official Fansite", "d:Description": "Features biography, filmography, interview transcripts, news, photos, and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wanamaker,_Zoe", "url": "http://www.zoewanamaker.com/"} +{"d:Title": "Yahoo Groups: Unofficial Zoe Wanamaker Fanclub", "d:Description": "Mailing list and archive for fans includes photo galleries and files.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wanamaker,_Zoe", "url": "http://movies.groups.yahoo.com/group/zoewanamaker/"} +{"d:Title": "IMDb: Zo\u00eb Wanamaker", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wanamaker,_Zoe", "url": "http://www.imdb.com/name/nm0910738/"} +{"d:Title": "StarTrek.com - Garrett Wang", "d:Description": "Biographical information, character profile, images and chat transcripts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wang,_Garrett", "url": "http://www.startrek.com/database_article/wang"} +{"d:Title": "Linda Wang Interview with HairBoutique.com", "d:Description": "The actress talks about her hair and her dedication to acting.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wang,_Linda", "url": "http://www.hairboutique.com/tips/tip569.htm"} +{"d:Title": "Dennis A. Amith Interviews Linda Wang", "d:Description": "The actress talks about her career and family.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wang,_Linda", "url": "http://www.nt2099.com/INTERVIEWS/linda_wang/"} +{"d:Title": "The Great Voice - Linda Wang", "d:Description": "Interview with the actress by Susan Berkley.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wang,_Linda", "url": "http://www.greatvoiceco.com/wp_lindaw.html"} +{"d:Title": "Film Monthly: Patrick Warburton", "d:Description": "Interview conducted by Clint Fletcher.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warburton,_Patrick", "url": "http://www.filmmonthly.com/Profiles/Articles/PatrickWarburton/PatrickWarburton.html"} +{"d:Title": "eFilmCritic: Patrick Warburton", "d:Description": "\"With various roles in film, television and voice work, Mr. Warburton has certainly made a name for himself in the industry.\" By Jason Whyte.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warburton,_Patrick", "url": "http://efilmcritic.com/feature.php?feature=1131"} +{"d:Title": "Combustible Celluloid: Patrick Warburton - Working Hard", "d:Description": "Interview discussing his work on the movie \"The Woman Chaser\". By Jeffrey M. Anderson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warburton,_Patrick", "url": "http://www.combustiblecelluloid.com/warburton.shtml"} +{"d:Title": "IMDb: Patrick Warburton", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warburton,_Patrick", "url": "http://www.imdb.com/name/nm0911320/"} +{"d:Title": "ESPN.com: Patrick Warburton", "d:Description": "The actor is asked 10 questions.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warburton,_Patrick", "url": "http://www.espn.com/page2/s/questions/warburton.html"} +{"d:Title": "TVGuide.com: Fred Ward", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Fred", "url": "http://www.tvguide.com/celebrities/fred-ward/150865"} +{"d:Title": "IMDb: Fred Ward (I)", "d:Description": "The Internet Movie Database includes filmography, biographical information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Fred", "url": "http://www.imdb.com/name/nm0911542/"} +{"d:Title": "Megan Ward Online", "d:Description": "Fan page offering a detailed biography, filmography, screen captures, a Dark Skies episode guide and links to merchandise and other resources.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Megan", "url": "http://website.lineone.net/~birkoff/meganward.htm"} +{"d:Title": "IMDb: Megan Ward", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Megan", "url": "http://www.imdb.com/name/nm0911725/"} +{"d:Title": "Jim's Rachel Ward Photos", "d:Description": "Provides a biography, filmography, pictures, desktop wallpapers and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Rachel", "url": "http://users.telenet.be/jim.m/rachel-ward/index.htm"} +{"d:Title": "Ward, Rachel", "d:Description": "Web site dedicated to the actress featuring images, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Rachel", "url": "http://rachelward.wz.cz/"} +{"d:Title": "IMDb: Rachel Ward", "d:Description": "Filmography, awards, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Rachel", "url": "http://www.imdb.com/name/nm0001829/"} +{"d:Title": "Official Website of Sela Ward", "d:Description": "Contains news, biography, updates, games, message board, photographs, and transcripts.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Sela", "url": "http://www.selawardtv.com/"} +{"d:Title": "Thespian.net: Sela Ward", "d:Description": "Contains links, news, and television credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Sela", "url": "http://www.thespiannet.com/actresses/W/ward_sela/"} +{"d:Title": "TVHeaven.com: Sela Ward", "d:Description": "Featuring images and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Sela", "url": "http://selaward.tvheaven.com/index.html"} +{"d:Title": "Yahoo! Groups: Sela Ward Online", "d:Description": "New club all dedicated to Sela.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Sela", "url": "http://groups.yahoo.com/group/selawardonline/"} +{"d:Title": "IMDB.com: Sela Ward", "d:Description": "Includes a mini biography, filmography, and TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Sela", "url": "http://www.imdb.com/name/nm0000688/"} +{"d:Title": "Sela Ward Photo Album", "d:Description": "6 albums of photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Sela/Photo_Galleries", "url": "http://www.selawardtv.com/album.html"} +{"d:Title": "IMDb: Susan Ward", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward,_Susan", "url": "http://www.imdb.com/name/nm0911861/"} +{"d:Title": "Official Jennifer Ward-Lealand Website", "d:Description": "Provides curriculum vitae and announcements of future projects.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward-Leland,_Jennifer", "url": "http://www.jenniferwardlealand.com/"} +{"d:Title": "IMDb: Jennifer Ward-Lealand", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Ward-Leland,_Jennifer", "url": "http://www.imdb.com/name/nm0911943/"} +{"d:Title": "IMDb: Billy Warlock", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warlock,_Billy", "url": "http://www.imdb.com/name/nm0912308/"} +{"d:Title": "IMDb: Anthony Warlow", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warlow,_Anthony", "url": "http://www.imdb.com/name/nm0912312/"} +{"d:Title": "Voice Chasers: David Warner", "d:Description": "Fan mail address and list of voice credits in animation.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warner,_David", "url": "http://www.voicechasers.com/database/showactor.php?actorid=1971"} +{"d:Title": "TVGuide.com: David Warner", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warner,_David", "url": "http://www.tvguide.com/celebrities/david-warner/146710"} +{"d:Title": "Internet Movie Database", "d:Description": "Filmography, trivia, publicity, photos and other details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warner,_David", "url": "http://www.imdb.com/name/nm0001831/"} +{"d:Title": "IMDb - Leslie Ann Warren", "d:Description": "Filmography, biography, images and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warren,_Leslie_Ann", "url": "http://www.imdb.com/name/nm0000690/"} +{"d:Title": "Warrick, Ruth", "d:Description": "Filmography, brief biography, and notable TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Warrick,_Ruth", "url": "http://www.imdb.com/name/nm0913095/"} +{"d:Title": "All-Reviews.com - Denzel Washington", "d:Description": "Filmography with links to movie reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Denzel", "url": "http://www.all-reviews.com/actors-2/Denzel-Washington.htm"} +{"d:Title": "Just Denzel Washington", "d:Description": "Fan site featuring a biography, filmography, e-cards, polls and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Denzel", "url": "http://www.angelfire.com/film/justdenzel/justdenzel_homepage.html"} +{"d:Title": "Denzel X", "d:Description": "Biography and filmography, with pictures, film summaries, facts and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Denzel", "url": "http://www.denzelx.com/"} +{"d:Title": "Washington, Denzel", "d:Description": "Vital statistics, poll, pictures and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Denzel", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?dwashington"} +{"d:Title": "Digital Hit: Denzel Washington", "d:Description": "Presents a concise overview of his life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Denzel", "url": "http://www.digitalhit.com/cr/denzelwashington"} +{"d:Title": "Topix: Denzel Washington", "d:Description": "News about Denzel Washington, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Denzel", "url": "http://www.topix.com/rss/who/denzel-washington.xml"} +{"d:Title": "IMDb: Denzel Washington", "d:Description": "Biography, photo gallery, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Denzel", "url": "http://www.imdb.com/name/nm0000243/"} +{"d:Title": "IMDb - Isaiah Washington (I)", "d:Description": "Provides filmography, biography, photos and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Isaiah", "url": "http://www.imdb.com/name/nm0913460/"} +{"d:Title": "Wikipedia - Isaiah Washington", "d:Description": "Provides information and links about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Washington,_Isaiah", "url": "http://en.wikipedia.org/wiki/Isaiah_Washington"} +{"d:Title": "IMDB: Ken Watanabe", "d:Description": "Filmography, biography and photographs of the Japanese actor noted for his portrayal of Samurai.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watanabe,_Ken", "url": "http://www.imdb.com/name/nm0913822/"} +{"d:Title": "Japan Zone: Watanabe Ken", "d:Description": "Illustrated biography of the Japanese leading man nominated for Golden Globe and Oscar awards for his role in The Last Samurai.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watanabe,_Ken", "url": "http://www.japan-zone.com/modern/watanabe_ken.shtml"} +{"d:Title": "USA Today: Japanese Star Ken Watanabe Follows way of the Samurai", "d:Description": "An interview with the leading actor of the Last Samurai, which discusses his career and his performance in the film.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watanabe,_Ken", "url": "http://usatoday30.usatoday.com/life/movies/news/2003-12-11-watanabe-ken_x.htm"} +{"d:Title": "And Here's To You, Mr. Waterston", "d:Description": "Celebrates the actor with stories, photos, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Waterston,_Sam", "url": "http://sh1.webring.com/people/ja/ayeshahaqqiqa/index.html"} +{"d:Title": "IMDb: Sam Waterston", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Waterston,_Sam", "url": "http://www.imdb.com/name/nm0001832/"} +{"d:Title": "IMDb: Tuc Watkins", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watkins,_Tuc", "url": "http://www.imdb.com/name/nm0914424/"} +{"d:Title": "IMDb: Cynthia Watros", "d:Description": "The Internet Movie Database includes filmography, profile, images, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watros,_Cynthia", "url": "http://www.imdb.com/name/nm0914475/"} +{"d:Title": "IMDb: Alberta Watson", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Alberta", "url": "http://www.imdb.com/name/nm0914491/"} +{"d:Title": "IMDb: Barry Watson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Barry", "url": "http://www.imdb.com/name/nm0005538/"} +{"d:Title": "Yahoo! Movies: Emily Watson", "d:Description": "Biography, filmography, photos and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Emily", "url": "http://movies.yahoo.com/person/emily-watson/"} +{"d:Title": "IMDb: Emily Watson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Emily", "url": "http://www.imdb.com/name/nm0001833/"} +{"d:Title": "CBBC Newsround: Emma Watson", "d:Description": "Includes quotes and video footage of an interview with the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Emma", "url": "http://news.bbc.co.uk/cbbcnews/hi/tv_film/newsid_1628000/1628670.stm"} +{"d:Title": "Unofficial Emma Watson Page", "d:Description": "Includes biography, interviews, lines from her film, pictures including magazine covers, sound files and information about her character in Harry Potter.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Emma", "url": "http://www.angelfire.com/celeb2/emmawatson/emmawatsonhome.html"} +{"d:Title": "Scholastic News Interview: Emma Watson", "d:Description": "The actress answers questions about working on the Harry Potter movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Emma", "url": "http://teacher.scholastic.com/scholasticnews/indepth/harry_potter/emma_watson.htm"} +{"d:Title": "Emma Watson - It's All About Emma", "d:Description": "Features news, discussion board, images, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Emma", "url": "http://www.emmawatson.tv/"} +{"d:Title": "IMDb: Emma Watson", "d:Description": "Includes a biography, photo gallery, filmography, and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Emma", "url": "http://www.imdb.com/name/nm0914612/"} +{"d:Title": "Watson, Muse", "d:Description": "Featuring a biography, credits and photo gallery of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Muse", "url": "http://www.musewatson.com/"} +{"d:Title": "IMDb: Muse Watson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watson,_Muse", "url": "http://www.imdb.com/name/nm0002901/"} +{"d:Title": "Three Continents Later, an Outsider Actress Finds Her Place", "d:Description": "Two-part interview of actress Naomi Watts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watts,_Naomi", "url": "http://www.lynchnet.com/mdrive/interview.html"} +{"d:Title": "Naomi Watts at the 74th Annual Academy Awards", "d:Description": "Three photos of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watts,_Naomi", "url": "http://www.digitalhit.com/academy/74/photos/13"} +{"d:Title": "Naomi Watts Experience", "d:Description": "Fan site featuring a filmography and small biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watts,_Naomi", "url": "http://www.naomiwatts.com/"} +{"d:Title": "Topix: Naomi Watts", "d:Description": "News about Naomi Watts, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watts,_Naomi", "url": "http://www.topix.com/rss/who/naomi-watts.xml"} +{"d:Title": "IMDb: Naomi Watts", "d:Description": "Filmography, including television and voice acting.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watts,_Naomi", "url": "http://www.imdb.com/name/nm0915208/"} +{"d:Title": "Box Office Data for Naomi Watts", "d:Description": "Films in which she has appeared and how they did at the box office, both domestic and worldwide.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watts,_Naomi", "url": "http://www.the-numbers.com/person/149660401-Naomi-Watts"} +{"d:Title": "The Guardian - Naomi Watts", "d:Description": "A single photo of the actress at the 2002 Oscars.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Watts,_Naomi", "url": "http://www.theguardian.com/film/pictures/image/0,8545,-11404379780,00.html"} +{"d:Title": "Fast William's Place", "d:Description": "Fan page with quite a few pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://www.angelfire.com/tx/fastwilliam/index.html"} +{"d:Title": "John Wayne's Footprints", "d:Description": "A photo of his footprints in cement outside of Mann's Chinese Theatre, in Hollywood.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://www.seeing-stars.com/ImagePages/ChineseForecourtPhoto(JohnWayne).shtml"} +{"d:Title": "All American Man - John Wayne", "d:Description": "Fan page includes a brief bio, film list, and sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://rob767.tripod.com/JohnWayne.html"} +{"d:Title": "Brian Taylor - The Duke", "d:Description": "Features a John Wayne (1907 - 1979) biography, pictures, quotes, and a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://briantaylor.com/johnwayne.htm"} +{"d:Title": "Actor Profile - John Wayne", "d:Description": "Writer Brian W. Fairbanks looks at the actor, on-screen and off, in an essay that addresses the many controversies of his life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://www.angelfire.com/oh2/writer/johnwayne.html"} +{"d:Title": "Topix: John Wayne", "d:Description": "News about John Wayne, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://www.topix.com/rss/who/john-wayne.xml"} +{"d:Title": "IMDb: John Wayne (I)", "d:Description": "Biography, filmography, pictures, and sounds.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://www.imdb.com/name/nm0000078/"} +{"d:Title": "All Movie Guide: John Wayne", "d:Description": "Biography, filmography, and awards. May not work in all browsers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wayne,_John", "url": "http://www.allmovie.com/artist/john-wayne-p116130"} +{"d:Title": "Michael Weatherly Fan Website", "d:Description": "Screen captures, images, and collages, biography, filmography, and Dark Angel information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weatherly,_Michael", "url": "http://michaelweatherly.20m.com/"} +{"d:Title": "IMDb: Michael Weatherly", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weatherly,_Michael", "url": "http://www.imdb.com/name/nm0915762/"} +{"d:Title": "Birgitte's Sigourney Weaver Page", "d:Description": "Personal dedication includes biography, filmography, pictures. Features interviews, movie reviews, discussion, news and gossip.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaver,_Sigourney", "url": "http://www.angelfire.com/film/birgittesc/"} +{"d:Title": "AskMen.com - Sigourney Weaver", "d:Description": "Biography, commentary and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaver,_Sigourney", "url": "http://www.askmen.com/women/actress_100/125_sigourney_weaver.html"} +{"d:Title": "All Things Sigourney", "d:Description": "A tribute containing biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaver,_Sigourney", "url": "http://www.angelfire.com/stars3/sigourney/index.html"} +{"d:Title": "Thespian Net presents Sigourney Weaver", "d:Description": "Contains a biography, filmography, links to articles and fan sites, and facilities allowing fans to contribute pictures and other information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaver,_Sigourney", "url": "http://www.thespiannet.com/actresses/W/weaver_sigourney/"} +{"d:Title": "Sigourney Weaver interview", "d:Description": "Interview discussing her role in the movie Imaginary Heroes. By Brad Balfour.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaver,_Sigourney", "url": "http://www.popentertainment.com/sigourney.htm"} +{"d:Title": "Actress Sigourney Weaver", "d:Description": "Pictures, box office information and vital statistics.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaver,_Sigourney", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?sweaver"} +{"d:Title": "IMDb: Sigourney Weaver", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaver,_Sigourney", "url": "http://www.imdb.com/name/nm0000244/"} +{"d:Title": "Wikipedia: Hugo Weaving", "d:Description": "Includes biography, filmography, career highlights, photos and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://en.wikipedia.org/wiki/Hugo_Weaving"} +{"d:Title": "Hugo Weaving Joins V for Vendetta Cast", "d:Description": "Hugo Weaving has taken over for James Purefoy in the movie V for Vendetta, also starring Natalie Portman, Stephen Fry and Stephen Rea.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://movies.about.com/od/vforvendetta/a/vendetta051005.htm"} +{"d:Title": "HugoWeaving", "d:Description": "Fan tribute site includes news, biography, projects, press articles, gallery, multimedia and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://hugo.random-scribblings.net/"} +{"d:Title": "Moviemistakes.com: Hugo Weaving", "d:Description": "Features quotes from his movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.moviemistakes.com/name2346"} +{"d:Title": "Hugo Weaving V for Vendetta Movie Interview", "d:Description": "Variety keeps this Aussie weaving on his toes. By Paul Fischer at the Toronto Film Festival.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.femail.com.au/hugo-weaving-vendette-movie-interview.htm"} +{"d:Title": "Lord of the Rings: Hugo Weaving as Elrond", "d:Description": "Official actor biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.lordoftherings.net/film/cast/ca_hweav.html"} +{"d:Title": "Deir-ty Girls", "d:Description": "Hugh Weaving fan site offers fan fiction, biography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://tanathir.tripod.com/"} +{"d:Title": "Agent Smith Unleashed", "d:Description": "Offers character conversation transcripts, screenshots, scans, fanart, videos, desktop wallpapers and article archive.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.gabe-e.com/smith/"} +{"d:Title": "Weaving In And Out Of Reality", "d:Description": "Weblog journal dedicated to actor Hugo Weaving offers commentary and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://faithsdiary.livejournal.com/"} +{"d:Title": "Comic Book Resources: V For Vendetta: Talking with Hugo Weaving", "d:Description": "Taking into consideration the qualities of the character, the fact that he's masked throughout the entire film and his last minute addition to the film, Weaving was presented with a number of challenges as an actor. By Jonah Weiland.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.comicbookresources.com/news/newsitem.cgi?id=6687"} +{"d:Title": "Weaving His Way To Stardom", "d:Description": "Hugo Weaving's face is far better known than his name, but that's all about to change with roles in two of the most successful movie franchises in history.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.theage.com.au/articles/2003/05/16/1052885384401.html"} +{"d:Title": "Hugo Weaving", "d:Description": "Image galleries featuring screenshots from several of the actor's films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://fanzone50.com/Hugo/"} +{"d:Title": "Wizard News: Hugo Weaving Says Oscars Are Meaningless", "d:Description": "You end up becoming a product yourself. I don't want that. I just want to be an actor who's also a human being.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.wizardnews.com/story.20040303.html"} +{"d:Title": "IndieLondon: V For Vendetta - Hugo Weaving Interview", "d:Description": "The actor talks about his role as V and his penchant for small Australian films.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.indielondon.co.uk/Film-Review/v-for-vendetta-hugo-weaving-interview"} +{"d:Title": "IMDb: Hugo Weaving", "d:Description": "Provides picture, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.imdb.com/name/nm0915989/"} +{"d:Title": "Box Office Data: Hugo Weaving", "d:Description": "Data includes opening weekend, US and worldwide gross receipts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.the-numbers.com/person/810401-Hugo-Weaving"} +{"d:Title": "Today: Hugo Weaving Doesn't Mind Wearing A Mask", "d:Description": "Weaving's character V has elements of the protagonists from \"The Count of Monte Cristo,\" \"Beauty and the Beast\" and \"The Hunchback of Notre Dame\", even \"Batman\" with his flowing cape.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weaving,_Hugo", "url": "http://www.today.com/id/11845516"} +{"d:Title": "Yahoo! Movies: Jake Weber", "d:Description": "Contains filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weber,_Jake", "url": "http://movies.yahoo.com/person/jake-weber/"} +{"d:Title": "IMDb: Jake Weber", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weber,_Jake", "url": "http://www.imdb.com/name/nm0916617/"} +{"d:Title": "IMDb: Steven Weber (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weber,_Steven", "url": "http://www.imdb.com/name/nm0001836/"} +{"d:Title": "Victor Webster Weekly", "d:Description": "Subscription information for free Victor Webster/Nicholas Alamain online newsletter.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Webster,_Victor", "url": "http://www.angelfire.com/ca5/vww/index.html"} +{"d:Title": "IMDb: Victor Webster", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Webster,_Victor", "url": "http://www.imdb.com/name/nm0005543/"} +{"d:Title": "IMDb: Nick Wechsler", "d:Description": "Filmography for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wechsler,_Nick", "url": "http://www.imdb.com/name/nm0917060/"} +{"d:Title": "IMDb.com - Rollo Weeks", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weeks,_Rollo", "url": "http://www.imdb.com/name/nm0917353/"} +{"d:Title": "IMDb: Scott Weinger", "d:Description": "Filmography and brief biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weinger,_Scott", "url": "http://www.imdb.com/name/nm0918334/"} +{"d:Title": "Michael T. Weiss Haven", "d:Description": "Fan tribute including screen captures, desktop materials, news items, a biography, filmography, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weiss,_Michael_T.", "url": "http://www.mtwhaven.sandyd.net/"} +{"d:Title": "Jarod's Safe House: A Michael T. Weiss Archive", "d:Description": "Archive of the actor's career and other interests. Includes 10,000+ image scrapbook, biography and resume as well as the latest news and works from Michael.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weiss,_Michael_T.", "url": "http://www.jarodsafehouse.com/"} +{"d:Title": "IMDb: Michael T. Weiss", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weiss,_Michael_T.", "url": "http://www.imdb.com/name/nm0919117/"} +{"d:Title": "Michael T. Weiss - TV.com", "d:Description": "Michael T. Weiss Information - TV.com offers all of the latest Michael T. Weiss news as well as exclusive Michael T. Weiss photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weiss,_Michael_T.", "url": "http://www.tv.com/people/michael-t-weiss/"} +{"d:Title": "IMDb: Johnny Weissm\u00fcller", "d:Description": "Includes filmography, mini-biography, trivia, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weissm\u00fcller,_Johnny", "url": "http://www.imdb.com/name/nm0919321/"} +{"d:Title": "Actress: Rachel Weisz", "d:Description": "Features picture gallery, box office information, and other statistics.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weisz,_Rachel", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?rweisz"} +{"d:Title": "TalkTalk: Rachel Weisz", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weisz,_Rachel", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/rachel-weisz/biography/97"} +{"d:Title": "IMDb: Rachel Weisz", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weisz,_Rachel", "url": "http://www.imdb.com/name/nm0001838/"} +{"d:Title": "Thespian Net presents Rachel Weisz", "d:Description": "Information, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weisz,_Rachel", "url": "http://www.thespiannet.com/actresses/W/weisz_rachel/rachel_weisz.shtml"} +{"d:Title": "IMDb: Raquel Welch", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welch,_Raquel", "url": "http://www.imdb.com/name/nm0000079/"} +{"d:Title": "Thespian Net presents Raquel Welch", "d:Description": "Includes biography, movie and TV credits, articles, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welch,_Raquel", "url": "http://www.thespiannet.com/actresses/W/welch_raquel/raquel_welch.shtml"} +{"d:Title": "IMDb: Colin Welland", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welland,_Colin", "url": "http://www.imdb.com/name/nm0919815/"} +{"d:Title": "Tom Welling's Very Unofficial Homepage", "d:Description": "Includes articles and interviews, biography, image gallery, information on Smallville, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welling,_Tom", "url": "http://www.angelfire.com/celeb2/unofficialtom/index.html"} +{"d:Title": "Yahoo! Groups: Tom Welling", "d:Description": "Fan club, including message board and chat room. [Yahoo! registration required.]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welling,_Tom", "url": "http://movies.groups.yahoo.com/group/tomwelling2/"} +{"d:Title": "iBaller.com: Tom Welling", "d:Description": "Contains pictures and a selection of desktop wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welling,_Tom", "url": "http://www.iballer.com/malecelebs/welling"} +{"d:Title": "IMDb: Tom Welling", "d:Description": "Biography and filmography, with links to information on television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welling,_Tom", "url": "http://www.imdb.com/name/nm0919991/"} +{"d:Title": "Cult Times Special #20, Feature: Smallville", "d:Description": "Interview with Tom Welling.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Welling,_Tom/Articles_and_Interviews", "url": "http://www.visimag.com/culttimes/cs20_feature.htm"} +{"d:Title": "IMDb: Dawn Wells", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wells,_Dawn", "url": "http://www.imdb.com/name/nm0920171/"} +{"d:Title": "Wenham Goes True West", "d:Description": "Article by John Mangan for The Age. After successful performances on television and starring roles in Lord of the Rings and The Bank, David Wenham has returned to the stage.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wenham,_David", "url": "http://theage.com.au/articles/2002/04/30/1019441368381.html"} +{"d:Title": "The Accidental Actor", "d:Description": "The Age: From Marrickville to Middle-earth and beyond, David Wenham makes it look easy. Catherine Keenan meets the new guy in The Lord of the Rings trilogy.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wenham,_David", "url": "http://theage.com.au/articles/2002/12/02/1038712880335.html"} +{"d:Title": "Dessicated Coconut", "d:Description": "Frequently updated fan page with current news, projects, biography, interviews, links, multimedia, movie reviews, photo gallery, and humor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wenham,_David", "url": "http://dessicatedcoconut.tripod.com/"} +{"d:Title": "Wenham Wonderland", "d:Description": "Fansite with links, a biography, a photo gallery, shops, art, and a fan forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wenham,_David", "url": "http://wenham-wonderland.net/"} +{"d:Title": "IMDb: David Wenham", "d:Description": "Provides brief biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wenham,_David", "url": "http://www.imdb.com/name/nm0920992/"} +{"d:Title": "David Wenham Daily", "d:Description": "Photo gallery of David Wenham images. Updated daily.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wenham,_David", "url": "http://daisy-daily.livejournal.com/"} +{"d:Title": "IMDb: Oskar Werner", "d:Description": "Filmography, profile, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Werner,_Oscar", "url": "http://www.imdb.com/name/nm0921459/"} +{"d:Title": "TVGuide.com", "d:Description": "Adam West current month TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Adam", "url": "http://www.tvguide.com/celebrities/adam-west/138187"} +{"d:Title": "IMDb Adam West (I)", "d:Description": "A filmography, a short biography, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Adam", "url": "http://www.imdb.com/name/nm0001842/"} +{"d:Title": "IMDb: Chandra West", "d:Description": "Her profile at the Internet Movie Database. With movie and TV credits, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Chandra", "url": "http://www.imdb.com/name/nm0921979/"} +{"d:Title": "IMDb: Dominic West", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Dominic", "url": "http://www.imdb.com/name/nm0922035/"} +{"d:Title": "Future Movies: Dominic West", "d:Description": "Interview about his role in The Forgotten.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Dominic", "url": "http://www.futuremovies.co.uk/filmmaking/the-forgotten-dominic-west-interview/michelle-thomas"} +{"d:Title": "IMDb.com : Eric West", "d:Description": "Basic facts, a complete filmography, photo gallery, awards and TV schedule for Eric West.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric", "url": "http://www.imdb.com/name/nm0922064/"} +{"d:Title": "Yahoo! Groups : Eric West", "d:Description": "A Eric West fan group created by the fans at Yahoo to celebrate his music and movies with chat room and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric", "url": "http://launch.groups.yahoo.com/group/ericwest/?yguid=61269243"} +{"d:Title": "TV.com: Eric West", "d:Description": "Biography, roles and appearances, gossip.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric", "url": "http://www.tv.com/people/eric-west/"} +{"d:Title": "Eric West Reworks Album - Music Industry News Network", "d:Description": "Article and brief interview about Eric's album.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric/Articles_and_Interviews", "url": "http://www.mi2n.com/press.php3?press_nb=72917"} +{"d:Title": "Eric West @ Mooviees.com", "d:Description": "Short Bio, DVDs, and movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric/Movies", "url": "http://www.mooviees.com/126944-Eric-West/celebrity"} +{"d:Title": "Die Kinokritiker : Eric West", "d:Description": "German page with filmography and bio.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric/Movies", "url": "http://www.diekinokritiker.de/kuenstler19917.html"} +{"d:Title": "IMDb: Eric West", "d:Description": "Actor filmography, news, and TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric/Movies", "url": "http://www.imdb.com/name/nm0922064/"} +{"d:Title": "Eric West @ Cinemotions.com", "d:Description": "News, photos and filmography", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Eric/Movies", "url": "http://www.cinemotions.com/Eric-West-nm344048"} +{"d:Title": "Mae West Color Site", "d:Description": "Offers biographical information, a filmography, bibliography and discography, scanned magazine covers, movie posters and photographs, desktop materials and a report on a fan's visit to an exhibition covering the performer's life and career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Mae", "url": "http://www.maewest.nl/"} +{"d:Title": "IMDb: Mae West", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Mae", "url": "http://www.imdb.com/name/nm0922213/"} +{"d:Title": "West, Shane", "d:Description": "Information about the actor, pictures, and details about his movie, \"A Walk To Remember.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Shane", "url": "http://shaneisjonnywas.tripod.com/shane.htm"} +{"d:Title": "IMDb: Shane West", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/West,_Shane", "url": "http://www.imdb.com/name/nm0922342/"} +{"d:Title": "McKenzie Westmore - Our Guardian Angel", "d:Description": "Fansite featuring biography, magazine articles, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Westmore,_McKenzie", "url": "http://mckenziewestmorediva.tripod.com/"} +{"d:Title": "IMDb: McKenzie Westmore", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Westmore,_McKenzie", "url": "http://www.imdb.com/name/nm0922877/"} +{"d:Title": "IMdb: Diana Weston", "d:Description": "Filmography of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Weston,_Diana", "url": "http://www.imdb.com/name/nm0922940/"} +{"d:Title": "IMDb: Frank Whaley", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whaley,_Frank", "url": "http://www.imdb.com/name/nm0001844/"} +{"d:Title": "Yahoo! Movies: Joanne Whalley", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whalley,_Joanne", "url": "http://movies.yahoo.com/person/joanne-whalley/"} +{"d:Title": "IMDb: Joanne Whalley", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whalley,_Joanne", "url": "http://www.imdb.com/name/nm0000695/"} +{"d:Title": "Rotten Tomatoes: Joanne Whalley", "d:Description": "Filmography and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whalley,_Joanne", "url": "http://www.rottentomatoes.com/celebrity/joanne_whalley/biography"} +{"d:Title": "Kevin Whately", "d:Description": "Detailed biography, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whately,_Kevin", "url": "http://www.tmaw.co.uk/kevinw.html"} +{"d:Title": "IMDb: Kevin Whately", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whately,_Kevin", "url": "http://www.imdb.com/name/nm0923610/"} +{"d:Title": "Wil Wheaton dot Net", "d:Description": "The actor's personal blog and official home page. In addition to blog articles, offers appearance schedule, podcast, links to written works, projects, and social media links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.wilwheaton.net/"} +{"d:Title": "Wikipedia", "d:Description": "Open encyclopedia article covering the actor's accomplishments and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://en.wikipedia.org/wiki/Wil_Wheaton"} +{"d:Title": "IMDb: Wil Wheaton", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.imdb.com/name/nm0000696/"} +{"d:Title": "WWdN: In Exile", "d:Description": "Wil Wheaton's \"temporary\" weblog of personal thoughts and observations, with links to his books, photographs and convention schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://wilwheaton.typepad.com/"} +{"d:Title": "Slice of SciFi", "d:Description": "Podcast interview.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.sliceofscifi.com/2006/02/07/slice-of-sci-fi-043/"} +{"d:Title": "Slashdot Profile", "d:Description": "Archive of comments.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://slashdot.org/~CleverNickName"} +{"d:Title": "Eventful", "d:Description": "Public demands for Wil Wheaton appearances worldwide. Users can register and make their own \"demands\" that others can link to.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://eventful.com/demand?q=spid:P0-001-000000567-9"} +{"d:Title": "The Wesley Crusher Estrogen Brigade", "d:Description": "Fan site about Wil Wheaton. Includes sounds, pictures, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.angelfire.com/wy/Wesley/"} +{"d:Title": "Salon.com: Where no geek has gone before", "d:Description": "Wheaton discusses his Libertarian leanings.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.salon.com/2001/12/12/wheaton/"} +{"d:Title": "The Games of our Lives", "d:Description": "Wil's weekly column in The Onion AV Club, covering classic video and arcade games.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.avclub.com/features/games-of-our-lives/"} +{"d:Title": "Teen Idols 4 You: Wil Wheaton Pictures Gallery", "d:Description": "Photos from his days as a teen heartthrob to the present day.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.teenidols4you.com/pictures.html?g=Actors&pe=wilwheaton"} +{"d:Title": "Fuck Yeah Wil Wheaton", "d:Description": "Mostly humorous site celebrating Wil Wheaton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://fuckkyeahwilwheaton.tumblr.com/"} +{"d:Title": "WIL WHEATON dot TUMBLR", "d:Description": "Wil's official tumblog.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://wilwheaton.tumblr.com/"} +{"d:Title": "Google+", "d:Description": "Wil Wheaton's official Google+ profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://plus.google.com/+WilWheaton/"} +{"d:Title": "Facebook", "d:Description": "Wil Wheaton's official Facebook page.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "https://www.facebook.com/itswilwheaton"} +{"d:Title": "YouTube", "d:Description": "Wil's official YouTube channel. \"I make short, stupid videos that amuse me. I am easily amused.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "https://www.youtube.com/wilwheaton"} +{"d:Title": "Radio Free Burrito", "d:Description": "Wil Wheaton's occasional podcast. Links to episodes, show notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.radiofreeburrito.com/"} +{"d:Title": "Radio Free Burrito", "d:Description": "Official feed for Wil's occasional podcast.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://wilwheaton.typepad.com/radiofreeburrito/atom.xml"} +{"d:Title": "WIL WHEATON BOOKS dot COM", "d:Description": "Self-maintained collection of written works by the author.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://wilwheatonbooks.com/"} +{"d:Title": "Twitter", "d:Description": "Official Twitter profile for Wil Wheaton.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "https://twitter.com/wilw"} +{"d:Title": "Millionaire Playboy", "d:Description": "Interview covering his life before and after Star Trek: The Next Generation, his book \"Dancing Barefoot\", influences, and other observations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.millionaireplayboy.com/mpb/index.php/interview-with-wil-wheaton/"} +{"d:Title": "StarTrek.com: Wheaton, Wil", "d:Description": "Biographical details, character profile and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://www.startrek.com/database_article/wheaton"} +{"d:Title": "Memory Alpha", "d:Description": "Profile of the actor at this Star Trek wiki.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wheaton,_Wil", "url": "http://memory-alpha.wikia.com/wiki/Wil_Wheaton"} +{"d:Title": "Lisa Whelchel Official Home Page", "d:Description": "Biography, article, FAQ, and personal links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whelchel,_Lisa", "url": "http://www.lisawhelchel.com/"} +{"d:Title": "IMDb: Lisa Whelchel", "d:Description": "Filmography, biography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whelchel,_Lisa", "url": "http://www.imdb.com/name/nm0924075/"} +{"d:Title": "IMDb: Shannon Whirry", "d:Description": "The Internet Movie Database includes vital statistics, movie and TV credits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whirry,_Shannon", "url": "http://www.imdb.com/name/nm0924204/"} +{"d:Title": "TVGuide.com: Shannon Whirry", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whirry,_Shannon", "url": "http://www.tvguide.com/celebrities/shannon-whirry/174337"} +{"d:Title": "IMDb: Forest Whitaker", "d:Description": "The Internet Movie Database includes biography, filmography, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitaker,_Forest", "url": "http://www.imdb.com/name/nm0001845/"} +{"d:Title": "TVGuide.com: Forest Whitaker", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitaker,_Forest", "url": "http://www.tvguide.com/celebrities/forest-whitaker/150306"} +{"d:Title": "Box Office Data for Forest Whitaker", "d:Description": "A page that summarizes box office statistics for movies Forest Whitaker appeared in.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitaker,_Forest", "url": "http://www.the-numbers.com/person/1690401-Forest-Whitaker"} +{"d:Title": "America's Sweetheart: Betty White", "d:Description": "Site includes news, fan club details, and information about several of the TV shows on which the actress appeared.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/White,_Betty", "url": "http://rosenylundfan001.tripod.com/"} +{"d:Title": "IMDb: Betty White", "d:Description": "A filmography and a short biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/White,_Betty", "url": "http://www.imdb.com/name/nm0924508/"} +{"d:Title": "BBC News: Quiz June Whitfield: Her replies", "d:Description": "British comedy queen June Whitfield answers questions from BBC News Online users.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitfield,_June", "url": "http://news.bbc.co.uk/1/hi/916303.stm"} +{"d:Title": "BBC News: An Absolutely Fabulous award", "d:Description": "Absolutely Fabulous star June Whitfield has been presented with a lifetime achievement award by her screen daughter Jennifer Saunders at a ceremony in London.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitfield,_June", "url": "http://news.bbc.co.uk/1/hi/230872.stm"} +{"d:Title": "BBC Radio: Interview with June Whitfield", "d:Description": "In this audio clip, June talks about her distinguished acting career and what it's like to play St. Mary Mead's most famous resident.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitfield,_June", "url": "http://www.bbc.co.uk/comedy/abfab/interviews/junewhitfield.shtml"} +{"d:Title": "IMDb: June Whitfield", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitfield,_June", "url": "http://www.imdb.com/name/nm0925930/"} +{"d:Title": "IMDb: Bradley Whitford", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitford,_Bradley", "url": "http://www.imdb.com/name/nm0925966/"} +{"d:Title": "Yahoo Groups - Johnny Whitworth", "d:Description": "Fan group.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitworth,_Johnny", "url": "http://groups.yahoo.com/group/johnny-whitworth/"} +{"d:Title": "IMDb: Johnny Whitworth", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whitworth,_Johnny", "url": "http://www.imdb.com/name/nm0926615/"} +{"d:Title": "IMDb: Scott Whyte", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Whyte,_Scott", "url": "http://www.imdb.com/name/nm0926697/"} +{"d:Title": "Richard Widmark: The Face of Film Noir", "d:Description": "Brian W. Fairbanks examines Richard Widmark's contributions to film noir, beginning with \"Kiss of Death\" in 1947.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Widmark,_Richard", "url": "http://www.angelfire.com/oh2/writer/widmark.html"} +{"d:Title": "IMBd: Richard Widmark", "d:Description": "Includes biography, credits, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Widmark,_Richard", "url": "http://imdb.com/name/nm0001847/"} +{"d:Title": "Meredy's Richard Widmark Trivia Mania", "d:Description": "Trivia questions about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Widmark,_Richard", "url": "http://www.meredy.com/widmarktriv.htm"} +{"d:Title": "Richard Widmark", "d:Description": "Profile, filmography, photographs, and movie posters.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Widmark,_Richard", "url": "http://www.angelfire.com/oh2/writer/richardwidmark.html"} +{"d:Title": "Yahoo! Groups : The Richard Widmark Fan Club", "d:Description": "Message board for fans of the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Widmark,_Richard", "url": "http://groups.yahoo.com/group/therichardwidmarkfanclub/"} +{"d:Title": "Yahoo Groups - Dianne Wiest", "d:Description": "Fan club to talk about the actress (Registration required.)", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wiest,_Dianne", "url": "http://groups.yahoo.com/group/Dianne_Wiest"} +{"d:Title": "Dianne Wiest Theatre Archive", "d:Description": "Guide to the theatrical work of the actress, listing stage appearances, articles, dates, cast, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wiest,_Dianne", "url": "http://www.kolumbus.fi/catherine.jelley"} +{"d:Title": "IMDb: Dianne Wiest", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wiest,_Dianne", "url": "http://www.imdb.com/name/nm0001848/"} +{"d:Title": "Thespian Net Presents Dianne Wiest", "d:Description": "Biography, filmography, awards and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wiest,_Dianne", "url": "http://www.thespiannet.com/actresses/W/wiest_dianne/dianne_wiest.shtml"} +{"d:Title": "IMDb: Geoffrey Wigdor", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wigdor,_Geoffrey", "url": "http://www.imdb.com/name/nm0927727/"} +{"d:Title": "IMDb: Paula Wilcox", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilcox,_Paula", "url": "http://www.imdb.com/name/nm0928262/"} +{"d:Title": "Dffclt1's Jack Wild Page", "d:Description": "Dedicated to the British actor, featuring a biography, pictures, sounds, quotes, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wild,_Jack", "url": "http://www.angelfire.com/celeb2/j_wild/"} +{"d:Title": "IMDb: Jack Wild", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wild,_Jack", "url": "http://www.imdb.com/name/nm0928349/"} +{"d:Title": "IMDb: Jason Wiles", "d:Description": "Filmography for the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wiles,_Jason", "url": "http://www.imdb.com/name/nm0005556/"} +{"d:Title": "The Patriot Cast: Tom Wilkinson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilkinson,_Tom", "url": "http://www.patriotresource.com/cast/tom.html"} +{"d:Title": "BBC Films: Tom Wilkinson Interview", "d:Description": "Interview on his role in the movie 'In the Bedroom'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilkinson,_Tom", "url": "http://www.bbc.co.uk/films/2002/01/17/tom_wilkinson_bedroom_2002_interview.shtml"} +{"d:Title": "BBC News: Tom Wilkinson: Acting up In the Bedroom", "d:Description": "His Oscar nomination for Best Actor has made Tom Wilkinson one of Hollywood's favourite Englishman. His career was undoubtedly helped when he took his trousers off in front of 200 women.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilkinson,_Tom", "url": "http://news.bbc.co.uk/1/hi/in_depth/uk/2000/newsmakers/1822481.stm"} +{"d:Title": "Guardian Film: Interview with Tom Wilkinson", "d:Description": "Interview on his role in the movie 'In the Bedroom'.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilkinson,_Tom", "url": "http://www.theguardian.com/film/2001/nov/09/artsfeatures2"} +{"d:Title": "Yahoo! Movies: Tom Wilkinson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilkinson,_Tom", "url": "http://movies.yahoo.com/person/tom-wilkinson/"} +{"d:Title": "TalkTalk: Tom Wilkinson", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilkinson,_Tom", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/tom-wilkinson/biography/126"} +{"d:Title": "IMDb: Tom Wilkinson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilkinson,_Tom", "url": "http://www.imdb.com/name/nm0929489/"} +{"d:Title": "IMDb: Walt Willey", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willey,_Walt", "url": "http://www.imdb.com/name/nm0929869/"} +{"d:Title": "Walt Willey World", "d:Description": "Official site. Provides fan club information, a calendar of personal appearances and club dates, a gallery of photos and newsletter.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willey,_Walt", "url": "http://www.waltwilleyworld.com/"} +{"d:Title": "IMDb: Barry Williams", "d:Description": "Includes biography, filmography, and TV appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Barry", "url": "http://www.imdb.com/name/nm0930064/"} +{"d:Title": "Billy Dee Williams", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Billy_Dee", "url": "http://imdb.com/name/nm0001850/"} +{"d:Title": "The Man Called Guy", "d:Description": "Biography and a profile of his work.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Guy", "url": "http://www.angelfire.com/celeb2/guywilliams/index.html"} +{"d:Title": "Special Family Tribute to Guy Williams", "d:Description": "Son of the actor provides information on Guy's Hollywood Star on The Walk of Fame, and offers memorabilia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Guy", "url": "http://www.zorrofx.com/dads_pg.htm"} +{"d:Title": "Guy Williams in Argentina", "d:Description": "Provides a selection of scanned photographs and a report on the actor's 1973 visit to Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Guy", "url": "http://www.whitefoxdomain.com/"} +{"d:Title": "IMDb: Guy Williams", "d:Description": "Profile, complete filmography, and pictures from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Guy", "url": "http://www.imdb.com/name/nm0930713/"} +{"d:Title": "Official Harland Williams Web Site", "d:Description": "Offers information, pictures, sounds and links. Visitors can also view Harland's paintings or buy pictures and tapes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Harland", "url": "http://www.harlandwilliams.com/"} +{"d:Title": "TVGuide.com: Harland Williams", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Harland", "url": "http://www.tvguide.com/celebrities/harland-williams/153092"} +{"d:Title": "The Internet Movie Database - Harland Williams", "d:Description": "Complete filmography with a biography, some links, a gallery and people comments on his movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Harland", "url": "http://www.imdb.com/name/nm0005558/"} +{"d:Title": "Canoe - Harland Williams", "d:Description": "List of articles from Canadian newspapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Harland", "url": "http://jam.canoe.com/Movies/Artists/W/Williams_Harland/"} +{"d:Title": "IMDb: Kelli Williams", "d:Description": "A filmography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kelli", "url": "http://www.imdb.com/name/nm0005559/"} +{"d:Title": "Kellie Shanygne Williams Fanpage", "d:Description": "has many photos, biography, news, more about the sitcoms Family Matters and What About Joan", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kellie_Shanygne", "url": "http://www.kellie.de/kellie.htm"} +{"d:Title": "IMDb: Kellie Shanygne Williams", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kellie_Shanygne", "url": "http://www.imdb.com/name/nm0931046/"} +{"d:Title": "Kenneth Williams Appreciation Site", "d:Description": "Includes a filmography, information on his television, radio and theatre work, articles, reviews and obituaries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kenneth", "url": "http://www.britishcomedy.org.uk/kwas/index.html"} +{"d:Title": "IMDb: Kenneth Williams", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kenneth", "url": "http://www.imdb.com/name/nm0931054/"} +{"d:Title": "Yahoo! Groups : Kimberly", "d:Description": "Mailing list discussion.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kimberly", "url": "http://groups.yahoo.com/group/Kimberly/"} +{"d:Title": "IMDb", "d:Description": "Mini-biography, complete filmography and images.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kimberly", "url": "http://www.imdb.com/name/nm0931090/"} +{"d:Title": "TV Guide - Kimberly Williams-Paisley", "d:Description": "Her television schedule for the current month. With dates, times, channels, and movie details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kimberly", "url": "http://www.tvguide.com/celebrities/kimberly-williams-paisley/190356"} +{"d:Title": "TV.com", "d:Description": "Biography, trivia and appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Kimberly", "url": "http://www.tv.com/people/kimberly-williams-paisley/"} +{"d:Title": "RWF: The Robin Williams Fansite", "d:Description": "Offers news, a filmography, movie pages with pictures and quotes, tour information, image galleries and a forum.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "http://www.robin-williams.net/"} +{"d:Title": "Robin Williams' Hollywood", "d:Description": "A list of locations associated with the comedian.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "http://www.seeing-stars.com/StarIndexes/RobinWilliams.shtml"} +{"d:Title": "Tribute to Robin Williams", "d:Description": "Includes a profile, filmography, message board, news, trivia, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "http://zimmer.csufresno.edu/~robb/Robin.html"} +{"d:Title": "Box Office Mojo: Robin Williams", "d:Description": "Box office breakdowns for many of his movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "http://www.boxofficemojo.com/people/chart/?id=robinwilliams.htm"} +{"d:Title": "Yahoo.com: Groups: Robin Williams Rules", "d:Description": "Message board and chat room.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "https://groups.yahoo.com/neo/groups/robinwilliams/info"} +{"d:Title": "Round Robin WebRing", "d:Description": "A collection of sites about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "http://hub.yourtakeonlife.com/hub/robinwilliams"} +{"d:Title": "The Movie Times: Robin Williams", "d:Description": "A profile, filmography, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?robin"} +{"d:Title": "IMDb: Robin Williams", "d:Description": "Profile, filmography, news articles, trivia and quotations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williams,_Robin", "url": "http://www.imdb.com/name/nm0000245/"} +{"d:Title": "IMDb: Nicol Williamson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Williamson,_Nicol", "url": "http://www.imdb.com/name/nm0932116/"} +{"d:Title": "Bruce Willis' Hollywood", "d:Description": "How and where you can see Bruce Willis live and in person while in Southern California.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.seeing-stars.com/StarIndexes/BruceWillis.shtml"} +{"d:Title": "Washingtonpost.com: Bruce Willis Filmography", "d:Description": "A brief filmography plus a bit of \"Did You Know?\" trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.washingtonpost.com/wp-srv/style/longterm/filmgrph/bruce_willis.htm"} +{"d:Title": "IMDb: Bruce Willis", "d:Description": "Bruce's profile at the Internet Movie Database. With filmography, biography, and various links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.imdb.com/name/nm0000246/"} +{"d:Title": "Bruce Willis PL", "d:Description": "Fansite featuring news, galleries, articles and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.brucewillispl.com/"} +{"d:Title": "PopEntertainment.com: Bruce Willis Stands Firm", "d:Description": "Interview examining his roles in the movies \"Hostage\" and \"Sin City\". By Brad Balfour.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.popentertainment.com/willis.htm"} +{"d:Title": "Box Office Mojo", "d:Description": "Bruce Willis' box office track record. Has statistics for each of his movies, and his Star Power 69 rating.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.boxofficemojo.com/people/chart/?id=brucewillis.htm"} +{"d:Title": "TVGuide.com: Bruce Willis", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.tvguide.com/celebrities/bruce-willis/142995"} +{"d:Title": "Actor Bruce Willis", "d:Description": "The Movie Times' page offers pictures, box office information, vital statistics, links, and a message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.the-movie-times.com/thrsdir/actors/actorProfiles.mv?willis"} +{"d:Title": "Bruce Willis on Digital Hit", "d:Description": "A short article on the life and career of Bruce Willis. With a picture and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce", "url": "http://www.digitalhit.com/cr/brucewillis/"} +{"d:Title": "Bruce Willis", "d:Description": "A very brief fan page with brackground information and the page creator's thoughts about \"Last Man Standing\".", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce/Fan_Pages", "url": "http://members.tripod.com/~willis_is_god/index.html"} +{"d:Title": "Bruce Willis Super Unofficial Hompepage", "d:Description": "Fan page with news, biography, filmography, Wave files, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Bruce/Fan_Pages", "url": "http://thejackal2.tripod.com/"} +{"d:Title": "Katherine Willis Official Site", "d:Description": "Photos and television/filmography of Austin, Texas based actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Katherine", "url": "http://www.kwillis.com/"} +{"d:Title": "Katherine Willis", "d:Description": "Filmography and biographical sketch at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Willis,_Katherine", "url": "http://www.imdb.com/name/nm0932369/"} +{"d:Title": "IMDb: Alexandra Wilson", "d:Description": "Includes a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Alexandra", "url": "http://www.imdb.com/name/nm0932971/"} +{"d:Title": "IMDb: Bridgette Wilson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Bridgette", "url": "http://www.imdb.com/name/nm0933098/"} +{"d:Title": "IMDb", "d:Description": "Includes a filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Luke", "url": "http://www.imdb.com/name/nm0005561/"} +{"d:Title": "IMDb: Mara Wilson", "d:Description": "Mara Wilson.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Mara", "url": "http://www.imdb.com/name/nm0933798/"} +{"d:Title": "Owen Wilson Fansite", "d:Description": "Includes information, interviews, multimedia, FAQs, profile, and other data. Last updated June, 2000.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Owen", "url": "http://owenwfs.freeservers.com/"} +{"d:Title": "Topix: Owen Wilson", "d:Description": "News about Owen Wilson, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Owen", "url": "http://www.topix.com/rss/who/owen-wilson.xml"} +{"d:Title": "TVGuide.com: Owen Wilson", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Owen", "url": "http://www.tvguide.com/celebrities/owen-wilson/168720"} +{"d:Title": "The Wilsons Fan Forum", "d:Description": "Discussion board focusing in the actors and brothers Owen, Luke and Andrew.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Owen", "url": "http://thewilsons.proboards.com/"} +{"d:Title": "IMDb: Owen Wilson", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Owen", "url": "http://www.imdb.com/name/nm0005562/"} +{"d:Title": "Dinner With Patrick Wilson - A Conversation with One of Broadway's Favorite Leading Men", "d:Description": "BroadwayWorld.com offers an interview with the Broadway star regarding his role in \"The Phantom of the Opera\" film, a benefit concert he and his family gave to help those affected by Hurricane Charley and the impact of his role in \"Angels in America\". Interview by Joseph F. Panarello.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=1661"} +{"d:Title": "IMDb: Patrick Wilson", "d:Description": "Contains full filmography, image gallery, biography, movie trivia, awards, news articles and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://www.imdb.com/name/nm0933940/"} +{"d:Title": "The unOfficial Patrick Wilson Website", "d:Description": "Features discography, photo gallery, career information and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://patrickwilsonufc.tripod.com/"} +{"d:Title": "Yahoo Group: PatrickWilson", "d:Description": "Email based fan club offers news, pictures and reviews. Yahoo ID and membership registration required.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://movies.groups.yahoo.com/group/PatrickWilson/"} +{"d:Title": "Patrick Wilson, Star File: Broadway.com Buzz", "d:Description": "Includes biographical information, career credits for both stage and film, quotable quotes, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://www.broadway.com/gen/Buzz%5FStar%5FFile.aspx?ci=29659"} +{"d:Title": "St. Petersburg Times Weekend: Native Son Proves It Can Be Done", "d:Description": "Patrick Wilson, who participated in the Broadway Theatre Project several years ago, comes back. This time, he's a teacher and a star. By John Fleming.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://www.sptimes.com/2006/07/27/Weekend/Native_son_proves_it_.shtml"} +{"d:Title": "Patrick Wilson Fans", "d:Description": "News, photo galleries, messageboard and archive.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://sites.google.com/site/patrickwilsonfans2/"} +{"d:Title": "Yahoo! Movies: Patrick Wilson", "d:Description": "Features biography, filmography, photos, message board and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "http://movies.yahoo.com/person/patrick-wilson/"} +{"d:Title": "IBDb: Patrick Wilson", "d:Description": "Official Broadway credits for Patrick Wilson listing role, dates of production, nominations and awards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Patrick", "url": "https://www.ibdb.com/broadway-cast-staff/patrick-wilson-65257"} +{"d:Title": "Star Link: Peta Wilson", "d:Description": "Includes profile, filmography, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Peta", "url": "http://www.kcweb.com/super/p_wilson.htm"} +{"d:Title": "Peta Wilson - IMDb", "d:Description": "Filmography, discussions, min biography, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Peta", "url": "http://www.imdb.com/name/nm0933959/"} +{"d:Title": "AskMen.com - Peta Wilson", "d:Description": "Pictures, biography, commentary and links on the beautiful actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Peta", "url": "http://www.askmen.com/celebs/women/actress_100/101_peta_wilson.html"} +{"d:Title": "TVGuide.com: Peta Wilson", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Peta", "url": "http://www.tvguide.com/celebrities/peta-wilson/169807"} +{"d:Title": "Peta Wilson", "d:Description": "Fansite with filmography, press photos, and video clips from appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Peta", "url": "http://www.petawilson.net/Peta_Wilson/Home.html"} +{"d:Title": "IMDb: Rita Wilson (I)", "d:Description": "A filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wilson,_Rita", "url": "http://www.imdb.com/name/nm0001854/"} +{"d:Title": "Michael Wincott", "d:Description": "Featuring movie stills, voice clips, filmography, biography and archive that includes personal photos and videos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wincott,_Michael", "url": "http://www.michaelwincott.org/"} +{"d:Title": "IMDb: Michael Wincott", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wincott,_Michael", "url": "http://www.imdb.com/name/nm0000699/"} +{"d:Title": "Christian Science Monitor: Debra Winger", "d:Description": "Interview about her latest movie, \"Big Bad Love\", and the lack of good roles for women over 40.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winger,_Debra", "url": "http://csmonitor.com/2002/0308/p19s02-almo.html"} +{"d:Title": "IMDb: Debra Winger", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winger,_Debra", "url": "http://www.imdb.com/name/nm0000700/"} +{"d:Title": "Actress Debra Winger", "d:Description": "Pictures, box office information, vital statistics, links, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winger,_Debra", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?dwinger"} +{"d:Title": "Official Peter Wingfield Fan Club Web Page", "d:Description": "Includes membership information, convention information, biography, filmography and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wingfield,_Peter", "url": "http://www.pwfc.org/"} +{"d:Title": "Unofficial Peter Wingfield Appreciation Guild", "d:Description": "Career updates, convention appearances and information on becoming a member. Free online membership is available. Printed newsletter available with paid membership.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wingfield,_Peter", "url": "http://www.wingfieldfans.org/"} +{"d:Title": "TVGuide.com: Peter Wingfield", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wingfield,_Peter", "url": "http://www.tvguide.com/celebrities/peter-wingfield/190754"} +{"d:Title": "IMDb: Peter Wingfield", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wingfield,_Peter", "url": "http://www.imdb.com/name/nm0935057/"} +{"d:Title": "IMDb: Derik Wingo", "d:Description": "Features filmography, news and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wingo,_Derik", "url": "http://imdb.com/name/nm0935062/"} +{"d:Title": "Henry Winkler", "d:Description": "A short biography of Henry Winkler.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winkler,_Henry", "url": "http://www.sitcomsonline.com/winklerbiog.html"} +{"d:Title": "HappyDaysFanClub - Henry Winkler", "d:Description": "Picture gallery; Italy, December 2001", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winkler,_Henry", "url": "http://www.happydaysfanclub.com/ewinkita.htm"} +{"d:Title": "IMDb: Mare Winningham", "d:Description": "Filmography and biographical trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winningham,_Mare", "url": "http://www.imdb.com/name/nm0001858/"} +{"d:Title": "Undying Kate Winslet", "d:Description": "Photo gallery, biography, filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winslet,_Kate", "url": "http://www.undying.com/celeb/Kate_Winslet/"} +{"d:Title": "TalkTalk: Kate Winslet", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winslet,_Kate", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/kate-winslet/biography/67"} +{"d:Title": "IMDb - Kate Winslet", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winslet,_Kate", "url": "http://www.imdb.com/name/nm0000701/"} +{"d:Title": "The Movie Times: Kate Winslet", "d:Description": "Statistics, box office information on movies, and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winslet,_Kate", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?katew"} +{"d:Title": "Winslet Whispers", "d:Description": "Information, news, photos, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winslet,_Kate/Fan_Pages", "url": "http://winsletwhispers.tripod.com/WinsletWhispers.htm"} +{"d:Title": "Kate Winslet's Ultimate Site", "d:Description": "Biography, awards, and photo galleries.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winslet,_Kate/Fan_Pages", "url": "http://sexywinslet.tripod.com/"} +{"d:Title": "UKHotMovies.com - Kate Winslet", "d:Description": "Picture gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winslet,_Kate/Image_Galleries", "url": "http://www.ukhotmovies.com/galleries"} +{"d:Title": "John Winston (I)", "d:Description": "IMDb - Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winston,_John", "url": "http://www.imdb.com/name/nm0935604/"} +{"d:Title": "About Ray Winstone", "d:Description": "A tribute site to the charismatic British actor Ray Winstone, with biography, filmography, articles, interviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winstone,_Ray", "url": "http://www.copperlily.com/AboutRayWinstone"} +{"d:Title": "TalkTalk: Ray Winstone", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winstone,_Ray", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/ray-winstone/biography/99"} +{"d:Title": "IMDb: Ray Winstone", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winstone,_Ray", "url": "http://www.imdb.com/name/nm0935653/"} +{"d:Title": "IMDb - Maurice Dean Wint", "d:Description": "Includes the actor's filmography and a listing of TV guest appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wint,_Maurice_Dean", "url": "http://www.imdb.com/name/nm0935659/"} +{"d:Title": "Yahoo Groups: Dean_Winters", "d:Description": "Dean Winters discussion list.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winters,_Dean", "url": "http://groups.yahoo.com/group/Dean_Winters/"} +{"d:Title": "IMDb: Dean Winters", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winters,_Dean", "url": "http://www.imdb.com/name/nm0935921/"} +{"d:Title": "Marina's Dean Winters Page", "d:Description": "A small site to inform people of the very talented actor, Dean Winters. With pictures, sounds, articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winters,_Dean", "url": "http://kuona.tripod.com/"} +{"d:Title": "IMDb: Shelley Winters", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Winters,_Shelley", "url": "http://www.imdb.com/name/nm0001859/"} +{"d:Title": "William Wintersole - IMDb", "d:Description": "A filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wintersole,_William", "url": "http://www.imdb.com/name/nm0936018/"} +{"d:Title": "Billy Wirth Fan Club", "d:Description": "Contains a biography, movie information, FAQs, contact details, photographs, games, merchandise, a newsletter and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wirth,_Billy", "url": "http://www.billywirthfanclub.com/"} +{"d:Title": "IMDb: Billy Wirth", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wirth,_Billy", "url": "http://www.imdb.com/name/nm0936198/"} +{"d:Title": "IMDb: Reese Witherspoon", "d:Description": "Includes filmography, trivia, quotes, awards, pictures, and news articles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witherspoon,_Reese", "url": "http://www.imdb.com/name/nm0000702/"} +{"d:Title": "Reese Witherspoon", "d:Description": "Pictures and information on her.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witherspoon,_Reese", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?rwitherspoon"} +{"d:Title": "TalkTalk - Reese Witherspoon", "d:Description": "Features a biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witherspoon,_Reese", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/reese-witherspoon/biography/100"} +{"d:Title": "Reese Witherspoon: The Angel From Heaven", "d:Description": "A fan site with profile, filmography, image galleries, and a poll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witherspoon,_Reese", "url": "http://dramaathlete.tripod.com/"} +{"d:Title": "Canoe: Reese Witherspoon", "d:Description": "The Canadian Online Explorer's collection of articles about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witherspoon,_Reese", "url": "http://jam.canoe.com/Movies/Artists/W/Witherspoon_Reese/"} +{"d:Title": "IMDb: Glenn Withrow", "d:Description": "The Internet Movie Database includes vital statistics, movie and TV credits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Withrow,_Glenn", "url": "http://www.imdb.com/name/nm0936780/"} +{"d:Title": "Wikipedia: Glenn Withrow", "d:Description": "Brief information and a listing of credits as well as links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Withrow,_Glenn", "url": "http://en.wikipedia.org/wiki/Glenn_Withrow"} +{"d:Title": "In House Media, Inc", "d:Description": "In House Media is a film production company located in Los Angeles, CA, run by Glenn Withrow and Hallie Todd. In House Media is the production company for the feature film The Mooring.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Withrow,_Glenn", "url": "http://www.inhousemedia.com/"} +{"d:Title": "Glenn Withrow Screencap Gallery", "d:Description": "A collection of pictures from Glenn's various movies.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Withrow,_Glenn", "url": "http://www.rumblefishonline.com/movie/gwgallery.htm"} +{"d:Title": "Twitter: Glenn Withrow", "d:Description": "Official Twitter page of actor, director and writer Glenn Withrow.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Withrow,_Glenn", "url": "http://www.twitter.com/GlennWithrow"} +{"d:Title": "Facebook: Glenn Withrow", "d:Description": "Glenn Withrow's official Facebook fan page.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Withrow,_Glenn", "url": "http://www.facebook.com/glennwithrow"} +{"d:Title": "Witt Pit", "d:Description": "Includes pictures, a lot of audio clips and wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witt,_Alicia", "url": "http://www.users.globalnet.co.uk/~martjf/"} +{"d:Title": "Old Socks - Alicia Witt", "d:Description": "Provides a selection of desktop wallpapers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witt,_Alicia", "url": "http://www.oldsocks.co.uk/search.php?blcmd=2&txtSearch=Alicia+Witt"} +{"d:Title": "IMDb: Alicia Witt", "d:Description": "Filmography and mini biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Witt,_Alicia", "url": "http://www.imdb.com/name/nm0001860/"} +{"d:Title": "Scott Wolf Fan Club", "d:Description": "Talk about Scott Wolf, and Party of Five.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wolf,_Scott", "url": "http://groups.yahoo.com/group/scottwolffanclub/"} +{"d:Title": "Best Scott Wolf Fan Club, The", "d:Description": "Provides pictures and a message board. [ Requires registration ]", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wolf,_Scott", "url": "http://movies.groups.yahoo.com/group/totallyscottwolf/"} +{"d:Title": "IMDb: Scott Wolf (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wolf,_Scott", "url": "http://www.imdb.com/name/nm0937930/"} +{"d:Title": "Anthony Wong - Shaw Studios", "d:Description": "Features analysis of the actor and characters he has played.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wong,_Anthony", "url": "http://shawstudios.com/AnthWong.html"} +{"d:Title": "IMDb: Anthony Wong Chau-Sang", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wong,_Anthony", "url": "http://www.imdb.com/name/nm0938893/"} +{"d:Title": "IMDb: B.D. Wong", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wong,_B.D.", "url": "http://www.imdb.com/name/nm0000703/"} +{"d:Title": "Deep, Dart and Handsome", "d:Description": "In this interview with Goldsea, the brooding star discusses his family heritage, what he looks for in a woman, and his thespian career.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wong,_Russell", "url": "http://goldsea.com/Personalities/Wongrussell/wongrussell.html"} +{"d:Title": "The Official Russell Wong Site", "d:Description": "Biography, news of upcoming projects, filmography and a gallery section featuring Russell's own photography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wong,_Russell", "url": "http://www.russellwong.com/"} +{"d:Title": "IMDb: Russell Wong", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wong,_Russell", "url": "http://www.imdb.com/name/nm0005568/"} +{"d:Title": "I Am Insane", "d:Description": "Nina's fan page, with image galleries and favorite quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://elijahschick.tripod.com/"} +{"d:Title": "Always Elijah Wood", "d:Description": "A site made by a fan with a picture gallery, biography, filmography, and a poll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://www.angelfire.com/stars3/elijahwood/"} +{"d:Title": "Elijah Effect", "d:Description": "Containing photo galleries, biography, and quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://elijah-wood.bravepages.com/"} +{"d:Title": "Elijah Jordan Wood", "d:Description": "A fansite with news, pictures and rumors.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://www.angelfire.com/film/elijahjordanwood/home.html"} +{"d:Title": "Crazy Elijah", "d:Description": "Dedicated to the actor, including biography, filmography, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://www.angelfire.com/celeb2/crazy4elijah/index.html"} +{"d:Title": "Always and Forever", "d:Description": "Dedicated to the fans of Elijah Wood. Includes pictures, daily updates, news, information, articles, messageboard, and interviews about the actor.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://always.ejwsites.net/"} +{"d:Title": "Elijah Wood Appreciation Connection", "d:Description": "Board for mature fans.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://s3.invisionfree.com/EWAC/"} +{"d:Title": "Elijah Wood", "d:Description": "Fansite including biography, filmography, gallery, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://www.angelfire.com/celeb2/elijahwood-uk/index.html"} +{"d:Title": "Step Into The Blue", "d:Description": "Message board with areas devoted to pictures, fan art and fan fiction.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://sierra23.suddenlaunch3.com/index.cgi"} +{"d:Title": "IMDb: Elijah Wood", "d:Description": "Features pictures, biography, and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Elijah", "url": "http://www.imdb.com/name/nm0000704/"} +{"d:Title": "Evan Rachel Wood", "d:Description": "Evan Rachel Wood fansite with the latest news, photos and videos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Evan_Rachel", "url": "http://www.evanrachelwood.info/"} +{"d:Title": "IMDb: Evan Rachel Wood", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Evan_Rachel", "url": "http://www.imdb.com/name/nm0939697/"} +{"d:Title": "TV.com: Evan Rachel Wood", "d:Description": "Biography, news, notes and discussion forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Evan_Rachel", "url": "http://www.tv.com/people/evan-rachel-wood/"} +{"d:Title": "Reel Classics: Natalie Wood", "d:Description": "Photographs, film stills, memorable quotes, and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Natalie", "url": "http://www.reelclassics.com/Actresses/Wood/wood.htm"} +{"d:Title": "IMDb: Natalie Wood", "d:Description": "Biography, filmography, photographs, and other related career data.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wood,_Natalie", "url": "http://www.imdb.com/name/nm0000081/"} +{"d:Title": "All-Reviews.com - James Woods", "d:Description": "Filmography with links to reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woods,_James", "url": "http://www.all-reviews.com/actors-3/James-Woods.htm"} +{"d:Title": "IMDb.com: James Woods", "d:Description": "Provides a brief biography, filmography, and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woods,_James", "url": "http://www.imdb.com/name/nm0000249/"} +{"d:Title": "Actor Edward Woodward", "d:Description": "Unofficial fansite and fanlisting.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woodward,_Edward", "url": "http://ensnaring.com/edwardwoodward/"} +{"d:Title": "Woodward, Edward", "d:Description": "Biography and career profile.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woodward,_Edward", "url": "http://www.museum.tv/eotv/woodwardedw.htm"} +{"d:Title": "Edward Woodward", "d:Description": "Filmography at IMDb.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woodward,_Edward", "url": "http://www.imdb.com/name/nm0940919/"} +{"d:Title": "Rottentomatoes: Edward Woodward", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woodward,_Edward", "url": "http://www.rottentomatoes.com/celebrity/edward_woodward/"} +{"d:Title": "IMDb: Emily Woof", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woof,_Emily", "url": "http://www.imdb.com/name/nm0941056/"} +{"d:Title": "IMDb: Gordon Michael Woolvett", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Woolvett,_Gordon_Michael", "url": "http://www.imdb.com/name/nm0941315/"} +{"d:Title": "IMDb: Michael Worth", "d:Description": "A filmography, a short biography and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Worth,_Michael", "url": "http://www.imdb.com/name/nm0941708/"} +{"d:Title": "Wikipedia: Bonnie Wright", "d:Description": "Biography illustrated by photographs of the young British actress from the online collaborative encyclopedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright,_Bonnie", "url": "http://en.wikipedia.org/wiki/Bonnie_Wright"} +{"d:Title": "IMDB: Bonnie Wright", "d:Description": "Filmography, photographs and brief biographical details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright,_Bonnie", "url": "http://www.imdb.com/name/nm0942247/"} +{"d:Title": "IMDb: Deanna Wright", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright,_Deanna", "url": "http://www.imdb.com/name/nm0942333/"} +{"d:Title": "Wright, Steven", "d:Description": "Comedian presenting biography, tour information, video clips, discussion board and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright,_Steven", "url": "http://www.stevenwright.com/"} +{"d:Title": "Wright, Steven - FortOgden", "d:Description": "The \"Out of the Box\" humor of an original comedian.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright,_Steven", "url": "http://www.fortogden.com/fosw.html"} +{"d:Title": "IMDb: Steven Wright", "d:Description": "Filmography and personal quotes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright,_Steven", "url": "http://www.imdb.com/name/nm0942833/"} +{"d:Title": "Thespian Net: Robin Wright Penn", "d:Description": "A short biography, credits, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright_Penn,_Robin", "url": "http://www.thespiannet.com/actresses/W/wrightpenn_robin/robin_wright_penn.shtml"} +{"d:Title": "IMDb.com: Robin Wright", "d:Description": "Provides a biography, filmography, photos and trivia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wright_Penn,_Robin", "url": "http://www.imdb.com/name/nm0000705/"} +{"d:Title": "Kari Wuhrer Page", "d:Description": "Biography, filmography, pictures and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wuhrer,_Kari", "url": "http://kariwuhrerpage.atspace.com/index.htm"} +{"d:Title": "IMDb: Kari Wuhrer", "d:Description": "Biography, filmography and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wuhrer,_Kari", "url": "http://www.imdb.com/name/nm0001863/"} +{"d:Title": "TVGuide.com: Kari Wuhrer", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wuhrer,_Kari/Television", "url": "http://www.tvguide.com/celebrities/kari-wuhrer/159757"} +{"d:Title": "Noah Wyle Fan Page", "d:Description": "A biography, pictures, interviews, multimedia files and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wyle,_Noah", "url": "http://www.angelfire.com/ia/broadwaycat/index.html"} +{"d:Title": "IMDb: Noah Wyle", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wyle,_Noah", "url": "http://www.imdb.com/name/nm0001864/"} +{"d:Title": "Jane Wyman", "d:Description": "Fan tribute featuring rare, unusual photos, a biography, filmography, and a poll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wyman,_Jane", "url": "http://www.meredy.com/janewyman/"} +{"d:Title": "IMDb: Jane Wyman", "d:Description": "Filmography, profile, television appearances, and photograph gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wyman,_Jane", "url": "http://www.imdb.com/name/nm0943837/"} +{"d:Title": "IMDb: Victoria Wyndham", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wyndham,_Victoria", "url": "http://www.imdb.com/name/nm0943920/"} +{"d:Title": "IMDb: Peter Wyngarde", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wyngarde,_Peter", "url": "http://www.imdb.com/name/nm0943936/"} +{"d:Title": "IMDb: Sarah Wynter", "d:Description": "Includes her filmography, biographical information, a photo gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wynter,_Sarah", "url": "http://www.imdb.com/name/nm0944077/"} +{"d:Title": "Wikipedia: Sarah Wynter", "d:Description": "Includes biographical information and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wynter,_Sarah", "url": "http://en.wikipedia.org/wiki/Sarah_Wynter"} +{"d:Title": "Yahoo Movies: Sarah Wynter", "d:Description": "Includes biography, filmography, a photo gallery, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/W/Wynter,_Sarah", "url": "http://movies.yahoo.com/person/sarah-wynter/"} +{"d:Title": "Xerxes, Malcolm", "d:Description": "Deceased English actor and stuntman. Resume, message board, activities, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/X", "url": "http://www.malcolmxerxes.com/"} +{"d:Title": "Yancey, Nsilo", "d:Description": "Offers biography, filmography, message board, news and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yancey,_Nsilo", "url": "http://nsilofansite.tripod.com/"} +{"d:Title": "TVGuide.com: Amy Yasbeck", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yasbeck,_Amy", "url": "http://www.tvguide.com/celebrities/amy-yasbeck/139431"} +{"d:Title": "IMDb: Amy Yasbeck", "d:Description": "The Internet Movie Database includes filmography, trivia, images, and links to related sites.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yasbeck,_Amy", "url": "http://www.imdb.com/name/nm0001865/"} +{"d:Title": "IMDb: Patti Yasutake", "d:Description": "Complete filmography and related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yasutake,_Patti", "url": "http://www.imdb.com/name/nm0946686/"} +{"d:Title": "HKPA Popstars: Yau Shuk-Ching [Chingmy]", "d:Description": "Image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yau,_Chingmy", "url": "http://www.ibiblio.org/hkpa/popstars/female/yau-sc0.html"} +{"d:Title": "The Numbers", "d:Description": "Detailed analysis of box office data for Susan Yeagley.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yeagley,_Susan", "url": "http://www.the-numbers.com/people/0SYEA.php"} +{"d:Title": "IMDb: Susan Yeagley", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yeagley,_Susan", "url": "http://www.imdb.com/name/nm0947044/"} +{"d:Title": "IMDb: Donnie Yen", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yen,_Donnie", "url": "http://www.imdb.com/name/nm0947447/"} +{"d:Title": "Michelle Yeoh Web Theatre", "d:Description": "Biography, detailed filmography with music and video clips, FAQs, photographs, and links to articles, interviews, reviews, news, clubs, and message boards.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yeoh,_Michelle", "url": "http://michelleyeoh.info/"} +{"d:Title": "The Numbers", "d:Description": "Detailed analysis of box office data for actress Michelle Yeoh.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yeoh,_Michelle", "url": "http://www.the-numbers.com/people/MYEOH.php"} +{"d:Title": "Dick York of Bewitched: A Farewell Interview", "d:Description": "Video clips of interviews during his final days and an article where he discusses his life, career, and hardships. Several related pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Dick", "url": "http://www.bewitched.net/filmfax.htm"} +{"d:Title": "TV Party: What happened to Darrin?", "d:Description": "Detailed explanation of Dick York's disappearance from the television show \"Bewitched.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Dick", "url": "http://www.tvparty.com/mysdarren.html"} +{"d:Title": "IMDb: Dick York", "d:Description": "Filmography and notable television appearances.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Dick", "url": "http://www.imdb.com/name/nm0948685/"} +{"d:Title": "Infoplease.com: Michael York", "d:Description": "A short career summary.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Michael", "url": "http://www.infoplease.com/ipea/A0763379.html"} +{"d:Title": "AskMen.com - Michael York", "d:Description": "Exclusive interview and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Michael", "url": "http://www.askmen.com/toys/interview/41_michael_york_interview.html"} +{"d:Title": "Michael York", "d:Description": "Official site contains York's personal commentary on many of his films, stage plays, and television/video productions. Also includes news, filmography, biography, and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Michael", "url": "http://michaelyork.net/"} +{"d:Title": "Filmbug - Michael York", "d:Description": "Brief biography and picture.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Michael", "url": "http://www.filmbug.com/db/4370"} +{"d:Title": "Infoplease.com: Susannah York", "d:Description": "A short profile of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Susannah", "url": "http://www.infoplease.com/ipea/A0763380.html"} +{"d:Title": "IMDb: Susannah York", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/York,_Susannah", "url": "http://www.imdb.com/name/nm0948772/"} +{"d:Title": "IMDb: David Yost", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Yost,_David", "url": "http://www.imdb.com/name/nm0949128/"} +{"d:Title": "Jerry Haendige's Vintage Radio Logs", "d:Description": "Episode log for the television program, \"The Alan Young Show.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Alan", "url": "http://otrsite.com/logs/loga1017.htm"} +{"d:Title": "Alan Young Interview: The Time Machine Project", "d:Description": "Detailed interview from 1999 where Young discusses his life, career, and his work on the movie \"The Time Machine.\" Related link.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Alan", "url": "http://www.colemanzone.com/Time_Machine_Project/filby.htm"} +{"d:Title": "IMDb: Alan Young (I)", "d:Description": "Filmography, trivia, and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Alan", "url": "http://www.imdb.com/name/nm0949241/"} +{"d:Title": "The Loretta Young 'NetShow", "d:Description": "Biography and career information, fan art and tributes, obituary and condolence notices, photographs, film and book merchandise, filmography, awards, and other fan information.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Loretta", "url": "http://www.lorettayoung.com/"} +{"d:Title": "Meredy's Loretta Young Trivia Mania", "d:Description": "25 questions on her life and career, with answers.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Loretta", "url": "http://www.meredy.com/youngtriv.html"} +{"d:Title": "BBC News: Americas: Elegant Beauty Loretta Young Dies", "d:Description": "Obituary notice.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Loretta", "url": "http://news.bbc.co.uk/1/hi/world/americas/849409.stm"} +{"d:Title": "TVGuide.com: Loretta Young", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Loretta", "url": "http://www.tvguide.com/celebrities/loretta-young/162701"} +{"d:Title": "IMDb: Loretta Young", "d:Description": "Complete filmography, biography, picture gallery, and miscellaneous information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Loretta", "url": "http://www.imdb.com/name/nm0949835/"} +{"d:Title": "The Numbers", "d:Description": "Detailed analysis of box office data for actress Sean Young.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Sean", "url": "http://www.the-numbers.com/people/SYOUN.php"} +{"d:Title": "IMDb: Sean Young (I)", "d:Description": "Informational site with complete filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Sean", "url": "http://www.imdb.com/name/nm0000707/"} +{"d:Title": "Vincent Young on Beverly Hills 90210", "d:Description": "High school photograph and a few facts on the actor who plays Noah on \"Beverly Hills 90210.\"", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Y/Young,_Vincent", "url": "http://members.tripod.com/VincentYoung90210/"} +{"d:Title": "Zagon, Julius", "d:Description": "California based, Hungarian born actor. Includes resume, photographs, and contact details.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z", "url": "http://konok.tripod.com/jz.html"} +{"d:Title": "Zhou, Jie", "d:Description": "Fan site with news, biography, photographs, his personal letters, and discussion board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z", "url": "http://www.zhoujie.com/index_en.html"} +{"d:Title": "Zir, Lindsay", "d:Description": "Provides biography, gallery, news and career credits.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z", "url": "http://www.lindsayzir.com/"} +{"d:Title": "Zagger Zoo", "d:Description": "Fan site for Ren\u00e9 Zagger includes photos and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z", "url": "http://zaggerzoo.awardspace.com/"} +{"d:Title": "All-Reviews.com: Steve Zahn", "d:Description": "Filmography with links to reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zahn,_Steve", "url": "http://www.all-reviews.com/actors-5/Steve-Zahn.htm"} +{"d:Title": "IMDb: Steve Zahn", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zahn,_Steve", "url": "http://www.imdb.com/name/nm0001872/"} +{"d:Title": "IMDb: Dominic Zamprogna", "d:Description": "Filmography, information, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zamprogna,_Dominic", "url": "http://www.imdb.com/name/nm0952778/"} +{"d:Title": "Yahoo! Groups: Gema Zamprogna", "d:Description": "Discussion group, message board, and chat room for all fans of this Canadian actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zamprogna,_Gema", "url": "http://groups.yahoo.com/group/GemaZamprogna/"} +{"d:Title": "Gema Zamprogna", "d:Description": "Filmography for the actress with brief biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zamprogna,_Gema", "url": "http://www.imdb.com/name/nm0952779/"} +{"d:Title": "Billy Zane Mania", "d:Description": "Filmography, several image galleries, biography, and message board.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zane,_Billy", "url": "http://www.angelfire.com/in2/heaven77/billy.html"} +{"d:Title": "Yahoo! Movies: Billy Zane", "d:Description": "Contains biography, filmography, and image gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zane,_Billy", "url": "http://movies.yahoo.com/person/billy-zane/"} +{"d:Title": "TVGuide.com: Billy Zane", "d:Description": "Biography, latest news, videos and TV schedule.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zane,_Billy", "url": "http://www.tvguide.com/celebrities/billy-zane/142196"} +{"d:Title": "IMDb: Billy Zane", "d:Description": "Detailed filmography, biography, pictures, and other information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zane,_Billy", "url": "http://www.imdb.com/name/nm0000708/"} +{"d:Title": "The Kevin Zegers Gallery", "d:Description": "Photograph galleries, filmography, chat room, contact information, and other related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zegers,_Kevin", "url": "http://www.cpps90.com/"} +{"d:Title": "IMDb: Kevin Zegers", "d:Description": "Facts and a detailed filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zegers,_Kevin", "url": "http://www.imdb.com/name/nm0954225/"} +{"d:Title": "IMBd", "d:Description": "Filmography, TV appearances and photo gallery.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zehetner,_Nora", "url": "http://www.imdb.com/name/nm0954253/"} +{"d:Title": "AmIAnnoying.com", "d:Description": "Annoying and not annoying facts about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zehetner,_Nora", "url": "http://www.amiannoying.com/view.aspx?ID=10087"} +{"d:Title": "Fan Club for Nora Zehetner", "d:Description": "Yahoo Movies group for the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zehetner,_Nora", "url": "http://movies.groups.yahoo.com/group/NoraZehetner/"} +{"d:Title": "USAToday.com - She's more of a 'Brick'-out hit", "d:Description": "Article about the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zehetner,_Nora", "url": "http://www.usatoday.com/life/people/2006-04-17-zehetner_x.htm?POE=LIFISVA"} +{"d:Title": "TV.com", "d:Description": "Biography, roles and appearances of the actress.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zehetner,_Nora", "url": "http://www.tv.com/people/nora-zehetner/"} +{"d:Title": "Renee's Refuge", "d:Description": "A fan site with biography, filmography, articles, and multimedia.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zellweger,_Ren\u00e9e", "url": "http://www.angelfire.com/realm/spoonbender/"} +{"d:Title": "Renee Zellweger Photo Archive", "d:Description": "A large collection of pictures and movie stills.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zellweger,_Ren\u00e9e", "url": "http://renee_zellweger_1.tripod.com/"} +{"d:Title": "BBC: Zellweger's Path to Success", "d:Description": "Oscar winner Renee Zellweger has wowed filmgoers and critics worldwide with an eclectic range of roles.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zellweger,_Ren\u00e9e", "url": "http://news.bbc.co.uk/1/hi/entertainment/film/3522873.stm"} +{"d:Title": "IMDb: Ren\u00e9e Zellweger", "d:Description": "Filmography, biography, pictures, news articles, and other information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zellweger,_Ren\u00e9e", "url": "http://www.imdb.com/name/nm0000250/"} +{"d:Title": "Bobbie Spencer Online", "d:Description": "Pictures, fan club and contact information, links to interviews, and a listing of film, plays, and television appearances, for the actress Jacklyn Zeman.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeman,_Jacklyn", "url": "http://www.angelfire.com/hi/jzemanbobbie/"} +{"d:Title": "IMDb: Jacklyn Zeman", "d:Description": "Filmography and television roles from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeman,_Jacklyn", "url": "http://www.imdb.com/name/nm0954719/"} +{"d:Title": "IMDb: Patricia Zentilli", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zentilli,_Patricia", "url": "http://www.imdb.com/name/nm0954934/"} +{"d:Title": "Catherine Zeta Jones", "d:Description": "Biography and photos.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine", "url": "http://www.tmaw.co.uk/catherinezj.html"} +{"d:Title": "JurassicPunk: Catherine Zeta-Jones", "d:Description": "Biography, filmography, photographs, movie trailers and video clips.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine", "url": "http://www.jurassicpunk.com/stars/catherinezetajones/catherinezetajones.shtml"} +{"d:Title": "The Movie Times: Catherine Zeta-Jones", "d:Description": "Pictures, box office information, vital statistics, message boards, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine", "url": "http://www.the-movie-times.com/thrsdir/actress/actressProfiles.mv?czeta"} +{"d:Title": "Thespian Net Presents Catherine Zeta-Jones", "d:Description": "Profile, filmography, pictures, articles, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine", "url": "http://www.thespiannet.com/actresses/Z/zetajones_catherine/index.shtml"} +{"d:Title": "Topix: Catherine Zeta-Jones", "d:Description": "News about Catherine Zeta-Jones, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine", "url": "http://www.topix.com/rss/who/catherine-zeta-jones.xml"} +{"d:Title": "TalkTalk: Catherine Zeta Jones", "d:Description": "Detailed biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine", "url": "http://www.talktalk.co.uk/entertainment/film/biography/artist/catherine-zeta-jones/biography/138"} +{"d:Title": "IMDb: Catherine Zeta Jones", "d:Description": "Filmography, biography, photographs, and articles from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine", "url": "http://www.imdb.com/name/nm0001876/"} +{"d:Title": "Catherine Zeta-Jones Webshrine", "d:Description": "A few facts, partial filmography, images, and poll.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine/Fan_Pages", "url": "http://www.angelfire.com/on/jeffj/zeta.html"} +{"d:Title": "Catherine Zeta Jones", "d:Description": "Includes biography, filmography, multiple picture galleries, wallpapers, puzzles and quizzes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine/Fan_Pages", "url": "http://catherinezetajones02.tripod.com/"} +{"d:Title": "UK Hot Movies: Catherine Zeta-Jones", "d:Description": "Image gallery and news.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine/Image_Galleries", "url": "http://www.ukhotmovies.com/zetajones/"} +{"d:Title": "Solo's Catherine Zeta Jones Page", "d:Description": "Large collection of pictures from various sources and arranged in several image galleries. A short biography and \"Entrapment\" information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine/Image_Galleries", "url": "http://members.tripod.com/~ssolo22/catherine/"} +{"d:Title": "Catherine Zeta Jones", "d:Description": "Approximately 30 thumbnailed photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zeta-Jones,_Catherine/Image_Galleries", "url": "http://www.angelfire.com/ak4/ozansari/zeta.html"} +{"d:Title": "IMDb: Ian Ziering", "d:Description": "The Internet Movie Database includes biographical information, a filmography, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Ziering,_Ian", "url": "http://www.imdb.com/name/nm0005575/"} +{"d:Title": "IMDb: Madeline Zima", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zima,_Madeline", "url": "http://www.imdb.com/name/nm0956526/"} +{"d:Title": "IMDb: Yvonne Zima", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zima,_Yvonne", "url": "http://www.imdb.com/name/nm0956531/"} +{"d:Title": "IMDb: Efrem Zimbalist Jr.", "d:Description": "Filmography, biography, notable television appearances, pictures, and other related information.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zimbalist,_Efrem,_Jr.", "url": "http://www.imdb.com/name/nm0956544/"} +{"d:Title": "IMDb: Stephanie Zimbalist", "d:Description": "Filmography, biography, and photographs.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zimbalist,_Stephanie", "url": "http://www.imdb.com/name/nm0000258/"} +{"d:Title": "IMDb: Kim Zimmer", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zimmer,_Kim", "url": "http://www.imdb.com/name/nm0956631/"} +{"d:Title": "Preity Zinta's Wonderland", "d:Description": "Photographs, movies, and related links.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zinta,_Preity", "url": "http://pretty-preity.itgo.com/"} +{"d:Title": "IMDb: Preity Zinta", "d:Description": "Filmography and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zinta,_Preity", "url": "http://www.imdb.com/name/nm0006689/"} +{"d:Title": "Zhang Ziyi Fan Page", "d:Description": "Biography, filmography, pictures, and forum.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Ziyi,_Zhang", "url": "http://zhangziyi.free.fr/"} +{"d:Title": "Zhang Ziyi", "d:Description": "Biography, filmography, facts, interviews, picture resources, and notes.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Ziyi,_Zhang", "url": "http://chinese-school.netfirms.com/Zhang-Ziyi.html"} +{"d:Title": "IMDb: Zhang Ziyi", "d:Description": "Filmography, profile, and pictures.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Ziyi,_Zhang", "url": "http://www.imdb.com/name/nm0955471/"} +{"d:Title": "Arianne Zucker Fans", "d:Description": "A fan forum dedicated to Arianne Zucker who plays Nicole Walker on Days of Our Lives.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zucker,_Arianne", "url": "http://z9.invisionfree.com/Arianne_Zuker_Fans/index.php"} +{"d:Title": "IMDb: Daphne Zuniga", "d:Description": "Filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zuniga,_Daphne", "url": "http://www.imdb.com/name/nm0001879/"} +{"d:Title": "IMDb: Elsa Zylberstein", "d:Description": "Complete filmography from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Acting/Actors_and_Actresses/Z/Zylberstein,_Elsa", "url": "http://www.imdb.com/name/nm0959113/"} +{"d:Title": "Amdram - Amateur Theatre and Drama Forums", "d:Description": "A forum discussing many areas of acting and related fields of interest.", "topic": "Top/Arts/Performing_Arts/Acting/Chats_and_Forums", "url": "http://www.amdram.co.uk/forums/"} +{"d:Title": "Acting Only Club", "d:Description": "Yahoo discussion group for actors to exchange information and gossip about working within the field of acting. Includes live chat, photos, links and profiles.", "topic": "Top/Arts/Performing_Arts/Acting/Chats_and_Forums", "url": "http://groups.yahoo.com/group/actingonlyclub/"} +{"d:Title": "Actors Forum", "d:Description": "Offers an interactive message board relating to acting classes, technique, management, movies, directing, producing and writing.", "topic": "Top/Arts/Performing_Arts/Acting/Chats_and_Forums", "url": "http://www.actorsforum.com/"} +{"d:Title": "Jeffrey Marcus", "d:Description": "Los Angeles, CA. Private coaching and primarily scene study classes using methods gleaned from Stanislavsky to Tantric Yoga.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://jeffreymarcus.com/"} +{"d:Title": "Bill Smith", "d:Description": "Denver, CO. Actor, director, and producer for three decades offers coaching and directing in scenes, improvs, cold copy, script analysis, commercials, voice-overs, industrials, film, and stage. Weekly workshops are limited to insure performance on-camera. Also, offers video and audio facilities.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://gvtg.com/theactingstudio/"} +{"d:Title": "Robert Beecher", "d:Description": "Sun Valley, CA. Commercial and film/TV classes, private coaching for drama, comedy, and specific roles, career advice, and video instruction courses.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://stage-13.tripod.com/"} +{"d:Title": "Churcher, Mel", "d:Description": "Teaches dialogue, theatre, TV and film. Provides biography, information about her book, tuition fees, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://www.melchurcher.com/"} +{"d:Title": "Barbara Kite", "d:Description": "Portland, OR. Training in film, television, theatre and commercials. Offers teen and adult acting classes and public speaking workshops.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://www.barbarakite.com/"} +{"d:Title": "Elisa Eliot", "d:Description": "Los Angeles, CA. Acting coach with a Yale degree in drama provides private acting lessons and industry coaching.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://www.elisaeliot.com/"} +{"d:Title": "Sande Shurin", "d:Description": "New York, NY. Teaches The Shurin Technique, a method developed over 25 years of acting, coaching and directing experience and outlined in her book, \"Transformational Acting\".", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://www.sandeshurin.com/"} +{"d:Title": "The Actor's Scene", "d:Description": "Atlanta, GA. Coaching staff offers acting, modeling, and singing training for all ages and types. Certified representative offering preparation and training for Millie Lewis' annual \"Actors, Models, and Talent Competition.\"", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://www.theactorsscene.com/portal/index.php?option=com_content&task=view&id=13&Itemid=26"} +{"d:Title": "IndependentActor", "d:Description": "Offers professional audition, on-camera, voice over and acting training through private coaching, workshops and webinars. Career development services include reels, showcases, headshots and resumes. New York City.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://www.independentactor.com/"} +{"d:Title": "Michael Unger", "d:Description": "New York, NY. Offers private coaching and on-going classes for auditioning, musical theatre auditioning, and scene study. Includes portfolio and resume for the theatre director.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Coaches", "url": "http://www.ungerdirect.com/"} +{"d:Title": "Actors Workshop Barcelona", "d:Description": "Barcelona, Spain. International school offers beginning, intermediate and advanced acting classes for film and television. Regular intensive Meisner courses.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe", "url": "http://www.freewebs.com/actorsworkshop/"} +{"d:Title": "Franco American Cinema and Theatre", "d:Description": "Paris, France. A bilingual (French-English) acting school with an acting workshop in New York City, USA as well. Features faculty, classes and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe", "url": "http://www.coursfact.fr/"} +{"d:Title": "East 15 Acting School", "d:Description": "Loughton, Essex. Offers a three year BA Degree in acting and one year post-graduate diploma course specializing in acting for television, film and radio. Includes application detail, gallery, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe/United_Kingdom", "url": "http://www.east15.ac.uk/"} +{"d:Title": "Artists Theatre School", "d:Description": "Saturday drama school for ages 5 years to adult at Ealing Film studios in London. Includes advice, tuition and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe/United_Kingdom", "url": "http://www.artiststheatreschool.com/"} +{"d:Title": "Mathew Boulton College - The Birmingham Theatre School", "d:Description": "Located in Birmingham, England, our aim is to train actors for the theatre and film industry. HND, full and part time course available.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe/United_Kingdom", "url": "http://www.thebirminghamtheatreschool.20m.com/"} +{"d:Title": "Drama Workhouse", "d:Description": "School for adults and children located in Willesden, London. Includes message board, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe/United_Kingdom", "url": "http://www.dramaworkhouse.org.uk/"} +{"d:Title": "The Bridge", "d:Description": "A non-profit organisation that provides two-year and one-year full time courses.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe/United_Kingdom", "url": "http://www.thebridge-ttc.org/"} +{"d:Title": "Academy of Creative Training", "d:Description": "Brighton, England. Offers a two year diploma course, a one year foundation course, two week acting workshops and masterclasses in acting for film, TV, and the theatre.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Europe/United_Kingdom", "url": "http://www.actbrighton.org/"} +{"d:Title": "ASM Performing Arts", "d:Description": "Montreal. Offers training for film and TV for all levels and ages with class descriptions, special events, and workshops.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.asmstudio.net/"} +{"d:Title": "Crichlow Actors' Studio", "d:Description": "Vancouver, BC. School offers private coaching, classes for film and television. Includes programs, FAQ and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.crichlowstudio.com/"} +{"d:Title": "Screen Actors Studio, The", "d:Description": "Vancouver Island, Victoria, BC. Offers courses, seminars, workshops, and private coaching in auditioning, on camera, monologue, cold reading, voice, and dialects. Contains programs, fees, schedules and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.screenactors.ca/"} +{"d:Title": "Sears and Switzer", "d:Description": "Toronto. Conservatory studio offers classes in auditions, commercial, and voice-over techniques. Includes articles and resources, classes and how to register.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.searsandswitzer.com/"} +{"d:Title": "VADA Academy of Dramatic Arts", "d:Description": "Vancouver, BC. Offers classes in scene study, auditioning, camera work, cold reading, text analysis, voice, improvisation and agent hunting. Contains FAQ, classes and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.vadastudios.com/"} +{"d:Title": "Toronto Academy of Acting", "d:Description": "Offers camera technique training classes in film and television for all ages levels. Provides links, schedules, registration, locations and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.torontoacademyofacting.com"} +{"d:Title": "SchoolCreative", "d:Description": "Offers part and full-time programs for acting in film, theatre and voiceover. Includes details of programs, admissions information and list of notable alumni and their roles. Vancouver, BC.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.schoolcreative.com/"} +{"d:Title": "Spotlight Academy", "d:Description": "A post-secondary trade school which provides training and workshops in scene study, character acting, audition skills, cold reading, improvisation, voice, voiceovers, movement, and firearms. Nanaimo, BC.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.spotlightacademy.com/"} +{"d:Title": "New Image College", "d:Description": "Acting, esthetics, spa services and make-up artistry school. Vancouver, BC.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.newimage.ca/"} +{"d:Title": "Acting Up Drama", "d:Description": "Drama classes for ages 6 to adult. Fables, plays, and skits. Lethbridge, Alberta.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/Canada", "url": "http://www.actingupdrama.ca/"} +{"d:Title": "Studio for Actors, The", "d:Description": "Tucson. Offers training in comedy improv, scene study, monologues, voice-over, and audition technique. Contains photos, schedule and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Arizona", "url": "http://the-studio-for-actors.com/"} +{"d:Title": "Ken Lerner Studio, The", "d:Description": "North Hollywood. Actor and teacher offers classes, and private and on-set coaching.Contains schedule, fees profile and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://kenlerner.com/"} +{"d:Title": "Actors Workout Studio", "d:Description": "Los Angeles. Professional acting training with Fran Montano offering adult, teen and children's acting classes and coaching. Includes shows, productions, and theater rentals.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.actorsworkout.com/"} +{"d:Title": "Actors Workshop, The", "d:Description": "Laguna Hills. Offers classes conducted at all levels in a broadcast TV studio, workshops in audition techniques, cold readings, and on-camera scene work, and weekly showcases with casting directors. Includes staff, gallery and links.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://theactorsworkshop.com/"} +{"d:Title": "Actors Workshop Studios", "d:Description": "San Diego. Acting and audition classes for film and TV with on-camera training. Weekly auditions and showcases with producers, casting directors and agents. Includes contacts and links.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.actorsworkshopstudios.com/"} +{"d:Title": "Ed Hooks Theatrical Workshops", "d:Description": "San Francisco. Offers classes and private coaching. Includes FAQ, schedules, enrollment and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.edhooks.com/index.html"} +{"d:Title": "Hollywood Actor's Studio", "d:Description": "Los Angeles. Offers training in film, television, stage, commercials, voice-overs, and public speaking. Includes private coaching nationwide by correspondence. Contains articles, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.actingconnection.com/"} +{"d:Title": "Actors Center of San Francisco, The", "d:Description": "San Francisco. Shelley Mitchell teaches method workshops and classes for film and theater. Contains events, FAQ, links, classes and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.shelleymitchell.org/"} +{"d:Title": "Tom Todoroff Studio", "d:Description": "Los Angeles. Offers classes in voice-over and film. Provides links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.tomtodoroff.com/"} +{"d:Title": "Acting Professionally", "d:Description": "San Diego. Terry Ross offers film and television classes. Contains events and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.actingprofessionally.com/"} +{"d:Title": "Ruskin School of Acting", "d:Description": "Santa Monica. Provides information on the techniques of Sanford Meisner, scene study with master teachers and young actors classes. Includes news, calendar, pictures, faculty and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://ruskinschool.com/"} +{"d:Title": "David Kagen's School of Film Acting", "d:Description": "Sherman Oaks. Offers on-camera acting instruction in small classes. Includes streaming video, student job list, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://davidkagen.com/"} +{"d:Title": "Acting Corps, The", "d:Description": "North Hollywood. Offers daily classes and a four-week actors boot camp. Includes schedule, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.theactingcorps.com/"} +{"d:Title": "Carolyne Barry Creative", "d:Description": "Hollywood. Offers improv and commercial audition technique workshops. Policies, class locations, calendar, contacts and some tips for beginning actors.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.carolynebarry.com/"} +{"d:Title": "Young Actors Space", "d:Description": "Van Nuys. Year-round classes and camps for young actors. Includes photos, class schedules and news.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.youngactorsspace.com/"} +{"d:Title": "The A.C.T.I.N.G. Coach", "d:Description": "Orange County. Acting coaches offering training for film, television and commercials.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.the-actingcoach.com/"} +{"d:Title": "Katt Shea", "d:Description": "Los Angeles. Classes in Santa Clarita and Studio City. Schedule, class information and biography.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.kattshea.com/"} +{"d:Title": "Sam Christensen Studios", "d:Description": "Hollywood. Personal branding and image essence workshops for actors and artists. Also offers acting classes, coaching, and career development workshops.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.samchristensen.com/"} +{"d:Title": "Stuart K. Robinson Creative", "d:Description": "Los Angeles. Audition technique classes taught by Stuart K. Robinson, specializing in commercial acting. Offers on-going seminars, classes, semi-private, and private instruction. Includes biography, class schedules, prices, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.stuartkrobinson.com/"} +{"d:Title": "JH Productions Actors Point of View", "d:Description": "North Hollywood. Offers group and private coaching with an emphasis on film and television acting. Includes instructor biography, past clients list, class descriptions, and contact information.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.johnhoma.com/"} +{"d:Title": "Just For Actors", "d:Description": "Based in Campbell, CA. Provides acting training for film, commercials, television, theatre and new media with Dennis Sakamoto.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.justforactors.com/"} +{"d:Title": "M.O.S.", "d:Description": "Los Angeles. Offers consulting, classes and workshops and specializes in movement choreography for film, TV, commercials and music video. Includes recent projects and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.mime.info/movement-coach"} +{"d:Title": "Kent Klineman Acting Studio, The", "d:Description": "West Hollywood. Classes emphasize Meisner and Linklater techniques, scene work, repetition game, object exercises, vocal exercises and relaxation work. View this teacher's resume and testimonials.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.kentklineman.com/"} +{"d:Title": "Actorswork", "d:Description": "Los Angeles. Steven Anderson offers workshops, classes, private coaching and productions. Contains programs, events, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.creativityco.com/aw/"} +{"d:Title": "Andrew Wood Acting Studio", "d:Description": "Director Andrew Wood offers classes, exercises, and acting workshops utilizing an updated approach to Konstantin Stanislavsky's teachings. Site offers class calendar, pricing, teacher profile, and contact information. Los Angeles.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://www.andrewwoodla.com/"} +{"d:Title": "Hallie Todd", "d:Description": "Acting classes for kids and teens, with focus on camera work, improvisation and scene study. Los Angeles.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/California", "url": "http://hallietodd.com/"} +{"d:Title": "Creative Workshops", "d:Description": "Aventura. Offers classes for film, television and theater under the direction of Stewart Solomon. Includes schedule, casting, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Florida", "url": "http://www.creativeworkshops.com/"} +{"d:Title": "Nick Conti's Professional Actor's Studio", "d:Description": "Atlanta. Offers classes and workshops in cold reading, improvisations, scene work, and audition techniques with a quarterly showcase. Contains schedules, fees and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Georgia", "url": "http://www.proactorsstudio.com/"} +{"d:Title": "The Actor's Scene", "d:Description": "Atlanta. Award-winning performing arts training facility offering classes, workshops, and summer camps in acting, singing, and modeling for all ages. Also hosts talent showcases, competitions, VIP workshops, school fundraisers, and is a certified representative for Millie Lewis' \"Actors, Models, and Talent Competition\" (AMTC).", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Georgia", "url": "http://www.theactorsscene.com/"} +{"d:Title": "Actor's Lab, The", "d:Description": "Wichita. Tracy Sloat's training facility based on the Sanford Meisner Technique. Offers classes which include exercises, lectures, improvisations, monologues, and scene work, workshops, and personal coaching.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Kansas", "url": "http://www.actorslab.com/"} +{"d:Title": "Actor Training Studio", "d:Description": "Kansas City. Provides private instruction, classes in on-camera and voice-over. Includes news and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Kansas", "url": "http://actortrainingstudio.com"} +{"d:Title": "Actors Workshop", "d:Description": "Boston, MA. Offers training for stage and related work in film and television. Includes information about the institute, services offered, open houses and the staff.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Massachusetts", "url": "http://www.actorsworkshopboston.com/"} +{"d:Title": "Boston Casting", "d:Description": "Boston. Casting agency offers classes for adults, kids and teens. Contains course descriptions, registration and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Massachusetts", "url": "http://www.bostoncasting.com/"} +{"d:Title": "Actors Studio, The", "d:Description": "St. Louis. Lee Strasberg's method presented by TheatrGROUP. Includes history, sessions, policies and links.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Missouri", "url": "http://www.actors-studio.com/"} +{"d:Title": "New Jersey School of Dramatic Arts", "d:Description": "Offers theatre arts training programs at all levels. Location, schedule, staff resumes and reviews.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_Jersey", "url": "http://www.njactors.org/"} +{"d:Title": "The Actors Theatre Workshop", "d:Description": "Offers a conservatory taught by Thurman E. Scott. Offers beginning and advanced technique, scene study, script analysis, and character development with a public showcase of selected works.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.actorstheatreworkshop.com/"} +{"d:Title": "Acting Studio Inc., The", "d:Description": "New York. James Price and John Grabowski's forum for actor training with the Chelsea Repertory resident theatre company. Offers a 1-Yr Acting For Film&Theatre Conservatory, Summer Conservatory, plus shorter term seasonal classes with Meisner, traditional and progressive forms of acting, directing, and theatre practice.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.actingstudio.com/"} +{"d:Title": "Ken Schatz", "d:Description": "Brooklyn. Actortec acting conservatory offers personal coaching and group classes. Includes services offered, schedule, faculty, reviews and FAQ.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.kenschatz.com/"} +{"d:Title": "Carol Fox Prescott", "d:Description": "New York City. Offers training for stage, film and television, based on breathing, awareness and joy. Includes course descriptions, links, and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.carolfoxprescott.com/"} +{"d:Title": "HB Studio", "d:Description": "New York City. Herbert Berghof offers Chekhov, Shakespeare, and improvisation courses. Includes classes, audition times, registration and teacher bios.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.hbstudio.org/"} +{"d:Title": "Howard Meyer's Acting Program", "d:Description": "Croton Falls and Katonah. Offers classes from beginning thru advanced. Includes schedules and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.hmacting.org/"} +{"d:Title": "Lynette Sheldon Actors Studio", "d:Description": "New York City and Sydney, Australia. Offers classes for all levels in scene study, auditioning, and improv, based on Meisner/Stella Adler/Stanislavski techniques. Includes news, classes and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.lsactorstudio.com/"} +{"d:Title": "Ward Studio", "d:Description": "New York City. Offers Meisner training in a one-year, part-time program. Includes class schedules and descriptions, policies and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.wardstudio.com/"} +{"d:Title": "Scott Powers Studios", "d:Description": "New York City. Offers on-camera programs in film, daytime drama, commercials, commercial print, corporate videos and monologues. Contains programs and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.scottpowers.com/"} +{"d:Title": "Peter Kelley Acting", "d:Description": "New York City. Offers training with text-based work focused specifically on film and television.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.actingonfilm.com/"} +{"d:Title": "Abeson, Anthony", "d:Description": "Coaches actors for film and television. Many of his students are professional actors who make a living in the film and television industries. Features blog, classes, former students and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.anthonyabeson.com/"} +{"d:Title": "Actors Conservatory", "d:Description": "New York City. This method acting school offers training based on sense memory techniques with relaxation. Provides screen work, script analysis, improvisation, movement, voice, and a summer program.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.actorsconservatory.org/"} +{"d:Title": "Acting Center of NY", "d:Description": "Offers course with a focus on mastering stage fright and performance anxiety that includes basic acting technique, improvisation, monologue and scene study. ADAA member.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/New_York", "url": "http://www.acting-center.com/"} +{"d:Title": "Actors Conservatory", "d:Description": "Dallas. Offers classes in theatre, film and television. Includes newsletter, admision, financial aid, programs and an online guidebook.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Texas", "url": "http://www.kdstudio.com/"} +{"d:Title": "Margo Manning", "d:Description": "Dallas. Offers progressive lessons, workshops and summer camps for commercials, film, sitcoms, soap-operas, emcees, voice-overs, and industrials. Group and private instruction available for all ages.", "topic": "Top/Arts/Performing_Arts/Acting/Education/North_America/United_States/Texas", "url": "http://margomanning.com/"} +{"d:Title": "Lynette Sheldon Actors Studio", "d:Description": "Sydney, Australia and New York City. Offers classes for all levels in scene study, auditioning, and improv, based on Meisner/Stella Adler/Stanislavski techniques. Includes news, classes and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Oceania/Australia", "url": "http://www.lsactorstudio.com/"} +{"d:Title": "City Drama Studio", "d:Description": "Sydney. Part-time acting classes for people with little or no previous experience. Includes overview, photos, news, and links.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Oceania/Australia", "url": "http://www.angelfire.com/sd/citydrama/"} +{"d:Title": "Actors College of Theatre and Television", "d:Description": "Sydney. Offers full and part time courses. Includes contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Oceania/Australia", "url": "http://www.actt.edu.au/"} +{"d:Title": "Speech&Drama Academy NSW", "d:Description": "Sydney, Australia. Offers drama, elocution, public speaking, coaching for auditions and preparation for Trinity and AMEB exams. Includes contacts and pricing.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Oceania/Australia", "url": "http://www.bridge.com.au/speech/"} +{"d:Title": "Acting On The Web", "d:Description": "Online monologue class by director Frank Cavestani with newsletter for casting calls and links to shopping and professional resources.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Online_Training", "url": "http://www.actingontheweb.com/"} +{"d:Title": "Home Acting Course", "d:Description": "Robert Carne offers CD course. Includes ebooks, links and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Online_Training", "url": "http://www.homeactingcourse.com/"} +{"d:Title": "Mainstage Center For The Arts", "d:Description": "Blackwood, NJ. Offers classes in acting, dance, and voice for children, and private voice lessons for children and adults. Also offers a Summer Stage program for children and young adults.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Youth", "url": "http://mainstage.org/"} +{"d:Title": "Columbia Gorge School of Theatre", "d:Description": "White Salmon, WA. A summer theatre sleep-away camp for students ages 8 - 18 offering acting, music, singing, dance, voice, TV/film acting, theatre potpourri, body awareness, and the biz, production of a show, and outdoor activities on the 142 acre Blue Moon Ranch.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Youth", "url": "http://www.cgst.com/"} +{"d:Title": "Granite Hills Acting Workshop", "d:Description": "El Cajon, CA. Youth Summerstock Theatre offers a summer theater program for 13-18 year olds which produces two musicals each summer, with program participants acting as cast, set and technical crew.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Youth", "url": "http://yst.itgo.com/ghaw.html"} +{"d:Title": "Acteen", "d:Description": "Television and film acting training for New York City teens ages 13-20. Contains staff, background, FAQ and contacts.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Youth", "url": "http://www.acteen.com/"} +{"d:Title": "Performers Rights", "d:Description": "Article about personal lessons learned with advice offered to parents of child performers. Includes sample contracts and links.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Youth", "url": "http://www.freedom.co.za/performers-rights/"} +{"d:Title": "Contagious Drama Workshop", "d:Description": "Acting school in Westfield, New Jersey, for children, teens, and adults.", "topic": "Top/Arts/Performing_Arts/Acting/Education/Youth", "url": "http://contagiousdrama.com/"} +{"d:Title": "Back Stage", "d:Description": "Features casting notices, actor resume, photos, resources and articles.", "topic": "Top/Arts/Performing_Arts/Acting/Publications", "url": "http://www.backstage.com/"} +{"d:Title": "Actor to Actor", "d:Description": "A monthly online magazine containing acting lessons, acting tips and interviews with those in the business. The first issue is free.", "topic": "Top/Arts/Performing_Arts/Acting/Publications", "url": "http://www.actortoactor.com/"} +{"d:Title": "The Actor's Life", "d:Description": "A free online magazine dedicated to helping actor's to start a successful acting career. Focus on Los Angeles.", "topic": "Top/Arts/Performing_Arts/Acting/Publications", "url": "http://www.theactorslife.com/"} +{"d:Title": "Diary of a Chicago Actor", "d:Description": "The ramblings of an actor based in America's cultural greenhouse.", "topic": "Top/Arts/Performing_Arts/Acting/Publications", "url": "http://evansopus.blogspot.com/"} +{"d:Title": "Canadian Actors Equity Association", "d:Description": "Involved in member protection and promotion and in fostering the growth and development of professional theatre and actors throughout Canada.", "topic": "Top/Arts/Performing_Arts/Acting/Unions", "url": "http://www.caea.com/"} +{"d:Title": "Actors' Equity Association", "d:Description": "Branch of the Associated Actors and Artistes of America founded in 1913. The AEA union represents actors and stage managers in theatre within the United States. Includes casting calls, membership details, FAQs, contracts and document library.", "topic": "Top/Arts/Performing_Arts/Acting/Unions", "url": "http://www.actorsequity.org/"} +{"d:Title": "Bundesverband der Film und Fernsehschauspieler", "d:Description": "The German Screen Actors Guild BFFS", "topic": "Top/Arts/Performing_Arts/Acting/Unions", "url": "http://www.bffs.de/"} +{"d:Title": "SAG-AFTRA", "d:Description": "Screen Actors Guild - AFTRA includes information for members, actors, and industry services with FAQs, contracts and the SAG awards.", "topic": "Top/Arts/Performing_Arts/Acting/Unions", "url": "http://www.sagaftra.org/"} +{"d:Title": "Edmonton International Street Performers Festival", "d:Description": "Yearly July event in Sir Winston Churchill Square. Includes information about performers, visitor tips, volunteers and contact details.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing", "url": "http://www.edmontonstreetfest.com/home/"} +{"d:Title": "Performers.net", "d:Description": "Online community offering information on performing, festivals, and resources.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing", "url": "http://www.performers.net/"} +{"d:Title": "Busker Central", "d:Description": "Made by and for buskers and street performers. A reference site for the sport of the performing street arts.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing", "url": "http://www.buskercentral.com/"} +{"d:Title": "Toronto Buskerfest", "d:Description": "Toronto International Street Performers Festival takes place in August. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing", "url": "http://www.torontobuskerfest.com/"} +{"d:Title": "Halifax International Busker Festival", "d:Description": "Takes place in August, Halifax, Nova Scotia.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing", "url": "http://www.buskers.ca/"} +{"d:Title": "Waterloo Busker Carnival", "d:Description": "Includes organization history, photo gallery, press clippings and information about past events. Held in Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing", "url": "http://waterloobuskers.com/"} +{"d:Title": "Love 22", "d:Description": "Street entertainer who folds $22 bills into origami shapes and uses \"22\" numerology at Sunset Celebration in Key West, Florida. Includes background information and show profiles.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.love22.com/"} +{"d:Title": "Segal, Mark", "d:Description": "International street performer based in Glasgow, Scotland. Includes performer information, show profiles, contact information, upcoming events and photos.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.marksegal.com/"} +{"d:Title": "Singer, Glenn", "d:Description": "Specializes in visual comedy using optical illusions, a dry delivery, and a conversational style. Contains background, show profile, photos and references.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.horseguy.com/"} +{"d:Title": "Snyder, Bernard M.", "d:Description": "World traveling one man band playing drums, guitar, harmonica, and singing simultaneously. Includes audio files, video clips, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.onemanband.org/"} +{"d:Title": "Theater Leela", "d:Description": "Austrian performer. Show, profile, schedule and references included.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.theaterleela.com/"} +{"d:Title": "Silly People, The", "d:Description": "Internationally touring duo from Canada. Providing biography, past performances, projects, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.sillypeople.com/"} +{"d:Title": "Community Arts Advocates", "d:Description": "Resources for artists and events. Provides services, fees and informational links.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://communityartsadvocates.org/StreetArts.html"} +{"d:Title": "SuperFrank", "d:Description": "Street performer Amsterdam provides comedy, juggling, unicycling and fire shows. Show description, technical information and links to other performers.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.superfrank.com/"} +{"d:Title": "Stranierovision", "d:Description": "Street music one-man band playing self-made instruments. Quicktime, real video and MP3 downloads. Links to artists, festivals and Italian folk music. [In English and Italian]", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.thestraniero.com/"} +{"d:Title": "Boyd, Rex", "d:Description": "American variety comedian, street performer and juggler based in England. Show profiles, photos and background information.", "topic": "Top/Arts/Performing_Arts/Busking_and_Street_Performing/Performers", "url": "http://www.rexboyd.co.uk/"} +{"d:Title": "Circus Record and Documentation Center", "d:Description": "Programs, books, news, addresses, links, ads, and discussion group.", "topic": "Top/Arts/Performing_Arts/Circus", "url": "http://www.circusnet.info/"} +{"d:Title": "Sounds of the Circus", "d:Description": "Discography of music from the golden age of the American circus performed by The South Shore Circus Concert Band.", "topic": "Top/Arts/Performing_Arts/Circus", "url": "http://euchronia.net/sotc/"} +{"d:Title": "eHow: How to Join the Circus", "d:Description": "Full-length article tells you exactly how to join the circus.", "topic": "Top/Arts/Performing_Arts/Circus", "url": "http://www.ehow.com/how-to_4845448_join-circus.html"} +{"d:Title": "Circopedia", "d:Description": "Encyclopedia of the international circus, featuring biographies, circus profiles, video clips, image galleries, and artwork from circus performers and organizations around the world.", "topic": "Top/Arts/Performing_Arts/Circus", "url": "http://www.circopedia.org/"} +{"d:Title": "Circus World Museum", "d:Description": "The Circus World Museum salutes over 200 years of the American circus at the original winter quarters of the Ringling Bros. Schedules, shopping, and tickets.", "topic": "Top/Arts/Performing_Arts/Circus", "url": "http://www.circusworldbaraboo.org/"} +{"d:Title": "Circusland", "d:Description": "Includes photo galleries, videos, articles, glossary, forum, performer database, and merchandise. [English/Russian]", "topic": "Top/Arts/Performing_Arts/Circus", "url": "http://circusland.com/newshop/en/"} +{"d:Title": "The Great Moscow State Circus", "d:Description": "Includes virtual tours, news, and artist profiles. Located in Moscow, Russia. [English/Russian]", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.bolshoicircus.ru/"} +{"d:Title": "Cirque \u00c9loize", "d:Description": "Canadian based company with two touring troupes. Includes information about current shows and special events. [English/French]", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.cirque-eloize.com/"} +{"d:Title": "Bash Street Company", "d:Description": "UK based circus-theatre troupe. Includes current and previous shows.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.bashstreet.co.uk/"} +{"d:Title": "Ringling Bros. and Barnum&Bailey", "d:Description": "Official site with news, reviews, games, free e-mail, animal information performer profiles, history, traditions, and merchandise available.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.ringling.com/"} +{"d:Title": "Big Apple Circus", "d:Description": "Touring non-profit performing arts circus. Includes history of the circus, ticket information, calendar, outreach program for kids, and virtual tour. Based in New York.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.bigapplecircus.org/"} +{"d:Title": "Kelly Miller Circus", "d:Description": "Oklahoma-based traveling circus founded in 1938. Includes history, video, and photographs.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.kellymillercircus.com/"} +{"d:Title": "Silvers Grand Magic Circus", "d:Description": "Performers, show and tour dates, and photographs. Based in Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.silverscircus.com.au/"} +{"d:Title": "Circus Ethiopia", "d:Description": "Circus movement in cooperation with the Red Cross. Touring the world since 1991.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.lefourneau.com/artistes/circus/"} +{"d:Title": "Circus Royale", "d:Description": "Traditional touring circus. Includes photo gallery, show information, reviews, history, and links. Based in Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.circusroyale.com/"} +{"d:Title": "Coney Island Circus Sideshow", "d:Description": "Authentic 10-in-1 sideshow. Includes profiles of performers, videos, and workshops.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.coneyisland.com/sideshow.shtml"} +{"d:Title": "The Blue Monkey Sideshow", "d:Description": "Indianapolis-based troupe performing traditional acts such as sword swallowing, and the human blockhead.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.bluemonkeysideshow.com/"} +{"d:Title": "Circus Contraption", "d:Description": "Seattle based troupe. Includes upcoming performances, acts, performers, and merchandise.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.circuscontraption.com/"} +{"d:Title": "Circus Krone", "d:Description": "Munich based circus founded in 1919. Includes current and past performances, reviews, performers, and merchandise. [English/German]", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.circus-krone.com/"} +{"d:Title": "Circus Vargas", "d:Description": "History, performance overview, schedule and showtimes. Based in California. In English and Spanish.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.circusvargas.org/"} +{"d:Title": "Webers Circus", "d:Description": "Tours Eastern Australia and offers a traditional Big Top. Features a gallery, information on upcoming shows and the performers.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://weberscircus.com/"} +{"d:Title": "Circus Flora", "d:Description": "Weaves separate acts together with a narrative storyline and original live music, bringing animals and people together by integrating the classic traditions of European circus with theater techniques and an ensemble cast. Founded in 1986 by artistic director/producer Ivor David Balding, Sheila and Sam Jewell, and Alexandre Sacha Pavlata. St. Louis, Missouri.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://www.circusflora.org/"} +{"d:Title": "Circus Arts Conservatory", "d:Description": "Company features performances, education and integrated arts programs. Sarasota, Florida.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses", "url": "http://circusarts.org/"} +{"d:Title": "Cirque du Soleil", "d:Description": "A dramatic mix of circus arts and street entertainment. Behind the scenes looks at each show, pictures, and tour information.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil", "url": "http://www.cirquedusoleil.com/"} +{"d:Title": "Ex-Cirque Performers", "d:Description": "A mailing list to discuss artists who have left the various shows.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ex_cirqueperformers/"} +{"d:Title": "Ultimate Cirque du Soleil Club", "d:Description": "Talk about the Cirque shows and meet with different people who also enjoy the shows. Also includes a photo gallery.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ultimatecirquedusoleilclub/"} +{"d:Title": "Cirque Contortion Mailing List", "d:Description": "A huge photo gallery of contortion pictures. Discuss contortionists who have appeared in Cirque du Soleil.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Chats_and_Forums", "url": "http://groups.yahoo.com/group/cirquecontortion/"} +{"d:Title": "The People in The Dark", "d:Description": "A fan site dedicated to fans' Cirque costumes.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Fan_Pages", "url": "http://www.angelfire.com/ego2/cirquefans/"} +{"d:Title": "Cirque Site", "d:Description": "Some behind the scenes photos and gossip about Cirque Du Soleil's shows in America, Asia and Europe.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Fan_Pages", "url": "http://www.psioui.com/"} +{"d:Title": "Fascination: An Unofficial Cirque du Soleil Fan Club", "d:Description": "News and rumors, photos, mailing list information and the first Cirque du Soleil FAQ.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Fan_Pages", "url": "http://www.cirquefascination.com/"} +{"d:Title": "Marie-Josee Levesque", "d:Description": "A Washington trapeze artist and veteran of Cirque Du Soleil.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.trapeze.org/"} +{"d:Title": "Jinny Jessica Jacinto", "d:Description": "Contortionist from Cirque du Soleil.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://mouvance.com/jinnyjacinto/"} +{"d:Title": "John Gilkey", "d:Description": "Star of Cirque Du Soleil's 'Quidam'.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.johngilkey.com/"} +{"d:Title": "Benoit Jutras", "d:Description": "Cirque du Soleil composer Benoit Jutras' official website.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.benoitjutras.com/"} +{"d:Title": "Steven Ragatz", "d:Description": "Appeared in Mystere and Quidam as part of a manipulation act.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.stevenragatz.com/"} +{"d:Title": "Paul J. Bannerman", "d:Description": "Official site for drummer Paul J. Bannerman, presently touring with Cirque Du Soleil's North American tour of Varekai. Photo gallery, information, news.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.pauljbannerman.com/"} +{"d:Title": "Viktor Kee", "d:Description": "The Dralion performer and his art of juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.viktorkee.com/"} +{"d:Title": "Igor Arefiev", "d:Description": "Renowned for his remarkable feats on the high wire.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://arefiev.net/"} +{"d:Title": "Tuuli Pauliina Rasanen", "d:Description": "A multi-talented circus artist form Finland. She has performed several years as a soloist with Cirque du Soleil. She combines her passion for dance with acrobatics in both of her acts, aerial and acrobatic.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.pauliinarasanen.com/"} +{"d:Title": "Dan Miethke", "d:Description": "Official site of the fire artist of Cirque du Soleil's Zaia.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.sparkfiredance.com/"} +{"d:Title": "Kaleen McKeeman", "d:Description": "Straps artist and main character 'Zaia' of the show Zaia", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://strapiste.com/"} +{"d:Title": "Erika Lemay", "d:Description": "Cirque du Soleil special events performer.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.erikalemay.com/"} +{"d:Title": "Martin Alvez", "d:Description": "Straps artist and backup main character on Zaia", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://www.martinalvez.com/"} +{"d:Title": "Erik Karol", "d:Description": "The vocalist for Cirque Du Soleil's Dralion.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers", "url": "http://erikkarol.com/"} +{"d:Title": "Karl B's Unofficial Fan Page", "d:Description": "About Quidam and Mystere's Karl Baumann.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers/Fan_Pages", "url": "http://www.angelfire.com/celeb2/KarlBfan/"} +{"d:Title": "Chris Lashua Fans", "d:Description": "A mailing list for discussing Quidam's Chris Lashua, who performs on the German Wheel.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers/Fan_Pages", "url": "http://groups.yahoo.com/group/Chris_Lashua_Quidam_Cirquedusoleil_Fans/"} +{"d:Title": "Oleg Ouchakov", "d:Description": "A mailing list for Oleg, who has starred in Quidam and Varekai.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers/Fan_Pages", "url": "http://groups.yahoo.com/group/OlegOuchakov/"} +{"d:Title": "Erik Karol Mailing List", "d:Description": "A busy list discussing Cirque singer Erik Karol, formerly of Dralion.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers/Fan_Pages", "url": "http://groups.yahoo.com/group/erik-karol/"} +{"d:Title": "Arefiev Aerials", "d:Description": "Yahoo! Group dedicated to Igor Arefiev Jr.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Performers/Fan_Pages", "url": "http://groups.yahoo.com/group/ArefievAerials/"} +{"d:Title": "CanadianTheatre.com: Cirque Du Soleil", "d:Description": "A little overview of Cirque from Canadiantheatre.com, including pictures from Dralion.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Resources", "url": "http://www.canadiantheatre.com/dict.pl?term=Cirque+du+Soleil"} +{"d:Title": "Circusnet: Cirque du Soleil", "d:Description": "History, concept, references and shows.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Resources", "url": "http://www.circusnet.info/cirque/circarte/soleil.htm"} +{"d:Title": "AskMen.com: Cirque Du Soleil", "d:Description": "Special feature including information and facts on the entertainment success story.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Resources", "url": "http://www.askmen.com/toys/special_feature/53_special_feature.html"} +{"d:Title": "Artist Direct: Cirque Du Soleil", "d:Description": "Includes a message board and links to buying the CD soundtracks.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Resources", "url": "http://www.artistdirect.com/artist/cirque-du-soleil/415047"} +{"d:Title": "USAGymns.net: Cirque du Soleil", "d:Description": "Reviews of all the Cirque du Soleil videos.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Reviews", "url": "http://www.usgyms.net/cirqe_du_soleil.htm"} +{"d:Title": "Online NewsHour: Cirque du Soleil", "d:Description": "Interview with Guy Laliberte, Viktor Kee, Juliana Neves, Bernard Petiot, and other Cirque employees.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Reviews", "url": "http://www.pbs.org/newshour/bb/entertainment-jan-june01-cirque_03-19/"} +{"d:Title": "The Magic of Alegria", "d:Description": "Site devoted to the Cirque du Soleil production Alegria.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Alegria", "url": "http://www.angelfire.com/la2/cirque/"} +{"d:Title": "Yahoo! Movies: Alegria", "d:Description": "A short review and profile of the movie.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Alegria/Reviews", "url": "http://movies.yahoo.com/movie/alegria/"} +{"d:Title": "Alegr\u00eda (1998)", "d:Description": "An overview of Cirque's movie Alegria, currently showing in Europe.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Alegria/Reviews", "url": "http://www.imdb.com/title/tt0123376/"} +{"d:Title": "Cirque du Soleil: Believe", "d:Description": "Magic and illusions performed by Criss Angel.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Believe", "url": "http://www.cirquedusoleil.com/en/shows/believe/default.aspx"} +{"d:Title": "Cirque du Soleil's Journey of Man", "d:Description": "Official site of the IMAX large format movie.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Journey_of_Man", "url": "http://www.sonyclassics.com/cirquedusoleil/"} +{"d:Title": "Cirque Du Soleil: Journey of Man", "d:Description": "Reviews and information for Cirque Du Soleil: Journey of Man (2000). Starring Ian McKellen, Nicky Dewhurst, Brian Dewhurst, Anait Karagyezyan.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Journey_of_Man/Reviews", "url": "http://www.metacritic.com/movie/cirque-du-soleil-journey-of-man"} +{"d:Title": "CirqueduSoleil.com: Ka", "d:Description": "Official site for the Las Vegas based show, Ka.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Ka", "url": "http://www.cirquedusoleil.com/en/shows/ka/default.aspx"} +{"d:Title": "La Nouba", "d:Description": "Cirque du Soleil presents La Nouba at Downtown Disney's West Side from your Orlando Guide.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/La_Nouba", "url": "http://orlando.about.com/library/weekly/aa011003a.htm"} +{"d:Title": "Cirque du Soleil: Love", "d:Description": "Information on the show which involve the music of The Beatles, the making of, and photos.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Love", "url": "http://www.cirquedusoleil.com/en/shows/love/default.aspx"} +{"d:Title": "EventGuide: Mystere Event Information", "d:Description": "Information on show times and ticket prices.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Myst\u00e8re", "url": "http://las.vegas.eventguide.com/events/e100054.htm"} +{"d:Title": "Cirque du Soleil: Mystere", "d:Description": "Information on the Las Vegas show, music, the movie, as well as photos.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Myst\u00e8re", "url": "http://www.cirquedusoleil.com/en/shows/Mystere/default.aspx"} +{"d:Title": "Cirque du Soleil's Quidam", "d:Description": "An archive or articles from Cirque's time in Florida with Quidam.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Quidam", "url": "http://www.sptimes.com/2002/webspecials02/quidam/"} +{"d:Title": "Cirque du Soleil: Quidam", "d:Description": "Show information, tour dates, and reviews, as well as photos.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Quidam", "url": "http://www.cirquedusoleil.com/en/shows/quidam/default.aspx"} +{"d:Title": "Cirque du Soleil: Varekai", "d:Description": "Information on the show, music, the movie, reviews and photos.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Varekai", "url": "http://www.cirquedusoleil.com/en/shows/varekai/default.aspx"} +{"d:Title": "Zumanity", "d:Description": "Cirque du Soleil's official website for the new show Zumanity, at the New York New York Hotel in Las Vegas.", "topic": "Top/Arts/Performing_Arts/Circus/Circuses/Cirque_Du_Soleil/Shows/Zumanity", "url": "https://www.cirquedusoleil.com/zumanity"} +{"d:Title": "Clown Forum", "d:Description": "Message board, live chat, and links related to children's entertainment, clowning, juggling, magic, ventriloquism, story telling and face painting.", "topic": "Top/Arts/Performing_Arts/Circus/Clowning", "url": "http://www.clown-forum.com/"} +{"d:Title": "Clown Collectibles Forum", "d:Description": "Forum to ask about, buy, or sell vintage clown collectibles.", "topic": "Top/Arts/Performing_Arts/Circus/Clowning", "url": "http://network54.com/Hide/Forum/92126"} +{"d:Title": "Clowns of America International", "d:Description": "A gathering place for serious minded amateurs, semiprofessionals, and professional clowns.", "topic": "Top/Arts/Performing_Arts/Circus/Clowning/Organizations", "url": "http://coai.org/"} +{"d:Title": "International Shrine Clown Association", "d:Description": "Details of the organization, its activities, and events.", "topic": "Top/Arts/Performing_Arts/Circus/Clowning/Organizations", "url": "http://www.shrineclowns.com/"} +{"d:Title": "Peachtree Clown Alley of Atlanta, GA", "d:Description": "Peachtree Clown Alley is a socal club (alley) devoted to Clowns and Clowning in the north and central Atlanta, GA area", "topic": "Top/Arts/Performing_Arts/Circus/Clowning/Organizations", "url": "http://www.peachtreeclownalley.com/"} +{"d:Title": "Limbermen", "d:Description": "Galleries and links about male flexibility.", "topic": "Top/Arts/Performing_Arts/Circus/Contortion", "url": "http://limbermen.com/"} +{"d:Title": "International Contortion Convention", "d:Description": "Contains information about the next ICC as well as media from previous events.", "topic": "Top/Arts/Performing_Arts/Circus/Contortion", "url": "http://www.icc-convention.com/"} +{"d:Title": "The Contortion Home Page", "d:Description": "Dedicated to the art of contortion. Contains a good link collection, photo gallery and a discussion board.", "topic": "Top/Arts/Performing_Arts/Circus/Contortion", "url": "http://www.contortionhomepage.com/"} +{"d:Title": "Contortion International", "d:Description": "A non-profit organization for contortion performers to help with their careers.", "topic": "Top/Arts/Performing_Arts/Circus/Contortion", "url": "http://www.contortion.ca/"} +{"d:Title": "The Contortion Community", "d:Description": "A livejournal community for anything related to flexible people.", "topic": "Top/Arts/Performing_Arts/Circus/Contortion", "url": "http://c0ntortion.livejournal.com/"} +{"d:Title": "The Passing Database", "d:Description": "Provides information and tutorials on many aspects of club passing; including rhythms, feeding, stealing, and other tricks.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling", "url": "http://www.passingdb.com/"} +{"d:Title": "Juggling Information Service", "d:Description": "Lists of jugglers' home pages, picture gallery, general information, and software.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling", "url": "http://www.juggling.org/"} +{"d:Title": "International Jugglers' Association", "d:Description": "Contains an introduction to the work of the IJA as well as contact information.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling", "url": "http://www.juggle.org/"} +{"d:Title": "Juggling.tv", "d:Description": "Worldwide online depository for juggling videos.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling", "url": "http://juggling.tv/"} +{"d:Title": "Juggle Wiki", "d:Description": "Juggle Wiki is a community site about juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling", "url": "http://juggle.wikia.com/wiki/Juggle_Wiki"} +{"d:Title": "Juggling Universe", "d:Description": "An international social network about juggling for jugglers.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling", "url": "http://www.jugglinguniverse.net/"} +{"d:Title": "Juggling Spot", "d:Description": "Tips, tricks, and tutorials about juggling! Jugglers of all skill levels are welcome.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling", "url": "http://jugglingspot.blogspot.com/"} +{"d:Title": "Yahoo! Clubs: Contact Juggling", "d:Description": "A message forum.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Contact_Juggling", "url": "http://groups.yahoo.com/group/contactjuggling/"} +{"d:Title": "Patri's Contact Juggling", "d:Description": "Explanation of, how to, and links to related pages.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Contact_Juggling", "url": "http://patrifriedman.com/CJ/contact_juggling.html"} +{"d:Title": "Meghan's Contact Juggling", "d:Description": "Basic tricks, articles and contact juggling beginners lessons.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Contact_Juggling", "url": "http://www.angelfire.com/mn2/StreetTheatre/contactindex.html"} +{"d:Title": "Contach Juggling Workshop", "d:Description": "Detailed contact juggling tutorials and workshops with videos and personal help.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Contact_Juggling", "url": "http://didier.arlabosse.free.fr/balles/english/index.html"} +{"d:Title": "Wikipedia: Contact Juggling", "d:Description": "Encyclopedia article describing contact juggling, history and links", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Contact_Juggling", "url": "http://en.wikipedia.org/wiki/Contact_juggling"} +{"d:Title": "The Ministry of Manipulation", "d:Description": "Contact juggling and manipulation site with an active weblog and videos. Home of several performers from the 'In Isolation' DVD.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Contact_Juggling", "url": "http://www.ministryofmanipulation.com/"} +{"d:Title": "ContactJugglingDotCom", "d:Description": "Features tips, articles, and video tutorials, photos, FAQ, performace guides galleries and related links.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Contact_Juggling", "url": "http://www.contactjuggling.com/"} +{"d:Title": "Circulum e.V.", "d:Description": "The homepage if the juggling club that organizes the Berlin Juggling Convention.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Conventions", "url": "http://www.circulum.de/"} +{"d:Title": "EJC 2009", "d:Description": "Ejc 2009. European Juggling Convection Vitoria Gasteiz", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Conventions", "url": "http://ejc2009.org/"} +{"d:Title": "EJC 2012", "d:Description": "35th European Juggling Convention Lublin, Poland 28.07-05.08.2012", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Conventions", "url": "http://ejc2012.org/"} +{"d:Title": "BJC 2013", "d:Description": "The 26th British Juggling Convention.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Conventions", "url": "http://www.bjc2013.co.uk/"} +{"d:Title": "IJA Festival 2013", "d:Description": "The 66th Annual International Jugglers\u2019 Association Festival will be held in Bowling Green, Ohio.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Conventions", "url": "http://www.juggle.org/ija/festival/"} +{"d:Title": "IJC 2013", "d:Description": "Home of the Israeli Juggling Convention 2013.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Conventions", "url": "http://ijc.co.il/Convention/ijc20/category/home/"} +{"d:Title": "World Juggling Day", "d:Description": "A convention near Ambleside.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Conventions", "url": "http://www.worldjugglingday.co.uk/"} +{"d:Title": "Yahoo Group: Devil Stick Forum", "d:Description": "Mailing list devoted to those who practice this art.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Devil_Stick", "url": "http://groups.yahoo.com/group/DevilStickForum/"} +{"d:Title": "Devilstick.de", "d:Description": "Contains information about devilsticks, including animations, trick descriptions, and a trick database.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Devil_Stick", "url": "http://www.devilstick.de/english/"} +{"d:Title": "Stixguru.com", "d:Description": "Over 100 videos of devilstick tricks for all levels of play. Also offers a video and sticks for sale.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Devil_Stick", "url": "http://www.stixguru.com/"} +{"d:Title": "Debbie's Chinese Juggling Sticks", "d:Description": "Explanation of and how to make them.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Devil_Stick", "url": "http://crafts.kaboose.com/chinese-juggling-sticks.html"} +{"d:Title": "Seth and Devil Sticks", "d:Description": "Notation, instructions for making fire handsticks, comparison of different devil sticks, and links.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Devil_Stick", "url": "http://www.sethoscope.net/devil-stick/"} +{"d:Title": "DiaboloTricks.com", "d:Description": "Over 50 single and double diabolo tricks, tricks for three diabolos, animated tricks, and links to related sites.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Diabolos", "url": "http://www.diabolotricks.com/"} +{"d:Title": "Diabolo Trix", "d:Description": "Description of basic through advanced tricks and a message board.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Diabolos", "url": "http://www.insane-circus.freewebspace.com/"} +{"d:Title": "Two Diabolos", "d:Description": "Tips on how to begin, glossary of tricks, movies of tricks, and two diabolo tricks.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Diabolos", "url": "http://2diabolo.net/"} +{"d:Title": "Diabolo.ca", "d:Description": "Contains extensive information about diabolos and the art and fun of spinning these, as well as a discussion forum.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Diabolos", "url": "http://diabolo.ca/"} +{"d:Title": "Diabolo Tricks", "d:Description": "A collection of beginner to intermediate level tricks, taught by an anonymous artist from the UK.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Diabolos", "url": "http://www.diabolotricks.co.uk/"} +{"d:Title": "The Planet Diabolo Project", "d:Description": "Project information and funding page for a new worldwide Diabolo DVD.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Diabolos", "url": "http://planet-diabolo.com/"} +{"d:Title": "Learn to Juggle", "d:Description": "Animated instructions shows you how to juggle 3 and 4 balls.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/FAQs,_Help,_and_Tutorials", "url": "http://www.frontiernet.net/~steve_glimpse/juggle.html"} +{"d:Title": "Pop! the Klown", "d:Description": "Includes a three-ball beginners' tutorial, with animated gifs showing each stage.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/FAQs,_Help,_and_Tutorials", "url": "http://koe.50megs.com/"} +{"d:Title": "Zonglowanie-zbior informacji", "d:Description": "Polish site about juggling. Strona o zonglowaniu, wile trikow: zonglerka pileczkami, zonglerka kontaktowa,bounce juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/FAQs,_Help,_and_Tutorials", "url": "http://www.zonglowanie.vixo.pl/"} +{"d:Title": "How To Balance A Juggling Club On Your Chin", "d:Description": "A tutorial on how to become proficient at balancing a juggling club on your chin.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/FAQs,_Help,_and_Tutorials", "url": "http://www.thonky.com/how-to/balance-juggling-club-chin/"} +{"d:Title": "Indian Clubs", "d:Description": "An article on the history and origin.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/History_and_Collecting", "url": "http://ejmas.com/pt/ptart_treat_0501.htm"} +{"d:Title": "The Museum of Juggling", "d:Description": "History, greatest jugglers, pictures, movies, and juggling in different cultures.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/History_and_Collecting", "url": "http://www.juggling.org/museum/"} +{"d:Title": "Pa-li-tchi", "d:Description": "The power and the art of the Fire. Videos and photos from shows, and information about the group.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://fireshow.palitchi.org/"} +{"d:Title": "Lumen", "d:Description": "A mix between live music, dance, martial arts, fire and light juggling. [English/French]", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.lumen.ch/"} +{"d:Title": "Andrew Van Buren", "d:Description": "Specialist in spinning plates, juggling, unicycling and magic illusions.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.vanburen.org.uk/"} +{"d:Title": "Comedy Jugglers", "d:Description": "Phillippe-Philloppe, clowns, jugglers and stilt walkers providing entertainment for all sorts of events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.comedyjugglers.co.uk/"} +{"d:Title": "Earthbound Misfits", "d:Description": "Providing mobile circus skills workshops in North England. They also provide comedy juggling performances to all.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.earthboundmisfits.co.uk/"} +{"d:Title": "Eclipsearts", "d:Description": "Show includes ultra violet and glo juggling with tribal belly dancing Also provides face painting and Circus workshops for schools, events and parties.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://eclipsearts.com/"} +{"d:Title": "Jugglers UK Entertainment Agency", "d:Description": "This site contains artists who are professional entertainers specializing in juggling, circus performance and related skills such as stiltwalking.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglers.uk.com/"} +{"d:Title": "Katchit, Kris", "d:Description": "Stilt walking juggler and street performer from the UK.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.streetentertainer.co.uk/"} +{"d:Title": "Matt Blossom.com", "d:Description": "Site of British comedy juggler and escapologist Matt Blossom.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.mattblossom.com/"} +{"d:Title": "Robert Strong", "d:Description": "A comedy magician from San Francisco, California who creates original performance material for private and corporate events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.strongentertainment.com/"} +{"d:Title": "Zeemo Productions", "d:Description": "His comedy juggling and magic revue can be performed in any venue. Available to groups in Michigan and Ohio.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.zeemoshows.com/"} +{"d:Title": "James Jay", "d:Description": "A professional juggler whose signature act, the Juggling Jukebox, includes a high-tech version which ties Jay's juggling motions to interactive electronic music.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jamesjay.com/juggling/index.html"} +{"d:Title": "Ken Schultz The Flying Fool", "d:Description": "A promotional site for the comedy Juggler.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.flyingfool.com/"} +{"d:Title": "Mark Nizer", "d:Description": "This world champion juggler has thrilled audiences around the world. Juggling propane gas tanks, lasers, even 5 ping pong balls using only his mouth.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://nizer.com/"} +{"d:Title": "The Merry Mary Show", "d:Description": "Biography, resume and booking information for the amazing juggling-pantomime-pole-walking lady.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.merriemary.com/"} +{"d:Title": "Greg Bennick", "d:Description": "Combines juggling with comedy, and performs for corporate events, fairs, festivals, and other functions across North America.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.gregbennick.com/"} +{"d:Title": "Mad Chad Taylor", "d:Description": "This chainsaw juggler has appeared on the Tonight Show with Jay Leno. Chad regularly performs his one hour show around the country.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.madchadtaylor.com/"} +{"d:Title": "Jeff Taveggia's Home Page", "d:Description": "Includes schedule, biography, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jeffthejuggler.com/"} +{"d:Title": "Nathan's Crazy Tie Guy Juggling Ministry", "d:Description": "Nathan uses his juggling skills to captivate, entertain and to share powerful messages. He juggles in churches, schools, malls etc. He is a champion juggler and minister.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://crazytieguy.com/"} +{"d:Title": "Aydar Rakhmatullin", "d:Description": "A unique juggling performance, in which he manipulates a large cube on stage. Site contains videos, resume, and tour dates.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.cubefx.com/index.htm"} +{"d:Title": "Joe The Juggler", "d:Description": "A technically skilled entertainer, with a high energy show that is loaded with laughs.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.joethejuggler.com/"} +{"d:Title": "Will Shaw", "d:Description": "Comic juggler and variety entertainer, perfect for corporate and family audiences.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.willshaw.com/"} +{"d:Title": "Jack Kalvan", "d:Description": "Unique comedy variety show. Winner of three gold medals from the International Jugglers Association.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.kalvan.net/"} +{"d:Title": "The Funny Guy", "d:Description": "Paul Hadfield presents three zany shows incorporating juggling, unicycling, and physical comedy.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.thefunnyguy.com/"} +{"d:Title": "Will Juggle For Funz", "d:Description": "Juggling entertainment with family values, whether strolling or on stage. A variety of circus arts and magical skills.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.wejuggle2.com/"} +{"d:Title": "The Give and Take Jugglers", "d:Description": "A troupe of professional entertainers from Philadelphia, PA with a reputation for friendliness and versatility, who present an old-fashioned vaudeville variety act with lots of comedy and audience involvement.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglers.com/"} +{"d:Title": "Charlie Frye&Company", "d:Description": "Internationally renowned variety artist specializing in juggling, magic, and physical comedy. Voted Specialty Act of the Year in Las Vegas.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://charliefrye.com/"} +{"d:Title": "Anthony Gatto", "d:Description": "Performing juggling since the age of four, Gatto has appeared world-wide. Site contains photos, videos, records and performance schedule.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.anthonygatto.com/"} +{"d:Title": "The Butterfly Man", "d:Description": "Robert Nelson's Butterfly Man has made people laugh for over 20 years through his juggling, comedy and personality.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.butterflyman.com/"} +{"d:Title": "Jason Garfield", "d:Description": "The bad boy of juggling and previous IJA competitor's site includes videos, rules of life and FAQs.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jasongarfield.com/"} +{"d:Title": "David Cousin, Juggler", "d:Description": "A professional juggler and holds 5 world records in juggling. He has performed for both children and adults since 1980. David's comedy juggling show consists of audience involvement, interaction and music.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglerdavidcousin.com/"} +{"d:Title": "The Juggling Hoffmans", "d:Description": "A husband and wife comedy juggling team providing strolling and stage entertainment for corporate, private and community events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglinghoffmans.com/"} +{"d:Title": "The Gizmo Guys", "d:Description": "Comedy jugglers Allan Jacobs and Barrett Felker have entertained the world and have appeared before over a million people in more than 2000 live performances as well as countless others on television.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.thegizmoguys.com/"} +{"d:Title": "Andy Martello", "d:Description": "Comic talent, fire-eating, plate-spinning, juggling. Chicago, Illinois.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.andymartello.com/"} +{"d:Title": "Juggler Dave", "d:Description": "Cincinnati, Ohio Juggler Dave Willacker specializes in juggling, stilt walking, balloon animals and acting.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglerdave.com/"} +{"d:Title": "Greg Frisbee", "d:Description": "Comedy juggling and variety.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.frisbeeshow.com/"} +{"d:Title": "The Handsome Little Devils", "d:Description": "Hilarious and innovative, this duo puts on a fun show for all ages.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.handsomelittledevils.com/"} +{"d:Title": "Marty Coffey", "d:Description": "Marty performs spectacular juggling, fire eating and death defying feats. Direct from Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.martycoffey.com.au/"} +{"d:Title": "Sergey Ignatov", "d:Description": "A professional juggler, tap dancer, and magician.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.cubefx.com/ignatov/"} +{"d:Title": "Noelle Franco", "d:Description": "Site showcases the talents of Noelle Franco. Contains biographical information, photographs, resumes and contact information.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.noellefranco.com/"} +{"d:Title": "Adam Zeisler", "d:Description": "A juggler/variety entertainer for 20 years. Adam Zeisler's explorations into juggling, physical comedy, dance, circus, and magic have led him to conclude he has dropped more than most people will ever pick up.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.downtownatlantis.com/"} +{"d:Title": "Karamazov Brothers, Flying", "d:Description": "Tour dates and information about those zany juggling performers.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.fkb.com/"} +{"d:Title": "Greg Kennedy, Innovative Juggler", "d:Description": "One of the country's most skilled and creative performers, Greg is a two-time gold medalist of the International Jugglers Association Championships. Best known for his original shape manipulations, he is also a world-traveled entertainer for cruise ships, resorts, and corporate events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.innovativejuggler.com/"} +{"d:Title": "Daniel World", "d:Description": "Comedian Daniel Rosen's comedy and juggling information.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.danielrosen.org/"} +{"d:Title": "The Juggling Magician", "d:Description": "Professional juggler/magician based in the New Jersey area. Site has photos and show descriptions.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglersareus.com/"} +{"d:Title": "Fly by Night Comedy Juggling", "d:Description": "Stephen King and Ryan Dekoe of Fly by Night have been performing their zany show for over 14 years. This dynamic juggling duo combines technical skill with a fantastic flare for comedy.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglethis.com/"} +{"d:Title": "The Ben Show", "d:Description": "A high energy show that is a mix of stunts and comedy. Corporate shows, festivals and other special events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://thebenshow.com/"} +{"d:Title": "The Jester Jim Show", "d:Description": "Comedy juggling and magic is the name of the game for this NY Juggler. Jester Jim has entertained thousands of people with his hilarious self-titled show.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jesterjim.com/"} +{"d:Title": "Flow Circus", "d:Description": "Promoting active learning and play through the juggling arts. Specializing in family variety performance for festivals and hands on programming for young adults at libraries, after school programs, and family events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.flowcircus.com/"} +{"d:Title": "JohnParkComedy", "d:Description": "John Park provides comedy entertainment as a comedy juggler or as the Funny Waiter.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://johnparkcomedy.com/"} +{"d:Title": "Team Rootberry", "d:Description": "Offers corporate entertainment available anywhere.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.rootberry.com/"} +{"d:Title": "Juggling By Jonathan (Perry)", "d:Description": "Information on performances, shows, classes and custom entertainment by Jonathan Perry. Pictures and references are also included.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglah.com/"} +{"d:Title": "Cosmos Jugglers", "d:Description": "Specialists in performing with fire and glow-in-the-dark juggling props under UV black light. \"Modern Club Swinging and Pole Spinning\" book available for free download.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.semlyen.net/cosmosjugglers/welcome.htm"} +{"d:Title": "The Space Painter", "d:Description": "Tom Sparough, The Space Painter storyteller and juggler.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.spacepainter.com/"} +{"d:Title": "Juggling with a Twist", "d:Description": "An alternative way of juggling and dance using either fire or glowstick twisters to dance music as an art form. Nunchaku, Poi, tips, photos, fire videos and links.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglingwithatwist.com/"} +{"d:Title": "Mindspinners", "d:Description": "Fire performance consists of juggling and passing with torches, swinging with poi and torches, devilsticks, longsticks, fire spitting and several effects.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.mindspinners.at/"} +{"d:Title": "Galen Harp, Jugglologist", "d:Description": "Where the science of jugglology meets the art of theatrical performance. Whether it is schools, festivals, or private parties Galen Harp has a show to make your event memorable.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglology.com/"} +{"d:Title": "Bruce Manners", "d:Description": "Provides entertainment for private, corporate and public events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.brucemanners.com/"} +{"d:Title": "Craig Douglas, Comic Juggler", "d:Description": "Comic juggler Craig Douglas provides entertainment for family and corporate events in Canada.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.comicjuggler.com/"} +{"d:Title": "Jeff Lovett", "d:Description": "Florida based family entertainer. Show descriptions and contact info.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jefflovettjuggler.com/"} +{"d:Title": "Circus Jonathan", "d:Description": "One Man Circus Show, juggler, equilibrist, balloon sculptor and comic event attraction has performed from Hong Kong to Halifax, and Whistler to Wonderland. Stunt Clown from the film Billy Madison.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.circusjonathan.com/"} +{"d:Title": "Feeding the Fish Juggling Performance", "d:Description": "State of the Art juggling show. World class jugglers perform with the most advanced electronic illuminated props ever designed. Extremely visual and full of colour, energy and technique.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.feedingthefish.com/"} +{"d:Title": "Olga and Vova", "d:Description": "News, videos and pictures of a young brother and sister juggling team.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.galchenko.com/"} +{"d:Title": "Kasper Jensen", "d:Description": "Juggling, mime, shows, video, fire and comedy.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.newcircus.dk/"} +{"d:Title": "Jeremy Ansley", "d:Description": "Freelance Juggler - originally from Auckland New Zealand, has been juggling for 14 years and is currently based out of Sydney Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://jeremyansley.com/"} +{"d:Title": "Scott Seltzer", "d:Description": "My juggling history, juggling performances, juggling goals and achievements, juggling essays, and juggling links.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.juggler.co.il/"} +{"d:Title": "Bobarino Gravittini", "d:Description": "Audiences around the world Love Bobarinos comedy show.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.bobarino.com/"} +{"d:Title": "The Keith Show", "d:Description": "Comedy, juggling, and audience participation. Watch video clips. Learn to juggle.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.keithshow.com/"} +{"d:Title": "Scot Nery", "d:Description": "An untraditional juggler. Bucket juggling, duct tape yo-yo and pancake flipping.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglegood.com/"} +{"d:Title": "Gandini Juggling Project", "d:Description": "Cutting edge company supplies jugglers to all aspects of the entertainment industry, while creating new patterns, ideas and routines.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.gandinijuggling.com/"} +{"d:Title": "Suspenders Juggling Troupe", "d:Description": "Juggling performers located in Falmouth, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.cape-vision.com/wayg/sus/"} +{"d:Title": "Criss Cross the Juggler", "d:Description": "A versatile performer from New England who combines technical skill with charming and wholesome humor.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.cape-vision.com/wayg/crisscross/"} +{"d:Title": "Bryan Wendling", "d:Description": "Comedy juggling with energy.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.brianwendling.com/"} +{"d:Title": "Tricks of the Trade", "d:Description": "Site of Philip DePalo, a comic juggler. Biography, performance details, video clips, and merchandise.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.philipdepalo.com/"} +{"d:Title": "Luke Burrage", "d:Description": "Includes juggling podcasts, video clips of routines, show diary, and biography.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.lukeburrage.com/"} +{"d:Title": "Keith the Leaf", "d:Description": "Comic fire juggling, dancing, and manipulation performances. Includes biography and details of the shows.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.firejuggler.org/"} +{"d:Title": "Fiasco Sideshow", "d:Description": "Brent juggles fire. Brent O'Connell's site offering unique entertainment.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.fiascosideshow.com/"} +{"d:Title": "Jeffrey Daymont", "d:Description": "Performs juggling and hilarious comedy for stage, corporate, and private events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.daymont.com/"} +{"d:Title": "PSUjuggler", "d:Description": "Videos and complex contact juggling trick instructions", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://psujuggler.tripod.com/vids/index.html"} +{"d:Title": "The Jim Show", "d:Description": "Jim is a Boston based comedy juggler traveling the world performing.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.thejimshow.com/"} +{"d:Title": "Tim Nolan", "d:Description": "A professional juggler and basketball juggler.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.timnolan.net/"} +{"d:Title": "DoubleTake", "d:Description": "The german-canadian juggling duo offers a wide variety of entertainment. They offer a juggling-show suitable for events like trade-shows, cruiseships, festivals and variety-style performances.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.doubletakejuggling.com/"} +{"d:Title": "Dana Smith", "d:Description": "Details of his juggling shows, with history and reviews.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.danasmith.com/"} +{"d:Title": "Juggle Whatever", "d:Description": "Monica teaches juggling in the Midwest&is based in Oklahoma.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglewhatever.com/"} +{"d:Title": "Laura Ernst", "d:Description": "Laura Ernst specializes in juggling, aerial silks and flood light poi.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.cutejuggler.com/"} +{"d:Title": "Jason Kollum", "d:Description": "Juggling and variety entertainer.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jasonvarietyshow.com/"} +{"d:Title": "Lindsay Istace", "d:Description": "A performance artist who specializes in the circus arts, especially in contact juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.lindsayistace.com/"} +{"d:Title": "The great Gappo", "d:Description": "He can offer you a fun show for the whole family.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.thegreatgappo.co.uk/"} +{"d:Title": "Tom Stevens", "d:Description": "Magic and juggling shows around Melbourne for all events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://tomstevens.com.au/"} +{"d:Title": "Dale Jones Entertainment", "d:Description": "Dale Jones, One-Handed Comedy Juggler, performs world-class comedy while showing off his juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.dalejones.com/"} +{"d:Title": "Simon West", "d:Description": "Simon West is a juggler based in Queenstown New Zealand.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://simon.west.geek.nz/"} +{"d:Title": "Steve Russell", "d:Description": "Specializing in corporate comedy entertainment. Clean comedy, juggling, bed of nails.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://steverusselljuggles.com/"} +{"d:Title": "James Reid", "d:Description": "James is a juggler presenting entertainment in Phoenix, Arizona, and across the country.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jrjuggler.com/"} +{"d:Title": "Henry the Juggler", "d:Description": "For defying the laws of gravity, Henry Lappen is wanted - by audiences everywhere.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.henrylappen.com/wantedpage.html"} +{"d:Title": "Zooted", "d:Description": "Zooted provide a wide variety of circus skills based entertainment.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.zooted.co.uk/"} +{"d:Title": "It's Him Entertainment", "d:Description": "Site for Nigel Roder otherwise known as Kester the Jester. A juggler with multiple skills such as magic, stilts, fire breathing and balloon modelling.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://kesterthejester.com/"} +{"d:Title": "Kevin Fletcher", "d:Description": "Professional juggler and unicyclist, performing all juggling skills, including knives, fire, on a variety of unicycles from small to 6 ft. high to the eccentric wheel unicycle.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.biddendenkent.co.uk/WEBSITES/TOPPER/"} +{"d:Title": "Ville Walo", "d:Description": "Finnish juggling performer.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://w-h-s.fi/"} +{"d:Title": "Jochen Voss - Juggling", "d:Description": "Personal page, including details of the mathematics of juggling, and ladder diagrams for a rangs of two-person passing patterns", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.seehuhn.de/pages/juggling"} +{"d:Title": "Juggle It All", "d:Description": "Juggling and performance services based in Kent.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.juggleitall.org/"} +{"d:Title": "Brad Weston", "d:Description": "It's a non-stop theatrical thrill ride...on a unicycle... in a strait jacket.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.bradweston.com/"} +{"d:Title": "James Bustar", "d:Description": "James is available for bookings Australia wide. He offers a High Energy Comedy and Juggling Show suited for all ages and events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jugglingcomedian.com/"} +{"d:Title": "Slick Jugglers", "d:Description": "Juggler David Slick performs comedy routines with juggling, magic, unicycles, stilts, and fire stunts.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.slickjugglers.com/"} +{"d:Title": "Dreams of Fire Productions", "d:Description": "Stage show production company and International performer placement Agency. Entertainment for all occasions - specialists in Fire art performance and drumming", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.dreams-of-fire.co.za/"} +{"d:Title": "Chris Marley", "d:Description": "A speciality juggler and circus artist performing all over the UK and internationally.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://chrismarleyjuggling.co.uk/"} +{"d:Title": "Michael Goudeau", "d:Description": "Michael Goudeau is a professional Las Vegas juggler and head writer for Penn&Teller's BS! tv show.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.mikegoudeau.com/"} +{"d:Title": "Randy Cabral", "d:Description": "Orlando Florida based comedy juggler Randy Cabral has been seen on The Tonight Show and provides corporate entertainment worldwide.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://randyjuggler.com/"} +{"d:Title": "Gravitational Bull Juggling", "d:Description": "Family entertainment in the Western New York area. Providing juggling, comedy, balloon animals, music and educational programs.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.gravitationalbull.com/"} +{"d:Title": "Mark Angelo - Comedy in High Definition", "d:Description": "Comedy, juggling and high technology. Mark Angelo Peachock and Marlo perform locally and nationally for private and corporate events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.comedyinhd.com/"} +{"d:Title": "Jennifer Slaw", "d:Description": "Artistic juggling. Speaker suitable for team building events, corporate entertainment, meetings, conferences and trade shows. Available for private instruction. New York, NY.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Jugglers", "url": "http://www.jenslawspeaks.com/"} +{"d:Title": "Floating on Quiddity", "d:Description": "Linear guides to fire poi, fire staff, club swinging and whips. Many references to books, videos and equipment.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Meteors_and_Poi", "url": "http://www.blanketfort.com/juggling/"} +{"d:Title": "Poi Meetup", "d:Description": "Meetup with others who enjoy Poi as a hobby.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Meteors_and_Poi", "url": "http://poi.meetup.com/"} +{"d:Title": "Play Poi", "d:Description": "Poi spinning and fire dancing photos, videos, lessons and performances. Located in Vancouver, BC, Canada.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Meteors_and_Poi", "url": "http://www.playpoi.com/"} +{"d:Title": "Firechains", "d:Description": "Well animated lessons, information on fuels, photography, fire safety and construction guides.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Meteors_and_Poi", "url": "http://reocities.com/Baja/outback/3100/index.html"} +{"d:Title": "SpinPoi", "d:Description": "Poi spinning classes, equipment, and performances. Located in Chicago, Illinois, USA.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Meteors_and_Poi", "url": "http://www.spinpoi.com/"} +{"d:Title": "Juggling Drops and Descents", "d:Description": "Complex math and applications to juggling patterns. A joint research by Joe Buhler of Reed College and Ron Graham of AT&T Bell Labs.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Notation_and_Theory", "url": "http://www.cecm.sfu.ca/organics/papers/buhler/"} +{"d:Title": "Introduction to SiteSwaps", "d:Description": "A concise introduction to the written juggling notation called SiteSwaps.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Notation_and_Theory", "url": "http://www.cix.co.uk/~solipsys/new/SiteSwap.html"} +{"d:Title": "Siteswap FAQ", "d:Description": "Provides answers to common questions about siteswap notation.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Notation_and_Theory", "url": "http://www.juggling.org/help/siteswap/faq.html"} +{"d:Title": "Swiss Juggling Association", "d:Description": "Information for jugglers living and visiting Switzerland.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations", "url": "http://www.juggling.ch/"} +{"d:Title": "International Jugglers' Association", "d:Description": "A juggling association for jugglers from all over the world.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations", "url": "http://www.juggle.org/"} +{"d:Title": "European Juggling Association", "d:Description": "The EJA is a juggling association for people located in Europe.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations", "url": "http://eja.net/"} +{"d:Title": "The World Juggling Federation", "d:Description": "Juggling organization dedicated to promoting the sport of juggling to a worldwide audience.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations", "url": "http://www.thewjf.com/"} +{"d:Title": "Jewish Jugglers", "d:Description": "A resource for Jewish jugglers.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations", "url": "http://www.juggler.co.il/jews/"} +{"d:Title": "Juggling in Stockholm", "d:Description": "Regularly updated information about juggling in the Swedish capital.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.juggling.org/~stockholm/"} +{"d:Title": "The Bluenose Jugglers", "d:Description": "Nova Scotia juggling club that also does other circus arts.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.chebucto.ns.ca/Culture/BluenoseJugglers/bluenose.html"} +{"d:Title": "Narberth Juggling Club", "d:Description": "Pembrokeshire, Wales, UK.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.juggling.org/~neil.roberts@lineone.net/juggling.htm"} +{"d:Title": "Baltimore Jugglers Association", "d:Description": "Group of casual and professional jugglers with weekly meetings.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.baltjugglers.com/"} +{"d:Title": "Tallahassee, FL Juggling Club", "d:Description": "The Tallahassee Club meets at Lofty Pursuits 3pm Saturdays.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.loftypursuits.com/"} +{"d:Title": "Jugheads Youth Juggling Company", "d:Description": "Youth juggling club in Edina, Minnesota. Over 60 active jugglers ages 9-14.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.jugheads.com/"} +{"d:Title": "Ann Arbor Juggling Arts Club", "d:Description": "Local juggling club of Ann Arbor, Michigan. Beginners are welcome at all meetings.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.umich.edu/~juggle/"} +{"d:Title": "Random Catch Alameda", "d:Description": "Juggling club in Alameda California. Site has photos, links and a calendar of upcoming events.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://groups.yahoo.com/group/random_catch/"} +{"d:Title": "Coulee Region Jugglers", "d:Description": "Juggling tricks, instructions for making balls and beanbags, club records, and local performing troupe information for this group that meets in La Crosse, Wisconsin.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.jugglingpoet.com/crju/"} +{"d:Title": "Motor City Juggling Club", "d:Description": "Club announcements, meeting details, membership information, and photographs. Located in Detroit, USA.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.mcjugglers.org/"} +{"d:Title": "Atlanta Jugglers Association", "d:Description": "Meets most Tuesday and Thursday nights at the Little Five Points Community Center.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.atlantajugglers.org/"} +{"d:Title": "Bamboozle Juggling", "d:Description": "Juggling and circus skills club. Includes photo gallery and details of meetings. Based in Hitchen, Hertfordhsire, UK.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://bam.boozle.me.uk/"} +{"d:Title": "Rubber City Jugglers", "d:Description": "Northeastern Ohio's oldest juggling organization. Details of the weekly meetings, contact for local performers, and information about the annual 'SIRCUS' festival.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.rubbercityjugglers.com/"} +{"d:Title": "Knoxville Juggling Club", "d:Description": "A friendly crowd of jugglers that meets in Knoxville, Tennessee. Details of meetings and juggling links.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://personalpages.tds.net/~douglas.r.reed/"} +{"d:Title": "The Royal Tunbridge Wells Juggling Club", "d:Description": "Meets at Camden Centre in Tunbridge Wells, Kent, England. News, reviews, articles, stories, member's fact files, photographs, and weekly diary. Also features tutorial section for 3 ball juggling and diabolo tricks.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.twjc.co.uk/"} +{"d:Title": "Circle City Jugglers", "d:Description": "A group for juggling and related interests with open juggling meetings weekly, year round.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.circlecityjugglers.com/"} +{"d:Title": "Music City Jugglers", "d:Description": "Nashville Tennessee informal juggling club that usually meets once a week.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://musiccityjugglers.com/"} +{"d:Title": "York Jugglers", "d:Description": "York's juggling club, meets every week to learn and practise juggling and other circus skills. Everyone welcome.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.yorkjugglers.org/"} +{"d:Title": "Newtown Jugglers", "d:Description": "The Newtown Juggling Club is the longest running club in Sydney, Australia", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "https://www.facebook.com/NewtownJugglers"} +{"d:Title": "Rhythm and Balls Juggling Club", "d:Description": "Based in Romford, England. Members include professional magicians and jugglers but also enthusiasts.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://www.rhythmandballs.co.uk/"} +{"d:Title": "Stockton Unicycle&Juggling Club", "d:Description": "Juggling club based in Stockton, UK. Meets weekly for juggling, unicycling and Unicycle Hockey.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs", "url": "http://justonline.org.uk/"} +{"d:Title": "Gravity Vomit", "d:Description": "Bath University juggling club. \"Gravity pulls down, We throw up.\"", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.bath.ac.uk/~su4jugle/"} +{"d:Title": "Harvard-Radcliffe Juggling Club", "d:Description": "Boston area juggling club has photos, links and juggling videos available for download.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.hcs.harvard.edu/~juggling/"} +{"d:Title": "The Caltech Juggling Club", "d:Description": "Meets Monday, Wednesday, and Friday from 4 to 6 pm on the Caltech campus. Maps and directions available on the site.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.its.caltech.edu/~juggling/"} +{"d:Title": "Jugglesoc", "d:Description": "University of York Juggling Club.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www-users.york.ac.uk/~socs216/"} +{"d:Title": "McMaster Juggling Club", "d:Description": "McMaster University's Object Relocation and Manipulation Society.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.juggling.org/~McMaster/"} +{"d:Title": "Stanford Court Jugglers", "d:Description": "Stanford University juggling club.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www-cs-staff.stanford.edu/~me/juggle/scj.html"} +{"d:Title": "University of New Hampshire Juggling Club", "d:Description": "Jugglers of all skill levels welcome.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.unh.edu/juggling-club/"} +{"d:Title": "University of Kent at Canterbury Juggling Society", "d:Description": "Juggling club.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.juggling.org/~ukrthop@prl.philips.co.uk/"} +{"d:Title": "Purdue University Juggling and Unicycling Club", "d:Description": "General information, members, photographs, events, contact details, and links.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://web.ics.purdue.edu/~juggle/"} +{"d:Title": "The Whitman College Juggling Club", "d:Description": "Includes information about the club, details of its meetings, and a photo gallery. [requires flash]", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.whitman.edu/jugglingclub/"} +{"d:Title": "Texas Juggling Society", "d:Description": "University of Texas is devoted to the practice, study, and continued appreciation of any art form dealing with the manipulation of an object or series of objects.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.juggling.place.org/"} +{"d:Title": "Berkeley Juggling Club", "d:Description": "The Berkeley Juggling Club is a student organization at the University of California at Berkeley.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.berkeleyjuggling.org/"} +{"d:Title": "BALLS! - The UCL Union Juggling Society", "d:Description": "The University College London juggling society. Meeting information, contact details, pointers to juggling information.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://www.ucl.ac.uk/~uczxbal/"} +{"d:Title": "Missouri University of Science and Technology Juggling Club", "d:Description": "The club meets every Monday, Wednesday, and Friday from 3:30 until 4:30 at the puck.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://web.mst.edu/~juggle/"} +{"d:Title": "RPI Juggling Club", "d:Description": "Includes pictures and club information.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://juggling.union.rpi.edu/"} +{"d:Title": "Cambridge University Jugglers' Association", "d:Description": "Details of weekly meetings, other activities, juggling information, hints, tips and photos.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://cuja.soc.srcf.net/"} +{"d:Title": "Queen's Juggling Club", "d:Description": "A juggling club based out of Queen's University, Kingston, Ontario, Canada but open to anyone who wants to juggle.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Organizations/Clubs/College_and_University", "url": "http://kingstonjugglers.club/"} +{"d:Title": "Wikipedia: Pen Spinning", "d:Description": "Encyclopedia resource on pen spinning, related disciplines, history, tricks and variations", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Pen_Spinning", "url": "http://en.wikipedia.org/wiki/Pen_Spinning"} +{"d:Title": "Siteswap", "d:Description": "This applet animates juggling tricks (so called siteswaps) with 3d graphics. It does not use the Java3d API.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://www.siteswap.net/"} +{"d:Title": "Juggle Saver", "d:Description": "3D animated juggling screensaver for Windows PCs.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://www.jugglesaver.co.uk/"} +{"d:Title": "Jongl", "d:Description": "Multi-platform 3D animation including passing patterns with several jugglers. Includes specifications, screen-shots and sample animations, program download, and FAQs.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://www.jongl.de/"} +{"d:Title": "Juggling Lab", "d:Description": "Java animator developed from JuggleAnim. Includes documentation, online applets, and stand-alone download. Free for non-commercial use.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://jugglinglab.sourceforge.net/"} +{"d:Title": "Realtime Juggler", "d:Description": "Interactive Java applet. Enter siteswap numbers in real time and watch them being juggled.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://realtimejuggler.mur.at/"} +{"d:Title": "The Bean Bag Strikes Back", "d:Description": "Juggling screensaver, and iPhone juggling simulator.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://www.dotsphinx.com/juggling/beanbagstrikesback/"} +{"d:Title": "JuggleMaster Pro", "d:Description": "Juggling software. Development discontinued in 2011.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://jugglemaster.free.fr/"} +{"d:Title": "JoePass!", "d:Description": "A siteswap animation program.", "topic": "Top/Arts/Performing_Arts/Circus/Juggling/Software", "url": "http://koelnvention.de/w/?page_id=151"} +{"d:Title": "American Youth Circus Organization", "d:Description": "Promoting the participation of youth in circus arts.", "topic": "Top/Arts/Performing_Arts/Circus/Organizations", "url": "http://www.americanyouthcircus.org/"} +{"d:Title": "Circus Fans Association of America", "d:Description": "Organization founded in 1926 to promote and preserve the circus as an institution. Includes magazine back issues, articles, and photographs.", "topic": "Top/Arts/Performing_Arts/Circus/Organizations", "url": "http://www.circusfans.org/"} +{"d:Title": "The National Circus Project USA", "d:Description": "Arts in education outreach program, that performs in hundreds of schools each year.", "topic": "Top/Arts/Performing_Arts/Circus/Organizations", "url": "http://www.nationalcircusproject.com/"} +{"d:Title": "Bristol Circus Societies", "d:Description": "Provides details of various circus clubs and groups in Bristol, UK.", "topic": "Top/Arts/Performing_Arts/Circus/Organizations/Clubs", "url": "http://www.bristolcircus.co.uk/"} +{"d:Title": "Missing Link Productions", "d:Description": "The production company for circus performers.", "topic": "Top/Arts/Performing_Arts/Circus/Performers", "url": "http://www.missinglinkproductions.co.uk/"} +{"d:Title": "John Gilkey", "d:Description": "Read all about talented juggler/clown John Gilkey. Has appeared in Cirque Du Soleil, and Pickle Family Circus.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.johngilkey.com/"} +{"d:Title": "Pongo the Clown", "d:Description": "Features magic, balloon animals, songs, games, and juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.pongotheclown.com/"} +{"d:Title": "Mr. Balloonman", "d:Description": "An entertainment show with a balloon large enough for Hillel to get his whole body inside.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.mrballoonman.com/"} +{"d:Title": "Clownatec", "d:Description": "Clowns-magicians specialized in kids\u2019 entertainment for 3 to 12 years old. Describes entertainment services and provides photos. Gatineau, Quebec, Canada. [English and French]", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.clownatec.com/"} +{"d:Title": "Big Red Nose Company", "d:Description": "A clown performance company based in Sydney, Australia. Provides a fun, interactive experience that incorporates clown, magic, improvisation, and audience participation.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.artmedia.com.au/bigrednose.htm"} +{"d:Title": "Alan Clay", "d:Description": "A solo clown show, with an interactive clown-dance, rolla bolla and tightrope performance.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.artmedia.com.au/love.htm"} +{"d:Title": "Circus Berzercus", "d:Description": "Family entertainers for school, community and fundraising events. Juggling, clowning and monocycling entertainment.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.circusberzercus.co.uk/"} +{"d:Title": "CLaroL the CLown", "d:Description": "Think of your favorite stuffed toy or doll and imagine it has come to life.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.claroltheclown.com/"} +{"d:Title": "Canvas Clown Co.", "d:Description": "A statue/mime in all white has you paint the clown.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.canvasclown.com/"} +{"d:Title": "Boswick does comedy", "d:Description": "Boswick is a former Ringling Brothers clown and performs throughout the United States and Canada.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.boswick.net/"} +{"d:Title": "The Laughter Factor", "d:Description": "Oops A. Daisy and Silly Sam the clown, with photos, credentials, clients, and entertainment package descriptions.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.laughterfactor.freeservers.com/"} +{"d:Title": "Jolly Holly The Clown", "d:Description": "The work and humor of Jolly Holly the clown.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://jollyhollytheclown.com/"} +{"d:Title": "The New York Goofs", "d:Description": "Troupe performing and producing live entertainment and offering workshops. Includes news, photographs, and booking information.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.nygoofs.com/"} +{"d:Title": "Clown Ante", "d:Description": "Performs in a joyful clown/mime show for all ages.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.clownante.se/e_index.html"} +{"d:Title": "Geoff Hoyle", "d:Description": "Actor, writer, comedian came to the U.S. from England and joined Larry Pisoni and Bill Irwin as a clown in the Pickle Family Circus.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://arthurshafman.com/default.asp?p=artist&ID=200&cat=78"} +{"d:Title": "Acme Clown Company", "d:Description": "Uses popular theatrical traditions (clown, magic, puppetry, circus, commedia, and vaudeville) to amaze, educate, and entertain audiences of all ages.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.well.com/user/kafclown/"} +{"d:Title": "Cotton the Clown", "d:Description": "Includes performance schedule, booking information, policies, and photographs.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Clowns", "url": "http://www.cottontheclown.com/"} +{"d:Title": "Jinny Jessica Jacinto", "d:Description": "The Art of Jinny Jessica Jacinto and information on her background and work history.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://mouvance.com/jinnyjacinto/"} +{"d:Title": "Daniel Browning Smith:Contortionist/Enterologist", "d:Description": "Billed as the \"worlds most flexible man\". Commercial information on Smith along with picture, guest book, slide show, biography, resume, and contact for hire information.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.therubberboy.com/"} +{"d:Title": "Flexigirls", "d:Description": "Fitness model contortionists in leotards.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.flexigirls.de/"} +{"d:Title": "Emo Ritter", "d:Description": "Beautiful Professional Contortionist", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.angelfire.com/ri/tteremo/"} +{"d:Title": "Pina Conti", "d:Description": "Provides her availability, photos, resume, headshot, guestbook, and electronic hiring form.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://contortionist_model.tripod.com/"} +{"d:Title": "Shadow's Page", "d:Description": "Shadow is an internationally featured aerialist, contortionist, and dancer.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.contortionhomepage.com/shadow.html"} +{"d:Title": "Possi's Contortion Site", "d:Description": "Very large collection of acrobatic and contortion links.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.possic.de/"} +{"d:Title": "Frank's Liquid Bodies Page", "d:Description": "Photos of circus contortionists.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.possic.de/frank/frank_1of5.html"} +{"d:Title": "Ron's Images", "d:Description": "Pictures of circus contortionists and acobats.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.possic.de/ron/ron_1of7.html"} +{"d:Title": "RSG PhotoPage", "d:Description": "Collection of various acrobats and contortionists photographs.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://barny-th.de/rsgeng.htm"} +{"d:Title": "Acrobat Productions", "d:Description": "UK company providing circus contortionists and acrobats for many special events.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.acrobatproductions.co.uk/#"} +{"d:Title": "Irina Gordeeva", "d:Description": "Russia Site with photos of Irina Gordeeva performing. Russian language only.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.veress.se/gordeeva.htm"} +{"d:Title": "Contortionists Australia", "d:Description": "Collection of images of Australian contortionists.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://members.iinet.net.au/~strov/contort.iiNet.html"} +{"d:Title": "Bernd's Contortion Web Page", "d:Description": "Links, photos, and resources to other contortion sites.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://home.snafu.de/ziebell"} +{"d:Title": "Contortionist Irena Burdetsky", "d:Description": "Russian Circus award winner for her contortionist act and hula hoop performance.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://www.rainbowpromotions.org/Performers/Circusarts/irena.html"} +{"d:Title": "The UniverSoul Circus", "d:Description": "One of the stars of the show is Nayakata, a contortionist from Valencia, Spain.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Contortionists", "url": "http://ahiggs.tripod.com/usoul.html"} +{"d:Title": "Fire Dancing guide", "d:Description": "Introductory guide to fire eating, spinning, breathing and painting. List of instructors and performers around the world.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.fire-dancing.com/"} +{"d:Title": "Ms. Cherry Bomb", "d:Description": "A circus fire act for corporate events, private parties, and variety theater. Act features eastern dance, acrobatics, and ballet combined with fire performance.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.mscherrybomb.com/"} +{"d:Title": "Fire Arts Collective", "d:Description": "Troupe of fire performers, dancers, and artists specializing in various fire props. Includes photo/video gallery. Located in San Francisco/Oakland Bay Area.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.fireartscollective.com/"} +{"d:Title": "Carnival of the Divine Imagination", "d:Description": "Fire breathing, poi and staff twirling performances, fire eating and fire twirling training. Features photo gallery, bios and safety information. International group based in Brisbane, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.divineimagination.com/"} +{"d:Title": "FlameOz", "d:Description": "Fire artistry, circus, dance and acting combined with a diverse range of stilt walking and roving characters. Based in the UK.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.flameoz.com/"} +{"d:Title": "Pyrosutra Incendiary Dance", "d:Description": "Incorporating fire into dance, gymnastics and intimate interaction between performers. Media gallery available. Troupes in Seattle and LA.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.pyrosutra.com/"} +{"d:Title": "Pa-li-tchi", "d:Description": "Fire performance group incorporating Benetti martial arts, Maori fire poi, live music, movement theatre, african dance, elements of Capoeira, Kung-Fu and acrobatics.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.palitchi.org/"} +{"d:Title": "Taming Fire", "d:Description": "Information about a group of fire performers from College Station, Texas. Includes overviews, photographs, booking information, related links and contact details.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.tamingfire.com/"} +{"d:Title": "La Salamandre", "d:Description": "French based troupe playing with fire since 1990. View photos and videos of past performances.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.la-salamandre.com/"} +{"d:Title": "ZOR : Fyregod", "d:Description": "Fire breathing, fire eating and firespinning with poi, double staff, clubs and claws, on stilts or off. Performing since 1999.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.fyregod.com/"} +{"d:Title": "Firemancer Entertainment", "d:Description": "Fire performance art and blacklight dance shows, cirque/themed entertainment packages for private parties, corporate events, and weddings. Based in Denver, CO, and will travel.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.firemancer.com/"} +{"d:Title": "Michael Farrell", "d:Description": "Fully insured fire performer based in Boston, MA. Online photo and video gallery and performance history.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.poispinner.com/"} +{"d:Title": "The River City Burners", "d:Description": "Fire performance troupe based out of Richmond, Virginia. Online performer bios, photo and video gallery, and booking information.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.rivercityburners.com/"} +{"d:Title": "Raqs Serpentine", "d:Description": "Modern belly dance troupe rooted in tradition. Fusing many elements; Indian classical dance, American tribal, classical Egyptian, modern dance, fire and story telling. Seattle, Washington.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.raqsserpentine.com/"} +{"d:Title": "Controlled Burn", "d:Description": "A non exclusive community of fire performers, drummers, and jugglers based in Reno, Nevada.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.controlledburnreno.com/"} +{"d:Title": "Will-o'-the-Wisp", "d:Description": "A theatrical fire circus using mask, characterisation and acrobatic fire twirling to tell stories and to create dances and tailored performances. Based in Canberra, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.wisp.house.cx/"} +{"d:Title": "Mitchell the Fire Shaman", "d:Description": "Performer who uses poi, staff, and fire eating. Based in Florida and performs worldwide.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.fireshaman.com/"} +{"d:Title": "Cosmic Circles", "d:Description": "Fire performance group based in Graz, Austria. Combines fire, pyrotechnics, dance and theater.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.cosmic-circles.cc/"} +{"d:Title": "Thermal Echo", "d:Description": "A professional fire performance act based in Perth, Western Australia. Biographies and booking details available.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.thermalecho.com/"} +{"d:Title": "TonyaKay.com", "d:Description": "Fire poi/ spinning, bull whip, flag dance, stilt dance and aerial stuntwork. Hollywood, California.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.tonyakay.com/"} +{"d:Title": "Cirque Mystique", "d:Description": "Performance company offering performances in fire, circus, juggling, stilt walking, puppetry, magic and children\u2019s entertainment. Flash based site. Based in Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://cirquemystique.com/"} +{"d:Title": "MythMaker Productions", "d:Description": "A multidisciplinary theatrical collective combining: fire performance, sacred theatre, puppetry, music, stilt walking, dancing, visual art and storytelling. Based in BC, Canada.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.mythmaker.ca/"} +{"d:Title": "Juggling Inferno", "d:Description": "Fire jugglers and circus performers. Includes image, video gallery, inquiry form and contact information. Located in Cumbria, UK.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.jugglinginferno.com/"} +{"d:Title": "Pyrotechniq", "d:Description": "Troupe offering fire and ultraviolet (UV) performances, theatrical fire productions and aerial performances. Based in Chicago, IL and Iowa City, Iowa.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.pyrotechniq.org/"} +{"d:Title": "Bacchanal Promotions", "d:Description": "A Detroit based entertainment company specializing in fire and circus arts. Offers choreographed stage acts and strolling performances including stilting and fire eating/breathing.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.bacchanalpromotions.com/"} +{"d:Title": "Stage Fright!", "d:Description": "An all round fire performer who has been all over the world, juggling, eating and breathing fire. Based in the UK.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.stagefright-entertainments.com/"} +{"d:Title": "Puzzle Dust Circus", "d:Description": "Fire performers who combine firetwirling with dance and circus for any private or corporate event. Also offer firetwirling classes, with poi and staff. Located in Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.puzzledust.com.au/"} +{"d:Title": "Meester Stevarius", "d:Description": "A poetic figure who creates fire-art. Located in Antwerp, Belgium.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.stevarius.com/"} +{"d:Title": "Fire Prism", "d:Description": "Female duo offering spectacular fire, LED/UV dancing, cirque/avante garde with dramatic stage effects and elaborate costuming.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.fireprism.net/"} +{"d:Title": "Zen Arts", "d:Description": "Hires out dancers, fire breathers and eaters, hoopers, and other entertainers. Based in Los Angeles, California, USA.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.zenartsla.com/"} +{"d:Title": "FireTricks", "d:Description": "Performance troupe working with a wide variety of toys. Website also offers forum, shop, event calendar, and photo/video gallery. Based in Brisbane, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.firetricks.net/"} +{"d:Title": "Argolla Productions", "d:Description": "Event planners and performers offering aerial silks, flying, hand to hand, jumping, cube, stilt acrobatics, martial arts, fire dance but also classical dance. Based in Bratislava, Slovakia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.argollaproductions.com/"} +{"d:Title": "Firestorm", "d:Description": "LED light and fire dancers specialising in performances throughout Dubai, Abu Dhabi and the Middle East. Video&Photo gallery available. Located in Dubai, UAE.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.wearefirestorm.com/"} +{"d:Title": "Infinitos Fire&UV Show", "d:Description": "Fire and black light performance troupe since 2005. Photo and vdeo gallery available. Located in Prague, CZ and traveling internationally.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://fireshow.infinitos.cz/"} +{"d:Title": "Everyone is Happy Productions", "d:Description": "A multidisciplinary arts company providing mappings between existing and emerging technologies, arts workers and communities.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://everyoneishappy.com/"} +{"d:Title": "Cirque Bijou Fire Shows", "d:Description": "Circus company providing fire shows with custom-built fire and pyro props and special fx. Bespoke large stage shows. Based in the UK.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://cirquebijou.co.uk/our-work/fire-shows/"} +{"d:Title": "Phoenix Fire Dancers", "d:Description": "Large stage fire shows. Athletes, dancers, martial artists.Big scale stage shows with UV, LEDs and pyrotechnics. Based in Austria.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.phoenixfiredancers.com/"} +{"d:Title": "Antti Suniala", "d:Description": "Pushing the boundaries of fire arts. Available for circuses, galas, events and workshops.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.anttisuniala.com/"} +{"d:Title": "Audette Sophia", "d:Description": "The Alchemystics are a mythic stilt and fusion dance troupe that specializes in unique wandering stilt characters and choreographed fire fusion dance.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.audettesophia.com/"} +{"d:Title": "Zap Circus", "d:Description": "Australian based fire, comedy, acro, circus duo.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.zapcircus.com/"} +{"d:Title": "Alice In Flames", "d:Description": "Fire shows, fire dancing and fire spinning for special events, festivals, functions, parties, gigs. Fire dancing photos, videos, performances, events updates and contact information. Based in Cyprus.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.aliceinflames.webs.com/"} +{"d:Title": "The Obertaeva Fire Theatre", "d:Description": "Moscow based fire and light performance group", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.obertaeva.com/"} +{"d:Title": "A Different Spin", "d:Description": "A professional, fully-insured Boston based circus entertainment group specializing in fire spinning, juggling, and acrobatics.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.adifferentspin.net/"} +{"d:Title": "Spirit Fire Entertainment", "d:Description": "Fully choreographed feature fire show. Duo act incorporating fire twirling tricks, dance and acro-balance. Based in Gold Coast, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://spiritfire.com.au/"} +{"d:Title": "Creative Flame Co.", "d:Description": "US based fire performers. Fire, cirque burlesque and vaudeville available worldwide. Many props and costumes, colored flames, pyro and choreography.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://firespinner.com/"} +{"d:Title": "The Dancing Fire", "d:Description": "A performance troupe of fire dancers, fire breathers, fire eaters, belly dancers, hula dancers for corporate events, shows, and private events.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.thedancingfire.com/"} +{"d:Title": "FirePoise", "d:Description": "Fire performance group based in Belfast, Ireland. Offers choreographed fire shows for events, weddings and parties. Site contains images, info, video and contact details.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.firepoise.com/"} +{"d:Title": "Fire Groove", "d:Description": "US family based company provides fire dance cirque style entertainment world-wide. Regular classes and teacher training in poi, staff and hoop as well as hand-made practice, fire and glow equipment.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.firegroove.com/"} +{"d:Title": "Tedward", "d:Description": "A solo fire-performance artist's site, includes other personal information.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.tedward.org/"} +{"d:Title": "VestaFire Entertainment", "d:Description": "Community circus and fire dance troupe serving central Vancouver Island, BC, Canada.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://web.vestafireentertainment.ca/"} +{"d:Title": "Pyrodynamics", "d:Description": "Fire and pyrotechnic shows based in Australia. Focus on special effects for large events.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.pyrodynamics.com.au/"} +{"d:Title": "Fire Pixie Entertainment", "d:Description": "A collective of fire dancers, fire breathers, and fire eaters. Includes photos and video clips. Based in the San Francisco Bay Area.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://fire.firepixie.com/"} +{"d:Title": "Pixie Productions Inc.", "d:Description": "Offers weekly poi workshops in a blacklit downtown dance studio and fire poi workshops. Online gallery, products catalog and poi links. Located in Victoria, BC.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.pixiehoops.com/"} +{"d:Title": "Akada Dances to Queen", "d:Description": "Firedancer from the Czech Republic. Video clips and files of performances to Queen.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://dancetoqueen.akada.eu/"} +{"d:Title": "Flamebuoyant Productions", "d:Description": "Circus style fire performance and instruction. Insured and licensed. Based in Portland, OR.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.flamebuoyant.com/"} +{"d:Title": "Fire Girl", "d:Description": "Fire performance duo based in Perth, Australia, specializing in indoor fire and pyrotechnics entertainment.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.firegirl.com.au/"} +{"d:Title": "Red Swan Entertainment", "d:Description": "Los Angeles-based fire performance talent management company. Providing solo, troupe, or organized groups for private parties, movies, music videos.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://redswanentertainment.com/"} +{"d:Title": "Fire Theater Ognevitsa", "d:Description": "Fire theater aimed at providing fire shows in Kiev, Ukraine and Europe. Original and creative costumes and themed fire shows.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://ognevitsa.org.ua/"} +{"d:Title": "Random Mike", "d:Description": "Fire performer and entertainer based near London.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.randommike.com/"} +{"d:Title": "Thomas Johansson", "d:Description": "UK based world-class solo or multi-person stage entertainment with expertise in LED/fire shows and contact juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.thomas-johansson.com/"} +{"d:Title": "Alana Dances Entertainment", "d:Description": "Custom fire, belly dancer, samba or hula dancer shows. Based in LA, USA.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.alanadances.com/"} +{"d:Title": "Razed in Flames", "d:Description": "Fire artists booking network in Australia, servicing Adelaide, Brisbane, Gold Coast, Melbourne, Perth and Sydney.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://razedinflames.com/"} +{"d:Title": "Fiora Firefly", "d:Description": "San Diego, California fire dancers and fire eaters. Solo or group performance. Fleshing, eating, poi, hoop, staff, snakes, and levitation wand. LED performance offered.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.fiorafirefly.com/"} +{"d:Title": "Temple of Poi", "d:Description": "Poi focused blog and lessons. Based in San Francisco, US.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://templeofpoi.wordpress.com/"} +{"d:Title": "Heartsinspyre Entertainment", "d:Description": "Seattle area fire dancers, stilt walkers, clowns, mimes, and magicians.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.heartsinspyre.com/"} +{"d:Title": "Mosaique", "d:Description": "Berlin, Germany. Poetic and theatrical fire shows with little stories and emotional encounters.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.mosaique-feuershow.de/fire-show-berlin"} +{"d:Title": "Gwam the Artists", "d:Description": "French based troupe specialised in visual arts, the art of fire, aesthetic of dance performing, fantasy character parade with original live music.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.gwam.fr/"} +{"d:Title": "Spinergy Arts", "d:Description": "Fire performance group mixing circus arts, modern and traditional dance style. Offers auditions, features a photo gallery. Located in Seattle.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "https://www.facebook.com/spinergyarts"} +{"d:Title": "Fire Performance Sydney", "d:Description": "Australian based company offers a full range of fire performances, from solo to a full outfit of fire twirlers and fire breathers with choreographed shows.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.fireperformance.com.au/"} +{"d:Title": "Fire Desire Entertainment", "d:Description": "Performance troupe and booking agency based in South Africa specializing in fire shows.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.firedesire.co.za/"} +{"d:Title": "Blue Fire Productions", "d:Description": "Performance troupe specializing in circus and fire Art Productions.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Fire", "url": "http://www.bluefireproductions.co.za/"} +{"d:Title": "Duo Design Hand Balancing", "d:Description": "Duo Design, a dynamic and exciting strength and hand-balancing act from Warsaw, Poland.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Hand_Balancing", "url": "http://statue-duodesign.com/"} +{"d:Title": "Duo Joy's - Acrobats Unique", "d:Description": "Handbalancing adagio from Poland.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Hand_Balancing", "url": "http://www.duojoys.com/"} +{"d:Title": "The Flying Wallendas", "d:Description": "The lengendary high wire family, including the recreation of the Seven Man Pyramid.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Circus/Performers/High_Wire", "url": "http://www.wallenda.com/"} +{"d:Title": "Didier Pasquette", "d:Description": "Tightrope Walker, graduated at the National Center of Circus Arts at Chalons sur Marne, France.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/High_Wire", "url": "http://mapage.noos.fr/pasquette/"} +{"d:Title": "Igor Arefiev", "d:Description": "Artist renowned for his high wire feats.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/High_Wire", "url": "http://art-of-balance.net/"} +{"d:Title": "M.J. Saab's Modern Gypsies", "d:Description": "Combining elements of theatre, circus, and vaudeville. Includes photographs, reviews, and merchandise.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Sideshow", "url": "http://www.mjsaab.com/"} +{"d:Title": "SideShow Bennie's Carnival Of Wonders", "d:Description": "One man side show act featuring classic torture king acts presented with a comedic touch.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Sideshow", "url": "http://www.sideshowbennie.com/"} +{"d:Title": "Marie-Josee Levesque", "d:Description": "Washington Trapeze and Curtain Act. Photographs, news, videos, and CV.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://www.trapeze.org/"} +{"d:Title": "Trapezium", "d:Description": "Flying Trapeze Center / School in Montreal, Canada.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://www.trapezium.qc.ca/"} +{"d:Title": "Trapeze Arts Inc. - San Francisco", "d:Description": "Flying Trapeze Instruction, Parties, Participative Events, Customized Rigging and Equipment.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://www.trapezearts.com/"} +{"d:Title": "A Flying Trapeze Resource Page", "d:Description": "Listing of flying trapeze rigs, schools, clubs, resorts and equipment. Suppliers in North America. Also information on related groups, like aerial dance and juggling.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://www.damnhot.com/trapeze/"} +{"d:Title": "Trapeze Experience(tm)", "d:Description": "A full service company, with workhshops, custom corporate programs, equipment-fabrication, installation, and program development.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://www.trapeze-experience.com/"} +{"d:Title": "Trapezeschool.com", "d:Description": "Beginner through advanced courses in flying trapeze for people four and up in Single and Double trapeze, Rope, Tissue, and Spanish web.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://www.trapezeschool.com/"} +{"d:Title": "Duel Impact", "d:Description": "Trapeze, aerial, acrobatics and characters.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://www.duelimpact.com/"} +{"d:Title": "Tuff Fluff aerial dance", "d:Description": "Tuff Fluff areial dance for performances, classes or just about any occasion. Based in Brisbane, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://tuff-fluff.tripod.com/"} +{"d:Title": "Christopher", "d:Description": "French trapeze artist with pictures, video, news, and biography.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Trapeze", "url": "http://christopher-trapeze.pagesperso-orange.fr/"} +{"d:Title": "Leo Benjamin", "d:Description": "Unicycle artist, cutting pizza, and serving coffee, and freestyle unicycling.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Unicycle", "url": "http://www.unicycle.net/"} +{"d:Title": "The Unicycle Lady", "d:Description": "A one-woman wheelie witty wacky silly suitcase circus. Unicycling, juggling, comedy, mime, clowning, and magic. Suitable for 'children' of all ages.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Unicycle", "url": "http://www.unicyclelady.com/"} +{"d:Title": "Solo Circus", "d:Description": "Circus arts performer and instructor. Show descriptions, photo gallery, contact information, and links. Malvern Hills in Worcestershire, West Midlands, England.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.solocircus.co.uk/"} +{"d:Title": "Brad Byers", "d:Description": "Sword swallower and human blockhead performer.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.bradbyers.com/"} +{"d:Title": "Brian Howard", "d:Description": "A comedic based entertainer who uses juggling, fire eating and escape arts.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.brianhowardcomedy.com/"} +{"d:Title": "Alex the Jester", "d:Description": "Medieval comedy with Renaissance water spitting, tongue twisting gibberish, arresting sight gags, stunts and audience interaction.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.alexthejester.com/"} +{"d:Title": "The Crispy Family", "d:Description": "A carnival show, reminiscent of the old time 10 in 1, with Vaudeville, sideshow stunts, and fire.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.crispyfamily.com/"} +{"d:Title": "Scot Free", "d:Description": "A street performance comprised of comedy, daredevil stunts, improvised wit, and audience interaction.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.scotfree.ca/"} +{"d:Title": "Bikeboy", "d:Description": "Combines bike stunts and circus skills.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.bikeboy.net/"} +{"d:Title": "Swami Yomahmi", "d:Description": "Stephon Walker performs a one man sideshow comedy act celebrating the geek in all of us.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.swamiyo.com/"} +{"d:Title": "Daniel Zindler", "d:Description": "Vancouver based magician, juggler, and comedian. Performs comedy magic for corporate events, weddings, and parties.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.danielzindler.com/"} +{"d:Title": "Dan Meyer", "d:Description": "Performance artist who specializes in sword swallowing. Includes profile and schedule.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.danmeyer.org/"} +{"d:Title": "Flyin' Bob", "d:Description": "Comedy juggler, balancer and wire walker.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.flybob.com/"} +{"d:Title": "Nato Nikolaishvili", "d:Description": "hula hoop artist for circus and variete.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.hula-hoop-nato.de/"} +{"d:Title": "Alakazam: The Human Knot", "d:Description": "Al performs comedy contortion and daredevil stunts.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.thehumanknot.com/"} +{"d:Title": "The Checkerboard Guy", "d:Description": "Website is about variety performer David Aiken aka \"The Checkerboard Guy\".", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.thecheckerboardguy.com/"} +{"d:Title": "Boy With Tape On His Face", "d:Description": "About London-based prop comic Sam Wills who is popular for his silent style of comedy at festivals.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.theboywithtapeonhisface.com/"} +{"d:Title": "The Chairmen", "d:Description": "A variety show featuring comic manipulation of white plastic backyard stacking patio lawn chairs.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.chairmen.ca/"} +{"d:Title": "Mango&Dango", "d:Description": "Offering juggling, stilt walking, hoop dancing, aerial performances, fire dancing, and acrobatic balance.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.mangoanddango.com/"} +{"d:Title": "Fire Gypsy Productions", "d:Description": "Professional fire dancers, performers, eaters, breathers, aerialists, contortion, circus arts, and mermaids. Massachusetts, United States.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.firegypsy.com/"} +{"d:Title": "Calvos", "d:Description": "Contact juggling and stilt walking act available for corporate events and private parties. Based in the UK, available worldwide.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.calvos.co.uk/"} +{"d:Title": "Acme Circus", "d:Description": "A small theatre company that presents circus comedy and theatre around the world based in Montreal, Canada.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.circusmontreal.com/"} +{"d:Title": "Cutting Edge Innertainment", "d:Description": "Sword swallower Dan Meyer. Lists achievements, videos, performances, a picture gallery, and show details.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.cuttingedgeinnertainment.com/"} +{"d:Title": "StiltFun.com", "d:Description": "Mike Weakley provides interactive stilt walkers and balloon artists for corporate, public, and private events. Circus skill workshops are also offered for groups and individuals.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://www.stiltfun.com/"} +{"d:Title": "Raw Art", "d:Description": "Created in 2005 by Ukrainian director, Taras Pozdnyakov. The project casts graduates of Kiev Circus Academy. Performances are available on YouTube videos.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://rawart.ua/"} +{"d:Title": "Rob Torress: Funeeestuff", "d:Description": "A physical comedy show, with no language barriers. For theater, corporate, cruise ships, and festivals.", "topic": "Top/Arts/Performing_Arts/Circus/Performers/Variety_Acts", "url": "http://rob-torres.com/"} +{"d:Title": "Trapezium", "d:Description": "A recreational center where you can discover and practice the flying trapeze in a friendly and secure environment. Group bookings, birthday parties and class schedule available. [Montreal, Quebec, Canada]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.trapezium.qc.ca/"} +{"d:Title": "Trapeze le voltigeur", "d:Description": "Indoor flying trapeze training center - French and English spoken. [St. Eustache, Quebec, Canada]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.trapezelevoltigeur.qc.ca/"} +{"d:Title": "Sydney Aerial Theatre Association", "d:Description": "SATA runs quality, fun, imaginative workshops and performances in trapeze, rope and aerial skills, for adults and children.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.artmedia.com.au/aerial.htm"} +{"d:Title": "Camp Lohikan: Circus Program", "d:Description": "Children's camp offering flying trapeze, acrobatics, circus biking, juggling, fire eating, unicycling, clowning, balancing, high wire, Spanish web, and globe balancing. Located in Gladstone, New Jersey, United States.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.lohikan.com/circus.htm"} +{"d:Title": "Playspace: Clown Training", "d:Description": "Professional circus and clown training in Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.artmedia.com.au/Clown.htm"} +{"d:Title": "Playspace", "d:Description": "Physical theatre studio in Sydney, Australia, specializing in Circus and Clown training.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.artmedia.com.au/playspac.htm"} +{"d:Title": "Zip Zap Circus School", "d:Description": "Offers free circus and performing arts training for South African children, with special arrangements for adults. [Cape Town, South Africa]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.zip-zap.co.za/"} +{"d:Title": "CircusBox Interactive", "d:Description": "Circus workshops for serving schools, secure units, special needs, and people of all ages and abilities.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.circusbox.co.uk/"} +{"d:Title": "Everyday Circus", "d:Description": "Classes for children and adults, including tumbling, juggling, trapeze, rolling globe, stilt walking, wire walking, and pyramids. Optional classes on animal training are also available. [St. Louis, Missouri]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.everydaycircus.net/"} +{"d:Title": "Circus Camp", "d:Description": "A day-camp experience designed to introduce children to circus, performing and visual arts. [Atlanta, Georgia]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.circuscamp.org/"} +{"d:Title": "Circus Workshop Cape Town", "d:Description": "Circus Workshop provides training on the flying trapeze. [Cape Town, South Africa]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://circusflyers.tripod.com/"} +{"d:Title": "National Circus Center", "d:Description": "Encompasses a professional company, a student troupe, and a school with classes in acrobatics, flying trapeze, hand-balancing, contortion, juggling, teeterboard, wire-walking, clowning, and anything in the air, upside down, backwards and seemingly impossible. [San Francisco]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.circuscenter.org/"} +{"d:Title": "Atlantic Cirque", "d:Description": "Atlantic Cirque introduces the arts of circus to adults and children with classes including trampoline, gymnastics, trapeze, and bungee. [Halifax, Nova Scotia, Canada]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.atlanticcirque.com/"} +{"d:Title": "School of Acrobatics and New Circus Arts", "d:Description": "Classes and camps for kids and teens foster self-esteem through the development of physical skills. Activities taught include: acrobatics, juggling, trapeze, tightrope and rolling globe. Seattle, Washington, United States.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.sancaseattle.org/"} +{"d:Title": "Greentop Community Circus Centre", "d:Description": "A registered charity providing adult and youth Circus Arts training and performance. [Sheffield, England]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://greentop.org/"} +{"d:Title": "Clown School of San Francisco", "d:Description": "Create a personal clown character based on your natural inclinations of movement, voice, gestures and inner feelings and perform with it. Inside of everyone lives a ridiculous character just \"dying\" to get out.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.clownschoolsf.org/"} +{"d:Title": "Acrosports", "d:Description": "Based in San Francisco. A non-profit physical, performing and circus arts organization offering programming for students age 18-months through adult in tumbling, gymnastics, dance, and circus arts. Offers year-round recreational and performance-based programming led by highly-trained instructors and world-class artists.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.acrosports.org/"} +{"d:Title": "Belfast Community Circus", "d:Description": "Offering courses and workshops for adults and youth, in Belfast and elsewhere in Northern Ireland. Training and performance include: stiltwork, juggling, clowning and trapeze.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.belfastcircus.org/"} +{"d:Title": "Circomedia", "d:Description": "A drama school for circus performers, and a school for physical actors. Offers a three-month introductory course as well as one and two-year professional training programs and teacher training. Bristol, UK.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.circomedia.com/"} +{"d:Title": "The Circus Space", "d:Description": "A centre of excellence in circus arts, offering support and services for professional performers, aspiring performers, adults, young people and companies. Classes include acrobatic balancing, clown, gymnastic tumbling, juggling, static and flying trapeze. [London, UK]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.circusspace.com/"} +{"d:Title": "Beijing International Art School", "d:Description": "Study and learn: acrobatics and circus skills: aerial, equilibrium, contortion, tumbling, juggling, Kung-fu, wushu and Chinese dances. (This school was formerly known as the Beijing Acrobatic School.) [Beijing, China]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.beijing-art.com/"} +{"d:Title": "Trapeze Arts", "d:Description": "An opportunity for any individual to experience and learn aerial and other circus arts in a supportive, non-competitive environment. Workshops, classes, and corporate events. [Oakland and Lake Tahoe, California, United States]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.trapezearts.com/"} +{"d:Title": "Cambridge Community Circus", "d:Description": "Workshops and events, together with newsletters and other resources. [Cambridge, United Kingdom]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.chaos.org.uk/ccc/"} +{"d:Title": "Flying Trapeze Chicago", "d:Description": "Flying trapeze and circus arts information including flying trapeze videos and flying trapeze links.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.flyingtrapezechicago.com/"} +{"d:Title": "Circusology", "d:Description": "Circus skills workshops for schools.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.circusology.co.uk/"} +{"d:Title": "Blackpool Circus School", "d:Description": "The history of circus in Blackpool, UK, and how the circus school is trying to revive the town's heritage by teaching children circus skills. Workshops, special events.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.blackpoolcircusschool.co.uk/"} +{"d:Title": "Circus Minimus", "d:Description": "Community performance development collaborations, \"Circus Yoga\" for families, and afterschool teaching programs. [New York, New York]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.circusminimus.com/"} +{"d:Title": "Shooting Stars Circus", "d:Description": "Circus Skills workshops for schools, corporations and youth clubs. [United Kingdom]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.shootingstarscircus.co.uk/"} +{"d:Title": "National Institute of Circus Arts", "d:Description": "Full-time university training in circus arts, offering a three-year Bachelor Degree in all aspects of circus arts. [Melbourne, Australia]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.nica.com.au/"} +{"d:Title": "Aerialize", "d:Description": "Sydney aerial theatre. Provides tuition and training in aerial and circus disciplines for adults and children. Classes cater to people of all levels from absolute beginners to professional.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.aerialize.com.au/"} +{"d:Title": "Execu-Care", "d:Description": "Consulting, coaching and problem solving through flying trapeze training using applied social science, management, medical research, wisdom and common sense. [various locations in the United States]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.execu-care.com/"} +{"d:Title": "Trapeze High", "d:Description": "A training facility for people of all ages, abilities and skill levels to experience and practice aerial circus arts, with an emphasis on flying trapeze for individuals, groups, and corporate events. [Escondido, California]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://trapezehigh.com/"} +{"d:Title": "Hollywood Aerial Arts", "d:Description": "The only flying trapeze school of its kind in Los Angeles, California with lessons in flying trapeze, aerial tissue (silk/fabric), Spanish web, lyra/hoop, low flying trapeze and other circus skills. Beginners are welcome in all classes.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.hollywoodaerialarts.com/"} +{"d:Title": "Estudio Busqueda de Pantomima", "d:Description": "A professional training program for contemporary mime clown theatre in the Americas, plus details of public performances. [Guanajuato, Mexico]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.sigfridoaguilar.org/"} +{"d:Title": "National Circus School", "d:Description": "The only school to provide advanced circus arts training in North America. An institution for higher education with a primary mission to prepare circus artists. Montreal, Quebec.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.ecolenationaledecirque.ca/"} +{"d:Title": "Temple of Poi", "d:Description": "Poi spinning school located in San Francisco, California. Offers classes in poi, staff, and hoop. Also offers computer based training with video.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://templeofpoi.wordpress.com/"} +{"d:Title": "Bizircus", "d:Description": "A Perth entertainment company, presenting stilt walking, street theatre, circus, comedy, fire acts and corporate entertainment. Western Australia.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.bizircus.com/"} +{"d:Title": "The Actors Gymnasium", "d:Description": "A school for interdisciplinary theater arts, including circus, physical comedy, dance, music, mime, puppetry. Classes available for children and adults, professionals and newcomers. Evanston, Illinois, United States.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.actorsgymnasium.org/"} +{"d:Title": "Christine Van Loo", "d:Description": "A champion acro-gymnast, professional aerialist motivational speaker and a master instructor. Based in Costa Rica.", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://www.christinevanloo.com/"} +{"d:Title": "Circus Harmony", "d:Description": "Teaching and performance of circus skills. [St. Louis, Missouri, United States]", "topic": "Top/Arts/Performing_Arts/Circus/Schools", "url": "http://circusharmony.org/"} +{"d:Title": "High Performance", "d:Description": "Aerial circus rigging and performance for application in theatre, variety, circus, film, television, festivals and the corporate sector. Includes profile, information on the artists, health and safety, equipment, and current projects, and client list. London, UK.", "topic": "Top/Arts/Performing_Arts/Circus/Technicians", "url": "http://www.highperformanceproductions.net/"} +{"d:Title": "Heffron Talent", "d:Description": "Provides talent and franchise information for \"The Comedy Zone\" nightclubs. History, products and talent information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.heffrontalent.com/"} +{"d:Title": "Fool's Paradise Ltd", "d:Description": "Provides street theatre and the outdoor entertainers for variety of events. Includes talent roster, themes, event advice, publicity kits and contact information. UK and international acts.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.foolsparadise.co.uk/"} +{"d:Title": "Rinky-dink Productions", "d:Description": "Specialize in supplying comedy talent for corporate events and TV commercials. London, England. Includes contact information, client list and services detail.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.rinky-dink.co.uk/"} +{"d:Title": "Summit Comedy", "d:Description": "Nationwide provider of comedians, ventriloquists, hypnotists and magicians. Request form, list of performers, corporate background.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.summitcomedy.com/"} +{"d:Title": "Hysterical Management Comedy Booking Agency", "d:Description": "Directory of USA and European comedians with resumes, as well as comedy-related news, and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.comedydotcom.com/"} +{"d:Title": "De La Font Agency", "d:Description": "Bookings for corporate events, and private functions. Includes comedian biographies and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.delafont.com/comedians.htm"} +{"d:Title": "Custom Comedy Capers", "d:Description": "Special events for corporations and organizations providing comedians, walk-around characters and look-alikes. Includes acts available, services and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://comedycapers.com/"} +{"d:Title": "Comedians USA", "d:Description": "Specializing in stand-up comedians, famous celebrity look-a-likes, magicians, and impressionists for clubs, corporations and organizations. Includes talent roster, biographies and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.comediansusa.com/"} +{"d:Title": "LaughingStock Comedy Company", "d:Description": "Vendors of improvisational comedy and entertainment services to corporations and associations and the meeting planning industry. Includes talent roster, background, contact and company information.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.laughing.com/"} +{"d:Title": "Rent-A-Nerd", "d:Description": "Clean comedy for all Private and Corporate Events from Birthdays and Bachelorettes to Business Meetings and Company Picnics. Includes FAQ, references, special event ideas and company information.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.rent-a-nerd.com/"} +{"d:Title": "Gail A. Stocker Presents", "d:Description": "Comedy consulting company designed to find comics and performers for corporate events. Performer categories, company profile and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.comedycontact.com/"} +{"d:Title": "Clean Comedians", "d:Description": "Provides performers for events, banquets and festivals. Includes show profiles, talent roster and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://cleancomedians.com/"} +{"d:Title": "Legends of Comedy", "d:Description": "Assortment of comedian look-a-likes, brought together by comic Bill Kirchenbauer, available for corporate and organization events. Contains cast information, video clips and booking inquiry form.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.legendsofcomedy.com/"} +{"d:Title": "EastCoastComedy.com", "d:Description": "Comedy for birthdays, sales meeting, holiday parties or roasts. Includes contact and comedian biographies.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.eastcoastcomedy.com/"} +{"d:Title": "Tokyo Comedy Store", "d:Description": "Improvisational and stand-up comedy at various venues in Tokyo. Includes performance and venue schedule, workshops and pictures.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.tokyocomedy.com/"} +{"d:Title": "Rare Birds Productions", "d:Description": "London agency providing comedians for clubs, private events and corporate functions. Contains list of talent, show profiles and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.rarebirdsprod.com/"} +{"d:Title": "Corporate Comedy Connection", "d:Description": "Comedians and humorists for corporate or private events. Includes company and contact information, and talent roster with biographies.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.corporatecomedians.com/"} +{"d:Title": "Laughs On Us", "d:Description": "Provides performers for corporate events, clubs, colleges and private functions. Includes contact information and available shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://laughsonus0.tripod.com/"} +{"d:Title": "Comedy Works Entertainment", "d:Description": "Providing humor-based talent since 1981. Available for corporate events, comedy clubs and includes performers' biographies.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.comedyworksentertainment.com/"} +{"d:Title": "Comedy On The Road", "d:Description": "Los Angeles area agency providing stand up and novelty performers for private parties and corporate events. Talent biographies and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.comedyontheroad.com/"} +{"d:Title": "Serious Comedy Agency", "d:Description": "Represents comedians with clean material, based in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://cleancomic.com/"} +{"d:Title": "Outreach Comedy", "d:Description": "Pastor tested, audience approved comedians for church events in the USA. Provides profiles of their comedians with event planning tools.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.outreachcomedy.com/"} +{"d:Title": "CallBack Corporate Entertainment", "d:Description": "Western Canada talent agency placing top comedians at corporate events and community fundraisers. Includes brief profiles of their performers, with video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Agencies", "url": "http://www.callbackcorporateentertainment.com/"} +{"d:Title": "Punchline", "d:Description": "Locations throughout Asia. Includes show times, contact and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Asia", "url": "http://www.punchlinecomedy.com/"} +{"d:Title": "Zurich Comedy Club", "d:Description": "English speaking amateur theater in Zurich, Switzerland. Events, news and membership information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe", "url": "http://www.zcc.ch/"} +{"d:Title": "Laughing Horse, The", "d:Description": "Based in London, hosting shows throughout the UK, France, Monaco and Spain. Venue locations, performer profiles, discount packages, pictures and links included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe", "url": "http://www.laughinghorse.co.uk/"} +{"d:Title": "BattleOfTheAxe.com", "d:Description": "Offering open mic every Tuesday night in Dublin. Includes history, news and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/Ireland", "url": "http://www.battleoftheaxe.com/"} +{"d:Title": "City Limits", "d:Description": "Cork venue providing weekly events. Upcoming performers, archives, email sign up, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/Ireland", "url": "http://www.thecomedyclub.ie/"} +{"d:Title": "Dolans Pub", "d:Description": "Home to Dot COMedy events in Limerick. Schedule, menus, photo gallery and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/Ireland", "url": "http://www.dolanspub.com/"} +{"d:Title": "Gibney's Pub", "d:Description": "Located in Malahide, Dublin, home of Brendan Burke Comedy Club, hosting events every Friday. Schedule, menus, picture gallery and map provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/Ireland", "url": "http://www.gibneys.com/"} +{"d:Title": "Monday Night Live", "d:Description": "Provides schedule, online booking and location details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.pleasance.co.uk/"} +{"d:Title": "Banana Cabaret", "d:Description": "London, England venue offers schedule, prices, listings sign-up and direction information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.bananacabaret.co.uk/"} +{"d:Title": "Buzz, The", "d:Description": "Provides shows at the Southern Pub in Manchester, England every Thursday. Previous acts, upcoming schedule, directions and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.buzzcomedy.co.uk/"} +{"d:Title": "Cheeky Monkey", "d:Description": "Based at the Station Pub in West Midlands, England. Information on upcoming shows, past shows and directions included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.cheekycomedy.co.uk/"} +{"d:Title": "Comedy Store, The", "d:Description": "Locations in Manchester and London, England. Maps, news, schedule, history and special events included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.thecomedystore.co.uk/"} +{"d:Title": "East Dulwich Comedy", "d:Description": "At The Union Tavern in London, England. Contains upcoming schedule, performers and special events.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.edcomedy.com/"} +{"d:Title": "Fat Cat Comedy Club", "d:Description": "Located in Suffolk, England. Includes show information, street map, pictures and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.fatcatcomedyclub.com/"} +{"d:Title": "Frog and Bucket Comedy Club, The", "d:Description": "Venue located in Manchester, England. Provides venue schedule, specials, booking information, message board and online discounts.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.frogandbucket.com/"} +{"d:Title": "Glee Club", "d:Description": "Locations in West Midlands, England and Cardiff, Wales. Includes information about tickets, upcoming schedule, history, performer profiles and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.glee.co.uk/"} +{"d:Title": "Hampstead Comedy Club", "d:Description": "Located in London, England hosting weekly shows. Schedule and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.hampsteadcomedy.co.uk/"} +{"d:Title": "Jongleurs", "d:Description": "Locations throughout England, Scotland and Wales. Includes information about history, franchises, competitions, directions, contact details and schedules.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.jongleurs.com/"} +{"d:Title": "Komedia", "d:Description": "East Sussex, England venue hosting weekly events. Schedule, menu and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.komedia.co.uk/"} +{"d:Title": "Lee Hurst's Backyard", "d:Description": "Located in London, England providing weekly entertainment. Performer lists, show times and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.leehurst.com/"} +{"d:Title": "Old Red Lion Theatre", "d:Description": "Venue in London, England hosting nightly events. Schedule, event descriptions and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.oldredliontheatre.co.uk/"} +{"d:Title": "Stand, The", "d:Description": "Venues located in Edinburgh and Glasgow, Scotland venue. Includes upcoming events, press releases and general information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.thestand.co.uk/"} +{"d:Title": "Comedy Junction", "d:Description": "Shows in West Midlands and Shropshire, England. Schedule and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.comedyjunction.co.uk/"} +{"d:Title": "Up the Creek", "d:Description": "Hosting shows at two venues in London and one in Kent, England. Schedules, online booking and general information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.up-the-creek.com/"} +{"d:Title": "Hen and Chickens", "d:Description": "Hosting sketch and stand up shows in London, England. Schedule and show descriptions included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.henandchickens.com/"} +{"d:Title": "Brixton Comedy Club", "d:Description": "Hosting monthly shows at The Hobgoblin in London, England. Schedule and contact information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.brixtoncomedy.co.uk/"} +{"d:Title": "Downstairs at the King's Head", "d:Description": "Hosting shows and special events in London, England. Schedule, contact information and location map provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.downstairsatthekingshead.com/"} +{"d:Title": "Get Serious Comedy Club", "d:Description": "Hosting events in Tyne and Wear, England. Schedule, directions and general information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://getserious.tripod.com/"} +{"d:Title": "Wedgewood Rooms, The", "d:Description": "Hosting events weekly in Hampshire, England. Schedule, news, directions and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.wedgewood-rooms.co.uk/"} +{"d:Title": "Comedy Bunker, The", "d:Description": "Hosting shows in West London, England. Provides schedule, picture gallery, past reviews, map and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.comedybunker.co.uk/"} +{"d:Title": "Comedy Balloon, The", "d:Description": "Hosting weekly open mic nights at The Briton's Protection in Manchester, England. Includes schedule, performer profiles, reviews, map and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.comedyballoon.co.uk/"} +{"d:Title": "Comedy Company, The", "d:Description": "Hosting shows in Berkshire, Herefordshire, Surrey and West Yorkshire, England. Event schedules, locations, performer profiles and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.thecomedycompany.co.uk/"} +{"d:Title": "Barnstormers Comedy", "d:Description": "Providing shows at various venues across Sussex, England. Locations, schedules, performer profiles and general information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.barnstormerscomedy.com/"} +{"d:Title": "Comedy Cafe", "d:Description": "Located in London, England hosting events Wednesday through Saturday. Information about upcoming events and performers, mailing list, directions and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.comedycafe.co.uk/"} +{"d:Title": "Comedy Carnival", "d:Description": "Offers live comedy events in London featuring international acts. Includes upcoming events, booking information, directions, and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.comedycarnival.co.uk/"} +{"d:Title": "XS Malarkey", "d:Description": "Comedy club based in Fallowfield, Manchester, England. General information, schedule, location, interviews and downloads included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.xsmalarkey.com/"} +{"d:Title": "Amused Moose", "d:Description": "Locations in London, England, hosting live alternative stand-up comedy, established comedians and breaking talent. News, upcoming events, history and directions included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.amusedmoose.com/"} +{"d:Title": "Comedy Hall", "d:Description": "Monthly stand-up club in Tiverton, Devon, with listings for shows, performers and comedians photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.comedyhall.co.uk/"} +{"d:Title": "The 99 Club", "d:Description": "Live comedy at friendly and well-run London comedy clubs.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.99clubcomedy.com/"} +{"d:Title": "The Funny Side Comedy Club", "d:Description": "Covent Garden, Clapham Common, Camden, and London. Forthcoming line-ups, venue details and online booking.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.thefunnyside.info/"} +{"d:Title": "Just The Tonic", "d:Description": "Details of weekly comedy shows in London and Nottingham. Forthcoming show and venue details, online ticket booking, mailing list sign-up and comedian information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.justthetonic.com/"} +{"d:Title": "Soho Comedy Club", "d:Description": "London-based club with shows on Monday, Thursday, Friday and Saturday. Forthcoming show information, contact details, mailing list sign-up and online ticket booking.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.sohocomedy.com/"} +{"d:Title": "Grinning Idiot", "d:Description": "Live stand up comedy in the North East. Includes forthcoming shows, venues, comedians booked to appear and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.thegrinningidiot.com/"} +{"d:Title": "Breakneck Comedy", "d:Description": "Aberdeen. Lists next show, features online ticket booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Europe/United_Kingdom", "url": "http://www.breakneckcomedy.co.uk/"} +{"d:Title": "Rumors", "d:Description": "Winnipeg venue providing schedule, ticket and show information, menu and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.rumorscomedyclub.com/"} +{"d:Title": "Yuk Yuk's", "d:Description": "Chain of Canadian venues. Provides club and tour information, schedule, performers, history, and contact and booking details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.yukyuks.com/"} +{"d:Title": "Comedy Factory, The", "d:Description": "Located in Edmonton hosting performances Thursday through Sunday. Schedule, special offers and online coupons included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.thecomedyfactory.com/"} +{"d:Title": "The Comic Strip", "d:Description": "Located in Edmonton providing nightly showcases. Includes contact information, schedule, performer profiles, online ticket purchase and details about special events.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.thecomicstrip.ca/"} +{"d:Title": "ALT.COMedy Lounge", "d:Description": "Toronto based alternative comedy showcase, featuring stand-up, sketch, and character work. Includes news, performer biographies, schedule and line up.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.altdotcomedylounge.com/index.php?id=acl"} +{"d:Title": "Ernie Butler's Comedy Nest", "d:Description": "Montreal venue providing weekly entertainment. Schedule, show times, specials and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.thecomedynest.com/"} +{"d:Title": "Second City, The", "d:Description": "Toronto location hosting weekly entertainment. Includes general information, show descriptions, news and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://secondcity.com/?id=theatres/toronto"} +{"d:Title": "Absolute Comedy", "d:Description": "Comedy clubs located in Ottawa and Toronto. Includes scheduled acts, map and menu.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.absolutecomedy.ca/"} +{"d:Title": "Laff Lines", "d:Description": "Located in Vancouver, BC with shows on Fridays and Saturdays. Calendar, contact details, information about specials and packages.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://thecolumbia.net/lafflines/"} +{"d:Title": "The Comedyworks", "d:Description": "Montreal venue providing nightly entertainment. Includes schedule, virtual tour, map and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/Canada", "url": "http://www.comedyworksmtl.ca/"} +{"d:Title": "Catch A Rising Star", "d:Description": "Locations in Nevada, Rhode Island, New Jersey, and New York. Providing schedules, ticket information, classes and gift certificates.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States", "url": "http://www.catcharisingstar.com/"} +{"d:Title": "Comedy Cabaret", "d:Description": "Locations throughout Pennsylvania, New Jersey and Delaware. Club locations, contact information and schedules included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States", "url": "http://www.comedycabaret.com/"} +{"d:Title": "Zanies", "d:Description": "Locations in Chicago, St. Charles and Rosemont, Illinois, and Nashville Tennessee. Includes performance schedules, directions, contact information and menus.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States", "url": "http://www.zanies.com/"} +{"d:Title": "Bonkerz Comedy Productions", "d:Description": "Venue with locations in Florida, Tennessee, Mississippi and Illinois. Booking information, schedules and reviews included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States", "url": "http://bonkerzcomedyproductions.com/"} +{"d:Title": "The Comedy Club", "d:Description": "Located at the Stardrome in Birmingham. Includes upcoming acts, pictures, directions, and details of the facilities and food offered.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Alabama", "url": "http://www.stardome.com/"} +{"d:Title": "Comedy Spot, The", "d:Description": "Scottsdale location providing directions, upcoming calendar, event and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Arizona", "url": "http://www.thecomedyspot.net/"} +{"d:Title": "Laffs", "d:Description": "Located in Tucson, offering live performances and open mic nights. Information about show times, menu, workshops and events included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Arizona", "url": "https://www.laffstucson.com/"} +{"d:Title": "Ice House, The", "d:Description": "Pasadena location providing nightly entertainment. Schedule, history, past performers and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.icehousecomedy.com/"} +{"d:Title": "J.R.'s Comedy Club", "d:Description": "Located in Valencia, hosting weekly events. Schedule, menu, event details and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.comedyinvalencia.com/"} +{"d:Title": "L.A. Connection Comedy Theatre", "d:Description": "Improvisational and sketch comedy in Los Angeles. Includes upcoming schedule, current show profiles, cast biographies, video clips and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.laconnectioncomedy.com/"} +{"d:Title": "Laugh Factory", "d:Description": "Located in Hollywood, noted showcase for stand up comedians since 1979. Provides information about club history, past performers, current show profiles, upcoming events and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.laughfactory.com/"} +{"d:Title": "Punch Line", "d:Description": "Locations in San Francisco and Sacramento. Includes directions, upcoming calendar, show times and contact information for both clubs.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.punchlinecomedyclub.com/"} +{"d:Title": "Rooster T. Feathers", "d:Description": "Sunnyvale location providing upcoming schedule, event descriptions, special offers and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.roostertfeathers.com/"} +{"d:Title": "Tommy T's Comedy House", "d:Description": "Located in Concord. Includes schedule, show times and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.tommyts.com/"} +{"d:Title": "Comedy Store, The", "d:Description": "Venue located in Los Angeles. Information about upcoming shows, past performers, club history, reservations and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.thecomedystore.com/"} +{"d:Title": "Second City, The", "d:Description": "Located in Los Angeles providing information about performances, cast, and group sales.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://secondcity.com/?id=theatres/losangeles"} +{"d:Title": "The Comedy Palace", "d:Description": "Comedy club in San Diego, provides information about scheduled performers, tickets and reservations.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.thecomedypalace.com/"} +{"d:Title": "Comedy&Magic Club, The", "d:Description": "Hosting weekly events in Hermosa Beach. Includes event calendar, menu, general information and reservation details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://comedyandmagicclub.com/"} +{"d:Title": "Cobbs Comedy Club", "d:Description": "Located in San Francisco. Includes schedule, menu, directions and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/California", "url": "http://www.cobbscomedy.com/"} +{"d:Title": "ComedyWorks", "d:Description": "Located in Denver. Includes upcoming shows, show profiles, reservations and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Colorado", "url": "http://www.comedyworks.com/"} +{"d:Title": "Loonees", "d:Description": "Live entertainment in Colorado Springs. Includes information about driving directions, upcoming schedule, and menu.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Colorado", "url": "http://looneescc.wixsite.com/loonees"} +{"d:Title": "Brew Ha Ha, The", "d:Description": "Located at the City Steam in Hartford, hosting weekend performances. Includes schedule, show times and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Connecticut", "url": "http://citysteam.biz/"} +{"d:Title": "New York Comedy Club", "d:Description": "Information about the Boca Raton location. Includes schedule, special events and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.newyorkcomedyclub.com/"} +{"d:Title": "Comedy Zone", "d:Description": "Located in Jacksonville with information about upcoming acts, contact and booking details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.comedyzone.com/"} +{"d:Title": "McCurdy's Comedy Theater", "d:Description": "Venue located in Sarasota. Includes upcoming events schedule, discount information and news.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.mccurdyscomedy.com/"} +{"d:Title": "Miami Improv", "d:Description": "Located in Coconut Grove, tickets available online. Includes schedule, menu and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.miamiimprov.com/"} +{"d:Title": "Side Splitters", "d:Description": "Venue in Tampa hosting shows Wednesday through Sunday. Schedule, menu and directions included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.sidesplitterscomedy.com/"} +{"d:Title": "City Place Improv, The", "d:Description": "Located in West Palm Beach hosting weekly headliners. Upcoming performers, special events, menu, contact details and information about group sales included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.palmbeachimprov.com/"} +{"d:Title": "The Gypsy Comedy Club", "d:Description": "Located in St. Augustine, featuring national acts weekly. Offers information about upcoming shows, location, and includes contact and a guest book.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://thegypsycomedyclub.com/"} +{"d:Title": "Coconuts", "d:Description": "Locations in Cape Coral, Clearwater, and St. Pete Beach. Includes show calendar, directions and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Florida", "url": "http://www.coconutscomedyclubs.com/"} +{"d:Title": "Punchline, The", "d:Description": "Atlanta location. Includes schedule, menu and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Georgia", "url": "http://www.punchline.com/"} +{"d:Title": "Funny Farm", "d:Description": "Roswell, GA location hosting events Wednesday through Saturday. Information about schedule, events, company overview and free passes included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Georgia", "url": "http://www.funnyfarmcomedyclub.com/"} +{"d:Title": "Lincoln Lodge, The", "d:Description": "Hosting nightly entertainment on Chicago's North Side. Includes schedule, special events, general information and news.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Illinois", "url": "http://www.thelincolnlodge.com/"} +{"d:Title": "Second City, The", "d:Description": "Chicago location for improvisational sketch comedy venue. Includes schedule, cast biographies, reviews and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Illinois", "url": "http://secondcity.com/?id=theatres/chicago"} +{"d:Title": "Jukebox Comedy Club", "d:Description": "Venue in Peoria offering nightly entertainment and a headline act every weekend. Map, schedule, menu and online coupon provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Illinois", "url": "http://www.jukeboxcomedy.com/"} +{"d:Title": "Improv Unlimited", "d:Description": "Chicago comedy theater company featuring sketch, improv and stand-up comedy. Features news, video and \"Improv University\".", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Illinois", "url": "http://www.iuhq.com/"} +{"d:Title": "The Playground Theater", "d:Description": "Improvisational theatre located in Chicago. Includes calendar of shows, history, special events and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Illinois", "url": "http://theplaygroundtheater.com/"} +{"d:Title": "Donnie B's", "d:Description": "Located in Springfield. Providing schedule, event details, directions, performers and information about tickets.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Illinois", "url": "http://www.donniebscomedyclub.com/"} +{"d:Title": "Crackers", "d:Description": "Locations in Indianapolis, and Broad Ripple. Includes show times, reservations, rating system, menu and directions.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Indiana", "url": "http://www.crackerscomedy.com/"} +{"d:Title": "Penguin's Comedy Club", "d:Description": "Locations in Cedar Rapids. Show times, upcoming calendar, show ratings, reservations and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Iowa", "url": "http://penguinscomedyclub.com/"} +{"d:Title": "Comedy Off Broadway", "d:Description": "Located in Lexington hosting weekly events. Schedule, general information, show times and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Kentucky", "url": "http://www.comedyoffbroadway.com/"} +{"d:Title": "Comedy Caravan, The", "d:Description": "Hosting weekly events in Louisville. Schedule, general information and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Kentucky", "url": "http://www.comedycaravan.com/"} +{"d:Title": "Magooby's Joke House", "d:Description": "Located in Timonium. Upcoming schedule and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Maryland", "url": "http://magoobys.com/"} +{"d:Title": "Comedy Studio, The", "d:Description": "Located at the top of the Hong Kong Restaurant in Harvard Square, Cambridge. Find club schedules, a bulletin board, and news about the club here.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://www.thecomedystudio.com/"} +{"d:Title": "Dick Doherty's Comedy Escape", "d:Description": "Locations in North Andover and Boston. Schedule, directions, tickets and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://www.dickdoherty.com/"} +{"d:Title": "Barnstable Comedy Club", "d:Description": "Venue located in Barnstable. Includes coming attractions, directions, audition, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Massachusetts", "url": "http://www.barnstablecomedyclub.org/"} +{"d:Title": "Ann Arbor Comedy Showcase", "d:Description": "Includes schedule, box-office details, directions and history.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Michigan", "url": "http://aacomedy.com/"} +{"d:Title": "Mark Ridley's Comedy Castle", "d:Description": "Includes schedule, contact information and booking. Located in Royal Oak.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Michigan", "url": "http://www.comedycastle.com/"} +{"d:Title": "Acme Comedy Co.", "d:Description": "Located in Minneapolis hosting events. Show schedule, upcoming performers, directions, restaurant and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Minnesota", "url": "http://www.acmecomedycompany.com/"} +{"d:Title": "Deja Vu", "d:Description": "Columbia location hosting weekly events. Performers, calendar, contact details and information about services.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Missouri", "url": "http://www.dejavucomedy.com/"} +{"d:Title": "Funny Bone", "d:Description": "Omaha venue hosting weekly entertainers. Schedule, general information, history and specials included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Nebraska", "url": "http://omaha.funnybone.com/"} +{"d:Title": "Stress Factory, The", "d:Description": "Club and restaurant located in New Brunswick. Upcoming schedule, menu, directions and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.stressfactory.com/"} +{"d:Title": "Bananas", "d:Description": "Located in Hasbrouck Heights providing weekly events. Includes show information, schedule, directions and gift certificates.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.angelfire.com/comics/mikeirwin/jesey.html"} +{"d:Title": "Comedy Cove at Scotty's", "d:Description": "Weekly entertainment in Springfield. Provides schedule, menu, photos and directions.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.scottyssteakhouse.com/"} +{"d:Title": "Comedy Shoppe, The", "d:Description": "Locations in Pine Brook, Rockaway, Ridgewood, and Brick. Includes ticket information, schedules and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_Jersey", "url": "http://www.jjcomedy.com/"} +{"d:Title": "Carolines", "d:Description": "Located in New York City. Calendar, upcoming shows, special events and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.carolines.com/"} +{"d:Title": "Comedy Cellar Comedy Club", "d:Description": "New York City club with show times, highlights, and reservation and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.comedycellar.com/"} +{"d:Title": "Comedy Works, The", "d:Description": "Located in Albany. Information on up coming shows, directions and tickets included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.thecomedyworks.com/"} +{"d:Title": "Dangerfield's", "d:Description": "Located in Manhattan, offering nightly entertainment. Web offers and contact information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.dangerfields.com/"} +{"d:Title": "Friars Club", "d:Description": "New York City location providing history, online tour, monthly joke contest, magazine, newsletters and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.friarsclub.com/"} +{"d:Title": "Gotham", "d:Description": "Nightly shows in New York City. History, upcoming schedule, booking and reservation information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.gothamcomedyclub.com/"} +{"d:Title": "Happy Hour At Two Boots", "d:Description": "Thursday night comedy shows in New York City. Includes mission statement, directions, schedule and line up.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.thehappyhour.net/"} +{"d:Title": "Stand-Up NY", "d:Description": "Located on the Upper West Side of Manhattan. Contains show schedule, tickets, reservations, class information and map.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.standupny.com/"} +{"d:Title": "Upright Citizens Brigade Theatre", "d:Description": "New York City improvisational theater. Includes information about upcoming schedule, workshops and classes, history, news and contact.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.ucbtheatre.com/"} +{"d:Title": "Bananas", "d:Description": "Poughkeepsie location. Show information, reservations, girt certificates and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.angelfire.com/comics/mikeirwin/pough.html"} +{"d:Title": "New York Comedy Club", "d:Description": "Information about New York City location. Upcoming schedule, tickets, specials and contact included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.newyorkcomedyclub.com/"} +{"d:Title": "Comic Strip Live", "d:Description": "Stand-up comedy club in New York City for over 33 years, featuring nightly shows. Features upcoming events and list of scheduled performers.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/New_York", "url": "http://www.comicstriplive.com/"} +{"d:Title": "Comedy Zone, The", "d:Description": "Multiple locations. Includes printable coupon, menu, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/North_Carolina", "url": "http://www.thecomedyzone.com/"} +{"d:Title": "Go Bananas Comedy Club", "d:Description": "Venue offering performers Thursday through Sunday, located in Cincinnati. Includes information about upcoming shows, specials, club and booking details.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.gobananascomedy.com/"} +{"d:Title": "Cleveland Yucks", "d:Description": "Stand up comedy featuring show information, interviews, comedy bits, jokes, club information and open mike shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.clevelandyucks.com/"} +{"d:Title": "Pickwick&Frolic", "d:Description": "Cuyahoga Falls location offering nightly specials and events. Provides schedule, map, menu and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://www.pickwickandfrolic.com/"} +{"d:Title": "Wiley's Comedy Niteclub", "d:Description": "Dayton venue offering weekly shows. Includes upcoming events, online menu and discounts.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://wileyscomedy.com/"} +{"d:Title": "Funny Bone Comedy Club and Restaurant", "d:Description": "Located in Columbus, featuring touring performers. Show schedule, reservations, menus and contact information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Ohio", "url": "http://columbus.funnybone.com/"} +{"d:Title": "Harvey's Comedy Club", "d:Description": "Portland location hosting nightly entertainment. Calendar, menu, directions, reservation and contact information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Oregon", "url": "http://www.harveyscomedyclub.com/"} +{"d:Title": "JR's Last Laugh", "d:Description": "Located in Erie offering National acts. Schedule, ticket and contact information, directions and online specials included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Pennsylvania", "url": "http://www.jrslastlaugh.com/"} +{"d:Title": "Harrisburg Comedy Zone", "d:Description": "Providing events weekly. Schedule, directions and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Pennsylvania", "url": "http://www.harrisburgcomedyzone.com/"} +{"d:Title": "Fat Daddy's Comedy Club", "d:Description": "Venue located in Sioux Falls, features their menu, special offers, reservations and show schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/South_Dakota", "url": "http://www.fatdaddyscomedy.com/"} +{"d:Title": "The Comedy Catch", "d:Description": "Chattanooga venue. Club information, seating chart, tickets, performer biographies and booking contacts.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Tennessee", "url": "http://www.thecomedycatch.com/"} +{"d:Title": "Zanies", "d:Description": "Nashville venue hosting National talent. Includes calendar, directions, FAQs and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Tennessee", "url": "http://www.nashville.zanies.com/"} +{"d:Title": "Cap City Comedy Club", "d:Description": "Located in Austin, formerly the Laff Stop, offering nightly shows. Includes information about upcoming shows, news, menu, tickets and gift certificates.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://www.capcitycomedy.com/"} +{"d:Title": "Hyena's Comedy Night Club", "d:Description": "Multiple venues. Contains show details, addresses and contact information for all locations.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://www.hyenascomedynightclub.com/"} +{"d:Title": "Laff Spot Comedy Cafe", "d:Description": "Houston location hosting performances Wednesday through Sunday. Schedule, show times and menu provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://www.laffspot.com/"} +{"d:Title": "Bart Reed's Comic Strip", "d:Description": "Located in El Paso hosting entertainers weekly and special events. Includes schedule, event details, contact information and picture gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Texas", "url": "http://www.laff2nite.com/"} +{"d:Title": "Wiseguys Comedy Cafe", "d:Description": "Locations in Ogden, West Valley City, Salt Lake City and Orem. Includes upcoming schedules, ticket information and contacts.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Utah", "url": "http://www.wiseguyscomedy.com/"} +{"d:Title": "Cozzy's Comedy Club and Tavern", "d:Description": "Providing weekly performances by National acts in Newport News. Venue information, reservations, specials and schedule included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Virginia", "url": "http://www.cozzys.com/"} +{"d:Title": "Comedy Underground, The", "d:Description": "Locations in Seattle and Tacoma. Contact and ticket information, calendars, upcoming specials events and links to performers included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Washington", "url": "http://www.comedyunderground.com/"} +{"d:Title": "Improv, The", "d:Description": "Providing directions, upcoming events, ticket information and menu.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Washington,_DC", "url": "http://www.dcimprov.com/"} +{"d:Title": "The Comedy Zone", "d:Description": "Located in South Charleston hosting shows weekly. Includes schedule, directions, FAQs and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/West_Virginia", "url": "http://www.comedyzonecharleston.com/"} +{"d:Title": "Giggles Comedy Pub", "d:Description": "Venue located near Milwaukee. Schedule, special events, general information, performer and staff profiles included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.gigglescomedypub.com/"} +{"d:Title": "Skyline Comedy Cafe", "d:Description": "Offering shows in Appleton. Provides schedule, fundraising details, FAQ, and partners.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://skylinecomedy.com/"} +{"d:Title": "Comedy Club on State, The", "d:Description": "Madison location includes a show schedule, comedian and location information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/North_America/United_States/Wisconsin", "url": "http://www.madisoncomedy.com/"} +{"d:Title": "Classic Comedy and Bar", "d:Description": "Auckland, New Zealand venue. Includes performance calendar, booking, advice and history.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Oceania", "url": "http://www.comedy.co.nz/"} +{"d:Title": "Comic's Lounge, The", "d:Description": "Australia venue providing club details, event descriptions, upcoming performers and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Oceania", "url": "http://www.thecomicslounge.com.au/"} +{"d:Title": "Sit Down Comedy Club", "d:Description": "Locations in Brisbane, Australia. Bookings, schedule, menus and contact information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Oceania", "url": "http://standup.com.au/"} +{"d:Title": "Pear Shaped Comedy Club", "d:Description": "Hosting shows weekly at the East Village Hotel, Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Oceania", "url": "http://www.pearshapedcomedy.com/PSinSydney.htm"} +{"d:Title": "Looney Bin, The", "d:Description": "Comedy theatre restaurant in Melbourne, Australia. Features general and contact information, booking, menu, and upcoming schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Oceania", "url": "http://www.thelooneybin.com/"} +{"d:Title": "Werzel's Comedy Lounge", "d:Description": "Shows are every Thursday at the Hyde Park Hotel, Perth, Australia. Schedule, discounts, FAQs, performer profiles and general information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Oceania", "url": "http://www.comedylounge.com.au/"} +{"d:Title": "Sydney Comedy Store", "d:Description": "Hosts nightly events in Sydney Australia. Includes upcoming schedule, show details, performer profiles and general information.", "topic": "Top/Arts/Performing_Arts/Comedy/Clubs_and_Venues/Oceania", "url": "http://www.comedystore.com.au/"} +{"d:Title": "A.C.E. Comedy", "d:Description": "Character driven shows for events and meetings, providing shows, interludes and walk-arounds. Includes upcoming performances, show profiles promotional materials and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/A", "url": "http://www.acecomedy.com/"} +{"d:Title": "Anderson, Dave", "d:Description": "Information about corporate clients, past appearances and biography included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/A", "url": "http://www.daveanderson.net/"} +{"d:Title": "Auntie Henrietta", "d:Description": "New Hampshire based performer for corporate and private events. Pricing and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/A", "url": "http://www.auntiehenrietta.com/"} +{"d:Title": "Ashamallah, Louis", "d:Description": "Performer based in California. Includes testimonials, schedule and qualifications.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/A", "url": "http://www.simplystandup.com/"} +{"d:Title": "Butler, Todd", "d:Description": "Comedian and satirist from British Columbia, Canada available for corporate events. Includes biography, testimonials and booking details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.toddbutler.com/"} +{"d:Title": "Bedore, Tim", "d:Description": "Comedian available for corporate events. Biography, letters of recommendation, background and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.vaguebuttrue.com/"} +{"d:Title": "Bell, Zandra", "d:Description": "Canadian comedienne for corporate events. Booking information, past clients and biography provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.zandrabell.com/"} +{"d:Title": "Boswell, Tony", "d:Description": "Performer available for corporate functions. Profile, schedule and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.tonyboswell.com/"} +{"d:Title": "Barker, Irwin", "d:Description": "Canadian comedian who specializes in corporate comedy. Includes biography, audio clips and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.irwinbarker.com/"} +{"d:Title": "Bates, Rog", "d:Description": "Comedy and songs for corporate events, tailored to fit the occasion and designed to entertain and motivate. Based in North Carolina.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.rogbates.com/"} +{"d:Title": "Berger, Claire", "d:Description": "Humorous keynotes, breakout sessions and interactive workshops for conferences, conventions and corporate retreats by this California based speaker. Funnyworks, Inc.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.funnyworks.com/"} +{"d:Title": "Bowman, Graeme", "d:Description": "Australian comedian, MC, hoax speaker and keynote presenter. Site contains contact details, downloads and examples of character work.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.graemebowman.com/"} +{"d:Title": "Baskey, Sally", "d:Description": "Texas entertainer for corporate events and private parties, delivering humor to deal with change.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.rxhumor.com/"} +{"d:Title": "Bauer, \"Wild\" Bill", "d:Description": "Available for private and public events. Includes biography, schedule and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/B", "url": "http://www.wildbillbauer.com/"} +{"d:Title": "Cassidy, John", "d:Description": "Comedian for dinners, golf days and corporate events, from the UK. Includes background and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://www.johncassidy.co.uk/"} +{"d:Title": "Cotter, Wayne", "d:Description": "Available for corporate and private functions. Includes biography, show profiles and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://www.waynecotter.com/"} +{"d:Title": "Chicago Comedy Company", "d:Description": "Customized corporate and college entertainment and creative training using improvisational comedy. Includes history, cast profiles, client list and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://www.chicagocomedyco.com/"} +{"d:Title": "Cavanagh, Tim", "d:Description": "Available for banquets and corporate events. Resume, tour dates, biography, photos, and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://www.timcav.com/"} +{"d:Title": "Ceisler, Rich", "d:Description": "Comedian available for private and corporate events. Audio files, video clips, show profile, contact information and biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://www.richcomedy.com/main.htm"} +{"d:Title": "Conque, Murray", "d:Description": "Comedian from Louisiana whose shows feature tales (and lies) about his Cajun upbringing and friends. Includes schedule and testimonials.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://www.murrayconque.com/"} +{"d:Title": "Corporate Columbo", "d:Description": "Corporate speaker and entertainer Michael Pasternak performs as detective Lt. Columbo. Includes video demo and recent clients.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://www.corporatecolumbo.com/"} +{"d:Title": "Czegledi, Jim", "d:Description": "Offers individual and corporate humor coaching and keynote presentations. Includes blog, FAQ and video. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/C", "url": "http://laughlong.com/"} +{"d:Title": "Durkac, Steve", "d:Description": "Author and a corporate chaplain based in Alabama. Site offers biography, show details, gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/D", "url": "http://www.stevedurkac.com/"} +{"d:Title": "Fincher, Durwood", "d:Description": "Focuses on corporate communications. Contains videos, FAQ and client list. Based in Georgia.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/F", "url": "http://www.doubletalk.com/"} +{"d:Title": "Freedman, Harry", "d:Description": "Comedian, speaker and corporate imposter available for banquets and events. Includes resumes, biography, show profile, services and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/F", "url": "http://hfreedman.com/"} +{"d:Title": "Frisbie, Paul", "d:Description": "Booking schedule and biography. Frisbie's act combines standup monologues with original songs and parodies played on guitar, banjo, and mandolin.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/F", "url": "http://www.paulfrisbie.com/"} +{"d:Title": "Fannell, John", "d:Description": "Comedian and event speaker offers motivational messages. Contains client list, program details and testimonials.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/F", "url": "http://www.johnfannell.com/"} +{"d:Title": "Goblet, Elliot", "d:Description": "Australian born comedian available for corporate events and banquets. Includes background, past clients promotional photos and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/G", "url": "http://www.elliotgoblet.com.au/"} +{"d:Title": "Guerra, Carl", "d:Description": "Performance resume and references, biography, photographs and contact information for this Florida based comedian.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/G", "url": "http://www.freewebs.com/gocmdn/"} +{"d:Title": "Gray, Clinton W.", "d:Description": "Kamloops, B.C., Canada comedian available for corporate comedy presentations.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/G", "url": "http://www.clintonwgray.com/"} +{"d:Title": "Hayes, Thomas J.", "d:Description": "Massachusetts based standup comedian, humorist and speaker who also offers customized impostor services.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/H", "url": "http://www.thomasjhayes.com/"} +{"d:Title": "Hiatt, Paul", "d:Description": "Comic speaker for corporate events. Includes biography, show description and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/H", "url": "http://www.comicspeaker.com/comicspeaker_001.htm"} +{"d:Title": "Hardwick, Dick", "d:Description": "Clean comedy entertainment for conventions, corporate meetings, or special events. Includes biography, schedule, client list and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/H", "url": "http://www.dickhardwick.com/"} +{"d:Title": "Hendren, Jay", "d:Description": "One time farmer performing clean entertainment for private and corporate events. Provides biography, resume, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/H", "url": "http://www.jayhendren.com/"} +{"d:Title": "Hanenberg, Marty", "d:Description": "Based in Canada, available for corporate and private events. Includes biography, resume and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/H", "url": "http://www.canadiancomic.com/"} +{"d:Title": "Haddan, Steve", "d:Description": "After-dinner speaker and business comedian base in Australia. Biography, testimonials and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/H", "url": "http://www.stevehaddan.com.au/"} +{"d:Title": "Henderson, Finis", "d:Description": "Comedian, singer, and impersonator for corporate and organization events. Schedule, video and audio samples, and contact information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/H", "url": "http://www.finis.com/"} +{"d:Title": "Isaak, Paul", "d:Description": "Based in Calgary, Canada, juggling and comedy for corporate events and festivals. Contains upcoming events, video clips, show and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/I", "url": "http://www.funnyjuggler.com/"} +{"d:Title": "Irving, Ian", "d:Description": "UK comedian delivers after dinner speeches, comedy cabaret, and comedy for any corporate event. Includes biography, testimonials and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/I", "url": "http://www.topcomic.co.uk/"} +{"d:Title": "James, Perry", "d:Description": "Comedian magician for corporations, organizations and private parties. Includes biography, video clips, past performances and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/J", "url": "http://www.perryjames.com/"} +{"d:Title": "The Jim Show", "d:Description": "Stunt comedy based in Massachusetts. Includes contact information, news, show description and pictures.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/J", "url": "http://www.thejimshow.com/"} +{"d:Title": "Jolie the Edumacajun", "d:Description": "Providing entertainment with a Cajun theme in Louisiana. General information and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/J", "url": "http://www.cajunentertainment.com/"} +{"d:Title": "Justice, Jeff", "d:Description": "Keynote speaker on using humor in the workplace to reduce stress, defuse anger and build relationships. Also emcees banquets, conventions and conferences. Includes profile, video and testimonials.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/J", "url": "http://www.jeffjustice.com/"} +{"d:Title": "Jones, David C.", "d:Description": "A corporate comedian in Vancouver, BC, Canada. Includes his work as an actor, producer, director and teacher.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/J", "url": "http://www.davidcjones.ca/"} +{"d:Title": "Jones, Larry G", "d:Description": "Offers corporate event entertainment for conventions. Includes awards, reviews, videos and press.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/J", "url": "http://www.lasvegasacts.com/"} +{"d:Title": "Kilbride, Tim", "d:Description": "A comedian, impressionist and master of ceremonies available for trade shows, conventions and corporate meetings. Includes background information, performance credits and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/K", "url": "http://angelfire.com/comics/tim_kilbride"} +{"d:Title": "Knight, Austin", "d:Description": "Comedian and after dinner speaker for corporate events. Includes audio samples, biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/K", "url": "http://www.comedian.ws/"} +{"d:Title": "Kurtz, Perry", "d:Description": "Non-offensive stand-up for corporate events and banquets. Includes available services, past clients, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/K", "url": "http://www.perrykurtz.com/"} +{"d:Title": "Lentz, Sally", "d:Description": "Comic observations of life and coping strategies for corporate and social functions. Samples of observations and quips, background and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/L", "url": "http://www.bigwits.com/"} +{"d:Title": "Lipschtick", "d:Description": "Improv, custom written musical comedy, celebrity impersonations and communication workshops for corporate/social events.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/L", "url": "http://www.lipschtick.com/"} +{"d:Title": "Linn, Chris", "d:Description": "Clean comedy entertainment for California corporate events. Preview DVD available.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/L", "url": "http://www.californiacomedian.com/"} +{"d:Title": "Lowen, Phil", "d:Description": "Combines comedy, and Tom Jones and Pavarotti tributes, to create a show for all occasions. UK based.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/L", "url": "http://phillowen.com/"} +{"d:Title": "Linkin, Tyler", "d:Description": "Available for events, parties and meetings. Show description, biography and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/L", "url": "http://www.tylerlinkin.com/"} +{"d:Title": "Lowell, Chipper", "d:Description": "Visual comedian based in California. Contains biography, resume, reviews, show profile and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/L", "url": "http://www.corporatecomedymagic.com/"} +{"d:Title": "Lewis. Rick", "d:Description": "Physical comedy, juggler and an acrobat for banquets and corporate events. Show clips, past reviews and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/L", "url": "http://www.breakarule.com/"} +{"d:Title": "Miles, Frank", "d:Description": "Comic monologues, juggling, music and magic for corporate events. Includes biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.capcityspeakers.com/speakers/Miles.htm"} +{"d:Title": "McInnis, Jan", "d:Description": "Available for corporate events and organizations. Includes biography, client quotes and resume.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.greatact.com/"} +{"d:Title": "Max, Steve", "d:Description": "Professional Simon Sez leader for corporate and private functions. Available services and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://stevemax.com/"} +{"d:Title": "McDonald, Kelly", "d:Description": "Available\u00a0for conventions and corporate events. Includes background, clients, contact information and news.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.kellymcdonald.com/"} +{"d:Title": "McMillan, Don", "d:Description": "Engineer turned comedian performed for over 200 High Tech Companies. Includes biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.donmcmillan.com/"} +{"d:Title": "Mike Carbone", "d:Description": "Performing talk shows and game shows, that are live. Located in Toronto, Canada providing show descriptions, profile and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.mikecarbone.com/"} +{"d:Title": "Mercury, Mike", "d:Description": "Stand-up comedian and emcee available for awards banquets, corporate events and private parties. Includes video samples, credits, and testimonials.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.mikemercury.com/"} +{"d:Title": "Mills, Karen", "d:Description": "Clean performance for corporations in Atlanta, Birmingham, and Chattanooga. Includes biography and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.karenmills.com/"} +{"d:Title": "McInnis, Jan", "d:Description": "American comedienne whose jokes focus on the 15 years she worked in an office, family and on day-to-day stuff.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/M", "url": "http://www.theworklady.com/"} +{"d:Title": "Natole, Rich", "d:Description": "Available for corporate and private functions. Includes pictures, biography, resume and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/N", "url": "http://www.richnatole.com/"} +{"d:Title": "Nardizzi, Paul", "d:Description": "Performer available for private and corporate events, based in Boston Massachusetts. Contact information and contact form available.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/N", "url": "http://www.paulnardizzi.com/"} +{"d:Title": "Nelson, David \"Buffalo Bill\"", "d:Description": "Cowboy storyteller and humorist in East Tennessee. Includes biography, booking information and downloadable samples.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/N", "url": "http://www.cowboycomedyshow.com/"} +{"d:Title": "Nieder, M.D., Brad", "d:Description": "Entertains at conventions and corporate events with medical humor and uplifting messages. Press kit, booking details, and reviews. Based in Colorado.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/N", "url": "http://www.healthyhumorist.com/"} +{"d:Title": "Pollio, Marty", "d:Description": "Performer available for events and meetings. Includes biography, resume, video clips [Real Player], and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/P", "url": "http://www.1comedian.com/"} +{"d:Title": "Page, Frank \"DebitMan\"", "d:Description": "Florida performer and accountant available for corporate events. Includes contact information, video clips, biography and news.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/P", "url": "http://www.debitman.tv/"} +{"d:Title": "Professsor Tommy Moore", "d:Description": "Offering comedy for seniors, executives and organizations. Contains information about seminars, biography, resume, programs available and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/P", "url": "http://www.profcomedy.com/"} +{"d:Title": "Prodgers, Al", "d:Description": "Performer based in South Africa, available for corporate functions. Biography, sound clips, video files and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/P", "url": "http://alprodgers.co.za/"} +{"d:Title": "Richards, Ian", "d:Description": "Entertainer available for golf outings and sportmans dinners. Includes show descriptions, references and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/R", "url": "http://ianrichards.co.uk/"} +{"d:Title": "Roy, Russell", "d:Description": "Comedian specializing in corporate imposter characters, after dinner speeches, and comic roasts. Includes references, video link, background information and contact.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/R", "url": "http://www.russellroy.com/"} +{"d:Title": "Roberts, Rik", "d:Description": "Nashville, Tennessee comedian for corporate events and conventions. Schedule, biography, promotional materials and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/R", "url": "http://www.rikroberts.com/"} +{"d:Title": "Sheil, Brian", "d:Description": "Clean comedy for corporations and clubs. Resume, biography, reviews and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/S", "url": "http://www.briansheil.com/"} +{"d:Title": "Stephen B", "d:Description": "Customized comedy for corporate clients. Contains biography, event ideas and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/S", "url": "http://www.stephenbcomedy.com/"} +{"d:Title": "Show, Jim", "d:Description": "Boston based comedy variety performer, specializing in corporate family entertainment. Includes show profile, biography, client list and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/S", "url": "http://www.corporate-comedy.com/"} +{"d:Title": "Smith, Stewart", "d:Description": "After-dinner speaker, sports banquets and corporate events. Includes background information, client list and contact form.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/S", "url": "http://www.stewartsmith.s5.com/"} +{"d:Title": "Solomon, Steve", "d:Description": "Performer in New York City area available for corporate and private events. Biography, reviews, show profiles and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/S", "url": "http://www.stevesolomoncomedy.com/"} +{"d:Title": "Sparks, Susan", "d:Description": "Keynote, seminar and retreat themes by this religious standup comedian. Based in New York.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/S", "url": "http://www.susansparks.com/"} +{"d:Title": "Schwem, Greg", "d:Description": "Performer available for private and public events. Audio files, video sample, biography, client list and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/S", "url": "http://www.gregschwem.com/"} +{"d:Title": "Thison, Gary", "d:Description": "Stand up, spoof speeches, game shows and comedy roasts for corporate events. Text version of a routine, show profiles, past clients and contact information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/T", "url": "http://www.interactivelunacy.com/corpcomedy.htm"} +{"d:Title": "Van Zandt, Steve", "d:Description": "Comedian and impressionist available for corporate events. Biography, resume, show profiles, audio samples and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/V", "url": "http://www.stevevanzandt.com/"} +{"d:Title": "van Luit, Jasper", "d:Description": "Magic in Business provides presentations and training using the metaphor of magic. Includes profile, references and services details. The Netherlands. [Dutch and English]", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/V", "url": "http://www.magicinbusiness.com/"} +{"d:Title": "Yashere, Gina", "d:Description": "British comedian and writer with a debut in 1995. Includes booking information, biography, pictures and news.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Corporate_Comedians/Y", "url": "http://www.ginayashere.com/"} +{"d:Title": "Boiling Brain Pot, The", "d:Description": "Duo from Winnipeg Manitoba, Canada. News, history, sketches, video clips and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/B", "url": "http://mandais.tripod.com/theboilingbrainpot"} +{"d:Title": "Brian Damage and Krysstal", "d:Description": "Comedy duo offering background information, past performances and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/B", "url": "http://www.briandamage.net/"} +{"d:Title": "Bernie and Red", "d:Description": "British comedy duo, based in Vancouver, performing live in Western Canada and the US. Includes schedule, recordings, background and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/B", "url": "http://www.bernieandred.com/"} +{"d:Title": "Crate", "d:Description": "Sketch comedy trio from Australia. Contains past shows, photos and venues.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/C", "url": "http://crate.iwarp.com/"} +{"d:Title": "Dave and Tom", "d:Description": "Information on shows, reviews, video, audio. Includes photos of Dave Beeler and Tom Konkle.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/D", "url": "http://www.daveandtom.com/"} +{"d:Title": "Damage Control", "d:Description": "Sketch troupe from Florida providing cast biographies, history and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/D", "url": "http://www.damagecontrolonline.com/"} +{"d:Title": "Emerson Comedy Workshop, The", "d:Description": "Emerson College's oldest comedy troupe founded by Denis Leary in 1976. Includes current cast members, history and movie clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/E", "url": "http://pages.emerson.edu/organizations/ecw"} +{"d:Title": "Elephant Larry", "d:Description": "New York based sketch comedy group. Includes booking information, schedule and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/E", "url": "http://elephantlarry.com/"} +{"d:Title": "Free Love Forum", "d:Description": "Group from Madison, Wisconsin. Includes upcoming schedule, cast biographies, video clips and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/F", "url": "http://www.freeloveforum.com/"} +{"d:Title": "Giggling Pie", "d:Description": "Comedy double-act Colin and Rob. Contains upcoming schedule, pictures and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/G", "url": "http://gigglingpie.tripod.com/"} +{"d:Title": "Hindu Houdini and Friends", "d:Description": "Official site of Hindu Houdini with photos, movies and sound files from his comedy troupe. Purchase CDs from the online store.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/H", "url": "http://www.stcroixstudios.com/hindy/index.html"} +{"d:Title": "Happy Funtime", "d:Description": "Improvisational and sketch comedy group based in Minneapolis. Includes biographies, photos and video clips, schedule, contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/H", "url": "http://www.happyfuntime.com/"} +{"d:Title": "Improv Asylum", "d:Description": "Boston theater group that offers both improv and sketch comedy shows. Corporate shows, corporate training and a national touring company included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/I", "url": "http://www.improvasylum.com/"} +{"d:Title": "Kung Pao Kosher Comedy", "d:Description": "Jewish comedy on Christmas in a Chinese Restaurant has become a San Francisco tradition. Information on shows, performers biographies, tickets and contact included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/K", "url": "http://www.koshercomedy.com/"} +{"d:Title": "The Laughing Irish", "d:Description": "Troupe of University of Notre Dame alumni. Includes show information and links to performer information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L", "url": "http://www.laughingirish.com/"} +{"d:Title": "Laurel and Hardy - The Official Website", "d:Description": "Spotlighting downloads, video postcards, contests, \"Sons of the Desert\" tent information, a message board, illustrated essays on the films.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy", "url": "http://www.laurel-and-hardy.com/"} +{"d:Title": "Laurel and Hardy - Oh How We Laughed...", "d:Description": "Tribute to Stan Laurel and Oliver Hardy, with many links to fan pages, \"Sons of the Desert\" Tents, memorabilia, articles and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy", "url": "http://www.snowcrest.net/marnells/laurel.htm"} +{"d:Title": "Laurel and Hardy Central", "d:Description": "An informative online encyclopedia dedicated to educating the public about Stan Laurel and Oliver Hardy.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy", "url": "http://laurelandhardycentral.com/"} +{"d:Title": "Crazy World of Laurel and Hardy, The", "d:Description": "Includes film list, song lyrics, biographies and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy", "url": "http://www.stanlaurelandoliverhardy.com/"} +{"d:Title": "Come Clean", "d:Description": "Tribute to the duo. Includes publicity photos and movie stills, trivia information and supporting player profiles.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy", "url": "http://www.patfullerton.com/lh/lhhome.html"} +{"d:Title": "Laurel and Hardy Magazine", "d:Description": "Quarterly publication by the Helpmates UK Tent of The Stan Laurel&Oliver Hardy Appreciation Society. Features photos, memorabilia and related news.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy", "url": "http://www.laurelandhardy.org/"} +{"d:Title": "Sons of the Desert", "d:Description": "International appreciation society for the comedic duo. History, news, local chapters and general information included.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy/Sons_of_the_Desert", "url": "http://www.sotd.org/"} +{"d:Title": "Way Out West Tent", "d:Description": "Los Angeles, California chapter. Includes a newsletter, events, memorabilia, video sources, filmography, locations, a timeline, surveys, and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy/Sons_of_the_Desert", "url": "http://www.wayoutwest.org/"} +{"d:Title": "Leave 'Em Laughing Tent", "d:Description": "Oasis #211, Bridgeport, Connecticut chapter. Includes meeting details, chapter news, events and general information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy/Sons_of_the_Desert", "url": "http://www.asu2000.net/ct/sons/"} +{"d:Title": "Another Fine MessTent", "d:Description": "Northern Ireland's Sons of the Desert Tent. Membership details, news, forum and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/L/Laurel_and_Hardy/Sons_of_the_Desert", "url": "http://www.anotherfinesite.com/"} +{"d:Title": "Misplaced Comedy Group, The", "d:Description": "Performing theater games and skits. Contains cast biographies, audio clips, news, schedule and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/M", "url": "http://www.misplacedcomedygroup.com/"} +{"d:Title": "Men Who Knew Too Much, The", "d:Description": "Australian performance art comedy group. Includes history, show profiles, member biographies and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/M", "url": "http://tmwktm.axs.com.au/"} +{"d:Title": "McBride Brothers, The", "d:Description": "A sketch group from Ft. Wayne, Indiana. Includes past performances, contact information and news.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/M", "url": "http://themcbridebrothers.tripod.com/"} +{"d:Title": "One Laugh at Least", "d:Description": "Sketch group from Pennsylvania. Performance schedule, news and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/O", "url": "http://www.angelfire.com/pa2/onelaughatleast/"} +{"d:Title": "Phantom Sheep Comedy", "d:Description": "Comedy troupe specializing in satire, parody and improvisation. Shows for all ages. Includes history, cast resumes, show profiles, video clips and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/P", "url": "http://www.the-spa.com/~psp/"} +{"d:Title": "Schadenfreude", "d:Description": "An alternative sketch comedy troupe that writes and performs social and political satire. Information on upcoming shows, reviews, biographies, and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/S", "url": "http://www.schadenfreude.net/"} +{"d:Title": "Sketchworks", "d:Description": "Atlanta, Georgia based sketch troupe. History, show description, schedule and cast biographies included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/S", "url": "http://www.sketchworkscomedy.com/"} +{"d:Title": "SuperSklars.com", "d:Description": "Brothers Randy and Jason Sklar appearing nationally. News, upcoming shows and recent television appearances included. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/S", "url": "http://www.supersklars.com/"} +{"d:Title": "Silly Spider Monkey Fiasco, The", "d:Description": "Improv and sketch comedy, featuring a cast of artists and broadcasters. Contains troupe history, show profile, video clips and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/S", "url": "http://www.spidermonkeyfiasco.com/"} +{"d:Title": "Smothers Brothers", "d:Description": "Official site. Includes biography, history, news, \"The Yo-Yo Man\" profile, merchandise, show schedule and informational contacts.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/S/Smothers_Brothers", "url": "http://www.smothersbrothers.com/"} +{"d:Title": "Ten West", "d:Description": "Sketch troupe based in Los Angeles, California. Information regarding upcoming shows, cast biographies and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T", "url": "http://www.tenwest.net/"} +{"d:Title": "T. Bob Tubb", "d:Description": "Sketch comedy group based in Boston. Site contains audio, video, and photographs, as well as a chance for submissions and information on live performances.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T", "url": "http://www.24oz.com/tbob/index.html"} +{"d:Title": "Tomboys in Fishnets", "d:Description": "All female sketch troupe based in California. Includes performer biographies, pictures, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T", "url": "http://www.tomboysinfishnets.com/"} +{"d:Title": "WWWF Ground Zero: Three Stooges vs. Marx Brothers", "d:Description": "The two trios of comedy legends fight it out for the last lifeboat on the Titanic. [humor]", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T/Three_Stooges,_The", "url": "http://www.grudge-match.com/History/stooge_marx.shtml"} +{"d:Title": "The Three Stooges", "d:Description": "The official website featuring biographies, films, photo gallery, sounds, news, forum, and chat.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T/Three_Stooges,_The", "url": "http://www.threestooges.com/"} +{"d:Title": "ThreeStooges.net", "d:Description": "Portal site offering filmography, forum, tv schedules, episode descriptions, chat rooms and \"on this date\" history facts.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T/Three_Stooges,_The", "url": "http://threestooges.net/"} +{"d:Title": "The Stoogeum", "d:Description": "The world's first and only museum dedicated entirely to The Three Stooges.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T/Three_Stooges,_The", "url": "http://stoogeum.com/"} +{"d:Title": "Three Stooges Tribute", "d:Description": "Collection of Three Stooges, sounds, video clips, images, news updates, and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/T/Three_Stooges,_The", "url": "http://www.angelfire.com/ca4/stoogelovers/"} +{"d:Title": "Williams and Ree", "d:Description": "Also known as \"The Indian and the White Guy.\" History, biographies, upcoming schedule, photo gallery and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Groups/W", "url": "http://www.williamsandree.com/"} +{"d:Title": "Lang und Breit", "d:Description": "Comedy with banjo and washtub. Site is in German and English.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.langundbreit.com/"} +{"d:Title": "Piano Juggler", "d:Description": "California based musical comedic juggler. Video clips, biography, resume, and contact details provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.pianojuggler.com/"} +{"d:Title": "Doodoo Wah", "d:Description": "Politically incorrect folk humor from California's Mother Lode.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://doodoowah.com/"} +{"d:Title": "Sutherland, Buzz", "d:Description": "Official site of the man behind the \"Donald Duck having an orgasm\" clip.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.buzzsutherland.com/"} +{"d:Title": "Salvo, Chuck", "d:Description": "Music and comedy suitable for all ages. Show schedule and tape/CD-ROM ordering.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.chucksalvo.com/"} +{"d:Title": "Weaver, Larry", "d:Description": "Offers stand-up comedy, musical parodies, search engine optimization training, corporate event speaking, and entertainment booking. Based out of Raleigh, NC.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.larryweaver.com/"} +{"d:Title": "Mabe, Tom", "d:Description": "Official site for information on Tom's new album, \"Revenge on the Telemarketers Round One\".", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.tommabe.com/"} +{"d:Title": "The Limey Birds", "d:Description": "Brit-pop and comedy fusion.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.angelfire.com/de2/limeybirds/index.html"} +{"d:Title": "Tuba Jim&Roy", "d:Description": "A comedy site specializing in parody and general silliness with a technicolor tuba flare.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.tubajim.com/"} +{"d:Title": "Davis, Scott", "d:Description": "Scott Davis is best friends with Mark Lowry and does music/comedy concerts throughout the world for churches, singles, youth, schools, and crusade events.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.scottdavis.com/"} +{"d:Title": "Trailer Park Troubadours, The", "d:Description": "Musical comedy act. Biography, profiles, news, reviews, and artwork.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.unhitched.com/"} +{"d:Title": "Comedy Roasts", "d:Description": "Musical Comedy and Satire. Geoff Sirmai and David Fisher create personalised unique cabaret for any special occasion.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.comicroasts.com.au/"} +{"d:Title": "Van Tee, Phil", "d:Description": "Old-timey mountain banjo, jokes, stories and original comedic songs. Included here are audio clips, resume, schedule, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://philvantee.com/"} +{"d:Title": "Corky and the Juice Pigs", "d:Description": "Lyrics, sound clips, and information on this wacky Canadian trio.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://kimberlychapman.com/corky/index.html"} +{"d:Title": "The Jack D'Johns", "d:Description": "Vegas style show/dance band. Musical comedy for all ages.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://oamweb.com/entertainment/jackdjohns/"} +{"d:Title": "Eddie, Mark", "d:Description": "A rock comic playing nationwide. Includes video samples, background and contact information, CDs and tour schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.markeddie.com/"} +{"d:Title": "Butler, Todd", "d:Description": "Musical satirist from Canada. Includes biographies, audio samples, video clips, contact information and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.toddbutler.com/"} +{"d:Title": "Pat and John", "d:Description": "Official site of award-winning musical comedy duo John Sampson and Patrick James O'Connell. Includes CD ordering and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.patandjohn.de/"} +{"d:Title": "Van-Dells, The", "d:Description": "Oldies show and musical comedy. Nationally touring band providing upcoming schedule, history, biographies and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.van-dells.com/"} +{"d:Title": "The Vestibules Online", "d:Description": "Musical and sketch comedy from Canada. Links to MP3s as well as album ordering.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.thevestibules.com/"} +{"d:Title": "Satanicide", "d:Description": "Parody metal from New Jersey. MP3s of songs, video clips, photos, and a discussion board.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.satanicide.com/"} +{"d:Title": "Mule Deer, Gary", "d:Description": "Comedian musician touring nationally. Includes audio samples, upcoming schedule, picture gallery and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://garymuledeer.com/"} +{"d:Title": "Rix, Toby", "d:Description": "Musical clown, playing Haydn on horns and bells. Site available in Dutch and English, includes photos, records, and other information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.tobyrix.com/"} +{"d:Title": "Morey, Sean", "d:Description": "Providing song clips, tour information, message board and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.seanmorey.com/"} +{"d:Title": "Carsonmania", "d:Description": "Original comedy tunes from Leah Carson. Site features entire songs in RealMedia format, plus album info.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.carsonmania.com/"} +{"d:Title": "Shaw, Kenny", "d:Description": "Canadian country/comedy music entertainer. Booking information, press kit, and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.kennyshaw.ca/"} +{"d:Title": "Blair, Dennis", "d:Description": "Music blended with standup comedy. Biography, tour dates, and album ordering.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.dennisblair.com/"} +{"d:Title": "Phillips, Henry", "d:Description": "Audio downloads, CD-ROM ordering, and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://henryphillips.com/"} +{"d:Title": "The Party Hog", "d:Description": "Western Canada's One Man Comedy Rock Show - information on gigs, live songlist, free mp3s, pics and videos!", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.partyhog.com/"} +{"d:Title": "Barbutti, Pete", "d:Description": "Musical comedian. Listed here are links to Real Audio jokes and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.barbuti.com/"} +{"d:Title": "Jet, Johnny", "d:Description": "Original funny songs and poems from comedy singer/songwriter. Lyrics and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.johnnyjet.co.uk/"} +{"d:Title": "Arieff, Rachel", "d:Description": "Videos, music, biography, and schedule information for Los Angeles based performer.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.rachelarieff.com/"} +{"d:Title": "Hersch, Rainer", "d:Description": "Classical music comedian from Britain.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://rainerhersch.com/"} +{"d:Title": "R.A.C.", "d:Description": "Band is part of the UK underground rap, breakdancing and pirate radio scenes. Mp3s and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.purevolume.com/rac"} +{"d:Title": "Paul and Storm", "d:Description": "Music comedy duo from Washington, DC. Biographies, schedule, music samples and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.paulandstorm.com/"} +{"d:Title": "LaBelle, Mark", "d:Description": "Details of his performances, schedule, and gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.marklabelle.com/"} +{"d:Title": "Dane, Ari", "d:Description": "Photos, biography, reviews and audio clips of original songs.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.aridane.biz/"} +{"d:Title": "Minchin, Tim", "d:Description": "Australian comedian, actor, composer and songwriter. Includes biography, upcoming gigs, reviews, weblog and merchandise.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.timminchin.com/"} +{"d:Title": "Blackledge, Dave", "d:Description": "Schedule, photos and lyrics.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.daveblackledge.com/"} +{"d:Title": "Moosebutter", "d:Description": "Quartet performs comedy music classics. MP3 sound samples, photos, and lyrics.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.moosebutter.com/"} +{"d:Title": "Ainsworth, Laura", "d:Description": "Details of the singer and comedian's theatrical revue, \"My Ship Has Sailed\". Schedule and booking information, reviews, sound clips and weblog.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.lauraainsworth.com/"} +{"d:Title": "Bedroom Philosopher, The", "d:Description": "Contains discography, biography, photographs and weblog for art-folk humorist and musician Justin Heazlewood. Based in Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.bedroomphilosopher.com/"} +{"d:Title": "Turetzky, Ken", "d:Description": "Bad-attitude, folk- and blues-based acoustic comedy at venues in Texas and Indiana. Blog, lyrics, music, biography, schedule and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.turetzkysyndrome.com/"} +{"d:Title": "Lilley, Richard", "d:Description": "Appearances, booking information, and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.comedycv.co.uk/freaksouting/"} +{"d:Title": "Fred and Al", "d:Description": "Comedy music band based in the UK. Free MP3 songs, screensavers and wallpaper.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.fredandal.co.uk/"} +{"d:Title": "The FuMP", "d:Description": "The Funny Music Project is a collective of artists who produce and release comedy recordings via webcast.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.thefump.com/"} +{"d:Title": "Bananas at Large", "d:Description": "Wisconsin group that performs hunting-themed comedic songs.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.bananasatlarge.com/"} +{"d:Title": "Pierce, Larry", "d:Description": "Frequent Howard Stern Show guest and the \"Master of Dirty Country Music.\" Features audio samples.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://www.larrypierce.com/"} +{"d:Title": "Cowtown Entertainment", "d:Description": "Kansas City guitar comedian Mike West provides clips of his own show and offers comedy karaoke and other forms of musical entertainment.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music", "url": "http://kccomic.cowtownentertainment.com/"} +{"d:Title": "FiresignTheatre.com", "d:Description": "The official home page features 'Give Me Immortality Or Give Me Death' and 'Boom Dot Bust' comedy CDs from Rhino Records.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music/Firesign_Theatre", "url": "http://www.firesigntheatre.com/"} +{"d:Title": "Firesign Sound Index", "d:Description": ".AIFF files available for download.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music/Firesign_Theatre", "url": "http://www-personal.umich.edu/~thinker/soundindex.html"} +{"d:Title": "'House of Firesign Theatre", "d:Description": "All about the theatre, reviews, online dictionary featuring FST lexicon, chat, and voting booth.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music/Firesign_Theatre", "url": "http://www.benway.com/firesign/"} +{"d:Title": "It's Just This Little Chromium Switch Here", "d:Description": "Newsletter for Firesign Theatre fans, with archives and letters about the group.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music/Firesign_Theatre", "url": "http://www.chromiumswitch.org/"} +{"d:Title": "Stephen Lynch", "d:Description": "Official site, includes biography and album information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music/Lynch,_Stephen", "url": "http://www.stephenlynch.com/"} +{"d:Title": "Three Dead Trolls in a Baggie", "d:Description": "Official site includes audio and video files, news, and scripts.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music/Three_Dead_Trolls_in_a_Baggie", "url": "http://www.deadtroll.com/"} +{"d:Title": "Vince Vance and the Valiants", "d:Description": "Official site includes photographs, sound clips, gig schedule, booking information and merchandise.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Music/Vance,_Vince_and_the_Valiants", "url": "http://www.vincevance.biz/"} +{"d:Title": "Mr. Zed", "d:Description": "Robot comedian from the future. Includes history and information about comedian, past, present and future appearances and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.mrzed.com/"} +{"d:Title": "Konig, Dave", "d:Description": "Provides biography, news, contact information, archives, audio clips and past appearances.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.davekonig.com/"} +{"d:Title": "Van Straaten, J. Keith", "d:Description": "Live, non-televised talk show in Los Angeles and game show \"Beat the Geeks.\" Includes biography, news, upcoming schedule, forum and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.jkeith.net/"} +{"d:Title": "Goldberg, Ester", "d:Description": "Jewish drag artist hosting a variety of quiz-style shows. Site features upcoming events, background information and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.estergoldberg.com/"} +{"d:Title": "Chaplain, Hilary", "d:Description": "Professional physical comedienne, specializing in solo performance, comedy routines, improv and theater. Includes biography, show descriptions and critical reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://hilarychaplain.com/"} +{"d:Title": "Corey, Irwin", "d:Description": "Official site offers biography, reviews and photo gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.irwincorey.org/"} +{"d:Title": "Slaight, Brad", "d:Description": "A Los Angeles based comedian, actor and writer. Credits, reviews, biography and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.bradslaight.com/"} +{"d:Title": "Haines, Eric", "d:Description": "Washington based stand-up comedian, juggler, stilt walker and musician. Show features comedy songs on banjo and guitar for corporate events and festivals.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.comedyrocket.com/"} +{"d:Title": "Solo", "d:Description": "Family friendly entertainment by a two man team of Larry Moss and John Barthelmes, based in Rochester, NY. Performances involve live music and comedy. Includes a video and profiles of the performers.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stage_and_Variety", "url": "http://www.wearesolo.com/"} +{"d:Title": "Comedy Stands Up", "d:Description": "A collection of stand-up comedy videos from a wide range of comedians.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up", "url": "http://www.comedystandsup.pseudotube.com/"} +{"d:Title": "Rooftop Comedy", "d:Description": "Clips of stand up comedians and comedy clubs from around world.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up", "url": "http://www.rooftopcomedy.com/"} +{"d:Title": "Acer, David", "d:Description": "Stand-up comedy and close-up magic. Comedian, author, television writer. Montreal.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.davidacer.com/"} +{"d:Title": "Abel, Milt", "d:Description": "Observational and anecdotal comedian with clean act. Includes biography, past appearances, contact information and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.miltabel.com/"} +{"d:Title": "Aswell, Tom", "d:Description": "Profile on delafont.com. Delivers homespun humor, with a motivational message. Includes reviews and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.delafont.com/comedians/tom-aswell.htm"} +{"d:Title": "Altman, Jeff", "d:Description": "Profile at delafont.com. Strong in character transformation. Biography, photos, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.delafont.com/comedians/Jeff-Altman.htm"} +{"d:Title": "Arron, Bennett", "d:Description": "Wales based comedian offering biography, achievements and upcoming schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.bennettarron.co.uk/"} +{"d:Title": "Alper, Bob", "d:Description": "A Rabbi and a stand-up comic, entertainer, author. Biography, contact and booking information, books, and performance schedule included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.bobalper.com/"} +{"d:Title": "Austin, John Wesley", "d:Description": "Touring \"Cowboy Comedian\" providing background, biography, tour schedule, booking information, and merchandise.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.cowboycomedian.com/"} +{"d:Title": "Arthur, Rebecca", "d:Description": "Entertainer based in California. Includes audio files, upcoming performances, photos and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.tallcomic.com/"} +{"d:Title": "Alpine, Chris", "d:Description": "Comedian, writer and actor touring Nationally. Contains biography, current projects, contact information and upcoming schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.chrisalpine.com/"} +{"d:Title": "Ancona, Ronni", "d:Description": "Female comedian and impressionist from the UK. Profile, pictures, and interviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.ronniancona.com/"} +{"d:Title": "Allen, Craig", "d:Description": "Touring performer from the Twin cities. Includes biography, tour schedule and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.craigallencomedy.com/"} +{"d:Title": "Angry Bob", "d:Description": "New York based performer. Site contains show dates, reviews and downloads.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.angrybob.org/"} +{"d:Title": "Alexandro, Ted", "d:Description": "Presents his schedule, photos, opinions and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.tedalexandro.com/"} +{"d:Title": "Allison, Rod", "d:Description": "\"Rod Of God Comedy\", featuring clean comedy clips. Includes a biography, reviews and audio clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://rodofgodcomedy.com/"} +{"d:Title": "Apio, Kermet", "d:Description": "American touring comedian providing past credits, upcoming appearances, biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.ikerm.com/"} +{"d:Title": "Andrews, Todd", "d:Description": "New England based performer providing biography, pictures, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A", "url": "http://www.toddandrews.com/"} +{"d:Title": "Wil Anderson", "d:Description": "Website devoted to Australian comedian, JJJ presenter and television star. Contains articles, images, and related links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A/Anderson,_Wil", "url": "http://www.angelfire.com/wi/landerson/"} +{"d:Title": "Devoted to the Stickiest Chicken", "d:Description": "Includes articles, photos, Wil puns, links as well as a 2002 Glass House episode guide with quotes.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A/Anderson,_Wil", "url": "http://www.angelfire.com/realm2/stickychicken/"} +{"d:Title": "Dave Attell", "d:Description": "Official web site containing booking information, upcoming events, photographs and email.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/A/Attell,_Dave", "url": "http://www.daveattell.com/"} +{"d:Title": "Barry, Todd", "d:Description": "Northeast comedian touring nationally offering biography, schedule, receipt museum, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.toddbarry.com/"} +{"d:Title": "Berserk, Bella", "d:Description": "The drag queen, female impersonator, and drag artist. Includes biography, gallery, show profile and contact.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.bellaberserk.com/"} +{"d:Title": "Byrne, Ed", "d:Description": "Comedian from the United Kingdom. Includes Biography, news, tour dates, and resources for press and media.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.edbyrne.com/"} +{"d:Title": "Barnhart, Don", "d:Description": "Schedule, video, audio, animation for the comedian, actor, writer, sketch and improv performer.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.donbarnhart.com/"} +{"d:Title": "Barr, Julie", "d:Description": "Profile at Delafont.com. Biography and contact from booking agent.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.delafont.com/comedians/Julie-Barr.htm"} +{"d:Title": "Bruhn, Lonnie", "d:Description": "Specializes in dark and twisted approach to humor. Biography, journal, photos, RealAudio clips, pricing and hiring information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.lonniebruhn.com/"} +{"d:Title": "Brown, Thomas", "d:Description": "Tampa, Florida native and creator of \"From the Stage to the Stove\", a cookbook with recipes and jokes from other well known comedians. Biography, schedule and news included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.thomasbrown.com/"} +{"d:Title": "Blakeman, Scott", "d:Description": "American political comedian and comedy trainer, known for \"Jewish humor.\" Class and booking information, video and audio clips, news, background.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.scottblakeman.com/"} +{"d:Title": "Bublewicz, Joe", "d:Description": "East Coast US performer. Contact form, biography, credits, scheduled shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.joebub.com/"} +{"d:Title": "Butt, Brent", "d:Description": "Comedian from Saskatchewan, Canada. Includes biography, news, audio clips and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.brentbutt.com/"} +{"d:Title": "Bliss, Chris", "d:Description": "Features video clips, essays and writings, video press kit for clients and buyers, and publicity and promotional materials.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.chrisbliss.com/"} +{"d:Title": "Boosler, Elayne", "d:Description": "Comedienne touring Nationally. Includes audio samples, biography, contact address, past credits and tour schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.elayneboosler.com/"} +{"d:Title": "Buckley, Kathy", "d:Description": "Hearing impaired comedienne, actress and motivational speaker. Contains news, contact information, biography and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.kathybuckley.com/"} +{"d:Title": "Basile", "d:Description": "Nationally touring comedian providing biography, news, tour schedule, newsletter, audio samples and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.4basile.com/"} +{"d:Title": "Birbiglia, Mike", "d:Description": "Comedian living in New York City known for clean, original material. Includes upcoming schedule, news, video clips, biography and booking information. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.birbigs.com/"} +{"d:Title": "Bailey, Ben", "d:Description": "From Chatham, New Jersey providing background information, recent works and upcoming shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.therealbenbailey.com/"} +{"d:Title": "Busboom, Rob", "d:Description": "\"The Quarter Ton Comic\" providing biography, pictures, audio and video files.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.busboom.com/"} +{"d:Title": "Butler, Mark", "d:Description": "UK comic based in Melbourne, Australia. Includes biography, photos, upcoming gigs and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.funnymark.com/"} +{"d:Title": "Brogan, Jim", "d:Description": "Includes resume, biography, reviews and a schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.jimbroganonline.com/"} +{"d:Title": "Blue, Josh", "d:Description": "Includes comedy schedule, booking info, fan forum and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.joshblue.com/"} +{"d:Title": "Baskerville, Gavin", "d:Description": "Melbourne, Australia based performer and writer. Includes a biography and performance calendar.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://home.iprimus.com.au/gbaskerville"} +{"d:Title": "Burr, Steve", "d:Description": "New York based touring performer provides biography, schedule, e-mail sign up, contact and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.steveburrcomedy.com/"} +{"d:Title": "Balan, Michele", "d:Description": "New York comedienne performing Internationally. Features sound files, schedule, biography, gallery, and the Ask Michele section.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.comicbalan.com/"} +{"d:Title": "Black, Lewis", "d:Description": "Nationally touring performer based in California. Includes upcoming schedule, biography, audio samples, news and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.lewisblack.com/"} +{"d:Title": "Baum, Bruce", "d:Description": "Prop comedian's profile, photos, TV credits, and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/B", "url": "http://www.brucebaum.com/"} +{"d:Title": "Casey, George", "d:Description": "Stand-up comedy for conventions, golf outings and company parties. Includes biography, show profiles, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.georgecasey.com/"} +{"d:Title": "Cowart, Nancy", "d:Description": "Black comedienne with a comedic timing and creativity. Profile at Delafont.com with booking details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.delafont.com/comedians/Nancy-Cowart.htm"} +{"d:Title": "Curry, Don \"DC\"", "d:Description": "Profile at Delafont.com. Known for his on-stage charisma and strong conviction. Includes photos, tour and concert schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.delafont.com/comedians/Don-Curry.htm"} +{"d:Title": "Callif, Steve", "d:Description": "Profile at Delafont.com. Specializes in musical parody and satire. Resume, reviews, and booking.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.delafont.com/comedians/steve-callif.htm"} +{"d:Title": "Clinton, Kate", "d:Description": "Humorist, monologist, writer and comedienne. Includes biography, schedule, show profiles and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.kateclinton.com/"} +{"d:Title": "Capri, Jeff", "d:Description": "Observational comedian touring the United States. Contains biography, contact information, tour schedule, resume show profiles, video clips and audio samples.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.jeffcapri.com/"} +{"d:Title": "Collins, Bobby", "d:Description": "American comedian providing biography, tour schedule, video clips, audio samples and fan mail information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.bobbycollins.com/"} +{"d:Title": "Coppola, Vinnie", "d:Description": "Comedian from Florida, touring Nationally. Biography, contact information and upcoming tour dates included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.vinnie.com/"} +{"d:Title": "Curless, Jason", "d:Description": "Performer and writer. Includes photo gallery, writing samples, resume, biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.porkjerky.com/"} +{"d:Title": "Carr, Alan", "d:Description": "Performer located in the UK providing biography, upcoming schedule, contact form and multimedia files.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.alancarr.net/"} +{"d:Title": "Chinery, Chip", "d:Description": "Stand up comedian and actor. Includes past appearances, resume, booking and contact information. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.chipchinery.com/"} +{"d:Title": "Cesario, Jeff", "d:Description": "Touring performer with acting and writing credits. Biography, schedule, sample jokes and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.jeffcesario.com/"} +{"d:Title": "Carrington, Rodney", "d:Description": "Official site. Blue country comedian and singer. Features tour schedule, photographs, biography, merchandise, and audio and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.rodneycarrington.com/"} +{"d:Title": "Cantrell, Rob", "d:Description": "California based entertainer providing schedule, biography and email sign up.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.robcantrell.com/"} +{"d:Title": "Carter, Darren", "d:Description": "Touring performer providing schedule, biography, audio and video files.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.darrencarter.com/"} +{"d:Title": "Choyce, Faith", "d:Description": "Los Angeles-based stand-up comic. Includes photos, schedule, biography and weblog.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://faithchoyce.com/"} +{"d:Title": "Topix: Cedric The Entertainer", "d:Description": "News about Cedric The Entertainer, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.topix.com/rss/who/cedric-the-entertainer.xml"} +{"d:Title": "Cordes, Mark", "d:Description": "Available for corporate events and clubs. Includes news, show information and bookings information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.markcordes.com/"} +{"d:Title": "Camp, Lee", "d:Description": "Based in New York City providing resume, writing samples and email address.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://leecamp.net/"} +{"d:Title": "Cameron, Drew", "d:Description": "Combines stand-up comedy and impressions, and also offers a Michael Caine look-alike service. Includes audio and video clips, news, reviews, photographs, availability calendar and contact details. UK based.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.celebecards.net/drewcam/"} +{"d:Title": "Cho, Henry", "d:Description": "Korean with an East Tennessee drawl. Profile, photos, upcoming shows and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.henrychocomedy.com/"} +{"d:Title": "Cognito, Ian", "d:Description": "UK based performer providing background information, reviews, show schedule and photo gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C", "url": "http://www.iancognito.org/"} +{"d:Title": "A Tribute to Margaret Cho", "d:Description": "Fan site. Background information, biography, credits, photos, audio clips and news.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C/Cho,_Margaret", "url": "http://www.calavera.com/moran/"} +{"d:Title": "Cho, Margaret", "d:Description": "Official site. Includes tour schedule, news, articles and reviews, biography, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C/Cho,_Margaret", "url": "http://www.margaretcho.com/"} +{"d:Title": "Pat Condell", "d:Description": "Official site. Information about his shows, and links to videos and audio downloads.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C/Condell,_Pat", "url": "http://www.patcondell.net/"} +{"d:Title": "YouTube - Pat Condell", "d:Description": "Video monologues criticising religion.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C/Condell,_Pat", "url": "http://www.youtube.com/patcondell"} +{"d:Title": "Pat Condell's Godless Comedy", "d:Description": "Audio-only monologues criticising religion.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C/Condell,_Pat", "url": "http://patcondell.libsyn.com/"} +{"d:Title": "The Freethinker: Laughing religion off the planet - an interview with Pat Condell", "d:Description": "Covers the medium of Internet video, and his attitude to religion.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/C/Condell,_Pat", "url": "http://www.freethinker.co.uk/?p=580"} +{"d:Title": "Duffy, Chris", "d:Description": "Comedian and writer from San Francisco, California. Biography, past appearances, area comedy, contact information and photo gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.chrisduffy.com/"} +{"d:Title": "Danylo, Roman", "d:Description": "Comedian providing news, background, biography, photos, video clips, reviews and resume.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.romandanylo.com/"} +{"d:Title": "Davis, Tanyalee", "d:Description": "This three and a half foot tall dynamic comedian includes interesting notes about her life, show dates and self-esteem.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://tanyaleedavis.com/"} +{"d:Title": "Dugan, Mike", "d:Description": "Site devoted to his show \"Men Fake Foreplay.\" Reviews, show description, biography, travelogue.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.menfakeforeplay.com/"} +{"d:Title": "Durst, Will", "d:Description": "Comedian and political satirist providing biography, contact information, writings and upcoming show schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.willdurst.com/"} +{"d:Title": "Dwoskin, Jeff", "d:Description": "Performer and writer appearing in the Central United States. Upcoming shows, photographs, resume and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.jeffisfunny.com/"} +{"d:Title": "Ditzel, Joe", "d:Description": "Los Angeles based comedian providing calendar, writings, guestbook and forums.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.joeditzel.com/"} +{"d:Title": "Dunn, Simon", "d:Description": "UK based scriptwriter and comedian. Includes original audio downloads, reviews and blogs.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.simondunn.me.uk/"} +{"d:Title": "Driscoll, Ed", "d:Description": "Offers video clips and biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.eddriscollonline.com/"} +{"d:Title": "Donohue, Becky", "d:Description": "ComicShed presents illustrated profile, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.beckydonohue.com/"} +{"d:Title": "Dimino, Mary", "d:Description": "Comedian, actress and stand-up comedy instructor based in New York, touring the USA. Includes biography, photos, video clips, reviews, booking and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://www.marydimino.com/"} +{"d:Title": "DiPaolo, Nick", "d:Description": "East Coast performer offering biography, schedule, resume, past shows and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "https://www.nickdip.com/"} +{"d:Title": "Dechi, Danny", "d:Description": "San Francisco based comedian, musician, actor and writer. A quirky combination of clean comedy and unique music with a #2 pencil.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/D", "url": "http://dannydechi.com/"} +{"d:Title": "Elwood, Jeremy", "d:Description": "New Zealand performer who combines rock guitar and comedy. Includes biography, scheduled shows, general information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/E", "url": "http://www.jeremyelwood.com/"} +{"d:Title": "Engvall, Bill", "d:Description": "Touring performer providing biography, photos, tour, ticket and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/E", "url": "http://www.billengvall.com/"} +{"d:Title": "Eli, Shaun", "d:Description": "Based in New York City providing stand-up comedy video and audio, performance schedule, written comedic essays, biography, past credits, and weblog.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/E", "url": "http://www.brainchampagne.com/"} +{"d:Title": "Ellingson, Jeff", "d:Description": "Los Angeles based performer providing schedule, biography, sound clips and pictures.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/E", "url": "http://www.jeffellingson.net/"} +{"d:Title": "Fike, Al", "d:Description": "Comedian, speaker and entertainer. Contact information, photos, newsletter, audio clips and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.alfike.com/"} +{"d:Title": "Francisco, Pablo", "d:Description": "Stand-up comedian, impressionist, off-the-wall sound effect extraordinaire. Site uses Flash and Real Video.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.pablofrancisco.com/"} +{"d:Title": "Fragomeni, Joel", "d:Description": "Michigan based comedian. Includes show dates, biography, stories, pictures.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.joelthecomic.com/"} +{"d:Title": "Flanders, Tom", "d:Description": "Comedian offering stand up audio and video clips, writings, observations about wrestling, car racing and live journal.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://tomflanders.com/"} +{"d:Title": "Finney, Michael", "d:Description": "Nationally touring comedian providing biography, audio samples, video clips, show profile, contact information, and tour schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.michaelfinney.com/"} +{"d:Title": "Friedman, Dan", "d:Description": "Touring performer providing biography, video and audio clips and picture gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.phunnyguy.com/"} +{"d:Title": "Fatel, Mitch", "d:Description": "Touring performer providing biography, pictures, video clips and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.mitchfatel.com/"} +{"d:Title": "Ferrara, Adam", "d:Description": "Touring performer providing biography, upcoming schedule, multimedia downloads and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.adamferrara.com/"} +{"d:Title": "Fairbanks, Chris", "d:Description": "Includes schedule, news, video clips and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.chrisfairbanks.com/"} +{"d:Title": "Finnegan, Christian", "d:Description": "Performer and writer. Includes schedule, pictures, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.christianfinnegan.com/"} +{"d:Title": "Fitzgerald", "d:Description": "Appearances list, contact information and book details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.fitzgeraldcomedy.com/"} +{"d:Title": "Flanagan, Kitty", "d:Description": "Based in London. Appearance schedule, biography, photos, credits and reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.kittyflanagan.com/"} +{"d:Title": "Foxworthy, Jeff", "d:Description": "Grammy award winning comedian. Includes biography, jokes, audio clips, photos, booking information and upcoming schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.jefffoxworthy.com/"} +{"d:Title": "Foreman, Meshelle", "d:Description": "Resume of the \"Indie-Mom of Comedy\". Includes photos, news and calendar.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/F", "url": "http://www.meshelle.net/"} +{"d:Title": "Glass, Todd", "d:Description": "Los Angeles, California based comedian with numerous television appearances. Includes upcoming shows, cd, photos, contact information and current projects.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.toddglass.com/"} +{"d:Title": "Grose, Andrew", "d:Description": "Touring performer based in Canada. Includes biography, credits, future shows, contact information, and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.andrewgrose.com/"} +{"d:Title": "Gardner, Janine", "d:Description": "Profile at Delafont.com. Includes credits and reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.delafont.com/comedians/janine-gardner.htm"} +{"d:Title": "Giles, Nelson", "d:Description": "Comedian based in Vancouver, British Columbia, Canada. Contact information, audio and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://nelsongiles.tripod.com/"} +{"d:Title": "Gonzales, Virginia", "d:Description": "Comedienne from in Belen, New Mexico. General information, resume, contact and upcoming dates.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://nozama.tripod.com/theamazoncomic/"} +{"d:Title": "Gorman, Dave", "d:Description": "London, England based comic performing around the United Kingdom. News, show schedule, contact information and past appearances included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.davegorman.com/"} +{"d:Title": "Gomez, Marga", "d:Description": "Nationally touring comedienne from New York City. Includes biography, show profile, upcoming schedule and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.margagomez.com/"} +{"d:Title": "Gilmartin, Paul", "d:Description": "Performer from Chicago, Illinois providing audio samples, biography, upcoming schedule, contact and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.paulgilmartin.com/"} +{"d:Title": "Goad, Duane", "d:Description": "Touring performer based in Canada. Includes biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.duanegoad.com/"} +{"d:Title": "Gregory, James", "d:Description": "Comedian performing throughout Southern United States. Profile, credits, reviews, audio files, tour dates, and merchandise information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://funniestman.com/"} +{"d:Title": "Galligan, Diana", "d:Description": "Commedienne providing video clips, upcoming schedule, contact information and photo gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.dianagalligan.com/"} +{"d:Title": "Garrett, John", "d:Description": "Former CPA turned New York City based comedian performs at corporate events, colleges and comedy clubs. Includes schedule, biography, road stories as well as video and audio files.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.johngcomedy.com/"} +{"d:Title": "Gunn, Mike", "d:Description": "Reviews, biography, calendar and details about his anti-drugs show for schools. Based in the UK.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.mikegunn.co.uk/"} +{"d:Title": "George, Sabrina", "d:Description": "UK based performer touring Internationally. Includes upcoming show schedule, background and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/G", "url": "http://www.newcomedyradio.com/"} +{"d:Title": "Henton, John", "d:Description": "Profile at delafont.com. Observational and topical humor. Includes biography and pictures.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.delafont.com/comedians/John-Henton.htm"} +{"d:Title": "Haney, Rob", "d:Description": "Profile at delafont.com. Uses gentle recognition humor about parents and kids.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.delafont.com/comedians/rob-haney.htm"} +{"d:Title": "Harvey, Steve", "d:Description": "Performer based in Los Angeles, California. General information, booking, radio and television shows, pictures, as well as information about the Steve and Mary L. Harvey Foundation.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.steveharvey.com/"} +{"d:Title": "Hargrave, Toby", "d:Description": "Performer from Canada appearing nationally. Includes profile, show reviews, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.seetobylive.com/"} +{"d:Title": "Hegley, John", "d:Description": "Touring comedian based in the United Kingdom. Provides biography, schedule, gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.johnhegley.co.uk/"} +{"d:Title": "Holmes, Pete", "d:Description": "Chicago, Illinois based entertainer. Includes audio clips, tour dates and biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.peteholmes.com/"} +{"d:Title": "Hayes, Tom", "d:Description": "Entertainer from New England providing biography, schedule, show profiles and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.thomasjhayes.com/"} +{"d:Title": "Harvie, Ian", "d:Description": "Performs in theaters, clubs and colleges. Includes show dates, biography, video clips and gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.ianharvie.com/"} +{"d:Title": "Hofstetter, Steve", "d:Description": "Performer known as \"The Thinking Man's Comic\". Biography, video and audio samples, photos and details of his satellite radio show.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www.stevehofstetter.com/"} +{"d:Title": "Heward, Chris", "d:Description": "Canadian performer providing biography, schedule, pictures and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H", "url": "http://www3.telus.net/public/angello/"} +{"d:Title": "Buddy Hackett Wows Copacabana Crowd", "d:Description": "Transcript of a 1956 review of the comedian's act by Robert W. Dana, entertainment columnist for the now-defunct New York World Telegram and Sun.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hackett,_Buddy", "url": "http://www.bigbandsandbignames.com/Buddyhackett.html"} +{"d:Title": "IMDb: Buddy Hackett", "d:Description": "Filmography and biographical information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hackett,_Buddy", "url": "http://www.imdb.com/name/nm0004983/"} +{"d:Title": "Hedberg, Mitch", "d:Description": "Official website. Club dates, pictures, reviews, road experiences.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hedberg,_Mitch", "url": "http://www.mitchhedberg.net/"} +{"d:Title": "Mitch Hedberg - Wikiquote", "d:Description": "Quotes from the comedian arranged by subject matter.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hedberg,_Mitch", "url": "http://en.wikiquote.org/wiki/Mitch_Hedberg"} +{"d:Title": "Mitch Hedberg - Wikipedia", "d:Description": "Provides overview of the stand-up comic's career, discography, filmography and TV appearances.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hedberg,_Mitch", "url": "http://en.wikipedia.org/wiki/Mitch_Hedberg"} +{"d:Title": "IMDb: Mitch Hedberg", "d:Description": "Filmography as actor, director, writer and producer. Brief biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hedberg,_Mitch", "url": "http://www.imdb.com/name/nm0373136/"} +{"d:Title": "Mike Davidson: Mitch Hedberg - Rest in Peace", "d:Description": "Weblog entries and two album clips in memory of the comic.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hedberg,_Mitch", "url": "http://www.mikeindustries.com/blog/archive/2005/03/mitch-hedberg-rest-in-peace"} +{"d:Title": "Comedy Central - Mitch Hedberg", "d:Description": "Video clips and biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hedberg,_Mitch", "url": "http://www.cc.com/comedians/mitch-hedberg"} +{"d:Title": "Bill Hicks", "d:Description": "One of the Outlaw comics with Sam Kinison, contains biography, audio and video archives, news, message board and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hicks,_Bill", "url": "http://www.billhicks.com/"} +{"d:Title": "Bill Hicks", "d:Description": "Cemetery memorial picture, information and add a note. Tributes to those who have left.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hicks,_Bill", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=2487"} +{"d:Title": "Love All the People", "d:Description": "Details on the book by Bill Hicks, as well as MP3 downloads, biography and reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/H/Hicks,_Bill", "url": "http://www.loveallthepeople.co.uk/"} +{"d:Title": "Irene, Christina", "d:Description": "Touring comedienne. Includes contact information, biography, upcoming schedule and personal views.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/I", "url": "http://www.christinairene.com/"} +{"d:Title": "Inman, James", "d:Description": "Touring performer. Biography, reviews, audio files included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/I", "url": "http://www.jamesinman.com/"} +{"d:Title": "Ifft, Eddie", "d:Description": "ComicShed presents illustrated profile, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/I", "url": "http://www.eddieifft.com/"} +{"d:Title": "Jubal, Malik", "d:Description": "Profile on Delafont.com. Demonstrates dramatic skills, combined with vocal gymnastics. Resume, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.delafont.com/comedians/Malik-Jubal.htm"} +{"d:Title": "Joiner, Michael", "d:Description": "American Christian comedian and writer. Includes past performances, biography, concert pictures and contact.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.christiancomic.com/"} +{"d:Title": "Johannsen, Jake", "d:Description": "American performer touring nationally. Includes video samples from video, specials and television, contact information and tour schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.jakethis.com/"} +{"d:Title": "Jeong, Ken", "d:Description": "\"Dr. Ken\" provides biography, pictures, video files contact information and upcoming events.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.drken.net/"} +{"d:Title": "Joyce, Jesse", "d:Description": "New York City based performer and writer. Includes biography, schedule, booking information, video clips and daily thoughts.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.jessejoyce.com/"} +{"d:Title": "J., Myra", "d:Description": "Comedienne and writer. Biography, past credits and tour information provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.myraj.net/"} +{"d:Title": "James, Langdon", "d:Description": "Based in the Philadelphia Area. Includes schedule, news, pictures and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.langdonjames.com/"} +{"d:Title": "James, Ron", "d:Description": "Performer and writer based in Canada. Includes resume, biography, appearances, reviews and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.ronjames.ca/"} +{"d:Title": "Jackson, Erin", "d:Description": "Features calendar, biography, FAQ, guestbook and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.erinjackson.net/"} +{"d:Title": "Jen\u00e9e", "d:Description": "Los Angeles based comedienne. Includes biography and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://jenee.net/"} +{"d:Title": "Jeni, Richard", "d:Description": "Comedian/actor's resume, biography, merchandise, appearances, reviews, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.richardjeni.com/"} +{"d:Title": "Jena, Jeffrey", "d:Description": "Comedian and writer. Profile including biography, schedule and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://www.jeffreyjena.com/"} +{"d:Title": "Jessup, Steve", "d:Description": "Touring entertainer providing pictures, biography, show clips and tour schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/J", "url": "http://iknowmyrights.com/"} +{"d:Title": "Kennedy, Justin", "d:Description": "Entertainer from Australia. Includes background and contact information, writing samples and upcoming schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://justinkennedy.com.au/"} +{"d:Title": "Klassen, Leland", "d:Description": "Canadian comedian provides tour schedule, booking information and biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.lelandklassen.com/"} +{"d:Title": "Kilmartin, Laurie", "d:Description": "New York City comedienne. Includes biography, news, contact, upcoming schedule and reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.kilmartin.com/"} +{"d:Title": "Kelly, Robert", "d:Description": "New York City based performer. Includes news, schedule, message board, contact information and audio samples. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.robertkellylive.com/"} +{"d:Title": "Kennedy, Big Ben", "d:Description": "Entertainer from Baltimore, Maryland. Featuring video clips, audio clips, pictures, show schedule, interactive message board, and biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.bigbenkennedy.com/"} +{"d:Title": "Kan, Raybon", "d:Description": "New Zealand based performer providing biography, booking contact and pictures.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.raybonkan.com/"} +{"d:Title": "Keating, John", "d:Description": "Los Angeles based comic providing biography, video clips, photo gallery and show schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.johnkeating.biz/"} +{"d:Title": "Kelly, Thomas J.", "d:Description": "Performer and writer in New York City. Contains schedule, biography, thought of the day, photo gallery and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://thomasjkelly.com/"} +{"d:Title": "Kahn, Jerry", "d:Description": "New York City based performer. Includes profile, schedule and resume.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.angelfire.com/comics/jerrykahn/"} +{"d:Title": "Keshner, Gary", "d:Description": "Stand Up comedian from Brooklyn, New York. Contact details, availability and experience listed.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://gkesh.tripod.com/"} +{"d:Title": "Killer Beaz", "d:Description": "Comedian specializing in humor with a Southern view. Includes upcoming shows, booking and contact information, photos, biography and newsletter sign up.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.killerbeaz.com/"} +{"d:Title": "Kosta, Michael", "d:Description": "Official site of comedian Michael Kosta. Schedule, weblog, media, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K", "url": "http://www.michaelkosta.com/"} +{"d:Title": "Sam Kinison", "d:Description": "Official site. Includes video clips, music videos, audio clips and photo gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K/Kinison,_Sam", "url": "http://www.samkinison.org/"} +{"d:Title": "IMDb: Sam Kinison", "d:Description": "Includes biography, trivia, death details, filmography and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K/Kinison,_Sam", "url": "http://www.imdb.com/name/nm0455630/"} +{"d:Title": "Screamin' Sam Comedy Show", "d:Description": "Available for clubs and parties. Biography, schedule, audio samples, booking information and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/K/Kinison,_Sam/Impersonators", "url": "http://www.screaminsam.com/"} +{"d:Title": "Little, Rob", "d:Description": "Detroit, Michigan based comedian offers show dates, pictures, biography, road diary and fan club.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.roblittle.com/"} +{"d:Title": "Leake, Brett", "d:Description": "Virginia based comedian with numerous television appearances. Resume, credits, photos and audio clips, reviews, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.brettleake.com/"} +{"d:Title": "Lundholm, Mark", "d:Description": "Provides recovery and 12-step humor. Includes show profile, biography, upcoming schedule, contact information and references.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.marklundholm.com/"} +{"d:Title": "Lopez, George", "d:Description": "Comedian with upcoming sitcom. Includes biography, news, tour dates, photos, audio samples, video clips and downloads.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.georgelopez.com/"} +{"d:Title": "Little, Dave", "d:Description": "Performer from Texas providing background, contact and booking information, schedule and audio files.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.lovedavelittle.com/"} +{"d:Title": "Liebman, Wendy", "d:Description": "American comedienne touring nationally. Includes biography, upcoming schedule, and contact, publicity and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://wendyliebman.com/"} +{"d:Title": "Lapides, Beth", "d:Description": "California based comedienne offering information about current projects, upcoming schedule, show profile, biography, audio samples and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.uncabaret.com/"} +{"d:Title": "Lewis, Richard", "d:Description": "Comedian with television, movie and writing credits. Includes biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.richardlewisonline.com/"} +{"d:Title": "Lottering, Marc", "d:Description": "Internationally touring performer from South Africa. Includes biography, schedule and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.marclottering.com/"} +{"d:Title": "Lampert, Johnny", "d:Description": "Entertainer performing Nationally. Biography, articles, tour dates and complete booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.johnnylampert.com/"} +{"d:Title": "Lord Carrett", "d:Description": "Touring performer providing publicity photo, biography, flyers, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.lordoflaughs.com/"} +{"d:Title": "Larry The Cable Guy", "d:Description": "National touring comedian. Includes commentaries, biography, jokes, audio clips, photos, booking information, upcoming schedule and Larry's Flea Market.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.larrythecableguy.com/"} +{"d:Title": "Lucero, Marc", "d:Description": "London based performer. Includes news, schedule, pictures reviews and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.marclucero.co.uk/"} +{"d:Title": "Lazarus, Steve", "d:Description": "Yankee Stadium Beer Man turned performer. Contains biography, pictures, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.stevelazarus.com/"} +{"d:Title": "Lenox, Darryl", "d:Description": "West Coast stand-up specialising in humorous socio-political commentary. Biography, contact information, guestbook, news and tour dates.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://darryllenox.com/"} +{"d:Title": "Lynam, Chris", "d:Description": "Current shows and schedule, photos, video, and reviews for this British comedian.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.chrislynam.net/"} +{"d:Title": "Lawrence, Iszi", "d:Description": "A UK based comedian, and semi-finalist in the BBC New Comedy Awards. Gig list, weblog, artwork, photos and sound clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.iszi.com/"} +{"d:Title": "London, Jay", "d:Description": "Official website includes schedule, audio and video clips and a gallery.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.jaylondonlive.com/"} +{"d:Title": "Lampanelli, Lisa", "d:Description": "Actress and stand-up comedienne known for insult comedy. Provides photos, video clips, photos and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.insultcomic.com/"} +{"d:Title": "Lewis, Garie", "d:Description": "Showcase of personal comedy performances and humor in general.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.garielewis.com/"} +{"d:Title": "Loder, Mike", "d:Description": "A comedian and commentator based in Auckland, New Zealand. Lists awards, seminars, appearance and booking information, rants and writings.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.mikeloder.com/"} +{"d:Title": "Legge, Michael", "d:Description": "Irish comedian offering news, show schedule, links and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.comedycv.co.uk/michaellegge/"} +{"d:Title": "Laughing Cows Comedy Nite", "d:Description": "All-female line-up of stand-up comedy gigging around England and Europe.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.laughingcowscomedy.co.uk/"} +{"d:Title": "Ladman, Cathy", "d:Description": "Includes her resume, performance schedule, news, photos and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.cathyladman.com/"} +{"d:Title": "Leifer, Carol", "d:Description": "Former writer for \"Seinfeld\", available for corporate or private events. Includes biography, books and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://carolleifer.com/"} +{"d:Title": "Lubel, Al", "d:Description": "Stand-up comic. Includes profile, dates and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/L", "url": "http://www.allubel.com/"} +{"d:Title": "Marshall, Charles", "d:Description": "Christian comedian for corporate, civic, private and church events. Biography, show schedule, newsletter sign up and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://charlesmarshall.net/"} +{"d:Title": "Marley, Bob", "d:Description": "Touring comedian based in Los Angeles, California, with numerous television appearances. Contains biography, contact information, video clips and show schedule. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.bmarley.com/"} +{"d:Title": "McGillen, Tom", "d:Description": "Profile on Delafont.com. Renowned for quick comic observation, and cutting-edge delivery. Resume, photo, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.delafont.com/comedians/Tom-McGillen.htm"} +{"d:Title": "Mencia, Carlos", "d:Description": "Biography, news and publicity, road stories, photos, tour schedule, fan mail, and contact.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.carlosmencia.com/"} +{"d:Title": "Motion, Rory", "d:Description": "Comedian, singer/songwriter, and tree impressionist. Biography, publicity photo and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.rorymotion.com/"} +{"d:Title": "Mooney, Paul", "d:Description": "Profile, pictures and booking for this performer, from the Richard De La Font agency.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.delafont.com/comedians/paul-mooney.htm"} +{"d:Title": "Mullarkey, Neil", "d:Description": "One-man comedy stage show. Pictorial tourof career in comedy improvisation, background including double-act with Mike Myers and contact.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.neilmullarkey.com/"} +{"d:Title": "Meaney, Kevin", "d:Description": "Nationally touring performer. Biography, upcoming schedule, journal, video clips, audio files, pictures and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.kevinmeaney.com/"} +{"d:Title": "Mirman, Eugene", "d:Description": "Performer based in New York City. Biography, news, upcoming schedule, audio samples, video files and contact information. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.eugenemirman.com/"} +{"d:Title": "Mason, Jackie", "d:Description": "Touring comedian with movie and television credits. Includes biography, upcoming schedule and reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.jackiemason.com/"} +{"d:Title": "McGivern, John", "d:Description": "Milwaukee, Wisconsin native providing biography, upcoming events, message board and pictures.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://johnmcgivern.com/"} +{"d:Title": "McKinney, Juston", "d:Description": "Performer from Maine. Includes biography, booking information and photographs.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.justonmckinney.com/"} +{"d:Title": "Morales, Matt", "d:Description": "Entertainer providing information about next show, resume and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.mattmorales.com/"} +{"d:Title": "Morton, Bruce", "d:Description": "Information about performer based in Scotland. Includes news, schedule and background information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.brucemorton.net/"} +{"d:Title": "McIntire, Tim - The Reverend", "d:Description": "Boston based comic and host of the Thursday Night Fights. Promotional materials, schedule, news and biography included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.themcintireconspiracy.com/"} +{"d:Title": "Mann, Rick", "d:Description": "Comedian from Hoboken, New Jersey performs in clubs in colleges in the New York Tri-state area. Includes upcoming schedule, booking information and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.ricardoaleman.com/"} +{"d:Title": "Demetri, Martin", "d:Description": "New York comedian. Site contains video clips and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.demetrimartin.com/"} +{"d:Title": "Muratore, Mike", "d:Description": "Contains biography, videos, performance calendar, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.mikemuratore.com/"} +{"d:Title": "Morrison, Susan", "d:Description": "The host of a morning show on Talk 107, an Edinburgh radio station, provides details of her standup and after dinner speaking presentations.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.suziemo.co.uk/"} +{"d:Title": "McNally, Jim", "d:Description": "Ontario, Canada comedian whose site includes reviews, resume, videos and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.jim-mcnally.com/"} +{"d:Title": "Meyer, Scott", "d:Description": "Seattle-based comedian. Biography, audio and video and projects.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://basicinstructions.net/"} +{"d:Title": "Moss, Colin", "d:Description": "South African comedian and TV presenter. Photos, news and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.colinmoss.com/"} +{"d:Title": "Mathieson, Jamie", "d:Description": "UK based stand-up comedian and writer offers his resume, tour dates, material, photos, and award winning animation.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://jamiemathieson.blogspot.com/"} +{"d:Title": "Monteith, Kelly", "d:Description": "American comedian based in southern California; site includes profile, video clips from US TV and BBC series, film feature trailer, contact details and blog.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.kellymonteith.com/"} +{"d:Title": "McCarron, Kevin", "d:Description": "Comedian from London, UK. Includes background, booking information, schedule and show profile.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.laughinghorsecomedy.co.uk/kevinmccarron/"} +{"d:Title": "Moreno, Rudy", "d:Description": "Nationwide US comic, actor and musician. Profile, pictures, and upcoming events.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M", "url": "http://www.rudymoreno.com/"} +{"d:Title": "The Weiner Dog Chronicle", "d:Description": "Information about and opinions on Norm.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/MacDonald,_Norm", "url": "http://members.tripod.com/~TVGirl/"} +{"d:Title": "Norm Homage Site", "d:Description": "Biography, filmography, pictures, news and transcripts.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/MacDonald,_Norm", "url": "http://www.angelfire.com/stars/normlvr/"} +{"d:Title": "FakeNews.Net", "d:Description": "Fan site featuring sounds and transcripts from past appearances, news and archives.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/MacDonald,_Norm", "url": "http://www.fakenews.net/"} +{"d:Title": "IMDb - Norm Macdonald", "d:Description": "Filmography, trivia, articles and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/MacDonald,_Norm", "url": "http://www.imdb.com/name/nm0005172/"} +{"d:Title": "Norm MacDonald", "d:Description": "Several articles from Sun Media Corp. newspapers.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/MacDonald,_Norm/Articles_and_Interviews", "url": "http://jam.canoe.com/Movies/Artists/M/MacDonald_Norm/"} +{"d:Title": "Dennis Miller Live - Bigscreen", "d:Description": "Dennis Miller's Big Screen shots from his show Dennis Miller Live.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/Miller,_Dennis", "url": "http://www.angelfire.com/ca2/dennismillerlive/"} +{"d:Title": "Josh's Dennis Miller Rip Off Page", "d:Description": "This site contains \"rants\" written in the fast-paced comedic style of Dennis Miller.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/Miller,_Dennis", "url": "http://www.angelfire.com/ky/apocryphajj/index.html"} +{"d:Title": "Open Letter to Dennis Miller", "d:Description": "A fan's response to the comedian's ranting. With Miller's comments, pictures, jokes, others' letters, guestbook, and links.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/Miller,_Dennis", "url": "http://members.tripod.com/~MNL_1221/dennismiller.html"} +{"d:Title": "Monday Night Football", "d:Description": "Dennis Miller profile from Monday Night Football's official site.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/M/Miller,_Dennis", "url": "http://www.espn.com/abcsports/mnf/columns/miller_dennis/bio.html"} +{"d:Title": "Noble, Ross", "d:Description": "Includes live dates, biography and press information about this North Eastern comedian.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/N", "url": "http://www.rossnoble.co.uk/"} +{"d:Title": "Naster, David", "d:Description": "Touring comedian offering biography, contact details, past appearances and book information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/N", "url": "http://www.naster.com/"} +{"d:Title": "Nussbaum, Alex", "d:Description": "Entertainer from Toronto, Ontario. Includes upcoming schedule, press, pictures, biography, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/N", "url": "http://www.alexnussbaum.com/"} +{"d:Title": "Nelson, Bob", "d:Description": "Nationally touring performer providing club dates, biography, news, show reviews and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/N", "url": "http://www.bobnelson.com/"} +{"d:Title": "Oswalt, Patton", "d:Description": "Nationally touring performer based in California. Schedule, diary, news and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/O", "url": "http://www.pattonoswalt.com/"} +{"d:Title": "Obeidallah, Dean", "d:Description": "Stand up comic deals with political and social issues. Biography, schedule, photos and details of the presentation, \"Standup for Peace\".", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/O", "url": "http://www.deanofcomedy.com/"} +{"d:Title": "O'Neill, Andrew", "d:Description": "Includes biography, schedule, gallery and original writings.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/O", "url": "http://www.andrewoneill.co.uk/"} +{"d:Title": "Panzeca, Michael", "d:Description": "Comforting the disturbed and disturbing the comfortable for over 20 years. Reviews, FAQs, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.michaelpanzeca.com/"} +{"d:Title": "Prince, Michael", "d:Description": "Biography and resume, video clips, joke of the week, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://michaelprince.home.mindspring.com/"} +{"d:Title": "Point, Dana", "d:Description": "Los Angeles based performer and actress. Profile, picture and booking information, from the Richard De La Font Agency.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.delafont.com/comedians/dana-point.htm"} +{"d:Title": "Philips, Emo", "d:Description": "Nationally touring comedian from California. Information about upcoming schedule, news, biography and contact details included. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.emophilips.com/"} +{"d:Title": "Pardo, Jimmy", "d:Description": "Nationally touring performer. Biography, schedule, video clips, audio files and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.jimmypardo.com/"} +{"d:Title": "Papa, Tom", "d:Description": "Nationally touring entertainer providing news, photographs, show calendar and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://tompapa.com/"} +{"d:Title": "Paynter, Gord", "d:Description": "Performer from Ontario, Canada providing background information, show descriptions, contact details and testimonials.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.gordpaynter.ca/"} +{"d:Title": "Phan, Dat", "d:Description": "Touring performer providing biography, calendar, journal and forum.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.datphan.com/"} +{"d:Title": "Poundstone, Paula", "d:Description": "Offers diary, audio and video clips, mailing list and tour date information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.paulapoundstone.com/"} +{"d:Title": "Peters. Russell", "d:Description": "Canadian based comedian. Features include biography, news, press releases, concert dates, gallery, FAQs, forum, weblog and merchandise.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.russellpeters.com/"} +{"d:Title": "Putz, Marty", "d:Description": "Exponent of bizarre and unusual comedy. Includes profile, TV/film/commercials credits, reviews, and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.martyputz.com/"} +{"d:Title": "Pitta, Mark", "d:Description": "Biography, journal, schedule, and audio and video clips by this California based comedian.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://www.markpitta.com/"} +{"d:Title": "Pendleton, Chris", "d:Description": "Bio-chemist turned comedienne. Includes biography, reviews and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/P", "url": "http://funnyfiddle.com/"} +{"d:Title": "Quinn, Aaron", "d:Description": "Wisconsin based comedian. Schedule, videos, articles, and a biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/Q", "url": "http://www.quinntastic.com/"} +{"d:Title": "Rawlings, Jennifer", "d:Description": "Comedienne from Southern California. Includes booking and contact information, past appearances, news, biography and media articles.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.jenniferrawlings.com/"} +{"d:Title": "Robinson, Tony", "d:Description": "Profile at Delafont.com. Biography, photo, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.delafont.com/comedians/Tony-Robinson.htm"} +{"d:Title": "Rabon, John", "d:Description": "Performer based in Austin, Texas. Schedule, video and audio clips, biography, news.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://johndrabon.tripod.com/"} +{"d:Title": "Russell, Mark", "d:Description": "Political satirist and entertainer. Includes biography, humor samples, upcoming schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.markrussell.net/"} +{"d:Title": "Reep, Jon", "d:Description": "Nationally touring performer based in Los Angeles, California. Includes biography, schedule, pictures, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.jonreep.com/"} +{"d:Title": "Reilly, Dave", "d:Description": "Nationally touring performer pictures. biography, writing samples and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.davereilly.com/"} +{"d:Title": "Richard, Adam", "d:Description": "Australian performer providing biography, news and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.adamrichard.com/"} +{"d:Title": "Raf, Mindy", "d:Description": "Comedienne from New York, NY. Biography, publicity photos, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.mindyraf.com/"} +{"d:Title": "Reynolds, Rick", "d:Description": "Weblog of this comedian and monologist. DVDs available for purchase.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://www.churchofrick.com/"} +{"d:Title": "Russo, Dave", "d:Description": "Site for this comedian from Boston contains a biography, reviews, resume and a press page.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R", "url": "http://daverusso.net/"} +{"d:Title": "Brian Regan", "d:Description": "Official site. Contains biography, resume, upcoming schedule, video and audio files, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/R/Regan,_Brian", "url": "http://www.brianregan.com/"} +{"d:Title": "Swersie, Jack", "d:Description": "Comedian and juggler performing Nationally. Schedule, biography, photo gallery, reviews, video samples and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.jackswersie.com/"} +{"d:Title": "Schultz, Flip", "d:Description": "Biography, world tour dates, jokes, audio clip downloads, photos, reviews, and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.flipschultz.com/"} +{"d:Title": "Smith, Jamie", "d:Description": "Comic available for clubs, private engagements, and corporate parties. Includes biography, photos, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.angelfire.com/celeb/JamieSmith/"} +{"d:Title": "Shoemaker, Craig", "d:Description": "Biography, appearances, photo album, newsletter, merchandise, links, and contact.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.craigshoemaker.com/"} +{"d:Title": "Schilling, Jeff", "d:Description": "Profile at Delafont.com. Includes photos and reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.delafont.com/comedians/jeff-schilling.htm"} +{"d:Title": "Sharp, Eric", "d:Description": "California based performer. Schedule, biography, video, reviews, current projects.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.sharpo.com/EricSharp.html"} +{"d:Title": "Stanky, Di", "d:Description": "Comedienne from California provides biography, resume, upcoming schedule and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.distanky.com/"} +{"d:Title": "Slayton, Bobby", "d:Description": "American comedian touring Nationally, provides news, upcoming calendar, biography, and booking and management information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.bobbyslayton.com/"} +{"d:Title": "Sneed, Josh", "d:Description": "Nationally touring comedian. Tour dates, pictures and booking information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.joshsneed.com/"} +{"d:Title": "Spikey, Dave", "d:Description": "Comedian performing around the United Kingdom providing biography, past appearances, credits contact and upcoming schedule. Best viewed with Netscape.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.davespikey.co.uk/"} +{"d:Title": "Stuart, Jason", "d:Description": "Schedule, press and contact information, gallery, links, and biography of this openly gay actor and comic.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.jasonstuart.com/"} +{"d:Title": "Snyders, Tom", "d:Description": "Performer known for using a bicycle. Includes contact information, photographs, and background information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.bikecomedy.com/"} +{"d:Title": "Singer, Emily", "d:Description": "Boston-based comedian. Includes performance schedule, interviews and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.emilysinger.com/"} +{"d:Title": "Schneider, Eric", "d:Description": "Located in Arizona providing schedule, audio clips, and guest book.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.hahahacomedy.com/"} +{"d:Title": "Shubert, Jimmy", "d:Description": "Touring comedian and entertainer. Includes biography, past credits, photos, links, upcoming shows and video clips.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.jimmyshubert.com/"} +{"d:Title": "Slagle, Tim", "d:Description": "Touring performer providing schedule, background information, articles and promotional materials.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.timslagle.com/"} +{"d:Title": "Spencer, Anthony", "d:Description": "Performer based in Boston, Massachusetts providing biography, news, schedule and publicity photo.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.anthonyspencer.net/"} +{"d:Title": "Stephens, Gavin", "d:Description": "Canadian performer providing biography, news and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://gavinstephens.ca/"} +{"d:Title": "Skoglund, Robert", "d:Description": "Local television celebrity and Maine humorist. Includes appearances, photographs, rants, contact information and examples of dry humor.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.thehumblefarmer.com/"} +{"d:Title": "Sample, Tim", "d:Description": "According to Mr. Sample, \"All the real Mainers are from Florida.\" Includes schedule of his appearances at grange halls and pot luck suppers, quotes, bibliography, and a comment from Stephen King.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.timsample.com/"} +{"d:Title": "Smith, JoJo", "d:Description": "Comedienne from the UK. Site offers a biography, credits and a weblog.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.jojosmith.com/"} +{"d:Title": "Stankos, Stan", "d:Description": "Includes biography, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.stanstankos.com/"} +{"d:Title": "Shillue, Tom", "d:Description": "Provides show schedule, highlights of interest, booking details and a newsletter.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.tomshillue.com/"} +{"d:Title": "Szathmari, Jacquetta", "d:Description": "Contact information, biography and musings by this New York City based comic and writer.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.biggunsbigtobacco.com/"} +{"d:Title": "Sykes, Wanda", "d:Description": "Stand-up comedienne, voice and TV actress. News about her latest projects, message board, photo gallery, and recordings of her as Crank Yanker's Gladys Murphy.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.wandasykes.com/"} +{"d:Title": "Stubbs, Keith", "d:Description": "Touring performer providing biography, resume, scrap book, tour schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.stubbscast.com/"} +{"d:Title": "Schiff, Mark", "d:Description": "Entertains an audience dealing not with gags, but stories about real life. Profile, reviews, and video.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.markschiff.com/"} +{"d:Title": "Strong, Carl", "d:Description": "Specializes in reality humor, blended with satire. Biography, photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://carlstrong.com/"} +{"d:Title": "Smith, Margaret", "d:Description": "Comedienne's biography, reviews, photos, merchandise, and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.margaretsmithpunchlines.com/"} +{"d:Title": "Shydner, Ritch", "d:Description": "Renowned for observational comedy. Profile, photos, and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://www.ritchshydner.com/"} +{"d:Title": "Smiley, Rickey", "d:Description": "Comedian known for characters. Offers fan club news, photos, audio clips, past appearances, and video clips. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S", "url": "http://therealrickeysmiley.com/"} +{"d:Title": "IMDb: Sinbad", "d:Description": "Includes biography, photo gallery, trivia and filmography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/S/Sinbad", "url": "http://www.imdb.com/name/nm0005435/"} +{"d:Title": "Touhy, Steve", "d:Description": "The Fired Guy is touring the country and can be seen the movies What Women Want and Save The Last Dance.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.angelfire.com/il2/stevetouhy/"} +{"d:Title": "Torres, Luke", "d:Description": "Profile on delafont.com - Includes a short biography and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.delafont.com/comedians/Luke-Torres.htm"} +{"d:Title": "Tosh, Daniel", "d:Description": "Nationally touring comedian providing appearance schedule, biography, photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.danieltosh.com/"} +{"d:Title": "Tingle, Jimmy", "d:Description": "Touring performer provides biography, upcoming schedule, commentary, audio samples and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.jimmytingle.com/"} +{"d:Title": "Tran, Rosie", "d:Description": "Los Angeles, California, comedienne. Included are a biography, pictures, comedy journal, booking information, and past and future shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.rosietran.com/"} +{"d:Title": "Tyler, Joe", "d:Description": "Kansas City, Missouri performer with schedule, background and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.freewebs.com/joetyler/"} +{"d:Title": "Twiss, Jill", "d:Description": "Weblog from comedienne. Includes biography, pictures, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.jilltwiss.com/"} +{"d:Title": "Tor, Kevin", "d:Description": "Includes biography, performance calendar and a weblog.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://kevintor.tumblr.com/"} +{"d:Title": "Taylor, Ranee", "d:Description": "Includes biography, tour dates, video and audio clips and merchandise.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://www.ran.ee/"} +{"d:Title": "Terranova, Kelly", "d:Description": "Nationally touring performer providing schedule, biography and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/T", "url": "http://kellyisfunny.com/"} +{"d:Title": "Vos, Rich", "d:Description": "New York City based performer providing biography, video clips, audio files, schedule, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/V", "url": "http://www.richvos.com/"} +{"d:Title": "Vaccarello, Greg", "d:Description": "East Coast based entertainer providing information about upcoming shows, reviews, biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/V", "url": "http://www.gregvac.com/"} +{"d:Title": "Vandyke, Sheila", "d:Description": "Provides a resume, schedule, photos and a weblog.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/V", "url": "http://www.sheilavandyke.com/"} +{"d:Title": "Vargas, David", "d:Description": "The official website of comic David Vargas. Contains media, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/V", "url": "http://vargascomedy.com/"} +{"d:Title": "Williams, Russ", "d:Description": "Comedian available for events. Includes biography, photos, audio files, video clips and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.russwilliams.co.uk/"} +{"d:Title": "Whang, Suzanne", "d:Description": "Comedian and actress based in California. Biography, resume, scheduled appearances, pictures, interview.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.suzannewhang.com/"} +{"d:Title": "White, Basil", "d:Description": "Performer and cartoonist. Includes pictures, diary, and show schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.basilwhite.com/"} +{"d:Title": "Wallan, Keith", "d:Description": "Comedian with more than 10 years experience doing observational humor. Contains tour dates, biographical and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.keith.fanspace.com/"} +{"d:Title": "Wiggins, Jim", "d:Description": "The last Hippie in America and comedian provides news, biography, upcoming schedule, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.lasthippie.com/"} +{"d:Title": "Walker, Matt", "d:Description": "Los Angeles, California based performer. Biography, resume, video files, weblog and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://funnymatt.com/"} +{"d:Title": "Ward, Aaron David", "d:Description": "New York City based performer. Includes biography, head shot, resume and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.aarondavidward.com/"} +{"d:Title": "Walker, Rich", "d:Description": "Specializes in corporate events and private parties. Resume, photos, video clips and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.richwalkerlive.com/"} +{"d:Title": "Wilson, Tim", "d:Description": "Country oriented comedian and musician. Features biography, show schedule, fan club, forum, products, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.timwilsonamerica.com/"} +{"d:Title": "White, Ron", "d:Description": "Official site of the Texas comedian. Features a biography, video clips, tour schedule, merchandise, and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.tatersalad.com/"} +{"d:Title": "Wilson Jamie", "d:Description": "Canada. Stand up comedian, comic juggling and improvisational performer. Includes show profiles, schedule, biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.versatiletalent.com/"} +{"d:Title": "Watts, Matt", "d:Description": "UK comedian who specializes in humorous histories. Includes character profiles, biography. booking information and upcoming schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.mattwatts.com/"} +{"d:Title": "Warzel, Matt", "d:Description": "Actor and stand-up comedian from Cleveland, Ohio. Includes video of his routines and audio voiceover recordings.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.clevelandclowns.com/"} +{"d:Title": "Wallace, George", "d:Description": "Derives his comedy from everyday moments of life. Profile, upcoming events, photos and videos.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.georgewallace.net/"} +{"d:Title": "White, Sonya", "d:Description": "Performs comedy, acting, singing, voice-over work and celebrity impressions. Profile, photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.sonyawhitecomedy.com/"} +{"d:Title": "Williamson, Taylor", "d:Description": "Official website of this Los Angeles based comedian. Site includes tour dates, pictures, and biography.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://taylor-williamson.squarespace.com/"} +{"d:Title": "Williams, Terry", "d:Description": "New Zealand based comedian providing news, photos, background profile and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/W", "url": "http://www.terrywilliams.info/shows"} +{"d:Title": "Yeoman, Zoe", "d:Description": "Bi-coastal comedienne providing biography, agent information, resume, interview, photo gallery, news and upcoming appearances.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/Y", "url": "http://www.starringzoe.com/"} +{"d:Title": "York, Dwight", "d:Description": "Nationally touring comedian and author. Audio files, writing samples, photo album, biography, upcoming schedule, booking and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/Y", "url": "http://dwightyork.com/"} +{"d:Title": "Zedlacher, Pete", "d:Description": "Video clips, jokes, biography, contact, and news for this Toronto, Canada based comedian. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/Z", "url": "http://www.zedlacher.com/"} +{"d:Title": "Zany, Bob", "d:Description": "Performing for over 20 years, provides news, schedule, biography, audio samples and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Comedians/Stand_Up/Z", "url": "http://www.bobzany.com/"} +{"d:Title": "Comedy Online", "d:Description": "Stand up comedy in London. Listings of comedy clubs, pubs, and information about comedians performing in the UK.", "topic": "Top/Arts/Performing_Arts/Comedy/Directories", "url": "http://www.comedyonline.co.uk/"} +{"d:Title": "Joe and Lorie's Comedy-O-Rama", "d:Description": "Tributes to Fred Allen, Jack Benny, Fanny Brice and Phil Silvers.", "topic": "Top/Arts/Performing_Arts/Comedy/Directories", "url": "http://www.comedyorama.com/"} +{"d:Title": "Nashville Standup", "d:Description": "Information about Tennessee venues, appearing comedians, dates and times, and performer details.", "topic": "Top/Arts/Performing_Arts/Comedy/Directories", "url": "http://www.nashvillestandup.com/"} +{"d:Title": "Stevie Ray's School of Improv", "d:Description": "In the Twin Cities area of Minnesota. Includes improvisation and stand up classes, class schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.stevierays.org/"} +{"d:Title": "Dobie Maxwell", "d:Description": "Based in Chicago with workshops Nationwide. Newsletter, performance schedule, workshop calendar and contact information included. Flash required.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.dobiemaxwell.com/"} +{"d:Title": "Steve North - The Comedy Coach", "d:Description": "Private and group sessions, seminars and training for stand up comedians, actors and speakers. Contains background and booking information, biography, news and workshop details.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://funnycoach.com/"} +{"d:Title": "HumorMall.com", "d:Description": "Information and resources on writing and performing. Includes writing ideas, development techniques, jokes, contact information and merchandise.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.humormall.com/"} +{"d:Title": "San Francisco Comedy College", "d:Description": "Stand Up comedy training, joke writing and humor services in the San Francisco Bay area. Includes workshop information, instructor biographies, news and upcoming events.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.sfcomedycollege.com/"} +{"d:Title": "Virginmirth Comedy", "d:Description": "Advice and instruction for performers. Includes history, interview, workshop details, and advice on joke writing and delivery techniques.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.virginmirth.co.uk/"} +{"d:Title": "American Comedy Institute", "d:Description": "Offering stand up comedy workshops and one year comedy programs. Located in New York City. Includes course and study details, contact information and advice for performers.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.comedyinstitute.com/"} +{"d:Title": "Harvey Lembeck Comedy Workshop, The", "d:Description": "Los Angeles based acting school. Includes information about classes, teachers, past students and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.harveylembeckcomedyworkshop.com/"} +{"d:Title": "Mike Irwin's Stand Up Class", "d:Description": "Located in Albany, NY. Includes synopsis, price, instructor biography and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.angelfire.com/comics/mikeirwin/albany/homepage.html"} +{"d:Title": "Steve Kaplan's Comedy Intensive", "d:Description": "Workshop for actors, writers and directors. Information about workshop topics, times and dates, instructor biography and reviews included.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.kaplancomedy.com/"} +{"d:Title": "Working Comic Workshop", "d:Description": "Dave Schwensen, author and talent coordinator, offering workshops. Includes information, background and upcoming schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.thecomedybook.com/"} +{"d:Title": "Greg Dean Comedy Workshops", "d:Description": "Information about the Beginner and Advanced workshops offered in Los Angeles, California. Includes contact and class information, dates and brochure.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.stand-upcomedy.com/"} +{"d:Title": "Humber School of Creative&Performing Arts, The", "d:Description": "Located in Toronto, Canada offering lessons in voice, physical, stand up, improvisation and sketch comedy, writing and theory courses. Contact, tuition and faculty information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Education", "url": "http://www.humber.ca/scapa/"} +{"d:Title": "Kids 'N Comedy", "d:Description": "Monthly workshops in New York for children. Schedule, general information and contact details included.", "topic": "Top/Arts/Performing_Arts/Comedy/Education/Youth", "url": "http://www.kidsncomedy.com/"} +{"d:Title": "Edinburgh Fringe Festival", "d:Description": "Yearly event in Scotland. List of events, photo gallery, message boards, local guides and detailed information available.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "https://www.edfringe.com/"} +{"d:Title": "Bay Area Black Comedy Festival", "d:Description": "Since 1986, this competition has showcased stand-up comedians, past winners include D.L. Hughley, Jamine Foxx and Mark Curry. Event history, show details, past winners, stand-up clips and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.blackcomedycompetition.com/"} +{"d:Title": "Melbourne International Comedy Festival", "d:Description": "Annual event of comedians at venues throughout Australia. Includes events, comedians, maps and venues, archives and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.comedyfestival.com.au/"} +{"d:Title": "Comedy Day", "d:Description": "Free outdoor comedy concert in San Francisco, California, a day long event featuring area performers and special guests. Event Information, including directions, history, past performers, photo gallery and sponsors.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.comedyday.com/"} +{"d:Title": "Chicago Comedy Festival", "d:Description": "Annual event includes information about shows, schedule, performers, participating venues, tickets, past performers and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.comedytown.com/"} +{"d:Title": "Boston Comedy Festival", "d:Description": "Annual event at a variety of venues, showcasing performers, movies and workshops. Information about locations, schedule and contact included.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.bostoncomedyfestival.com/"} +{"d:Title": "Glasgow International Comedy Festival", "d:Description": "Yearly event in Scotland. Show information, news, contact information and press releases included.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.glasgowcomedyfestival.com/"} +{"d:Title": "Seattle SketchFest", "d:Description": "Annual two week festival in October showcasing sketch comedy. Includes news, locations, history, press and performer contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.sketchfest.org/"} +{"d:Title": "Chicago's SketchFest", "d:Description": "Annual event in January. Application, pictures, press and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.chicagosketchfest.com/"} +{"d:Title": "Cat Laughs Comedy Festival, The", "d:Description": "Yearly event held in Kilkenny, Ireland. Includes performer profiles, schedule, participating venues, diary, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.thecatlaughs.com/"} +{"d:Title": "Leicester Comedy Festival", "d:Description": "Annual festival throughout Leicester and Leicestershire. Includes history, events, mailing list, sponsors, and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.comedy-festival.co.uk/"} +{"d:Title": "San Francisco SketchFest", "d:Description": "Festival held annually. Includes performers, history, venues, ticket and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards", "url": "http://www.sfsketchfest.com/"} +{"d:Title": "Mark Twain Prize", "d:Description": "The Kennedy Center for the Performing Arts annual award recognizing artists who have made significant contributions to American humor. Includes award history, past winners, biographies and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Events_and_Awards/Awards", "url": "http://www.kennedy-center.org/programs/specialevents/marktwain/"} +{"d:Title": "YESand", "d:Description": "Improv news site with feature articles, an events schedule, and a bulletin board.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational", "url": "http://www.yesand.com/"} +{"d:Title": "The New Improv Page", "d:Description": "Vast collection of improv-related links from how-to pages to troupes all over America.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational", "url": "http://fuzzyco.com/improv/"} +{"d:Title": "The Improvisation Top 50", "d:Description": "Ranks participating Web sites by their weekly hits statistics.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational", "url": "http://www.cage-match.com/phprank/index.php"} +{"d:Title": "Utah Improv", "d:Description": "Site for and about the Utah improv community, with troupe information and show listings, as well as interviews with performers and articles about improv.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational", "url": "http://www.utahimprov.com/"} +{"d:Title": "Keith Johnstone", "d:Description": "Courses taught by the Calgary, Alberta based founder of Theatresports, Micetro Impro, Gorilla Theatre, and The Life Game.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.keithjohnstone.com/"} +{"d:Title": "bang", "d:Description": "Hollywood improv performance space and teaching facility.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.bangstudio.com/"} +{"d:Title": "Learn Improv", "d:Description": "Offers descriptions, instructions and tips on games and exercises, as well as information for putting on a show.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.learnimprov.com/"} +{"d:Title": "Improvisation for the Spirit Workshop", "d:Description": "A workshop for anyone seeking to nourish their creativity through comedy improv games, theatre, yoga and fun.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.improvforthespirit.com/"} +{"d:Title": "Loose Moose Theatre Company", "d:Description": "Two week intensive workshop bringing together improvisers from around the globe.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.loosemoose.com/school.htm"} +{"d:Title": "Comedy Dojo", "d:Description": "Los Angeles based Chris Barnes teaches various forms such as games, scenes, and long form.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.comedydojo.com/"} +{"d:Title": "Ed Reggi", "d:Description": "Offers Spolin Theatre Games primarily in Missouri to children, adults, and special seniors only classes.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.edreggi.com/classes.html"} +{"d:Title": "Hal Peller", "d:Description": "Teaching teens, actors, non-actors, and corporate since 1981 in New York City.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.improvworkshop.com/"} +{"d:Title": "Take A Bow Productions", "d:Description": "Training for all ages in Chatham, Ontario. Includes list of games, photo gallery, course descriptions and pricing.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.takeabowproductions.com/"} +{"d:Title": "StageCoach Improv", "d:Description": "Customizes high-energy, humorous, interactive workshops and programs in the USA, to help business executives to discover their creative potential, accept and advance others' ideas, and enjoy taking risks.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.stagecoachimprov.com/"} +{"d:Title": "Paul Sills\u2019 Wisconsin Theater Game Center", "d:Description": "Door County, Wisconsin improv school teaches based on the work of Viola Spolin.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Education", "url": "http://www.sillsspolintheaterworks.com/"} +{"d:Title": "Canadian Improv Games", "d:Description": "Canadian high school improv teams meet each year. Includes history, workshop schedule, and a newsletter.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Festivals", "url": "http://www.improv.ca/"} +{"d:Title": "Seattle Festival of Improv Theater", "d:Description": "Annual festival hosted in the Historic University Theater. Includes schedule, performer profiles, contact information and directions.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Festivals", "url": "http://www.seattleimprov.com/"} +{"d:Title": "ComedySportz National Events", "d:Description": "Guide to the various festivals and tournaments in the ComedySportz league. Includes history of past events.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Festivals", "url": "http://www.comedysportz.com/naevents.htm"} +{"d:Title": "Out of Bounds", "d:Description": "Annual Austin, Texas based festival.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Festivals", "url": "http://www.outofboundsimprov.com/"} +{"d:Title": "Chicago Improv Festival", "d:Description": "Annual improv festival with a focus on performance and workshop study. Offers scholarships and special City of Chicago events.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Festivals", "url": "http://chicagoimprovfestival.org/"} +{"d:Title": "Now This!", "d:Description": "Maryland ensemble that performs in the greater Washington D.C. area does children-oriented shows and corporate events using various different formats, such as murder mysteries.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.nowthisimprov.com/"} +{"d:Title": "Chicago City Limits", "d:Description": "New York City improv comedy troupe. Offering ticket and press information, cast, news and events. Includes entertainment and training programs.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.chicagocitylimits.com/"} +{"d:Title": "English Lovers", "d:Description": "Vienna-based improv group with an international cast clad in black.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.english-lovers.com/"} +{"d:Title": "Ad-Libs", "d:Description": "Dallas troupe with weekly shows and national tours. Pictures with fans, booking details and group history.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.ad-libs.com/"} +{"d:Title": "Boom Chicago", "d:Description": "Amsterdam improvisational troupe offers at their site show schedules, video clips, information on classes, and a menu from their restaurant.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.boomchicago.nl/"} +{"d:Title": "The Comedy Store Players", "d:Description": "Legendary British company that laid the groundwork for \"Whose Line Is It Anyway?\" and launched the careers of Josie Lawrence and Paul Merton.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.comedystoreplayers.com/"} +{"d:Title": "Melodramix", "d:Description": "Alberta, Canada, improv troupe lists their schedule and information about auditioning.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://melodramix.tripod.com/"} +{"d:Title": "National Comedy Theatre", "d:Description": "San Diego company that specializes in improv games.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.nationalcomedy.com/"} +{"d:Title": "The New Wave Of Comedians", "d:Description": "Paramus, NJ, improv game ensemble comprised of high school students.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.angelfire.com/stars2/nwoc/"} +{"d:Title": "Scared Scriptless Improv", "d:Description": "Anchorage troupe features a performance schedula and info about current and former ensemble members.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.scaredscriptless.com/"} +{"d:Title": "Slow... Children at Play", "d:Description": "Los Angeles group with a cute Web site. They specialize in short-form improv.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.slowchildrenimprov.com/"} +{"d:Title": "Sprout", "d:Description": "London ensemble that offers short-form and narrative shows, as well as improv workshops.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.zad.strayduck.com/"} +{"d:Title": "We Brake For Nobody", "d:Description": "Bucknell group has video clips, schedules, and info about past and present members.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.orgs.bucknell.edu/wbfn/"} +{"d:Title": "Atomic Improv", "d:Description": "Edmonton two-man troupe includes show and booking info, plus some nudity.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.atomicimprov.com/"} +{"d:Title": "Bare", "d:Description": "Chicago two-man long-form improv group.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.fuzzyco.com/bare/"} +{"d:Title": "The Immediate Gratification Players", "d:Description": "Harvard University's resident improv group does various different shows during the school year.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.hcs.harvard.edu/~igp/"} +{"d:Title": "Mission Improbable", "d:Description": "Nottingham group performs short-form improv at various public and corporate venues.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.missimp.co.uk/"} +{"d:Title": "Yellow Man Group", "d:Description": "Formed in Chicago, this Japanese ensemble performs high-energy English-language shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.ne.jp/asahi/tokyo/yellowman/"} +{"d:Title": "Sirens", "d:Description": "Chicago all-female troupe whose show \"Chicken Scratch\" uses each performers own monologues as starting points for improvised scenes.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.sirensimprov.com/"} +{"d:Title": "The Improv Bandits", "d:Description": "Auckland, New Zealand, company that performs original shows and Keith Johnstone formats both at home and abroad.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.improvbandits.co.nz/"} +{"d:Title": "The Groundlings", "d:Description": "Legendary Los Angeles improv company that launched the careers of such performers as Phil Hartman, Lisa Kudrow, Jon Lovitz, and Edie McClurg.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.groundlings.com/"} +{"d:Title": "Amnesia Wars", "d:Description": "New York City group dedicated to stretching the possibilities of long-form improv in such shows as \"Psycheroticproviholicyesandsomethingvoodoo.\"", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.amnesiawars.com/"} +{"d:Title": "Bovine Metropolis Theater", "d:Description": "Denver comedy theater that hosts \"Improv Hootenanny\" and \"On the Spot.\" They also offer adult and teen improv workshops, and performance opportunities for high school and college troupes.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.bovinemetropolis.com/"} +{"d:Title": "The Brody Theater", "d:Description": "Portland, OR, theater that houses the long-form show Double Play and hosts area groups.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.brodytheater.com/"} +{"d:Title": "Laughing Matters", "d:Description": "Atlanta improv troupe does public performances and private bookings and workshops using such formats as murder mysteries and game shows to team-build and foster creativity.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.laughingmatters.com/"} +{"d:Title": "Raleigh's Village Idiots", "d:Description": "Raleigh, NC, ensemble that has regular performance on Friday nights.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.idiots.net/"} +{"d:Title": "The Tenderloins Improv Troupe", "d:Description": "New York City-based, Del Close-trained long-form improv troupe that performs the Harold and the Armando Diaz. Promotional film, and clips from their movies.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.thetenderloins.com/"} +{"d:Title": "Blue Blanket Improv", "d:Description": "San Francisco troupe that performs free shows in various outdoor locations throughout the city.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.blueblanketimprov.com/"} +{"d:Title": "The Improbable Improvisational Ensemble", "d:Description": "Grass Valley, CA, troupe that performs regularly at the Holbrooke Hotel.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.mikemaginot.com/improv.htm"} +{"d:Title": "The Liquid Radio Players", "d:Description": "Los Angeles ensemble that performs an improv show with an old-time radio theme.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.liquidradioplayers.com/"} +{"d:Title": "North Beach Improv", "d:Description": "San Francisco-based improv ensemble.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.northbeachimprov.com/"} +{"d:Title": "Blacktop Circus Improv Troupe", "d:Description": "Atlanta African-American troupe that performs every Tuesday at the Uptown Comedy Corner.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.ijmc.com/blacktop"} +{"d:Title": "Pan Theater Oakland Improv Theater Troupe", "d:Description": "Oakland, CA improv troupe offering shows and classes for the Bay Area.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.pantheater.com/"} +{"d:Title": "ComedyWorx", "d:Description": "Raleigh, NC, show where a referee mediates two teams of comedians who are competing for the biggest laughs by performing scenes based on audience suggestions.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.comedyworx.com/"} +{"d:Title": "The Really Spontaneous Theatre Company", "d:Description": "Burbank ensemble that specializes in improvised one-act plays.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.appliedsilliness.com/rstc/really_co.html"} +{"d:Title": "Impulse Theater", "d:Description": "Denver's long-running improv show.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.impulsetheater.com/"} +{"d:Title": "No Parking Players", "d:Description": "Based at Carnegie Mellon University in Pennsylvania, USA. Includes meeting schedule, photos, hall of fame, and resources.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.andrew.cmu.edu/~sns/npp/"} +{"d:Title": "Full Frontal Nudity", "d:Description": "Troupe from Columbus Ohio providing cast backgrounds, upcoming events, history, classes, pictures and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.horsecoproductions.com/ffn2/ffn/"} +{"d:Title": "Eight is Never Enough", "d:Description": "Troupe from New York City. Includes show descriptions, cast, pictures, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.eightimprov.biz/"} +{"d:Title": "Just The Funny", "d:Description": "Miami, Florida company featuring improvisations based on audience suggestions. Show details, cast biographies and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.justthefunny.com/"} +{"d:Title": "Unscrewed Comedy Troupe", "d:Description": "Based in Tucson, Arizona. Pictures, cast information, booking and contact details.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.unscrewedcomedy.com/"} +{"d:Title": "Too Many Larrys!", "d:Description": "San Francisco improv troupe performs free shows every Saturday night.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.toomanylarrys.com/"} +{"d:Title": "SPROUT ideas", "d:Description": "London based improvisers. Experienced teachers, directors and performers. Site contains performance schedule, business services, and information about classes offered.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.sproutideas.co.uk/"} +{"d:Title": "Bad Dog Theatre Company", "d:Description": "Toronto based troupe, includes contact information, schedule, workshops and cast profiles.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.baddogtheatre.com/"} +{"d:Title": "Tilt: Ann Arbor's Improv Comedy Group", "d:Description": "Ann Arbor, MI, shortform improv troupe. Site contains show and class info, cast bios, and promotional information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.tiltimprov.com/"} +{"d:Title": "Improv Shmimprov", "d:Description": "Orange County, CA, based shortform improvisation troupe. Site contains cast bios, show information and photos.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.improvshmimprov.com/"} +{"d:Title": "Kitsch In Sync", "d:Description": "Boston, MA, shortform improv troupe. Site contains show, history and audition information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://kitschinsync.org/"} +{"d:Title": "Stevie Ray's Improv Company", "d:Description": "Providing cabaret, instruction and corporate services, base in Minneapolis, Minnesota. Schedule, services and contact information included.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.stevierays.org/"} +{"d:Title": "Creative Urges", "d:Description": "San Diego based troupe. Includes performance schedule, photo album, and workshops.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.creativeurges.com/"} +{"d:Title": "Barren Mind Improv", "d:Description": "Arizona State University improv group featuring members of the Farce Side sketch comedy group that performs a late night show every Thursday night.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.asucomedy.com/"} +{"d:Title": "National Comedy Theatre - New York", "d:Description": "Nationally acclaimed improv comedy group based in New York City. Clean, corporate entertainment, weekly performances, team building, college shows and improv workshops.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.manhattancomedy.com/"} +{"d:Title": "World Class Indifference", "d:Description": "New York/Connecticut short-form team does public performances and corporate team building events. Cast biographies, and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.worldclassindifference.com/"} +{"d:Title": "Mod 27", "d:Description": "Based in Palm Beach County, Florida. Includes show details, cast backgrounds, history and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.mod27.com/"} +{"d:Title": "Mixed Signals", "d:Description": "College of New Jersey-based ensemble that performs shows both on- and off-campus.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://mixedsignals.weebly.com/"} +{"d:Title": "Chicago Improv Anarchy", "d:Description": "Chicago-based improv comedy troupe. They know where your mom lives.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.chicagoimprovanarchy.com/"} +{"d:Title": "The National Comedy Theatre", "d:Description": "Improv comedy competition and shows in Mesa, Arizona. Provides performance information as well as improv workshops.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.nctphoenix.com/"} +{"d:Title": "Theatre on the Edge", "d:Description": "Waterloo, ON, troupe that performs weekly and offers classes. They also have video clips with eye towards doing a Webcast of their shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.tote.ca/"} +{"d:Title": "Scared Scriptless", "d:Description": "Southend-on-Sea, Essex, ensemble group in search of a new performance space. They perform short form-oriented shows with props.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.sarfend.co.uk/scaredscriptless/"} +{"d:Title": "OBT Laughing Stock", "d:Description": "Salt Lake City's long-running improv comedy show that challenges you to avoid whacking Russ.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.theobt.org/"} +{"d:Title": "Canadian Improv Showcase", "d:Description": "Ontario improv group with cool hockey jerseys features video clips, history and cast biographies, and schedule and booking information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.canadianimprovshowcase.com/"} +{"d:Title": "Jet City Improv", "d:Description": "Seattle short-form improv troupe offers all-ages shows and classes. Site contains schedule, player bios, reviews and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://www.jetcityimprov.org/"} +{"d:Title": "The Comedy Clinic - Tulsa's Comedy Troupe", "d:Description": "Tulsa, OK troupe performs shortform improv and original sketch comedy. Site contains show and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "https://www.facebook.com/thecomedyclinic"} +{"d:Title": "KC Improv Company", "d:Description": "Kansas City group with an all-ages show. Site contains upcoming show dates and contact information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://kansascitycomedy.com/"} +{"d:Title": "SAK Comedy Lab", "d:Description": "Orlando's long-running company that does short-form improv, as well as student shows. They also do \"Slice of Life,\" in which you can arrange to have someone's life be reenacted on stage during a show.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "https://www.sakcomedylab.com/"} +{"d:Title": "Quipfire!", "d:Description": "Princeton University ensemble based off the Franciscan tradition of improv.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://quipfireimprov.com/"} +{"d:Title": "Rare Bird Show", "d:Description": "Philadelphia longform group. Includes bios, photos, shows, and reviews.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups", "url": "http://mattandimprov.com/rare-bird-show/"} +{"d:Title": "ComedySportz San Jose", "d:Description": "Home of the Bay Area's ComedySportz team.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://comedysportzsanjose.com/"} +{"d:Title": "ComedySportz", "d:Description": "Main Web site for the national improv-game competition troupe.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.comedysportz.com/"} +{"d:Title": "ComedySportz Houston", "d:Description": "Texas based troupe providing history, schedule, workshops and ticket information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.comedysportzhouston.com/"} +{"d:Title": "ComedySportz Philadelphia", "d:Description": "Competitive improvisational comedy show in Pennsylvania. Includes show and contact information, reviews, workshops, training and schedule.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.comedysportzphilly.com/"} +{"d:Title": "ComedySportzLA", "d:Description": "Los Angeles edition of ComedySportz also performs shows like U-sical, a musical based on an audience members life, and ComedyCourt, an improvised trial.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.comedysportzla.pair.com/"} +{"d:Title": "ComedySportz Los Angeles", "d:Description": "Los Angeles, CA based ComedySportz troupe specializes in stage shows, corporate entertainment, kids' parties, improv classes and musical improvisation workshops.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.comedysportzla.com/"} +{"d:Title": "ComedySportz Portland", "d:Description": "Portland, OR sports-themed competitive show, clean and family-friendly. Classes, teambuilding workshops, reservations and ticket sales.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.portlandcomedy.com/"} +{"d:Title": "ComedySportz Buffalo", "d:Description": "Buffalo, New York competitive sports format troupe. News, events, and online reservations provided.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.cszbuffalo.com/"} +{"d:Title": "ComedySportz DC", "d:Description": "Washington, DC area troupe providing public and private shows. Includes location, show and workshop information.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "https://www.facebook.com/cszdc"} +{"d:Title": "CSZ Theater Chicago", "d:Description": "Chicago, IL ComedySportz troupe performs a shortform interactive comedy competition. Includes show and booking info, workshops and ensemble bios.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/ComedySportz", "url": "http://www.cszchicago.com/"} +{"d:Title": "Steife Brise", "d:Description": "Hamburg Theatresports group.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.steife-brise.de/"} +{"d:Title": "Fastfood Theater", "d:Description": "Munich Theatresports ensemble.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.fastfood-theater.de/"} +{"d:Title": "Dad's Garage Theatre Company", "d:Description": "Home of TheatreSports Atlanta, features a weekly competitive show as well as extended runs of theme long-form productions.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.dadsgarage.com/"} +{"d:Title": "Impro Melbourne", "d:Description": "Melbourne, Australia, troupe's site with information about upcoming shows and classes.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.impromelbourne.com.au/"} +{"d:Title": "ImprovBoston", "d:Description": "Boston improvisational comedy troupe has a Web site with show times, improv essays, info about workshops, and a discussion board.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.improvboston.com/"} +{"d:Title": "The Spontaneity Shop", "d:Description": "London comedy troupe based on the work of Keith Johnstone.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.the-spontaneity-shop.com/"} +{"d:Title": "Rapid Fire Theatre", "d:Description": "Edmonton company that performs Theatresports, as well as other long-form shows.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.rapidfiretheatre.com/"} +{"d:Title": "San Diego Theatre Sports", "d:Description": "Improvisational comedy performance troop information including show schedule, reservations, and class schedule. Based in San Diego.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.improvise.net/"} +{"d:Title": "The Stanford Improvisors", "d:Description": "Stanford University ensemble that are licensed to perform Theatresports.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.stanford.edu/group/simps/"} +{"d:Title": "Vancouver TheatreSports League", "d:Description": "Vancouver's version of TheatreSports also performs \"Rockin' At The Improv,\" an improvised music and comedy show.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.vtsl.com/"} +{"d:Title": "Keith Johnstone Workshops Inc.", "d:Description": "The creator of Theatresports offers workshops around the world at his Web site, as well as info about his work.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.keithjohnstone.com/"} +{"d:Title": "Bay Area Theatre Sports", "d:Description": "San Francisco-based Theatresports performers with a hectic schedule and an improv school.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.improv.org/"} +{"d:Title": "Impro Theatre", "d:Description": "Los Angeles league of Theatresports offers an improvised soap opera called Carnal Peaks, as well as the student-run Sunday Show.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.improtheatre.com/"} +{"d:Title": "Unexpected Productions", "d:Description": "Seattle branch of TheatreSports, also featuring long-form productions and classes. Includes schedule and ticket sales.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Groups/Theatresports", "url": "http://www.unexpectedproductions.org/"} +{"d:Title": "Whole World Theatre", "d:Description": "Atlanta, Georgia venue providing history, schedule of plays and events, ticket information, showtimes and directions.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Venues", "url": "http://www.wholeworldtheatre.com/"} +{"d:Title": "The Hideout Theatre&Coffee House", "d:Description": "Downtown Austin, Texas theatre complex hosting several local troupes. Show calendar, menu, classroom space, and directions presented.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Venues", "url": "http://www.hideouttheatre.com/"} +{"d:Title": "Go Comedy!", "d:Description": "Theater in Ferndale, Michigan offering improvisational and sketch comedy, a full bar, samplings from local restaurants and GoU: The Improv Academy. Offers training for performers and non-performers.", "topic": "Top/Arts/Performing_Arts/Comedy/Improvisational/Venues", "url": "http://www.gocomedy.net/"} +{"d:Title": "Shecky", "d:Description": "Monthly online magazine about stand up comedy. Each issue contains interviews with comedians, features and humor.", "topic": "Top/Arts/Performing_Arts/Comedy/News_and_Media", "url": "http://www.sheckymagazine.com/"} +{"d:Title": "Just Comedy Magazine", "d:Description": "News and information about upcoming and established comedians in the USA and international.", "topic": "Top/Arts/Performing_Arts/Comedy/News_and_Media", "url": "http://www.justcomedymag.com/"} +{"d:Title": "Blow Up The Moon", "d:Description": "Alternative comedy community for fans of Mr. Show, Kids in the Hall, Strangers With Candy, etc.", "topic": "Top/Arts/Performing_Arts/Comedy/Resources", "url": "http://www.blowupthemoon.com/"} +{"d:Title": "Shecky! Magazine", "d:Description": "A magazine about stand up comedy. Interviews, features and opinions.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.sheckymagazine.com/"} +{"d:Title": "Rooftop Comedy", "d:Description": "Stand-up comedy clips from comedians and comedy clubs from around world. Funny videos, ridiculous jokes, and smart humor.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.rooftopcomedy.com/"} +{"d:Title": "Comic Bible", "d:Description": "Provides reviews, articles, interviews, listings and resources on stand-up comedy.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://thecomicbible.com/"} +{"d:Title": "The Serious Comedy Site", "d:Description": "Reviews of live event stand-up comedy, stand-up comedy on CD and DVD, comedy movies, books and the occasional article on the business of comedy.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.theseriouscomedysite.com/"} +{"d:Title": "School of Laughs", "d:Description": "Comedians can learn the fundamentals of stand-up comedy, develop material, and learn the ins and outs of the comedy business!", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.schooloflaughs.com/"} +{"d:Title": "STAGE TIME Magazine", "d:Description": "Online magazine that covers the craft and business of stand-up comedy.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.stagetimemagazine.com/"} +{"d:Title": "Best Comedy Online", "d:Description": "Stand up comedy concert reviews. news, comedian biographies, comedy roast reviews, stand up routines explained and fresh comedy updates.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.bestcomedyonline.net/"} +{"d:Title": "The Chuckle", "d:Description": "Covers comedy in Wales including stand up, comedian interviews, comedy films and TV.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.thechuckle.co.uk/"} +{"d:Title": "Shippensburg Comedy Club", "d:Description": "Shippensburg Comedy Club offers weekly shows featuring nationally headlining comedians.", "topic": "Top/Arts/Performing_Arts/Comedy/Stand_Up", "url": "http://www.shippensburgcomedyclub.com/"} +{"d:Title": "Surrey Dance Music", "d:Description": "Music for teachers of theatre dance - ballet, tap, stage, modern, contemporary on CD and cassette.", "topic": "Top/Arts/Performing_Arts/Dance/Accompaniment", "url": "http://www.surreydancemusic.co.uk/"} +{"d:Title": "Piano Music for Ballet Classes", "d:Description": "Music pieces for piano by composer Galina Pronicheva. Fragments of music sheets are placed in format Sibelius.", "topic": "Top/Arts/Performing_Arts/Dance/Accompaniment", "url": "http://www.dancemelody.com/sheetmusic/books/russian.html"} +{"d:Title": "Howard Kilik", "d:Description": "Resident Composer for Vassar Dance and Linda Duci Dance, and a graduate of the Juilliard school. Here you can find Music for Dance Performance and Theater.", "topic": "Top/Arts/Performing_Arts/Dance/Accompaniment", "url": "http://www.kilikmusic.com/mus_dance.htm"} +{"d:Title": "Mediaphorie.com", "d:Description": "A music recording company offering cdroms for classical dance training as well as other classica works. Includes audio clips and on-line store. [English/French].", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Accompaniment", "url": "http://mediaphorie.com/"} +{"d:Title": "Brio Recordings", "d:Description": "Piano music on CDs for ballet, pointe and variations classes. Offers ordering information and artist's details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Accompaniment", "url": "http://www.briorecordings.com/"} +{"d:Title": "Ballet Class Music by Robert Long", "d:Description": "Ballet class music for barre and center floor practice, available in CD and download formats.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Accompaniment", "url": "http://rlongballetmusic.com/"} +{"d:Title": "Graham Dickson-Place", "d:Description": "Compact discs, DVDs and sheet music for ballet/dance classes by the former musical director of The Royal Academy of Dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Accompaniment", "url": "http://www.musicgdp.com/"} +{"d:Title": "Ballet Alert's Ballet Talk", "d:Description": "Moderated board for civilized discourse about ballet. Members are a mix of fans, dancers, critics, teachers, and other ballet lovers. There are special boards for Young Dancers and Adult Dance Students, but most of the discussions are about performances, issues in ballet, dancers, and books.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Chats_and_Forums", "url": "http://www.balletalert.com/ballettalk.htm"} +{"d:Title": "Jerome Robbins Trust and Foundation", "d:Description": "Shared by two organizations established by Jerome Robbins, the site gives information on Mr. Robbins' work, licensing request procedures, biographical information and special events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Choreography/Choreographers", "url": "http://jeromerobbins.org/"} +{"d:Title": "Tim Martin", "d:Description": "Ballet and Modern works available for purchase. Full information on casting, techniques, costuming, and props. Free lighting plot information (PDF format) available for downloading or on-screen viewing.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Choreography/Choreographers", "url": "http://www.martinballet.com/"} +{"d:Title": "Leonard Farber", "d:Description": "Professional Choreographer and Teacher.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Choreography/Choreographers", "url": "http://www.angelfire.com/ny2/leonard/farber.html"} +{"d:Title": "Kjersti Alveberg", "d:Description": "Dance Choreography on stage, television and theater. Based in Oslo, Norway.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Choreography/Choreographers", "url": "http://www.alveberg.com/"} +{"d:Title": "Blanca Li", "d:Description": "History and profile of the choreographer.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Choreography/Choreographers", "url": "http://www.artotal.com/comp/blancali/bl00101-e.htm"} +{"d:Title": "Marguerite Donlon - Choreographer", "d:Description": "Homepage of Irish-born choreographer Marguerite Donlon, now ballet director in Saarbr\u00fccken, Germany. Includes upcoming performances, biography and catalogue of works.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Choreography/Choreographers", "url": "http://www.donlon.de/"} +{"d:Title": "Twyla Tharp", "d:Description": "A complete overview of the choreographer's work from 1965 to present.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Choreography/Choreographers", "url": "http://twylatharp.org/"} +{"d:Title": "BalletCompanies.com", "d:Description": "Your gateway to the ballet and dance world, all ballet and dance companies present on the WWW. Also known as DanceCompanies.net", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies", "url": "http://www.balletcompanies.com/"} +{"d:Title": "For Ballet Lovers Only", "d:Description": "A site dedicated to the two major Russian ballet companies, Kirov-Mariinsky and Bolshoi, presenting photos, pictures and biographies of some of the world's greatest ballerinas and dancers", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies", "url": "http://www.for-ballet-lovers-only.com/"} +{"d:Title": "Cape Town City Ballet", "d:Description": "Current and forthcoming productions, repertory archives, media information, meet the company, education and outreach. South Africa.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Africa/South_Africa", "url": "http://www.capetowncityballet.org.za/"} +{"d:Title": "The Hong Kong Ballet", "d:Description": "Offers information about the company, its repertoire and performance schedule. Also about The Friends of Hong Kong Ballet and their programme of activities.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Asia/Hong_Kong", "url": "http://www.hkballet.com/"} +{"d:Title": "An Cholina Dance Theatre", "d:Description": "Details of the work of the Lithuanian choreographer, performances and repertoire.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe", "url": "http://ach.lt/"} +{"d:Title": "Ballettschule der Landeshauptstadt St. P\u009alen", "d:Description": "Provides overview of school and instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Austria", "url": "http://members.kfs.at/ballett-st.poelten/about.htm"} +{"d:Title": "David Amzallag Presents The Royal Danish Ballet", "d:Description": "Photographs of works for the period 1987-1994.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Denmark", "url": "http://www.blueballet.net/"} +{"d:Title": "National Opera and Ballet of Finland", "d:Description": "Performances and special events offered in Helsinki.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Finland", "url": "http://www.ooppera.fi/"} +{"d:Title": "Les Ballets de Monte-Carlo", "d:Description": "Information on the company, dancers, programs and booking. Site available in French and English.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/France", "url": "http://www.balletsdemontecarlo.com/"} +{"d:Title": "Ballet de l'Op\u00e9ra national du Rhin", "d:Description": "Information on company, dancers, staff and repertoire.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/France", "url": "http://www.operanationaldurhin.eu/"} +{"d:Title": "The Hamburg Ballet", "d:Description": "English/Deutsch/Fran\u00e7ais. Information about the season, repertoire, ensemble, ballet school; photographs and videos; order tickets online.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Germany", "url": "http://www.hamburgballett.de/"} +{"d:Title": "Het National Ballet", "d:Description": "Contains company profile, upcoming season calendar, and ticket information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Netherlands", "url": "http://www.operaballet.nl/"} +{"d:Title": "Bolshoi Ballet", "d:Description": "One of the oldest and greatest ballet companies of the world.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Russia", "url": "http://www.bolshoi.ru/"} +{"d:Title": "Ardani Artists Russian Ballet Company", "d:Description": "This site is about performing arts program presented by Ardani Artists including Eifman Ballet of St. Petersburg, Red Giselle, Tchaikovsky, The Karamazovs, Requiem, My Jerusalem, Russian Hamlet, choreography by Boris Eifman, music set by Tchaikovsky, Rachmaninov, Schnittke, Bizet, Musorgsky. Sergei Danilian, the president.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Russia", "url": "http://ardani.com/"} +{"d:Title": "For Ballet Lovers Only", "d:Description": "A site devoted to the two major Russian ballet companies, Kirov and Bolshoi, presenting photos and biographies of some of the world's greatest dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Russia", "url": "http://users.skynet.be/ballet-lovers/"} +{"d:Title": "Ballet Companies Russia", "d:Description": "Links to various Russian ballet companies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Russia", "url": "http://www.aha.ru/~vladmo/bal_comp.html"} +{"d:Title": "The Kremlin Ballet Theatre", "d:Description": "Past performances, pictures, dancer profiles, and reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Russia", "url": "http://www.kremlin-ballet.strana.de/eu/index.htm"} +{"d:Title": "City Ballet", "d:Description": "Photos and information on ballet members and performances. Based in Belgrade.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Serbia", "url": "http://solair.eunet.rs/~jung/"} +{"d:Title": "Stockholm 59 North", "d:Description": "This troupe, founded by Madeleine Onne, is made up of dancers from the Royal Swedish Ballet and tours internationally with a unique program of works.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/Sweden", "url": "http://www.stockholm59north.com/"} +{"d:Title": "The Scottish Ballet", "d:Description": "All about Scotland's National Classical Dance Company", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/United_Kingdom", "url": "http://www.scottishballet.co.uk/"} +{"d:Title": "English National Ballet", "d:Description": "The UK's premier touring ballet company, its raison d'\u00eatre being to perform the highest quality classical ballet at prices everyone can afford.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/United_Kingdom", "url": "http://www.ballet.org.uk/"} +{"d:Title": "Birmingham Royal Ballet", "d:Description": "Introduction to UK's leading touring classical company, its artistic director, David Bintley, dancers, repertory and work in education and health", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/United_Kingdom", "url": "http://www.brb.org.uk/"} +{"d:Title": "Northern Ballet", "d:Description": "A Leeds based touring ballet company known for telling stories through dance and reaching audiences across the country.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Europe/United_Kingdom", "url": "http://northernballet.com/"} +{"d:Title": "Youth Ballet Co. of Saskatchewan", "d:Description": "Dance school and a performing company offering classes in ballet, modern dance and jazz. The Company performs at concerts, artistic and community events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/Canada", "url": "http://www.youthballet.com/"} +{"d:Title": "Canadian Children's Dance Theatre", "d:Description": "Provides information about the company, up-to-date performances, the famous school, and even an online newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/Canada", "url": "http://www.ccdt.org/"} +{"d:Title": "Royal Winnipeg Ballet", "d:Description": "Lists profile, history, biographies of performers, repertoire, tours, the ballet school and its programs, box office, ticket prices, online tour and schedule of events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/Canada", "url": "http://www.rwb.org/"} +{"d:Title": "Ballet British Columbia", "d:Description": "A site with lots of details about the company, the current season, membership and many other attractions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/Canada", "url": "http://www.balletbc.com/"} +{"d:Title": "Alberta Ballet", "d:Description": "Performance schedules, the ballet school, and information about the dancers and staff.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/Canada", "url": "http://www.albertaballet.com/"} +{"d:Title": "The National Ballet of Canada", "d:Description": "Presents a full range of traditional full-length classics while encouraging the creation of new ballets and the development of Canadian choreographers.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/Canada", "url": "http://national.ballet.ca/"} +{"d:Title": "The Georgia Ballet", "d:Description": "Professional ballet company and school in the metropolitan Atlanta area. Company and school information, photo galleries, and dancer and teacher biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.georgiaballet.org/"} +{"d:Title": "Nutmeg Conservatory", "d:Description": "Pre-professional training, education and performance organization located in Torrington, Connecticut.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://nutmegconservatory.org/"} +{"d:Title": "Aspen Santa Fe Ballet", "d:Description": "A company of eleven classically trained dancers who perform the works of some of the world's foremost choreographers.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.aspensantafeballet.com/"} +{"d:Title": "Atlanta Ballet", "d:Description": "Performance schedule, ticket information, classes, newsletter, online store.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.atlantaballet.com/"} +{"d:Title": "Ballet Arizona", "d:Description": "Lists the company's upcoming events, news/info, dancer profiles, etc.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletaz.org/"} +{"d:Title": "Ballet Idaho", "d:Description": "A classical ballet company and academy under the artistic direction of Peter Anastos.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletidaho.org/"} +{"d:Title": "Ballet Magnificat", "d:Description": "World's premier full-time professional Christian ballet company committed to presenting the gospel of Jesus Christ through dance. (Jackson, MS)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletmagnificat.com/"} +{"d:Title": "Ballet Memphis", "d:Description": "Studio images, history, current season and recent shows, tickets and news. Ballet Memphis presents an annual touring program, with performances in communities throughout Tennessee, Mississippi, Arkansas, and Kentucky.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletmemphis.org/"} +{"d:Title": "Ballet West", "d:Description": "Information on the history, staff, and performances. (Salt Lake City).", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletwest.org/"} +{"d:Title": "BalletMet Columbus", "d:Description": "Professional dance company and academy in Columbus, Ohio. Information on the company, academy, current season.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletmet.org/"} +{"d:Title": "Boston Ballet Company", "d:Description": "The world-class classical ballet company founded by E. Virginia Williams in 1965.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.bostonballet.org/"} +{"d:Title": "Carolina Ballet", "d:Description": "Company under the direction of artistic director Robert Weiss, serving the Triangle community that includes Raleigh, Durham, Chapel Hill, Cary, and Fuquay-Varina. Raleigh, NC.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.carolinaballet.com/"} +{"d:Title": "Charleston Ballet", "d:Description": "Enjoy exciting performances, from the fascinating classical dance and music of India, to Romanian folk dances. South Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.charlestonballet.com/"} +{"d:Title": "Colorado Ballet", "d:Description": "Performance schedule, ticket information, and cast biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.coloradoballet.org/"} +{"d:Title": "Columbia City Ballet", "d:Description": "Columbia, South Carolina's premier professional ballet company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.columbiacityballet.com/"} +{"d:Title": "First State Ballet Theatre", "d:Description": "First State Ballet Theatre provides performing opportunities to aspiring dancers and to present professional quality dance performances to audiences throughout Delaware and in nearby states.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.firststateballet.com/"} +{"d:Title": "Houston Ballet", "d:Description": "Schedules, ticket and performance information, and artist profiles and news.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.houstonballet.org/"} +{"d:Title": "Indiana Ballet Theatre NW, Inc.", "d:Description": "Ballet company located in northwest Indiana. Dedicated to providing young dancers with the highest quality dance education and performance opportunities in a professional environment. Annual production of The Nutcracker each December.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.ibtnw.org/"} +{"d:Title": "Inland Pacific Ballet", "d:Description": "Offering exquisitely staged productions of the classics and presenting the finest in contemporary choreography, Inland Pacific Ballet is a treasured cultural resource to an ever growing audience. (Los Angeles, CA)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.ipballet.org/"} +{"d:Title": "Ithaca Ballet", "d:Description": "Upstate NY's only repertory company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.ithacaballet.org/"} +{"d:Title": "James Sewell Ballet", "d:Description": "Official Site for the celebrated James Sewell Ballet. The Twin Cities Ballet. Combining Modern Dance with Classic Ballet Style. Enjoy the 2000-2001 Season.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.jsballet.org/"} +{"d:Title": "Jos\u00e9 Mateo's Ballet Theatre", "d:Description": "Nonprofit professional performance company and school in Harvard Square. Company history, performance schedule, and dancer biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.ballettheatre.org/"} +{"d:Title": "Kansas City Ballet", "d:Description": "The state ballet of Missouri", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.kcballet.org/"} +{"d:Title": "Les Ballets Trockadero de Monte Carlo", "d:Description": "Founded in 1974 by a group of Ballet enthusiasts for the purpose of presenting a playful entertaining view of traditional, classical ballet in parody form and en travesti.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.trockadero.org/"} +{"d:Title": "Lexington Ballet Company", "d:Description": "Professional classical, romantic, and neo-classical ballet in Lexington, Kentucky; includes company news, details on workshops, and a performance calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.lexingtonballet.org/"} +{"d:Title": "Louisville Ballet", "d:Description": "Louisville Ballet reaches an audience of over 100,000 each year.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.louisvilleballet.org/"} +{"d:Title": "Maine State Ballet", "d:Description": "Maine's premiere professional ballet company established in 1986 by former New York City Ballet dancer Linda MacArthur Miele.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.mainestateballet.org/"} +{"d:Title": "Miami City Ballet", "d:Description": "Information about the Miami City Ballet and the Miami City Ballet School. Includes details on events, the company, press releases, school, link listings and tickets.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.miamicityballet.org/"} +{"d:Title": "Milwaukee Ballet", "d:Description": "Performance schedule, school, ticket and benefactor information for this 30-year old acclaimed classical company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.milwaukeeballet.org/"} +{"d:Title": "Minnesota Ballet", "d:Description": "This professional company of twelve dynamic artists, home-based in Duluth, Minnesota, now tours internationally and throughout the country.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.minnesotaballet.org/"} +{"d:Title": "Nashville Ballet", "d:Description": "This site contains information about Nashville Ballet, its affiliated dance school, its performance season, and its outreach programs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://nashvilleballet.com/"} +{"d:Title": "New Jersey Ballet Company", "d:Description": "Since 1958, New Jersey Ballet has been an integral part of the artistic and cultural landscape of New Jersey. New Jersey Ballet features dancers of international stature from the United States, Russia, Bulgaria, Japan, South America and Mongolia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.njballet.org/"} +{"d:Title": "New York City Ballet", "d:Description": "The official page of America's premiere ballet company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.nycballet.com/"} +{"d:Title": "New York Theatre Ballet", "d:Description": "The nation's most widely-seen chamber ballet ensemble, offering a distinctive classical repertory which includes contemporary choreography as well as classical works by many of the greatest choreographers of this century.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.nytb.org/"} +{"d:Title": "Oklahoma City Ballet", "d:Description": "Offers training for students interested in becoming professional ballet dancers as well as for recreational dances. Performances, tickets and class schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.okcballet.com/"} +{"d:Title": "Pacific Northwest Ballet", "d:Description": "An exciting young ballet company based in Seattle, Washington, USA. Season runs from September through June.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.pnb.org/"} +{"d:Title": "Pages To Pirouettes", "d:Description": "A small ballet troupe located in the Philadelphia area and dedicated to bringing the classical story ballets to children.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.pages-to-pirouettes.com/"} +{"d:Title": "Peninsula Ballet Theatre", "d:Description": "Offering ballet from the company in the San Francisco Bay Area for dance, and performing arts.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.peninsulaballet.org/"} +{"d:Title": "Pennsylvania Ballet", "d:Description": "Information on the season, kid's section, and dancer biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.paballet.org/"} +{"d:Title": "Pittsburgh Ballet Theatre", "d:Description": "Homepage of the Pittsburgh Ballet Theatre, the professional and classical ballet company of Western Pennsylvania", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.pbt.org/"} +{"d:Title": "Raiford Rogers Modern Ballet / LA Chamber Ballet - Los Angeles", "d:Description": "Official site of Raiford Rogers Modern Ballet / LA Chamber Ballet - Los Angeles", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.raifordrogers.com/"} +{"d:Title": "Rebecca Kelly Ballet", "d:Description": "An internationally acclaimed contemporary ensemble based in Soho in New York City and the Adirondack Mountains. It brings to the stage an earthy and lyrical classicism fused with modern and ethnic.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.rebeccakellyballet.com/"} +{"d:Title": "Richmond Ballet", "d:Description": "Professional ballet in Richmond, VA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.richmondballet.com/"} +{"d:Title": "Sarasota Ballet", "d:Description": "Professional ballet on the west coast of Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.sarasotaballet.org/"} +{"d:Title": "Seros", "d:Description": "Combines classical ballet technique, cirque training, unusual partnering, and various other dance forms. Includes profiles of director and dancers, repertoire, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.seros.org/"} +{"d:Title": "State Street Ballet", "d:Description": "Founded in 1994 with an international cast of sixteen dancers (seven men and nine women), from Germany, Russia, France, Canada, and throughout the United States, with guest artists from some of the finest companies in the world. (Santa Barbara, CA)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.statestreetballet.com/"} +{"d:Title": "Terpsicorps Theatre of Dance", "d:Description": "Asheville, North Carolina's only fully professional ballet company featuring the choreography of North Carolina Dance Theatre's founding director Salvatore Aiello and new works by former NCDT dancer Heather Maloy.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.terpsicorps.org/"} +{"d:Title": "Texas Ballet Theater", "d:Description": "Professional company performing in Dallas and Fort Worth. Includes news, history, ticketing, pictures and information on educational outreach programs and auditions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.texasballettheater.org/"} +{"d:Title": "Tulsa Ballet", "d:Description": "Something new, something old, something daring, something bold. The best of comedy and drama. The best of plot and purpose. The best of artistry and athletics.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.tulsaballet.org/"} +{"d:Title": "The Washington Ballet", "d:Description": "Founded in 1976 by the great American ballet pioneer Mary Day, the Washington Ballet is considered one of the country's finest ballet companies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.washingtonballet.org/"} +{"d:Title": "National Ballet Inc.", "d:Description": "Professional ballet company and school based in Maryland.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.nationalballet.com/"} +{"d:Title": "Festival Ballet of Rhode Island", "d:Description": "Performs several major productions for the Rhode Island and surrounding Massachusetts community.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.festivalballet.com/"} +{"d:Title": "Evergreen City Ballet", "d:Description": "Non-profit dance organization and school. Includes a list of performances. Auburn, Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.evergreencityballet.org/"} +{"d:Title": "Alaska Dance Theatre", "d:Description": "Profiles of staff and dancers, schedule of performances, information about the dance school and quarterly newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.alaskadancetheatre.org/"} +{"d:Title": "Ballet North", "d:Description": "Located in Gladstone, Missouri, it is the Northland's original ballet company, having put on a full range of complete ballets and conducted school tours for all Northland area elementary and junior high schools.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletnorth.com/"} +{"d:Title": "Ballet Yuma", "d:Description": "Arizona's only Honor Company. Directed by Jon Cristofori and Kathleen Sinclair. Official School of Yuma Ballet Academy. Yuma.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://balletyuma.org/"} +{"d:Title": "Ballethnic Dance Company", "d:Description": "Culturally diverse ballet company offering traditional ballet with the artistic influences of other ethnic dance forms, especially African American. East Point, Georgia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://ballethnic.org/"} +{"d:Title": "Canyon Concert Ballet", "d:Description": "Founded in l978, it has grown from a group of dedicated volunteers to a professionally staffed arts organization. It merges a school providing quality classical dance training for all ages with a company offering a variety of performing opportunities. (Ft. Collins, CO)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.ccballet.org/"} +{"d:Title": "Cape Cod Ballet", "d:Description": "The Cape and Islands' Premiere Ballet Company and Training Facility.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.capecodballet.com/"} +{"d:Title": "The Children's Ballet Theatre of Michigan", "d:Description": "Independent, non-profit company for children from ages 8 to 18. Includes information on tickets and events, and a photo gallery. Lansing, MI", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.cbtdance.org/"} +{"d:Title": "Gwinnett Ballet Theatre", "d:Description": "A metro-Atlanta nonprofit pre-professional RDA/SERBA Honor Company providing dancers with the ballet, pointe, modern and jazz training and performing experience necessary for a professional dance career.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://gwinnettballet.org/"} +{"d:Title": "Madison Ballet", "d:Description": "Notes on current and upcoming productions, news, and sponsors. (Madison, Wisconsin)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.madisonballet.org/"} +{"d:Title": "Mid-Atlantic Ballet", "d:Description": "Mid-Atlantic Ballet is an emerging cultural organization in northern Delaware, providing quality dance training and performance opportunities for serious ballet students.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.midatlanticballet.org/"} +{"d:Title": "Midland Festival Ballet", "d:Description": "A dance company located in Midland, Texas. Their mission is developing young dancers' skills and artistic potential, providing performance opportunities, and furthering public understanding and appreciation of classical ballet as a vibrant part of the community's cultural mix.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.midlandfestivalballet.org/"} +{"d:Title": "Mobile Ballet", "d:Description": "Mobile Ballet provides superior dance education, presents quality performances, and promotes the community's understanding of the ballet as an expression of the human spirit.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.mobileballet.org/"} +{"d:Title": "Mohawk Valley Ballet", "d:Description": "Mohawk Valley Ballet's dancers are serious ballet students who want to experience the thrill of performing in a professional atmosphere. They are chosen at a yearly open audition, and sign contracts which commit them to attending three technique classes per week at the studio of their choice. (Utica, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.mvperformingarts.org/"} +{"d:Title": "Mystic Ballet", "d:Description": "A performing company comprised of professional dancers and young local talents, located in Mystic, Connecticut.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.mysticballet.org/"} +{"d:Title": "Neglia Ballet", "d:Description": "The website of Ballet Artists of WNY, aka Neglia Ballet- Western New York's premier source for ballet performance and training. (Buffalo, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.negliaballet.org/"} +{"d:Title": "New England Ballet Company", "d:Description": "A not for profit dance company that combines ballet, jazz, and tap, and is dedicated to the advancement of the performing arts among youth in the community.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.newenglandballet.org/"} +{"d:Title": "New Haven Ballet, New Haven, CT", "d:Description": "The New Haven Ballet provides the finest classical ballet training in the Greater New Haven, CT Region.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.newhavenballet.org/"} +{"d:Title": "North Arkansas Danse Theatre", "d:Description": "Bringing the fine art of dance to the Ozark Mountains of North Central Arkansas.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.angelfire.com/art2/nadt/"} +{"d:Title": "The Nouveau Chamber Ballet", "d:Description": "Provides pre-professional and professional dancers with performance and educational opportunities. The company's repertoire encompasses traditional ballet, contemporary and original works.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.nouveauchamberballet.com/"} +{"d:Title": "Pacific School of Dance", "d:Description": "Offers pre-professional ballet training along with jazz/modern and tap in Coos Bay, Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://pacificdance.org/"} +{"d:Title": "Peninsula Youth Ballet", "d:Description": "Student and professional artists of the Peninsula Youth Ballet (Ayako Takahashi, Artistic Director) present The Nutcracker Ballet and a Spring performance. Young dancers are exposed to professional choreography. Past members have gone on to major ballet companies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.pyb.org/"} +{"d:Title": "Pittsburgh Youth Ballet", "d:Description": "Internationally renowned school and company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.pybco.com/"} +{"d:Title": "Placer Theatre Ballet", "d:Description": "A regional non-profit ballet company dedicated to presenting professional ballet productions and strengthening cultural awareness in the Greater Sacramento area of Northern California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.placertheatreballet.org/"} +{"d:Title": "Pointing to the Future", "d:Description": "The Fort Wayne Ballet provides the community with an academy that encourages excellence and artistic achievement through quality productions and educational outreach programs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.fortwayneballet.org/"} +{"d:Title": "The Portland Ballet", "d:Description": "The Portland Ballet Company is a small, classically based company (Maine).", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.portlandballet.org/"} +{"d:Title": "Red River Dance Theatre Company - Alexandria, Louisiana", "d:Description": "The mission of Red River Dance Theatre Company (formerly Ballet Alexandria Auxiliary) is to provide high quality ballet training for area dancers with performance as the culmination of that training.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.redriverdance.org/"} +{"d:Title": "Rhode Island's ballet theatre", "d:Description": "Rhode Island's ballet theatre is a classical ballet performing dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.riballet.org/"} +{"d:Title": "Rochester City Ballet", "d:Description": "The home of the Rochester City Ballet includes ticket information, photos, history, and free downloads.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://rochestercityballet.org/"} +{"d:Title": "Salt Creek Ballet, Westmont, IL", "d:Description": "Sergey Kozadayev and Zhanna Dubrovskaya, Artistic Directors, enriching the cultural life of the Chicago Area by providing superior dance training and art programs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.saltcreekballet.org/"} +{"d:Title": "San Antonio Metropolitan Ballet", "d:Description": "San Antonio Metropolitan Ballet is a pre-professional company dedicated to providing high-quality, low-cost dance productions in the San Antonio community while offering young dancers the opportunity to expand their artistic abilities through performance experience.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.sametballet.org/"} +{"d:Title": "Shreveport Metropolitan Ballet", "d:Description": "Features schedule of performances as well as information about the dance company, auditions, goals, and requirements.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.shreveportmetroballet.org/"} +{"d:Title": "Sierra Vista Ballet", "d:Description": "Arizona: includes information on classes and past performances.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://sierra-vista-ballet.com/"} +{"d:Title": "SONdance Christian School of Ballet", "d:Description": "Christian School of ballet for all ages.Women worship Dance and Jazz Classes. Dancing to give glory to God.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.sondance.org/"} +{"d:Title": "South Bay Ballet", "d:Description": "Lauridsen Ballet Centre - Home of South Bay Ballet. SBB is located in Torrance CA and performs Classical and Modern dances at the James Armstrong Theatre located at the Torrance Performing Arts Center. Member of Regional Dance America.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://southbayballet.org/"} +{"d:Title": "South Dayton Dance Theatre", "d:Description": "Founded in 1986, this innovative pre-professional dance company is proud to be an Honors Company with Regional Dance America/Northeast. Annual SDDT performances include The Nutcracker Ballet and a Spring Concert, as well as outreach performances throughout the Dayton area.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.sddt.org/"} +{"d:Title": "Southern New Hampshire Dance Theater", "d:Description": "Southern New Hampshire Dance Theater - School and performing company", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.snhdt.org/"} +{"d:Title": "Southwest Virginia Ballet", "d:Description": "Regional pre-professional ballet company serving Southwest Virginia. Events, history, mission, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.svballet.org/"} +{"d:Title": "St. Louis Ballet", "d:Description": "Upcoming performances and dance photos. Also find information about the official ballet school for STLB.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.stlouisballet.org/"} +{"d:Title": "The Toledo Ballet Association", "d:Description": "Offers schedule of events, membership information, and other related news. Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.toledoballet.net/"} +{"d:Title": "Tupelo Ballet", "d:Description": "Tupelo Ballet - Tupelo, Mississippi", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.tupeloballet.com/"} +{"d:Title": "Virginia Ballet Company and School", "d:Description": "A non-profit preprofessional company in existence for over 50 years.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://virginiaballetcompany.org/"} +{"d:Title": "Westchester Ballet Company", "d:Description": "Offer the Nutcracker every year at the Westchester County Center in White Plains. Also perform many other ballets from the classical repertoire.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://westchesterballet.wordpress.com/"} +{"d:Title": "Westside Ballet", "d:Description": "Westside Ballet is a pre-professional dance company under the artistic direction of Yvonne Mounsey. The company was founded in 1970, and annually presents a full-length version of The Nutcracker as well as Spring repertory. (Santa Monica, California)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.westsideballet.com/"} +{"d:Title": "The Woodlands Civic Ballet", "d:Description": "A pre-professional dance company under the artistic direction of Mrs. Karyn Simon-Poland. The WCB annually presents a full-length version of The Nutcracker as well as a spring production. (The Woodlands, TX)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.woodlandscivicballet.org/"} +{"d:Title": "Orangeburg Civic Ballet", "d:Description": "Nonprofit organization. Company consists of dancers ranging from ages 8 through adult offering school performances and appearances at various other venues. South Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://orangeburgcivicballet.org/"} +{"d:Title": "Ballet Theatre of Maryland", "d:Description": "A fully professional ballet company and training academy. Performances and tickets, school profile, news and events. Annapolis, Maryland.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.balletmaryland.org/"} +{"d:Title": "Ballet Theater of New Mexico", "d:Description": "Celebrating 10 years of excellence in performance and training.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.brtnm.com/"} +{"d:Title": "Greater York Center for Dance Education", "d:Description": "Home of Greater York Youth Ballet Dance Art Company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://gydance.org/"} +{"d:Title": "Northern Ballet Theatre", "d:Description": "Northern Ballet Theatre, New Hampshire's only professional ballet company. Home of Northern Ballet Theatre Dance Centre.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://nbtdc.com/"} +{"d:Title": "Wichita Falls Ballet Theatre", "d:Description": "The official site with company history, company dancers, pictures, and ballet information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://wichitafallsyouthballet.com/wf-ballet-theatre"} +{"d:Title": "Bossov Ballet Theatre", "d:Description": "Summer and year-round pre-professional syllabus that focuses on Classical Russian ballet. Pittsfield, Maine.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.mci-school.org/page.cfm?p=392"} +{"d:Title": "Peoria Ballet", "d:Description": "Peoria, IL", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.peoriaballet.org/"} +{"d:Title": "Chamberdance", "d:Description": "Non-profit ballet company for young pre-professional dancers. San Francisco, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://www.chamberdancesf.org/"} +{"d:Title": "Ajkun Ballet Theatre", "d:Description": "Learning the Ajkun's methodology, based on Vaganova's and further research of Chiara (Ph.D) and Leonard Ajkun (Master degree), both International Principal dancers. Performance opportunities offered to qualified students.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "https://www.ajkunbt.org/"} +{"d:Title": "Ballet Chesapeake", "d:Description": "Creating a unique opportunity for personal growth and expression of their artistic skills through outreach programs designed to educate, enrich, and entertain audiences throughout Harford County, MD.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://balletchesapeake.org/"} +{"d:Title": "Nevada Ballet Theatre", "d:Description": "A leader in shaping a vibrant cultural landscape for Southern Nevada, NBT continually strives for excellence in its dynamic and imaginative performances", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://nevadaballet.org/"} +{"d:Title": "The Russell School of Ballet", "d:Description": "Includes a schedule of performances, rehearsal schedule, and troupe photographs. Also offers information on classical, pointe and variations, jazz, tap and modern dance classes. Chantilly, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States", "url": "http://rsbdance.com/"} +{"d:Title": "Alabama Ballet", "d:Description": "Company of professional dancers and apprentices from all areas of the United States and abroad. Includes details on dancers, appearance schedules, and tickets. Birmingham.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Alabama", "url": "http://www.alabamaballet.org/"} +{"d:Title": "Alabama Dance Theatre", "d:Description": "Offers training and performances of classical and contemporary ballets. Information on dances, staff, school schedule and tickets.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Alabama", "url": "http://www.alabamadancetheatre.com/"} +{"d:Title": "San Francisco Ballet", "d:Description": "The homepage of the San Francisco Ballet. It includes dancer biographies, the company's repertory, and schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.sfballet.org/"} +{"d:Title": "Alonzo King's LINES Ballet", "d:Description": "San Francisco Bay Area Contemporary Ballet Company", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.linesballet.org/"} +{"d:Title": "Anaheim Ballet", "d:Description": "Company offers a repertoire of classical and contemporary works, while the school specializes in classical ballet as well as offering hip hop, jazz, tap.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://anaheimballet.org/"} +{"d:Title": "California Ballet", "d:Description": "San Diego's premiere classical dance company, The California Ballet Company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.californiaballet.org/"} +{"d:Title": "City Ballet of Los Angeles", "d:Description": "Designed to become a nationally recognized classical dance company with innovative choreographers from around the world, to will not only stimulate and unify the multifarious community, but also cultivate young dancers in the refined world of the arts.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.cityballetofla.org/"} +{"d:Title": "City Ballet of San Diego", "d:Description": "Information on company performances, ballet school offerings and special ballet education programs", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.cityballet.org/"} +{"d:Title": "Company C Contemporary Ballet", "d:Description": "San Francisco based repertory company. Season schedule, roster, biography of director, audition and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.companycballet.org/"} +{"d:Title": "Diablo Ballet", "d:Description": "California's Boldly Innovative Ballet Company", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.diabloballet.org/"} +{"d:Title": "Lake Arrowhead Classical Ballet Company", "d:Description": "A non-profit organization located in Lake Arrowhead, California. The company was founded in 1993 by Sharon McCormick, who continues to serve as Artistic Director.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.arrowheadballet.org/"} +{"d:Title": "Mark Foehringer Dance Project", "d:Description": "Contemporary Ballet Company, based in San Francisco, performing internationally.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.mfdpsf.org/"} +{"d:Title": "San Diego Ballet Company", "d:Description": "Offers repertory of classical and ballet, artist development, and educational/community outreach.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://sandiegoballet.org/"} +{"d:Title": "San Pedro City Ballet", "d:Description": "The web site of the San Pedro City Ballet, a regional ballet company located in San Pedro, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.sanpedrocityballet.org/"} +{"d:Title": "Southern California Dance Theatre", "d:Description": "Theatre founded in 1994 by artistic director Paula Vreulink and administrator Susan Nalicat. (Long Beach, CA)", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://scdt.com/"} +{"d:Title": "Conejo Civic Ballet Company", "d:Description": "A nonprofit corporation established by the founders of the Ballet Arts School of Dance for the cultural enrichment of the Conejo community. Westlake Village.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.balletarts.org/"} +{"d:Title": "Contra Costa Ballet", "d:Description": "Dancers work with professionals and perform the classics of Petipa, Balanchine, Dolin and Lichine, as well as contemporary ballet. Walnut Creek.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.contracostaballet.org/"} +{"d:Title": "Pacific Ballet Dance Theatre", "d:Description": "Providing Burbank and the surrounding communities with quality live ballet productions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://www.pacificballetdancetheatre.com/"} +{"d:Title": "Silicon Valley Ballet", "d:Description": "A classically-based ballet company with a resident company of 30 dancers and a repertoire of over 120 traditional and modern classical ballets. The company includes dancers from Argentina, Canada, China, Cuba, Denmark, France, Germany Japan, Russia, Venezuela, Vietnam, and the United States.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/California", "url": "http://siliconvalleyballet.org/"} +{"d:Title": "Arts Ballet Theatre of Florida", "d:Description": "ABT of Florida, is a brand new Junior Ballet company which had its successful debut on Dec., 14, 1997, under the direction of Ballet Master and Choreographer Vladimir Issaev. Arts Ballet Theatre of Florida also is responsible for the Imperial Russian Seminar of Russian Technique, with the finest authentic Russian Ballet Masters.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Florida", "url": "http://artsballettheatre.org/"} +{"d:Title": "Dance Alive National Ballet.", "d:Description": "Professional ballet company located in Gainesville. Scheduled, upcoming events, auditions, technical rider information and company history.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Florida", "url": "http://www.dancealive.org/"} +{"d:Title": "Ballet Chicago", "d:Description": "Provides pre-professional classical ballet training, concentrating on the Balanchine technique.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Illinois", "url": "http://www.balletchicago.org/"} +{"d:Title": "Ballet Quad Cities", "d:Description": "Provides classical and contemporary dance including performances, lecture-demonstrations and educational outreach programs. Offers history, schedule, dancers and ticket information. Rock Island.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Illinois", "url": "http://www.balletquadcities.com/"} +{"d:Title": "Company of Dance Arts", "d:Description": "New Jersey's premier pre-professional ballet company based in Red Bank... Jennifer M. James Church, Artistic Director", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/New_Jersey", "url": "http://www.codanj.com/"} +{"d:Title": "American Repertory Ballet", "d:Description": "Repertory, artist and staff biographies, and season and touring schedules for New Jersey's foremost ballet company.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/New_Jersey", "url": "http://www.americanrepertoryballet.org/"} +{"d:Title": "Albany Berkshire Ballet", "d:Description": "Regional dance company with locations in Albany, New York, and Pittsfield, Massachusetts. Schedule and audition information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/New_York", "url": "http://www.berkshireballet.org/"} +{"d:Title": "American Ballet Theatre", "d:Description": "Information on the upcoming season and Quicktime videos of various ballet positions and steps.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/New_York", "url": "http://www.abt.org/"} +{"d:Title": "Ballet Deviare", "d:Description": "Contemporary ballet company located in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/New_York", "url": "http://www.balletdeviare.org/"} +{"d:Title": "Dance Theatre of Harlem", "d:Description": "Ballet company, an accredited school of dance, and a national and international arts education and community outreach program.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/New_York", "url": "http://www.dancetheatreofharlem.org/"} +{"d:Title": "Ballet NY", "d:Description": "A New York City based ballet company, founded in 1997 by former New York City Ballet Principal Ballerina Judith Fugate and International Guest Artist Medhi Bahiri.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/New_York", "url": "http://www.balletny.org/"} +{"d:Title": "Cincinnati Ballet", "d:Description": "A classically based professional ballet company based in Cincinnati.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Ohio", "url": "http://www.cballet.org/"} +{"d:Title": "Ballet Guild of the Lehigh Valley, Inc.", "d:Description": "School and performing company - believe in excellence in dance.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Pennsylvania", "url": "http://www.bglv.org/"} +{"d:Title": "Central Pennsylvania Youth Ballet", "d:Description": "Dedicated to offering high level dance classes to people of all ages. Includes a performance schedule and instructional program descriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Pennsylvania", "url": "http://cpyb.org/"} +{"d:Title": "Bodiography Contemporary Ballet", "d:Description": "Bodiography Contemporary Ballet is a performance retreat for technically proficient, passionate, versatile, and artistic dancers who celebrate the beauty of their physique. It is an ensemble dedicated the performance of contemporary ballet by bodies in their most natural form. Pittsburgh.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Pennsylvania", "url": "http://www.bodiographycbc.com/"} +{"d:Title": "Carnegie Performing Arts Center", "d:Description": "Located in Carnegie and offering ballet, tap, jazz, musical theater, and modern dance classes.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Pennsylvania", "url": "http://www.cpac.homestead.com/"} +{"d:Title": "Dance Theatre of Pennsylvania", "d:Description": "A non-profit, regional ballet company which was formed in 1977. Doylestown.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Pennsylvania", "url": "http://www.dtopa.org/"} +{"d:Title": "Ballet Center of Houston", "d:Description": "Classical Ballet Company located in Houston. Performances include Nutcracker, Swan Lake, and Giselle.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "http://www.balletcenterofhouston.com/"} +{"d:Title": "Allen Civic Ballet Company", "d:Description": "Allen Civic Ballet Company Web Site, Allen Texas", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "http://www.allencivicballet.org/"} +{"d:Title": "Ballet Austin", "d:Description": "Official web site for the company, as well as the Academy of Ballet Austin. Performance details and class schedules. Training from beginner to professional level.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "http://www.balletaustin.org/"} +{"d:Title": "Ballet Concerto", "d:Description": "Ballet Concerto, under the artistic direction of director/founder Margo Dean, was incorporated in 1969 for the specific purpose of presenting the art of ballet and other forms of dance to audiences of all ages and socio-economic backgrounds. Fort Worth", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "http://www.balletconcerto.com/"} +{"d:Title": "Bay Area Houston Ballet and Theatre", "d:Description": "Information about the productions, ticket information, performance schedules, and the artistic staff.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "http://www.bahbt.org/"} +{"d:Title": "Contemporary Ballet Dallas", "d:Description": "Presents innovative performances and unique choreography that appeal to a wide audience, implementing outreach programs that make dance accessible to underserved communities, and providing low-cost, professional level dance training to young people and adults.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "http://www.contemporaryballetdallas.com/"} +{"d:Title": "Galveston Ballet", "d:Description": "A regional pre-professional company and academy offering a full range of instruction in classical ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "https://sites.google.com/site/galvestonballet/"} +{"d:Title": "Chamberlain Performing Arts", "d:Description": "A student ensemble dedicated to providing students a stepping stone to professional dance careers. Plano.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/North_America/United_States/Texas", "url": "http://chamberlainperformingarts.org/"} +{"d:Title": "West Australian Ballet", "d:Description": "Program for the current year, profiles of the artists, company information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Oceania/Australia", "url": "http://www.waballet.com.au/"} +{"d:Title": "Sydney Dance Company", "d:Description": "Sydney Dance Company was founded in 1969, however it wasn't until the then 26 year old Graeme Murphy was appointed as Artistic Director in 1976 that it became one of Australia's most popular and prolific arts organisations.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Oceania/Australia", "url": "http://www.sydneydance.com.au/"} +{"d:Title": "The Queensland Ballet", "d:Description": "History and information on the company, dancers, venues, season schedule and programs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Oceania/Australia", "url": "http://www.queenslandballet.com.au/"} +{"d:Title": "Restless Dance Company", "d:Description": "Australian youth dance company whose work is inspired by cultures of disability.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Oceania/Australia", "url": "http://www.restlessdance.org/"} +{"d:Title": "Leigh Warren and Dancers", "d:Description": "Based in Adelaide. Tours nationally and internationally.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Companies/Oceania/Australia", "url": "http://www.lwd.com.au/"} +{"d:Title": "New York International Ballet Competition", "d:Description": "One of the world's premier dance competitions held every 3 years.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Competitions", "url": "http://www.nyibc.org/"} +{"d:Title": "USA International Ballet Competition", "d:Description": "One of the elite ballet events in the world. Held every four years in Jackson Mississippi, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Competitions", "url": "http://www.usaibc.com/"} +{"d:Title": "Prix de Lausanne", "d:Description": "Switzerland-based scholarship international competition for young dancers of all nationalities aged 15 to 18 who are not yet professionals.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Competitions", "url": "http://www.prixdelausanne.org/"} +{"d:Title": "Youth America Grand Prix", "d:Description": "Annual student ballet competition open to dance students 8-19 years old. Awards scholarships to the leading dance schools in the U.S. and abroad.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Competitions", "url": "http://www.yagp.org/"} +{"d:Title": "American Ballet Theater's Le Corsaire", "d:Description": "Review by Paul Berenson", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Corsaire,_Le", "url": "http://www.paulb.com/corsaire.htm"} +{"d:Title": "Russian Classical Ballet", "d:Description": "Its history, outstanding choreographers, ballet teachers, ballet dancers, musicians, ballet education. Includes photographs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/History", "url": "http://www.aha.ru/~vladmo/"} +{"d:Title": "Adolph Bolm", "d:Description": "Official site on the Russian dancer (1884-1951) with biography, photographs and chronology of his career.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/History", "url": "http://www.adolphbolm.com/"} +{"d:Title": "Andros on Ballet", "d:Description": "A collection of articles about ballet history and classical ballet technique.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/History", "url": "http://michaelminn.net/andros/"} +{"d:Title": "Ballet in Russia", "d:Description": "Scholarly articles, reviews, and photographs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Magazines_and_E-zines", "url": "http://www.aha.ru/~vladmo/digest1.html"} +{"d:Title": "Ballet.co", "d:Description": "Online magazine with news, interviews, features and postings about all things ballet and dance in the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Magazines_and_E-zines", "url": "http://www.ballet.co.uk/"} +{"d:Title": "Culture Kiosque: Dance", "d:Description": "Edited in Paris, Dance magazine includes features, interviews and photos of dance stars and choreographers, reviews, commentary and a calendar of major European ballet and modern dance productions by European journalists and dance critics.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Magazines_and_E-zines", "url": "http://www.culturekiosque.com/dance/"} +{"d:Title": "DanceArt.com", "d:Description": "Ezine for dancers, including articles, art, chat and message boards, interviews with famous dancers, advice from teachers. Learn about dance, ballet and pointe shoes, costumes.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Magazines_and_E-zines", "url": "http://danceart.com/"} +{"d:Title": "Pointe Magazine", "d:Description": "The essential source of information for a career in ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Magazines_and_E-zines", "url": "http://www.pointemagazine.com/"} +{"d:Title": "Ballet-Dance Monthly", "d:Description": "An online monthly magazine covering ballet, modern dance and other forms of performance dance from around the world. Reviews, interviews, features, galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Magazines_and_E-zines", "url": "http://www.ballet-dance.com/"} +{"d:Title": "Dansephoto", "d:Description": "Ballet and dance oriented content. Dinard, France.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Magazines_and_E-zines", "url": "http://www.dansephoto.com/"} +{"d:Title": "Moscow Ballet's Great Russian Nutcracker", "d:Description": "Performance schedule, technical specifications, photos and information on the ballet, summer workshop and educational programs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Nutcracker,_The", "url": "http://www.nutcracker.com/"} +{"d:Title": "Seiskaya Ballet's The Nutcracker", "d:Description": "Information on the St. James, New York company and the performance, plus Nutcracker News and Nutcracker Shoppe.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Nutcracker,_The", "url": "http://www.nutcrackerballet.com/"} +{"d:Title": "Wiebe Moeys", "d:Description": "Classical ballet teacher, choreographer, instructor.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People", "url": "http://www.wiebemoeys.it/"} +{"d:Title": "Anna Grabka-Szpilman", "d:Description": "Personal page of the principal soloist of \"The Hamburg Ballet\"", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People", "url": "http://www.szpilman.net/grabka.html"} +{"d:Title": "Olimjon Beknazarov", "d:Description": "Dancer and choreographer from The Netherlands.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People", "url": "http://members.chello.nl/silk.bek/home.html"} +{"d:Title": "The All Sascha Radetsky and Amanda Schull Web Site", "d:Description": "Information, pictures, biographies, polls.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities", "url": "http://www.angelfire.com/celeb/radetskyandschull/"} +{"d:Title": "National Ballet of Finland and Jorma Uotinen", "d:Description": "Interview in Paris with Finnish choreographer Jorma Uotinen and the National ballet of Finland by Culturekiosque.com", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities", "url": "http://www.culturekiosque.com/dance/Features/rhefin.html"} +{"d:Title": "Sascha Radetsky", "d:Description": "Personal dedication includes pictures and personal information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities", "url": "http://tor0204.tripod.com/sascharadetsky.html"} +{"d:Title": "Nina Ananiashvili, The Complete Ballerina", "d:Description": "A tribute to a great ballerina, includes an illustrated biography, performance schedule, photo gallery, interviews and reviews.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Ananiashvili,_Nina", "url": "http://www.ananiashvili.com/"} +{"d:Title": "Article: Baryshnikov/L.A. Times", "d:Description": "Stretching His Legs Creatively - an interview", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Baryshnikov,_Mikhail", "url": "http://www.dance90210.com/bkovtimes.html"} +{"d:Title": "Academy of Achievement: Suzanne Farrell", "d:Description": "Profile, biography and a multimedia interview from December 16, 1990.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Farrell,_Suzanne", "url": "http://www.achievement.org/autodoc/page/far0pro-1"} +{"d:Title": "IMDb: Christopher Gable", "d:Description": "Filmography.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Gable,_Christopher", "url": "http://www.imdb.com/name/nm0300083/"} +{"d:Title": "Internet Movie Database: \"Nijinsky\"", "d:Description": "1980s american movie.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Nijinsky,_Vaslaw", "url": "http://www.imdb.com/title/tt0081235/"} +{"d:Title": "Tribute to Rudolph Nureyev", "d:Description": "1997 exhibition at the Carnavalet Museum in Paris includes a few photos from the collection belonging to the European branch of his foundation.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Nureyev,_Rudolf", "url": "http://www.culturekiosque.com/dance/exhib/rhenour.htm"} +{"d:Title": "Rudolf Nureyev: Three years in the Kirov Theatre", "d:Description": "Review of 1999 book about Nureyev's little-discussed years at the Kirov, before he came to Western attention.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Nureyev,_Rudolf", "url": "http://www.culturekiosque.com/dance/reviews/rhenureyev.html"} +{"d:Title": "Petrushka Was Poisoned", "d:Description": "A speculative article from New York Native. Explores Nureyev's death, discusses the reasons he kept his HIV/AIDS diagnosis secret for more than 13 years and postulates that the dancer's death was caused directly by a toxic side effect of AZT.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Nureyev,_Rudolf", "url": "http://www.duesberg.com/media/jlpetrushka.html"} +{"d:Title": "IMDb: Rudolf Nureyev", "d:Description": "Filmography and biographical trivia from the Internet Movie Database.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Nureyev,_Rudolf", "url": "http://www.imdb.com/name/nm0638159/"} +{"d:Title": "The New York Times Magazine - Heroine Worship: Anna Pavlova, The Swan", "d:Description": "Biography of the dancer with a discussion forum and links to other articles.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Pavlova,_Anna", "url": "http://www.nytimes.com/specials/magazine4/articles/pavlova.html"} +{"d:Title": "Internet Movie Database: \"Pavlova: A Woman for All Time\"", "d:Description": "A documentary with Russian actors. Cast overview and plot.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Pavlova,_Anna", "url": "http://www.imdb.com/title/tt0086089/"} +{"d:Title": "Kennedy Center: Maria Tallchief", "d:Description": "Profile of the American prima ballerina.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/People/Celebrities/Tallchief,_Maria", "url": "http://www.kennedy-center.org/artist/A3758"} +{"d:Title": "Bolshoi Ballet's Raymonda Photos", "d:Description": "Marc Haegeman, photographer.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Raymonda", "url": "http://www.ananiashvili.com/images/raymonda/haegeman/BBLondonRaymondaPhotos.htm"} +{"d:Title": "Bob Shomler's Ballet and Dance Photo Gallery", "d:Description": "Includes over 700 clear, color ballet photographs from famous ballets such as Swan Lake, The Nutcracker, Giselle, and Coppelia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.shomler.com/dance/"} +{"d:Title": "The Ballet Book Workbook series", "d:Description": "Gives teachers and students supplemental materials to reinforce classroom work as students learn ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.theballetbook.com/"} +{"d:Title": "Ballet Dictionary", "d:Description": "Definitions and pronunciations of technical dance terms, browsable by first letter.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.balletdictionary.com/"} +{"d:Title": "WebMuseum: Degas, Edgar: Ballet dancers", "d:Description": "Online gallery showcasing many of Degas' ballet paintings. Also includes a brief description of art during the period.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.ibiblio.org/wm/paint/auth/degas/ballet/"} +{"d:Title": "Ballet in Russia - Magazine Articles", "d:Description": "Over 20 articles taking readers through a history of classical Russian ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.aha.ru/~vladmo/digest1.html"} +{"d:Title": "The Physics of Dance", "d:Description": "Article pertaining to balancing during pirouettes, jumps, and basic physics vocabulary.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://web.hep.uiuc.edu/home/g-gollin/dance/dance_physics.html"} +{"d:Title": "Ballet Is Fun CD-Rom", "d:Description": "Interactive ballet CD including over 325 dance steps, barre and center technique, all performed by professional ballet dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.balletisfun.com/"} +{"d:Title": "Classical Ballet Beginning to Advanced", "d:Description": "Online text of 8 levels of ballet syllabi beginning at age 6.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.bellaonline.com/subjects/8478.asp"} +{"d:Title": "How to Construct a Tutu - Historical and Modern Perspective", "d:Description": "Reference article including directions to construct a tutu modeled on the long style of the 19th century, and the varying lengths of later trends.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.bellaonline.com/articles/art39545.asp"} +{"d:Title": "Gottfried Helnwein and Hans Kresnik", "d:Description": "Documentation of the collaboration between choreographer Hans Kresnik and his German dance company, and artist Gottfried Helnwein, including Macbeth, Oedipus, Pasolini, Marat and Sade.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://gottfriedhelnwein.homestead.com/kresnik.html"} +{"d:Title": "Ballet Dictionary - American Ballet Theater", "d:Description": "170 terms from the book \"Technical Manual and Dictionary of Classical Ballet,\" Dover Publications.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.abt.org/education/dictionary/index.html"} +{"d:Title": "Dance Photography Project", "d:Description": "Photographer Louis Guillaume's website including over 70 ballet photos of professional dancers. Most are taken during rehearsals.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Reference", "url": "http://www.thedancephotographyproject.com/"} +{"d:Title": "Stage Designs of Richard Finkelstein", "d:Description": "Romeo and Juliet Ballet - The work of stage designer, Richard Finkelstein is profiled on this site", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Romeo_and_Juliet", "url": "http://www.rfdesigns.org/romeo.htm"} +{"d:Title": "Rudra Bejart", "d:Description": "Maurice Bejart's School. Everything about Rudra Bejart Lausanne school. Lausanne, Switzerland.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools", "url": "http://www.bejart-rudra.ch/"} +{"d:Title": "Bluebell School Of Dance", "d:Description": "Specialising in Russian ballet, tap and modern dance for children and adults. Founded in 1977 by Suzanne Burnham-Jones, located in Bluebell Hill, Kent, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools", "url": "http://bluebellschool.homestead.com/"} +{"d:Title": "Italian dance schools", "d:Description": "Site with links to schools of dance of every sort in Italy.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools", "url": "http://www.danza.it/scuole/"} +{"d:Title": "Cork Dance Academy", "d:Description": "Ballet School run by Carolyn Ross at Cork Dance Academy, Shandon Street, Cork City, Ireland", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools", "url": "http://homepage.eircom.net/~ballet/index.html"} +{"d:Title": "Ballettstudio Dance Elite", "d:Description": "Intensive ballet courses. The school is located in Landau i.d. Pfal, Southern Germany and also offers an intensive summer program for all levels. Further courses in Spanish, folk, flamenco, modern dance and jazz dance.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools", "url": "http://danceelite.de/"} +{"d:Title": "Lynne Ballet School", "d:Description": "Profile of school and teacher with a timetable of classes and news of current events. For ages 3 to adult. Located in Hong Kong, China.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools", "url": "http://www.lynneballetschool.com/"} +{"d:Title": "London Junior Ballet School", "d:Description": "London Junior Ballet offers non-syllabus classical ballet classes for talented and ambitious 8 - 17 year olds. Classes are taught by eminent teachers in a professional atmosphere, concentrating on the development of dance technique, artistic presentation and musicianship.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools", "url": "http://www.ljb.org.uk/"} +{"d:Title": "Sayers Ballet Academy", "d:Description": "Ballet (R.A.D.) Jazz, tap and creative movement. Brisbane, Queensland, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Australia", "url": "http://www.sayersballet.com.au/"} +{"d:Title": "Tanya Pearson Classical Coaching Academy", "d:Description": "Dance academy specialising in Classical, Contemporary and Character dance for all ages. St. Leonards, NSW.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Australia", "url": "http://www.classicalcoaching.com/"} +{"d:Title": "Neutral Bay School of Dance", "d:Description": "Located in Sydney, Australia. Specialises in ballet in the RAD method. Other styles taught are jazz, tap, contemporary and character.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Australia", "url": "http://members.ozemail.com.au/~nbsod/"} +{"d:Title": "Sean Boutilier Academy of Dance", "d:Description": "A Toronto dance school offering expert instruction on ballet, pointe, jazz, tap and acro.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Canada", "url": "http://www.seanboutilier.com/"} +{"d:Title": "Ballet Divertimento", "d:Description": "School and choreographic centre located in Montreal, Quebec, Canada. It offers professional training in ballet, as well as recreational classes in ballet, jazz, flamenco and children's ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Canada", "url": "http://www.balletdivertimento.com/"} +{"d:Title": "Goh Ballet Academy", "d:Description": "Vancouver, British Columbia. Youth ballet ensemble, touring and public performance information, achievements.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Canada", "url": "http://www.gohballet.com/"} +{"d:Title": "Burlington School of Classical Ballet", "d:Description": "Offers students the opportunity to study classical ballet in Russian tradition according to the Vaganova method of teaching. Burlington, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Canada", "url": "http://www.bballet.com/"} +{"d:Title": "Canada's National Ballet School", "d:Description": "Renowned school details its professional and community programs. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Canada", "url": "http://www.nbs-enb.ca/"} +{"d:Title": "AAA Toronto Dance Industry", "d:Description": "Toronto dance school specialising in ballet with classes ranging from Hip Hop to Jazz. Safe and friendly environment; for recreation to competition. Leading professional instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Canada", "url": "http://www.torontodanceindustry.com/"} +{"d:Title": "Canada's Royal Winnipeg Ballet School", "d:Description": "General information, news, events, program details, multimedia, guestbook, and employment opportunities.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/Canada", "url": "http://rwb.org/school"} +{"d:Title": "Dance Conservatory/Ballet Theatre of Dover", "d:Description": "A school offering the highest caliber instruction in dance, with its own performance wing offering the community near-professional quality entertainment in dance. Dover, Delaware.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.dancebtd.com/"} +{"d:Title": "Children's Arts Corner", "d:Description": "Classes in Dance, ballet, jazz, creative movement, and gym classes for children, pre-schoolers and toddlers. Kids learn French and creative arts in our summer camp. In Lexington, MA, near Boston.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.artscorner.com/"} +{"d:Title": "Ballet Conservatory", "d:Description": "Providing classical ballet, jazz, tap, lyrical and modern dance instruction. Destin, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.floridaballetconservatory.com/"} +{"d:Title": "Mill Ballet School", "d:Description": "World class dance education in the quaint river town of Lambertville, NJ, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.millballetschool.com/"} +{"d:Title": "Ballet Academy of Warrenton", "d:Description": "Teaching classical ballet using the Russian Method based on the Vaganova syllabus. Also taught are acrobatics, Broadway Jazz, creative movement fencing and Stage Combat. Located in Warrenton, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.ballet-academy.com/"} +{"d:Title": "Minnesota Intensive Summer DanceCamp", "d:Description": "Established by co-directors Cheryl and Andrew Rist to encourage students to learn, relax and reflect. Master teachers work with the boys and girls ages 9-18 in many different disciplines of dance.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.summerdancecamp.com/"} +{"d:Title": "Harid Conservatory", "d:Description": "Boca Raton, Florida - offers high-school-age students ballet training and academic education. Admissions, fees, housing, auditions, events, faculty, summer school, academic year.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.harid.edu/"} +{"d:Title": "Ballet Center of Houston", "d:Description": "Classical Ballet School located in Houston, Texas. Studio offers dance classes for pre-school through teen/adult.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.balletcenterofhouston.com/"} +{"d:Title": "Pontecorvo Ballet Studios", "d:Description": "Providing dance education for all ages and pre-professional training in the Dayton, Ohio area.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.pbstudios.com/"} +{"d:Title": "Aventura Dance Academy", "d:Description": "Classical ballet school, Russian technique, directed by Vladimir Issaev. Classes in Miami and Broward.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.classicalballetschool.com/"} +{"d:Title": "Carnegie Performing Arts Center", "d:Description": "CPAC offers Ballet, Tap, Jazz, Musical Theater, Modern dance classes. Carnegie, PA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.cpac.homestead.com/cpac1.html"} +{"d:Title": "Canton Ballet (Ohio, USA)", "d:Description": "School of Canton Ballet in NE Ohio (USA).", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.cantonballet.com/"} +{"d:Title": "Ballet Guild of the Lehigh Valley", "d:Description": "A not-for-profit ballet school directed by Oleg Briansky and Mireille Briane located in Bethlehem, Pennsylvania", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.bglv.org/"} +{"d:Title": "Baltimore Ballet", "d:Description": "Classes beginning at age 3 with emphasis in ballet. Also, modern, jazz, tap, lyrical, character, Pilates, and voice. Located in Baltimore, Maryland.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.baltimoreballet.com/"} +{"d:Title": "Ballet Academy of Texas", "d:Description": "Pre-ballet and ballet ages 3 and up. Also tap, jazz, modern and hip-hop. Schedule and contact information. Located in Coppell, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.balletacademyoftexas.com/"} +{"d:Title": "Academy of International Ballet and Performing Arts", "d:Description": "The Academy is committed to train talented students for a professional career in classical ballet, dance, and theater but also dedicated to the development of the arts for non-professional students who wish to study dance and acting. Located in Media, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.academyballetru.com/"} +{"d:Title": "The Jillana School", "d:Description": "An intensive summer program, directed by Jillana, stressing the Balanchine Technique. For the young pre-professional student; technique, pointe variation and repertory classes. Located in Taos Ski Valley, NM.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.jillanaschool.com/"} +{"d:Title": "The Dance Corner", "d:Description": "The Dance Corner teachers are dedicated to developing the dancer's self-esteem along with the student's technical and artistic skills. West Windsor, New Jersey", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.thedancecorner.org/"} +{"d:Title": "The Virginia Dance Center", "d:Description": "Offers dance instruction in jazz, ballet, tap, and pre-school classes. They emphasize proper technique, while encouraging creative expression. Manassas, VA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.vadancecenter.com/"} +{"d:Title": "Fredericksburg Ballet Centre", "d:Description": "Located in Fredericksburg, VA. Classical Ballet, Pointe, Pas de Deux, Creative Movement, Pre Ballet, Jazz, Tap, Musical Theatre, Modern. 2 annual performances.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.fredericksburgballet.com/"} +{"d:Title": "CADT&CDC", "d:Description": "Ballet, tap and jazz classes taught by Miss Holly in Enola, PA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.angelfire.com/pa5/cadt/"} +{"d:Title": "Central Pennsylvania Youth Ballet", "d:Description": "Dedicated to offering high level dance classes to people of all ages. Includes a performance schedule and instructional program descriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://cpyb.org/"} +{"d:Title": "Birmingham Ballet Academy", "d:Description": "The official school of Birmingham Ballet. Class schedule with performance opportunities for young dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.birminghamballet.com/"} +{"d:Title": "Dance Works Performing Arts Center", "d:Description": "Classical ballet school also offering tap, jazz, contemporary, jazz-funk, danceline. Annual production of Nutcracker ballet. Located in Lakeville, Minnesota.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.danceworksmn.com/"} +{"d:Title": "Charlotte School of Ballet", "d:Description": "Offers classes based on curricula from The Cecchetti Council of America and The Royal Academy of Dance. Includes schedule, history, PDF registration form and directions. Located in North Carolina, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.charlotteballet.com/"} +{"d:Title": "Ashburn Academy of Ballet", "d:Description": "Pre-professional and recreational program in ballet, mostly, beginning at age 3 with creative movement. Located in Ashburn, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.ashburnballet.com/"} +{"d:Title": "Infinity Ballet Conservatory", "d:Description": "Creative and ballet classes from 3 years to adults. Contact information on site. Located in Apex, North Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.infinityballet.com/"} +{"d:Title": "North Central School of Ballet", "d:Description": "Preschool through professional, ages 2 and up. Also jazz, modern and hip-hop. Class and contact information. Located in N. Richland Hills, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.northcentralballet.com/"} +{"d:Title": "White Marsh Ballet Academy", "d:Description": "Instruction in ballet and other dance disciplines for students age 3 to adult. Directed by former ballerinas Sandra Prehoda and Shari Vasquez. Located in White Marsh, Maryland.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.whitemarshballetacademy.com/"} +{"d:Title": "Amherst Ballet", "d:Description": "Amherst Massachusetts. Accepting all children regardless of ethnic background, religion, or weight. Scholarships are available for qualified low-income families. RAD syllabus.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.amherstballet.org/"} +{"d:Title": "Academy of the Arts", "d:Description": "Dance instruction for students of all ages in tap, ballet, ballroom, and swing in Shawnee, Kansas.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.academyarts.net/"} +{"d:Title": "Casalino School of Creative Arts", "d:Description": "Salt Lake City, Utah, USA. Biographies and photo album of students and graduates.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://casalino.tripod.com/"} +{"d:Title": "Atlantic Coast Academy of Dance", "d:Description": "Offers beginner through advanced level ballet classes. Includes class descriptions, schedule, events, registration form, information about summer programs, and driving directions. Located in West Barnstable, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://atlanticcoastacademyofdance.com/"} +{"d:Title": "Atlanta Ballet Centre for Dance Education", "d:Description": "Creative movement ages 3 to 6 then ballet beginning age 7 plus jazz, tap and modern dance. Located in Atlanta, Georgia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://centre.atlantaballet.com/"} +{"d:Title": "Peabody Preparatory Dance", "d:Description": "Established in 1911, pre-professional training in ballet, contemporary dance, tap, jazz and Spanish Dance in Baltimore, Maryland.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.peabody.jhu.edu/preparatory/departments/dance/"} +{"d:Title": "International School of Classical Ballet", "d:Description": "Provides overview of the school and instructors plus information on curriculum, schedules and tuition. Kirkland, Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States", "url": "http://www.intballetacademy.org/"} +{"d:Title": "Berkeley Ballet Theater and School", "d:Description": "Teaches ballet and has summer workshops which include ballet, modern, jazz, African dance. Children and adult classes are available. All levels welcome, from pre-ballet to pointe. Berkeley, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://www.berkeleyballet.org/"} +{"d:Title": "Western Ballet", "d:Description": "Training in Ballet for children and adults. Beginning, Intermediate, Advanced and Pointe classes. Also summer classes available. In Mountain View, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://www.westernballet.org/"} +{"d:Title": "Ayako School of Ballet", "d:Description": "Offering ballet, lyrical, jazz dance and movement classes. With the belief that correct technique needs to be taught from an early age for a young dancer to mature into an artist of professional quality. Belmont, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://www.ayakoschool.com/"} +{"d:Title": "Pacific Ballet Academy", "d:Description": "Offers a full range of graded ballet classes for pre-ballet students through pre-professional students, and for adults. Mountain View, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://www.pacificballet.org/"} +{"d:Title": "Huntington Academy of Dance", "d:Description": "Accredited dance academy, beginning through professional levels. Member of Cecchetti Society. Home of Ballet Etudes of Huntington Beach, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://www.huntingtonacademyofdance.com/"} +{"d:Title": "Center Stage Dance Academy", "d:Description": "A well-rounded dance education center offering tap, ballet, pointe, jazz and tumbling for beginner through to advanced dancers. Rancho Palos Verdes.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://www.palosverdes.com/centerstage"} +{"d:Title": "Applegate Dance Studio", "d:Description": "Creative movement, pre-ballet and ballet beginning at age 3. Contact information included. Located in Davis, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://www.applegatedance.com/"} +{"d:Title": "Academy of Ballet San Francisco", "d:Description": "Professional Ballet school, home to Chamberdance youth company", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/California", "url": "http://sfacademyofballet.com/"} +{"d:Title": "Joffrey Ballet School", "d:Description": "New York-based school. History, housing, rules, registration details, schedule, program details, faculty, dance company, and rehearsal pages.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.joffreyballetschool.com/"} +{"d:Title": "Logrea Dance Academy", "d:Description": "Offers instruction in ballet, jazz, modern, hip-hop, and tap. Includes a class schedule, program details and upcoming events. Ossining, New York.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.logreadance.com/"} +{"d:Title": "School of American Ballet", "d:Description": "The official ballet school of New York City Ballet. Founded in 1934 by George Balanchine and Lincoln Kirstein.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.sab.org/"} +{"d:Title": "Ballet Arts", "d:Description": "Ballet, jazz, modern, modern jazz, pilates, tap, voice, yoga and hip-hop. Established since 1937. New York, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.balart.com/"} +{"d:Title": "Ballet Hispanico", "d:Description": "This company's school trains students in both ballet and Hispanic dance traditions and sponsors a children's performance group that visits New York-area schools and gives dance lectures and demonstrations, as well as the adult performing company. Classes for all ages and levels.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.ballethispanico.org/"} +{"d:Title": "Ballet Tech", "d:Description": "Official school of Eliot Feld's Ballet Tech Company in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.ballettech.org/"} +{"d:Title": "Brighton Ballet Theater and School of Russian Ballet", "d:Description": "Located in Brooklyn New York. A nonprofit organization in the tradition of the Russian Classical School.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.brightonballet.com/"} +{"d:Title": "Rafael Grigorian School of Ballet", "d:Description": "Offers classes for students of all ages and levels. Includes director's profile and class dates. Located in Elmira and Binghamton, New York.", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Schools/United_States/New_York", "url": "http://www.rafaelgrigorianschoolofballet.com/"} +{"d:Title": "San Francisco Ballet \"Swan Lake 96\"", "d:Description": "Paul Berenson's review", "topic": "Top/Arts/Performing_Arts/Dance/Ballet/Swan_Lake", "url": "http://www.paulb.com/sfbal7.htm"} +{"d:Title": "Dance Beat", "d:Description": "An online ballroom dance and competition magazine.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.dancebeat.com/"} +{"d:Title": "Dancesport UK", "d:Description": "All about Ballroom and Latin dancing in UK and internationally. Competitiors, places to dance, events, results, partner search and constantly updated news. Also, IDSF rules and world ranking.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.dancesport.uk.com/"} +{"d:Title": "Ballroom Dancers.com", "d:Description": "Complete resources on smooth and Latin dances, swing and hustle. Multimedia instruction, newsletter subscription and partner search classifieds.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.ballroomdancers.com/"} +{"d:Title": "Dance Vision International", "d:Description": "Ballroom and dance sport portal. Features dance directories, online shopping for music, videos, and apparel, expert advice, discussion and chat, news and event information, and other dance related resources.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.dancevision.com/"} +{"d:Title": "SoCal DanceSport Portal", "d:Description": "Directory with links related to ballroom, Latin, and swing dancing. Focused on the Southern California region.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://dancesport.tripod.com/"} +{"d:Title": "Dancescape", "d:Description": "Ballroom Dancing and DanceSport Digital Magazine about Olympic-Recognized sport of competitive Ballroom Dancing. Includes an online shopping centre for dance-related products called, \"Dancer's Paradise\".", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.dancescape.com/"} +{"d:Title": "Much Ado About Ballroom Dancing", "d:Description": "Ballroom dancing explained: pointers on learning to dance, choosing shoes, and finding dance music.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.outdancing.com/Ballroom/"} +{"d:Title": "Indy Dancers", "d:Description": "Central Indiana - non-profit, self help dance club. Offering weekly dances and monthly newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.indydancers.com/"} +{"d:Title": "The World Dance Plaza", "d:Description": "Provides actual international dancing news, competition results, forums, and photo material.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.danceplaza.com/"} +{"d:Title": "Burn the Floor", "d:Description": "Site contains tour dates, photo gallery and ticket sales for this ballroom dancing production.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.burnthefloor.com/"} +{"d:Title": "Danceway.com", "d:Description": "Introduction to competitive ballroom dancing, photos, articles, classifieds, and a dance dictionary.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.danceway.com/"} +{"d:Title": "ExploreDance.com", "d:Description": "Web magazine containing information on places to dance, competitions, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.exploredance.com/"} +{"d:Title": "Books on Ballroom Dancing", "d:Description": "List also includes books on swing and Latin dancing, as well as history of partner dance.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.utdallas.edu/~aria/dance/dance_books.html"} +{"d:Title": "Dancesportinfo.net", "d:Description": "Competitive dancesport resource and community. Includes global competition results, photo database, couple profiles and discussion forum.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.dancesportinfo.net/"} +{"d:Title": "Sequence Dancing", "d:Description": "Modern sequence dancing in England. Details of the new dances and links to events and places to dance.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom", "url": "http://www.sequencedancing.co.uk/"} +{"d:Title": "International Hustle and Salsa Competition", "d:Description": "Competition held in April in Miami, FL. Features online registration, a photo gallery and other event details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.hustleandsalsa.com/"} +{"d:Title": "Yankee Classic DanceSport Championships", "d:Description": "Takes place in June in Cambridge, MA. Lists ticket and schedule information, registration and policy guides, news and past results.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.theyankeeclassic.com/"} +{"d:Title": "Disco America", "d:Description": "Hustle and disco dancing championships held in June in Cherry Hill, NJ. Includes registration forms, hotel information, event schedule and past results.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.discoamerica.com/"} +{"d:Title": "Ohio Star Ball", "d:Description": "Held in November and televised on PBS. Hosts several national championship events. Includes entry forms, ticket information, schedule and news.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.ohiostarball.com/"} +{"d:Title": "Cleveland DanceSport Challenge", "d:Description": "Held in September this competition features the Global Spectrum Team Match. Contains registration forms, schedule of events and other general information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.clevelanddancesport.com/"} +{"d:Title": "SFOpen", "d:Description": "Held in April in San Francisco, CA. Contains schedule and travel information, entry forms, past results and news.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.sfopen.com/"} +{"d:Title": "Michigan Dance Challenge", "d:Description": "Held in April and featuring the official Global Pro/Am 10-Dance Scholarship. Contains entry forms, schedule of events, and prize information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.michigandancechallenge.com/"} +{"d:Title": "Heritage Classic", "d:Description": "Held in March at the Grove Park Inn in Asheville, NC. Includes entry forms, travel information and a list of events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.theheritageclassic.com/"} +{"d:Title": "Florida State DanceSport Championships", "d:Description": "Also called the Triple Crown, this event is held in Sarasota, FL in August. Contains entry forms, ticket and scheduling information and news.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.flstatedance.com/"} +{"d:Title": "Snowball Classic", "d:Description": "An amateur-only event held every February in Vancouver, BC. Includes information on tickets, sponsorship, accommodations and competitor registration.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.snowballclassic.com/"} +{"d:Title": "Volunteer State Dance Challenge", "d:Description": "Held in April in Nashville, TN and featuring the Global Pro/Am 9-Dance Scholarship. Contains entry forms, schedule of events, prizes, awards and ticket information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.volstdancechallenge.com/"} +{"d:Title": "La Classique Du Quebec", "d:Description": "An international event held every February in Montreal, Quebec. Contains entry forms, schedule of events and travel information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.laclassiqueduquebec.com/"} +{"d:Title": "Blackpool Dance Festival", "d:Description": "Official site for the four annual festivals, including the British Open Championships. Includes history, application forms, results and other general information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.blackpooldancefestival.com/"} +{"d:Title": "Grand Dancesport Cup", "d:Description": "An amateur-only event held in October in Brooklyn, NY. Contains general information, online registration and past results.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.granddancesportcup.com/"} +{"d:Title": "Millennium Dancesport", "d:Description": "Takes place in June in Tampa, FL. Contains ticket and schedule information, entry forms and news.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.m2dance.com/"} +{"d:Title": "NJ DanceSport Classic", "d:Description": "A tri-annual, amateur-only event featuring 1 day competitions in March, July and October. Held in Hackensack, NJ. Includes entry forms, recent results and other news.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.njdancesportclassic.com/"} +{"d:Title": "Heart of America Dancesport Championships", "d:Description": "Takes place annually in mid August, in Kansas City, MO. Includes entry forms, hotel and ticket forms, and an event schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.hoadancesport.com/"} +{"d:Title": "The Best Comps.net", "d:Description": "Covers five major UK-based international championships run by Michael Stylianos and Lorna Lee. Venue details, timetable, adjudicators and results.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.thebestcomps.net/"} +{"d:Title": "Northcoast Ballroom Championships", "d:Description": "An NDCA recognized event held in June in Cleveland, OH featuring amateur, pro/am and professional events. Contains entry and ticket information, schedule of events and past champions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.northcoastballroomchampionships.com/"} +{"d:Title": "United States DanceSport Championships", "d:Description": "Held in Hollywood, FL in September and featuring several World championship events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Competitions", "url": "http://www.unitedstatesdancechampionships.com/"} +{"d:Title": "Marcus Hilton MBE and Karen Hilton MBE", "d:Description": "Nine-time World Standard Champions from England. Read their story and list of achievements.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.mkhilton.co.uk/"} +{"d:Title": "Michael Reilly and Valerie Hobden-Reilly", "d:Description": "Photos, biography and lesson information for this professional couple from England.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.reillydance.com/"} +{"d:Title": "Gocha Chertkoev and Shorena Gachechiladze", "d:Description": "Professional Latin-American dancers based in Dallas, Texas. Contains biography, photo gallery and class information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.gochashorena.com/"} +{"d:Title": "Michael Mead and Toni Redpath", "d:Description": "Four-time undefeated US Ballroom Champions from southern California. Includes biography, photo gallery, video gallery and list of achievements.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.classicdancers.com/"} +{"d:Title": "Tony Meredith and Melanie Lapatin", "d:Description": "Four-time North American Latin Champions from New York City. Contains biography, list of titles and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.tonyandmelanie.com/"} +{"d:Title": "Margie Perez", "d:Description": "The competitions and challenges of one amateur ballroom dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.angelfire.com/fl5/dancin/Home.html"} +{"d:Title": "Ian Sharpe and Victoria Lawrence", "d:Description": "Amateur ballroom and Latin American dancers from England. Includes news, photographs, for sale and links pages.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.ianvictoria.co.uk/"} +{"d:Title": "Peter and Shannon Mant", "d:Description": "Biography, photos and class schedules for this professional couple from Seattle, WA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.danceexperts.com/"} +{"d:Title": "Vanesa Stay and Oscar Naranjo", "d:Description": "Toronto based Latin couple specializing in salsa, argentine tango and merengue. Includes biography, photos and studio information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.latinenergy.ca/"} +{"d:Title": "Vadim Garbuzov and Zsofia Kovalik", "d:Description": "Canadian National Youth Champions and World Youth 10-Dance Finalists from Vancouver, Canada. Includes biography, photo gallery and list of achievements.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.benom.com/"} +{"d:Title": "Darren Bennett&Lilia Kopylova", "d:Description": "Professional Latin couple based in the UK and featured on BBC\u2019s Strictly Come Dancing. Contains photos, biography, and a list of services they provide.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.darrenandlilia.com/"} +{"d:Title": "Anton du Beke and Erin Boag", "d:Description": "Professional couple based in Kingston Upon Thames, England and featured on BBC\u2019s Strictly Come Dancing. Includes photo gallery, list of services and biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.antonanderin.com/"} +{"d:Title": "Manfred and Anastassiya Stiglitz", "d:Description": "Professional couple based in Kent, England. Includes information about shows, teaching, competitions and their studio.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.art-of-dance.co.uk/"} +{"d:Title": "XS Latin Formation Team", "d:Description": "Latin formation team based in the Cambridge-London area in the UK. View competition results, news and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.xslatin.org/"} +{"d:Title": "Warren and Kristi Boyce", "d:Description": "Professional couple dancing for Estonia, previously record British National Championship title winners.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.leevi.ee/warrenkristiboyce/"} +{"d:Title": "Beata Onefater", "d:Description": "Information about this former World Latin Champion. Contains lesson information, biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.beataone.com/"} +{"d:Title": "Josh Jones", "d:Description": "Professional ballroom and latin competitor, instructor, and performer in Atlanta, with information on lessons, services, booking, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.joshdance.com/"} +{"d:Title": "Stephen Hillier MBE and Jennifer Hillier", "d:Description": "Information on these professional coaches from the UK, including biographies and studio location.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.stephenandjenniferhillier.com/"} +{"d:Title": "Andrey Sirbu and Alexandra Hixson", "d:Description": "Information on this English amateur couple.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Dancers", "url": "http://www.andrey-alexandra.com/"} +{"d:Title": "Want to Dance?", "d:Description": "San Francisco, CA. Includes schedules for Diana Castillo's Swing, Lindy Hop, and Cajun zydeco classes; dance event calendars; night club listings; dance tips/etiquette; and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.wanttodance.com/"} +{"d:Title": "Ritz Trophies and Awards", "d:Description": "Dance lessons including swing are available for teens and adults from Jerry Milczek. Featuring custom plaques for ballroom competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.ritz.net/"} +{"d:Title": "AccessDance Network", "d:Description": "Information on ballroom dancing lessons and dance competitions, including Dancesport. Members sign up for latest news and other features.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.accessdance.com/"} +{"d:Title": "Northwest Dance Network", "d:Description": "Offering ballroom dance workshops, lessons, and events in Seattle, WA.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.nwdance.net/"} +{"d:Title": "Carnegie Mellon University Ballroom Dance Club", "d:Description": "Club functions as both a social organization and dance school in Pittsburgh, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.cmubdc.org/"} +{"d:Title": "DanceWorks Studio", "d:Description": "Non-competitive ballroom dance instruction. Programs, staff profiles, dance types, calendar, and FAQ. Redmond, Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://danceworksstudio.com/"} +{"d:Title": "Wedding Dance Company, The", "d:Description": "Personalised wedding dance lessons for bridal couples or groups, ballroom and Latin styles. Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.weddingdance.com.au/"} +{"d:Title": "Kensway Dance Club", "d:Description": "Social ballroom and Latin American classes in Streatham, London, UK. Beginners, intermediates and advanced. Classes on Tuesday and Thursdays with a social dance on Saturdays.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.socialdance.co.uk/"} +{"d:Title": "Social Elegance - Latin, Ballroom, Swing", "d:Description": "Dance instruction including private lessons, all level(s) group classes, dance parties, exhibitions and competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.socialelegance.net/"} +{"d:Title": "Mambo Productions Dance Company", "d:Description": "Dance Company composed of performers, instructors, and choreographers offering instruction in Salsa dance. Available for performances at corporate parties, weddings, and festivals.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.mambopro.com/"} +{"d:Title": "Pauleen's Dance Studio", "d:Description": "Offers classical ballet, jazz and tap dance lessons. includes teacher profiles and events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.pauleensdancestudio.com/"} +{"d:Title": "Ballroom Dance Teachers College", "d:Description": "A formal vocational program for new and experienced dance professionals that offers teacher training, preparation for certificate exams and business planning services.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.teachballroomdancing.com/"} +{"d:Title": "Dancing For Dessert", "d:Description": "Provides online dance instruction in video format. Learn to dance steps for salsa, swing, foxtrot, tango, waltz and rumba. Also includes a wedding program and ballroom CDs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.dancingfordessert.com/"} +{"d:Title": "Go Dance", "d:Description": "Austin, Texas social dance studio where offers dance instruction for ballroom styles, Latin, swing, country western and salsa.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.godancestudio.com/"} +{"d:Title": "The Alexander Technique: Shall we Dance?", "d:Description": "Article on how the Alexander Technique can enhance the quality of ballroom dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.alexandertechnique.com/articles/ballroom/"} +{"d:Title": "David Miner Ballroom - Dancesport Academy", "d:Description": "Provides affordable dance instruction that is goal attainable.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.davidminerballroom.com/"} +{"d:Title": "Utah Valley University Department of Dance", "d:Description": "A four year college dance program with an option to pursue a BA or BS in Movement Studies with a concentration in Ballroom Dance. Includes a course list and general program information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://www.uvu.edu/dance/"} +{"d:Title": "University of Toronto Dance Club", "d:Description": "Offers a variety of dance lessons, workshops and private competition lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education", "url": "http://utdanceclub.weebly.com/"} +{"d:Title": "Seabreeze Sequence Dance Club", "d:Description": "Redcliffe, Queensland, Australia. Includes information regarding social dance programs, styles taught, awards received, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios", "url": "http://www.sequencedancinginqld.com/"} +{"d:Title": "Crystal Ballroom", "d:Description": "Vancouver, British Columbia. Content includes teacher bios, classes, schedules, photos, directions, links, Chinese page, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/Canada", "url": "http://www.members.tripod.com/crystalballroom1/home/"} +{"d:Title": "Dancing for Dessert", "d:Description": "Langley, BC. Private lessons, group classes and wedding programs for Greater Vancouver and the Fraser Valley area. Class schedule and program information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/Canada", "url": "http://www.dancingfordessert.ca/"} +{"d:Title": "Shirley's Ballroom Dance Studio", "d:Description": "Winnipeg, Manitoba. Instructor bios, class and event schedule, prices, dances taught, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/Canada", "url": "http://www.shirleysdancestudio.com/"} +{"d:Title": "Arthur Murray Dance Studio", "d:Description": "Vancouver, British Columbia. Information on teaching system, programs offered, event schedule, benefits of dancing, photo gallery, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/Canada", "url": "http://www.dancelessonsvancouver.com/"} +{"d:Title": "Rhythm and Motion Dance Studio", "d:Description": "Provides information about schedule, locations, registration, and upcoming events. Instruction in Latin, ballroom, and Argentine tango.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/Canada", "url": "http://www.rhythmandmotion.ca/"} +{"d:Title": "Arthur Murray Dance Studio", "d:Description": "Port Coquitlam, British Columbia. Information about programs, dance history, dances taught, FAQ, dance dictionary, places to dance, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/Canada", "url": "http://www.dancecoquitlam.ca/"} +{"d:Title": "Toronto Social Dance School", "d:Description": "Thornhill, NorthYork. Information pertaining to programs offered, contact information, and calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/Canada", "url": "http://www.ballroomdancingtoronto.com/"} +{"d:Title": "Dance For You", "d:Description": "Ballroom dancing studio of Dubai. Provides private and group lessons for kids and adults.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Arab_Emirates", "url": "https://dubaidance.com/"} +{"d:Title": "Anglo Dance Studios", "d:Description": "Bournemouth, United Kingdom. Class information, dance information, articles, contact details and map, and business bio.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.anglodance.co.uk/"} +{"d:Title": "Dance Options", "d:Description": "International dance studio based in Cheam, London, UK. Information on classes and competitive practice sessions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.danceoptions.com/"} +{"d:Title": "Dancebasics", "d:Description": "Studio based in Cheshire, England. General information, classes, maps, private lesson details, history, competitions, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.dancebasics.co.uk/"} +{"d:Title": "DanceRite", "d:Description": "Basingstoke, Hampshire. Information regarding services, the owners, events, online club/forum, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.dancerite.co.uk/"} +{"d:Title": "Langley School of Dancing", "d:Description": "Shepperton, Middlesex, UK. Minimal content regarding class scheduling and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.langleydancing.co.uk/"} +{"d:Title": "J&B Dance", "d:Description": "Group lessons for adults and children in Ballroom, Latin American and Sequence. Based in London.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.jandbdance.co.uk/"} +{"d:Title": "Stuart's Dance School", "d:Description": "Ballroom and Latin American, based in Warrington, UK. Information on classes, events and cruises.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.stuartsdanceschool.co.uk/"} +{"d:Title": "City Limits", "d:Description": "Dance studio in Sheffield run by the Bennett family. Information on events, classes and shows.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.city-limits.co.uk/"} +{"d:Title": "Nice 'n' Easy Dance Studios", "d:Description": "Dance club and studios based in Boscombe, Bournemouth. Details of classes and professional shows, with photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_Kingdom", "url": "http://www.leevi.ee/danceniceneasy/index.html"} +{"d:Title": "Absolutely Dancesport", "d:Description": "San Diego, California. Provides content regarding programs, employment information, rental space, schedule of events, online store for products, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://absolutelydancesport.com/"} +{"d:Title": "Arthur Murray Dance Studio", "d:Description": "Narberth, Pennsylvania. Provides general information, calendar of events, photos, FAQs, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.amdancestudio.com/"} +{"d:Title": "Academy of Ballroom and Social Dance", "d:Description": "Philadelphia, Pennsylvania. Information on how to start, testimonials, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.academyofsocialdance.com/"} +{"d:Title": "All That Dance Studio", "d:Description": "Louisville, Kentucky. Dances taught, beginner program information, wedding dance, staff bios, FAQ, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.allthatdancelouisville.com/"} +{"d:Title": "Anchor Dance Studio", "d:Description": "Provides instruction in ballroom, swing, salsa, merengue, tango and disco. Oradell, NJ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.anchordancestudio.com/"} +{"d:Title": "Arthur Murray Dance Studio", "d:Description": "Hayward, California. Information regarding dances taught, history, staff, teaching methods, calendar, newsletter, FAQ, area places to dance, wedding/gift programs, dance dictionary, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.haywarddance.com/"} +{"d:Title": "Arthur Murray", "d:Description": "Serving Orange County, Long Beach, Costa Mesa, and Lake Forest, California. Includes a calendar, newsletter, photo gallery, FAQs, teaching methods, wedding programs, gift certificates, contact details, staff bios, dances taught, and internet specials.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.arthurmurraystudios.com/"} +{"d:Title": "Connexions Dance Studio", "d:Description": "Ventura,California. Provides information on how to get started, different styles of dance, wedding dance tips, class schedule, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.connexionsdancestudio.com/"} +{"d:Title": "Casa di Danza", "d:Description": "New Berlin, Wisconsin. Program information, class and party schedule, directions, guestbook, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.casadidanza.com/"} +{"d:Title": "Champion Ballroom Academy", "d:Description": "San Diego, California. Information on how to get started, staff photos, class calendar, news and events, photo gallery, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.championballroom.com/"} +{"d:Title": "Cut-A-Rug Dance Studio", "d:Description": "Tomball, Texas. Includes location, dances offered, wedding and prom programs, newsletter, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.cutarugdancestudio.com/"} +{"d:Title": "Arthur Murray Dance Studio", "d:Description": "Austin, Texas. Information regarding current calendar, employment, newsletter, photos, FAQs, getting started, finding music, dance trivia, health and fitness, wedding programs, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.texdance.com/"} +{"d:Title": "Chicago Dance", "d:Description": "Chicago, Illinois. Instructor bios, program information, class schedule, event calendar, photo gallery, FAQ, online store, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.chicagodance.com/"} +{"d:Title": "Dance Charisma", "d:Description": "Las Vegas, Nevada. Content includes calendar, photos, program information, dances taught, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dancecharisma.com/"} +{"d:Title": "DeSarge Danceworld", "d:Description": "Naperville, Illinois. Class and event schedule, lesson information, step patterns for basic dances, photo gallery, and contact details. [May not work in all browsers]", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.desargedanceworld.com/"} +{"d:Title": "Extreme Dancesport", "d:Description": "Cambridge, Massachusetts. Program information and prices, calendar, photo gallery, staff bios, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.extremedancesport.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Durham, North Carolina. Includes content regarding lessons, DJ service, dance supplies, links, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dancingfads.com/"} +{"d:Title": "DanceSport Academy", "d:Description": "Dearborn, Michigan. Provides content regarding calendar of events, introductory packages, contact information, news, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dancesportacademy.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Belmont, Massachusetts. Contact details, benefits of dancing, staff bios, studio information, class schedule, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fadsbelmont.com/"} +{"d:Title": "Dance Factory", "d:Description": "Arlington, Virginia. Content includes information about FAQs, special events, studio history, subscription to newsletter, directions, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dancefactory.com/"} +{"d:Title": "Dance Alday Studio", "d:Description": "Jacksonville Beach, Florida. Program information, instructor information, calendar, photo gallery, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dancealday.com/"} +{"d:Title": "Dance Plus Ballroom", "d:Description": "Columbus, Ohio. Provides information on staff, how to get started, movie clips of dance steps, upcoming events, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dance-plus.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "West Hartford, Connecticut. Contact details, dance programs, staff bios, studio information, class schedule, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fadswesthartford.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Milwaukee, Wisconsin. Contact details, studio information, and event calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fredastairemilwaukee.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Brookfield, Wisconsin. Contact details, benefits of dancing, staff bios, studio information, class schedule, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fredastairebrookfield.com/"} +{"d:Title": "Dance World of Nashville", "d:Description": "Nashville, Tennessee. Staff information, class schedule, FAQ, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.danceworldtn.com/"} +{"d:Title": "Las Vegas Dancesport", "d:Description": "Las Vegas, Nevada. Information on how to get started, class and event calendar, youth program, photo gallery, FAQ, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.lasvegasdancesport.com/"} +{"d:Title": "Dance Unlimited", "d:Description": "Shreveport, Louisiana. Staff bios, calendar, photo gallery, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.shreveportdance.com/"} +{"d:Title": "Long Beach Dance Centre", "d:Description": "Long Beach, California. Contains descriptions of the dances taught, a monthly calendar, a list of classes, wedding packages information, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.lbdance.com/"} +{"d:Title": "Maine Ballroom Dance Studio", "d:Description": "Portland, Maine. Describes the classes and workshops the studio offers, as well as offering general information about the studio and links to other dance sites.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://maineballroomdancing.com/"} +{"d:Title": "Maplewood Dance Center", "d:Description": "Portland, Maine. Content includes photo gallery, calendar, directions, testimonials, rental space and contact information, email list, and flyers regarding upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.maplewooddancecenter.com/"} +{"d:Title": "Mende Dance Studio", "d:Description": "Rego Park, New York. Contents include a calendar of activities, contact information, photo gallery, contact information, and a dance dictionary.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.mendedancestudio.com/"} +{"d:Title": "Paris Academy", "d:Description": "Raynham, Massachusetts. Information about studio, calendar of classes, events, directions, gift certificate request form, and information feedback.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.parisacademy.com/"} +{"d:Title": "Dancesmiths Ballroom Dance Studio", "d:Description": "Bartlett, Tennessee. Information regarding dances taught, photos, and competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dancesmiths.com/"} +{"d:Title": "Phill's Ballroom Dance Studio", "d:Description": "Orange, California. Business history, contact information, program information, class schedule, and common questions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.phillsbds.com/"} +{"d:Title": "Queen City Ballroom", "d:Description": "Manchester, New Hampshire. Contains general information, frequently asked questions, newsletter, coupons, photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.queencityballroomnh.com/"} +{"d:Title": "RJ Dance Studio", "d:Description": "Salem, Oregon. Content includes information regarding dances taught, FAQs, northwest area competitions and events, studio news, partner search service, youth scholarships,and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.rjdance.com/"} +{"d:Title": "Promenade", "d:Description": "Irvine, California. Content regarding dance instructions, benefits, online dance lesson, schedules and classes, FAQ, contact information, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.clubpromenade.com/"} +{"d:Title": "Bill Sparks' Youth Ballroom Dance Academy", "d:Description": "Columbus, Ohio. Director: former US Latin champion, Bill Sparks. Program information, prices, calendar, photo and movie gallery, and contact details. [Parts of this site require Flash]", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.youth-dancesport.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Bardonia, New York. Contact details, benefits of dancing, studio information, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fredastairerockland.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Cordova, Tennessee. Calendar of class schedule as well as information regarding how to start learning social or competitive dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://benjbeth.tripod.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "West Springfield, Massachusetts. Contact details, benefits of dancing, staff bios, studio information, class schedule, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fadswestspringfield.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "South Glastonbury, Connecticut. Contact details, photo gallery, staff bios, studio information, class schedule, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fadsglastonbury.com/"} +{"d:Title": "Stepping Out Studios", "d:Description": "New York, New York. Contains information on the studio history, calendar, schedule, staff, rates, events, contact details, policies, and studio rental. Additional information regarding a Gay, Lesbian, Transgendered Program called OUTDancing is also available.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://steppingoutstudios.com/"} +{"d:Title": "Step In Time School of Dance", "d:Description": "Warrington, Pennsylvania. Brief content regarding general information, photos, directions, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://stepintimestudio.com/"} +{"d:Title": "Arthur Murray Dance Studios", "d:Description": "Dallas/Fort Worth, Texas. Contains information regarding dances taught, employment, frequent questions, curriculum, calendar, photos, directions, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.dancedfw.com/"} +{"d:Title": "Ballroom East Dance Studio", "d:Description": "Louisville, Kentucky. Contains information on programs, weekly dances, articles, video clips, contact details, places to dance, local dance demonstrations, and calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.ballroomeast.com/"} +{"d:Title": "Beginners Only Social Ballroom Latin Dance Studio", "d:Description": "Offers lessons in beginning ballroom, Latin and social dancing in Houston, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.beginnersonly.com/"} +{"d:Title": "Continental Dance Club", "d:Description": "Offers ballroom and Latin dance instruction specializing in Salsa dance lessons and wedding day dance choreography. Bloomfield, New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.continentaldanceclub.com/"} +{"d:Title": "Dance Elite Ballroom Studio", "d:Description": "International ballroom dance instruction, based in West Bloomfield, MI.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.eliteballroom.com/"} +{"d:Title": "La Classique School of Dance", "d:Description": "Providing ballroom dance instruction for beginning social dancers to advanced and competitive dancers in international and American styles. Features class schedule for instruction held at the Chicago Latvian Community Center.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.laclassiqueschoolofdance.com/"} +{"d:Title": "SuperShag", "d:Description": "Waltham, MA. Offers ballroom and Latin dance classes and events at all levels, pole dancing fitness classes, wedding services, studio hire and merchandise. Includes details of classes, events, services, prices and teacher biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.supershag.com/"} +{"d:Title": "Walters Dance Center", "d:Description": "Kansas City, Kansas. Provides contact information, calendar, wedding programs, frequently asked questions, directions and links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.waltersdancecenter.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Latham, New York. FAQ, photo gallery, calendar, newsletter, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.albanyfads.com/"} +{"d:Title": "Arthur Murray", "d:Description": "Orlando and Lake Mary, Florida. Information regarding dances taught, benefits of dancing, helpful hints, locations, events, staff, calendars, FAQs, wedding programs, contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.arthurmurrayorlando.com/"} +{"d:Title": "Arthur Murray Dance Studio", "d:Description": "Mesa, Arizona. This site includes information regarding classes, programs offered, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.arthurmurraymesa.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "South Windsor, Connecticut. Contact details, benefits of dancing, staff bios, studio information, class schedule, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fadssouthwindsor.com/"} +{"d:Title": "Fred Astaire Dance Studio", "d:Description": "Bloomfield Hills, Michigan. Contact details, dance programs, staff bios, studio information, class schedule, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.fadsmichigan.com/"} +{"d:Title": "Dance Instutite", "d:Description": "Austin, Texas. Ballet, Ballroom, Latin and Social dance programs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://danceinstitute.com/"} +{"d:Title": "Take The Lead Dance Studio", "d:Description": "Wilmington, Delaware. Includes lesson information, instructor biographies, studio calendar, group class registration, photo gallery, contact information, and FAQs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://taketheleaddancestudio.com/"} +{"d:Title": "Top Hat Dance Studio", "d:Description": "Philadelphia, Pennsylvania. Offers instruction in ballroom, performance, competition, and social dance styles.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://tophatdancestudio.com/"} +{"d:Title": "Promenade Ballroom and School of Dance", "d:Description": "Reno, Nevada. Ballroom and latin dance instruction. Class schedule, FAQ, contact info, pictures, and prices.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.ballroomdancereno.com/"} +{"d:Title": "Ballroom Dance NYC", "d:Description": "New York, New York. Teacher specializing in private ballroom dance instruction for wedding couples. Provides information on policies, how to get started, FAQ, links, purchasing lessons online, and local places to dance.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.ballroomdancenyc.com/"} +{"d:Title": "AS Dance Connection", "d:Description": "Ballroom studio in Thornwood, New York. Information on dances, gallery and testimonials.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://asdanceconnection.com/"} +{"d:Title": "Arthur Murray Dance Studios", "d:Description": "Vancouver, Portland and Beaverton Studios. Information for both locations regarding FAQ, directions, types of programs, dances taught, calendar, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.portlandballroomdance.com/"} +{"d:Title": "Dance New York Westchester", "d:Description": "Briarcliff Manor, New York. Provides information regarding private lesson, group classes, wedding programs, staff, parties, studio rentals, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://dnywestchester.com/"} +{"d:Title": "Bethesda Dance Studio", "d:Description": "Bethesda, Maryland. Dance program information, contact details, staff biographies, a list of dances taught, and an introductory special.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://www.metrodancestudio.com/"} +{"d:Title": "Dance Manhattan Dance Studio", "d:Description": "New York, New York. Contains staff bios, studio calendar and newsletter, dance links, contact info, and dance package information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Education/Studios/United_States", "url": "http://dancemanhattan.com/"} +{"d:Title": "USA Dance", "d:Description": "A nationwide organization of competitive, college and social Amateur Ballroom dancers that functions as the governing body in the USA for amateur ballroom dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.usadance.org/"} +{"d:Title": "The National Dance Council of America (NDCA)", "d:Description": "Governing Council of NDCA sanctioned events, representative of the WDC in the USA. News, press releases and event information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.ndca.org/"} +{"d:Title": "Dancesport Australia", "d:Description": "Governing body for Ballroom, Latin American and New Vogue in Australia. Includes rules, news items, a discussion forum and information on the executive committee.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.dancesport.org.au/"} +{"d:Title": "Guernsey Amateur Dancesport Association", "d:Description": "Information on dancing in Guernsey. Classes, events and news.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.danceguernsey.co.uk/"} +{"d:Title": "Dance Sport Scotland", "d:Description": "Organisation for ballroom dance in Scotland. Information about dancesport, competition details and dance venues.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.dancesportscotland.org/"} +{"d:Title": "Dance For England", "d:Description": "Developing and promoting DanceSport for young people in England. Information on Team England, funding and donations.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.danceforengland.org/"} +{"d:Title": "Canadian Amateur DanceSport Association", "d:Description": "Represents DanceSport competitors in Canada. Information on competitions and representation.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.dancesport.ca/"} +{"d:Title": "English Amateur Dancesport Association (EADA)", "d:Description": "Governing body for amateur dancesport in the UK. Includes registration, rules, news and a discussion forum.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://cms.eada.org.uk/"} +{"d:Title": "World DanceSport Federation (WDSF)", "d:Description": "International governing body for dancesport. Includes details of WDSF commissions, rules and regulations, competitions and world rankings.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.worlddancesport.org/"} +{"d:Title": "British Dance Council", "d:Description": "Professional governing body for ballroom and related dance styles in the UK. Information on committees and events.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.bdconline.org/"} +{"d:Title": "World Dance Council", "d:Description": "International governing body for competitive professional ballroom dancing. Includes competition calendars, committee activities and press releases.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://www.wdcdance.com/"} +{"d:Title": "The Ballroom Dancers' Federation", "d:Description": "British-based organisation aiming to promote and protect the dancesport industry. Information on activities, events and competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Organizations", "url": "http://bdfonline.co.uk/"} +{"d:Title": "DanceSport Latvia", "d:Description": "All about the sport of dance in Latvia. Competitions, results, press releases, addresses, links to dance clubs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.dancesport.lv/"} +{"d:Title": "Calgary Ballroom&Country Western Dance", "d:Description": "Calgary ballroom and country western dance, history of dance, competitions, local dances, work shops and Calgary Information and links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.centralhome.com/ballroomcountry/"} +{"d:Title": "Grand Ballroom Dance Inc.", "d:Description": "Learn to dance for that special occasion or just for fun.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.grandballroomdance.com/"} +{"d:Title": "Gotta Dance!", "d:Description": "Offers Ballroom and Swing dance lessons in the Boston/Cambridge area.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.gottadance.org/"} +{"d:Title": "Regent Ballroom and Banquet Center", "d:Description": "Ballroom dance instruction, impressive banquet facilities, and public dances weekly.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.regentballroom.com/"} +{"d:Title": "Tracy Everitt Dance Courses: Dance in Hoboken", "d:Description": "Learn swing dance, ballroom, or Latin in Hoboken, NJ with Broadway veteran Tracy Everitt.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.tracyeveritt.com/"} +{"d:Title": "Raper's Dance Corner", "d:Description": "Dance clubs, Who's Who in Swing Dance, dance dictionary, swing dance research and historic association, dance instruction and lesson plans.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.dancecorner.com/"} +{"d:Title": "Waltz etcetera", "d:Description": "Waltz and other traveling dances - fox trot, one step - in Seattle. A regular weekly dance, plus classes, workshops, and other events. A friendly group, all skill levels.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.waltzetc.com/"} +{"d:Title": "Stardust Dance Productions", "d:Description": "Dance weekends include unlimited dance workshops, gourmet meals, open bars, day and night dancing, club entertainment plus full use of the resort health and fitness facilities. Woodbourne, New York.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://stardustdance.com/"} +{"d:Title": "Ballroom Dancing in South Mississippi", "d:Description": "Lists all public ballroom dances as well as dance studios.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.angelfire.com/ms/USABDA/"} +{"d:Title": "Dancers at Sea", "d:Description": "Cruises with a team of dance hosts. Schedules, testimonials and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.dancersatsea.com/"} +{"d:Title": "Sunshine Dancing Association [Hong Kong]", "d:Description": "The place in Hong Kong to learn Ballroom and Latin American dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://sunshinedancing.com/"} +{"d:Title": "Mr. Wonderful's Ballroom Dancing", "d:Description": "Ballroom dance host in London, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.mrwonderfuldancing.com/"} +{"d:Title": "Dancing Jungle", "d:Description": "Information about ballroom dancing in Belgium: clubs, schools, organizations, competition, formation dancing, events, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://users.skynet.be/bk211813/"} +{"d:Title": "Dancing in Chicagoland", "d:Description": "Portal for resources and links to the area's dance clubs for dancers and those who want to learn.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.ballroomchicago.com/"} +{"d:Title": "Pasadena Ballroom Dance Association", "d:Description": "Features information on classes, schedule, upcoming dances, instructors, and locations.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.pasadenaballroomdance.com/"} +{"d:Title": "Kelly's Dancesport Club", "d:Description": "Offers a range of services for the beginning amateur, to professional training and coaching. Based in North Wales, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.kellydancesport.50megs.com/"} +{"d:Title": "Century Ballroom", "d:Description": "Dining and ballroom dancing venue in Seattle.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.centuryballroom.com/"} +{"d:Title": "Evergreen Ballroom", "d:Description": "A ballroom dance hall in Medford, Oregon offering dances, workshops and lessons by independent instructors. Includes regional and ballroom dance links.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.evergreenballroom.com/"} +{"d:Title": "Crystal Ballroom Vancouver", "d:Description": "Venue in Vancouver, Canada offers Ballroom dancing 7-nights a week. Open to the Public.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://crystalballroom1.tripod.com/home/"} +{"d:Title": "Star Ballroom", "d:Description": "Public dancing on Tuesday and Friday nights and on the first and third Saturday nights. Offers group and private lessons. Pompano Beach, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.starballroominc.com/"} +{"d:Title": "Best-Ballroom", "d:Description": "Social Ballroom offers Latin American and sequence dancing in Kent, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.best-ballroom.co.uk/"} +{"d:Title": "Old Time Dance", "d:Description": "Provides listing of 'Old Time Dance Society' clubs in the United Kingdom.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.oldtimedance.co.uk/"} +{"d:Title": "Carrillo Ballroom", "d:Description": "Dancing facility located in Santa Barbara, California.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://members.tripod.com/~carrillo_ballroom/"} +{"d:Title": "Dancing Dates", "d:Description": "Calendar of swing, ballroom, and social dance events in Fort Wayne and Northeast Indiana. Includes descriptions and links to bands and nightclubs.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.dancingdates.com/"} +{"d:Title": "San Francisco California Waltz Ball", "d:Description": "San Francisco Waltzing Society invitation to its annual waltz ball in the San Francisco California Bay Area.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.sfwaltzingsociety.org/"} +{"d:Title": "Simply the Best Dancing", "d:Description": "Organized regular dance events in Surrey, England specifically for the social dancer. Venues include Leatherhead and Reigate.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.simplythebestdancing.co.uk/"} +{"d:Title": "Willowbrook Ballroom", "d:Description": "Ballroom, swing, and salsa dancing in Willow Springs, Illinois.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.willowbrookballroom.com/"} +{"d:Title": "USABDA of Tacoma", "d:Description": "Chapter of the United States Amateur Ballroom Dance Association. List places to dance, teachers, emails and websites in the area and links to other sites.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.dancetacoma.org/"} +{"d:Title": "Where To Go Ballroom Dancing", "d:Description": "Features a calendar of dances for the Western MA and New York Capital District areas, lists of instructors and links to other ballroom dance information on the Internet.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.ballroomdances.org/"} +{"d:Title": "Florida Dancing Venues", "d:Description": "Offers information regarding dancing venues in Florida including addresses and phone numbers.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.dancelistflorida.com/"} +{"d:Title": "Victoria Ballroom Dance Society", "d:Description": "Offering dance classes and workshops for all levels, ballroom dances, and practice sessions. Sponsor of the Dance Pacifica dancesport competition. Located in Victoria, British Columbia, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.vbds.org/"} +{"d:Title": "Talk Of The Town Ballroom Dancing", "d:Description": "Social, recreational dancing and instruction for all ages. May not work in all browsers.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.tnet.com.au/~wbc/"} +{"d:Title": "The Promenade DanceSport Facility", "d:Description": "Featuring Ballroom, Latin and Swing Dancing and Lessons. Open to the public seven days a week. 5,500 square feet of floating hardwood dance floor.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.mddancesport.com/"} +{"d:Title": "The Ballroom", "d:Description": "Pricing of dance lessons, private events, and wedding venue in the Green Hills area of Nashville, TN.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://www.theballroomgreenhills.com/"} +{"d:Title": "University of Michigan Ballroom Dance Club", "d:Description": "Dedicated to the sport of ballroom dancing. Membership includes students, faculty and alumni from the University of Michigan, and is open to anyone interested in dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Venues", "url": "http://ballroomclubum.com/"} +{"d:Title": "Waltz etcetera", "d:Description": "Dances, classes, and related events and information relating to waltz and other traveling dances (fox trot, one step, etc.) in the Seattle area.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Waltz", "url": "http://www.waltzetc.com/"} +{"d:Title": "Wikipedia: Waltz", "d:Description": "Information on what defines the waltz and its different variants.", "topic": "Top/Arts/Performing_Arts/Dance/Ballroom/Waltz", "url": "http://en.wikipedia.org/wiki/Waltz"} +{"d:Title": "Dairakudakan", "d:Description": "Company founded by Akaji Maro and based in Tokyo, Japan. Includes profile, news, performance history and class information. [English/Japanese].", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.dairakudakan.com/"} +{"d:Title": "Butoh.net -- The World of Butoh Dance", "d:Description": "Sponsored by Tangentz Performance Group, includes essays about Butoh, and offers a list of teachers and performers around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.butoh.net/"} +{"d:Title": "Tadashi Endo", "d:Description": "Biography and choreography of Tadashi Endo, the Butoh-MA Dancer", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.avantart.com/endo/endo.htm"} +{"d:Title": "Shinichi Momo Koga and Ink Boat", "d:Description": "All about Shinichi Koga's hybrid company and works rooted in Butoh Dance. \"Shadow comedy\" is the phrase that best describes Shinichi's work.", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.inkboat.com/"} +{"d:Title": "Maureen Fleming", "d:Description": "American butoh performer of international acclaim.", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.maureenfleming.com/"} +{"d:Title": "Flesh and Blood Mystery Theater", "d:Description": "A San Francisco-based Butoh group. Site offers general information about the history of Butoh, as well as quotations by Hijikata, Ohno, and Motofuji.", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://home.earthlink.net/~bdenatale/"} +{"d:Title": "Degenerate Art Ensemble", "d:Description": "Home of the Seattle-based performance group. Media-intensive collection of photos, music, background information and events calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.degenerateartensemble.com/"} +{"d:Title": "Butoh Dance - GooSayTen", "d:Description": "Many Butoh artist descriptions, links, press clippings and photos. Host to other individual artist pages.", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.ne.jp/asahi/butoh/itto/butoh-e.htm"} +{"d:Title": "Yumiko Yoshioka", "d:Description": "Yumiko Yoshioka's background, performance photos, workshops and yearly schedule. Includes contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.ne.jp/asahi/butoh/itto/yumiko/index.htm"} +{"d:Title": "The SU-EN Butoh Company", "d:Description": "Information about the work of the Swedish Butoh dancer/teacher and choreographer Susanna Ekerlund (SU-EN).", "topic": "Top/Arts/Performing_Arts/Dance/Butoh", "url": "http://www.suenbutohcompany.net/"} +{"d:Title": "Toronto Chinese Dance Company", "d:Description": "Professional dance company that performs classical, folk, and contemporary styles.", "topic": "Top/Arts/Performing_Arts/Dance/Chinese", "url": "http://www.chinesedance.com/"} +{"d:Title": "The Art of Chinese Dance", "d:Description": "History of Chinese dance as well as brief information on some modern Chinese dance companies.", "topic": "Top/Arts/Performing_Arts/Dance/Chinese", "url": "http://www.houstoncul.org/eng_culexg/c004.htm"} +{"d:Title": "New York Chinese Cultural Center - Folk Dance Company", "d:Description": "Chinese dance history, company events, photo galleries, class schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Chinese", "url": "http://www.nychineseculturalcenter.org/"} +{"d:Title": "Yi Dao Dragon&Lion Dance Troupe", "d:Description": "UK National lion dance Champions 2000. wushu, kung fu, acrobatics troupe based in London.", "topic": "Top/Arts/Performing_Arts/Dance/Chinese/Lion_and_Dragon", "url": "http://yi_dao.tripod.com/"} +{"d:Title": "Success Lion Dance - Ottawa, Canada", "d:Description": "The home site of Ottawa's resident Lion Dance troupe. Includes calendar of events and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Chinese/Lion_and_Dragon", "url": "http://vv.carleton.ca/~lemon/liondance/"} +{"d:Title": "Lee's Golden Dragon Lion Dance Troupe", "d:Description": "The oldest, most established troupe in Houston, Texas. Performing traditional and contemporary Fat San and Hoc San styles.", "topic": "Top/Arts/Performing_Arts/Dance/Chinese/Lion_and_Dragon", "url": "http://www.leesgoldendragon.org/"} +{"d:Title": "The Traditional Chinese Lion Dance", "d:Description": "Pictures and a video clip of the Chinese Lion Dance, as performed on Sept 15, 2000 in Lelystad, the Netherlands. Includes links and the Dance Troupe in Holland.", "topic": "Top/Arts/Performing_Arts/Dance/Chinese/Lion_and_Dragon", "url": "http://www.xs4all.nl/~fvanstaa/OurHP/Lion/lionpage.html"} +{"d:Title": "T.Balasaraswati on Bharata Natyam", "d:Description": "Translation of her speech at the Tamil Isai Sangam conference.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://www.carnatica.net/dance/bharatanatyam1.htm"} +{"d:Title": "Bharatanatyam", "d:Description": "Illustrated introduction to its elements, symbols, inspirations and history.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://bharatanatyam.co.uk/bharatanatyam.html"} +{"d:Title": "Online Bharatanatyam", "d:Description": "Includes basic steps, mudras/hastas, videos, and a forum.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://onlinebharatanatyam.com/"} +{"d:Title": "Bhavalaya: Bharat Natyam", "d:Description": "Introduction and profiles of famous dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://www.bhavalaya.com/art%20and%20culture/bharat_natyam.html"} +{"d:Title": "Narthaki: Bharatanatyam", "d:Description": "Introduction and a worldwide directory of dancers, institutions, and teachers.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://www.narthaki.com/bhnatyam/bartntym.htm"} +{"d:Title": "Yahoo Group: Bharatanatyam Dancers", "d:Description": "Moderated discussion group.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://groups.yahoo.com/group/bharatanatyam_dancers"} +{"d:Title": "Bharatanatyam: Dancing for the Gods", "d:Description": "Interactive site with videos of techniques, performances, and interviews. Users can direct their own dances and upload videos. Includes forums and lesson plans for K-12 teachers.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://www.dancingforthegods.org/"} +{"d:Title": "Sri Rajarajeswari Bharatha Natya Kala Mandir", "d:Description": "School founded in Bombay in 1945. Includes events and history of the dance form and the school.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://www.bharathanatyam.com/"} +{"d:Title": "Arpana Dance Company", "d:Description": "Founded by Ramya Harishankar. Includes productions and reviews, class schedule, and educational outreach program. Irvine, California.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam", "url": "http://www.danceramya.com/"} +{"d:Title": "Maya Menon", "d:Description": "Press releases, photo gallery, class schedules and biography of an artiste in North Carolina, USA. Trained in Kalakshetra and under Shri Palaniappan.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.angelfire.com/biz3/bharatanatyam/Srimati.html"} +{"d:Title": "Nina Rajarani", "d:Description": "Creations, background, past and upcoming events of this artiste and her company 'Sristi' in England.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.srishti.co.uk/"} +{"d:Title": "Janaki Rangarajan", "d:Description": "The resume, memories, performances, videos, and students of the dancer of the Bharatanrityam variety of Bharatanatyam.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.nrityaniketan.com/"} +{"d:Title": "Srividhya Raman", "d:Description": "Profile of a danseuse and a teacher of Pandanallur style in Atlanta, GA.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.angelfire.com/stars3/sriraman/SrividhyaRamanBharatanatyamDancer.html"} +{"d:Title": "Priyadarsini Govind", "d:Description": "Awards, photo gallery, biography, performances and press reviews of a dancer from Chennai.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.priyadarsinigovind.net/"} +{"d:Title": "Charulatha Jayaraman", "d:Description": "Information about the Tiruchirapalli-based artiste, her main performances, media reviews, photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.charulatha.com/"} +{"d:Title": "Kay Poursine", "d:Description": "Disciple of T. Balasaraswati, who teaches in Connecticut. Dancer's biography and press reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.kpoursine.com/"} +{"d:Title": "Rama Vaidyanathan", "d:Description": "Read about her style, awards, choreography, past and upcoming performances, and the press reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://ramavaidyanathan.tripod.com/"} +{"d:Title": "Mrinalini and Lavanya", "d:Description": "Web site of the dancing duo: their guru, events, photo gallery and press reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://dancingduo.tripod.com/"} +{"d:Title": "Adyar K. Lakshman", "d:Description": "His personal profile, compositions, awards and tours.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.angelfire.com/la/adyarlakshman/"} +{"d:Title": "Francis Barboza", "d:Description": "Articles, pictures, choreography innovations, performances and video productions.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.drbarboza.com/"} +{"d:Title": "Sonali Skandan", "d:Description": "Web site with the professional background information, photo gallery and the schedule of upcoming performances of this dancer and teacher in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.sonaliskandan.com/"} +{"d:Title": "Namita Bodaji", "d:Description": "Website about her performances, awards, reviews, her image gallery and information about her yoga camps.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.namitabodaji.com/"} +{"d:Title": "Rajeswari Sainath", "d:Description": "News, photos and profile of a well-known danseuse and teacher from Hyderabad.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.rajeswarisainath.com/"} +{"d:Title": "Padmaja Suresh", "d:Description": "Web site of a dancer and dance teacher, featuring her past performances, ballets, photos, press reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.angelfire.com/bc/padmajasuresh/index1.html"} +{"d:Title": "Nrithya Jagannathan", "d:Description": "The dancer's background, honours, performances, their reviews, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.nrithyajagannathan.com/"} +{"d:Title": "Smitha Madhav", "d:Description": "Web site of the young dancer and carnatic vocalist. Her profile, awards, photos, video and audio clips, information on the upcoming performances, and the press reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.smithamadhav.com/"} +{"d:Title": "Parvati Ravi Ghantasala", "d:Description": "Learn about her career, press reports, dance ballets and her institution Kalapradarshini.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.carnatica.net/links/parvatiravi-home.htm"} +{"d:Title": "Parimal Phadke", "d:Description": "The site presents the dancer's profile, performances, photos, articles, collaborative projects, schedule of classes and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.parimalphadke.com/"} +{"d:Title": "Manaswini Sridhar", "d:Description": "Website of the young dancer detailing her lineage, performances, press reviews, her prizes.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.manaswini.com/"} +{"d:Title": "Srekala Bharath", "d:Description": "Official web site with her profile, photos, awards, schedule of performances, list of productions and their reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.srekalabharath.com/"} +{"d:Title": "Sulakshana Jayaram", "d:Description": "Profile, list of past performances, reviews, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.sulakshanajayaram.com/"} +{"d:Title": "Vijna Rani Vasudevan", "d:Description": "The site showcases the Dhananjayan's student's profile, her major performances, their press reviews, awards and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://vijna.info/"} +{"d:Title": "Meenakshi Chitharanjan", "d:Description": "Profile, list of awards, press reviews, performances of the dancer of Pandanallur style, her school Kaladisha and the schedule of forthcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.meenakshichitharanjan.com/"} +{"d:Title": "Roja Kannan", "d:Description": "Her profile, awards, productions, schedule of upcoming events, photo gallery and information about Bharatha Natyalaya school.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://rojakannan.com/"} +{"d:Title": "Geetha Ganesan", "d:Description": "Web site featuring her profile, photos, publications, awards, skills, press reviews, program calendar, and her Bharatanatyam school.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.geethaganesan.com/"} +{"d:Title": "Medha Hari", "d:Description": "Includes awards, performance list, press reviews, photo gallery, and upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Bharata_Natyam/Dancers", "url": "http://www.medhahari.com/"} +{"d:Title": "Ioanna Srinivasan", "d:Description": "Web site with a brief information about the performer, choreographer and teacher of Kathak, and The Academy of Kathak Dance based in Germany, with a schedule of classes and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.kathakdance.de/"} +{"d:Title": "Ileana Citaristi", "d:Description": "Italian dancer of Odissi in Bhubaneswar. Her bio-data, art vision, dance productions and publications.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.kalinga.net/ileana"} +{"d:Title": "Shoba Natarajan", "d:Description": "Shoba Natarajan is a Bharata Natyam and Kuchipudi dance exponent based in Chicago, Illinois, USA. She runs a Dance school, as well as a Summer training programme \"Samskriti\".", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.csl.sri.com/~shankar/shoba/shoba-bio.html"} +{"d:Title": "Shreelata Suresh", "d:Description": "Bharatha Natyam and Kuchipoodi dancer and teacher in California: her profile, performances, reviews, gallery and information on Vishwa Shanthi Dance Academy.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.shreelatasuresh.com/"} +{"d:Title": "Rajika Puri", "d:Description": "Bharata Natyam and Odissi dancer who brings in modern innovations and blends with Flamenco.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.rajikapuri.com/"} +{"d:Title": "Jetty Roels", "d:Description": "Bharata Natyam dancer in Dandayudhapani style. Lives in Belgium.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://users.skynet.be/sky13511/oudekapel/"} +{"d:Title": "Smitha Rajan", "d:Description": "Mohiniyattam dancer, with some Bharatanatyam background, living in St.Louis, Missouri.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://smitharajan.tripod.com/"} +{"d:Title": "Shantala Shivalingappa", "d:Description": "Kuchipudi dancer and theatre actress in Paris", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.shantalashivalingappa.com/"} +{"d:Title": "Meenakshi Ganesan", "d:Description": "Web site of the dancer, with her profile, photo gallery and events calendar, and information on her Kalaanjali School of Dance and Music in Wisconsin, where Bharatanatyam and semi-classical dances are taught.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.kalaanjali.com/"} +{"d:Title": "Indira Kadambi", "d:Description": "Bharatanatyam and Mohiniattam dancer and teacher from Chennai. See the photo gallery, read press reviews and the list of major performances and awards.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.indirakadambi.com/"} +{"d:Title": "Ananda Shankar Jayant", "d:Description": "Web site of a Kuchipudi and Bharatanatyam dancer: her profile as a performer, teacher and scholar, detailing her awards, productions and tours.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.anandashankarjayant.com/"} +{"d:Title": "Yogini Gandhi", "d:Description": "Personal page of a Kathak and Odissi dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.yoginigandhi.com/yog1.htm"} +{"d:Title": "Surati", "d:Description": "Rimli Roy's dance company: its classes, workshops, performances and their photos, lecture demonstrations, and other activities.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.suratiinc.com/"} +{"d:Title": "Jayashree Rajagopalan", "d:Description": "Extensive web site featuring her profile, gurus, her production, research, media coverage, and the detailed information on Nrithyodaya Academy of Performing Arts, its background, events and activities.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.jayashreerajagopalan.com/"} +{"d:Title": "Pallavi Krishnan", "d:Description": "The Mohiniattam dancer's profile, photos, group choreographies, the reviews of her performances and the information on Lasya Academy.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.pallavikrishnan.com/"} +{"d:Title": "Alarmel Valli", "d:Description": "Web site of this Bharatanatyam and Odissi dancer: biography, press reviews and major performances.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.alarmelvalli.org/"} +{"d:Title": "Sharmila Sharma", "d:Description": "This Kathak dancer's biography, photos and online videos, list of her past and forthcoming performances, press reviews and guest book.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://sharmilasharma.com/"} +{"d:Title": "Puspita Mishra", "d:Description": "Odissi dancer's profile, her guru, choreography, photo gallery, performances, classes and information on Kalingayana Tourya Trikam.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://puspitamishra.com/"} +{"d:Title": "Anuradha Venkataraman", "d:Description": "The official site with a list of past performances, reviews, the dancer's profile and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.danseuse-anuradha.com/"} +{"d:Title": "Madhumita Patnaik", "d:Description": "Profile, photos, videos, major performances and press reviews of the professional Odissi dancer and guru of Sri Aurobindo Ashram.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.odissidancer.org/"} +{"d:Title": "Vijayapal Pathloth", "d:Description": "Profile, photos, list of past performances of the young Kuchipudi performer, trainer, and choreographer.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.vijayapalpathloth.blogspot.com/"} +{"d:Title": "Sharmila Mukherjee", "d:Description": "Web site with a profile, photo gallery and reviews of the performances of the Odissi dancer and teacher from Bangalore.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.sharmilamukherjee.com/"} +{"d:Title": "Sobha Naidu", "d:Description": "Web site featuring the veteran Kuchipudi dancer's profile, her school, choreographies, awards and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.sobhanaidu.org/"} +{"d:Title": "Nandini Ghosal", "d:Description": "Professional background of the Odissi dancer and actress, her photos and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.nandinighosal.com/"} +{"d:Title": "Oopali Operajita", "d:Description": "Website with the Odissi danseuse's biography, honors and awards, photo gallery, and reviews of her performances.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.oopalioperajita.com/"} +{"d:Title": "Shubha Dhananjay", "d:Description": "Web site of the Bharatanatyam and Kathak dancer, featuring her professional background, awards, description of her school and a photo gallery of her ballets.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.karanaindia.com/"} +{"d:Title": "Uma Muralikrishna", "d:Description": "This Kuchupudi and Bharatanatyam dancer's profile, her awards, photo gallery, list of past and forthcoming performances and their reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers", "url": "http://www.umamuralikrishna.com/"} +{"d:Title": "Artindia", "d:Description": "Listings with contact information and links to the dancers' personal web sites.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers/Directories", "url": "http://www.artindia.net/bharata2.html"} +{"d:Title": "Association of Bharatanatyam Artistes of India", "d:Description": "A large directory of dancers and performing gurus.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Dancers/Directories", "url": "http://www.abhai.org.in/dm.php"} +{"d:Title": "Narthaki", "d:Description": "Collection of names and addresses of members of the South Asian dance community the world over.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Directories", "url": "http://www.narthaki.com/"} +{"d:Title": "Narthaki Online", "d:Description": "A collection of names and addresses of members of the South Asian dance community around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Directories", "url": "http://www.narthaki.com/adindex.html"} +{"d:Title": "Bharatiya Vidya Bhavan, London (U.K.)", "d:Description": "A centre for learning Indian dances, languages, music, architecture, and instruments, as well as classes in yoga in London, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "http://www.bhavan.net/"} +{"d:Title": "Ashavari Dance School", "d:Description": "Read about this school of Kathak based in USA and India. The site is maintained by Gargi.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "http://www.asavari.org/"} +{"d:Title": "Aangikam Dance Company", "d:Description": "This dance school teaches Manipuri, Bharata Natyam and folk dances of India. It is run by Jasmine Shah, and is based in New Hampshire, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "http://aangikam.com/"} +{"d:Title": "GaramChai.com: Indian Dance, Music and Culture Schools and Academies", "d:Description": "Profiles and contact information of schools and musical instrument suppliers in North America.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "http://www.garamchai.com/danceschools.htm"} +{"d:Title": "Bharatiya Vidya Bhavan, New York (USA).", "d:Description": "Institute of Indian Culture, USA. Based in NY the Bhavan offers training in Bharata Natyam, Kathak, folk dances, as well as Hindi, Sanskrit, Tamil, Vocal Music and Indian Culture to children (!). A very good, up-to-date site on the New York Bharatiya Vidya Bhavan.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "http://www.bhavanus.com/"} +{"d:Title": "Mrinalini Sarabhai's Darpana Academy of Performing Arts", "d:Description": "Training featured in music, puppetry and theatre by Mrinalini Sarabhai and her daughter Mallika. Located at Ahmedabad, Gujarat.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "http://www.darpana.com/"} +{"d:Title": "Rajee Narayan", "d:Description": "Biography, books and CDs with audio excerpts, and profiles of former students including those offering classes for Guru Rajee's institution.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "http://www.rajeenarayan.com/"} +{"d:Title": "Ragamala Dance Company", "d:Description": "Presents dance, music and poetry, blending the East and West in unique and innovative programs that reflect the multicultural world in which we live. Minneapolis, Minnesota, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Education", "url": "https://www.ragamaladance.org/"} +{"d:Title": "Bihu of Assam", "d:Description": "Bihu festivals consist of song and dance from Assam.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Folk", "url": "http://www.cs.uccs.edu/~kalita/assam/bihu/bihu.html"} +{"d:Title": "Karnataka: Celebration of the Celestials", "d:Description": "Article and pictures on the song-dance-theater form of art in India called Yakshagana.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Folk", "url": "http://www.kamat.com/kalranga/yaxagana"} +{"d:Title": "Koodiyattam by Cyber Kerala", "d:Description": "Koodiyattam is the Sanskrit drama based on the Ramayan. There are links to many pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Folk", "url": "http://www.cyberkerala.com/koodiyattam"} +{"d:Title": "Nachdey Hasdey", "d:Description": "Performance group in Birmingham UK. Includes profile, history of bhangra, information on tours, events and workshops, press reviews, photographs, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Folk/Bhangra", "url": "http://www.nachdeyhasdey.com/"} +{"d:Title": "Four by Four Bhangra Dancers", "d:Description": "A dance group based in Kent, United Kingdom, which has created a fusion of traditional bhangra dancing and modern western dance and music. Information on bhangra, the dancers, the costumes and the band [requires Flash].", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Folk/Bhangra", "url": "http://www.4x4dancers.com/"} +{"d:Title": "www.kathakdance.de", "d:Description": "Information on Kathak dancer Ioanna Srinivasan based in Berlin, Germany and school of Kathak dance", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://www.kathakdance.de/"} +{"d:Title": "Parul Shah", "d:Description": "Parul Shah, a growing Kathak performer, as-well-as an educator of Kathak, providing performances for events and classes to aspiring dancers and learners. Based in Columbia University, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://www.kathakdance.com/"} +{"d:Title": "Pandit Chitresh Das", "d:Description": "Home of Chhandam, the Chitresh Das dance company and school of Kathak dance.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://www.kathak.org/"} +{"d:Title": "Lucknow Kathak", "d:Description": "Description and history of this dance.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://www.pathcom.com/~ericp/kathak.html"} +{"d:Title": "Pratap Pawar", "d:Description": "About top Kathak exponent, Pratap Pawar.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://artindia.net/pawar/"} +{"d:Title": "Rachna Agrawal", "d:Description": "Rachna Ramya Agrawal is a Kathak Dance Performer and Educator located in Connecticut. She is available for performances as well as school residencies.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://www.kathakusa.com/"} +{"d:Title": "PAMPA, California USA", "d:Description": "Performing Arts, Indian Classical music and dance", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://www.pampans.com/"} +{"d:Title": "Gangani Kathak Dance Co. and Najma Ayashah", "d:Description": "Kathak teacher based in NYC", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://home.earthlink.net/~kathak/"} +{"d:Title": "Anila Sunder", "d:Description": "Kathak dancer based in Mumbai, India.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathak", "url": "http://members.tripod.com/anila1/"} +{"d:Title": "The Galileo Spaces", "d:Description": "Information on choreography, composition, percussion instruments of Kerala and the Kathakali Dance Theatre. (English, French)", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kathakali", "url": "http://www.silentculture.org/"} +{"d:Title": "Kuchipudi", "d:Description": "Introduction to the Kuchipudi dance of Andhra Pradesh", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Kuchipudi", "url": "http://chandrakantha.com/articles/indian_music/nritya/kuchipudi.html"} +{"d:Title": "Sruti", "d:Description": "India's music and dance magazine.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Magazines_and_E-zines", "url": "http://www.sruti.com/"} +{"d:Title": "Manipuri Dance", "d:Description": "Descriptions of classical Manipuri dance forms.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Manipuri", "url": "http://manipuri.stormloader.com/"} +{"d:Title": "Sunanda Nair", "d:Description": "one of India's young exponents of Mohini Attam", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Mohiniattam", "url": "http://artindia.net/sunanda"} +{"d:Title": "Pallavi Krishnan", "d:Description": "one of India's young exponents of Mohini Attam", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Mohiniattam", "url": "http://artindia.net/pallavi.html"} +{"d:Title": "Mohini Attam Dancers", "d:Description": "Leading Mohini Attam dancers of India", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Mohiniattam", "url": "http://artindia.net/mohini.html"} +{"d:Title": "The dance of the enchantress.", "d:Description": "Gives a brief description on the history and background of the Indian classical dance form Mohini Attam.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Mohiniattam", "url": "http://www.artindia.net/attam.html"} +{"d:Title": "Narthaki", "d:Description": "An archive of articles pertaining to Odissi and other Indian classical dances.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi", "url": "http://www.narthaki.com/"} +{"d:Title": "Odissi eGroup - Share Your Experience on Odissi", "d:Description": "A classical dance form of Orissa, a state in eastern India and possibly, the oldest surviving dance form in India based on archaeological evidence, Odissi is considered to be a constant source of delight and inspiration to dancers. Join this eGroup.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi", "url": "http://groups.yahoo.com/group/odissi/"} +{"d:Title": "Odissi - Classical Dance of Orissa", "d:Description": "Introduction to the 2000-year-old Odissi dance of eastern India.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi", "url": "http://chandrakantha.com/articles/indian_music/nritya/odissi.html"} +{"d:Title": "Practitioners of Odissi", "d:Description": "Index of leading Odissi dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi", "url": "http://artindia.net/odissi.html"} +{"d:Title": "Profile - Padmashri Madhavi Mudgal", "d:Description": "A Guru, Choreographer and exponent of Odissi", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi", "url": "http://artindia.net/madhavi.html"} +{"d:Title": "Sharon Loewen", "d:Description": "Interview from The Tribune.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi", "url": "http://www.tribuneindia.com/1999/99jan17/sunday/view.htm"} +{"d:Title": "Orissi / Odissi Dance", "d:Description": "Brief history from the Evergreen State College (USA).", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi", "url": "http://academic.evergreen.edu/r/royr/home.htm"} +{"d:Title": "Ileana Citaristi", "d:Description": "Italian dancer of Odissi in Bhubaneswar. Biography, art vision, dance productions and publications.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi/Personal_Pages", "url": "http://www.kalinga.net/ileana"} +{"d:Title": "Leena Mohanty", "d:Description": "An exponent of Odissi", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi/Personal_Pages", "url": "http://artindia.net/leena"} +{"d:Title": "Reela Hota", "d:Description": "a fine odissi dancer", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi/Personal_Pages", "url": "http://artindia.net/reela"} +{"d:Title": "Rekha Tandon", "d:Description": "An Odissi exponent.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi/Personal_Pages", "url": "http://artindia.net/rekha/"} +{"d:Title": "Smitalay", "d:Description": "Odissi dance school established by Jhelum Paranjape in Mumbai", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi/Personal_Pages", "url": "http://www.smitalay.com/"} +{"d:Title": "Nrityagram", "d:Description": "Gurukul for Indian classical dances, established by Protima Gauri in 1990", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi/Personal_Pages", "url": "http://www.nrityagram.org/"} +{"d:Title": "Meera Das", "d:Description": "The website of Odissi dancer Meera Das, with information about her dance school Gunjan.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Odissi/Personal_Pages", "url": "http://www.meeradas.com/"} +{"d:Title": "The Dancing People", "d:Description": "Shagun Butani's dance company. Intends to present traditional forms of dance with modern and contemporary sensibilities.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Organizations", "url": "http://artindia.net/dpeople/index.html"} +{"d:Title": "Nrityanjali Academy", "d:Description": "Information about the organization, its activities, photo gallery, folk dances and event management.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Organizations", "url": "http://www.nrityanjali.org/"} +{"d:Title": "Kamat's page on Dances of India", "d:Description": "Pictures of various dance forms from India; includes the role of dance in Indian society like dancing deities and dance offerings.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Origins", "url": "http://www.kamat.com/kalranga/dances/index.htm"} +{"d:Title": "sugandh.com", "d:Description": "Links to various good pages on Indian dance, dancers and dance academies.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources", "url": "http://www.sugandh.com/mommy/dance.html"} +{"d:Title": "Search India Worldwide: Arts : Dances", "d:Description": "Great page with links to many Classical dance pages.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources", "url": "http://hindustan.net/Arts/Dances/index.html"} +{"d:Title": "Pictures of Classical Indian Dances", "d:Description": "Pictures of the classical Indian dances, and male dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources", "url": "http://www.angelfire.com/ma2/bharatanatyam/dancepix.html"} +{"d:Title": "Indian Heritage", "d:Description": "Music, dance, gods, temples, architecture, India, painting, sculpture, culture.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources", "url": "http://saigan.com/heritage/"} +{"d:Title": "Art India Net", "d:Description": "Indian music, dance, and other art forms.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources", "url": "http://www.artindia.net/"} +{"d:Title": "Classical Dances of India", "d:Description": "Aesthetic site with descriptions, definitions, pictures and links to all Classical and some folk dances of India.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources", "url": "http://www.angelfire.com/ma2/bharatanatyam/bn.html"} +{"d:Title": "Devadasis - The Lord's Damsels", "d:Description": "Interesting article on the fate of some current devadasis.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources/Articles", "url": "http://www.india-today.com/itoday/11011999/devdasis.html"} +{"d:Title": "Bring on the Boys", "d:Description": "An article in India Today about the resurgence of Indian male dancers on the stage.", "topic": "Top/Arts/Performing_Arts/Dance/Classical_Indian/Resources/Articles", "url": "http://www.india-today.com/itoday/09111998/arts.html"} +{"d:Title": "Dance&Technology Zone", "d:Description": "A resource for artists and others who are particularly interested in using new media and information technologies in the creation and performance of dance, dance theater and related live performance works.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology", "url": "http://art.net/~dtz/"} +{"d:Title": "4Dart.com", "d:Description": "On stage, performers interact with virtual images projected into space. The performing arts tradition fuses with new digital technology to create these illusions.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology", "url": "http://www.4dart.com/"} +{"d:Title": "Digital Media Elective S260/A22", "d:Description": "Matt and Fran's documentation for the Digital Media Elective S260/A22 (2001) at Dartington College of Arts in Devon, England.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology", "url": "http://www.angelfire.com/art/digi01/"} +{"d:Title": "Palindrome Intermedia Performance Group", "d:Description": "Digital, computer-assisted performances based in N\u00fcrnberg, Germany.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology", "url": "http://www.palindrome.de/"} +{"d:Title": "Dance Writing", "d:Description": "\"Sutton DanceWriting\" publications, movement writing.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Notation", "url": "http://www.dancewriting.org/"} +{"d:Title": "Introduction to Labanotation", "d:Description": "How the notation looks like and analyses movement.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Notation", "url": "http://user.uni-frankfurt.de/~griesbec/LABANE.HTML"} +{"d:Title": "International Council of Kinetography Laban - Labanotation", "d:Description": "A non-profit international organization. Members practise the system of movement and dance notation originated by Rudolf Laban, known as Kinetography Laban or Labanotation.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Notation", "url": "http://www.ickl.org/"} +{"d:Title": "Motus Humanus", "d:Description": "A professional organization dedicated to the study of human movement in the tradition of movement theorist Rudolf Laban.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Notation", "url": "http://motushumanus.org/"} +{"d:Title": "Jackrabbit Class", "d:Description": "Web-based class management and accounts receivables software for dance studios.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.jackrabbitclass.com/"} +{"d:Title": "DANCE - The Dance Figure Database", "d:Description": "Shareware windows program to edit dance figures.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.markus-bader.de/dancee.htm"} +{"d:Title": "Credo Interactive Inc.", "d:Description": "The Web's one-stop-shop for character motion software and content. Makers of Life Forms 3D character movement software. Life Forms provides a fast, easy, and intuitive solution for creating and editing 3d character movement, including motion capture data.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.credo-interactive.com/"} +{"d:Title": "Triple Threat Dance Studio Software", "d:Description": "Customizable and simple to use software to manage every aspect of your studio; from classes to costumes to recitals to accounting.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.triplethreatsoftware.com/"} +{"d:Title": "XSpasm", "d:Description": "Online application to share dance moves on video. Provides information on dance and technology with links to dance videos.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.xspasm.com/"} +{"d:Title": "SimpleDance: Visualization of Dance Steps", "d:Description": "A tool to enter and to visualize dance patterns with help of the footsteps.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.sebastianbauer.info/index.php?page=simpledance"} +{"d:Title": "CompuDance", "d:Description": "Integrated software package manages student registration, accounts receivable/payable, recital, billing, E-Mailing, payroll, mailing labels, class attendance, profit/loss and costumes.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.compudance.com/"} +{"d:Title": "Akada Software", "d:Description": "DanceWorks is a Windows-based Dance Studio Management Program that keeps track of accounts, students, classes and staff.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.akadasoftware.com/"} +{"d:Title": "Studio Director, The", "d:Description": "Web based dance studio software.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://www.thestudiodirector.com/"} +{"d:Title": "Skating System Software", "d:Description": "Software designed to manage dances competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Dance_Technology/Software", "url": "http://laurent.riesterer.free.fr/skating"} +{"d:Title": "Southern California Dance and Directory", "d:Description": "Louise Reichlin's attempt to increase awareness of Southern California as a dance center: links to Los Angeles choreographers and dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.usc.edu/dept/dance/"} +{"d:Title": "Dance World", "d:Description": "Offering links to country, western, ballroom and social dancing related sites. Includes club, studio and cruise listings.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.countrycalendar.com/dance_world/"} +{"d:Title": "DanceUSA", "d:Description": "A galleria of resources, articles, professional organizations, team websites and companies for a dance team, drill team, pep squad, pom team, cheerleaders, color guard, band or any other performance group.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.danceusa.com/"} +{"d:Title": "Dance Spots Network", "d:Description": "Searchable list of venues, instructors and events arranged by style and location.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.dancespots.net/"} +{"d:Title": "Sapphire Swan", "d:Description": "Covers Clogging to samba to Irish jigging and Chinese dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.sapphireswan.com/dance/"} +{"d:Title": "Dance Forums", "d:Description": "Articles and discussion on ballroom, tango, salsa, country western and swing dance.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.dance-forums.com/"} +{"d:Title": "Dance Lessons", "d:Description": "A free service that helps people find dance lessons in their area.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.dance-lessons.net/"} +{"d:Title": "Irish Dancing Directory", "d:Description": "Find teachers, schools, dressmakers, suppliers, and feis information.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.irishdancingdirectory.com/"} +{"d:Title": "@URL Internet Arts Resources: Dance", "d:Description": "Topical collection includes Mega dance resources, New Zealand dance, and dance and related technologies.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.url.co.nz/resources/dance.php"} +{"d:Title": "Dance Forums Dance Directory", "d:Description": "A guide divided by locality and dance type.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://www.dance-forums.com/directory/"} +{"d:Title": "Henry's Dance Hotlist", "d:Description": "A large index of dance-related Web links.", "topic": "Top/Arts/Performing_Arts/Dance/Directories", "url": "http://hneeman.oscer.ou.edu/dance_hotlist.html"} +{"d:Title": "The Art of Ballet and Dance Webring", "d:Description": "Statistics and list of sites related to dance and ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=balletring"} +{"d:Title": "Dancers Online", "d:Description": "Links to all styles of dance-related sites.", "topic": "Top/Arts/Performing_Arts/Dance/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=pointe"} +{"d:Title": "The Dance Help Ring", "d:Description": "Collection of sites that provide dance help, information, inspirational quotes, or pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=dancinfun"} +{"d:Title": "WebRing: Ballroom Dance Plus", "d:Description": "About ballroom dancing music, DJ services, and any other dance related sites including performing arts.", "topic": "Top/Arts/Performing_Arts/Dance/Directories/Web_Rings", "url": "http://www.webring.org/hub?sid=&ring=danceplus"} +{"d:Title": "International Hustle and Salsa Competition", "d:Description": "Includes schedule, regulations, entry form, and ticket sales for the annual event recognized by the IHDA.", "topic": "Top/Arts/Performing_Arts/Dance/Disco", "url": "http://www.hustleandsalsa.com/"} +{"d:Title": "Disco America Dance Championships", "d:Description": "Classes, dance parties, and annual hustle dance contest organized by Donna Boyle. Includes contest rules, previous winners, and schedules. Northeastern United States.", "topic": "Top/Arts/Performing_Arts/Dance/Disco", "url": "http://www.discoamerica.com/"} +{"d:Title": "International Hustle Dance Association", "d:Description": "Organization which promotes the Hustle as a social and competitive dance form. Includes history of the dance and event listing.", "topic": "Top/Arts/Performing_Arts/Dance/Disco", "url": "http://www.i-h-d-a.com/"} +{"d:Title": "Mark's Hustle FAQ", "d:Description": "Information about the dance from the rec.arts.dance FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Disco", "url": "http://www.eijkhout.net/lead_follow/hustle.html"} +{"d:Title": "DC Dance Net", "d:Description": "Covers the Washington DC, Maryland, and Virginia dance scene listing: bands, calendars, competitions, and clubs.", "topic": "Top/Arts/Performing_Arts/Dance/Disco", "url": "http://dcdancenet.com/hustle/"} +{"d:Title": "Dance Talk and Dance Zone", "d:Description": "Newsletter covering dance related events.", "topic": "Top/Arts/Performing_Arts/Dance/Disco", "url": "http://www.mjames.org/dancezonecurrent.html"} +{"d:Title": "DanceUSA", "d:Description": "Provides detailed information on camps and clinics available to teams, as well as help with fundraising and travel tips to get to them. Provides a great directory of many categories, that range offering everything from services to ideas. Includes costume information, and publications available for purchase.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.danceusa.com/"} +{"d:Title": "Drill Team Hawaii", "d:Description": "Hawaii's precision dance team that uniquely blends traditional drill squad techniques with modern and ballet dancing and gymnastics.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.drillteamhawaii.com/"} +{"d:Title": "American Dance/Drill Team School", "d:Description": "Contests for the current year, training videos, team building activities, articles, and bulletin board.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.danceadts.com/"} +{"d:Title": "Just For Kix.com", "d:Description": "Dance Apparel store. Summer camps. Performance teams.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.justforkix.com/"} +{"d:Title": "Drill Team Exchange", "d:Description": "Contains an open library of resources, technique, tips for users. Also allows site interaction through forums. A wide array of products and materials are available on the site spirit mall, and e-cards and other fun items complete the site.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://dancecheer.net/"} +{"d:Title": "MSU Dance Team", "d:Description": "Competitive dance team which performs at men's home football and basketball games, along with other various MSU sporting events and alumni functions. Information about the team, biographies, pictures, tryout and event schedules, contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "https://www.msu.edu/user/msudance/"} +{"d:Title": "DanceUSA-Services.com", "d:Description": "Provides online resources for drill teams and dancers. Including travel, fundraising, camp/competition information, and music.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.danceusa-services.com/"} +{"d:Title": "South Shore Drill Team&Permorming Arts Ensemble", "d:Description": "South Shore Drill Team Founded by Arthur Robertson in 1980 to help keep kids off the street. Young people develop self-esteem and self-discipline. Approximately 200 members ages 7-21. Chicago, IL", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.southshoredrillteam.org/"} +{"d:Title": "Pumpers Dancewear", "d:Description": "Manufacturer of dancewear. You choose the style, fabric and they make if for you. You can custom design your outfits. Individual or teams.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.pumpers.com/"} +{"d:Title": "Bucknell University Dance Team", "d:Description": "Contains pictures, individual biographies, tryout information and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.orgs.bucknell.edu/dance_team/"} +{"d:Title": "Bradley University Dance Company", "d:Description": "Provides announcements, photos, information, FAQs and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.bradley.edu/campusorg/budanceco/"} +{"d:Title": "Towson University Dance Team", "d:Description": "Audition information, member biographies, calendars, past members, pictures, a newsletter, training clinics and competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Drill_Teams", "url": "http://www.towsondanceteam.com/"} +{"d:Title": "Dance Masters of America", "d:Description": "Official Website for Dance Masters Of America, an International Organization of Certified Teachers. Information about DMA National Convention, and Teachers Training School.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://www.dma-national.org/"} +{"d:Title": "National Dance Institute", "d:Description": "NDI is a non-profit arts education program based in New York City. It was founded by Jacques d'Amboise in the belief that the arts have the power to motivate children toward excellence.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://www.nationaldance.org/"} +{"d:Title": "FAQ: Rec.Arts.Dance", "d:Description": "Frequently Asked Questions in Rec.Arts.Dance newsgroup and archive of worthwhile postings.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://www.eijkhout.net/rad/"} +{"d:Title": "Dance Masters of California", "d:Description": "Association of tested members with the goals to advance the art of dancing and improve the practice of its teaching.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://www.dancemastersofcalifornia.org/"} +{"d:Title": "Thunderstruck Dance Conventions", "d:Description": "Dance convention designed to educate and motivate all ages of dancers with their professional, all male faculty. Classes include tap, jazz, ballet, lyrical, hip hop, and musical theater.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://thunderstruckdance.com/"} +{"d:Title": "Ballroom Dancing South Africa", "d:Description": "Offers Latin American and standard ballroom dancing lessons, practice venues and studios.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://www.ballroomdancing.co.za/"} +{"d:Title": "Friday Night Waltz", "d:Description": "San Francisco Bay Area locations for people who enjoy informal waltzing, polkas, other vintage dancing to recorded music. No partners needed.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://www.fridaynightwaltz.com/"} +{"d:Title": "London Studio Centre", "d:Description": "Offering dipoloma programmes in Classical Ballet, Contemporary Dance, Jazz Theatre Dance and Music Theatre.", "topic": "Top/Arts/Performing_Arts/Dance/Education", "url": "http://londonstudiocentre.org/"} +{"d:Title": "For Students of Poi", "d:Description": "A guide to spinning poi (a traditional Maori dance prop from New Zealand consisting of a weighted flaxen ball on each end of a cord or rope) and staffs, as well as fire juggling.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Articles", "url": "https://www.homeofpoi.com/us/articles/"} +{"d:Title": "University of Minnesota", "d:Description": "BA and BFA in Dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://theatre.umn.edu/"} +{"d:Title": "Peridance Capezio Center", "d:Description": "Providing dance education at all levels, preparing dancers for a variety of ballet, modern, jazz, and tap. Includes class schedule workshops and faculty information.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.peridance.com/"} +{"d:Title": "Western Michigan University", "d:Description": "Information on programs, scholarships, summer study, alumni, facilities, and faculty.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.wmich.edu/dance/"} +{"d:Title": "University of Utah, Department of Ballet", "d:Description": "General information, graduate and undregraduate program details, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.ballet.utah.edu/"} +{"d:Title": "Northern School of Contemporary Dance", "d:Description": "This is the site for the NSCD in Leeds, UK - a vocational dance training school which offers a variety of full-time courses.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.nscd.ac.uk/"} +{"d:Title": "Performers College", "d:Description": "Offering a three-year, full time professional dancers course leading to The Performers College Diploma and The National Diploma for Professional Dance. Corringham, Essex, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.performerscollege.co.uk/"} +{"d:Title": "Urdang Academy", "d:Description": "Full-time accredited dance and ballet diplomas, musical theatre, International Summer School, open dance days. Located in the heart of the West End, London.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.theurdangacademy.com/"} +{"d:Title": "University Of North Texas", "d:Description": "BA in Dance. BA in Theatre Arts. MA in Theatre Arts. MA in Interdisciplinary Studies, Dance, related fields, and public school teaching certification.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.danceandtheatre.unt.edu/"} +{"d:Title": "Southern Methodist University - Meadows School of the Arts", "d:Description": "BFA in Dance Performance. MFA Choreographic Theory and Practice.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://smu.edu/meadows/"} +{"d:Title": "Florida State University Dance", "d:Description": "Provides information regarding degree programs, auditions, admissions, program elements, publications and facilities.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.dance.fsu.edu/"} +{"d:Title": "University of Iowa", "d:Description": "BA in Dance. BFA in Choreography and Performance. MFA in Choreography or Performance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://dance.uiowa.edu/"} +{"d:Title": "Duke University Dance Program", "d:Description": "Offers Duke students the opportunity to study Modern Dance, Ballet, African, Dance History, Choreography, Repertory. Minor in Dance. Major through Duke's Program II.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://danceprogram.duke.edu/"} +{"d:Title": "Hollins University Dance Department", "d:Description": "Course offerings, major requirements, faculty listings, apprenticeship, internship, study abroad and performance information.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.hollins.edu/academics/dance/"} +{"d:Title": "Brown University", "d:Description": "Department of Theatre Arts and Performance Studies offers courses in dance technique, composition, and history.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.brown.edu/academics/theatre-arts-performance-studies/"} +{"d:Title": "University Of Akron", "d:Description": "Detailed information regarding the University's dance program.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.uakron.edu/dtaa/"} +{"d:Title": "Oklahoma City University - School of American Dance&Arts Management", "d:Description": "Includes news, audition and faculty information, curriculum, history.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.okcu.edu/dance/"} +{"d:Title": "Columbia College Chicago", "d:Description": "Instructional program in the Chicago area for a bachelor of arts program.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.colum.edu/academics/fine-and-performing-arts/dance/index.html"} +{"d:Title": "Sarah Lawrence College", "d:Description": "BA, MFA in Dance", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "https://www.sarahlawrence.edu/dance-mfa/"} +{"d:Title": "San Francisco State University", "d:Description": "B.A. in Dance: Concentration in Dance Ethnology; Concentration in Performance/Choreography; Concentration in Dance Education; Minor in Dance", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://theatredance.sfsu.edu/"} +{"d:Title": "New York University's Tisch School of the Arts: Dance", "d:Description": "A fully accredited and recognized program offering an intensive study of dance with strong emphasis being placed on the interdisciplinary approach.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://tisch.nyu.edu/dance"} +{"d:Title": "Concordia University", "d:Description": "The department of Contemporary Dance at Concordia University, Montreal, Canada, offers programs in dance and choreography. This page describes department curriculum, faculty and activities. English and French versions.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.concordia.ca/finearts/dance.html"} +{"d:Title": "SUNY College at Brockport Department of Dance", "d:Description": "BA/BS, BFA, MA, MFA", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "https://www.brockport.edu/academics/dance/"} +{"d:Title": "Northwestern University", "d:Description": "Specialties in jazz, modern and choreography.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "https://www.communication.northwestern.edu/programs/major_dance/"} +{"d:Title": "University Of North Carolina-Charlotte", "d:Description": "BA in Dance, BA in Dance Education with K-12 Licensure, Dance Minor.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://coaa.uncc.edu/academics/department-of-dance"} +{"d:Title": "CalArts School of Dance", "d:Description": "California Institute of the Arts, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "https://dance.calarts.edu/"} +{"d:Title": "Santa Ana College", "d:Description": "Full curriculum in modern dance, ballet, jazz, tap repertory, flamenco, folk-lorico dance, dance history and dance cultures.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://www.sac.edu/dance/"} +{"d:Title": "Denison University", "d:Description": "BA/major and minor with an emphasis on contemporary dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Colleges_and_Departments", "url": "http://denison.edu/academics/dance"} +{"d:Title": "Antonio Madrigal", "d:Description": "Hot Peppers: Salsalessons in Temecula, California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.hotpep.com/"} +{"d:Title": "Joel and Sorcy", "d:Description": "Salsa dance lessons in the San Francisco Bay Area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.salsalesson.com/"} +{"d:Title": "Charley Smith and Doug Roth", "d:Description": "Dancin Partners specializes in dance lessons in Reno, Nevada.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.ballroomdancereno.com/"} +{"d:Title": "Carrie Lucas", "d:Description": "Teaching West Coast swing in Huntington Beach, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.carrielucas.com/"} +{"d:Title": "Regina's Dance", "d:Description": "Information and professional instruction in dance classes, choreography, artist development and private dance lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.reginasdance.8k.com/"} +{"d:Title": "Renee Camus' Home Page", "d:Description": "Contains information about Renee and what he does: he is a dance teacher and performer. He researches, performs and teaches many types of dance, including Morris, Sword, Vintage, Tap, Clogging, Swing, Historical Dance, and Country dancing, as well as Modern and Jazz. You can find information about classes and performances, and links to other dance information.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.wunderland.com/WTS/Renee/"} +{"d:Title": "Sarah Schachterle's Home Page", "d:Description": "Official site of the director and choreographer of the NBA Denver Nuggets Dancers. Photos, audio files and background information. Updated regularly to inform about events, appearances and other news regarding Sarah and the Nuggets Dance Team.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://sarahschachterle.itgo.com/"} +{"d:Title": "Cathie Caraker", "d:Description": "Dance performer, choreographer and teacher. An MFA in Dance and instructor of the Pilates Method.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.caraker.com/"} +{"d:Title": "People Who Dance", "d:Description": "Jay Byam, Stephanie Minor and Molly Anderson. Social dance group that offers lessons and holds large dance events in California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.peoplewhodance.net/"} +{"d:Title": "Nino DiGiulio", "d:Description": "Cha-cha, ballroom, salsa, and West Coast swing lessons in Chicago.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.mayihavethisdance.com/"} +{"d:Title": "Jeff and Elaine Hewlett", "d:Description": "Teaching ballroom, East Coast swing, jitterbug, Latin, Lindy Hop, salsa/mambo in Dallas, TX.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.therhythmroom.net/"} +{"d:Title": "Frank and Rita Pierce", "d:Description": "NiteRhythms: West Coast swing, hustle, and country lessons in Boise, Idaho.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.niterhythms.8k.com/"} +{"d:Title": "Sandy Tamplin", "d:Description": "Western couples and line dance lessons in Shreveport-Bossier area, Louisiana. Schedule, step guides, photo archive.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://sandysdance.com/"} +{"d:Title": "Wanda and Jim York", "d:Description": "Hooked On Dancin': line dance lessons and country dancing information for the New Jersey area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.countrylinedancing.com/"} +{"d:Title": "Richard and Cindy Tymko", "d:Description": "Country, East Coast swing, hustle, Latin, Lindy Hop, line dance, social, West Coast swing lessons in Calgary, Alberta.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.rhythmsofdance.com/"} +{"d:Title": "John Knapp and Meredith Stead", "d:Description": "Shall We Dance: ballroom, country, hustle, Latin, Lindy Hop, salsa/mambo, social, and swing lessons in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://shall-we-dance.com/"} +{"d:Title": "Joyce Szili", "d:Description": "Just Hustle: hustle dance resources for Washington DC area, Virginia, Maryland. Places to dance, class schedules, newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.justhustle.com/"} +{"d:Title": "Linda Springstead", "d:Description": "Dance Connection in Portland, Oregon teaches ballroom, Latin, nightclub, and swing classes.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancewellballroom.com/"} +{"d:Title": "Jake and Techi", "d:Description": "Salsa lessons in the San Francisco Bay area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.salsabyjake.com/"} +{"d:Title": "Aziza Fadwa", "d:Description": "Belly dance instructor in Durham, Carrboro, Cary, and Roxboro, North Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.azizafadwa.com/"} +{"d:Title": "Jo Thompson", "d:Description": "Dance instructor for country line dancing and couples dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.jothompson.com/"} +{"d:Title": "Tom Koerner and Debra Sternberg", "d:Description": "Swing lessons in Washington, DC. Calendar of swing events.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.gottaswing.com/"} +{"d:Title": "Kris and Ela Kasperowicz", "d:Description": "Kasper Dance Studio: cha-cha, samba, jive, tango, quickstep, rumba, foxtrot, and waltz courses in Chicago, Illinois.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.kasperdancestudio.com/"} +{"d:Title": "Colleen Murray", "d:Description": "Ballroom and Latin lessons in Christchurch, New Zealand.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.colleenmurray.com/"} +{"d:Title": "Yeahman: Rob van Haaren and Diane Thomas", "d:Description": "Swing dance instruction in the San Francisco Bay area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.yeahman.com/"} +{"d:Title": "Keith's Ballroom Sounds", "d:Description": "Ballroom, Latin, and line dancing lessons in London, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.ballroomsounds.co.uk/"} +{"d:Title": "Corky and Shirley Ballas", "d:Description": "Worldwide judging and coaching of top professionals and amateurs.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.corky.com/"} +{"d:Title": "Stephanie Nugent", "d:Description": "Teaching modern dance technique, improvisation, and choreography.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.stephanienugent.com/"} +{"d:Title": "Lynn Webster Makiej", "d:Description": "DNE School of Dance: Ballroom instruction in North Chelmsford/Boston area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancenewengland.com/"} +{"d:Title": "Susan Cooper and Anthony Curtis", "d:Description": "Dance Rhythms: instruction in ballroom, Latin, line dancing, sequence in Oxford, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancerhythms.com/"} +{"d:Title": "Joe Cornell", "d:Description": "Pre-teen dance instruction in Southfield, MI.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.joecornell.com/"} +{"d:Title": "Rachelle Schiffli", "d:Description": "Beginner to intermediate social dance lessons offered weekly in the MD/DC area (Bethesda). Fox trot, waltz, salsa, swing, country.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.askrachelle.com/"} +{"d:Title": "Jim Keith", "d:Description": "Official website of the Los Angeles based dancer, artistic director, dance educator, choreographer.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.whoisjimkeith.freeservers.com/"} +{"d:Title": "John Cassesse", "d:Description": "The Dance Doctor Studio: ballroom instructor in Santa Monica/Los Angeles area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancedoctor.com/"} +{"d:Title": "Wendy Anderson", "d:Description": "Dance instruction in Essex. Classes and private lessons in ballroom, Latin, disco, rock and roll (solo pairs and teams), salsa, ceroc, street dance, hip hop, wedding dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.andersondancegroup.co.uk/"} +{"d:Title": "Danny Carter", "d:Description": "Dance-Moves in Ft. Lauderdale, FL. Site contains bio, map, testimonials, packages, pictures, benefits, FAQs, and contact info.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dance-moves.net/"} +{"d:Title": "Don Jacobs", "d:Description": "Dance instruction in ballroom. Performances for weddings, anniversary parties, corporate affairs, PR events.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.donjacobsballroomdance.com/"} +{"d:Title": "Griff Goehring", "d:Description": "Green Mountain Creative Dance Center in Brattleboro, VT. Adult dance classes, authentic movement, performances.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.creativedancecenter.org/"} +{"d:Title": "Gary and Terry Holmes", "d:Description": "TG Danzport Ballroom - classes in smooth, rhythm, Latin, swing in Denver, CO.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.danzport.com/"} +{"d:Title": "Jami Josephson", "d:Description": "Salsa, swing and ballroom instruction in the New Yourk City area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.learn2salsa.com/"} +{"d:Title": "Hollie Lee", "d:Description": "Bodygroove -- salsa and swing classes in Burbank and Glendale, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.bodygroove.net/"} +{"d:Title": "Bob Boross", "d:Description": "Choreographer and teacher - jazz, tap, and musical theater dance. Site contains video and photo clips, information on jazz dance history, links to jazz dance sites.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.bobboross.com/"} +{"d:Title": "Ellen Engle and Marc Shepanek", "d:Description": "Flying Feet Enterprises: swing dance classes in Washington, DC.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.flyingfeet.org/"} +{"d:Title": "Elize Krog", "d:Description": "Elize's Dancing Academy offers classes in tap, jazz, hip-hop, ballet, ballroom, Latin, Irish and line dancing in George and Knysna (Garden Route, South Africa).", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancing.co.za/"} +{"d:Title": "Kevin Laddison", "d:Description": "First Step Dance offers ballroom lessons in Burlington, Montpelier, and St. Albans, Vermont. Advice to wedding couples on their first dance, and general dance etiquette tips.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.firststepdance.com/"} +{"d:Title": "Peter Djakovic", "d:Description": "Dancing Thru Life - salsa instruction in Toronto, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancingthrulife.com/"} +{"d:Title": "Second Glance Dance", "d:Description": "Alison Hurwitz offers quality dance instruction in Ballroom, Latin, Swing and Nightclub dances, specializing in Salsa, Swing and Argentine Tango. With an Associate's degree from the ISTD and the DVIDA Societies she has taught and performed in San Francisco, Los Angeles and Puerto Rico.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.secondglancedance.com/"} +{"d:Title": "Guillermo Rivera-Pagan", "d:Description": "Latin dance teacher (salsa, merengue, mambo, cha-cha) in the Greater Boston area provides private and group instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.salsacontigo.com/"} +{"d:Title": "Anya Fuchs", "d:Description": "Teaches salsa, swing, argentine tango in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.anya.tv/"} +{"d:Title": "Kathy Levyn", "d:Description": "Ballroom, country, East Coast swing, hustle, jitterbug, Latin, Lindy Hop, line dance, salsa/mambo, West Coast swing lessons in Los Angeles area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancing-for-life.com/"} +{"d:Title": "Roger M. Christian", "d:Description": "Tri Falcon and Dove Dance Academy: dance classes in Latin, ballroom, swing / lindy hop, and hip hop from basics to competition level taught in Ithaca, New York.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://roger14850.tripod.com/"} +{"d:Title": "Dionisia Garcia", "d:Description": "Flamenco dance classes in New York City - A Spanish flamenco teacher and performer. Includes flamenco class and performance information, Compas analysis, photos and flamenco links.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.flamenco-nyc.org/"} +{"d:Title": "Megan Baade", "d:Description": "Garri Dance Studio in Burbank, CA offers dance classes for ages 2 and up in jazz, tap, ballet, hip-hop, salsa, swing, Pilates mat, etc.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.garridance.com/"} +{"d:Title": "Chris De Souza", "d:Description": "Rapture Salsa Dance Academy - Salsa classes in Perth, Western Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://therapture.com.au/"} +{"d:Title": "Rusty Frank", "d:Description": "Classes for Swing Dance, Lindy Hop, Balboa, Collegiate Shag, and Charleston. El Segundo and Playa Del Rey, California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.rustyfrank.com/"} +{"d:Title": "Shawn and Gladys", "d:Description": "DanceWorld: ballroom dancing and dance sports in Singapore.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.shawnandgladys.com.sg/"} +{"d:Title": "Andre Volosov", "d:Description": "Teaches international ballroom dance in European and Latin American style from beginners to advanced. Fort Collins, CO.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.andresballroom.com/"} +{"d:Title": "SmoothStyle Dance Studio", "d:Description": "Andre Danis and Maria Ford provide dance instruction in West Coast Swing and Hustle dancing in Ottawa, Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.smoothstyle.ca/"} +{"d:Title": "Sheila Phillips", "d:Description": "Educated Feet offers classes, workshops, and private lessons in social partner dancing on Bainbridge Island, WA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.educatedfeet.net/"} +{"d:Title": "Theresa Hayes", "d:Description": "Dance for the Actor offers instruction in dance for actors and singers in the Los Angeles area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancefortheactor.com/"} +{"d:Title": "Simeon Stoynov and Kora Uczekay", "d:Description": "Emotions Dance Sport - dance instruction in Seattle, WA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.emotionsdancesport.com/"} +{"d:Title": "Nestor de la Zerda", "d:Description": "BC Dance: Salsa classes in Vancouver, British Columbia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.bcdance.com/"} +{"d:Title": "Sigal Shimoni", "d:Description": "Belly dance teacher and performer in greater New York City and New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://sigalsweb.com/"} +{"d:Title": "Melodie Carr", "d:Description": "Teaches a variety of ballroom/social, swing, and Latin dance classes from beginning to advanced in Muncie, IN.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.melodiecarr.com/"} +{"d:Title": "Thomas Blemings", "d:Description": "Dance with Thomas: Latin, salsa, rumba, tango, ballroom lessons in Northern Ireland.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancewiththomas.co.uk/"} +{"d:Title": "Dabe and Janelle Murphy", "d:Description": "Swing dance instruction and DJ Service in and around Washington, DC, Maryland and Virginia. Jitterbug, lindy hop, big band, charleston, balboa, East coast swing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dabe.com/"} +{"d:Title": "Garth Bock", "d:Description": "The Country Dancer: country and line dance in Illinois (Bloomington, Danville).", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.countrydancer.com/"} +{"d:Title": "Francisco and Stacey Martinez", "d:Description": "Salsa, swing, and ballroom instruction in Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.thedancefamily.com/"} +{"d:Title": "Marcy Gross", "d:Description": "Swing and multi-dance instructor located in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.marcygross.com/"} +{"d:Title": "Samantha Taylor", "d:Description": "Dance instructor in Cornwall, UK. Teaching and choreographing for men, women and children of all ages and abilities.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.samanthataylor.byethost13.com/"} +{"d:Title": "Kerensa", "d:Description": "New York City dance instructor and choreographer. Private ballroom dance lessons for wedding couples at two Manhattan locations: Times Square and UWS. Foxtrot, waltz, tango, swing, salsa, etc.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancelessonsnyc.com/"} +{"d:Title": "Ellen Davis", "d:Description": "Yoga of Ballet site describes Ellen's background, approach, and philosophy in teaching ballet. It also has information on how to contact her and links to her other pages.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.yogaofballet.com/"} +{"d:Title": "Lisa King", "d:Description": "Dance instruction in clogging, lindy hop, salsa, swing. Classes are held in San Francisco Peninsula and Silicon Valley locations: Palo Alto, Belmont, Cupertino, San Jose. Schedule of dance classes, information on private lessons and wedding dance instruction, directions, contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.lisakingdance.net/"} +{"d:Title": "Vogler's Studios of Dance, Baton, and Gymnastics", "d:Description": "Ballet, gymnastics, tap, jazz, and baton twirling lessons in Winston-Salem, North Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.voglerdancer.com/"} +{"d:Title": "Walt Medicis", "d:Description": "Ballroom dance instructor in Syracuse, NY. Information on courses and dance events.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.waltmedicis.com/"} +{"d:Title": "Sarah Billings and Richard Jones", "d:Description": "Ballroom dance instructors, New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.biljonsdance.com/"} +{"d:Title": "Kordelia Mages", "d:Description": "Choreography for recording artists, groups, Film/TV, industrials, commercials, concert, shows. Master teacher for workshops, conventions, summer programs. Site contains the biography and a schedule of classes and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.kordelia.com/"} +{"d:Title": "Robert Tang and Beverley Cayton-Tang", "d:Description": "DanceScape Dance Studio: Competitive and social ballroom dancing lessons in Burlington, Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dancescape.com/lessons"} +{"d:Title": "Lestyn Gilmore", "d:Description": "Teaching ballroom, East Coast swing, hustle, Latin, Lindy Hop, line dance, salsa/mambo, social, West Coast swing in Raynham, MA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://lestyn.homestead.com/"} +{"d:Title": "Milton and James Cobo", "d:Description": "The CoboBrothers are instructors who teach salsa and mambo all over the world. Check out their calendar of events, workshop information, bios, photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.ncsalsa.com/"} +{"d:Title": "Nicoletta 'Niki' Vaccar", "d:Description": "Offers classes and private lessons in flamenco, Middle Eastern (Belly Dance), Greek folk, and Hawaiian in the San Diego North County area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://webspace.webring.com/people/mn/nikista1/nicki2.html"} +{"d:Title": "Peter Jones", "d:Description": "Ballroom, Latin and swing lessons in the New York City area - either at midtown studio or at the students' home. Wedding couple preparation. Information on histories of social dances.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.ballroomweddingdance.com/"} +{"d:Title": "Sonny Watson", "d:Description": "Sonny Watson teaches dance in the San Fernando Valley, California. This site has Sonny's West Coast swing and hustle dance lesson schedules, dance history archives, step sheets.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.streetswing.com/"} +{"d:Title": "Michael Kuka and Natalia Lind", "d:Description": "Ballroom Dance Academy: Dance lessons for Latin and ballroom dancing in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.ballroomdanceacademy.com/"} +{"d:Title": "Elaine Barrett (Rybski)", "d:Description": "Ballroom dance instructor", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://sites.google.com/site/elainerybski/"} +{"d:Title": "Sonny Perry", "d:Description": "Ballroom, Latin, swing, salsa, and tango instruction in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.sonnyperry.com/"} +{"d:Title": "Amanda Hanson Gates", "d:Description": "Ballroom dance and wedding dance in the Long Beach, CA area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.dance562.com/"} +{"d:Title": "Skippy Blair", "d:Description": "Swing and Salsa dance instructor in Downey, California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://www.skippyblair.com/"} +{"d:Title": "Nelson Wong", "d:Description": "Swing and ballroom lessons in Nanaimo, British Columbia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Instructors", "url": "http://nelsondance.wordpress.com/"} +{"d:Title": "Arthur Murray", "d:Description": "International dance schools.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios", "url": "http://www.arthurmurray.com/"} +{"d:Title": "Brigitte Reeve Dance Centre", "d:Description": "South Africa - classes in modern, jazz, contemporary, ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios", "url": "http://brdancecentre.bizland.com/"} +{"d:Title": "Santarelli School of Dance", "d:Description": "Dance studio based in Auckland, New Zealand currently teaching ballet, tap, jazz, and hip hop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios", "url": "http://www.santarellidance.co.nz/"} +{"d:Title": "Ecole Ballet Studios", "d:Description": "Teaches classical ballet or jazz to children and adults in Sydney (NSW). Professional training courses are also available on audition.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.ecole.net.au/"} +{"d:Title": "Brevard Dance Academy", "d:Description": "Melbourne - News and information.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.bda.8m.com/"} +{"d:Title": "Edu-Dance", "d:Description": "Provides educational classes for schools in Perth, Western Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.edu-dance.com.au/"} +{"d:Title": "Robyn Pettigrew Dance School", "d:Description": "Adelaide, South Australia - children's and adult, classical (RAD) and tap.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.robynpettigrewdanceschool.com.au/"} +{"d:Title": "Gilkisons Entertainment", "d:Description": "Ballroom and Latin dance school located in Perth City (WA). Class levels range between beginners and competition dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.gilkisons.com.au/"} +{"d:Title": "Dance Central Sydney", "d:Description": "Offering a wide variety of dance classes, lessons and workshops located on cleveland street in sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.dancecentral.com.au/"} +{"d:Title": "Ignite Dance Studio", "d:Description": "Based in Bundaberg, Australia and offers dance tuition from beginners to professional dancers. All facets of dance are included in the curriculum: classical, acrobatics, studio, tap, modern, jazz, contemporary and eisteddford.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://ignitedance.com/"} +{"d:Title": "Dance Factory", "d:Description": "Classes in jazz,tap, classical, funk, acrobatics, singing and drama, as well as fully accredited full and part time dance courses in Richmond, Vic., Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.dancefactory.com.au/"} +{"d:Title": "The Adult Ballet Studio", "d:Description": "Classical ballet classes for adult students of all levels in Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.adultballetstudio.com.au/"} +{"d:Title": "D and D School of Performing Arts", "d:Description": "Performing arts school located on the Gold Coast of Australia. Offering classes in dance, ballet, tap, singing and acrobatics.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.dandd.net.au/"} +{"d:Title": "Footloose Rockers Dance Studio", "d:Description": "Teaching six step rock and roll in the Sydney area four nights a week. Partners not required.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.footlooserockers.com.au/"} +{"d:Title": "Star Studios", "d:Description": "Melbourne based dance studio specialising in social, ballroom, Latin American and wedding dance. Beginners to advanced levels.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.starstudios.com.au/"} +{"d:Title": "Busta Groove Dance Studio", "d:Description": "Perth dance studio for toddlers through to teenagers.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.bustagroovedance.com.au/"} +{"d:Title": "Salsabor", "d:Description": "LA style salsa school and performance group based in Canberra, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.salsabor.com.au/"} +{"d:Title": "Dance World Studios", "d:Description": "Performing arts school offering full-time and partime dance and singing courses as well as casual classes to students of all ages and levels in Melbourne.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.danceworldstudios.com/"} +{"d:Title": "Theatre De La DanSe", "d:Description": "Offering classes in RAD ballet, tap, jazz, street dance for ages 2.5 years to adult in Brighton, Victoria.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://www.tdld.com.au/"} +{"d:Title": "Helene Gowers Ballet Schools", "d:Description": "Classical ballet school (Cecchetti). Multiple branches in Perth, Western Australia. Classes for students of all ages and levels.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Australia", "url": "http://home.iprimus.com.au/accard/index.html"} +{"d:Title": "Sean Boutilier Academy of Dance", "d:Description": "Toronto, Ontario - jazz, tap, ballet, pointe and acro.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.seanboutilier.com/"} +{"d:Title": "Caulfield School of Dance", "d:Description": "Port Moody, British Columbia - Ballet (RAD), jazz, modern, tap, and Chinese classical. Also offering acting, singing, and musical theatre classes as well as workshops with guest instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.caulfield.bc.ca/"} +{"d:Title": "Dance Extreme Inc.", "d:Description": "London, ON. - instruction in ballet, jazz, tap, acro, modern, cardio funk, and highland, to children ages 2 1/2 to adults. Recreational classes for fun or competitive classes for more serious dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.danceextreme.com/"} +{"d:Title": "Canadian Dance Teachers Association", "d:Description": "This is the official site for the Canadian Dance Teachers Association - Ontario Branch. This site contains Member information as well as links to studios in Ontario and official forms for dance examinations.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://cdtaont.com/"} +{"d:Title": "Broadway Lights Center for the Performing Arts", "d:Description": "St. Catharines, Ontario - beginner to advanced classes in Jazz, Tap, Ballet and Hip-Hop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.broadway-lights.com/"} +{"d:Title": "Kids Etc - Youth Movement Company", "d:Description": "Winnipeg - from children's steps to ballet to musical theatre.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.wpgkidsetc.com/"} +{"d:Title": "CentreStage Dance", "d:Description": "Maple Ridge, B.C. - offers a wide variety of dance classes for students from 3 to Adult.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.centrestagedance.com/"} +{"d:Title": "Port Perry Dance Academy", "d:Description": "Port Perry, Ontario - Offers a full range of dance forms.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.portdance.com/"} +{"d:Title": "Northern Lights Dance Studio", "d:Description": "Located in Aurora, Ontario. Styles include ballet, jazz, tap, modern, lyrical, acro, musical theatre, and hip hop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.northernlightsdance.com/"} +{"d:Title": "Dancetastic Studio", "d:Description": "Jazz, hip-hop, ballet, tap lessons at recreational, competitive and adult levels taught in Richmond Hill, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.dancetastic.ca/"} +{"d:Title": "Academy of Music and Dance", "d:Description": "Jazz, hip-hop, tap, and ballet lessons at 3 locations in the Greater Vancouver area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.musicanddance.org/"} +{"d:Title": "Attitudes Dance and Performing Arts Studio", "d:Description": "Classes in hip hop, jazz, tap, ballet, ballroom and musical theatre. 5 year olds to adults in Markham, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.attitudesdance.com/"} +{"d:Title": "Metropolitan Ballet Academy and Related Arts", "d:Description": "Ballet, jazz and musical theatre academy for all levels of children and teenagers, as well as beginner adult classes. Located in Vancouver, British Columbia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://metropolitanballet.com/"} +{"d:Title": "Ted Motyka Dance Studio", "d:Description": "Winnipeg, Manitoba - International Ballroom and Latin American Certified Dance Instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.teddancestudio.com/"} +{"d:Title": "Nova Dance Studio", "d:Description": "Ballet, tap, jazz, hip-hop, flamenco, modern and creative movement classes in St. Margaret's Bay, Fall River, and Chester.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://novadance.tripod.com/"} +{"d:Title": "Monica's Danz Gym", "d:Description": "Dance classes in ballet, tap, jazz and aerial dance for students ages 2 to adult. Located in Winnipeg, Manitoba.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.monicasdanzgym.com/"} +{"d:Title": "Dance Art Studio", "d:Description": "Ballroom dance lessons for all ages, wedding dance choreography in Richmond Hill, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.danceart.ca/"} +{"d:Title": "Havana Cuban Dance Studio", "d:Description": "Lessons in merengue, salsa, bachata, casino and Cuban rueda in downtown Calgary.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.havanacubandancestudio.com/"} +{"d:Title": "Night and Day Dance", "d:Description": "Group and private lessons in Vancouver, BC, including wedding dance, blues, swing, salsa.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.nightanddaydance.com/"} +{"d:Title": "Peacock School Of Dance", "d:Description": "Classes in tap, jazz, ballet in Fort Erie, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.peacockschoolofdance.ca/"} +{"d:Title": "Dance with Us", "d:Description": "Ballroom classes in North York, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.dancelessons.ca/"} +{"d:Title": "Arthur Murray Toronto Dance Studio", "d:Description": "Ballroom, Latin, salsa, samba, swing lessons at five locations in Toronto, Ontario. Private lessons and group choreography.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.arthurmurraytoronto.com/"} +{"d:Title": "Jennifer's Arts in Motion", "d:Description": "Dance studio is located in Whitby, Ontario, Canada and offers dance classes for ages 3 to adult.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.jennifersartsinmotion.com/"} +{"d:Title": "Precision Dance Academy", "d:Description": "Coquitlam, BC-based dance studio teaches classes in ballet, tap, jazz, hip hop, musical theatre, lyrical, creative dance, pre-primary, pre-school, stretch/strengthening for students 2 years and up.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.precisiondance.ca/"} +{"d:Title": "Dance Conmigo", "d:Description": "Salsa, tango, ballroom, swing, rueda dance classes in Montreal, Canada. Schedule, videos and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.danceconmigo.com/"} +{"d:Title": "MainStreet Dance", "d:Description": "Classes in Unionville, ON for children and adults in jazz, tap, ballet, hip hop, acrobatics, and cultural dance including African, belly, flamenco, highland, Indian, Irish and salsa.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.mainstreetdance.ca/"} +{"d:Title": "Pacific Interior School of Dance", "d:Description": "Kelowna B.C. - Ballet, Jazz, Tap, Highland.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://kelownapilates.com/"} +{"d:Title": "Toronto Dance", "d:Description": "Ballroom, Latin, salsa, swing, Irish lessons at five locations in Toronto, Ontario. Private lessons and wedding choreography.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www3.sympatico.ca/chuan.chee/TorontoDance/"} +{"d:Title": "Latinesque", "d:Description": "Dance studio offers Latin dance classes for all levels, as well as private lessons in Kelowna, BC.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.latinesque.com/"} +{"d:Title": "Elan Dance Arts", "d:Description": "London, Ontario. Dance classes for all ages in Hip hop, breakdancing, ballet, jazz, tap, and musical theatre.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://www.elandancearts.ca/"} +{"d:Title": "Dance Kraze Productions", "d:Description": "Offers hip hop and jazz dance classes in downtown Toronto or Mississauga.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/Canada", "url": "http://dancekrazeproductions.com/"} +{"d:Title": "Parisdance.com", "d:Description": "Centre de danse du Marais - Professional dance school in Paris. English-language site contains schedules of classes and workrooms in PDF format, and a webcam covering the inside of the studio.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/France", "url": "http://www.paris-dance.com/"} +{"d:Title": "Dance into 2000", "d:Description": "Dancing and Performing Arts for all ages. Lancashire.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.dapacentre.co.uk/"} +{"d:Title": "Dance 4 It", "d:Description": "England - Wendy Burke School of Dance. Database of 200+ part-time students concentrating on R.A.D Ballet and I.S.T.D Tap, Modern and Jazz.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.dance4it.com/"} +{"d:Title": "Sussex Summer School", "d:Description": "A dance Summer school in South East England.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.sussexss.demon.co.uk/"} +{"d:Title": "Moving East", "d:Description": "Centre for Dance and Martial Arts in London, providing space for dance companies and contemporary dance classes.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.movingeast.co.uk/"} +{"d:Title": "Willow School Of Dance", "d:Description": "Surrey - ballet, tap and disco for children aged 2 1/2 upwards to adults in the Wallington, Purley and Tolworth area. Regular IDTA exams and bi-annual show.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.willowdance.co.uk/"} +{"d:Title": "Martins Dance Centre", "d:Description": "Merseyside, Liverpool - adult and children's classes and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.martinsdancecentre.co.uk/"} +{"d:Title": "Studio One", "d:Description": "Ferndown, Dorset - Daily classes in all subjects. Denise's Dancewear sells all regulation and fashion dancewear.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.lacombe.co.uk/"} +{"d:Title": "Dawn Dawson's Bolton Academy of Dance&Stage", "d:Description": "Ballet, tap, modern, and jazz lessons in Bolton.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.boltonacademy.co.uk/"} +{"d:Title": "Dance Expressions", "d:Description": "Latin and Ballroom dance school for children and adults based near Sevenoaks in Kent teaching individuals, couples and classes at all levels.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.dance-expressions.co.uk/"} +{"d:Title": "Sydenham Dancentre", "d:Description": "Dance classes and private tuition for ballroom, latin, salsa, line dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.sydenhamdancentre.co.uk/"} +{"d:Title": "Elite Academy of Dance", "d:Description": "Dancing school based in Ferndown, Dorset offering tuition in ballet, tap, modern, lyrical, acrobatics and adult tap classes.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.eliteacademyofdance.com/"} +{"d:Title": "Pinner Theatre Dance", "d:Description": "Teaches ballet, modern, street jazz, tap, and exercise. Based in the UK with classes held in Pinner, Ruislip and Easctote.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.pinnertheatredance.co.uk/"} +{"d:Title": "First Dance Company", "d:Description": "Waltz, foxtrot, Tango, jive and salsa lessons in north London.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.firstdancecompany.com/"} +{"d:Title": "Phil Winston's Theatreworks College.", "d:Description": "Dance and musical theatre college based in Blackpool, England. Detailing training course, faculty, fees and auditions; information on forthcoming events, including summer school.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.philwinstonstheatreworks.com/"} +{"d:Title": "Allesley School of Dance", "d:Description": "England - Classes in Ballet, Tap and Jazz from beginners to advanced.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.allesley-dance.com/"} +{"d:Title": "Footlight Dance Academy", "d:Description": "Wimborne and Corfe Mullen in Dorset - ballet, tap, jazz/modern.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.footlight-dance.co.uk/"} +{"d:Title": "Spotlight. Stage School", "d:Description": "Classes in theatre dance, freestyle, and ballet in Birmingham, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.spotlightstageschool.co.uk/"} +{"d:Title": "Encore Theatre Arts", "d:Description": "Dance classes for babies to adults in Chertsey, Surrey.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.encoretheatrearts.co.uk/"} +{"d:Title": "First Dance Studios", "d:Description": "Wedding dance instruction and dance lessons in a private dance studio in Woking, Surrey.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.firstdance.co.uk/"} +{"d:Title": "The Bedfordshire School of Dance and Drama", "d:Description": "Dance and drama school in Flitwick offering dance classes in ballet, modern, tap, jazz, musical theatre, and exams at graded and vocational levels.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.bedsschoolofdanceanddrama.co.uk/"} +{"d:Title": "Salsa Rhythm", "d:Description": "Salsa lessons in London, England. Information about private lessons, and group classes for beginners.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.salsarhythm.com/"} +{"d:Title": "Cabaret Theatre School", "d:Description": "Stretton, Burton-upon-Trent, England - all round dance training. Classes include Ballet, Tap, Modern Jazz, Gymnastics and Singing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.cabaret-theatre-school.com/"} +{"d:Title": "Laban Transitions Dance Company", "d:Description": "Conservatoire for professional contemporary dance training in London.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.trinitylaban.ac.uk/"} +{"d:Title": "Kinetic Vibes Dance Academy", "d:Description": "Jazz, ballet, and modern dance lessons in Bedford, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.freewebs.com/kineticvibes/"} +{"d:Title": "Chelmsford Dance Centre", "d:Description": "Ballroom, Latin, salsa, and disco classes in Chelmsford, Essex. Schedule, online lesson booking, news.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_Kingdom", "url": "http://www.chelmsforddance.com/"} +{"d:Title": "Fred Astaire Ballroom Dance Lessons", "d:Description": "Provides instruction in ballroom, swing, salsa, Latin, and other specialty dance techniques.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States", "url": "http://www.fredastaire.com/"} +{"d:Title": "Planet Ballroom", "d:Description": "Serving Charlotte, Atlanta, and Jacksonville. Social and competitive dance instruction: waltz, salsa, cha cha cha, swing, rumba, tango.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States", "url": "http://www.planetballroom.com/"} +{"d:Title": "Jill's Studio of Dance", "d:Description": "Huntsville, Alabama - Owned and operated by Jill McNew, offering tap, ballet, pointe, and jazz for beginner to advanced dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Alabama", "url": "http://www.jills.com/"} +{"d:Title": "Barbara's School of Dance", "d:Description": "Family owned and operated dance studio in Anchorage offering dance classes in acrobatics, Jazz, Hip-Hop, and Ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Alaska", "url": "http://www.barbarasdance.net/"} +{"d:Title": "Centrestage Performing Dance Studio", "d:Description": "Instruction in ballet, jazz, hip-hop, funk, and cheerleading in Scottsdale, Arizona.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Arizona", "url": "http://www.centrestagedancestudio.com/"} +{"d:Title": "The Dance Studio", "d:Description": "Located in Prescott, AZ. Lessons in salsa, swing, country, ballroom, waltz, ballet, jazz, tap. Site contains schedule, faculty bios, studio descriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Arizona", "url": "http://www.dancestudioaz.com/"} +{"d:Title": "Sahuarita Dance Center", "d:Description": "Dance studio in Sahuarita, Arizona offers classes in ballet, jazz, tap, hip hop and ballroom for children and adults.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Arizona", "url": "http://www.sahuaritadance.net/"} +{"d:Title": "The Academy of Dance and Music", "d:Description": "Offering ballet, tap, jazz, hip-hop, acrobatics classes in Fayetteville and Rogers, AR.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Arkansas", "url": "http://www.theacademyofdanceandmusic.com/"} +{"d:Title": "Center Stage Dance Academy", "d:Description": "California - CSDA is a well-rounded dance education center offering tap, ballet, pointe, jazz and tumbling for beginner through to advanced dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.palosverdes.com/centerstage"} +{"d:Title": "Arte Flamenco Performing Dances and Schools", "d:Description": "Alhambra, CA - Spanish, Latin, and American dance instruction. Flamenco artists trained in Spanish Folk Dances. Performing dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.clarita-arteflamenco.com/"} +{"d:Title": "Barbara's Dancing Tonight", "d:Description": "Ballrom, latin and swing lessons in Davis, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.dancingtonight.com/"} +{"d:Title": "CP Salsa", "d:Description": "Intermediate and beginning salsa lessons in San Luis Obispo, California. The site contains class schedule, teacher information, and a listing of local salsa events.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.cpsalsa.com/"} +{"d:Title": "Dance Arts Studios", "d:Description": "San Rafael, CA - Social and Competitive Ballroom, Latin, Swing, DanceSport and Health and Fitness classes.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://danceartsstudios.com/"} +{"d:Title": "Dance Creations", "d:Description": "Simi Valley, CA - Offering classes for preschoolers to adults, including ballet, tap, jazz, hip-hop, creative, modern, and lyrical. Site contains class schedule, registration, staff profiles, photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.dancecreations.com/"} +{"d:Title": "The Dance Studio of Fresno", "d:Description": "Teaching jazz, break dance, ballet, hip hop, tap, pep/cheer, clogging, pointe, ballroom, and baton in Fresno, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.fresnodanceonline.com/"} +{"d:Title": "The Dancing School", "d:Description": "Offers ballet, jazz, tap and gymnastics in Selma. Schedules, teacher profiles, and photo galleries can be accessed on this site as well as information about The 2nd Street Dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://dancingschoolofselma.com/"} +{"d:Title": "Hollywood Dance Center", "d:Description": "Los Angeles, CA - studio space for rehearsals, auditions, classes, parties and film shoots. English and Espa\u00f1ol speakers.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.hollywooddancecenter.com/"} +{"d:Title": "Jensen School of Performing Arts", "d:Description": "Milpitas, CA - dance studio offering ballet, tap, jazz, and tumbling classes. Home of \"TnT Dancers\" dance competition team.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.jpadance.com/"} +{"d:Title": "Mission Dance and Performing Arts", "d:Description": "San Francisco Bay Area (Fremont) instruction in tap, hip hop, modern, ballet, jazz, break dance, swing and lyrical.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.missiondancefremont.com/"} +{"d:Title": "Motion Pacific Dance", "d:Description": "A charitable arts organization dedicated to dance as art. Cultivating imagination, community engagement and discipline through accessible dance education and presentation. Santa Cruz, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.motionpacific.com/"} +{"d:Title": "Orange County Dance Center", "d:Description": "Classes in ballet, tap, jazz, hip-hop, ballroom, swing, belly dance in Huntington Beach, California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.orangecountydancecenter.com/"} +{"d:Title": "Pamela Hayes Classical Ballet Training", "d:Description": "Ballet classes ages 3 to adult serving the greater Sacramento area and located in downtown Sacramento, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.danceballet.com/"} +{"d:Title": "Pasadena Civic Ballet Company", "d:Description": "Ballet, jazz, hip-hop, tap classes in Pasadena, CA. Information on Pasadena Civic Ballet company, faculty bios, performance schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.pcballet.com/"} +{"d:Title": "Pattie Wells' Dancetime Center", "d:Description": "San Diego, California - Social dance classes, partner dancing, studio lessons, Saturday Sunday parties - ballroom, swing, waltz, jitterbug, lindy hop, tango, foxtrot, rhumba, cha cha, salsa, hustle.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.dancetime.com/"} +{"d:Title": "Peninsula School of Performing Arts", "d:Description": "St Torrance, CA - Tap, jazz and ballet instruction for children and adults.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.pspadance.com/"} +{"d:Title": "Roco Dance and Fitness", "d:Description": "Ballet, modern, jazz, Afro Brazilian, hip hop lessons in Mill Valley, California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.rocodance.com/"} +{"d:Title": "Salinas School of Dance", "d:Description": "Salinas, CA - ballet, tap, jazz, Irish Step Dance and ballroom.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.salinasdance.com/"} +{"d:Title": "Shawl-Anderson Dance Center", "d:Description": "One of the East Bay's oldest centers for the study of ballet, modern and jazz dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.shawl-anderson.org/"} +{"d:Title": "Spotlight Arts Academy", "d:Description": "CA - Courses in the dance arts as well as instruction in modeling, etiquette and acrobatics. Students of all ages are welcome.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.spotlightaa.com/"} +{"d:Title": "1st Position Dance Academy.", "d:Description": "Classes in ballet, jazz, tap, hip-hop. Located in Burbank, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.1stpositiondanceacademy.freeservers.com/"} +{"d:Title": "Starlight Dance Studio", "d:Description": "Tango, ballet, waltz, West Coast swing, country, hustle, latin, and social dance lessons in San Diego, California. Schedule of classes, instructors' biographies, calendar of events, and an online store.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.starlightdance.com/"} +{"d:Title": "Studio 10 Dance", "d:Description": "CA - Ballet, tap, jazz, musical theater and other specialty dance technique classes.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://studio10dance.com/"} +{"d:Title": "Dance90210", "d:Description": "The advanced dance theater group of Beverly Hills high school. Janet Roston - choreographer, teacher and creator of Dancin' Habit Instructional Video Catalog.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.dance90210.com/"} +{"d:Title": "Just Plain Dancin and Company Dance Studio", "d:Description": "Ballet, jazz, lyrical, tap, modern dance, and tumbling classes for ages 3 and up in Riverside, California.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.justplaindancin.com/"} +{"d:Title": "North County Dance Arts", "d:Description": "Private lessons and classes in jazz, tap, and ballet. Site contains class descriptions and schedules, instructors' bios, news. Studio is located in Carmel Valley, San Diego, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.northcountydancearts.com/"} +{"d:Title": "DanceSF", "d:Description": "Salsa classes in the San Francisco Bay area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.dancesf.com/"} +{"d:Title": "The OC Swing Dance Club", "d:Description": "Lindy hop swing dance lessons and social dances in Orange County, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.ocswing.com/"} +{"d:Title": "Maple Conservatory of Dance", "d:Description": "Pre-professional ballet school and dance studio located in Orange County.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.mapleconservatory.com/"} +{"d:Title": "The Dance Zone Studio", "d:Description": "Dance studio located in Westgate Mall, San Jose.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://dancethezone.com/"} +{"d:Title": "Stage Dor", "d:Description": "Dance studio and performance space in Sausalito, California offers dance instruction for children and adults from beginning to advanced levels.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.stagedor.com/"} +{"d:Title": "Kick It Up Dance School", "d:Description": "A dance and fitness studio for kids, teens and adults in Long Beach, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.kickitup.com/"} +{"d:Title": "Tude's School of Dance", "d:Description": "Santa Clara, CA - Tap, Jazz, Ballet, and Hip Hop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.tudesschoolofdance.com/"} +{"d:Title": "Dance Attack", "d:Description": "Offers classes in ballet, jazz, tap, hip hop, breakdancing, modern, swing, voice, acting, tumbling and pilates. Los Gatos, CA .", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://danceattack.com/"} +{"d:Title": "California DanceArts", "d:Description": "Dance and choreography instruction for children of all ages. La Canada, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://caldancearts.com/"} +{"d:Title": "PhilAndMindiaDance.com", "d:Description": "Phil Adams and Mindia Robin, partner dancing. Swing, cha-cha, two-step, hustle classes in Bellflower/ Los Angeles area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/California", "url": "http://www.philandmindiadance.com/"} +{"d:Title": "Rocky Mountain School of Dance", "d:Description": "Arvada, Colorado - ballet, tap, and jazz.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Colorado", "url": "http://www.rmsdance.com/"} +{"d:Title": "Alyce Carella Dance Centre", "d:Description": "Located in West Hartford, CT. Offering classes in tap, ballet, jazz, lyrical and hip-hop for children and adults of all ages and levels.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Connecticut", "url": "http://www.alycecarelladancecentre.com/"} +{"d:Title": "Ballroom Experience Dance Studio", "d:Description": "Private and group lessons in Latin dance, swing, hustle, and ballroom for both beginner and experienced dancers in Milford, Connecticut. Site contains class schedule and dance music samples.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Connecticut", "url": "http://www.ballroomexp.com/"} +{"d:Title": "Fred Astaire Dance Studio of Hamden, CT", "d:Description": "Dance classes for all levels of students: ballroom, rhythm, free style and line dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Connecticut", "url": "http://www.fadshamden.com/"} +{"d:Title": "The Walter Schalk School of Dance", "d:Description": "Specializes in ballroom, jazz-theatre, tap and swing dancing for children and adults in the Fairfield County and immediate NY areas.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Connecticut", "url": "http://www.walterschalk.com/"} +{"d:Title": "Rhythms Dance Academy", "d:Description": "This site provides information on dance instruction in ballet, tap, jazz, hip hop, lyrical, modern and acro in East Windsor, CT.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Connecticut", "url": "http://www.freewebs.com/rhythmsdance/"} +{"d:Title": "Dance Express", "d:Description": "Lessons in tap, jazz, ballet, modern, hip hop, lyrical, pointe, and pilates for beginner through advanced dancers in Tolland, CT.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Connecticut", "url": "http://danceexpress5678.com/"} +{"d:Title": "D'Valda and Sirico Dance and Music Centre", "d:Description": "Dance instruction and education for children, teens and adults. Ballet, pointe, jazz, lyrical, tap, adagio, creative and musical comedy classes in Fairfield, CT.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Connecticut", "url": "http://www.dsdanceandmusic.com/"} +{"d:Title": "American Dance Academy", "d:Description": "Classes offered in Ballet, Tap and Jazz for ages 2 and up. Lists programs, classes schedule, rates, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Delaware", "url": "http://www.danceatada.com/"} +{"d:Title": "Anna Marie Dance Studio", "d:Description": "Offers dance lessons to the Wilmington area. Including jazz and tap.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Delaware", "url": "http://www.annamariedancestudio.com/"} +{"d:Title": "Delaware Dance Center", "d:Description": "Provides tap, jazz and ballet classes to the Wilmington area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Delaware", "url": "http://www.delawaredancecenter.com/"} +{"d:Title": "Breffni Academy of Irish Dance", "d:Description": "Irish dance instruction for all levels and ages throughout South Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.breffniacademy.com/"} +{"d:Title": "In Step Studio", "d:Description": "Tallahassee, Florida - Schedules, information on the kinds of dance offered at studio: adult and children's classes. Jazz, Tap, Ballet, Tumbling, Flamenco, Irish Step, Middle Eastern and Hawaiian Hula.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.instepstudio.com/"} +{"d:Title": "Atlantic Arts Academy", "d:Description": "Jupiter, Florida - Instruction in ballet, tap, jazz, modern, hip-hop, kindermusik, drama, voice, creative movement, pointe and floor barre.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://atlanticartsacademy.com/"} +{"d:Title": "Mrs. P's Dance", "d:Description": "South Florida dance instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://mrspdance.com/"} +{"d:Title": "Raskin Dance Studios", "d:Description": "Ballet, modern, hip-hop, tap, pointe, jazz dance classes in Kissimmee, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.raskindancestudio.com/"} +{"d:Title": "Tampa Bay's DanceFX", "d:Description": "Brenda Collins directs dance studio in Largo, Florida. Classes from ballet to hip-hop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://tbdfx.com/"} +{"d:Title": "Turning Pointe", "d:Description": "Longwood, FL - To introduce children to the World of Dance and guide them, using Ballet as our foundation, into the Art of Dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.turningpointedance.com/"} +{"d:Title": "BB's Dance Factory", "d:Description": "Dance classes in ballet, jazz, modern, tap, hip hop, musical theater in Clearwater,FL.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://bbsdancefactory.com/"} +{"d:Title": "Boca Dance Studio", "d:Description": "Dance classes in Boca Raton - hip hop, ballet, pointe, tap, jazz, acrobatics for all ages.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://bocadancestudio.com/"} +{"d:Title": "Academy of Dance, Music and Theatre", "d:Description": "Offering programs in acting, musical theatre, voice, piano, guitar, ballet, tap, jazz, acrobatics and a performing arts preschool in West Palm Beach, FL.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.academydmt.com/"} +{"d:Title": "Central Florida Dance Center", "d:Description": "Dance studio in Winter Garden, Florida offering education in dance, choreography. competitive dancing, production and arts administration.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.cfldance.com/"} +{"d:Title": "Edge Dance Studios", "d:Description": "Dance school located in Lake Mary, Florida offering classes in hip hop, ballet, jazz, tap and break dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.edgedance.org/"} +{"d:Title": "Your Wedding Dance", "d:Description": "Lindy hop, fox trot, waltz, mambo, salsa, cha-cha-cha, ballroom, Argentine tango instruction in Lauderdale Lakes / Ft. Lauderdale, FL area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Florida", "url": "http://www.ywdance.com/"} +{"d:Title": "Cumming Dance Academy", "d:Description": "Dance classes in Cumming, Georgia for adults and children including ballet, tap, jazz, hip-hop and musical theatre.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Georgia", "url": "http://cummingdanceacademy.com/"} +{"d:Title": "Dan and Company Studios", "d:Description": "Offering ballet, tap and jazz lessons in Atlanta, Georgia. Home of the Atlanta Jazz Theatre Dance Company.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Georgia", "url": "http://www.danandcompany.com/"} +{"d:Title": "Rhythm Dance Center", "d:Description": "Marietta, Georgia -- Offers jazz, tap, ballet, hip-hop, and acrobatics for ages 2 1/2 and up.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Georgia", "url": "http://www.rhythmdancecenter.com/"} +{"d:Title": "Salsambo Dance Company", "d:Description": "Dance lessons in Salsa, cha-cha-cha, merengue, swing, hustle, and wedding dances. Located in Decatur, Georgia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Georgia", "url": "http://salsambo.com/"} +{"d:Title": "Dancentre South", "d:Description": "Woodstock, Georgia - Jazz, Tap, Ballet...", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Georgia", "url": "http://www.dancentresouth.com/"} +{"d:Title": "Footnotes Dance and Acrobatics Studio", "d:Description": "Ballet, jazz, tap, hip hop, acrobatics, musical theater, lyrical, and modern dance classes in Roswell, GA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Georgia", "url": "http://www.footnotesdance.net/"} +{"d:Title": "Chambers Performing Arts", "d:Description": "Lawrenceville and Alpharetta, GA - Ballet, jazz, tap, pointe, hip-hop, musical theater, acting, and voice instruction for ages 3 through adult, beginner to professional levels. Home of the Susan Chambers Dance Company.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Georgia", "url": "http://www.chambersperformingarts.com/"} +{"d:Title": "Cary-Grove Performing Arts Centre", "d:Description": "Located in Cary, Illinois and offers dance, music and theatre instruction for students of all ages and abilities.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://www.cgpac.com/"} +{"d:Title": "The Dance Workshop", "d:Description": "Orland Park, IL -- Instruction in tap, ballet, ballet stretch, jazz, Latin jazz, modern, Irish, hip-hop, yoga and pilates. Serving Chicago's Southwest suburbs.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://www.thedanceworkshop.com/"} +{"d:Title": "Dancenter North", "d:Description": "Illinois - instruction in Ballet, Jazz, Tap, Musical Theatre, Funk, Swing and Irish Dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://www.dancenter-north.com/"} +{"d:Title": "Merrilee Studios dance instruction", "d:Description": "Dance instruction to ages 3 and up in Metamora, Illinois. Classes in tap, ballet, jazz, tumbling, pointe, dance team, hip-hop, modern.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://www.merrileestudios.com/"} +{"d:Title": "Roselawn Dance By Merrit", "d:Description": "Dance studio located in Danville, Illinois offers instructional tap, jazz, and ballet programs for all ages.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://www.roselawndance.com/"} +{"d:Title": "LoMastro Performing Arts Academy", "d:Description": "Bannockburn. Offering classes in creative dance, ballet, jazz, tap, hip-hop, vocal performance, prechool dance, and pilates for all ages and levels. Includes profile, class and enrollment details, instructor biographies, performance opportunities, calendar and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://www.lomastro.com/"} +{"d:Title": "Soul2Sole Dance, Inc.", "d:Description": "Dance instruction in Buffalo Grove, Illinois.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://soul2soledance.com/"} +{"d:Title": "Becky Kern's Dance Studio", "d:Description": "Illinois - Tap, ballet, pom pon, jazz classes with a special adult program in Belleville.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://bkds.org/"} +{"d:Title": "Gus Giordano Dance Center", "d:Description": "Offers children, teen and adult classes in jazz, ballet, tap, hip hop, modern and ballroom in Evanston, Illinois. Founded by Gus Giordano.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Illinois", "url": "http://www.gusgiordanodance.com/"} +{"d:Title": "Charlee's Elite School of Dance", "d:Description": "Dance studio offering classes for ages 2 and older in ballet, jazz, tap, point, hip hop, lyrical, competition dance, and tumbling. Located in Waukee (Des Moines area), Iowa.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Iowa", "url": "http://www.eliteschoolofdance.com/"} +{"d:Title": "Point B Dance", "d:Description": "An adult education studio in Lawrence, KS specializing in hip hop, contemporary, and jazz.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Kansas", "url": "http://www.pointbdance.com/"} +{"d:Title": "Dance Designs", "d:Description": "Dance instruction in Louisville, Ky.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Kentucky", "url": "http://www.dancedesignslou.com/"} +{"d:Title": "StepNOut Studio", "d:Description": "Covington, KY - Ballroom, lindy, swing and other dance and movement forms.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Kentucky", "url": "http://www.stepnoutstudio.com/"} +{"d:Title": "360 Dance", "d:Description": "Classes in jazz, ballet, hip-hop in Louisville, Kentucky.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Kentucky", "url": "http://www.360dance.com/"} +{"d:Title": "Encore Dance Studio", "d:Description": "Offering classes in jazz, tap, musical theatre, and ballet for ages 3 to adult in Harahan, Louisiana.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Louisiana", "url": "http://www.encoredancing.com/"} +{"d:Title": "Vicki's Dance Centers", "d:Description": "Louisiana - owned and operated by Vicki Armitage, offers a wide range of classes in all areas of dance instruction. Locations in Alexandria and Pineville.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Louisiana", "url": "http://www.vickisdancecenters.com/"} +{"d:Title": "Debbie's School of Dance", "d:Description": "Classes in ballet, tap, jazz, pointe, and hip-hop in West Monroe, LA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Louisiana", "url": "http://www.dbourgdance.com/"} +{"d:Title": "Sommer and Dorry", "d:Description": "Based in Baltimore, teach and perform lindy hop and swing dance worldwide. Includes videos, photos, and free online instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Maryland", "url": "http://www.dorryandsommer.com/"} +{"d:Title": "Eleanor Pernia Studio of Dance", "d:Description": "Beltsville, MD - Ballet, tap, jazz, and Kinderdance for children of all ages and abilities.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Maryland", "url": "http://www.eleanorperniadance.com/"} +{"d:Title": "Wheaton Studio of Dance", "d:Description": "Dance instruction near Wheaton, Maryland metro for ages 3-adult in tap, ballet, jazz, and hiphop. Owned by dancer, choreographer Shannon Rush. Registration information for classes, Summer dance camp and birthday parties.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Maryland", "url": "http://wheatonstudioofdance.com/"} +{"d:Title": "CityDance Ensemble", "d:Description": "Classes for children, teens and adults in ballet, pointe, modern, jazz, hip-hop, african, creative movement, Mommy and Me, yoga, tai-chi and swing dance. Pre-professional training program for ages 12-18 in North Bethesda, MD.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Maryland", "url": "http://www.citydance.net/"} +{"d:Title": "Arthur Murray Dance Studio", "d:Description": "Private ballroom dance lessons and group classes. Includes types of dances, calendar, and teaching methods. Worcester.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://www.dancetonight.com/"} +{"d:Title": "Brookline Community Center for the Arts", "d:Description": "Latin, flamenco, modern, tap, and jazz classes in Brookline, MA. Site contains class and event schedules, membership information, and information about teachers and facilities.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://www.bccaonline.com/"} +{"d:Title": "Fontaine Academy of Dance", "d:Description": "Classes in tap, ballet, jazz and hip-hop beginning at age 3 and a half. Located in Duxbury, MA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://www.fontaineacademyofdance.com/"} +{"d:Title": "Greendale Dance Academy", "d:Description": "Worcester, MA - Offering ballet, tap, jazz, pointe, modern, hip hop and cheerleading to Worcester and near by Holden and West Boylston.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://greendaledanceacademy.com/"} +{"d:Title": "Jo Ann Warren Studio", "d:Description": "Worcester, Massachusetts - Dance, theater arts, vocal and acrobatics studio.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://joannwarrenstudio.com/"} +{"d:Title": "Joanne Langione Dance Center", "d:Description": "Hip hop, jazz, tap dance classes in Newton, MA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://www.jldancecenter.com/"} +{"d:Title": "Rugcutters Dance Studio", "d:Description": "Tango, swing, and ballroom lessons in Watertown, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://www.havetodance.com/rugcutters/"} +{"d:Title": "RDS Performing Arts", "d:Description": "Dance studio providing dance education to Dover, Massachusetts and the surrounding areas for 30 years.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Massachusetts", "url": "http://www.rds-dance.com/"} +{"d:Title": "Ann Arbor Dance Classics", "d:Description": "Ballet, tap, jazz classes in Ann Arbor, MI.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Michigan", "url": "http://aadanceclassics.com/"} +{"d:Title": "Fenton School of Dance/Fenton Dance Academy", "d:Description": "Display of current events, directions, philosophy, awards, competitions, maps, calendars, recital and performance information, schedules, ballet, tap and jazz classes, and instructors with Fenton School of Dance/Fenton Dance Academy in Fenton, Michigan", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Michigan", "url": "http://www.fsd-fda.com/"} +{"d:Title": "Dahl Dance Studio", "d:Description": "Dance classes in ballroom, Latin, swing, salsa, and tango in Rochester, MN.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Minnesota", "url": "http://www.dahldance.com/"} +{"d:Title": "Pat's Clogging Studios", "d:Description": "Springfield, Missouri - clogging and Irish dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Missouri", "url": "http://www.itap2.com/"} +{"d:Title": "City in Motion", "d:Description": "Missouri - City in Motion is a school of dance, centrally located in Kansas City, that is built on the philosophy that everyone is a dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Missouri", "url": "http://www.cityinmotion.org/"} +{"d:Title": "St. Peters Academy Of Dance", "d:Description": "Dance studio for children in St. Peters, Missouri.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Missouri", "url": "http://www.stpetersacademyofdance.com/"} +{"d:Title": "Cherrie Anderson School of Music and Dance", "d:Description": "Tap, ballet, jazz, drill team, hip-hop classes.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Nebraska", "url": "http://www.cherrieandersonstudio.com/"} +{"d:Title": "Hart Dance Academy", "d:Description": "Classes in ballet, tap, jazz, hip-hop, modern, and lyrical dance in Lincoln, NE.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Nebraska", "url": "http://www.hartdance.com/"} +{"d:Title": "Academy of Gymnastics and Dance", "d:Description": "Las Vegas, NV - acro, acting, cheerleading, dance, gymnastics and modeling at recreational, competitive and professional levels.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Nevada", "url": "http://academyofgymnastics.weebly.com/"} +{"d:Title": "The Dancing Corner Dance Studio", "d:Description": "Nashua, New Hampshire - Our goal is to develop the potential of each individual while enhancing their love of dance. Jazz, tap, ballet, modern, pre-dance, pilates and ballroom.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Hampshire", "url": "http://www.dancingcorner.com/"} +{"d:Title": "Allstar Dance Academy", "d:Description": "New Jersey - Dance and aerobic instruction in Central New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.shopjersey.com/allstardance/"} +{"d:Title": "Broadway Ballroom", "d:Description": "Princeton, NJ - dance instruction (including ballroom, swing, tap, and latin) and parties, group lessons, competitions, and showcases.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.broadwayballroom.com/"} +{"d:Title": "Dance Stop", "d:Description": "Parlin, NJ dance studio - classes in ballet, hip-hop, acro/gymnastics, jazz, tap.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.dancestoponline.com/"} +{"d:Title": "First Position School of Dance", "d:Description": "NJ - information on types of classes offered, staff, class schedule, and location.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.firstpositiondance.com/"} +{"d:Title": "Jersey City Dance Academy, Inc.", "d:Description": "New Jersey - JCDA provides an opportunity for dancers of all ages and abilities in Hudson county. Also home to the Dancin' Diva's - a non-profit dance troupe.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.jerseycitydanceacademy.com/"} +{"d:Title": "Standing Ovation School of Dance", "d:Description": "Brick, New Jersey - tap, jazz, lyrical, hip-hop, ballet, musical theater, gymnastics.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.standingovationdance.com/"} +{"d:Title": "Marlboro Dance School", "d:Description": "Marlboro, NJ -- Instruction in ballet, jazz, tap, modern, hip hop. Located in Monmouth County close to Manalapan, Matawan and Morganville", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.marlborodance.com/"} +{"d:Title": "North Jersey School of Dance Arts", "d:Description": "Classes for ages 2 and a half and older in creative movement, ballet, tap, jazz, musical theater and hip hop. Located in Hackettstown, NJ.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://njsda.org/"} +{"d:Title": "Sharon's Studio of Dance", "d:Description": "Specializing in ballet, pointe, tap, jazz, offers a range of programs for beginners and adults of all levels in Whippany, NJ.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.sharonsdance.com/"} +{"d:Title": "Sharron Miller's Academy for the Performing Art", "d:Description": "Montclair, NJ - dance and related theatre arts without regard to cultural ethnicity or economic background.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.smapa.org/"} +{"d:Title": "Couples Dance Studios", "d:Description": "Dance studio dedicated to teaching social ballroom and latin dancing in Voorhees, New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://couplesdancestudios.com/"} +{"d:Title": "Starlight Dance Center", "d:Description": "Dancing lessons include Argentinian tango, salsa, belly dance and aerobic dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.starlightdanceusa.com/"} +{"d:Title": "Dance Expression dance arts", "d:Description": "Studio in Hardyston, NJ, offers dance classes in ballet, jazz, hip hop, modern, tap, tumbling, and pre-school dance.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://danceexpression.com/"} +{"d:Title": "Dance Innovations, Inc.", "d:Description": "A studio in Chatham, NJ provides dance lessons for 21 years.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://www.danceinnovations.org/"} +{"d:Title": "Broadway Bound Dance, Inc.", "d:Description": "NJ - Broadway style dance instruction for toddlers to adults. Classes include tap, jazz, lyrical, hip-hop, ballet, and pointe.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "http://bbdcnj.com/"} +{"d:Title": "Fred Astaire Red Bank", "d:Description": "Dance studio in Princeton-Trenton-New York City area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_Jersey", "url": "https://www.fredastaire.com/red-bank/"} +{"d:Title": "Ballroom Dance NYC", "d:Description": "Specializing in preparing wedding couples for the First Dance through private dance lessons at two New York City locations: Midtown and UWS.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.dancelessonsnyc.com/"} +{"d:Title": "Cynthia King Dance Studio", "d:Description": "Ballet, jazz, tap, hip-hop classes for all ages in Brooklyn, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.cynthiakingdance.com/"} +{"d:Title": "Dance Beijing", "d:Description": "Port Washington, NY - Chinese classical and folk dance for children and teenagers; special dance classes for children adopted from China (FCC).", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.heritageeast.com/classes/"} +{"d:Title": "A Different Dance and Theatre Ministry Training Center", "d:Description": "A Christian based ministry dance school. Using dance to bring the gospel where it has never been, including places like Disney World.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.angelfire.com/fl3/outofcaptivity/"} +{"d:Title": "Paul Pellicoro's DanceSport", "d:Description": "Ballroom and Latin studio in New York City. Schedule, staff bios, news, articles on dance, news, tango events, links.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.dancesport.com/"} +{"d:Title": "Robert Mann Dance Centre", "d:Description": "New York metro area - offers graded classes from beginners through professional levels for both children and adults in Ballet, Pointe, Tap, Jazz, Lyrical, Acrobatics, Theater Dance, Jazz, Workout, and Ballroom.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.robertmanndance.com/"} +{"d:Title": "Variations", "d:Description": "Huntington, NY - jazz, tap, ballet, modern, yoga, ballroom, kickboxing. All ages.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.variationsdancestudio.com/"} +{"d:Title": "Zamora School of Dance", "d:Description": "Offers group and private salsa \"on 1\" classes by Hector Zamora. Located in New York, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.zamorasalsa.com/"} +{"d:Title": "Bridge for Dance", "d:Description": "New York based dance studio offering classes and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.bridgefordance.com/"} +{"d:Title": "Lorenz Latin Dance Studio", "d:Description": "Glendale, New York, salsa studio offers classes in salsa / mambo on 2, Latin hustle, swing, ballroom and hip hop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.lorenzdancestudio.com/"} +{"d:Title": "Maimouna Keita School of African Dance", "d:Description": "New York - West African Dance School and Company sponsoring dance and drum workshops, events and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.angelfire.com/ny/africandance/"} +{"d:Title": "You Should Be Dancing", "d:Description": "New York City. Dance lessons in swing, ballroom, tango, salsa, and waltz.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.youshouldbedancing.net/"} +{"d:Title": "Steps on Broadway", "d:Description": "NYC. Hip hop and jazz dance classes for beginners and performing artists. Schedules, news, and faculty profiles.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.stepsnyc.com/"} +{"d:Title": "Hop Swing Dance Studios", "d:Description": "Swing dance school located in Manhattan specializing in lindy hop, Balboa, and fast lindy.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.hopswing.com/"} +{"d:Title": "Spirit of Soul Dance Studio", "d:Description": "Dance classes in ballet, jazz, tap, hip-hop and step for children from age 4 and adults in Wyandanch, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.spiritofsouldance.com/"} +{"d:Title": "Peridance Capezio Center", "d:Description": "Dance education at all levels in New York, NY. Offering ballet, modern, jazz, tap, hiphop, stretch, pilates, yoga.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.peridance.com/"} +{"d:Title": "Broadway Dance Center", "d:Description": "New York- Faculty in Jazz, Ballet, Tap, and other styles. Levels ranging from absolute beginner to professional.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.broadwaydancecenter.com/"} +{"d:Title": "Champions Dance Studio", "d:Description": "Salsa, argentine tango, swing, waltz,fox trot, wedding dance classes in New York, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://www.championsstudios.moonfruit.com/"} +{"d:Title": "American Dance And Drama Studio", "d:Description": "NY - all types of dance, gymnastics, drama and singing to children and adults of all ages. Party room available.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://americandanceanddrama.net/"} +{"d:Title": "Dance Manhattan", "d:Description": "Swing classes in the lindy hop, smooth style, and west coast swing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/New_York", "url": "http://dancemanhattan.com/"} +{"d:Title": "Cary Dance productions", "d:Description": "Raleigh, NC - dance instruction in ballet, tap, jazz, pointe, clogging.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/North_Carolina", "url": "http://www.carydance.com/"} +{"d:Title": "Dimensions Dance Arts", "d:Description": "Rockwell, NC - creative dance school for ages 3 to adult.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/North_Carolina", "url": "http://dimensionsdancearts.com/"} +{"d:Title": "Ninth Street Dance", "d:Description": "Classes in ballet, jazz, tap, hip-hop, line dance, flamenco, capoeira, belly dance, ballroom, swing, salsa, tango in Durham, NC.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/North_Carolina", "url": "http://www.ninthstreetdance.com/"} +{"d:Title": "Burlington Academy of Dance and Arts", "d:Description": "Ballet, jazz, and tap classes in Burlington, North Carolina. Information about KARIZMA performance company.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/North_Carolina", "url": "http://www.badadance.com/"} +{"d:Title": "Shag Lessons", "d:Description": "North Carolina - Private and group lessons offered by Wes and Sandra May.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/North_Carolina", "url": "http://www.shaglessons.com/"} +{"d:Title": "Advance Dance", "d:Description": "Dance instruction in recreational and competitive dance with two locations in Advance and Arcadia, NC.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/North_Carolina", "url": "http://www.advancedancers.com/"} +{"d:Title": "All About Dance! by Kristen", "d:Description": "Ohio - cecchetti ballet, tap, jazz, gymnastics, baton, cheerleading and competition dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.allaboutdancebykristen.com/"} +{"d:Title": "The Ballroom Co.", "d:Description": "Private dance instruction in Maumee / Toledo, OH area.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.theballroomco.com/"} +{"d:Title": "Broadway Bound Dance Centre", "d:Description": "New Albany, Ohio - instruction in toddler movement, jazz, tap, lyrical, gymnastics, and ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://bbdc-dance.com/"} +{"d:Title": "Columbus DanceCentre", "d:Description": "Professional dance instructors, Igor and Svetlana Iskhakov, give lessons in ballroom, dancesport, ballet, modern, and jazz. Site provides schedule of dance events in Columbus, Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.columbusdancecentre.com/"} +{"d:Title": "Contemporary Dance Theater", "d:Description": "Cincinnati, OH - Promotes and produces national, regional, and local modern dance performances and fosters dance through education.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.cdt-dance.org/"} +{"d:Title": "D and D Dance Studio", "d:Description": "Toledo, Ohio - information on classes, annual calendar, instructor biographies, haunted house fund raiser and special items of interest. Occasional banners to announce performance dates.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://dandddance.tripod.com/"} +{"d:Title": "Mason Dance Center", "d:Description": "Mason, OH - Ballet, tap, jazz, lyrical, tumbling, preschool programs and a pre-professional ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.masondance.com/"} +{"d:Title": "Dance Etc.", "d:Description": "Dance and tumbling studio in Milford, Ohio (eastern Cincinnati) offers classes for kids and adults in ballet, pointe, tap, jazz, lyrical, modern, hip-hop, floor gymnastics, cheerleading, partnering/lifts.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.dance-etc.com/"} +{"d:Title": "Moving Company", "d:Description": "School of dance in Sandusky, Ohio provides dance classes for children.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.movingcompanydance.com/"} +{"d:Title": "Gary Geis Dance", "d:Description": "Classes in ballet, jazz, tap in Springfield, Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.garygeisdance.org/"} +{"d:Title": "La Dance Cleveland", "d:Description": "Dance studio in Beachwood, Ohio features a 6000 sq. ft. ballroom dance floor. Offering private dance&fitness instruction, group classes, parties, competitions, showcases and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.ladansecleveland.com/"} +{"d:Title": "Center Stage Performing Arts Academy", "d:Description": "Classes in classical ballet/ pointe, tap, jazz, contemporary, modern, choreography, hip hop, lyrical, baton twirling, and tumbling for all ages. Celina, Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.centerstagesuperstar.com/"} +{"d:Title": "Melody&Motion", "d:Description": "Professional dance education featuring ballet, tap, jazz for ages 3 to adult. Newcomerstown, OH.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Ohio", "url": "http://www.melodyandmotion.com/"} +{"d:Title": "The Dancenter Studio", "d:Description": "Oklahoma City, Oklahoma - ballet, tap, jazz, pompon, cheer, tumbling, and lyrical. Competitive and non-competitive.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Oklahoma", "url": "http://www.thedancenter.net/"} +{"d:Title": "Center For Movement Arts", "d:Description": "Portland, OR - The Center for Movement Arts under the direction of Tim and Sherie Ryan offers children and adults dance classes in classical ballet, creative movement, ballroom dance, and flamenco.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Oregon", "url": "http://www.cmadance.com/"} +{"d:Title": "Stites Performing Arts Center", "d:Description": "Performing arts studio in Portland, Oregon specializing in jazz, tap, ballet, hip hop, modern, pilates, baton twirling, ballroom, swing, middle eastern dance, belly dance, yoga, gymnastics.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Oregon", "url": "http://www.stitesdance.com/"} +{"d:Title": "Billings dance and Performing Arts Center", "d:Description": "Studio in Portland, Oregon offers classes in jazz, tap, ballet, hip-hop, lyrical, and musical theater.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Oregon", "url": "http://www.billingsdance.com/"} +{"d:Title": "ContempraDance Center and Theatre", "d:Description": "Wayne, Pennsylvania - dance studio with classes for all ages/levels and professional modern/jazz dance company under artistic director Gail Vartanian.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Pennsylvania", "url": "http://www.contempradance.com/"} +{"d:Title": "Kathleen Reinoehl School of Dance", "d:Description": "Located in Mohnton, PA (just outside of Reading).", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Pennsylvania", "url": "http://c_merrill.tripod.com/krdance.htm"} +{"d:Title": "Studio of Dance and Performing Arts", "d:Description": "Newtown, PA - a wide variety of dance classes with a unique philosophy.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Pennsylvania", "url": "http://www.danceinnewtown.com/"} +{"d:Title": "Touch of Class Dance Studio", "d:Description": "Pennsylvania - Quality dance education for children and adults to develop not only technical ability, but also personal self-esteem.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Pennsylvania", "url": "http://www.touchofclassdance.com/"} +{"d:Title": "Vince Brust Studios of Dance", "d:Description": "Swing, ballroom and Latin dance classes in North Eastern Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Pennsylvania", "url": "http://vincebruststudios.com/"} +{"d:Title": "Sharon Plessl School of Dance", "d:Description": "Dance studio in Allentown, PA offers classes in jazz, tap, lyrical, ballet, and hip-hop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Pennsylvania", "url": "http://www.discoverspdance.com/"} +{"d:Title": "TC Dance Club", "d:Description": "Offers instruction in social Latin and ballroom dancing in Wind Gap, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Pennsylvania", "url": "http://www.learnballroomlatin.com/"} +{"d:Title": "Jean DeLuca Dance Studio", "d:Description": "Offers dance lessons for children and adults in Johnston or Wakefield. Includes teachers' profiles, class information and directions.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Rhode_Island", "url": "http://jeandelucadance.com/"} +{"d:Title": "Beth Cross Centre of Dance and Voice", "d:Description": "Jazz, ballet, tap, lyrical, hip-hop, pom technique, musical performance, as well as vocal music.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Tennessee", "url": "http://www.bethcrosscentre.com/"} +{"d:Title": "Precision Dance and School of the Performing Arts", "d:Description": "Teaches ballet, tap, jazz, pointe, lyrical, modern and hip hop in Columbia, TN.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Tennessee", "url": "http://www.precisiondancetn.com/"} +{"d:Title": "Atascocita Dance Center", "d:Description": "Humble, TX - Ballet, Tap, Jazz/Pom, Funk, Hip/Hop, Tumbling, Cheerleading Privates, Drill Team, Parade and Competition Teams.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "http://atascocitadancecenter.freeservers.com/"} +{"d:Title": "Cinderella School of Dance and Gymnastics", "d:Description": "Texas - ballet, tap, jazz, and gymnastics. Five locations in South Texas. Home of the National Award Winning \"Dance Dynamic\" Dance and Gymanstics Team. Pictures of the Team and instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "http://www.angelfire.com/me2/cinderella/"} +{"d:Title": "Dance Reflections Dance Studio", "d:Description": "A dance studio teaching jazz, tap and ballet to aspiring dancers ages 3 to 84 in Flower Mound, TX.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "http://www.dancereflections.net/"} +{"d:Title": "Dance Unlimited", "d:Description": "Offers classes in ballet, tap, jazz, lyrical, gymnastics, hip-hop, cheer, dance aerobics and pointe for all levels and ages in Buda, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "http://www.danceunlimitedbuda.com/"} +{"d:Title": "Denton Dance Conservatory, LLC", "d:Description": "Offers dance instruction. Home of DancExpress and Denton City Youth Ballet. Located in Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "http://www.dentondance.com/"} +{"d:Title": "Shirley McPhail School of Dance", "d:Description": "Dance studio with two locations providing dance classes for children and adults in tap, ballet, jazz, hip-hop, cotillion, pilates and musical theater in Austin, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "http://www.smsdance.com/"} +{"d:Title": "Cookie Joe Dance", "d:Description": "Houston, Texas - classical and theatrical dance training for all ages as well as performance venues.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "http://www.cookiejoedance.com/"} +{"d:Title": "African Dance and Drum", "d:Description": "Site for West African dance classes and events in Austin, TX. The site provides a class schedule, maps, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Texas", "url": "https://www.facebook.com/African.Dance.Drum.Austin"} +{"d:Title": "FootWorks Studio of Dance", "d:Description": "Classes in ballet, pointe, contemporary, jazz, lyrical, hip-hop, tap, gymnastics in Milton, VT.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Vermont", "url": "http://dancefootworks.tripod.com/"} +{"d:Title": "Spotlight Vermont", "d:Description": "Dance studios with locations serving Northern and Central Vermont. Jazz, tap, and ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Vermont", "url": "http://spotlightvermont.com/"} +{"d:Title": "Chris Collins Dance Studio", "d:Description": "Lessons in tap, ballet, jazz, point, lyrical, musical theater, and gymnastics in Alexandria, VA. Home of Chris Collins dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Virginia", "url": "http://www.chriscollinsdance.com/"} +{"d:Title": "Ray Hollingsworth Studio of Dance", "d:Description": "Martinsville, VA -- Dance instruction to children - ages 3 and 1/2 and up - as well as adults.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Virginia", "url": "http://www.rayhollingsworthstudio.com/"} +{"d:Title": "Tiny Dancers", "d:Description": "Dance program for young children in Fairfax, Virginia: ballet, tap and jazz.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Virginia", "url": "http://www.tinydancers.com/"} +{"d:Title": "Perfect Pointe Dance Studio", "d:Description": "Offering classes in ballet, pointe, tap, jazz, modern, lyrical, pre-school and kinderdance for children and adults in Arlington, VA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Virginia", "url": "http://www.perfectpointe.com/"} +{"d:Title": "Forest Dance Academy", "d:Description": "Offers dance education in Forest, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Virginia", "url": "http://www.forestdanceacademy.com/"} +{"d:Title": "Creative Dance Center", "d:Description": "Four studios in Northern Virginia, with professional instructors teaching a variety of dance styles for competitions and performances", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Virginia", "url": "http://www.cdcdance.com/"} +{"d:Title": "The Rohanna Movement", "d:Description": "Dance classes in ballet, jazz, tap, lyrical, acrobatics and hip hop for ages 2 to adult. Located in Morgantown.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Virginia", "url": "http://therohannamovement.com/"} +{"d:Title": "Backstage Dance Studio", "d:Description": "Recreational and competition classes in tap, jazz, ballet, lyrical, modern and musical theater. Located in Bellevue, WA.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Washington", "url": "http://www.backstagedance.org/"} +{"d:Title": "Dance Fremont", "d:Description": "Seattle - modern and ballet classes.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Washington", "url": "http://dancefremont.com/"} +{"d:Title": "Joy of Motion Dance Center", "d:Description": "Washington DC - Classes in jazz, modern, ballet, tap, flamenco, belly dance, broadway jazz, street jam, afro jazz, yoga, stretch and align, swing, cardio kickboxing, body sculpture and classes for children and teens. Home to the Jack Guidone Theater.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Washington,_DC", "url": "http://www.joyofmotion.org/"} +{"d:Title": "Now and Then Dance Studios", "d:Description": "Group dance lessons and private instruction in ballroom, swing, Latin, disco. Locations in Silver Spring, North Potomac, and Falls Church.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Washington,_DC", "url": "http://www.nowandthendancestudios.com/"} +{"d:Title": "DDH Dance Academy", "d:Description": "Dance studio in Wausau, Wisconsin providing ballet, jazz, modern, tap, pointe, lyrical, children's work and musical theater.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Wisconsin", "url": "http://ddhdance.com/"} +{"d:Title": "Mequon Fred Astaire Dance Studios", "d:Description": "Dance lessons in Mequon, Wisconsin.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Wisconsin", "url": "http://www.fredastairenorthshore.com/"} +{"d:Title": "Jean Marie's School of Dance", "d:Description": "Serving the Eau Claire, WI and Chippewa Falls area. Lessons in tap, ballet, song, and jazz.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Studios/United_States/Wisconsin", "url": "http://www.jeanmariedance.com/"} +{"d:Title": "Body Tales Retreats", "d:Description": "Explore personal movement, dance, theater, storytelling, improvisation, meditation for creativity, spirituality and healing. Workshops in San Francisco Bay Area and elsewhere.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Workshops", "url": "http://www.bodytales.com/"} +{"d:Title": "ProDanzaItalia", "d:Description": "Annual summer dance workshop in Italy - modern, tap, jazz, choreography, contact improvisation and performance. Takes place in Castiglioncello on the Mediterranean coast of Tuscany.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Workshops", "url": "http://www.prodanzaitalia.com/"} +{"d:Title": "Split Tree Farm", "d:Description": "Comprising 200 acres in NW Georgia, Split Tree was created as a workshop center for the participatory arts, especially movement and musical arts, and as a potential arts community", "topic": "Top/Arts/Performing_Arts/Dance/Education/Workshops", "url": "http://www.splittree.org/"} +{"d:Title": "The Salignac Foundation", "d:Description": "Video and dance courses/workshops in France.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Workshops", "url": "http://www.thecopybureau.co.uk/salignac/"} +{"d:Title": "Monsters of Hip Hop", "d:Description": "Touring group in large USA cities provides workshops in Street Jazz, Hip Hop, Popping, Locking and other styles. Levels ranging from beginner to professional.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Workshops", "url": "http://www.monstersofhiphop.com/"} +{"d:Title": "Manhattan Dance Project Workshops", "d:Description": "New York City sends their finest dance instructors to your area for the ultimate dance workshop.", "topic": "Top/Arts/Performing_Arts/Dance/Education/Workshops", "url": "http://www.mdpdance.com/"} +{"d:Title": "Spoleto Festival", "d:Description": "History, program, box office information and location on the current season of the Italian festival.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.spoletofestival.it/"} +{"d:Title": "Canada Dance Festival", "d:Description": "A biennial festival celebrating the artistic achievements and creations of Canadian contemporary choreographers.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://canadadance.ca/"} +{"d:Title": "NEFFA Festival", "d:Description": "New England Folk Festival Association offers this event which is a blend of dance, music, crafts, and food from many lands. Lists performers, a schedule of events, and volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.neffa.org/"} +{"d:Title": "Dancing Poetry Contest and Festival", "d:Description": "Encourageing Poets and Dancers to relate to poetry as a medium for a new visual art form. An outreach for creativity for a larger range of poets and dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.dancingpoetry.org/"} +{"d:Title": "American Dance Festival", "d:Description": "Provides education and training for young dancers, and encourages and supports the creation and presentation of new modern dance work. Photographs, performance schedule, and dance links.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.americandancefestival.org/"} +{"d:Title": "Dance Umbrella", "d:Description": "London's annual international festival of contemporary dance. Features mailing list, sponsor details, awards, tours and press.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.danceumbrella.co.uk/"} +{"d:Title": "Jacob's Pillow", "d:Description": "Lee, MA, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.jacobspillow.org/"} +{"d:Title": "San Francisco Ethnic Dance Festival", "d:Description": "Information about the festival of California, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.worldartswest.org/"} +{"d:Title": "Merrie Monarch Festival", "d:Description": "A week-long event held annually in Hilo on the island of Hawaii. Includes a list of soloists, competition results, and past event details.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.kalena.com/merriemonarch/"} +{"d:Title": "Dancing on the Edge", "d:Description": "Festival of Contemporary Dance in Vancouver, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://www.dancingontheedge.org/"} +{"d:Title": "Boulder Jazz Dance Workshop", "d:Description": "Description, schedule of classes, faculty of annual summer intensive dance workshop held at the University of Colorado in Boulder, CO.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals", "url": "http://boulderjazzdanceworkshop.com/"} +{"d:Title": "Marching Auxiliaries", "d:Description": "Provides camps and competitions for auxiliaries and dance teams.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.maux.com/"} +{"d:Title": "Dance Olympus", "d:Description": "Summer dance championships and registration information", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.danceolympus-america.com/"} +{"d:Title": "Isadora Duncan Dance Awards", "d:Description": "The \"Izzies\" honors San Francisco Bay Area dance artists through the acknowledgment of outstanding achievements within a twelve month period of performances.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.izzies-sf.org/"} +{"d:Title": "Rainbow Connection SuperTalent Competition", "d:Description": "Vikky Anthony and Al Gilbert present the national competition of USA.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.rainbowdance.com/"} +{"d:Title": "NYC Dance Alliance", "d:Description": "New York's definitive dance convention for competitions and workshops", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.nycdance.com/"} +{"d:Title": "Showbiz National Talent Competition", "d:Description": "Schedule, regulations, entry forms, previous competition results, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.showbiztalent.com/"} +{"d:Title": "Summer Dance Festival", "d:Description": "The biggest dancesport competition for Juniors and Youth (Modern and Latin) in Europe including 4 IDSF \"Open to the World.\"", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://summer-dance-festival.de/"} +{"d:Title": "The UK Rock Challenge", "d:Description": "The UK arm of the Australian Global Rock Challenge concept offers secondary school students the adrenaline-laden performing arts alternative to a lifestyle of substance abuse.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.rockchallenge.co.uk/"} +{"d:Title": "Showstopper", "d:Description": "Learn about dance competitions all over the United States. Competition results are posted on the site.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.showstopperonline.com/"} +{"d:Title": "Symposium Talent Contest", "d:Description": "Midwestern United States; categorized competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.symposiumtalent.com/"} +{"d:Title": "All American Talent Awards", "d:Description": "Online brochure and National Winner's Circle slideshow.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.allamericantalent.com/"} +{"d:Title": "Prague Dance Festival", "d:Description": "International dance competition in Italy.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.dancefestival.it/"} +{"d:Title": "DanceXPlosion", "d:Description": "Talent tour in North America. Dates, rules and regulations, and finals results.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.dancexplosiontalent.com/"} +{"d:Title": "FeisWorx Home Page", "d:Description": "History, photo gallery, rules and results, entry form, syllabus.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.feisworx.com/"} +{"d:Title": "Showtime Dance Promotions", "d:Description": "Organizes dance festivals in Western Canada. Includes events, photographs, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.showtimedancepromotions.com/"} +{"d:Title": "Tremaine Dance Convention and Competition", "d:Description": "Offers company information and health tips as well as schedules and tour dates.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.tremainedance.com/"} +{"d:Title": "I Love Dance: Competitions With Class", "d:Description": "Produces dance festivals, competitions and conventions throughout the United States, Canada and Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.ilovedance.com/"} +{"d:Title": "Access Broadway", "d:Description": "Provides workshops and talent competition.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.accessbroadway.com/"} +{"d:Title": "American Dance Awards", "d:Description": "Dance competitions including USA Regionals, Canadian Tour dates and National Championships.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.americandanceawards.com/"} +{"d:Title": "StarQuest International Performing Arts Competition", "d:Description": "Competition includes dance scholarships, master classes and prizes.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.starquestdance.com/"} +{"d:Title": "On Stage America", "d:Description": "Offers 18 competitions nationwide.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.onstageamerica.com/"} +{"d:Title": "Spotlight Events, Inc.", "d:Description": "Professional dance competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.spotlightevents.com/"} +{"d:Title": "U.S. Open Swing Dance Championships", "d:Description": "West Coast Swing, Lindy Hop, Cabaret Competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Festivals/Competitions", "url": "http://www.usopenswingdc.com/"} +{"d:Title": "Ilusion Flamenca", "d:Description": "Flamenco dance group based in South London. Information about classes and shows, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.ilusionflamenca.co.uk/"} +{"d:Title": "The German Flamenco Pages", "d:Description": "Extensive information about Flamenco, list of worldwide flamenco sites, discussion forum.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.flamenco-seiten.de/"} +{"d:Title": "Deborah Greenfield", "d:Description": "Los-Angeles based performer and choreographer of modern dance and flamenco.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.rosanegraflamenco.org/"} +{"d:Title": "Flamenco in Washington DC", "d:Description": "Flamenco performances, workshops, dance and guitar lessons, places to buy castanets, flamenco shoes and CDs. Updated frequently by guitarist Michael Perez.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.dcflamenco.com/"} +{"d:Title": "FotoGaler\u00eda de Flamenco", "d:Description": "Exhibition of photographs about Flamenco music. Dancers, singers, guitars.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.expofoto.com/flamenco"} +{"d:Title": "Flamenco Santa Cruz", "d:Description": "Classes and workshop in CA, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://members.tripod.com/flamenco_santacruz/"} +{"d:Title": "Flamencodance.co.uk", "d:Description": "Flamenco dance instruction video available from Anna Due\u00f1as Le\u00f3n.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.flamencodance.co.uk/"} +{"d:Title": "Gloria de Jerez", "d:Description": "Professional flamenco dancer and teacher from Jerez de La Fronterea, Spain.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.angelfire.com/va2/gloriadejerez/"} +{"d:Title": "Kara's Flamenco Page", "d:Description": "Featuring detailed information and history about flamenco, Spain, sound and picture galleries, and a fan page.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://members.shaw.ca/kaml/"} +{"d:Title": "Sal's Flamenco Soapbox", "d:Description": "Educational charts, diagrams, dictionary, and essays relating to flamenco theory and history.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://herso.freeservers.com/flamenco.html"} +{"d:Title": "Alegr\u00eda Espa\u00f1ola", "d:Description": "A school in Saskatoon that offers classical background in flamenco dance.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.angelfire.com/art2/flamenco/"} +{"d:Title": "Los Gitanos De La Luz Blanca", "d:Description": "Flamenco shows and dance instruction by Giselle, hosted by Moonlite Fantasy Productions.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://home.earthlink.net/~lunasandra/"} +{"d:Title": "Ana Montes Flamenco Danzarte", "d:Description": "A performer and teacher of flamenco dancing, located downtown Seattle, WA, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.anamontes.com/"} +{"d:Title": "Daniel de Cordoba Bailes Espanoles", "d:Description": "Features flamenco dance information, classes, and a calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.flamencodallas.com/"} +{"d:Title": "Fuensanta 'La Moneta'", "d:Description": "Young dancer from Granada. Biography, reviews, contact.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.galeon.com/fuensantalamoneta"} +{"d:Title": "Bianca Antonia", "d:Description": "Brief biography, flamenco pictures, dance wear information, chat, message board and links.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.biancaantonia.com/"} +{"d:Title": "Flamenco Buzz", "d:Description": "Boston-based flamenco aficionado, Mari Katsigianis presents a potpourri of flamenco announcements, review, photos and editorials. Includes her own writings and those contributed by other aficionados from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.flamencobuzz.net/"} +{"d:Title": "Yuka Hayashi Flamenco", "d:Description": "Performing and teaching traditional Flamenco in Japan. Venues include Tokyo, Osaka, and Yokohama.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://ven-paca.com/"} +{"d:Title": "Oscar Nieto", "d:Description": "Flamenco dance and cante performer, including class information, photographs, articles, and glossary.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www3.telus.net/OscarNieto/"} +{"d:Title": "Puela Lunaris", "d:Description": "Flamenco, belly dance, archetypal dance, modern dance from a Spanish-American dancer, researcher and lecturer.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.puelalunaris.com/"} +{"d:Title": "Las Flamencas", "d:Description": "A flamenco community and a research library for professional performers, presenters, students, and aficionados alike.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco", "url": "http://www.flamencotalk.com/"} +{"d:Title": "Bettina Castano Flamenco", "d:Description": "Information about her tour program, the musicians, photo gallery, products, courses and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.castano-flamenco.com/"} +{"d:Title": "Flamenco Vivo Carlota Santana", "d:Description": "Spanish dance company based in New York City with the goal of using flamenco music and dance to break cultural barriers. Our work includes frequent performances, tours, and special events, as well as adult flamenco classes, and an Arts-in-Education program.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.flamenco-vivo.org/"} +{"d:Title": "Arteflamenco", "d:Description": "A premier Flamenco dance group, giving instruction in Flamenco, Basque, and all latin dance. Arteflamenco is a registered non-profit flamenco dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://home.earthlink.net/~arteflamenco/"} +{"d:Title": "El Duende Flamenco Dance Co", "d:Description": "Offers flamenco dance classes for all levels and flamenco performances for all occasions.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.flamenco.com.au/"} +{"d:Title": "Viva Flamenco", "d:Description": "Traveling all over the world, a UK based flamenco and dance company that gives workshops in dance, guitar and singing, and can be hired.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.vivaflamenco.com/"} +{"d:Title": "Sol y Sombra", "d:Description": "Founded by Maria Loreta Celitan.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.solysombra.org/"} +{"d:Title": "Flamenco Express", "d:Description": "Touring british company.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.flamencoexpress.co.uk/"} +{"d:Title": "Danzas Espa\u00f1olas", "d:Description": "New York City based Spanish dance company dedicated to preserving and promoting traditional Spanish dance and music: flamenco, Escuela Bolera, neo classical and folk. Photos and performance information.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.danzasespanolas.com/"} +{"d:Title": "Flamenco Romantico", "d:Description": "Writings and photos by Federico \"Freddie\" Mejia and Marianna Baskin Gabriel Mejia. Includes time spent in Sevilla, Spain studying Flamenco guitar and dance, 1999 and 2000.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://flamencoromantico.com/"} +{"d:Title": "Centro Flamenco", "d:Description": "Vancouver, BC-based flamenco dance school under the direction of Rosario Ancer and Victor Kolstee; Events, classes, schedules, and fees.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.centroflamenco.com/"} +{"d:Title": "Arte Flamenco", "d:Description": "Natalia Monteleon's studio. General information, press, news, classes, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Flamenco/Companies", "url": "http://www.arteflamenco.us/"} +{"d:Title": "La Compagnie Folklorique Fanny Thibout", "d:Description": "Belgium. Traditional (18th and 19th century) folk dances, songs, games and music. Includes information about the dances and the costumes, and a list of events. [Dutch/English/French/Walloon].", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.cfft.be/"} +{"d:Title": "Mundo Etnico Foundation", "d:Description": "Dutch non-profit organization which promotes dance cultures from the South Pacific, by means of seminars, book publications and CDs with music of many Polynesian islands. [English/Dutch]", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.mundoetnico.nl/"} +{"d:Title": "Dansgl\u00e4dje", "d:Description": "Swedish traditional social dancing in the Stockholm region. Includes dance calendar, event reports, dance techniques, and dance descriptions with video clips. [English/Swedish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://dansglad.se/"} +{"d:Title": "Lloyd Shaw Foundation", "d:Description": "The foundation seeks to preserve and foster square dancing, contra dancing, round dances, mixers and quadrilles, folk dances of the American people.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.lloydshaw.org/"} +{"d:Title": "Lous Tchancayres", "d:Description": "Dance group from Mont de Marsan (Landes, France) that perpetuates the local traditional dances, both with and without stilts. Site contains background about the use of stilts in the area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://lous.tchancayres.free.fr/index-eng.html"} +{"d:Title": "Tal-Kin-Jeri Dance Group", "d:Description": "South Australian dance group researches and performs traditional dance and story of the Ngarrindjeri people by dance and totem.[May not work in all browsers].", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://members.tripod.com/tal_kin_jeri/"} +{"d:Title": "Northwest Folklife", "d:Description": "A multi-cultural arts organization dedicated to serving the ethnic, traditional, and folk arts communities of the Northwest US.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.nwfolklife.org/"} +{"d:Title": "Tapestry Folkdance Center", "d:Description": "Offers opportunities for the general public to experience the joys of dance and music throughout the world on the folk dance floor.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.tapestryfolkdance.org/"} +{"d:Title": "Dancing for Busy People", "d:Description": "Square dances, mixers, contra dances, line dances, books, articles and references for dance and recreation leaders, school teachers, college professors, church activities directors, and camp leaders.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.d4bp.com/"} +{"d:Title": "NEFFA LinkFest: Folk Dancing", "d:Description": "A directory of folk dancing pages", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.neffa.org/Top/Folk_Dancing/"} +{"d:Title": "The Dance Gypsy", "d:Description": "Calendar/newsletter for the New England dance community. Subscription information, a list of dances organized by type, state and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.thedancegypsy.com/"} +{"d:Title": "San Antonio Folk Dancers", "d:Description": "Non-profit organization in Texas. Contains basic information for interested people and some links to other folk dance related sites.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.sanantoniofolkdancers.org/"} +{"d:Title": "Nyfte", "d:Description": "National Youth Folklore Troupe of England. Members are aged between 10 and 18.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.nyfte.org.uk/"} +{"d:Title": "Dancing the Web", "d:Description": "Details of events and venues for folk dancing in Australia and Colorado, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://dancingtheweb.com/"} +{"d:Title": "Collage Dance Ensemble", "d:Description": "Professional company, based in USA, offering traditional choreographies and more modern interpretations of folk dance. Includes aims, history, details of the repertory, director, artistes and shows, press reviews and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.collageusa.org/"} +{"d:Title": "Volkdansvereniging NVS", "d:Description": "Society promoting English, Scottish, Irish and American dance activities at local and national level in the Netherlands. Includes profile, directory, events, photo-gallery, CD and teachers list, and membership information. [English/Dutch].", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.nvs-dance.nl/"} +{"d:Title": "Turun Kansantanssin Yst\u00e4v\u00e4t ry", "d:Description": "Brief details of the annual festival of the Turku Folklore Association in Finland.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.rytky.fi/"} +{"d:Title": "Ballet Folklorico Viva Panama", "d:Description": "Panamanian cultural, educational, and entertainment organization offering dance tours. Includes dancer biographies, past and future performances, reviews, articles on culture, image galleries, video clips and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.vivapanama.org/"} +{"d:Title": "Nordic Fiddles&Feet", "d:Description": "Annual week long Swedish and Norwegian music and dance dance festival Virginia, USA. Includes schedules, profiles of instructors, image galleries, and registration information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.nordicfiddlesandfeet.org/"} +{"d:Title": "Scandia D.C.", "d:Description": "Recreational and performance group promoting Danish, Finnish, Icelandic, Swedish and Norwegian music and dance in Washington D.C., USA. Includes meetings and events calendar, and repertoire.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://users.erols.com/s526/"} +{"d:Title": "Folklorama", "d:Description": "Annual two week multicultural extravaganza, held by the Folk Arts Council of Winnipeg.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.folklorama.ca/"} +{"d:Title": "Nusantara", "d:Description": "Performing arts company based in the UK, specialising in South East Asian dance, music, and drama styles, particularly Indonesia, Malaya, and Thailand, offering workshops and school programs.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.nusantara.co.uk/"} +{"d:Title": "Gruppo Folcloristico Agilla e Trasimeno", "d:Description": "Folk group in Perugia, Italy performing dances and songs from Italy. With pictures, videos and calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.agillaetrasimeno.it/index_en.php"} +{"d:Title": "Kababayang Pilipino", "d:Description": "Filipino cultural performing arts group in British Columbia, Canada. Includes profile, repertoire, audio and video clips, image gallery, news, events, booking information, forum and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://kababayangpilipino.org/"} +{"d:Title": "Eastbourne International Folkdance Festival", "d:Description": "UK. Annual festival offering recreational English and American country dance together with International workshops. Includes programme with list of performers and booking details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.eiff.org.uk/"} +{"d:Title": "Dancilla", "d:Description": "Database of folk dances from the world, containing videos, audio and information about dances. Search facility.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.dancilla.com/"} +{"d:Title": "Royal Days International Folk Dance Festival", "d:Description": "Held annually in Szekesfehervar, Hungary.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.kiralyinapok.hu/"} +{"d:Title": "Lotus Arts&Dance", "d:Description": "Multicultural dance association in New York, offering lessons, workshops and performances of traditional ethnic forms of dance and music.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://lotusmusicanddance.org/"} +{"d:Title": "Bolivia Mia", "d:Description": "Internationally recognised group of folkloric Latin performers who enjoy entertaining the public with Bolivian Culture in Sydney. With news and media.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.boliviamia.com.au/"} +{"d:Title": "Stoughton Norwegian Dancers", "d:Description": "Performing high school group in Wisconsin, United States. Includes profile, history, schedule of performances and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.stoughtonnorwegiandancers.net/"} +{"d:Title": "Northern California Spelmanslag", "d:Description": "Scandinavian music and dance organisation, with information on events, cassette tapes and tune transcriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.norcalspelmanslag.org/"} +{"d:Title": "Everest Nepal Cultural Group", "d:Description": "Aims to conserve and develop Nepalese cultural heritage. Includes profile and notes on authentic traditional dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://folkfestivals.org.np/"} +{"d:Title": "Tilleuls \u00e0 danser", "d:Description": "Trees for dancing, love and life. With a history of the concept and its symbolism, and a catalogue of European dance lime trees with pictures. [French / German / English / Dutch / Russian]", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.tilleuls-a-danser.eu/"} +{"d:Title": "DanceMasters", "d:Description": "Dance seminars and private dance instruction for beginner through intermediate levels. Highlighting types of dances offered and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.roncounts.com/"} +{"d:Title": "Les Panards Dansants", "d:Description": "French and Breton traditional music and dance in Leeds, UK. Includes lyric collection, information on dances and details of meetings, workshops, venues, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://frenchdanceleeds.wordpress.com/"} +{"d:Title": "Nordiska Folkdancers", "d:Description": "Scandinavian performance group from Seattle,USA. Includes history, photograph galleies of events and of regional costumes from Scandinavian countries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://nordiska.weebly.com/"} +{"d:Title": "Lahing Kayumanggi", "d:Description": "Cultural group based in London, UK, performing traditional folk dances and songs of the Philippines. Includes profile, information on regional dances and Philippines history, image galleries, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk", "url": "http://www.lahingkayumanggi.com/"} +{"d:Title": "Utamaduni Dance Troupe, Denmark", "d:Description": "An African performing troupe composed of Danish and Tanzanian artists who perform and teach African dance and drumming workshops all over Europe and produce a yearly summer dance camp.[Danish/English/Swaheli/Urdu].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.utamaduni.dk/"} +{"d:Title": "Muntu Dance Theatre of Chicago", "d:Description": "A Chicago-based company that performs authentic and progressive interpretations of contemporary and ancient African and African American dance, music and folklore.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.muntu.com/"} +{"d:Title": "Celebrate Africa", "d:Description": "Website of professional dancer, choreographer, and costume designer Charles Ahovissi, former member of the Ballet National of Benin, who is currently performing and teaching African dance in Omaha, Nebraska.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.ahovissi.com/"} +{"d:Title": "Alokli West African Dance Club", "d:Description": "A traditional West African dance-drumming club in California, USA, offering classes and performances in Anlo-Ewe and other West African music and dance styles from Ghana, Togo, Benin, and Nigeria.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.alokli.com/"} +{"d:Title": "Dimensions Dance Theater", "d:Description": "Presents an annual season of two downtown Oakland, CA concerts to promote and create and premiere work on African American themes, fostering knowledge and appreciation of African and African-derived dance forms.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.dimensionsdance.org/"} +{"d:Title": "Bi-Okoto Dance&Drum Theatre", "d:Description": "This Cincinnati, Ohio professional performing company boasts an eclectic repertoire of over ninety authentic traditional Nigerian dances, dance dramas and operas.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.bi-okoto.com/"} +{"d:Title": "Collective Of Black Artists", "d:Description": "COBA is a company dedicated to preserving the traditions in African music and dance. Includes biographies for the artists and mission statement.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.cobainc.com/"} +{"d:Title": "WestAfricanDance", "d:Description": "Yousouff Koumbassa, former dancer of the National Ballet of Guinea, teaches in New York and offers instructional videos. Site also features his biography, and information about the classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.westafricandance.com/"} +{"d:Title": "Africa Dance", "d:Description": "Ghanaian dancer Cynthia L\u00f8nborg performs African dances and entertainment in Denmark and Europe, with performance, drumming, workshops and entertainment. Site features classes information, pictures and event schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.africadance.dk/"} +{"d:Title": "Diamano Coura West African Dance Company", "d:Description": "Non-profit cultural organization dedicated to the preservation, education, and appreciation of traditional West African music, dance, theater, and culture. With biographies, reviews, and calendar of events and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.diamanocoura.org/"} +{"d:Title": "Batafon Arts", "d:Description": "Offers West African drumming and dance classes for schools and the community. Profile and information about workshops and trips to Guinea and The Gambia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.batafonarts.co.uk/"} +{"d:Title": "La Calebasse de Merlin Nyakam", "d:Description": "This dance company has offered african and afro-contemporary dance with its \u00abR\u00e9cr\u00e9ation Primitive\u00bb Ballet and its workshops, and master classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.lacalebasse.org/"} +{"d:Title": "Balafon West African Dance Ensemble", "d:Description": "Led by Kadiatou Conte, a native of the Republic of Guinea and formerly of the world renown Ballets National Djoliba, they are one of Washington D.C.'s premiere contemporary West African dance and music ensembles offering performances, classes and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.angelfire.com/on/balafon/"} +{"d:Title": "Azaguno", "d:Description": "Multi-ethnic drumming and dance ensemble focusing on research, preservation, education and performance of traditional African and African derived music and dance, based in Ohio, USA. Includes history, directors biographies, repertoire, programs, performance schedule, publications, image galleries and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.azaguno.com/"} +{"d:Title": "Odehe Drum and Dance Ghana", "d:Description": "School and performing group based in Accra, Ghana. Includes profile of founder, details of workshops, accommodation and registration at the centre, and information on the ensembles tours and projects with image galleries, and contact details. [English/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.odehe.com/"} +{"d:Title": "Compagnie Danse Nyata Nyata", "d:Description": "School of contemporary dance, Canada. Includes biography of Zab Maboungou, repertoire, calendar, reviews, class schedules events, publications, studio rental and contact details. [English/French].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.nyatanyata.org/"} +{"d:Title": "Bangoura", "d:Description": "Brief details of dance and drum company from Israel. [English/Hebrew].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.bangoura.co.il/"} +{"d:Title": "African Dance Ensemble (ADE)", "d:Description": "Cultural group offering performance, workshops, residencies, and lectures in dance and music based in Toronto, Canada. Includes profile, repertoire, members biographies, events calendar, and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.afridance.com/"} +{"d:Title": "Jamaica Center for Arts and Learning", "d:Description": "JCAL is located in southeast Queens, NY, and features classes in West African Dance for adults and Afro-Caribbean Dance for adults and kids.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.jcal.org/"} +{"d:Title": "Danceafreaka", "d:Description": "African dance company based in the UK who provide African dance: Performances, workout classes, workshops and DVDs.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://danceafreaka.com/"} +{"d:Title": "Learn to dance Kizomba with Benjamim Nande", "d:Description": "Revolutionary method to learn the sensual Kizomba dance. A step by step method makes it easy and fast to learn basic and advanced kizomba steps.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.kizombadance.com/"} +{"d:Title": "Ayigafrik Dance", "d:Description": "Traditional and contemporary company directed by Assayigah, based in Essex, UK. Includes biography, repertoire, reviews, and upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.ayigafrikdance.com/"} +{"d:Title": "Jeh Kulu Dance and Drum Theater", "d:Description": "Jeh Kulu is a multi-cultural performance and educatinoal ensemble specializing in music and dance from Guinea, Senegal and Mali. The company is located in Burlington Vermont, and offers classes and shows.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.jehkulu.org/"} +{"d:Title": "Delou Africa, Inc.", "d:Description": "Experience true joy of African dance by Delou's instructors who guide you through West African dances and drumming. With class schedule, calendar of events and contact information. Offers classes in djembe, djun djun and balafon.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://delouafrica.com/"} +{"d:Title": "Kamutshima", "d:Description": "African performing arts group, offering dance and drumming classes in order to spread African culture.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://kamutshima.blogspot.com/"} +{"d:Title": "Uhuru Dancers", "d:Description": "Traditional African dance group in Atlanta, GA. Provides information, pictures and schedule of the ongoing weekly classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.uhurudancers.com/"} +{"d:Title": "Explorations in Afro-Cuban Dance&Drum - Humboldt State University", "d:Description": "Celebrating Afro-Cuban folkloric music, songs and dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/African", "url": "http://www.humboldt.edu/afrocuban/"} +{"d:Title": "Barekamutyun", "d:Description": "Armenian state dance troupe. With information on their art director, Norayr Mehrabyan, repertoire, and a list of projects.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://barekamutyun.am/"} +{"d:Title": "van Geel, Tineke", "d:Description": "Instructor of Armenian, Dutch and international folk dance, based in the Netherlands. Includes profile, information on folk music CDs, and past and future dance tours, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://www.tinekevangeel.nl/"} +{"d:Title": "Sayat Nova Dance Company of Boston", "d:Description": "Includes information about rehearsals, bookings and donations, the resume of the director, calendar of events and a gallery of pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://www.sayatnova.com/"} +{"d:Title": "Antranig Dance Ensemble", "d:Description": "Performs traditional dances in NY metropolitan area. Features events, dancers and information about lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://www.antranig.org/"} +{"d:Title": "Tom Bozigian", "d:Description": "Specialist in Armenian dance, music, choreography and ethnography based in California, USA, offers dance classes, workshops, lectures and live music. Includes profile, class and event, schedules, information on orchestras, merchandise, video clips, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://www.bozigian.com/"} +{"d:Title": "Hamazkayin Ani Dance Company", "d:Description": "Armenian traditional folk dance group from LA. See schedule of performances, pictures, and group history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://www.anidancecompany.org/"} +{"d:Title": "The:Dance : Armenian Troupe", "d:Description": "New York Times review of the Navasart Armenian Folk Ensemble summarising the dance styles.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://www.nytimes.com/1986/03/29/arts/the-dance-armenian-troupe.html?partner=rssnyt&emc=rss"} +{"d:Title": "Haig's Hye", "d:Description": "Offers dance video clips as well as images of Armenian events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Armenian", "url": "http://reocities.com/Heartland/river/3442/"} +{"d:Title": "Duguna", "d:Description": "Brief details of this group from Pamplona, Nafarroa, including historical image gallery. [Basque/English/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.duguna.org/"} +{"d:Title": "Bizkaiko Dantzak", "d:Description": "Extensive resource for the folk dances of Bizkaia. Features information, articles, videos, photos, music, graphics and costumes.[May not work in all browsers].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.dantzak.com/"} +{"d:Title": "Ibarrakaldu Association", "d:Description": "Performance group promoting the traditions of Bizkaia, Gipuzkoa, Iparralde, Naffaroa and Tilled. Includes profile, image gallery, and contact information. [Basque/English/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://ibarrakaldu.webcindario.com/"} +{"d:Title": "Erketz", "d:Description": "Cultural group from Gipuzkoa. Includes details of the dance school, the adult group, their festivals, musicians, wedding fees and image galleries. [Basque/English/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.erketz.com/"} +{"d:Title": "Field Notes", "d:Description": "Photographic journal of fieldwork in Basque dance covering the Korpus Eguna dancers and various festivals in Gipuzkoa, Bizkaia, Lapurdi and Nafarroa. [English/Basque].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.dantzariak.net/fieldnotes/"} +{"d:Title": "Bizkai Euskal Folklor Elkartea", "d:Description": "Institute for research, conservation, and promotion of traditional dance. Includes objectives, list of projects, profile of the director, contact details and video clips of dances. [Basque/English/Spanish]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.bizkai-elkartea.net/"} +{"d:Title": "Zenbat Gara", "d:Description": "Dance ensemble of the Center for Basque Studies of the University of Nevada, Reno. Includes profile, activities and details of past performances with slide show and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.dantzariak.net/"} +{"d:Title": "Ereberentzia", "d:Description": "Performance group based in Paris, France. Includes profile, repertoire, past performances and contact details. [French/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.dansebasque.com/"} +{"d:Title": "Buber's Basque Page: Folklore and Traditions: Traditional Dances", "d:Description": "Brief overview of Basque folk dance traditions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.buber.net/Basque/Folklore/folk2.html"} +{"d:Title": "Andra Mari", "d:Description": "Cultural group promoting the traditions of Bizkaia, Gipuzkoa, Naffaroa and Zuberoa through performances and education. Includes history, events calendar, information on their dances, sources, and music. [Basque/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Basque", "url": "http://www.andramaridantzataldea.net/"} +{"d:Title": "Bavarian Schuhplattlers of Edmonton", "d:Description": "A group dedicated to preserving the traditions of Bavarian folk-dancing and costume in Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Bavarian", "url": "http://www.schuhplattler.edmonton.ab.ca/"} +{"d:Title": "Donauschw\u00e4bische Dance Groups", "d:Description": "This website is devoted to promoting and perpetuating the story of the Danube-Swabian people so that future generations can take pride in their heritage and pass it on to their children", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Bavarian", "url": "http://www.donau.org/"} +{"d:Title": "S.G. Edelweiss St. Paul", "d:Description": "(St. Paul, Minnesota) Bavarian/Austrian folk dance club. Features the history, and events for the group, including contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Bavarian", "url": "http://www.sgedelweiss.org/"} +{"d:Title": "Texanischer Schuhplattler Verein", "d:Description": "(Dallas, Texas) Non-profit German folkdancing and schuhplattler club. With a calendar of events, pictures and repertoire of the group.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Bavarian", "url": "http://www.tsvallgau.org/"} +{"d:Title": "Austrian Folk Dancing - Wikipedia", "d:Description": "Overview including types of dances, details of festivals, musical instruments and clothing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Bavarian", "url": "http://en.wikipedia.org/wiki/Austrian_folk_dancing"} +{"d:Title": "Alpent\u00e4nzer Schuhplattler", "d:Description": "A non-profit organization of Sacramento dedicated to the preservation of Bavarian and Austrian folk dances, costumes (tracht) and culture.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Bavarian", "url": "http://www.alpentanzer.com/"} +{"d:Title": "Llandovery Folk Dancing", "d:Description": "Informal group of like-minded people who come together simply to enjoy themselves folk dancing. Dancing is usually of a British origin (Welsh, Irish, English, or Scottish) with a variation of any of these on occasions, for example: Cornish or Manx. Site is in English and Welsh.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic", "url": "http://www.llandoveryfolkdancing.co.uk/"} +{"d:Title": "Oscail an Doras", "d:Description": "Site about Irish dancing designed to help the beginner dancer and to also promote Irish dancing community.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.angelfire.com/ri/irishdance/"} +{"d:Title": "List of Feiseanna", "d:Description": "Index of Online Feis Syllabus courtesy of Feis Productions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.feisinfo.com/feis/"} +{"d:Title": "Feis Productions", "d:Description": "Find information on Irish Dancing, competitions, and schools. Includes classified ad section. Find feis related services and information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.feisinfo.com/"} +{"d:Title": "Irish Dancing Magazine On-line", "d:Description": "Order information and pricing, current and back issue details, an email link to send in Irish dancing information, photos, links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.irishdancing.com/"} +{"d:Title": "Lord of the Dance", "d:Description": "The official website for Michael Flatley's highly successful dance show.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.lordofthedance.com/"} +{"d:Title": "Celtic Cafe", "d:Description": "For fans of Celtic-inspired Dance and Music.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.celticcafe.com/"} +{"d:Title": "Daisy's Irish Dance Homepage", "d:Description": "A Riverdance related site with a theme on Irish dancing: basic information on Riverdance along with Lord of the dance, Trinity Irish Company, Links, Trivia, and interaction facilities.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.angelfire.com/ny/RDfan/index.html"} +{"d:Title": "Rhythm of the Dance", "d:Description": "The National Dance Company of Ireland presents the spectacular Irish Dance and Musical Stage Show.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.rhythmofthedance.com/"} +{"d:Title": "Irish Ceili&Country Dances", "d:Description": "Detailed descriptions of several popular ceili dances done at feisanna and ceilis.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.angelfire.com/on2/ceili/"} +{"d:Title": "Indianapolis Feis", "d:Description": "Information on Feis and Registration form.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.angelfire.com/in/pikecrow202/IndyFeis.html"} +{"d:Title": "Cape Breton Step Dance", "d:Description": "Lists classes and opportunities to see Cape Breton in the Portland area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.angelfire.com/or2/capebreton/index.html"} +{"d:Title": "Brooks Academy - Set-dance club", "d:Description": "Information on Irish traditional dance. Includes \"The Dance Journal,\" on online journal of dance in Ireland, and an archive section with historical material relating to dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.setdance.com/"} +{"d:Title": "Nordic Society of Irish Dancers", "d:Description": "A society of people that dance Irish dance in the Nordic countries. Membership information, forum, statistics.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.nsid.org/"} +{"d:Title": "Pride of Erin Ceili Dancers", "d:Description": "Irish figure and set dancing for adults in San Diego. No experience necessary.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.pride-of-erin.com/"} +{"d:Title": "Set Dancing News", "d:Description": "All about Irish set dancing, news and reviews, multimedia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.setdancingnews.net/"} +{"d:Title": "The History of Irish Dance", "d:Description": "Traditional Irish dance history. Illustrated.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.irelandseye.com/dance.html"} +{"d:Title": "Irish Dance Through the Eyes of a Fan", "d:Description": "Opinions, thoughts, personal history and photos offered by a Utah dance devotee, along with links to her dress designs and other resources.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.angelfire.com/ut/EireLibra/"} +{"d:Title": "I Dance Irish", "d:Description": "Booking information for \"Celtic Storm\" performances, access to a study guide for adults preparing for the teaching or adjudicating exam, and an interactive section for kids, with fitness and dressing tips.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.idanceirish.com/"} +{"d:Title": "Irish Dancing in Germany - Irisches Tanzen in Deutschand", "d:Description": "Information about opportunities to see and learn Irish Dancing. Includes an index of teachers and trainers, and the option to add your own events or workshops to the site.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.irish-dancing.de/"} +{"d:Title": "The Emerald Dancers", "d:Description": "Munich based Irish dancing group open to all ages and nationalities. With information about courses, events, and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.emerald-dancers.de/"} +{"d:Title": "Irish Dance Shoe Buyers Guide", "d:Description": "Tips on selecting, fitting and buying Irish dancing shoes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.angelfire.com/nt/irishdancingshoes/"} +{"d:Title": "Cumann Rince Dea Mheasa (CRDM)", "d:Description": "Home for this Irish Dance organization, with institutional information, history, news and feis dates.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.crdm.ie/"} +{"d:Title": "St. Catharines Feis", "d:Description": "Irish dancing competition based in Ontario, Canada. Includes syllabus download, map and dircetions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.stcatharinesfeis.com/"} +{"d:Title": "Irish Dance Workshops", "d:Description": "Irish dance workshops in the south of France with former leads from Riverdance. In English and French.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.irishdance13.com/"} +{"d:Title": "Elevation Design", "d:Description": "Irish Dancing costumes/dresses made in Belfast, Ireland.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.elevationdesign.ie/"} +{"d:Title": "An Oral History of Irish Dance in Arizona", "d:Description": "Summary of 35 plus interviews of Arizonan Irish dancers from the 1950s through 2003.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://webspace.webring.com/people/fe/elzia/"} +{"d:Title": "Irish Dance Works", "d:Description": "Info on Irish dance workshops including the Annual Spraoi Irish Dance Camp held each summer in Dublin, Ireland.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.irishdanceworks.com/"} +{"d:Title": "Damhsoir", "d:Description": "E-zine dedicated to adult Irish dancers. With articles on clothing, fitness and pains.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://damhsoirdotcom.blogspot.com/"} +{"d:Title": "FeisWorx", "d:Description": "Information about schools, vendors, and Irish Feisanna. Also offers Celtic fonts and midi files to download.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish", "url": "http://www.feisworx.com/"} +{"d:Title": "Claddagh Dance Company", "d:Description": "Offering reels, jigs and set dancing classes. Has information on class schedule, shows, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.claddaghdance.com/"} +{"d:Title": "Celtic Feet Irish Dance Company", "d:Description": "Includes information on the Marion Turley Academy of Irish Dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.celticfeet.co.uk/"} +{"d:Title": "Lincoln Irish Dancers", "d:Description": "Performing Irish dance group in Lincoln, Nebraska whose mission is to promote traditional Irish dance and culture. Main emphasis is ceili dancing but include some step dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://irishdance.inetnebr.com/"} +{"d:Title": "Tallahassee Irish Step-Dancers", "d:Description": "Information about instructors, dancers, classes, repertoire, upcoming performances, and Irish dance history, as well as action photos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.math.fsu.edu/~mesterto/TISD/irish.html"} +{"d:Title": "Celtic Rhythm Dancers", "d:Description": "Professional Irish dance show, specialising in wedding entertainment and corporate Irish dance shows.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.celticrhythmdancers.com/"} +{"d:Title": "Mystic Dancers", "d:Description": "Irish stepduo. With bios, news, pictures and a history of Irish dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.mysticdancers.de/"} +{"d:Title": "Green Steps", "d:Description": "Irish dance group from Denmark. With information about projects, gigs, pictures and bios of the dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.greensteps.dk/"} +{"d:Title": "JigIt", "d:Description": "Irish dance group from Finland. Includes information about gigs and projects, pictures and biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.elisanet.fi/jigit/"} +{"d:Title": "Dara Irish Dance Troupe", "d:Description": "Performance group in Calgary, Alberta, Canada. Contains bios, upcoming shows, image gallery, and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Companies", "url": "http://www.daradancers.com/"} +{"d:Title": "Darren Smith Online", "d:Description": "News and information about Darren's professional Irish dance career and an insight into his life. Photos, videos, and reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Dancers", "url": "http://www.darrensmith.com/"} +{"d:Title": "Colin Dunne", "d:Description": "Professional Irish dancer, best known for his performance in Riverdance. With bio, press coverage, news and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Dancers", "url": "http://www.colindunne.com/"} +{"d:Title": "MichaelFlatley.com", "d:Description": "Official website of Michael Flatley's new show: Celtic Tiger. With press coverage and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Dancers", "url": "http://www.michaelflatley.com/"} +{"d:Title": "Elveesh School of Irish Dance", "d:Description": "Classes in and around Zurich, Switzerland for beginning to advanced dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools", "url": "http://www.elveesh.ch/"} +{"d:Title": "Roberts Academy of Irish Dancing", "d:Description": "Located in Adelaide, South Australia, the school is led by Andrew Roberts, who teaches children ages 5 to 20 at all levels of experience. Site includes feis results, class info and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools", "url": "http://www.robertsacademy.com/"} +{"d:Title": "Kilkenny School of Irish Dancing", "d:Description": "Traditional step, set and sean-nos classes in Den Haag and Driebergen/Zeist, Holland, and Duisburg, Germany. Private lessons also available.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools", "url": "http://www.kilkennyontap.nl/"} +{"d:Title": "O'connor Barton Irish Dance", "d:Description": "Irish dance classes and dresses. Kathryn O'Connor-Barton, TCRG, ADCRG is a qualified Irish dance teacher and adjudicator of irish dance in Hong Kong.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools", "url": "http://oconnor-bartonid.com/"} +{"d:Title": "Nora Pickett Irish Dance Academy", "d:Description": "Instruction in traditional and modern steps for ages three through adult in North Vancouver and Richmond, BC, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.eireborn.net/"} +{"d:Title": "McDonnell School of Irish Dance", "d:Description": "The School teaches traditional Irish step dancing to children and adults in the city of Winnipeg, Manitoba, Canada. Performs throughout the year at concerts and festivals.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.mcdonnellirishdance.com/"} +{"d:Title": "McConnell School of Dance", "d:Description": "Open to ages three through adult, classes in Manitoba explore traditional and modern Irish styles. School history and registration information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.mcconnelldancers.com/"} +{"d:Title": "The Bhriomhar School of Irish Dance", "d:Description": "Professional Irish dance instruction in Okotoks, Lethbridge and Calgary, Alberta. Classes taught by former Western Canadian Champions and international-level competitors.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.bhriomhar.com/"} +{"d:Title": "Irwin School of Irish Dancing", "d:Description": "Classes and performance group in Calgary, Alberta, Canada. Includes profile, and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.irwinirishdancing.com/"} +{"d:Title": "Butler, Fearon, O'Connor School of Irish Dance", "d:Description": "Instruction in traditional, competitive dancing in Toronto, Canada, as well as in California's Los Angeles and San Francisco areas. Teacher biographies, class information and instruction philosophy.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.fearon-oconnor.com/"} +{"d:Title": "Sionnaine Irish Dance Academy", "d:Description": "Lessons and classes in Vancouver, Maple Ridge and Abbotsford, British Columbia, under the direction of Michelle Kilby, TCRG. Site includes instructor bios, class schedule and feis information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.sionnaine-academy.com/"} +{"d:Title": "Scoil Rince DeDanaan", "d:Description": "Under the direction of Leslie Wilson TCRG, the school offers music, story telling and dance classes in Burnaby and Abbotsford, B.C. for beginning to advanced dancers of all ages.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.dedanaan.ca/"} +{"d:Title": "MJP Academy of Irish Dance", "d:Description": "Competitive and recreational Irish dance classes for ages four through adult in Calgary, High River and Strathmore, Alberta, Canada. Site includes tips on practicing, performances and feiseanna.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.mjpacademy.com/"} +{"d:Title": "Central's Newfoundland Irish Dance School", "d:Description": "Located in Grand Falls-Windsor, Canada, Celtic Spirit Dancers teach and perform Irish dance in the area. Check out schedules, registration materials, pictures and current events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.celticspiritdancers.com/"} +{"d:Title": "Possak School of Irish Dancing", "d:Description": "Offers beginner to adult classes, and preparation for participation in feiseanna (competitions) at regional and international levels. Includes news, photo gallery and registration details. Calgary, Alberta.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.possakirishdancing.com/"} +{"d:Title": "The Casey Academy of Irish Dance", "d:Description": "Calgary-area classes geared toward competition and performance as well as recreation. Site includes schedule, instructor bios and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.caseyacademy.com/"} +{"d:Title": "Knock School of Irish Dance", "d:Description": "Irish classes in and around Edmonton, Alberta since 1996.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Canada", "url": "http://www.knockirishdance.com/"} +{"d:Title": "The Dominic Graham School of Irish Dance", "d:Description": "Founded in Ballycastle in 1979, the school offers lessons geared toward performance and competition in three locales: Portrush, Coleraine and Newcastle.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Ireland", "url": "http://www.dominicgrahamschool.com/"} +{"d:Title": "Jennifer Brady's School of Irish Dancing", "d:Description": "Based in Counties Dublin and Cavan, Scoil Rince R\u00e9anna offers TCRG-certified instruction for all ages.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/Ireland", "url": "http://www.schoolofirishdancing.com/"} +{"d:Title": "Haughey McAuley Academy of Irish Dancing", "d:Description": "Solo and team classes for beginning to advanced students in Penicuik. Site includes photos, schedule, feis information and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_Kingdom", "url": "http://www.haugheymcauley.com/"} +{"d:Title": "Maguire O'Shea Academy", "d:Description": "London-based Irish dance school led by Kathleen and Michael Maguire. Photo gallery and chat links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_Kingdom", "url": "http://www.maguireoshea.net/"} +{"d:Title": "Sean Eireann McMahon School of Irish Dancing", "d:Description": "Offers classes in Bristol, Worcester, Gloucester and Milton Keynes, from beginner to championships. With feis listings and results.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_Kingdom", "url": "http://www.semirishdancing.com/"} +{"d:Title": "Jeffey-McFadden Academy of Irish Dancing", "d:Description": "Classes in Bishopbriggs and Bothwell, Scotland. Students, who range in age from three through adult, learn both solo and team steps.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_Kingdom", "url": "http://www.jeffrey-mcfadden.co.uk/"} +{"d:Title": "Burke School of Irish Dance", "d:Description": "Classes in Youngstown and Cleveland, Ohio and Pittsburgh, Pennsylvania. Listing of items for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://burkeirishdance.com/"} +{"d:Title": "Drake School of Irish Dance", "d:Description": "Classes for adults and children in Georgia, Florida, North Carolina and Alabama.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.drakedance.com/"} +{"d:Title": "Heritage Irish Stepdancers", "d:Description": "This school, under the direction of Molly Bennett, teaches step- and ceili-dancing in Denver, Colorado.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.heritageirish.com/"} +{"d:Title": "Lynn Academy of Irish Dance", "d:Description": "Dance lessons for adults and children in Connecticut, New York and New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.lynnacademy.com/"} +{"d:Title": "Nashville Irish Step Dancers", "d:Description": "Founded in 1990, the school offers lessons for all ages and abilities, and for competition and stage.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.nashvilleirishstepdancers.com/"} +{"d:Title": "O'Rourke Academy of Irish Dance", "d:Description": "Classes in White Plains and Scarsdale, New York, for children and adults. Information on purchase and care of costumes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.orourkeirishdancers.com/"} +{"d:Title": "Petri School of Irish Dancing", "d:Description": "Founded in 1991, the school offers classes in Queens and Long Island, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://petrischool.com/"} +{"d:Title": "Rinceoiri don Spraoi", "d:Description": "The school, whose name means \"dancing for fun,\" teaches Irish step dance classes in Salt Lake City, Utah.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.irishdanceutah.com/"} +{"d:Title": "Celtic Gold Academy of Irish Dance", "d:Description": "Classes in Los Angeles, Orange and Riverside Counties in California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.celticgold.com/"} +{"d:Title": "Claddagh Dance Company", "d:Description": "Located in California's Ventura, San Luis Obispo, Santa Barbara and Costa Mesa areas, the school offers classes for dancers age four to adult.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.claddaghdance.com/"} +{"d:Title": "Tir Na Greine School of Irish Dance", "d:Description": "Classes for all ages and abilities in various Florida locations: Melbourne, Ocala, Orland and West Palm Beach.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.goirishdancing.com/"} +{"d:Title": "McNulty Irish Dancers", "d:Description": "With an enrollment of hundreds of adult and child dancers, the school offers classes in Chicago and Dubuque, Iowa.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.mcnultyirishdancers.com/"} +{"d:Title": "O'Donovan School of Irish Dance", "d:Description": "Classes in the Little Rock, Arkansas area, taught by county Cork native Mary Rose O'Donovan.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.odonovandance.com/"} +{"d:Title": "Celtic Academy of Irish Dance", "d:Description": "Under the direction of Mary Laffey, Kathleen Dwyer and Laureen Laffey-Yingst, the school offers classes in Dayton, Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.celticacademy.com/"} +{"d:Title": "O'Neill-James School of Irish Dancing", "d:Description": "Founded in 1969, the school offers solo, set, figure and ceili classes in the Washington, DC area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.oneilljamesschool.com/"} +{"d:Title": "Murray School of Irish Dancing", "d:Description": "Classes for children and adults in the Portland, Oregon and southwest Washington area, under the direction of Geraldine Murray.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.murrayirishdance.com/"} +{"d:Title": "Flying Irish Studio", "d:Description": "Established in 1998, the school trains students in traditional and modern styles. Classes offered in north-central Massachusetts and New Hampshire's Monadnock region.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.irishstepdancing.com/"} +{"d:Title": "Walsh Kelley School of Irish Dancing", "d:Description": "County Cork native \u00c1ine Walsh Kelley teaches classes in Charlotte and Greensboro, NC, to prepare dancers both for competition and performance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.walshkelleyschool.com/"} +{"d:Title": "Broesler School of Irish Dance", "d:Description": "Kevin Broesler has been active in teaching since 1986, and offers classes in Maryland, New York and New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.broesler.com/"} +{"d:Title": "McBride School of Irish Dancing", "d:Description": "Classes taught by Ann McBride and Eileen McBride-Parker in California's Bay Area and Portland, Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.mcbrideirishdancers.com/"} +{"d:Title": "O'Shea-Chaplin Academy of Irish Dance", "d:Description": "Led by Galway native Rita O'Shea-Chaplin, the school offers classes for adults and children in a variety of Massachusetts locations, including Allston, Arlington, Belmont, Beverly, Billerica, Burlington, Framingham, Harvard, Lawrence, Lowell, Malden, North Attleboro, Norwood, Quincy, Watertown and Winchester.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.bostonirishdance.com/"} +{"d:Title": "McTeggart Irish Dancers of Oklahoma", "d:Description": "Accredited school with classes in Oklahoma City and Edmond. Class schedule, policies and listing of dance items for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.mcteggartokc.org/"} +{"d:Title": "Schade Academy of Irish Dancing", "d:Description": "With classes in Long Island, New York and Livingston, New Jersey, the school teaches traditional and contemporary styles at a competitive level.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.schadeacademy.com/"} +{"d:Title": "Butler Academy of Irish Dance", "d:Description": "Based in Syracuse and led by former champion dancer Patrick Butler, the school also offers classes in other New York cities: Syracuse, Rochester, Baldwinsville, Lafayette, Marcellus, Wilkes Barre, Fulton and Rome.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.butlerdancers.com/"} +{"d:Title": "Rince na Chroi School of Irish Dance", "d:Description": "With information about the instructors, classes and performances. Located in St. Paul, MN.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.rincenachroi.com/"} +{"d:Title": "Tony Comerford School of Dance", "d:Description": "Beginner to championship classes in Portland, Oregon, Seattle and Olympia, Washington and Southern California for ages four through adult.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.tonycomerford.com/"} +{"d:Title": "McElligott School of Irish Dance", "d:Description": "Classes, led by director Heather McElligott Sparks, in and around Phoenix, Arizona for all ages and levels.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.mcelligottdance.com/"} +{"d:Title": "Shelley School of Irish Dance", "d:Description": "Classes focus on technique for competition and performance, as well as on enjoyment. Class schedules and fees, private discussion forum and directions to their location in Cedar Hills, Utah.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.shelleyirishdance.com/"} +{"d:Title": "Bell School of Irish Dance", "d:Description": "Instruction for ages five through adult in the Pittsburgh area. Information for students about classes, competitions and costumes, and online shopping links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.bellschool.com/"} +{"d:Title": "Inishfree School of Irish Dancing", "d:Description": "Instruction for children as young as four in several New York locations, including the Bronx and Long Island. Information about upcoming competitions, schedules, directions and a message board.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.inishfreeny.com/"} +{"d:Title": "Ridgewood Irish Dance", "d:Description": "Non-competitive Irish and International dance instruction from beginner to adult, in New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.ridgewoodirishdance.com/"} +{"d:Title": "McArdle Schools of Irish Dance", "d:Description": "Instruction for ages four through adult in traditional and contemporary forms, with a focus on technique. Classes offered in northwest Connecticut (Avon, Granby, and Torrington).", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.mcardleschools.com/"} +{"d:Title": "Cleary School of Irish Dance", "d:Description": "Founded in 1988 by Margaret Cleary, and sponsor of the St. Ambrose Feis in Los Angeles. Offers classes for adults and children in North Hollywood and Pasadena, California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.clearyirishdance.com/"} +{"d:Title": "Duffy School of Irish Dance", "d:Description": "Instruction for adults and children in Derwood and Gaithersburg, Maryland, leading to participation in competitions and performances. Site includes calendar, photos and contact info.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.duffyirishdance.com/"} +{"d:Title": "Bracken School of Irish Dance", "d:Description": "Classes for children and adults in Tucson and Phoenix, Arizona. With time tables and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.brackenirishdance.com/"} +{"d:Title": "Jennifer Lynn School of Irish Dance", "d:Description": "Classes from September to June in Union, New Jersey, preparing students to perform in area events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.freewebs.com/jlynnschool/"} +{"d:Title": "Scoil Rince Slieveloughane", "d:Description": "A Washington State Irish dance school with classes in Edmonds, Monroe, Seattle, Kirkland, North Bend, Kent, Vashon Island, Tacoma and Olympia. Biographies of the accredited teachers, photos and enrollment information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.srsirishdance.com/"} +{"d:Title": "Teelin School of Irish Dance", "d:Description": "Taught by Maureen Berry, classes are offered in Bel Air, Ellicott City, Gaithersburg, Glen Burnie and Roland Park, Maryland, for all ages and levels.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.teelin.com/"} +{"d:Title": "Glencastle Irish Dancers", "d:Description": "Named after a small town in County Mayo, this school offers instruction for children and adults in Milwaukee, WI. Web site includes info on dresses for sale, events, classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.glencastleirishdancers.com/"} +{"d:Title": "Breffni Academy of Irish Dance", "d:Description": "With locations in Miami and Pembroke Pines, Florida, the school offers beginning through championship classes. Site includes photos, costume and competition information, and staff bios.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.breffniacademy.com/"} +{"d:Title": "Cashel Dennehy School of Irish Dance", "d:Description": "Located in Milwaukee, Wisconsin with branches in Madison and Door County, this accredited school accepts students of all ability levels.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.casheldennehy.org/"} +{"d:Title": "Niall O'Leary School of Irish Dance", "d:Description": "Classes in New York (Staten Island, Manhattan and Queens), Florida (Panama City, Pensacola, Tallahassee), and New Jersey. Instruction in solo, ceili and dance drama for all competitive levels.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://nialloleary.com/school/"} +{"d:Title": "Rince Na Grada School of Irish Dance", "d:Description": "Classes in Grosse Pointe, Michigan, are available for all ages. Students do not compete in feiseanna, but perform in shows, parades and recitals.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://sites.google.com/site/rincenagrada/"} +{"d:Title": "Whelan-Kennelly Academy", "d:Description": "Classes for children and adults in San Francisco, Sacramento, Los Gatos and San Bruno. Tips on preparing for competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.whelan-kennelly.com/"} +{"d:Title": "Harp Irish Dance Company", "d:Description": "Traditional and contemporary Irish Step Dance instruction in Utah County, Utah. Performing and competitive opportunities.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.harpirishdance.com/"} +{"d:Title": "Trinity Academy of Irish Dance", "d:Description": "Beginning to championship classes for ages four and up in the greater Chicago, Illinois and Milwaukee, Wisconsin areas. Site features information about instructors and teaching approach, and an online store.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.trinityirishdance.com/"} +{"d:Title": "Muggivan School of Irish Dance", "d:Description": "Instruction for ages three and older in New Orleans, Mandeville and Baton Rouge, Louisiana. Site includes teacher bio, photos, information on beginning through advanced classes, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://muggivanirishdance.com/"} +{"d:Title": "Maple Academy of Irish Dance", "d:Description": "Classes taught by Bill Maple and Marnie O'Callaghan-Maple in Maryland, Virginia and West Virginia. Current class schedule and registration form.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://maple-academy.squarespace.com/"} +{"d:Title": "Ryan School of Irish Dance", "d:Description": "Located in Metairie, the school teaches beginning to advanced students, and also sponsors Feis New Orleans and the Louisiana State Championships.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.irishdancelouisiana.com/"} +{"d:Title": "Inishfree Dallas School of Irish Dance", "d:Description": "Serves the greater Dallas, Texas area, with classes in traditional solo, set and figure dancing for adults and children.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://inishfreedallas.com/"} +{"d:Title": "The Gray School of Irish Dance", "d:Description": "Classes for beginning to advanced dancers in Danbury, East Lyme and Newtown, Connecticut.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Irish/Schools/United_States", "url": "http://www.thegrayschool.com/"} +{"d:Title": "Caledonian Club", "d:Description": "Prague Scottish Country Dancers. Features contact information and event and class calendar. Also includes general information about Scottish country dancing and dance instructions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.caledonianclub.eu/"} +{"d:Title": "Grand Chain", "d:Description": "Edinburgh Scottish dance resource including music, hints and tips, events, groups and bands.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.scottishdance.net/"} +{"d:Title": "Alberta Highland Dancing Association", "d:Description": "Offers competition calendar and entry forms.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.ahda.ab.ca/"} +{"d:Title": "Scotia Dance and Music Publishers", "d:Description": "Promotes education in Scottish dance and music forms; offers guidance for new composers and dance devisors.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.scotia-dance.org/"} +{"d:Title": "Kansas City Scottish Country Dancers", "d:Description": "Information on dance lessons and instruction, workshops, classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.kcscottishdancers.com/"} +{"d:Title": "Ottawa Highland Dancing Association", "d:Description": "Highland dancing in the Ottawa area. Features competition dates and results, information about classes, teachers and scholarships.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.ohda.ca/"} +{"d:Title": "The Inter-city Scot", "d:Description": "An information source about Scottish country dancing activities in Canada and the United States.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.intercityscot.org/"} +{"d:Title": "Ceilidh Club", "d:Description": "Scottish country dancing in London and Edinburgh. Throws balls and events with 3 hours of full on ceilidh dancing with all the steps explained.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.ceilidhclub.com/"} +{"d:Title": "Sue's Scottish Country Dances", "d:Description": "Features instructions for the dances created by Sue McKinell, in Chicago.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://suedan.com/dances/"} +{"d:Title": "UK Universities Scottish Country Dances", "d:Description": "Features information about SCD activities in the UK, available on the site, or through an email list. Also includes articles and links about dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.scd-info.org.uk/"} +{"d:Title": "Toe and Heel", "d:Description": "Features competition dates, entry forms and results, the dressing code, videos, classified ads, a shop and a chat room for Highland dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.toeandheel.com/"} +{"d:Title": "Dannsa", "d:Description": "Scottish step dancing team. Biographies, musicians, dances, concerts, ceilidhs and education.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.dannsa.com/"} +{"d:Title": "Scottish Country Dancing in Northern Ireland", "d:Description": "Information on Scottish Country Dance classes and events in Northern Ireland. Includes details of Scottish ceilidhs and ceilidh bands.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.scottishdancing.org/"} +{"d:Title": "ww.CelticDance.com", "d:Description": "Information on Laura Carruthers - producer, choreographer, performer and video editor. Includes profile, details of theater and film productions, the company and DVD.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.celticdance.com/"} +{"d:Title": "St Andrews Society of the Netherlands", "d:Description": "Promotes Scottish country dance and culture. Information on its Reel Club and events with an image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.standrews.nl/"} +{"d:Title": "Dunvegan Dancers on PEI", "d:Description": "Highland dancing site. Features competition dates and results. Instruction is also offered in choreography and theory.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.dunvegandancers.ca/"} +{"d:Title": "Royal Scottish Country Dance Society", "d:Description": "Society dedicated to the preservation and promotion of Scotland's traditional country dances and their music. Offers information about the main branch in Edinburgh, Scotland, and the others around the world.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.rscds.org/"} +{"d:Title": "Thornhill SCD", "d:Description": "Information about the club located in Dumfries and Galloway.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.thornhillscd.co.uk/"} +{"d:Title": "Highland Mist Scottish Country Dancers", "d:Description": "Located near St. Louis, Missouri. Offers country and Highland dance classes. Beginners are welcome at all classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.highlandmistdancers.org/"} +{"d:Title": "A Dictionary of Scottish Country Dancing", "d:Description": "An online dictionary of Scottish country dancing arranged alphabetically and logically. Full instructions in dance steps, music, sets, footwork and figures with every dance term fully explained with diagrams.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.scottish-country-dancing-dictionary.com/"} +{"d:Title": "The Heather and The Rose Country Dancers", "d:Description": "Network of groups in Oregon USA dancing English and Scottish dances. Information about activities and membership, as well as a guide to common terminology and figures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.heatherandrose.org/"} +{"d:Title": "MiniCrib", "d:Description": "Database of Scottish country dance instructions, available for download.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish", "url": "http://www.minicrib.org.uk/"} +{"d:Title": "Red Thistle Dancers", "d:Description": "Organization performing the dances and music of Scotland throughout the San Francisco Bay Area and at international festivals around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Demonstration_Groups", "url": "http://www.redthistledancers.org/"} +{"d:Title": "Dunedin Dancers", "d:Description": "Scottish country dance group based in Ediburgh, Scotland. Features information about the dance team and it\u00b4s shows.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Demonstration_Groups", "url": "http://www.dunedindancers.org.uk/"} +{"d:Title": "Highland Fusion", "d:Description": "Through their original choreography, they blend cultural Scottish dance and music with modern styles in a new interpretation of tradition.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Demonstration_Groups", "url": "http://www.highlandfusion.com/"} +{"d:Title": "Celtica Highland Dancers", "d:Description": "Performance troupe consisting of 21 members, ages 8-16. Performs at many different events including parties, weddings, and competitions. With profiles, pictures, and information about Highland dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Demonstration_Groups", "url": "http://celticadancers.tripod.com/"} +{"d:Title": "West Virginia Highland Dancers", "d:Description": "Performance/competition group based in Elkins, WV. Dance poll and picture albums.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Demonstration_Groups", "url": "http://www.wvhd.com/"} +{"d:Title": "CelticSpirit Dance Company", "d:Description": "Dance company formed by world champions Deryck and Gareth Mitchelson. Combines traditional and contemporary moves to release the true Spirit of Scottish Dance. Available for demonstration, teaching and judging.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Demonstration_Groups", "url": "http://www.toeandheel.com/celticspiritdance/"} +{"d:Title": "Corryvrechan Scottish Dance Display Team", "d:Description": "Offers displays of Scottish country, step and Highland dancing in the UK and abroad. Includes profile, repertoire, costumes, past performances and image, music and video galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Demonstration_Groups", "url": "http://www.corryvrechan.org.uk/"} +{"d:Title": "Thistle Schools of Scottish Country Dance", "d:Description": "Provides instruction in the correct techniques and manner of Scottish Country Dancing, the historic and contemporary social dancing of Scotland.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.scotia-dance.org/Thistle.html"} +{"d:Title": "Forrester School of Celtic Dance", "d:Description": "Highland and Celtic Step dance school and performing dance company located on Cape Breton Island, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.forresterdancers.com/"} +{"d:Title": "Johnson School of Highland Dance", "d:Description": "Information on Highland Dance, competitions, exams, dance associations, links and bios of the teachers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.highlanddanceschool.com/"} +{"d:Title": "New Hampshire School of Scottish Arts", "d:Description": "Fostering the spirit of Scottish performing and cultural arts in New Hampshire. Classes in Scottish fiddle, bagpipes, Highland dancing, harp, and Gaelic singing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.nhssa.org/"} +{"d:Title": "Gillie Callum Highland Dancers", "d:Description": "Competitive Highland dancing school in Calgary, AB directed by Pierie Danysk and Keltie Stowkowy. Training beginner to championship level dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.gilliecallum.com/"} +{"d:Title": "Katharine Robinson School of Highland Dancing", "d:Description": "Highland dancing classes in Ottawa, ON. With information on schedules, programmes, news and calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.krhighland.com/"} +{"d:Title": "Calgary School of Highland Dance", "d:Description": "Instruction in South-Central Calgary from rec. to Championship level. Small classes and qualified instructors. SDTA exams, dance outs and Recitals available.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.highlanddance.ca/"} +{"d:Title": "Karen McPhillips School of Highland Dancing", "d:Description": "Sydney, Australia. Includes details of classes, term dates, and dress requirements.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.highlanddancing.com.au/"} +{"d:Title": "Inverglen Scottish Dancersl", "d:Description": "Highland, Scottish and step dancing school and display dancers in Abbotsford, BC, Canada. Includes profile, details of classes, and activities, Scottish Dance Teachers Alliance Medal Tests.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.inverglenscottishdancers.com/"} +{"d:Title": "Queen of Scots Dance Academy", "d:Description": "Scottish highland dance lessons with former World Runner-Up and National Champion, Bree Erin Brownlee. Located in southeastern Michigan (Washington Township, MI). Dancers available for performances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://www.qosda.com/"} +{"d:Title": "Northern Ontario School of Scottish Dance Dalriada Scottish Dancers", "d:Description": "School in Ontario, Canada, teaching using the B.A.T.D. method. Includes profile, information on classes, news, calendar, competitions entered, and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Schools", "url": "http://northernontarioschoolofscottishdance.webs.com/"} +{"d:Title": "Java St. Andrew Society", "d:Description": "Malaysian society, offering events calendar, dance instructions and a news board. Affiliate of the RSCDS.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Asia", "url": "http://www.javastandrewsociety.com/"} +{"d:Title": "RSCDS - Tokai branch", "d:Description": "Japanese branch of the RSCDS in Tokai. Features information about classes and events in Japan. Also available in Japanese.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Asia", "url": "http://www.ne.jp/asahi/rscds/tokai/"} +{"d:Title": "Singapore St. Andrew's Society", "d:Description": "Affiliate of the RSCDS in Singapore. Offers information about Scottish country dance classes, pictures of events and other information about Scottish culture.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Asia", "url": "http://www.standrewssociety.org.sg/"} +{"d:Title": "Selangor St. Andrew Society", "d:Description": "Affiliate of the RSCDS in Kuala Lumpur, Malaysia. Features information about the society and it\u00b4s country dance classes. Also has instructions for full dances, and a guide to the basic dancing steps.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Asia", "url": "http://www.ssas-online.com/"} +{"d:Title": "RSCDS - Kingston branch", "d:Description": "Branch of the Royal Scottish Country Dance Society in Kingston, Ontario. Features history of the branch, calendar of classes and events, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Canada", "url": "http://www.rscdskingston.org/"} +{"d:Title": "RSCDS - Kitchener-Waterloo Branch", "d:Description": "Branch of the Royal Scottish Country Dance Society in Kitchener-Waterloo, Ontario. Class schedules, photos and information about the club.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Canada", "url": "http://www.rscds.kitchener.on.ca/"} +{"d:Title": "RSCDS - Vancouver branch", "d:Description": "Branch of the Royal Scottish Country Dance Society in Vancouver, Canada. Provides class information, and lists contacts for the various groups in Vancouver, together with a Calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Canada", "url": "http://rscdsvancouver.org/"} +{"d:Title": "RSCDS - Medicine Hat Branch", "d:Description": "Branch of the Royal Scottish Country Dance Society in the Medicine Hat Area, in Alberta, Canada. Features information about classes and workshops, and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Canada", "url": "http://nonprofit.memlane.com/scottish/"} +{"d:Title": "RSCDS - Montreal branch", "d:Description": "Information about SCD classes and events in Montreal, dance vacations, and a useful links and resources page.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Canada", "url": "http://scdmontrealorg.weebly.com/"} +{"d:Title": "BASC Dancers", "d:Description": "Buenos Aires Scottish Country Dancers is an Argentine dancing group affiliated to the RSCDS. With information about upcoming and past events, pictures, and class schedule. In Spanish.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Central_and_South_America", "url": "http://www.bascdancers.blogspot.com/"} +{"d:Title": "Celtic Circle", "d:Description": "Information on Scottish dance activities in Europe. With calendar of events and a list of groups. Also available in German.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe", "url": "http://www.celtic-circle.de/"} +{"d:Title": "Scottish country dancing in Stuttgart and Denkendorf", "d:Description": "Information about Scottish country dance classes near Stuttgart, Germany. Affiliated to the RSCDS.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe", "url": "http://www.tamburin-tanzt.de/scottish/"} +{"d:Title": "The Thistle Club of Scottish Country Dancing", "d:Description": "Affiliate of the RSCDS in Eindhoven, the Netherlands. Information about classes and events, a photo gallery and a list of Scottish Country Dance groups in the Netherlands.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe", "url": "http://www.thistleclub.nl/"} +{"d:Title": "Coventry Thistle Club", "d:Description": "Social and dance club in Coventry, mainly covering Scottish Country Dancing. With information on classes and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.coventrythistleclub.co.uk/"} +{"d:Title": "Watford and West Herts Scottish Society", "d:Description": "Classes in Scottish Country Dancing for beginners and experienced dancers. Events include monthly social dances, Annual Ball, Burn's Night and St Andrew's Dinner.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.watfordscottish.org.uk/"} +{"d:Title": "RSCDS - Leeds Branch", "d:Description": "Royal Scottish Country Dance Society branch in Leeds. Includes classes, events, and workshop schedules, newsletter, dance crib sheets, constitution and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.rscdsleeds.org.uk/"} +{"d:Title": "Berkhamsted Strathspey and Reel Club", "d:Description": "Social club in Hertfordshire. Includes history, calendar, events, publications and membership and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://berkhamstedreelclub.org/"} +{"d:Title": "Bracknell Reel CLub", "d:Description": "(Bracknell, Berkshire) Scottish Country Dancing and social club. With class schedule and directions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.bracknellreelclub.org.uk/"} +{"d:Title": "Bognor Regis Scottish Country Dance Club", "d:Description": "(Bognor Regis, West Sussex) Meeting times of local group affiliated to the Brighton Branch of RSCDS.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.brscdc.talktalk.net/"} +{"d:Title": "RSCDS - Stirling branch", "d:Description": "Branch of Royal Scottish Country Dance Society in Stirling, Scotland. Covers history of Scottish country dancing, information and pictures of classes and social events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.rscds-stirling.com/"} +{"d:Title": "Camberley Reel Club", "d:Description": "Surrey based Scottish country dance group. Lists history, meetings, events and contact details. Also features dance instructions (cribs). Affiliated to the RSCDS.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.camberleyreelclub.org.uk/"} +{"d:Title": "Wimbledon Reels", "d:Description": "A local Scottish country dance club in Wimbledon. Includes: Location and date of events list of dances, and general information about our approach to dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.wimbledonreels.com/"} +{"d:Title": "RSCDS - London branch", "d:Description": "London branch of the Royal Scottish Country Dance Society. Features dates of classes and events, as well as the possibility to read The Reel, the branch's newsletter, on line.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.rscdslondon.org.uk/"} +{"d:Title": "RSCDS - Nottingham branch", "d:Description": "Nottingham branch of the Royal Scottish Country Dance Society. Features a calendar, classes information, and a \"About us\" section and contacts.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.nottinghamrscds.org.uk/"} +{"d:Title": "RSCDS - Herefordshire branch", "d:Description": "Information about classes and events, including links and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://webspace.webring.com/people/nh/hfds/"} +{"d:Title": "Royal Scottish Country Dance Society Brighton Branch", "d:Description": "Lists classes, contacts, events and information about this type of dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://www.janetelizabeth.org.uk/scottishdance/"} +{"d:Title": "Cambridge University Strathspey and Reel Club", "d:Description": "Joint classes with the Cambridge branch of the Royal Scottish Country Dance Society. Includes schedule of casses and events, club organisation information and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Europe/United_Kingdom", "url": "http://cusarc.soc.srcf.net/"} +{"d:Title": "RSCDS - Australian branches", "d:Description": "Directory to the official sites of the Australian branches of the Royal Scottish Country Dance Society.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Oceania", "url": "http://www.rscds.org.au/"} +{"d:Title": "RSCDS - Canberra branch", "d:Description": "Information about classes and balls, as well as contact information for the presentation group and show dates.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Oceania", "url": "http://www.rscds-canberra.org/"} +{"d:Title": "RSCDS - New Zealand branch", "d:Description": "Information about the classes, workshops and events of the New Zealand branch of the RSCDS. Also includes information on the Junior Associate Membership and the calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Oceania", "url": "http://www.rscdsnzb.org.nz/"} +{"d:Title": "Scottish Country Dance Society Inc", "d:Description": "Dance group in Darwin, NT, Australia. Includes dates of classes and events, photographs, membership information and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/Oceania", "url": "http://www.scottishcountrydance.net/"} +{"d:Title": "Cameron Scottish Dancers", "d:Description": "Information about parties, workshops and classes of Scottish country dancing. Affiliate of the RSCDS.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.scotia-dance.org/cameron/index.html"} +{"d:Title": "RSCDS - Boston Branch", "d:Description": "Covers classes, events, membership information and the demonstration team.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.rscdsboston.org/"} +{"d:Title": "RSCDS - Cincinnati Branch", "d:Description": "Information about classes and events in Cincinnati, OH. Includes instruction for dances, costume resources and membership information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.rscdscincinnati.org/"} +{"d:Title": "RSCDS - Washington D.C. and Northern Virginia branches", "d:Description": "Official joint site for both branches of the Royal Scottish Country Dance Society. Includes tips for beginners, covering clothing, dance instructions and supply shops.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.rscds-greaterdc.org/"} +{"d:Title": "RSCDS - New Jersey branch", "d:Description": "Information about the classes and events offered.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.rscds-nj.org/"} +{"d:Title": "RSCDS - Seattle Branch", "d:Description": "Branch of the Royal Scottish Country Dance Society in Seattle, Washington. Offers classes, workshops, dances and balls. See a calendar of events, links, and newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.rscds-seattle.org/"} +{"d:Title": "RSCDS - New York branch", "d:Description": "Information about classes and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.rscdsnewyork.org/"} +{"d:Title": "RSCDS - Phoenix Branch", "d:Description": "Calendar of classes and dancing events, as well as contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.rscdsphoenix.com/"} +{"d:Title": "RSCDS - Portland Branch", "d:Description": "Scottish dancing in Portland, Oregon area. Includes institutional information, classes and events calendar, and maps.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.portlandscottishdancers.org/"} +{"d:Title": "Mountain View Scottish Country Dance", "d:Description": "Affiliate of the San Francisco branch of the RSCDS. Offers a brief introduction to Scottish country dancing, pictures, animations and a calendar of events and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.mtview-rscds.org/"} +{"d:Title": "Harrisburg Scottish Country Dance Society", "d:Description": "Listing of events, classes, pictures and links of the Pennsylvanian affiliate of the RSCDS. Mailing list is also offered.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.hscds.webs.com/"} +{"d:Title": "Scotia Dancers of New York", "d:Description": "Affiliate group of the RSCDS in New York, NJ. Features calendar of events and information about class times and fees.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "http://www.scotiadancers.org/"} +{"d:Title": "Austin Scottish Country Dance Society", "d:Description": "Austin, Texas society. Includes information about their weekly dance classes, balls and workshops. Also offers a newsletter and the Ghillies Gazette.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "https://austinscd.wordpress.com/"} +{"d:Title": "RSCDS - Chicago branch", "d:Description": "Chicago branch of the Royal Scottish Country Dance Society. Information about classes, activities and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Scottish/Scottish_Country_Dance_Groups/United_States", "url": "https://rscdschicago.wordpress.com/"} +{"d:Title": "Dawnswyr Delyn", "d:Description": "Welsh dancing group based in Flintshire, UK. Features events, photos, and an overview of the group.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Welsh", "url": "http://www.dawnswyrdelyn.org.uk/"} +{"d:Title": "Gwerinwyr Gwent", "d:Description": "Welsh folk dance team in Gwent, UK. Contains a brief history, and meeting times.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Celtic/Welsh", "url": "http://www.gwerinwyrgwent.org.uk/"} +{"d:Title": "Double Toe Times", "d:Description": "A monthly clogging and percussive dance magazine.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging", "url": "http://www.doubletoe.com/"} +{"d:Title": "Clogdancing.com", "d:Description": "Virtual community provides categorized instructor/dancer directory, cue-sheets, calendar, and forum.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging", "url": "http://www.clogdancing.com/"} +{"d:Title": "Clog Ring", "d:Description": "Web ring of clog-dedicated web sites.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging", "url": "http://clogring.freeservers.com/"} +{"d:Title": "iClog", "d:Description": "Offers free web sites for clogging groups, and resources for finding groups and workshops around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging", "url": "http://www.iclog.us/"} +{"d:Title": "Kelli's Web Page", "d:Description": "National instructor Kelli McChesney presents original choreography, event photo scrapbook, FAQs, and resources for instructors and choreographers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging", "url": "http://www.kellimcchesney.com/"} +{"d:Title": "Stevens Clogging", "d:Description": "Maker of Stevens Stompers clogging taps. Also carries shoes and boots, instructional videos, music, petticoats and pettipants, and gifts.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging", "url": "http://www.stevensclogging.com/"} +{"d:Title": "Smoky Mountain Encore", "d:Description": "Clogging workshop and competition held each March in Gatlinburg, Tennessee.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Events", "url": "http://www.smokymountainencore.com/"} +{"d:Title": "Sunshine State Jamboree", "d:Description": "Clogging workshop held each August in Tallahassee, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Events", "url": "http://www.ssjamboree.com/"} +{"d:Title": "Fontana Clogging Jamboree", "d:Description": "Workshop held each September in Fontana, North Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Events", "url": "http://www.fontanaworkshop.com/"} +{"d:Title": "Nickel City Clogging Festival", "d:Description": "One of the clogging world's longest-running and biggest festivals hosted each April by the WNYCA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Events", "url": "http://www.wnyca.com/"} +{"d:Title": "Barbary Coast Cloggers", "d:Description": "All-male group from San Francisco, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.barbarycoast.org/"} +{"d:Title": "Bull Run Cloggers", "d:Description": "Featuring information, performance schedule and pictures of the group from Manassas, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.bullruncloggers.org/"} +{"d:Title": "Cadence Cloggers of San Antonio", "d:Description": "High energy, quick stepping, precisely choreographed dance group entertaining various groups in and around San Antonio, all over Texas, America and, indeed, the world.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.cadencecloggers.org/"} +{"d:Title": "Conejo Valley Cloggers", "d:Description": "Featuring a schedule of classes, upcoming events, registration and a newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://members.dslextreme.com/users/hilda555/"} +{"d:Title": "Clogging Connection", "d:Description": "Non-profit organization based in Snellville, Georgia, dedicated to training dancers of all ages in the art forms of Clogging, Canadian Step Dance, and Irish step dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.cloggingconnection.com/"} +{"d:Title": "Patchwork Dancers", "d:Description": "A traditional percussive dance team in Northern Virginia who perform a patchwork of clogging, tap, and Irish step.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://home.earthlink.net/~jimmaxwell"} +{"d:Title": "Happy Feet Cloggers", "d:Description": "A modern clogging group located in Fairfax, VA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.happyfeetcloggers.org/"} +{"d:Title": "Hi-Horse Cloggers", "d:Description": "A nonprofit organization from Stanardsville, Virginia, promoting the traditional style of clogging, performing throughout the state of Virginia in public and private functions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://hihorsecloggers.com/"} +{"d:Title": "Hillbillies Cloggers", "d:Description": "Clogging group from Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.easy.com.au/vickie/why_clog.htm"} +{"d:Title": "Pat's Clogging Studios Home Page", "d:Description": "Pat's Clogging Studios and Celtic Fire Irish Dance Company in Springfield, Missouri offers classes in clogging and Irish dance. An informative website explains the difference.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.itap2.com/"} +{"d:Title": "The Red River Cloggers", "d:Description": "From Clinton and Heber Springs, AR, the team has been performing from coast to coast.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.redrivercloggers.fanspace.com/"} +{"d:Title": "Shufflin' Shoes Cloggers", "d:Description": "Club located in Dallas, Texas. Site offers class and exhibition information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.orgsites.com/tx/shufflinshoes/"} +{"d:Title": "Southern Connection Cloggers", "d:Description": "Competition clogging team from Irmo, South Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://southernconnectioncloggers.20m.com/about.html"} +{"d:Title": "A Touch of Class Cloggers", "d:Description": "Located in Lithia Springs, Georgia, classes are offered in beginner, intermediate, advanced, and competition levels.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.angelfire.com/ga2/atouchofclass/"} +{"d:Title": "Sweet Coppin Ladies Clog Dancers", "d:Description": "Ladies team based in Taunton, England. Their repertoire includes Clog Stepping and soft shoe dances, using sticks, hankies, or garlands.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.sweet-coppin.co.uk/"} +{"d:Title": "Wild Country Cloggers", "d:Description": "A family owned and operated dance troupe in Southwestern Vermont that specialize in clogging, square dancing, line dancing, etc.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://wccloggers.tripod.com/"} +{"d:Title": "Fire on the Mountain Cloggers", "d:Description": "Features information, performance schedule, and history of these cloggers of San Antonio, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.fireonthemountaincloggers.com/"} +{"d:Title": "KerriClogs and Just for Kics Cloggers", "d:Description": "Clogging classes and workshops, with clogging cue sheets.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.kerriclogs.com/"} +{"d:Title": "Denim 'n' Lace Cloggers", "d:Description": "Non-profit performance team located in Robert, Louisiana.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.wilsongbordercollies.com/DenimNLaceCloggers.html"} +{"d:Title": "Cherry City Cloggers", "d:Description": "Clogging group from Salem, Oregon. Site offers lesson information, cue sheets, and advanced step videos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.cherrycitycloggers.com/"} +{"d:Title": "Tanglefoot Appalachian Cloggers", "d:Description": "Appalachian clogging team from southeast England.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.tanglefootcloggers.co.uk/"} +{"d:Title": "Aftershock", "d:Description": "Competition team in California and Nevada, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.aftershockcloggers.com/"} +{"d:Title": "Wolfpack Clogging Team", "d:Description": "Offering information on past and upcoming events. Also features photos and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://clubs.ncsu.edu/clogging/"} +{"d:Title": "Sugar Creek Cloggers", "d:Description": "Competition and exhibition team from Des Moines, Iowa.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.sugarcreekcloggers.com/"} +{"d:Title": "Orange Cloggers", "d:Description": "Promoting traditional Appalachian clogging in Central Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.orangecloggers.com/"} +{"d:Title": "Bailey Mountain Cloggers", "d:Description": "Folk dance company in Mars Hill NC. Features concerts, auditions, videos, blog, performance calendar and dance camp.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://baileymountaincloggers.yolasite.com/"} +{"d:Title": "City Stompers", "d:Description": "Clogging classes in Manhattan, NY. Featuring class information, footwear details, and instructor bios.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://nycitystompers.com/"} +{"d:Title": "Clog4Fun", "d:Description": "Clogging group from The Villages, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://websites.iclog.us/Clog4Fun.html"} +{"d:Title": "Mountain Rhythm", "d:Description": "Adult clogging team from Bristol, Tennessee.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://websites.iclog.us/Mountain_Rhythm_Cloggers.html"} +{"d:Title": "Okie Stompers", "d:Description": "Clogging group from Norman, Oklahoma offering recreational and performance opportunities.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://websites.iclog.us/Okie_Stompers.html"} +{"d:Title": "Rhythm Sensation", "d:Description": "Clogging group from Hampton Roads, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://websites.iclog.us/Rhythm_Sensation_Cloggers.html"} +{"d:Title": "Sugarcane Cloggers", "d:Description": "Appalachian clogging group from Norton, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.sugarcanecloggers.com/"} +{"d:Title": "Stars&Stripes Cloggers", "d:Description": "Clogging group from Victoria, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://websites.iclog.us/Stars_&_Stripes_Cloggers.html"} +{"d:Title": "Wildwood Cloggers", "d:Description": "Clogging group from Billings, Montana.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://websites.iclog.us/Wildwood_Cloggers.html"} +{"d:Title": "1st Klass Kloggers", "d:Description": "Clogging group based in Gainesville, FL. Classes taught by National Instructor Kelli McChesney.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.kellimcchesney.com/firstklasskloggers/"} +{"d:Title": "Buckshot TNG Clogging Team", "d:Description": "Championship competition clogging team from California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://buckshottng.stormloader.com/Home.html"} +{"d:Title": "High Country Conservatory of Dance", "d:Description": "Nationally recognized competition team from Fort Collins, Colorado.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://www.highcountrydance.com/"} +{"d:Title": "Crowley Ridge Cloggers", "d:Description": "NE Arkansas Clogging Team since 1980.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "https://www.facebook.com/Crowley-Ridge-Cloggers-188627851175836/"} +{"d:Title": "Capital City Cloggers", "d:Description": "Competition team from West Columbia, SC. Competition results, team pictures, studio information and guestbook.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "https://www.facebook.com/Capital-City-Clogging-Co-163706850431288/"} +{"d:Title": "Country Steps Cloggers", "d:Description": "From Cincinnati, Ohio. Site provides class information and an upcoming show calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://countrystepscloggers.wixsite.com/countystepscloggers"} +{"d:Title": "Erie Canal Cloggers", "d:Description": "Classes and performance team from central New York.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Groups", "url": "http://eriecanalcloggers.wixsite.com/erie-canal-cloggers"} +{"d:Title": "CLOG, Inc. - The National Clogging Organization", "d:Description": "A national non-profit organization with a mission to preserve and promote the heritage and art form of clogging. Host of the annual National Clogging Convention held each Thanksgiving weekend, as well as other clogging events, teacher seminars, and judges training sessions throughout the year.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.clog.org/"} +{"d:Title": "Clogging Champions of America", "d:Description": "Clogging Champions of America originated with the goal of providing fun, entertainment and challenge to all cloggers of America. Showdown news, Clogarama, schedule of events, and team standings.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.ccaclog.com/"} +{"d:Title": "Texas Clogging Council", "d:Description": "Featuring area events, education resources, groups and instructors, membership forms and history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.texas-clogging.com/"} +{"d:Title": "America On Stage!", "d:Description": "Host of several competitions promoting clogging and other dance forms in the Western United States.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.americaonstage.org/"} +{"d:Title": "Mississippi Clogging Council", "d:Description": "Keeping Mississippi cloggers informed about state events, membership and college scholarship opportunities.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.msclogextravaganza.com/"} +{"d:Title": "Western New York Cloggers Association", "d:Description": "Not-for-profit organization that promotes clogging in and around New York State. Host of the annual Nickel City Clogging Festival held each April in Grand Island, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.wnyca.com/"} +{"d:Title": "IPCA - Illinois Prairie Clogging Association", "d:Description": "Features \"Just for Fun\" workshops Illinois instructor info.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.illinoisclogging.com/"} +{"d:Title": "Australia Clogging Association", "d:Description": "Resource for Australian Cloggers includes ACA services, convention information, and cuesheets for the National Dance List.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.cloggingaustralia.com/"} +{"d:Title": "ACHF - America's Clogging Hall of Fame", "d:Description": "Non-profit organization dedicated to the preservation of the old time square dance and clogging.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Clogging/Organizations", "url": "http://www.achfclog.com/"} +{"d:Title": "Colonial Music Institute", "d:Description": "Promotes research and educational outreach in early American music and dance. Event schedule, reviews, instruments, merchandise, contact information and online resources.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Colonial", "url": "http://www.colonialmusic.org/"} +{"d:Title": "Melbourne Colonial Dancers Inc.", "d:Description": "Social and performance group based in Australia. Includes profile, details of meetings, events, the display group, and the band, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Colonial", "url": "http://www.melbournecolonialdancers.org.au/"} +{"d:Title": "Wongawilli Colonial Dance Club Inc", "d:Description": "Social and performance group and band based in New South Wales, Australia. Includes events calendar, details of classes, events, performers, publications and recordings, articles, image galleries, audio clips and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Colonial", "url": "http://www.wongawillicolonialdance.org.au/"} +{"d:Title": "Queensland Colonial and Heritage Dancers", "d:Description": "A social and performance group based in Australia. Includes profile, history, details of classes, annual ball and events, an article on Australian social dance history and photograph gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Colonial", "url": "http://members.optusnet.com.au/colonialdance/"} +{"d:Title": "Colorado Friends Of Old Time Music And Dance", "d:Description": "Promoting folk arts in the Front Range, Colorado area. Become a member group, or browse the calendar and dance and activities listings.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.cfootmad.org/"} +{"d:Title": "North Bay Country Dance Society", "d:Description": "Contra dances and English Country dances in the San Francisco North Bay (Marin and Sonoma counties).", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.nbcds.org/"} +{"d:Title": "Country Dance Society, Boston Centre", "d:Description": "Information on CDS Boston area contra dances, English country dances, and CDS sponsored dance camps at Pinewoods.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.cds-boston.org/"} +{"d:Title": "DanceDB", "d:Description": "Database of organizations, locations, performers and event schedules. It covers mostly Contra Dance, with some English Country, Scottish, Square, and Swing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://tedcrane.com/DanceDB/"} +{"d:Title": "New England (Southeast) Contradances", "d:Description": "Contradances in eastern Connecticut (including New Haven), all of Rhode Island, southeastern Mass., Cape Cod, and Martha's Vineyard, but not Hartford.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://contrasene.tripod.com/contra.html"} +{"d:Title": "Santa Barbara Country Dance Society", "d:Description": "Contra dances in Santa Barbara, California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.sbcds.org/"} +{"d:Title": "Seattle Contra Dancing", "d:Description": "Contradances in Seattle and nearby cities.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://seattledance.org/contra/"} +{"d:Title": "Dances in western Massachusetts", "d:Description": "Schedule of events. Local contradancing history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.alongtheriver.com/dances.html"} +{"d:Title": "Country Dance New York", "d:Description": "English country and contra dancing. Includes information about upcoming events, articles, and profiles of callers. Also, terms and dance sheets for ECD.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.cdny.org/"} +{"d:Title": "Old Farmer's Ball", "d:Description": "Information on upcoming dances, mountain musical groups and contact information for group devoted to traditional mountain music and dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.oldfarmersball.com/"} +{"d:Title": "NEFFA: New England Folk Festival Association", "d:Description": "Contradances in Cambridge and the Greater Boston area, plus folk events and information on the Association.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.neffa.org/"} +{"d:Title": "Arkansas Country Dance Society", "d:Description": "Contra, old time square, English country, couple and American folk dance in North Little Rock.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.arkansascountrydance.org/"} +{"d:Title": "Contra Dance Links", "d:Description": "Listings and calendars of contradances in the USA, Canada, and worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.contradancelinks.com/"} +{"d:Title": "Triangle Country Dancers", "d:Description": "Contradance group in the Piedmont area including up-to-date details about our classes and events. Also provides links to other dance activities in the area. (Chapel Hill, NC)", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.tcdancers.org/"} +{"d:Title": "Syracuse Country Dancers", "d:Description": "Information about contradancing, schedule, area dances and directions provided. (Syracuse, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.syracusecountrydancers.org/"} +{"d:Title": "The Fiddleheads Home Page", "d:Description": "New Haven contradance band, offering contact information, calendar of upcoming dances and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.thefiddleheads.com/"} +{"d:Title": "Tunescape Contradance Band", "d:Description": "An innovative contradance band from Western PA, combining traditional music and modern groove. With contact information, calendar of events and sound samples.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.tunescape.org/"} +{"d:Title": "Michael Dyck's Contradance Index", "d:Description": "Contradance publication directory, searchable by title, author or formation.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.ibiblio.org/contradance/index/"} +{"d:Title": "Portland Megaband", "d:Description": "Contradance band from Portland, Oregon formed by over 75 musicians. Presents contradances, and encourages musicians to join.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.portlandmegaband.com/"} +{"d:Title": "Hands Four Dancers of Ithaca", "d:Description": "This club organizes events in the Ithaca area of New York. Includes details of past and future events, membership, organization and bye-laws.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.hands4dancers.org/"} +{"d:Title": "Charlottesville Contra Dance", "d:Description": "Includes dance calendars and dance opportunities in Virginia, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.contracorners.com/"} +{"d:Title": "Hartford Country Dance", "d:Description": "Contradances, Cajun/zydeco, swing dances, and swing jams in Connecticut,USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.hcdance.org/"} +{"d:Title": "Lexington Traditional Dance Association", "d:Description": "Brief details of this group in Kentucky,USA, including schedule, events, and directions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.ravitz.us/ltda/"} +{"d:Title": "Monterey Country Dance Community", "d:Description": "A schedule of contra dances in the Monterey Bay area of California, with meeting times, directions, events, image gallery and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://montereycdc.webs.com/"} +{"d:Title": "Monadnock Folklore Society", "d:Description": "Provides information about this contra dance society in New Hampshire, USA. It covers schedules, special events, dance locations, callers and musicians.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.monadnockfolk.org/"} +{"d:Title": "North Texas Traditional Dance Society", "d:Description": "Dallas based group, dedicated mainly to contradancing. With calendar of events and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.nttds.org/"} +{"d:Title": "Summer Soiree Festival", "d:Description": "Annual contra dance weekend festival held in the mountains of Western North Carolina on Warren Wilson College Campus. Information, registration forms, band, caller and workshop details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.ashevillecontra.com/"} +{"d:Title": "Urbana Country Dancers", "d:Description": "Contra dancing schedules, information, and online registration.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.urbana-contra.org/"} +{"d:Title": "Country Dancing in Kalamazoo", "d:Description": "Schedule of Contra and Square Dances, English Country Dances, and other events sponsored by Country Dancing in Kalamazoo.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://people.albion.edu/ram/cdk/"} +{"d:Title": "Chicago Barn Dance Company", "d:Description": "Sponsoring contra dance, square dance and old-time music in the Chicago area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.chicagobarndance.org/"} +{"d:Title": "Down East Country Dance Festival", "d:Description": "Official website containing full details and registration information for this annual region-wide weekend participatory dance festival.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Contradance", "url": "http://www.deffa.org/festival/"} +{"d:Title": "Calgary Country Western and Ballroom Dance", "d:Description": "Country western and ballroom dancing in Calgary, Alberta, Canada. Competitions, history of dance, local dances and workshops. Calgary information and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.centralhome.com/ballroomcountry/"} +{"d:Title": "Michigan Swing And Country Dance", "d:Description": "To develop, nurture, and serve Michigan's swing and country dance community in a friendly way.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.dancefanatics.com/"} +{"d:Title": "Big Apple Ranch", "d:Description": "Gay and lesbian country western dancing every Saturday night in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.bigappleranch.com/"} +{"d:Title": "Country Calendar", "d:Description": "Information on country western dancing, events, participants and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.countrycalendar.com/"} +{"d:Title": "Learn To Dance", "d:Description": "Dan and Rita's country western dance classes held in Nampa, Idaho.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.angelfire.com/country/dancin/"} +{"d:Title": "Two Step Houston", "d:Description": "Vicki Dunn teaches two step, cha cha, waltz, polka, east west coast swing and jitterbug.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.twostephouston.com/"} +{"d:Title": "Diamondback Saloon", "d:Description": "Country dance saloon in Belleview, Michigan. Dance lessons scheduled 6 nights per week, special events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://diamondbacksaloon.com/"} +{"d:Title": "Two Step Tidewater Virginia", "d:Description": "Dance every Sunday night in a smoke free room. Find schedules, descriptions and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.twosteptidewater.com/"} +{"d:Title": "Dance Fort Collins", "d:Description": "Northern Colorado country and latin dance lessons. Schedules, locations, photos and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.dancefc.com/"} +{"d:Title": "The Dancing Cowboy", "d:Description": "Country line and couples lessons in the San Jose, CA area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.thedancingcowboy.com/"} +{"d:Title": "Dancin' Around Studio", "d:Description": "Offers group and individual lessons and workshops in Ocala, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.danceocala.com/"} +{"d:Title": "Alan&Sonia's Western Dance", "d:Description": "Western Partner and Country Line dancing. Offers pictures and free instructional videos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.freewebs.com/alan-and-sonia/"} +{"d:Title": "Fun Dance Lessons", "d:Description": "Susan Robertson is a professional Country and Western and West Coast Swing dance instructor offering lessons in the Houston, Texas area. Information on group and private classes and social events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://www.fundancelessons-houston.com/"} +{"d:Title": "Kendalia Halle", "d:Description": "Provides a fun and clean environment suitable for the entire family to experience country and western dancing in Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western", "url": "http://kendaliahalle.com/"} +{"d:Title": "Texas Classic", "d:Description": "May event in Houston, TX, USA includes schedules, registration and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.thetexasclassic.com/"} +{"d:Title": "We Dance Competition - Classic Diamond Novice", "d:Description": "Classic Diamond Novice UCWDC Competition results. Dancers that dance UCWDC competition and their results in various competitions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.angelfire.com/tn3/we_dance/index.html"} +{"d:Title": "Peach State C/W Dance Festival", "d:Description": "Atlanta, GA event, held in March each year, includes registration and hotel information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.peachstatedance.com/"} +{"d:Title": "Colorado Country Classic", "d:Description": "Denver, Colorado the 3rd weekend of June. Competition details, registration forms and contact.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.coloradocountryclassic.net/"} +{"d:Title": "The Big Apple Country Dance Festival", "d:Description": "March event in East Rutherford, NJ, USA. Includes workshop schedules, directions, and registration information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.bigapplecountrydance.com/"} +{"d:Title": "Halloween in Harrisburg", "d:Description": "Annual event in Harrisburg, PA, USA, includes registration form and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.halloweeninharrisburg.com/"} +{"d:Title": "Arkansas Country Classic", "d:Description": "A Fun Country sanctioned, country and western dance event held annually in Little Rock, Arkansas (USA) in September.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.arkansascountryclassic.com/"} +{"d:Title": "United Country Western Dance Council", "d:Description": "UCWDC is a USA organization promoting competition events internationally. Includes history, competitions and event schedules, reviews and results, judge information and rules, line dance step sheets, instructional line and partner video clips, forum and membership details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.ucwdc.org/"} +{"d:Title": "Southern National Dance Competition", "d:Description": "Annual event in Mobile, AL, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "http://www.southernnationaldance.com/"} +{"d:Title": "Shamrock Western Dance Classic", "d:Description": "Event held in Fermanagh, N. Ireland, UK, includes contact information and music used.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Competitions", "url": "https://www.facebook.com/ShamrockWDC"} +{"d:Title": "Line Dance Fun", "d:Description": "Step sheets from the San Francisco Bay Area and the World. Real Audio streams, happenings from dance festivals around the US.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.linedancefun.com/"} +{"d:Title": "Country Time Dance Lines", "d:Description": "Choreographer Gloria Johnson and DJ Dusty Miller from Deltona, Florida. Site boasts 2000 step descriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.country-time.com/"} +{"d:Title": "Bill Bader, Choreographer", "d:Description": "Choreography,downloads of step descriptions, bio, schedule of classes, socials and workshops, dances taught, features, Jamboree BC, and links to the world of linedancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.billbader.com/"} +{"d:Title": "Country Calendar Monthly Dance Listings", "d:Description": "Places to line dance in USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.countrycalendar.com/dance_world/dances/us_c_dances/index.htm"} +{"d:Title": "Munich LAD's, Lucky Afternoon Dancers", "d:Description": "Gay and lesbian line dance group in Munich/Germany.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.munich-lads.de/"} +{"d:Title": "Dolly's Country Line Dancers", "d:Description": "Step sheet for original dance and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.angelfire.com/pa/dollysdancers/"} +{"d:Title": "Cowboy's Line Dance Page", "d:Description": "Over five hundred and fifty line dance text files, information on teaching, pictures of line dance group.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://members.tripod.com/~Dream_Master_8/index.html"} +{"d:Title": "Country Line Dance Association", "d:Description": "Promotes line dancing and provide guidelines for courses in Singapore.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.cldas.com/"} +{"d:Title": "Line Dance Association of Australia", "d:Description": "A nonprofit organisation supporting instructors, dancers and clubs. Includes listings for instructors, clubs and venues. Meeting information and contact.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://ldaa.tripod.com/"} +{"d:Title": "Michael Barr and Michele Burton", "d:Description": "Offering classes, event schedule, step descriptions of choreography to download and instructional video series.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.michaelandmichele.com/"} +{"d:Title": "Arizona Body&Dance", "d:Description": "Fran, Cindy and Shelley teach line dance and ballroom workshops and events. Tempe.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.azbodydance.com/"} +{"d:Title": "Henry Costa's Line Dance Page", "d:Description": "Choreographer and line dance instructor: news, information, and features.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://henrycosta.freeyellow.com/"} +{"d:Title": "Cleveland Linedancing", "d:Description": "Mary Harwood teaches in the Cleveland Ohio area to beginners, seniors, and school children. Photos, schedules and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://clevelandlinedancing.com/"} +{"d:Title": "World Line Dance Newsletter", "d:Description": "Resource site including newsletter, directory of clubs, callers and venues, event calendar and reports, step sheets, a kids-teens section, video clips and articles.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.worldlinedancenewsletter.com/"} +{"d:Title": "Otahuhu Bootscooters", "d:Description": "Andrew and Lynda Blackwood are two choreographers and in line dance instructors teaching in Otahuhu, Auckland, New Zealand.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.freewebs.com/kiwiyeti/"} +{"d:Title": "Brooke&Company", "d:Description": "Brooke Underbrink offers line dancing videos, cds and seminars to teach the most popular Country and Western Line Dances including The Electric Slide, The Watermelon Crawl, and Slap Leather.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.brookeandcompany.com/"} +{"d:Title": "38 Step - NJ Line Dancing Blog", "d:Description": "Line dancing events, and stories at the Jersey shore. Also includes photos, video, and dance steps.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://38step.blogspot.com/"} +{"d:Title": "Cleveland City Country Dancers", "d:Description": "Country dance group in a lesbian and gay square dance club in Cleveland, Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.cccdohio.com/"} +{"d:Title": "Desert Dancers", "d:Description": "Offers lessons and events in Tucson, Arizona.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://www.tucsondancer.com/"} +{"d:Title": "Dance with Dee Dee", "d:Description": "Dee Dee Dougherty-Lottie is a line dance instructor and square dance caller, teaching line dancing for beginners to advanced students in Minneapolis.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://dancewithdeedee.com/"} +{"d:Title": "Arizona U Can Dance with Kort Kurdi", "d:Description": "Teaches in Arizona year-round, from beginner to advanced levels. Includes photos, schedules and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://arizonaucandance.com/"} +{"d:Title": "Rita's Country Kickers", "d:Description": "Line dancing steps, lessons and DJ services in Indiana, USA. Includes step sheets and calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing", "url": "http://rita.thedigitalshop.net/"} +{"d:Title": "Modern Country Line Dancers", "d:Description": "Monthly newsletter in Newcastle, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/Australia", "url": "http://members.tripod.com/modern_country/"} +{"d:Title": "CountryVicBootscooter.com", "d:Description": "Find details on socials, festivals, classes and workshops in Victoria.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/Australia", "url": "http://www.countryvicbootscooter.com/"} +{"d:Title": "Jan Wyllie's Line Dance Choreography", "d:Description": "Choreographer based in Queensland offering workshops, CDs, magazine, APRA license. Includes step sheets of original choreographies, contact and ordering information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/Australia", "url": "http://www.members.iinet.net.au/~janwyllie/"} +{"d:Title": "Southern Cross Line Dancers", "d:Description": "Line dance lessons, socials, videos, and activities in Sydney, Australia with Mark Simpkin and Tracie Lee.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/Australia", "url": "http://www.southerncrosslinedancers.com/"} +{"d:Title": "Strictly LineDance", "d:Description": "Line Dance company of Sydney, Australia", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/Australia", "url": "http://www.zipworld.com.au/~strictly/"} +{"d:Title": "Linedance UK", "d:Description": "UK based database of dances, venues and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://website.lineone.net/~pabrownuk/"} +{"d:Title": "Kickit", "d:Description": "Links to line dance step sheets, choreographers, dance music, terminology, and links", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.kickit.to/ld"} +{"d:Title": "Tulsa Shuffle Line Dance Club", "d:Description": "Club information, dates, venues, directions, dance and music details and including what's on and when.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.tulsashuffle.co.uk/"} +{"d:Title": "Calgary Stampede Line Dancers", "d:Description": "Classes for beginners and intermediates in Kent (Orpington and Hayes) plus monthly social dance nights. Schedules, directions and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.calgarystampede.co.uk/"} +{"d:Title": "Dance On", "d:Description": "Information about parties, classes, workshops and events in South East UK.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.danceon.org/"} +{"d:Title": "Eazystompers Line Dance", "d:Description": "Week to week dances, goings on, and what's on.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.eazystompers.com/"} +{"d:Title": "1st Step Line Dance", "d:Description": "Club based in North London, Pinner, Harrow, Stanmore, Middlesex area, for beginners and improvers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.1ststepslinedance.com/"} +{"d:Title": "Kim Swan", "d:Description": "Instructor and choreographer. Includes venues, news and dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://myweb.tiscali.co.uk/kimswan/"} +{"d:Title": "Line Dance with Scottish Jan", "d:Description": "Weekly classes for beginners, improvers and intermediate dancers. Essex.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.freewebs.com/scottishjan/"} +{"d:Title": "Boots Linedancers", "d:Description": "Easy line dances to country music in Menai Bridge, North Wales, every Wednesday.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.phylbern.org.uk/"} +{"d:Title": "Stars and Stripes Line Dance Club", "d:Description": "Friendly club located in Stafford, offering 2 classes per week. With pictures, dance instructions and news.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.starsandstripes.me.uk/"} +{"d:Title": "Studs and Stars Line Dancers", "d:Description": "Classes in Essex and North Hertfordshire. Includes details of classes and social events, step sheets and forum.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.studsandstars.com/"} +{"d:Title": "Monterey", "d:Description": "Club in Diss, Norfolk. Includes details of classes and events, dances taught, image gallery and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.montereydance.org.uk/"} +{"d:Title": "Robbie McGowan Hickie", "d:Description": "Choreographer offers profile, gallery, and step-sheets for dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.robbiemh.co.uk/"} +{"d:Title": "Linedancing Events", "d:Description": "Linedance or country themed event organisers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.linedance.homestead.com/"} +{"d:Title": "Kate Sala", "d:Description": "Line Dance Choreographer. Includes dance scripts, tour dates and gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.katesala.net/"} +{"d:Title": "SolaDance", "d:Description": "Modern and traditional line dance classes in south east Essex.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.soladance.co.uk/"} +{"d:Title": "OKLinedancing", "d:Description": "Linedancing club with classes held at Chesterton and Newcastle under Lyme.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.oklinedancing.co.uk/"} +{"d:Title": "Kincardine Kickers", "d:Description": "Classes in the North East of Scotland taught by Stephen McIntosh.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.kincardinekickers.co.uk/"} +{"d:Title": "Peacetrain", "d:Description": "Line dance classes in the St Albans area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.peace-train.co.uk/"} +{"d:Title": "El Paso Country Music Club", "d:Description": "Country Music Club held in Pontnewydd, Cwmbran. Offers Western and Line Dances, both for listeners and dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://freewebs.com/elpasocmc/"} +{"d:Title": "Caroliners Line Dancing", "d:Description": "Club based in Redhill and Crawley. Photos, schedules and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.carolinersdancing.co.uk/"} +{"d:Title": "Rodeo Girl Line Dancing", "d:Description": "Line dancing group in Bradford, Guiseley and Leeds, with picture gallery, upcoming events and a few funnies from their classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.rodeogirllinedancing.co.uk/"} +{"d:Title": "Hit The Floor Line Dance Class", "d:Description": "Modern line dancing classes for beginners and intermediates in Norfolk (Gorleston, Hemsby and Scratby) plus regular line dance socials.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://sites.google.com/site/hitthefloorlinedanceclass/"} +{"d:Title": "Wild Stallion Norwich", "d:Description": "The Wild Stallion country music and line dance club website. Dance classes, Friday band nights, socials and discos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.wildstallion.org/"} +{"d:Title": "Country Knights Line Dancing", "d:Description": "Line Dancing classes for beginners and intermediates based in Borehamwood and Greenford. With news, class schedule and calendar of events, also including some pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://countryknights.awardspace.co.uk/"} +{"d:Title": "Dixieliners", "d:Description": "Club offering weekly classes in Essex. Includes downloadable step sheets, class schedules and map.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://dixieliners.co.uk/"} +{"d:Title": "Line Dance Posse", "d:Description": "Fun, social line dancing in St Athan and Pendoylan.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://linedanceposse.com/"} +{"d:Title": "Line Dance South", "d:Description": "Line Dancing weekends in Dorset", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.linedancesouth.co.uk/"} +{"d:Title": "Linedancer Magazine", "d:Description": "Featuring dance scripts, dance reviews, news, message boards, music clips, choregrapher info, dance venues, downloadable music tracks and links to line dance sites worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Country_and_Western/Line_Dancing/United_Kingdom", "url": "http://www.linedancerweb.com/"} +{"d:Title": "Korenaer", "d:Description": "Brief information on this folkloric dance group from Twente and their children's group 'De Korenbleumkes'. [Dutch/English/French/German/Tweants].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.korenaer.nl/"} +{"d:Title": "Hoolter Daansers", "d:Description": "Brief details of this folkloristic group from Holten, Overijssel. [Dutch/English/French/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.freewebs.com/hoolter/"} +{"d:Title": "Dansgroep Ralda Raalte", "d:Description": "Performance and recreational group from the Netherlands. Includes profile, history, and repertoire. More information in Dutch. [Dutch/English/French/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.ralda.nl/"} +{"d:Title": "Folkloristic Association Markelo", "d:Description": "Twente group dedicated to the preservation of regional traditions through dance and exhibitions. Includes history, contact details and images of and information on their performances, dance groups and costumes. [Dutch/English/French/German]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.folkloristische-vereniging-markelo.nl/"} +{"d:Title": "Meerse Hoppers", "d:Description": "Performance group from Haarlemmermeer, North Holland. Includes profile, history and image galleries. [Dutch/English/French].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.demeersehoppers.nl/"} +{"d:Title": "Pieremachochel", "d:Description": "Group offering performances and social dancing based in Utrecht. Includes history, dance list, costumes and performances with image galleries. [English/Dutch].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.dansgroeppieremachochel.nl/"} +{"d:Title": "Dutch folklore Dancegroup Scaldis", "d:Description": "Performance and recreational groups in Zeeland, Netherlands. Includes profile, meeting times, and image galleries in the Dutch section. [Dutch/English/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.scaldis.org/"} +{"d:Title": "Aald Hielpen", "d:Description": "Cultural and historical group offering shows of traditional songs and dances from Hindeloopen in Friesland. Includes profile, history of the town, photograph gallery and details of their costumes and shows. [Dutch/English/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.aaldhielpen.nl/"} +{"d:Title": "Folkloristische Dansgroep Hellendoorn", "d:Description": "Social group in Overijssel offering weekly practices and cultural activities. Includes profiles, details of their traditions, events calendar,and contact form. [Dutch/English/Tweants]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.folkdancehellendoorn.nl/"} +{"d:Title": "Dutch Folklore group Felue", "d:Description": "Felue brings a program of Dutch folklore, consisting of folklore dances, old crafts and original clothing. With contact info and pictures. [English/Dutch/German]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.felue.nl/"} +{"d:Title": "Stedeker Daansers", "d:Description": "Folklore club in Diepenheim, Overijssel. Includes their history and profile and details of the children's group (Stedeker Krummels), the traditional costumes and their 'ball shooting' team (Klootschieters). [Dutch/English/French/German/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.stedeker-daansers.nl/"} +{"d:Title": "Blokkerder Dansgroep", "d:Description": "Brief information on a West Frisian group from North Holland and their costumes (1880-1920) and dances. [Dutch/English/French/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.blokkerderdansgroep.nl/"} +{"d:Title": "Boalseter Skotsploech", "d:Description": "Display group in Friesland recreating the period 1850-1860. Includes profile, details of costume and ornaments, their repertoire with audio clips, details of the International Folklore Dance Festival, and contact information. [Dutch/English/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.skotsploech.nl/"} +{"d:Title": "Foekepot", "d:Description": "Brief details of this performing group from Geleen, Limburg. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.foekepot.nl/"} +{"d:Title": "Ljouwerter Skotsploech", "d:Description": "Brief profile and image galleries of this performing group from Leeuwarden. [Dutch/English/Frisian/French/German]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.ljouwerter-skotsploech.nl/"} +{"d:Title": "Schermer Dansers", "d:Description": "Brief details of this West-Friesland performance group. [Dutch/English/French/German/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.schermerdansers.nl/"} +{"d:Title": "Spierdijker Dansers", "d:Description": "Brief details of this West Friesland cultural group. [Dutch/English/French].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.spierdijkerdansers.nl/"} +{"d:Title": "Platform Nederlandse Folklore", "d:Description": "Foundation for promoting Dutch folk dance in the Netherlands. Includes English summary and contact details. [Dutch].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.steigan.net/pnf/"} +{"d:Title": "Losser B\u00f6ggelrieders en Daansers", "d:Description": "Display group from Twente also offering penny farthing bicycle displays. [Dutch/English/French/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.boggelrieders.nl/"} +{"d:Title": "Volksdansvereniging Hinky Dinky", "d:Description": "Recreational international folk dance group offering classes and traditional Dutch performances, based in Flevoland, Netherlands. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.hinkydinky.nl/"} +{"d:Title": "Schaop'ndansers", "d:Description": "Brief details with photographs of this performance group from Exloo, Drenthe. [Dutch/English/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://home.kpn.nl/oenes000/engels.htm"} +{"d:Title": "Westfriese Dansgroep Schagen", "d:Description": "Performing group from North Holland. Includes profile with detailed descriptions of traditional women's caps and image gallery. [Dutch/English]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://home.kpn.nl/boers898/"} +{"d:Title": "De Pierewaaiers", "d:Description": "Demonstration group in Nijmegen performing Dutch and Flemish folk dances. Includes profile, image, audio and poster galleries, and details of their CD and costume. [Dutch/English/French/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.depierewaaiers.nl/"} +{"d:Title": "Korf, Elsche", "d:Description": "Dutch teacher specialising in traditional dances. Includes profile, dance descriptions with sheet music and midi files, and details of publications.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.elschekorf.nl/"} +{"d:Title": "Wi'j eren 't Olde", "d:Description": "Brief details of this group in Gelderland, their repertoire and costumes. [English/Dutch].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.wijerentolde.nl/"} +{"d:Title": "Hava Naguila", "d:Description": "Performance group in Zeeland, Netherlands. Includes profile, calendar, image galleries and contact details. [Dutch/English/French/German].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Dutch", "url": "http://www.havanaguila.nl/"} +{"d:Title": "Ursu, Maria&Marius", "d:Description": "Instructors offering Romanian folklore dances to amateur and professional folk ensembles. Includes profile, achievements and abilities, contact details, information on their workshops in Banat with image galleries of regional costumes and of a stamp collection featuring Romanian Folk Art. [English/French/German/Italian/Romanian/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.mariusursu.ro/"} +{"d:Title": "Children\u2019s Folklore Ensemble Vonicka", "d:Description": "Brief details of this ensemble in the Czech Republic with image galleries. [English/Czech].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.vonicka.com/"} +{"d:Title": "Vsacan", "d:Description": "Moravian Wallachia folklore ensemble in the Czech Republic. Includes profile, history, brief details of the dance groups, orchestras and performance program, with contact information and facts on dulcimer music. [English/Czech]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.vsacan.cz/"} +{"d:Title": "Jumis, New York Latvian Folk Dance Group", "d:Description": "Recreational community performance group in the USA. Includes profile, performance schedule, rehearsal details, and video. [English, Latvian].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.jumis.org/"} +{"d:Title": "Radost Folk Ensemble", "d:Description": "Ethnic dance, music and songs. Includes profile, calendar, photo gallery, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.radost.org/"} +{"d:Title": "Postoley Dance Ensemble", "d:Description": "Performing ethnic dance company specializing in the culture, music and dance of Ukraine and Poland.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.postoley.org/"} +{"d:Title": "Bitite", "d:Description": "Latvian folk dance group from the suburbs of Riga. With profile and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.bitite.8m.com/"} +{"d:Title": "Csardas", "d:Description": "A dance company celebrating the dances, songs and music of the Hungarian people under the direction of Richard Graber.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.csardasdance.com/"} +{"d:Title": "Balkanplus", "d:Description": "Organizers of recreational dancing in London, UK. Includes profile, events calendar and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.balkanplus.org.uk/"} +{"d:Title": "Dunav", "d:Description": "Non-profit organization promoting Balkan culture through music and dance. The site presents folk dances, songs, costumes, and maps from Balkan countries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.dunav.org.il/"} +{"d:Title": "Ifj\u00fa Szivek Hungarian Dance Group", "d:Description": "Professional dance group from Slovakia, performing authentic dances of Hungarians, Slovaks, Gypsies and other nations form Carpathian Basin.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.ifjuszivek.sk/"} +{"d:Title": "Sorbian Folklore Ensemble Wudwor", "d:Description": "Traditional group preserving Slavic song and dance of the Sorb minority in Upper Lusatia, Germany. Includes profile, repertoire, performance calendar, image galleries and contact details. [Czech/English/German/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.wudwor.de/"} +{"d:Title": "Slavjane Folk Ensemble", "d:Description": "Community group in Pittsburgh, USA presenting the Slavic heritage and culture of the Carpatho-Rusyn region. Includes calendar of practices and performances, image gallery, forum, and video clips of complete dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.slavjane.org/"} +{"d:Title": "Choreographic Ensemble Dance Batumi", "d:Description": "Brief details of this performing group from Georgia, including image gallery and contact details. [English/Georgian]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.dance-batumi.narod.ru/"} +{"d:Title": "Gypsy Folk Ensemble", "d:Description": "Ethnic and folk dance performing group based in Los Angeles, California. Includes photos and description of shows for schools, libraries and others.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.gypsyfolkensemble.com/"} +{"d:Title": "Helen's Yiddish Dance Page", "d:Description": "A resource page of Eastern European Jewish dances. Includes dance descriptions, lyrics, anecdotes, bibliography, filmography and on-line reseources.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.yiddishdance.com/"} +{"d:Title": "Zagrebacki Folklorni Ansambl Dr. Ivan Ivancan", "d:Description": "Professional performance group promoting Croatian folklore, based in Zagreb, Croatia. Includes profile, news, repertoire, image gallery, video clips, discography, and contact details. [Croatian, English]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.zfa.hr/"} +{"d:Title": "Lado Folk Dance Ensemble of Croatia", "d:Description": "Orchestra, choir and dance ensemble based in Zagreb. Includes image galleries, repertoire, discography, past performances, details of the Friends Association, and contact information. [Croatian, English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.lado.hr/"} +{"d:Title": "Serbian Cultural Association Oplenac", "d:Description": "One of the leading folk ensembles in North America. Oplenac strives to promote and maintain Serbian heritage in Canada and around the World.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.oplenac.org/"} +{"d:Title": "Tanac Folk Dance Ensemble", "d:Description": "Folk dance ensemble and cultural association for the preserving of traditions of Croatians in Hungary.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.tanac.hu/"} +{"d:Title": "Oplenac - Serbian Cultural Association", "d:Description": "One of the leading folk dancing ensembles in North America. Oplenac strives to promote and maintain Serbian heritage in Canada and around the World, by offering dance classes. [Serbian/English]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.oplenac.ca/"} +{"d:Title": "Beskydy Dancers", "d:Description": "Details of display team for Bohemian, Moravian and Slovakian dances based in London, UK. Includes profile, and FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.beskydy-dancers.org/"} +{"d:Title": "Martisorul - Romanian Dance Group", "d:Description": "Recreational and display group based in London, UK. Includes brief profile, photographs and repertoire with notes and map.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.eliznik.org.uk/martisorul"} +{"d:Title": "FolkDance.tk", "d:Description": "Videos, images, musics, steps, mostly about Turkish folk dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.folkdance.tk/"} +{"d:Title": "Little Virtuosos", "d:Description": "Georgian Dance company from Tbilisi, composed by 360 children between ages 6 and 14. With multimedia, information on shows and press coverage of the group.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.littlevirtuosos.com/"} +{"d:Title": "William Penn Association Magyar Folk Dancers", "d:Description": "Small Hungarian dance group from Pittsburgh, Pennsylvania. With media and a performance schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.freewebs.com/hungariandancer/"} +{"d:Title": "Folkdansf\u00f6reningen Forr\u00e1s", "d:Description": "Dance group specialized in Hungarian folk dances, located in Sweden. Dance teaching, on-stage performing and cultural exchange. [English/Swedish]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.forras.se/"} +{"d:Title": "Czech Folklor", "d:Description": "A restaurant in Prague, inviting to an unforgetable experience of Czech folklore show and dinner. Has an ensamble of dancers and musicians dressed in traditional clothing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.folkloregarden.cz/"} +{"d:Title": "Slowianki", "d:Description": "Brief details of the ensemble of the Jagiellonian University, Cracow, presenting the folklore of Slavonic nations. Includes profile, image galleries and events. [English/Polish]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://www.slowianki.uj.edu.pl/"} +{"d:Title": "Georgian Folk Dances", "d:Description": "Brief descriptions with photographs of a number of dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European", "url": "http://ninog.tripod.com/dances.htm"} +{"d:Title": "Bulgarche", "d:Description": "Performance group for young people with choir, orchestra and dance ensemble based in Veliko Tarnovo, Bulgaria. Includes profile, image gallery and contact details. [Bulgarian, English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.bulgarche.com/"} +{"d:Title": "Zornitsa", "d:Description": "Students folk dance ensemble from Sofia performing Bulgarian dances from various regions. With video clip, pictures and information about past and upcoming events. [Bulgarian, English]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.zornitsa.org/"} +{"d:Title": "Folklore Company Sider Voivoda", "d:Description": "Amateur folk group, made up of an orchestra, a choir and a dance section, from Northern Bulgaria. Includes profile, tour details, image gallery, and contact details. [Bulgarian, English]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://sidervoivoda.com/"} +{"d:Title": "Balkan", "d:Description": "Brief details of this group in Sofia, Bulgaria, including history, repertoire and image gallery. [Bulgarian, English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://balkan.20m.com/"} +{"d:Title": "Folklore Ensemble Trakia", "d:Description": "Bulgarian Folklore Ensemble combining traditional and modern dance forms. With videos, pictures and information about their performances. [English / Bulgarian]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://trakia-ensemble.org/"} +{"d:Title": "Sedianka", "d:Description": "Brief details of this traditional group from Liege, Belgium, including image gallery. [English, French]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://users.telenet.be/speentje/portal/sedianka/"} +{"d:Title": "Rosna Kitka Dance Ensemble", "d:Description": "Ensemble in Sofia, Bulgaria. Repertoire, history, classes and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.rosnakitka.nat.bg/"} +{"d:Title": "Bulgarian Dances - Wikipedia", "d:Description": "Brief overview including dance list.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://en.wikipedia.org/wiki/Bulgarian_dances"} +{"d:Title": "Bulgaria - Traditional Costume, Dance&Music", "d:Description": "Overview of Bulgarian folk culture, including homepage of Bulgarian choreographer and dance teacher Delyan Demirev.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.eliznik.org.uk/Bulgaria/"} +{"d:Title": "Iliana Bozhanova", "d:Description": "Bulgarian folk dance teacher and choreographer in Plovdiv, Bulgaria. Includes biography, pictures, information about tours and CDs, and details of the amateur folk dance ensemble Voivodintsi with image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.ilianabozhanova.com/"} +{"d:Title": "Bosilek Bulgarian Folk Dance Ensemble", "d:Description": "Women's performing ensemble in New York, USA. Includes profile, history to 2002, costume photographs, audio clips and lyrics.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.angelfire.com/folk/bosilek/"} +{"d:Title": "Martenica Folk Dance Ensemble", "d:Description": "Performance group from Budapest, Hungary. Includes profile, and image galleries. [English, Hungarian].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.martenica.hu/"} +{"d:Title": "Tchan Dancing School", "d:Description": "An annual week-long seminar on folk music and dance in Bulgaria. Includes profile, seminar dates and timetables, image galleries and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.tchandancingschool.com/"} +{"d:Title": "Bulgarian Dance Boyko Andonov", "d:Description": "UK based teacher and performer offering classes and workshops. Includes biography, videos, photographs, events calendar and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.boikoandonov.co.uk/"} +{"d:Title": "Zharava Folk Ensemble", "d:Description": "Bulgarian folk-dance ensemble, offering shows and instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Bulgarian", "url": "http://www.balkandance.net/"} +{"d:Title": "Warszawianka", "d:Description": "Ensemble of Warsaw University, Warsaw, Poland. Includes a short profile with image galleries and details of the children's group Ma\u0142a Warszawianka. [Polish/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.warszawianka.uw.edu.pl/"} +{"d:Title": "Lany", "d:Description": "Brief details of the ensemble of the Agricultural University of Poznan, Poland. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.au.poznan.pl/lany/"} +{"d:Title": "Nowa Huta Song and Dance Ensemble", "d:Description": "Youth group from Cracow, Poland. Includes profile, repertoire, image galleries of costumes, groups and management and contact details. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.nck.krakow.pl/nowahuta/"} +{"d:Title": "Zesp\u00f3\u0142 Regionalny Jakubkowiane", "d:Description": "Folklore group in Malopolskie, Poland. Include photographs of Lachs costumes. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.jakubkowianie.lososina.iap.pl/"} +{"d:Title": "Zespo\u0142u Ta\u0144ca Ludowego Uniwersytetu Marii Curie-Sk\u0142odowskiej", "d:Description": "Brief details of the Maria Curie-Sklodowska University ensemble from Lublin, Poland including image gallery and concert dates. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.ztl.umcs.lublin.pl/"} +{"d:Title": "Kos", "d:Description": "Ensemble from Lublin, Poland. Includes history, list of achievements, details of management and image gallery. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.woyto.republika.pl/"} +{"d:Title": "Harcerski Zesp\u00f3\u0142 Ta\u0144ca i Pie\u015bni Grze\u015b", "d:Description": "Brief details of this performance group in Pu\u0142awy, Lubelskie, Poland. [English/Poland].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.grzes.pulawy.pl/"} +{"d:Title": "Katowice", "d:Description": "Student ensemble of the University of Silesia, Katowice, Poland. Includes details of their history, rehearsals, tours and activities with image galleries and audio clips. [Polish/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://katowice.us.edu.pl/"} +{"d:Title": "Silesianie", "d:Description": "Student ensemble of the University of Economics, Katowice, Poland. Includes profile, history, repertoire, descriptions of foreign tours, sponsor ship offer and audio clips and tracks from their CDs. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.silesianie.pl/"} +{"d:Title": "Slask Song and Dance Ensemble", "d:Description": "Professional company based in the Koszecin palace near Lubliniec, Poland. Includes history, concert schedule, image galleries, organisation and the performances (dance troupe, choir and/or orchestra) and facilities for hire. [Polish/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.zespolslask.pl/"} +{"d:Title": "Jantar - The University of Gdansk Song and Dance Ensemble", "d:Description": "Poland. Includes profile, repertoire, audio clips, image galleries and contact details. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://jantar.univ.gda.pl/"} +{"d:Title": "Kalina - Ensemble Folklorique Polonais", "d:Description": "The dancers, choirs and kapela of the Courcelles-les-Lens Association for Tradition and Culture in Nord-Pas de Calais, France. Includes details and image galleries of rehearsals, history, events and repertoire (the map leads to folkloric information and some music extracts). [French/Polish/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://asso.nordnet.fr/kalina/"} +{"d:Title": "Krakusy Polish Folk Dance Ensemble", "d:Description": "Performance group in Los Angeles, USA. Includes history, instructor biography, events, image gallery and contact details. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.krakusy.com/"} +{"d:Title": "Pomorze Polish Folkdance Ensemble", "d:Description": "Based in Dartmouth, Nova Scotia, Canada. Includes history and rehearsal details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.chebucto.ns.ca/Culture/BluenoseJugglers/pomorze.html"} +{"d:Title": "Zywiec", "d:Description": "Song and dance group based in Ealing, London, United Kingdom. Includes image galleries and details of classes, events and membership.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.zywiec.co.uk/"} +{"d:Title": "Krakowiak Polish Dancers of Boston", "d:Description": "Group based in Massachusetts, United States. Includes performance schedule, image gallery, director profile and details of history and rehearsals.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.krakowiak.org/"} +{"d:Title": "Tatry", "d:Description": "Ensemble based in Adelaide, South Australia. Includes performance schedule, history and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.tatry.org.au/"} +{"d:Title": "Syrena Polish Folk Dance Ensemble", "d:Description": "Based in Milwaukee, Wisconsin, United States. Includes events, image gallery, organisation information and details of the Syrenka children\u2019s ensemble. The repertoire section has information on regional culture and costumes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.syrenadancers.com/"} +{"d:Title": "Tatry Polish Song and Dance Company", "d:Description": "Based in Hammersmith, London, United Kingdom. Includes events, classes, the kapela, Young Tatry and a featured dancer. Image galleries give a pictorial history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.tatry.org.uk/"} +{"d:Title": "Obertas Polish Folklore Ensemble", "d:Description": "Group based in Bowen Hills, Brisbane, Queensland, Australia. Includes image galleries and details of their repertoire rehearsals and artistic director.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.obertas.org.au/"} +{"d:Title": "Ziema Polska", "d:Description": "Song and dance troupe of the Catholic Association for Polish Youth in Paris, France. Offers details on membership, activities and rehearsals.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://mapage.noos.fr/ksmp75/"} +{"d:Title": "Syrenka Polish Folkloric Ensemble", "d:Description": "Based in Sydney, New South Wales, Australia. Brief details of history, rehearsal times and the committee with an image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.syrenka.org.au/"} +{"d:Title": "Federation of Polish Folklore Groups In Great Britain", "d:Description": "Festival news and group contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.polishfolkloregroups.co.uk/"} +{"d:Title": "Polanie Polish Song&Dance Association", "d:Description": "Based in Calgary, Alberta, Canada. Includes repertoire, director's biography, history and image gallery. [Polish/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.polanie.ca/"} +{"d:Title": "Polish Dance in Southern California", "d:Description": "A study of the history of the dance groups of Southern California with descriptions of selected dances including their history, music and costumes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.usc.edu/dept/polish_music/dance/"} +{"d:Title": "Wielkopolanie", "d:Description": "Folkloristic group from Poznan, Poland. Includes news, events, repertoire, history, audio and video clips and image galleries. [English/Polish. May not work in all browsers]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.folklor.pl/"} +{"d:Title": "Poolse Zang en Dans Vereniging Podlasie", "d:Description": "Ensemble with adult and children's groups, choir and orchestra in Limburg, Netherlands. Includes brief details of the group, their rehearsals, events and an image gallery [English/Dutch].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.podlasie.nl/"} +{"d:Title": "Mazury", "d:Description": "The folk dance company of the Polish Young Men's Christian Association (YMCA), based in Ealing, London, United Kingdom. Includes details of the junior, middle and senior groups, news, image galleries and a history of the group.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.mazury.org.uk/"} +{"d:Title": "Polish American Folk Dance Company", "d:Description": "Performance and cultural group based in New York, USA. Includes history, repertoire, image galleries, event calendar, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.pafdc.org/"} +{"d:Title": "Syrena Polish Song and Dance Ensemble", "d:Description": "Brief details and contact information for this performance group from Brunssum, the Netherlands. [Dutch/English/German/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.dansgroepsyrena.nl/"} +{"d:Title": "Polonia Folk Dance Ensemble", "d:Description": "Based in Regina, Saskatchewan, Canada. Details of their up-coming events, history, directors, costumes and dances with image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://nonprofits.accesscomm.ca/polonia/"} +{"d:Title": "Polish Canadian Dance Society", "d:Description": "Performance and cultural group in the Greater Vancouver area. Includes its history, a schedule, photographs and Board of Directors list. [English/Polish]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.polonezdance.com/"} +{"d:Title": "Polish Folk Dance Association of the Americas", "d:Description": "Aims to sponsor North American Polish folk dance festivals and serve as a central source for folklore information. Includes newsletter, resources, bye laws, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.pfdaa.org/"} +{"d:Title": "Mala Polska Folk Ensemble", "d:Description": "Community group based in Michigan, USA. Includes profile, membership details, image galleries, calendar of events and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://dance.prcua.org/malapolska/"} +{"d:Title": "OPOLE Dance", "d:Description": "Youth and children's school in Sterling Heights, Michigan, USA. Includes instructor biography, membership information, and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://dance.prcua.org/opole/"} +{"d:Title": "Polonez Song and Dance Ensemble", "d:Description": "Based in Melbourne, Victoria, Australia. Includes details of junior and teen groups (Maly and Sredni Polonez), orchestra, key member profiles, history, rehearsals, repertoire, concerts, and image galleries of recent trips.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.polonez.org.au/"} +{"d:Title": "Orleta - Polish Folk Song and Dance Group", "d:Description": "Brief details with image galleries of this amateur performance group based in London, UK. [English, Polish]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.orleta.co.uk/"} +{"d:Title": "Richard Schmidt", "d:Description": "Canadian artistic director, choreographer, instructor, events director and coordinator. Includes profile, schedule, image gallery, Polish folk tour and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.folkdance.ca/"} +{"d:Title": "Lowiczanie Polish Folk Dance Ensemble", "d:Description": "Based in San Francisco, California, United States. Details of history, choir, events, practice sessions, merchandise (including costumes) and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.polishfolk.org/"} +{"d:Title": "Kujawiacy", "d:Description": "Song and dance ensemble in Kitchener, Ontario, Canada. Details of their history, members and repertoire with image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.kujawiacy.com/"} +{"d:Title": "Janosik Polish Dance Ensemble", "d:Description": "Performing troupe with choir and kapella in Montgomery County, Philadelphia, United States. Includes profile, history, details of repertoire and joining information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.janosikdancers.org/"} +{"d:Title": "Lechowia Polish-Canadian Folk Dance Company", "d:Description": "Group based in Toronto, Ontario. Includes details of competitions and festivals, with image galleries and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.angelfire.com/de/lechowia/official.html"} +{"d:Title": "Poloniny", "d:Description": "Brief details about the ensemble of Rzesz\u00f3w University of Technology. [English/Polish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.poloniny.rzeszow.pl/"} +{"d:Title": "Dolina", "d:Description": "Group based in Minneapolis/St. Paul, Minnesota, United States. Includes details of choir, kapela, adult and Chabry (teen's) groups, repertoire and costume information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://dolinadancers.wordpress.com/"} +{"d:Title": "Polonia Dance Ensemble", "d:Description": "The dance ensemble of the Polish Roman Catholic Union of America (PRCUA) based in Chicago. Includes history, repertoire, image galleries and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Polish", "url": "http://www.poloniaensemble.org/"} +{"d:Title": "Uralski Khorovod", "d:Description": "Brief details with image gallery of this group based in the Urals. [English/Russian].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Russian", "url": "http://www.ural-khorovod.narod.ru/"} +{"d:Title": "Moscow State Academic Theatre of Dance \"Gzhel\"", "d:Description": "Professional dance troupe working in folk dance and modern dance (music hall). Includes profile, history, biography of director, images of the artists and staff, press reviews, image galleries, video clip and contact details. [English/Russian].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Russian", "url": "http://www.gzhel-zakharov.ru/"} +{"d:Title": "Barynya", "d:Description": "Professional music, song and dance ensemble from New York, USA, offering Russian, Ukrainian, Russian Gypsy, Cossack, and Klezmer performances and workshops in English, Russian or Ukrainian. Includes music and dance videos, image gallery, translated lyrics, details of programmes, album and CD, merchandise, schedule, testimonials, biographies, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Russian", "url": "http://www.barynya.com/"} +{"d:Title": "Raduga", "d:Description": "Children's dancing group from Kronshtadt, Russia. Original professional performances based on Russian and other folk dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Russian", "url": "http://raduga.tripod.com/"} +{"d:Title": "Bystrina", "d:Description": "Brief details of amateur folklore ensemble from Bansk\u00e1 Bystrica with photograph and audio galleries. [English/French/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.bystrina.sk/"} +{"d:Title": "\u010carnica", "d:Description": "Ensemble based in Ko\u0161ice, Slovakia, presenting the traditions of Eastern Slovakia. Includes image galleries and details of the children's group, \u010carni\u010dka. [English/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.carnica.sk/"} +{"d:Title": "Kapu\u0161an\u010dan", "d:Description": "Children's group offering traditions from the \u0160ari\u0161 region, Slovakia. Includes profile, repertoire, image gallery, and contact details. [English/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.kapusancan.sk/"} +{"d:Title": "L\u00fa\u010dnica - Slovak National Folklore Ballet", "d:Description": "Details of the dance ensemble and choir, their performances, repertoire, CDs, tours with image galleries, reviews, and contact details. [English/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.lucnica.sk/"} +{"d:Title": "Magura", "d:Description": "Brief details of this ensemble from Kezmarok with image galleries. [English/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.fsmagura.sk/"} +{"d:Title": "Folkl\u00f3rny s\u00fabor Detva", "d:Description": "Folklore group from Detva, Slovakia, presenting the traditions of the Podpo\u013eanie region. Includes image gallery and contact details. [English/German/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://detvafolklor.podpolanie.sk/"} +{"d:Title": "J\u00e1no\u0161\u00edk Svit Slovakia", "d:Description": "Amateur folklore ensemble from Svit, Slovakia. Includes performance calendars, image galleries, history, and contact details. [English/German/Slovak]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.janosiksvit.sk/"} +{"d:Title": "Ilosvai Selymes P\u00e9ter", "d:Description": "Folk ensemble from Velka Ida, Eastern Slovakia. Includes profile, calendar, and image galleries. [English/Hungarian/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.ilosvai.sk/"} +{"d:Title": "Korni\u010dka", "d:Description": "Children's folklore ensemble from Tren\u010d\u00edn in western Slovakia. Includes repertoire, performance calendar, image gallery and contact details. [English/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.kornicka.sk/"} +{"d:Title": "Dru\u017eba z Tren\u010d\u00edna", "d:Description": "Ensemble from Trencin, Slovakia. Includes history, image galleries, details of performances and repertoire and information on Trencin and folklore events in region. [English/German/Slovak/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.fsdruzba.szm.com/"} +{"d:Title": "Bezanka", "d:Description": "Performance group from Bratislava, Slovakia. Includes history, repertoire, image galleries and contact details. [English/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://www.bezanka.sk/"} +{"d:Title": "Vagonar", "d:Description": "Ensemble from Poprad, Slovak Republic, preserving the traditions of the Tatra region. Includes history, repertoire, image gallery, video and audio clips. [English/German/Slovak].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Slovak", "url": "http://vagonar.sk/"} +{"d:Title": "Grupo Folcl\u00f3rico Ucraniano Poltava", "d:Description": "Brief details on this group from Paran\u00e1, Brazil. [English, Portuguese].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian", "url": "http://www.poltava.com.br/"} +{"d:Title": "Ukrainian Dance - Wikipedia", "d:Description": "Overview including historical development and regional styles.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian", "url": "http://en.wikipedia.org/wiki/Ukrainian_dance"} +{"d:Title": "Ukrainian Dancing - Wikibooks", "d:Description": "A detailed resource for Ukrainian dancers and instructors of regional dance steps and their variations.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian", "url": "http://en.wikibooks.org/wiki/Ukrainian_Dancing"} +{"d:Title": "Ukrainian Dancing", "d:Description": "Forum at Dance Net.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian", "url": "http://www.dance.net/ukrainiandancing.html"} +{"d:Title": "Kyiv Ukrainian Dance Ensembe and School", "d:Description": "Located in Pittsburgh, PA, this dance group specializes in performance and instruction of traditional and contemporary Ukainian Folk Dance. With pictures of performances, schedule, and school information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian", "url": "http://www.kyivdance.net/"} +{"d:Title": "Verchovyna", "d:Description": "Melbourne based youth ensemble. Includes profile, history, syllabus, image galleries, and administration details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Australia", "url": "http://www.verchovyna.com.au/"} +{"d:Title": "Veselka -Ukrainian Dance Ensemble of Sydney", "d:Description": "Performance group in Australia, and Veselchata. their children's group. Includes profile, photograph, video, and audio galleries, events calendar, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Australia", "url": "http://members.optusnet.com.au/demyan/"} +{"d:Title": "Roztiazhka Ukrainian Cossack Dancers", "d:Description": "Dance company from Perth. Includes profile, history of Ukrainian dance in Perth, events calendar and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Australia", "url": "http://www.cossackdancers.org/"} +{"d:Title": "Aurora Ukrainian Dancers", "d:Description": "Performance group in Yellowknife, Northwest Territories offering classes. Includes details of registration and performances, instructor profiles, and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada", "url": "http://www.auroraukrainiandancers.ca/"} +{"d:Title": "Volya Ukrainian Dance Ensemble", "d:Description": "Performance group and school from Edmonton. Includes profile, director's biography, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.volya.org/"} +{"d:Title": "Vohon Ukrainian Dance Ensemble", "d:Description": "Performance group from Edmonton. Includes profiles of the group and its artistic directors, down-loadable wallpapers, and details of their events and CD.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.vohon.ca/"} +{"d:Title": "Verkhovyna Ukrainian Song and Dance Ensemble", "d:Description": "School, performing group and choir from Edmonton. Includes history, staff biographies, events, image gallery, and merchandise.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.verkhovyna.ca/"} +{"d:Title": "Cheremosh", "d:Description": "School and semi-professional dance company in Edmonton. Includes news, director's biography, and details of organization, programs, and festival.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.cheremosh.ca/"} +{"d:Title": "Veeteretz School of Ukrainian Dance Association", "d:Description": "Edmonton. Includes instructor biographies, news, competition results and details of an annual festival.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://members.shaw.ca/g.hanke/"} +{"d:Title": "Yalenka Ukrainian Dancers Society", "d:Description": "School of dance in Calgary. Includes profile, events calendar, newsletters, instructors biography, history, regional information, and registration details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.yalenka.com/"} +{"d:Title": "Barvinok Ukrainian Dance Ensemble", "d:Description": "Performance group offering classes in Calgary. Includes profile, newsletter, event calendar, imasge galleries, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.barvinok.ca/"} +{"d:Title": "Holy Cross Zorianka Ukrainian Dancers", "d:Description": "Bulgarian dance studio in Edmonton, AB, Canada. Offers lessons to dancers of all levels and ages. Read the history of the studio, and find pictures of their classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.zorianka.com/"} +{"d:Title": "Ukrainian Shumka Dancers", "d:Description": "Performance company and school from Edmonton. Includes profile with audition details, repertoire, information on the school including curriculum, instructors, facilities, and registration, and details of merchandise.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.shumka.com/"} +{"d:Title": "Suzirya Ukrainian Dance Theatre", "d:Description": "Performance group offering a fusion of ballet and traditional folk dance, based in Calgary, also offering classical ballet classes. Includes company profile, events, details of fundraising and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://www.suzirya.com/"} +{"d:Title": "Troyanda Ukrainian Dancers", "d:Description": "Includes history, events, newsletter, and details of annual festival, summer camp, and traditions. Located in Grande Prairie.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://troyandagp.com/"} +{"d:Title": "Tryzub Ukrainian Dance Ensemble", "d:Description": "Performance group and school in Calgary. Includes profile, director's biography, history, facilities, events calendar, reviews, and image gallery, as well as details of the school classes and registration.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://tryzub.ca/"} +{"d:Title": "Alberta Zirka Ukrainian Performers", "d:Description": "Performance group offering classes in Calmar. Brief details of group, membership, calendar of events and down-loadable registration form.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Alberta", "url": "http://iangruber.wixsite.com/zirka"} +{"d:Title": "Zirka Ukrainian Dancers", "d:Description": "Performing group and school offers instruction from pre-school to young adult in the Okanagan Valley. Includes profile, class and event calendar, and registration details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/British_Columbia", "url": "http://www.zirkadancers.ca/"} +{"d:Title": "Zirka Ukrainian Dance Ensemble Inc", "d:Description": "Brief details of this school and performing group in BC, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Manitoba", "url": "http://www.zirkadancers.ca/"} +{"d:Title": "Rusalka Ukrainian Dance Ensemble", "d:Description": "Promotional video of this Winnipeg group.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Manitoba", "url": "http://www.rusalka.mb.ca/"} +{"d:Title": "Troyanda Ukrainian Dance Ensemble", "d:Description": "Selkirk display group. Includes instructor profiles, list of tours, events, repertoire, image galleries, video clips, and audition contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Manitoba", "url": "http://www.troyanda.com/"} +{"d:Title": "Romanetz Ukrainian Dance Ensemble", "d:Description": "Winnipeg dance group, offering information and pictures of their performances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Manitoba", "url": "http://www.romanetz.org/"} +{"d:Title": "Veselka Ukrainian Dancers", "d:Description": "Performance troupe of the Teulon Ukrainian Dance Club. Includes history, and events calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Manitoba", "url": "http://www.mts.net/~kozyprod/"} +{"d:Title": "Dunai", "d:Description": "Ensemble from St. Catharines. Includes instructor profiles, group history, image gallery, and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Ontario", "url": "http://www.infoukes.com/dunai/"} +{"d:Title": "Barvinok Ukrainian Dance School", "d:Description": "Based in Mississauga. Includes profile, events calendar, image galleries and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Ontario", "url": "http://www.barvinok.org/"} +{"d:Title": "Yavir", "d:Description": "Ensemble and school based in Toronto. Includes history, course details, organization, events schedule, registration details, and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Ontario", "url": "http://yavir.ca/"} +{"d:Title": "Zorya Ukrainian Dance Association", "d:Description": "Performance group and school in Thunder Bay. Includes profiles, classes, regional costumes, and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Ontario", "url": "http://www.zorya.ca/"} +{"d:Title": "Vesnianka", "d:Description": "Ensemble from Toronto. Includes history, photographs, class details, and anniversary celebrations.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Ontario", "url": "http://www.infoukes.com/vesnianka/"} +{"d:Title": "The Kozaks", "d:Description": "Professional performing troupe based in Toronto offering traditional Cossack dancing in a cabaret style. Includes profile, image gallery, video clip and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Ontario", "url": "http://www.thekozaks.com/"} +{"d:Title": "Troyanda Ukrainian Dance Ensemble", "d:Description": "Montreal. Performance group offering dances from central and western regions of Ukraine. Includes profile, overview of Ukrainian regions and dances, details of practices and performances, image and video clip galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Quebec", "url": "http://www.troyanda.net/"} +{"d:Title": "Tavria Ukrainian Folk Dance Ensemble", "d:Description": "Performance group of the school of Ukrainian dance in Regina. Includes details of the ensemble, the school, the annual festival, and the artistic directors and instructors, a calendar of events, class schedules, image galleries and video clips of the ensemble and school programs.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Saskatchewan", "url": "http://www.tavria.org/"} +{"d:Title": "Yevshan", "d:Description": "Professional Ukrainian Folk Ballet Ensemble headquartered in Saskatoon. History, image gallery, artistic director profile, member list, audition details, and booking information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Saskatchewan", "url": "http://www.yevshan.ca/"} +{"d:Title": "Poltava Ensemble of Song, Music and Dance", "d:Description": "Performance group and school in Regina. Includes history, image galleries, event calendar and details of school.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Saskatchewan", "url": "http://www.poltava.ca/"} +{"d:Title": "Kolos - Regina Ukrainian Dance Ensemble", "d:Description": "Performing ensemble and school. Includes an events calendar and details of classes, instructors and studios.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Saskatchewan", "url": "http://www.reginaukrainiandance.ca/"} +{"d:Title": "Ukrainian Palette of Pavlychenko", "d:Description": "The Pavlychenko Folklorique Ensemble is one of the very best dance groups in Canada. With information about the cast, the repertoire and upcoming shows.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Canada/Saskatchewan", "url": "http://pfedance.com/"} +{"d:Title": "Podilla", "d:Description": "Brief details of this youth group from Khmelnitsky. [English, French, Spanish, Ukrainian]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Ukraine", "url": "http://lubo.info/"} +{"d:Title": "Kvity Ukrayiny Model Children&Youth Dance Ensemble", "d:Description": "Image galleries of performances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Ukraine", "url": "http://www.dance.org.ua/"} +{"d:Title": "Lelechenky - The Storks", "d:Description": "Brief details of a group in Kiev formed from the children of evacuatees or clean up workers from the Chornobyl area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Ukraine", "url": "http://www.cochems.com/chornobyl/kids.html"} +{"d:Title": "Halychyna", "d:Description": "National song and dance ensemble from Lviv. Features history, performance details, the orchestra, image gallery, and Ukrainian cultural information including costumes, folk art, Easter eggs and cuisine.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/Ukraine", "url": "http://www.halychyna.org.ua/"} +{"d:Title": "Orlyk Ukrainian Dance Ensemble", "d:Description": "Performance group based in Manchester, UK. Includes profile, history, dance repertoire, image galleries, event calendar, and audio clips from their CD.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/United_Kingdom", "url": "http://www.orlyk.co.uk/"} +{"d:Title": "Voloshky Ukrainian Dancers", "d:Description": "Company centered in Philadelphia. Includes profile, staff biographies, events calendar, dance repertoire, critical reviews, and booking and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/United_States", "url": "http://www.voloshky.com/"} +{"d:Title": "Syzokryli Ukrainian Dance Ensemble", "d:Description": "Dance group based in New York City. Director profile, image gallery, class locations, summer dance camp details, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/United_States", "url": "http://www.brama.com/syzokryli/"} +{"d:Title": "Ukrainian Dancers of Miami", "d:Description": "Dance group dedicated to the promotion and preservation of the Ukrainian culture, performing both traditional and contemporary Ukrainian folk dances. Performance schedule, membership, image gallery, and group history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/United_States", "url": "http://www.ukrainiandancersmiami.org/"} +{"d:Title": "Yevshan Ukrainian Dance Ensemble", "d:Description": "Brief details with photographs of this performing group based in Rochester, New York.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/United_States", "url": "http://members.tripod.com/yevshan_ukies/"} +{"d:Title": "Kazka Ukrainian Folk Ensemble", "d:Description": "Northeastern Pennsylvania. Includes history, repertoire, events calendar, details of dance camp and CD, and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/United_States", "url": "http://kazkaensemble.org/"} +{"d:Title": "Zorya", "d:Description": "Texas. Performance group based in Dallas, Texas. Includes profile, practice and performance calendars, and image and video gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Eastern_European/Ukrainian/United_States", "url": "http://www.uast.org/Zoria/"} +{"d:Title": "Country Dance Society, Boston Centre", "d:Description": "Boston, Massachusetts. Information about the organization, their English country and contra dance programs, CDs and publications.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.cds-boston.org/"} +{"d:Title": "Santa Barbara Country Dance Society", "d:Description": "English and contra dances in Santa Barbara, California. Regular dances, festivals, newsletters and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.sbcds.org/"} +{"d:Title": "English Folk Dance and Song Society (EFDSS)", "d:Description": "Promotes English folk music and dance. Includes lists of events at Cecil Sharp House (London), their books and CDs, and Root Source - a guide to folk activities in England.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.efdss.org/"} +{"d:Title": "Seattle Folk Dancing: English Country", "d:Description": "Events for dancers and musicians. Also hosts a calendar of events on the US West Coast, with an introduction to the dances, and lists of musicians and leaders.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://seattledance.org/english/"} +{"d:Title": "English Country Dance of Jacksonville and St. Augustine", "d:Description": "Dances in Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://chdyer.tripod.com/jaxengl.html"} +{"d:Title": "Jigs 'N' Reels", "d:Description": "Agency providing bands for barn dances and ceilidhs in the UK. Includes a list of bands, information about what a barn dance involves and tips for organizing one.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.jigsnreels.com/"} +{"d:Title": "Lambertville Country Dancers", "d:Description": "Dances in Titusville, New Jersey. Includes resources for dance musicians, and links to related sites.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://lambertvillecountrydancers.org/"} +{"d:Title": "Country Dance and Song Society", "d:Description": "USA-based umbrella organization for English and Anglo-American folk dance and music, including contra, English country, and English ritual dance such as Morris. Contains information about membership, summer programs and products for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.cdss.org/"} +{"d:Title": "English Country Dancing.org", "d:Description": "Information about a series of regular dances and balls in Riverside, California. Also includes essays on 18th century dance, music and clothing, photo galleries and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.englishcountrydancing.org/"} +{"d:Title": "Webfeet", "d:Description": "Covers English ceilidh, folk, barn, Cajun, French/Breton and morris dance. Lists of events, bands and venues in the UK, with links to other sites, and a FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.webfeet.org/"} +{"d:Title": "Germantown Country Dancers", "d:Description": "Philadelphia based group. Includes a calendar of events for dancers and musicians, an introduction to the dances, information about their performance groups, and a list of dances with information about the set.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://germantowncountrydancers.org/"} +{"d:Title": "The English Country Dance Mailing List", "d:Description": "How to subscribe, and list archives. Also, a brief introduction, articles on the history and differences between English country and contra dancing, and a state-by-state listing of dances in the US.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www-ssrl.slac.stanford.edu/~winston/ecd.htmlx"} +{"d:Title": "Toronto English Country Dancers", "d:Description": "Information on English country dances and other special English dances. Schedule of upcoming dances included.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.torontoenglishdance.ca/"} +{"d:Title": "Colin Hume's web site", "d:Description": "Notes on teaching dance technique, as well as articles on folk dance including \"Advice for Americans\", offered by a country dance caller. Also offers a shareware dance organiser program.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.colinhume.com/"} +{"d:Title": "Bay Area Country Dance Society", "d:Description": "Society promoting and teaching contra, square, ceilidh and English country dances. With dance calendar, guide to upcoming special events, and links to country dancing resources.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.bacds.org/"} +{"d:Title": "Set and Turn Single", "d:Description": "UK-based magazine including listings of events and regular meetings, and club contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.setandturnsingle.org.uk/"} +{"d:Title": "Heathfield Folk Dance Club", "d:Description": "UK. Social group meeting weekly dancing the English and American traditions. Includes profile, calendar, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.heathfieldfolk.org.uk/"} +{"d:Title": "Ealing Folk&Country Dancing", "d:Description": "London, UK. Social club in a variety of styles. Includes meeting details, caller calendar, events, image gallery, sample dances, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.ealingfolk.org.uk/"} +{"d:Title": "Dover English Country Dancers", "d:Description": "Group based in Delaware. Schedule of events and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.doverdancers.org/"} +{"d:Title": "Lexington English Country Dancers", "d:Description": "English Country Dance group in Lexington, Kentucky. With schedule of their weekly open dances, and basic information on English Country Dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://web.qx.net/dce/lecd/"} +{"d:Title": "St. Louis English Country Dancers", "d:Description": "Monthly dances, and other events, in Missouri. Includes dance instructions for their Playford ball.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.stlecd.org/"} +{"d:Title": "Charlottesville English Country Dance", "d:Description": "Schedule of dances, callers, and musicians. Links to neighboring groups. Brief description of English Country Dancing and history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://home.earthlink.net/%7Ehmarkham/"} +{"d:Title": "Central Illinois English Country Dancers", "d:Description": "English and early American dances. Schedule of events, newsletter, fliers and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.centralillinoisecd.org/"} +{"d:Title": "San Diego English Country Dancers", "d:Description": "Club in California, USA. Includes details of classes, instructors, events, repertoire, image galleries and selected dance instructions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.sdecd.org/"} +{"d:Title": "New Hampshire English County Dance Society", "d:Description": "Information about upcoming events. Includes dance instructions for their Spring Ball.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.nhecds.org/"} +{"d:Title": "Country Dancers of Westchester", "d:Description": "Dances and other social events in White Plains, New York. Information about a CD of dance tunes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://sites.google.com/site/cdwestchester/"} +{"d:Title": "The Heather and The Rose Country Dancers", "d:Description": "Network of groups in Oregon USA dancing English and Scottish dances. Information about activities, terminology and membership.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.heatherandrose.org/"} +{"d:Title": "The Round", "d:Description": "Cambridge University English country dance club. Includes background information on Playford dances, definitions, descriptions of dances, and sheet music - as well as information about the club's events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://round.soc.srcf.net/"} +{"d:Title": "The Leesburg Assembly", "d:Description": "An English Country Dance community located in Northern Virginia. With news, events and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "https://sites.google.com/a/theleesburgassembly.org/the-leesburg-assembly/Home"} +{"d:Title": "Kingston English Country Dance", "d:Description": "English Country Dance group in Rhode Island, with directions, history and class schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://kingstonenglish.wordpress.com/"} +{"d:Title": "John&Hilary Turner", "d:Description": "A series of English Country Dance Weekends for enthusiasts covering a wide variety of styles from Playford to New England Contras. The events (two per year) are held in the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing", "url": "http://www.jhmturner.me.uk/"} +{"d:Title": "Sheffield University Ceilidh Society", "d:Description": "Society events and news for dancers and musicians as well as other related events in and around Sheffield, England. Includes sheet music and a discussion forum.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.ceilidhsoc.org/"} +{"d:Title": "Swing Your Partners", "d:Description": "Caller Derek Kingscote, based in Gloucestershire, England. Includes booking information, and a brief guide to organizing a barn-dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.swingyourpartners.co.uk/"} +{"d:Title": "Mumpers", "d:Description": "Contact details and programme for this club which meets monthly in Derby, England.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.mumpers.org.uk/"} +{"d:Title": "English Ceilidh Discussion List", "d:Description": "How to join, leave and post, and information on what subjects are suitable. Also, a collection of classic posts.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.cix.co.uk/~net-services/ec/"} +{"d:Title": "John Brown Ceilidh Caller", "d:Description": "Based in Sheffield, England. Includes dance descriptions, upcoming gigs, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.ceilidhcalling.co.uk/"} +{"d:Title": "University of Warwick Folk Society", "d:Description": "Details of events, photographs and contact details for this students club.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.warwickfolk.co.uk/"} +{"d:Title": "Great Western Ceilidhs", "d:Description": "Dances in Exeter, England.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.great-western.org.uk/ceilidhs/"} +{"d:Title": "Keir Hardie Ceilidhs", "d:Description": "Programme for this ceilidh series operating third Thursday in the month in Norwich.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://www.on-y-va.co.uk/kh/default.html"} +{"d:Title": "A Barn Dance Repertoire", "d:Description": "A list of simple dances, listed alphabetically and by formation, with difficulty ratings. Also tips, links and resources for callers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/English_Ceilidh", "url": "http://barndances.org.uk/"} +{"d:Title": "The Dancing Master, 1651-1728: An Illustrated Compendium", "d:Description": "Coded figures, facsimiles and other data for all of the 1,053 known dances published in various editions of Playford. Also includes some background information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://www.izaak.unh.edu/nhltmd/indexes/dancingmaster/"} +{"d:Title": "Merri Pryanksters", "d:Description": "Information about rehearsals in California, plus descriptions of the dances from the first edition of Playford, with abbreviations expanded and spellings modernized.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://www.pryanksters.org/"} +{"d:Title": "Estienne's Country Dance Book", "d:Description": "Descriptions of steps, and reconstructions of selected dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://www.pbm.com/~lindahl/del/sections/english_country_dance.html"} +{"d:Title": "Dafydd's Collection of Playford's English Country Dances", "d:Description": "Reconstructions of a selection of dances, mainly from the first edition.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://www.pages.drexel.edu/~white/dplayford.html"} +{"d:Title": "Nicolas Broadbridge", "d:Description": "Caller, teacher, musician and researcher based in Scotland. Information about dance groups in Edinburgh and Glasgow, music groups, and books and CDs for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://www.nicolasbroadbridge.com/"} +{"d:Title": "The English Dancing Master by John Playford 1651", "d:Description": "The complete text of the first edition. Includes links to some of the music.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://www.cs.cmu.edu/afs/andrew.cmu.edu/org/Medieval/www/src/contributed/pc2d@andrew.cmu.edu/dance/playford.html"} +{"d:Title": "The Strafford Ball", "d:Description": "Annual Playford-style ball in Strafford, Vermont. Includes dance instructions for previous and upcoming balls.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://engineering.dartmouth.edu/~Christopher_Levey/ball/"} +{"d:Title": "The British Library: John Playford - From Country Dance to Contradanse", "d:Description": "Virtual exhibition covering Playford's publications and influence, illustrated with items from the Library's collection.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/English_Country_Dancing/Playford", "url": "http://www.bl.uk/reshelp/findhelprestype/music/playfordmaster/playfordcontradanse/playfordcontradanse.html"} +{"d:Title": "Greek Dances Theater \"Dora Stratou\"", "d:Description": "The official institution for Greek folk dance, music and costumes, since 1953. Permanently sponsored by the Greek government. Performances, publications, research, classes, workshops, lectures, exhibitions etc.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Greek", "url": "http://www.grdance.org/"} +{"d:Title": "Traditional Folklore Association of Hania", "d:Description": "Folklore association, in Greece. With pictures and history. Available in English and Greek.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Greek", "url": "http://agiasmenakis.tripod.com/"} +{"d:Title": "Lyra Greek Dancers", "d:Description": "A performance group based in London, UK. Includes history, list of dances, image gallery of costumes, past performances and details of musicians and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Greek", "url": "http://www.lyradancers.org.uk/"} +{"d:Title": "Christopher Copeman's Greek Dance Notebook", "d:Description": "Weblog containing articles based on personal experiences in Greece and Cyprus.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Greek", "url": "http://www.christophercopeman.co.uk/"} +{"d:Title": "The Philhellenes Greek Dancers", "d:Description": "Traditional group based in London, England. Include image galleries and information on regional costumes and dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Greek", "url": "http://www.philhellenes.com/"} +{"d:Title": "Mikerline Dance Troupe", "d:Description": "New York. Information on classes, performance schedule, and group's history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Haitian", "url": "http://www.rockmasters.com/mikerline/"} +{"d:Title": "Danse Adje", "d:Description": "Austin, Texas. Offers classes and workshops in Haitian folk dance, Afro-Haitian dance, and Afro-Caribbean percussion. Photos, a calendar of events, and profiles of the founding members.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Haitian", "url": "http://www.ethnocool.com/"} +{"d:Title": "Tamboula Ethnic Dance Company", "d:Description": "Chicago. Information about performances, lectures, and classes, with biography of principal. [May not work in all browsers]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Haitian", "url": "http://www.tambouethnic.com/"} +{"d:Title": "Ballet Folklorique Tamboula D'Haiti", "d:Description": "Afro-Haitian dance company, promoting Haitian folkloric dance, traditional rhythms, culture, and heritage in the Caribbean and the U.S.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Haitian", "url": "http://www.tamboula.com/"} +{"d:Title": "Salland Festival", "d:Description": "Annual folk dance festival in Raalte. Includes details of the organization, rules, participating groups and image galleries. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.sallandfestival.nl/"} +{"d:Title": "Op Roakeldais Dansfestival", "d:Description": "Annual international folk dance festival in Groningen, Netherlands. Includes details of the organisation, the program, image galleries and the local area. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.oproakeldais.nl/"} +{"d:Title": "Werelddans bij Agap\u00f3", "d:Description": "Performance and recreational group in Twente, Netherlands. Includes profile, classes, and images gallery of costumes. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://agapo.twente.org/"} +{"d:Title": "Dobro Dosli", "d:Description": "Recreational and performing group in the Netherlands. Includes brief details of classes with directions and photographs. [Dutch/English/French/German/Spanish].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.dobrodosli-zutphen.nl/"} +{"d:Title": "Westwind International Folk Ensemble", "d:Description": "SF Bay Area 40 member touring company combines live music, dance and song to present cultural traditions to educate and entertain.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.westwind-folk.org/"} +{"d:Title": "The Friendly FolkDancers", "d:Description": "A group of Quakers who minister through dance. Includes philosophy, sample program, details of upcoming and past tours, and newsletters.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.infinitejoy.com/ffd/"} +{"d:Title": "Folk Arts Center of New England", "d:Description": "A non-profit organization in Cambridge, MA, USA; dedicated to promoting traditional dance, music, and related folk arts of many cultures, publishing a newsletter and calendar of dances and events in the greater Boston area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.facone.org/"} +{"d:Title": "Il Salterio Cultural Association", "d:Description": "The cultural association \"Il Salterio\" is born in 1986 from the meeting of some young people of Brescia (Italy), interested in the knowledge and diffusion of folk dances from different countries and different traditions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.ilsalterio.net/"} +{"d:Title": "Framingham Folk Dancers", "d:Description": "Recreational group in Massachusetts, USA. Includes group, meeting, and membership information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.duxburysystems.com/ffd/"} +{"d:Title": "Texas International Folk Dancers", "d:Description": "Links to member groups and many other folk dance and music organizations.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://tifd.org/"} +{"d:Title": "International Folk Dancing in Montana", "d:Description": "Information about recreational groups and events in Montana, USA. Includes brief profiles, contact details and maps for each group.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.montanafolkdance.org/"} +{"d:Title": "Merton Park Folk Dancers", "d:Description": "Details of the classes and repertoire of this London,UK club.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.philip.steventon.ukgateway.net/"} +{"d:Title": "International Folk Dancing", "d:Description": "Details of groups and classes in the south of England, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.interfolk.co.uk/"} +{"d:Title": "Rainmakers International Folk Dance Group", "d:Description": "Recreational and display group in UK. Includes profile, events calendar and photographs.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.rainmakers.org.uk/"} +{"d:Title": "Hugo's International Folk Dancing Pages", "d:Description": "Offers instructions for 60 easy international dances and a glossary of terms.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.mts.net/~jinks/fd/"} +{"d:Title": "Snopa! Midwinter Folkdance Camp", "d:Description": "Annual February camp in Minnesota, USA. Includes workshop schedule, registration form and directions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.snopa.org/"} +{"d:Title": "Stockton Folk Dance Camp", "d:Description": "Annual dance in California, USA. Includes image galleries of previous camps, registration form and details of instructors and courses for the next camp and directions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.folkdancecamp.org/"} +{"d:Title": "Nutbrook International Folk Dancing Group", "d:Description": "Recreational group in Nottingham,UK. Includes details of meetings, forthcoming events and photograph gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.nutbrookfolkdance.co.uk/"} +{"d:Title": "Dick Oakes' International Folk Dance Resources", "d:Description": "A miscellany of folk dance resources. Includes articles, dance notes, lyrics, pronunciation guides, teacher biographies, image galleries, and links to resources.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.phantomranch.net/folkdanc/folkdanc.htm"} +{"d:Title": "Let's Dance!", "d:Description": "The magazine of the Folk Dance Federation of California, Inc, USA. Includes history, details of their contributors, submission policies, advertising rates, and membership.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.letsdancemag.net/"} +{"d:Title": "Yduna Internationale Dansgroep", "d:Description": "An amateur performance group from Ysbrechtum, Friesland, Netherlands. Includes profile, classes, and contact details. [English/Dutch].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.yduna.nl/"} +{"d:Title": "Internationaal Folkloristisch Festival Schagen", "d:Description": "Biennual festival held in North Holland. Includes details of next festival, and image galleries and details of previous festivals. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.siffschagen.nl/"} +{"d:Title": "Oxford Balkansko Oro Dance Group", "d:Description": "Amateur dancers based in Oxford, UK, dedicated to practising and preserving the folk dances of the Balkan region. Includes profile, meeting times, and photograph galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.oxfordbalkandance.org.uk/"} +{"d:Title": "Society for International Folk Dancing", "d:Description": "UK charity promoting folk dance from many countries. Includes details of their groups and classes, events and merchandise, and membership and their constitution.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.sifd.org/"} +{"d:Title": "Strictly Israeli Dancing", "d:Description": "North London dance group, doing weekly practices. With information on repertoire, classes, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.strictly-israeli-dancing.co.uk/"} +{"d:Title": "Syracuse International Folk Dancers", "d:Description": "The place for International dancing in Syracuse, New York. Dancng every Thursday night from 8:00 - 10:00: Balkan, Israeli, Greek, Scandinavian and Russian among others.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://sifd.kbhavens.com/"} +{"d:Title": "Volksdans Festival Borsele", "d:Description": "Brief details of biennial festival held in Zeeland, Netherlands. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.volksdansfestivalborsele.nl/"} +{"d:Title": "International Folk Dance Association of University City", "d:Description": "Recreational organization in Illinois, USA. Includes details of regular activities and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.stlouisfolkdance.org/"} +{"d:Title": "The Mandala Folk Dance Center", "d:Description": "Brief details of a group in San Francisco, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.themandala.org/"} +{"d:Title": "International Folk Dance in Cleveland", "d:Description": "Brief details of this recreational group in Ohio, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "https://sites.google.com/site/clevelandfolkdance/"} +{"d:Title": "The Bay Area Folk Dance Directory", "d:Description": "Calendar of events occurring in the San Francisco Bay Area and a list of venues, teachers, groups and bands.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.bayfolk.com/"} +{"d:Title": "Woodvale International Folk Dance Group", "d:Description": "Recreational and display group in Kent, UK. Includes profile, details of classes, events, contact information, photograph galleries, historical archives and costume details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.woodvaleifdg.org.uk/"} +{"d:Title": "SIVO - Stichting Internationale Volksculturen Odoorn", "d:Description": "Brief details in English of this festival in Drenthe, Netherlands. More information and program in Dutch.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.sivofestival.nl/"} +{"d:Title": "Doe Dans Festival", "d:Description": "Brief details of this annual folk dance and music festival in the Netherlands. [Dutch/English/German]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/International", "url": "http://www.doedansfestival.nl/"} +{"d:Title": "Dance Israeli!", "d:Description": "Complete listing of all classes and events in Cleveland, Ohio, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.angelfire.com/oh2/danceisraeli/"} +{"d:Title": "Machol Pacifica", "d:Description": "An annual three day Israeli dance camp held in New Zealand. Includes information on the tutors and program, registration forms, a history and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.macholpacifica.org.nz/"} +{"d:Title": "Keshet Chaim Dance Ensemble", "d:Description": "An American-Israeli contemporary dance troupe in California, USA. Includes profile, director's biographies, repertoire, reviews, past performances and educational programs, upcoming events with booking form, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.kcdancers.org/"} +{"d:Title": "Israeli Dance with David Dassa", "d:Description": "Teacher offers weekly classes and events in Los Angeles, USA. Includes brief details and down loadable registration forms for upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.rikud.com/"} +{"d:Title": "Loui Tucker - Israeli and International Dance", "d:Description": "Teacher in California, USA. Includes biography, details of weekly classes and special events with directions, and articles.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.louitucker.com/"} +{"d:Title": "Machol Miami", "d:Description": "Annual December festival in Florida, USA. Includes organization, registration, accommodation, and flight details and information on previous years event with image galleries and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.macholmiami.com/"} +{"d:Title": "Israeli Folk Dancing in Boston", "d:Description": "Details of dance classes, sessions and special events in Massachusetts, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.horah.org/"} +{"d:Title": "Israeli Dance Institute", "d:Description": "Institute promoting Israeli song and dance, offering classes, workshops and performances in London.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.idi.org.uk/"} +{"d:Title": "Harkada", "d:Description": "An international index of Israeli dance news, events, event reviews, links and dance classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.israelidancing.info/"} +{"d:Title": "IsraeliDance.info", "d:Description": "Israeli Dance calendar of places to dance, articles on Israeli Dancing, links to Israeli Dance videos", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Israeli", "url": "http://www.israelidance.info/"} +{"d:Title": "Senwaka Kai", "d:Description": "The Nishikawa school of Japanese Dance. Includes brief history, dance descriptions, past performances with photographs, and instructor profiles. [English/French/German/Italian/Japanese]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Japanese", "url": "http://homepage3.nifty.com/NAHKI/senwaka-kai/"} +{"d:Title": "Adzuma-Ryu", "d:Description": "School of traditional dance based in Tokyo. Includes information on membership, history of the school, music and costumes with details of the repertoire and performances. [English/Japanese].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Japanese", "url": "http://www.adzuma.com/"} +{"d:Title": "Japanese Folk Dance Institute of New York", "d:Description": "Offers concerts, workshops, and lectures by the professional group Minbu Za and classes with the Hanagasa group. Includes details of classes, dancers, activities and events, and information on Japanese folk dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Japanese", "url": "http://www.japanesefolkdance.org/"} +{"d:Title": "Overview of Japanese Dance", "d:Description": "Brief description in the virtual museum of Japanese arts.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Japanese", "url": "http://web-japan.org/museum/dance/dance.html"} +{"d:Title": "Sachiyo Ito&Company", "d:Description": "New York School offering performances and classes in Kabuki and Okinawan court dance. Includes details of workshops and events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Japanese", "url": "http://www.dancejapan.com/"} +{"d:Title": "Ji-uta Mai", "d:Description": "New Jersey, USA. Junko Tano, leader of the Junko Tano School of Japanese Dance. offers lessons, workshops and performances in Ji-Uta Mai - also known as Kamigata Mai or Zashiki Mai.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Japanese", "url": "http://www.japanesedance.com/"} +{"d:Title": "Mexican Folk Dance Company of Chicago", "d:Description": "Features show schedule, photo gallery, rehearsal information, current and former dancers and contacts.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Mexican", "url": "http://www.mexfoldanco.org/"} +{"d:Title": "Quetzales Mexican Dance Ensemble", "d:Description": "Northern Virginia Mexican Folkloric performing company dedicated to preserving, researching, teaching and promoting Mexican folkloric traditions and culture through art, music and dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Mexican", "url": "http://www.quetzales.org/"} +{"d:Title": "Aztec Fire Dancers", "d:Description": "Family-based group offers presentations of Aztec (Mexica) culture through dynamic and colorful traditional dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Mexican", "url": "http://www.tlanextli.com/"} +{"d:Title": "Bailadores de Bronce", "d:Description": "Mexican folkloric dance group that has been performing around the Pacific Northwest for 28 years. Features history of the group, pictures, repertoire and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Mexican", "url": "http://www.bailadoresdebronce.org/"} +{"d:Title": "Grandeza Mexican Folk Ballet Company", "d:Description": "Company was formed in 2003 with the purpose of encouraging and fostering cultural awareness and diversity. Features biographies, repertoire, pictures and calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Mexican", "url": "http://www.grandezamexicana.com/"} +{"d:Title": "Ballet Folklorico La Paloma", "d:Description": "Mexican Folklore Dance Group in Tucson, Arizona; available to perform for corporate events, weddings and anniversaries with live Mariachi band to songs from various regions in Mexico.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Mexican", "url": "http://uniqueweddings.net/lapaloma/"} +{"d:Title": "Ballet Folklorico Instituto Mazatlan Bellas Artes de Sacramento", "d:Description": "School of fine arts specializing in Mexican folklore, both music and dance. Located in Sacramento, California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Mexican", "url": "http://www.imbasac.com/"} +{"d:Title": "Dustyn Medicine Wolf", "d:Description": "Traditional Native American dance and music performances, history, stories, and personal artwork.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American", "url": "http://www.angelfire.com/co/MedicineWolf/"} +{"d:Title": "Yellow Bird Productions", "d:Description": "Authentic Native American Dances including Apache and northern plains dances. Four-time World's Champion Teen Hoop Dancer, Tony Duncan.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American", "url": "http://www.yellowbirdproductions.com/"} +{"d:Title": "The Northern Shadow Dancers", "d:Description": "A first nations dance troupe from Northern British Columbia. These representatives of native culture have performed in Europe.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American", "url": "http://www.angelfire.com/bc/northernshadowdancer/"} +{"d:Title": "Gourd Dancing", "d:Description": "Description and history of the American Indian Veterans dance of the Kiowa tribe and Comanche people.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American", "url": "http://gourddancing.homestead.com/index.html"} +{"d:Title": "Twoshields Production Company", "d:Description": "Specializing in Native American dance and cultural performances, Olympic dancers and world champion hoop dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American", "url": "http://www.twoshields.com/"} +{"d:Title": "Ceremonial Dances", "d:Description": "A brief description of the contest dances from the Red Earth Annual Celebration, Oklahoma.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American", "url": "http://www.powersource.com/gallery/objects/dance.html"} +{"d:Title": "George Bear", "d:Description": "Hoop native dancer from Manitoba, Canada. With biography, news and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American/Hoop_Dancing", "url": "http://georgebear.freeservers.com/"} +{"d:Title": "Pete FourWinds", "d:Description": "Mohawk hoop dancer, filmmaker, and photographer based in New England.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American/Hoop_Dancing", "url": "http://www.petefourwinds.com/"} +{"d:Title": "Kevin Locke", "d:Description": "Includes biography, hoop dancing, flute playing, school programs, tour schedule, travel journal, video and audio, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American/Hoop_Dancing/Locke,_Kevin", "url": "http://www.kevinlocke.com/"} +{"d:Title": "Wikipedia: Kevin Locke", "d:Description": "Biography, recordings, publications, films, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Native_American/Hoop_Dancing/Locke,_Kevin", "url": "http://en.wikipedia.org/wiki/Kevin_Locke"} +{"d:Title": "History of Dance: Polka", "d:Description": "Story of the origins and early spread of this popular dance, by Lori Heikkila.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polka", "url": "http://www.centralhome.com/ballroomcountry/polka.htm"} +{"d:Title": "rec.arts.dance FAQ: Polka", "d:Description": "Brief history, links, explanation of \"polka dots.\"", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polka", "url": "http://www.eijkhout.net/rad/dance_specific/polka.html"} +{"d:Title": "Polka steps", "d:Description": "Diagram and instructions for basic polka step.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polka", "url": "http://www.centralhome.com/ballroomcountry/polka_steps.htm"} +{"d:Title": "Polish Dances: Polka", "d:Description": "Essay points out that the polka, while popular among Polish-Americans, is not really a Polish dance like the polonaise or mazurka. Several photos. Includes bibliography.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polka", "url": "http://www.usc.edu/dept/polish_music/dance/polka.html"} +{"d:Title": "Animation&Dance: Polka", "d:Description": "Short essay on this dance. Also mentions conjunto music.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polka", "url": "http://www.anidance.de/en/he5d.htm"} +{"d:Title": "Polka Happiness", "d:Description": "Book review. Recommends this study of the polka in America to all fans of the polka.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polka", "url": "http://www.ksanti.net/free-reed/reviews/polkahappiness.html"} +{"d:Title": "Dance History Archives: The Polka", "d:Description": "The rise of this dance related to the redowa and the schottische. Important people and places in its early popularization, some early sheet music titles, names of some popular polkas.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polka", "url": "http://www.streetswing.com/histmain/z3polka.htm"} +{"d:Title": "Prince Pele's Polynesian Revue", "d:Description": "Hawaiian Polynesian dancers including Samoan Sword Firedance and audience participation with a Variety Dance Band located in Jacksonville, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://princepele.com/"} +{"d:Title": "Halau Kalama", "d:Description": "Hula Master for over 30 years, and of pure Hawaiian decent, Kumu Hula Naomi Leina`ala Kalama has provided her mana`o over the internet in this homepage.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://onipaa.net/"} +{"d:Title": "Tropical Breeze Polynesian Dance Society", "d:Description": "Based in Edmonton, Alberta, Canada. Offering authentic Polynesian dance performances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://members.shaw.ca/tropical_breeze/"} +{"d:Title": "N\u00e4 Ke`ehi I Ka Ha`a - Hula Steps", "d:Description": "A list of definitions from the Hawaiian Dictionary.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.huapala.org/Hula_Steps.html"} +{"d:Title": "Aloha Productions Luau", "d:Description": "Provides Hawaiian/Polynesian style catering, dancers, and Samoan fire knife dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.alohaproductionsluau.com/"} +{"d:Title": "Bright Entertainment", "d:Description": "Hula and Polynesian dancers for special events, hula classes, luau catering and props, and Hawaiian luau weddings. From Redondo Beach, CA, available worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.hulashows.com/"} +{"d:Title": "Kauhane Polynesian Dance School and Performance Group", "d:Description": "Classes in Hawaiian, Tahitian, Maori, Samoan, and other Polynesian island dance. Bookings of their performance group are also available. In British Columbia.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.hulaspirit.com/"} +{"d:Title": "Malu Productions", "d:Description": "A full service Polynesian entertainment company that provides lei greeters, musicians, hula dancers and fire knife dancers for all occasions. From Honolulu, HI.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.maluproductions.com/"} +{"d:Title": "Hula Halau O Puananiha'aheo", "d:Description": "Southern California Hula Halau, sharing the aloha spirit, the art and beauty of hula and teaching Hawaiian philosophy and history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.puanani.org/"} +{"d:Title": "Hula Lessons", "d:Description": "Offers videos to teach Hula. Each number is demonstrated, taught, and practiced, just like it would be in a Hula Class. Associated to N\u00e2 Puakea O Ko'olaupoko, a Hula school in Kailua.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.realhula.com/"} +{"d:Title": "Mai'Ana Polynesian Dance Group&Halau", "d:Description": "Brief details of this professional Polynesian show group and school in the Netherlands. [Dutch/English].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.maiana.nl/"} +{"d:Title": "Hawaiian Entertainment Inc", "d:Description": "Aloha Islanders, based in Florida, USA, offer performances with dances from Hawaii, Tahiti, Samoa and New Zealand. Includes details of shows and packages, image and video galleries, testimonials, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.hulaparties.com/"} +{"d:Title": "Halau O Kahealani", "d:Description": "Hula dance school in California, USA. Includes profile, indructor details and class times.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.freewebs.com/kkama67/"} +{"d:Title": "Atlanta Hula Dance", "d:Description": "Performance troupe and school in Georgia, USA offering Hawaiian, Tahitian, Maori and Samoan dance. Includes details of classes, directions, FAQ and details of styles, instruments, and hulagrams.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.atlantahuladance.com/"} +{"d:Title": "Island Rhythms Hula Company", "d:Description": "This company incorporates traditional and modern hula as well as other forms of Polynesian dance to engaging and highly polished authentic Pacific Island dances. Offers tuition and performances, from Austin, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://island-rhythms.com/"} +{"d:Title": "Halau Hula O Ke'ala Laua'e", "d:Description": "Traditional Hawaiian Dance taught in a traditional Hawaiian atmosphere. Kumu: Kuuipo Kanamu-Grube, a 5th generation hula dancer with more than 35 years experience, located in San Diego, California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://kaleonui.tripod.com/"} +{"d:Title": "Merrie Monarch Festival", "d:Description": "Annual week long festival of hula and the Hawaiian culture during Easter in Hawaii. Includes festival, event and ticket information, merchandise, previous competition winners and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Polynesian", "url": "http://www.merriemonarch.com/"} +{"d:Title": "Puerto Rican Folkloric Dance", "d:Description": "Austin, Texas. Details about classes, performances, and company history, plus a list of references and resources for Puerto Rican dance and culture.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Puerto_Rican", "url": "http://www.prfdance.org/"} +{"d:Title": "Don Rafael Cepeda Atiles School", "d:Description": "San Juan. Brief overview of dance and percussion classes, and of the Cimiento de Puerto Rico dance troop. [English/Spanish]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Puerto_Rican", "url": "http://www.prtc.net/~escueladebombayplena/"} +{"d:Title": "Danza Fiesta - Baile y Teatro Puertorrique\u00f1o", "d:Description": "A New York City based Puerto Rican and Latin American folk dance and theater company. Part of the non-profit organization Diveristy in Arts and Nations for Cultural Education, Inc (D.A.N.C.E.). With history, member information, picture gallery and information on events and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Puerto_Rican", "url": "http://www.danzafiesta.org/"} +{"d:Title": "\u017dkud Tine Ro\u017eanc", "d:Description": "Folklore group from Ljubljana, Slovenia presenting traditional customs, dances, music and national costumes. Includes profile, regional dance style information and video clips, image gallery, and contact details. [English, Slovenian].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Slovenian", "url": "http://www.kud-tinerozanc.si/"} +{"d:Title": "Jurjevanje", "d:Description": "Annual festival in Bela Krajina, Slovenia, showcasing Slovenian folk dance. Includes profile, program, information on the town and visiting groups, and image gallery. [English, German, Slovenian].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Slovenian", "url": "http://www.jurjevanje.si/"} +{"d:Title": "Akademska Folklorna Skupina France Marolt", "d:Description": "The group of the students' organisation of the university of Ljubljana, Slovenia. Includes history, video clip, and regional dance information with audio clips and images. [English, Slovenian]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Slovenian", "url": "http://www.marolt.si/"} +{"d:Title": "KUD Triglav Slovenski Javornik - Jesenice", "d:Description": "Folklore group from Jesenice, Slovenia. Includes details of the dance section, the band, the choir and the costume -makers, information on the regional dances, and image galleries. [English, Slovenian]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Slovenian", "url": "http://www.kreart.si/triglav_jesenice/static/eindex2.html"} +{"d:Title": "Dru\u0161to Krog", "d:Description": "Brief details of the children's folk dance group in Maribor, Slovenia. [English, Slovenian].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Slovenian", "url": "http://drustvo-krog.weebly.com/"} +{"d:Title": "Western Square Dancing", "d:Description": "Internet portal presents topical directory, articles, call lists and definitions.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.dosado.com/"} +{"d:Title": "Square Dance Information Site", "d:Description": "Frank Lescrinier's compendium of information related to square dancing. Includes a collection of choreography and a list of resources for finding original song lyrics.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://frank253.tripod.com/"} +{"d:Title": "Animated Square Dance", "d:Description": "Square dance animations that contain patterns from basic, thru advanced. Related square dance links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.squaredancecd.com/"} +{"d:Title": "Mixed-Up Squares", "d:Description": "Calendar of events, list of San Jose area square dance classes plus links to other resources for finding a class, call definitions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.mixed-up.com/"} +{"d:Title": "Challenge Square Dancing", "d:Description": "Challenge lists and definitions, articles on Challenge dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.lynette.org/chaldance.html"} +{"d:Title": "The Square Dance Club House", "d:Description": "Information on clubs, callers, record companies, and events, focusing on the New York area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://chuckandgerry.com/clubhouse/"} +{"d:Title": "A New Song and Dance Routine", "d:Description": "Text and pictures from a square dancing promotional brochure published by the Callerlab Foundation.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.chuckandgerry.com/nsdr.htm"} +{"d:Title": "The State Folk Dance Conspiracy", "d:Description": "Article opposed to the campaign to make square dancing the national folk dance.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.tackytreasures.com/julie/sqdconsp.html"} +{"d:Title": "Justin's Square Dance Page", "d:Description": "A reference for how several calls would be danced in Hexagon Squares as well as some advanced sequences for proficient dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://legakis.net/justin/Squares/"} +{"d:Title": "Crown Records", "d:Description": "Offers records, music and/or calling services, party nights, beginning, intermediate, and advanced lessons, monthly dances in Virginia, and weekend events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.crownrecords.net/"} +{"d:Title": "Square Dance Magazine", "d:Description": "An on-line magazine for square dancers world-wide featuring articles, photographs, videos, and event calendars.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://www.squaredancemagazine.com/"} +{"d:Title": "Square and Round Dance Web Ring", "d:Description": "Web ring with over five hundred listings all relating to square dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://hub.webring.org/hub/squareup"} +{"d:Title": "Animated Square Dance Calls", "d:Description": "Uses animated GIFs to illustrate modern western square dance calls through A1.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://noriks.tripod.com/ENGLISH/English-Index3.html"} +{"d:Title": "Have Fun Square Dancing!!", "d:Description": "Animations to describe the basic movements of Square Dance. [English, Japanese]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing", "url": "http://noriks.tripod.com/500000sqd2.html"} +{"d:Title": "Square-&Round- dansen in Nederland", "d:Description": "The association of Dutch Square Dancers. Includes club directory, events calendar, and contact details. [Dutch/English]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.squaredance.nl/"} +{"d:Title": "Hawaii Federation of Square Dance Clubs", "d:Description": "Square and round dance clubs, lessons, and events in Hawaii including annual state festival.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.squaredancehawaii.org/"} +{"d:Title": "Utah Square Dance Association", "d:Description": "Useful information about Utah square and round dance clubs, callers, cuers, events, and locations.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://utsquaredance.org/"} +{"d:Title": "Canadian Square and Round Dance Society", "d:Description": "Information on square and round dancing, clogging, and contra in Canada. Marketing and PR resources, including a video on teen square dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.csrds.ca/"} +{"d:Title": "Oregon Federation of Square and Round Dance Clubs", "d:Description": "Lists officers, events, classes, and clubs.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.squaredance.gen.or.us/"} +{"d:Title": "Texas Federation of Square and Round Dancers", "d:Description": "List of annual events, educational documents available for downloading, promotional items.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.squaredancetx.com/"} +{"d:Title": "Associated Square Dancers of Superior California", "d:Description": "Calendar, classes, and special events listings for clubs in El Dorado, Nevada, Placer, Sacramento, Sutter, Yolo and Yuba Counties in northern California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.asdsc.org/"} +{"d:Title": "British Columbia Square and Round Dance Federation", "d:Description": "Class information, an area for teen dancers, calendar, list of BC clubs, information in general, articles, and links to other square dance sites.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://squaredance.bc.ca/"} +{"d:Title": "Tennessee State Association of Square and Round Dance Clubs", "d:Description": "Information about the association and the programs it sponsors/promotes, and about square and round dancing throughout Tennessee.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.tnsquaredance.org/"} +{"d:Title": "Square and Folk Dance Federation of Washington", "d:Description": "Information on clubs, classes, and dances in Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.squaredance-wa.org/"} +{"d:Title": "Metro Atlanta Square Dancers Association", "d:Description": "Information about square dancing in Metro Atlanta area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.masda.net/"} +{"d:Title": "Grand Canyon Square Dance Association", "d:Description": "Provides information about square dancing and square dance clubs in the state of Arizona.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.azsquaredance.com/"} +{"d:Title": "San Diego Square Dance Association", "d:Description": "Dances, classes, clubs and other information in San Diego, California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.sdsda.org/"} +{"d:Title": "Nebraska State Square and Round Association", "d:Description": "A complete listing of all square and round dancing activities in the state of Nebraska.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://squaredancene.org/"} +{"d:Title": "Border Boosters Square&Round Dance Association", "d:Description": "Montreal, Canada. Listing of area clubs, conventions, special dances, photo album, calendar of events, and listing of local callers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.borderboosters.qc.ca/"} +{"d:Title": "Square and Round Dance Association of Southern Arizona", "d:Description": "Provides information about square and round dancing clubs.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.sardasa.com/"} +{"d:Title": "Santa Clara Valley Square Dancers Association", "d:Description": "Provides information for the Santa Clara Valley area of California, USA. Includes club directory, events calendar, learning resources, details of the organization, membership benefits and history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.scvsda.org/"} +{"d:Title": "California Square Dance Council, Inc.", "d:Description": "Umbrella organization for square dance associations in California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.squaredance.org/"} +{"d:Title": "Palomar Square Dance Association", "d:Description": "Activities and club information for northern San Diego County in California.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.palomarsda.org/"} +{"d:Title": "Mountt. Baker Square Dance Council", "d:Description": "Information on square and round dancing in the upper Puget Sound region of Washington. Provides clubs information, dances, lessons, events calendar, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.sqdance.org/"} +{"d:Title": "Pennsylvania Square and Round Dance Federation", "d:Description": "Includes leaders, minutes and membership form, directory of clubs, their officers and events, information and registration for the annual convention, and their bid for a National convention.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.psrdf.org/"} +{"d:Title": "United Square Dancers of America (USDA)", "d:Description": "The world's largest square dance organization. Databases for callers/cuers, links, and lessons. Information on USDA's programs, including insurance and publications.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.usda.org/"} +{"d:Title": "Alamo Area Square and Round Dance Association", "d:Description": "Provides information about square and round dancing clubs in the Alamo area. San Antonio, Texas, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.alamoarea.org/"} +{"d:Title": "Square Dance Foundation of New England", "d:Description": "A non-profit foundation based in Massachusetts, promoting square dance history of the past, and preserving the future. With calendar of events and news archive.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.sdfne.org/"} +{"d:Title": "Florida Association of National Square Dance Campers", "d:Description": "A complete list of Florida square dance camping chapters and contacts. There are also links to information about the State camp-o-ree.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.floridasquaredance.com/gypsysquares/index.html"} +{"d:Title": "European Association of American Square Dancing Clubs", "d:Description": "Umbrella organization for modern western square dance club in Germany, Netherlands, Austria, Belgium, and Switzerland.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "https://eaasdc.eu/"} +{"d:Title": "Central District Square Dance Association - Oklahoma", "d:Description": "Features events, calendar, newsletters, lesson times, board members and photos. Located in Oklahoma City.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "http://www.cdsda.com/"} +{"d:Title": "Mid-Atlantic Challenge Association [MACA]", "d:Description": "Information on the association's clubs and callers, and its national publication, Zip Coder.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Associations", "url": "https://www.facebook.com/MidAtlanticChallengeAssociation"} +{"d:Title": "CALLERLAB", "d:Description": "International organization of square dance callers. Information on square dancing and the organization, and services.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://www.callerlab.org/"} +{"d:Title": "Rich Reel's Square Dance Caller Notes", "d:Description": "Choreography and articles on calling.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://www.all8.com/"} +{"d:Title": "Vic and Debbie Ceder's Home Page", "d:Description": "Databases for callers, cuers, events, square dance records, and choreography. Also offers products (definition books and PC software), choreography, and call lists and definitions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://ceder.net/"} +{"d:Title": "Square Dance Council of New Jersey", "d:Description": "An umbrella organization for square and round dance organizations in New Jersey. Lists member organizations, lessons, how to get license plates and official outfit, and links to other square and round dance sites.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://www.squaredancenj.org/"} +{"d:Title": "Shore , Andy", "d:Description": "South Florida, USA. Includes profile, calling schedule, articles, and a downloadable file of 500 singing call figures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://www.andyshore.com/"} +{"d:Title": "American Callers Association", "d:Description": "National organization of square dance callers. ACA's policy and goals, online registration, information on becoming an accredited caller training specialist.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://www.americancallers.com/"} +{"d:Title": "Royal Records", "d:Description": "Square dance record company owned by Jerry Story and Tony Oxendine - produces high quality square dance music.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://www.royal-records.com/"} +{"d:Title": "Roy and Eileen Leber", "d:Description": "Square dance callers and instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling", "url": "http://reocities.com/Nashville/stage/6700/"} +{"d:Title": "Miller, Hat", "d:Description": "Specializes in dance parties, youth groups, and dances for mentally retarded groups. Includes squares, line, and novelty dances.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://caller.tripod.com/"} +{"d:Title": "Brodeur, Cliff", "d:Description": "Provides entertainment and dance parties including square dancing, line dancing, contra, and rock 'n roll. Uses a live band.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://www.squaredance-hoedown.com/"} +{"d:Title": "Odam, Bill", "d:Description": "Caller and instructor in Washington state.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://www.angelfire.com/wa3/b_odam/index.html"} +{"d:Title": "Knight, John", "d:Description": "Caller from Dayton Ohio area, who calls and travels festivals and weekends.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://members.tripod.com/john_m_knight/"} +{"d:Title": "Henerlau, Eric", "d:Description": "Includes calendar, FAQ, and event planning ideas for this Corte Madera, California based square and line dance teacher and party entertainer.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://www.erichenerlau.com/"} +{"d:Title": "Martin, Bill", "d:Description": "Offers squares and simple related folk dance calling with live music. Includes video sample of this Portland, Oregon based caller's work.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://www.bubbaguitar.com/barndance/"} +{"d:Title": "Price, Bob", "d:Description": "Callers information, schedule, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://www.bob-price.com/"} +{"d:Title": "Dunn, Sam", "d:Description": "Ohio square dance caller and information on western square dancing in Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://samdunn.tripod.com/"} +{"d:Title": "Miami Valley Callers Association", "d:Description": "Callers association located in Dayton, Ohio", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Calling/Personal_Pages", "url": "http://squaredancedayton.com/"} +{"d:Title": "Tokyo Square Dance Club", "d:Description": "Tokyo, Japan. Square and round dancing, mainstream and plus level tips. Listing of events, club activities, directions, and a photo album.[English/Japanese].", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs", "url": "http://www.aa.alles.or.jp/~tokyosdc/"} +{"d:Title": "Mississippi Squares Dance Club", "d:Description": "Carleton Place, Ontario. Offers mainstream and plus levels. Listing of schedule, special events, directions, and photograph galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs", "url": "http://www.mississippisquares.ca/"} +{"d:Title": "Ouest Paris Swingers Square Dance Club", "d:Description": "Paris, France. Includes details of other clubs in France.[English/French]", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs", "url": "http://opswingers.square-dance.fr/"} +{"d:Title": "Alaska and Yukon Square Dance Clubs", "d:Description": "Schedule of events and activities in Anchorage and listings for other clubs and contacts around the region.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.alaska.net/~charley/dances.htm"} +{"d:Title": "Rocketeers Square Dance Club", "d:Description": "Dancing mainstream and plus in Murray, Utah. Schedule, contact list, dance location, photos, links and other related information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://rocketeerssquaredance.com/"} +{"d:Title": "Central Maine Squares Dance Club", "d:Description": "Dancing mainstream through plus in Waterville, Maine. Club schedule, special events, contact information, and links to related sites. Dances are held monthly. See schedule for dates and levels.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://centralmaine.squaredanceme.us/"} +{"d:Title": "Village Squares Square Dance Club", "d:Description": "Dancing mainstream in Auburn, Alabama. Schedule, club history, contact list, dance location, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.dawseys.com/villagesquares/"} +{"d:Title": "Old Time Square Dance", "d:Description": "Piedmont, North Carolina. Old Time Square Dance preserves and promotes old time and bluegrass music and Appalachian style square dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.dentondance.net/"} +{"d:Title": "Valley Squares Square Dance Club", "d:Description": "Dancing mainstream and some plus in Shelton, Connecticut. Schedule, contact list, dance location,links and other related information", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.valleysquares.com/"} +{"d:Title": "RocklandRockytops Square Dance Club", "d:Description": "A plus and mainstream Square Dance Club in West Nyack, NY. Directions, schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.sqrdance.org/RocklandRockytops/"} +{"d:Title": "FLAC Square dance Council", "d:Description": "FLAC - Finger Lakes Area Council of Dance Clubs - promotes square and round dancing in Upstate NY and Northern PA.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.squaredancefingerlakes.info/"} +{"d:Title": "Pelham Promenaders Square Dance Club", "d:Description": "In Pelham, NY a mainstream level club that welcomes single dancers and couples. Contact and location information as well as dancing schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.angelfire.com/planet/pelhampromenaders/"} +{"d:Title": "Cloverleaf Squares Dance Club", "d:Description": "Modern Western Square Dance and Round Dance club located in Rochester, New York. Plus and mainstream levels are danced. Contact, location and schedule information listed.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://www.cloverleafsquares.org/"} +{"d:Title": "Copy Cats Square Dancing Club", "d:Description": "A mainstream club at First Baptist Church in Penfield, NY. Contact, location and schedule information provided.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States", "url": "http://copycatsdancing.wordpress.com/"} +{"d:Title": "Bows and Beaus Singles and Couples Square Dance Club", "d:Description": "Mountain View plus club. Dance schedule, news, related links and contact information provided.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.bowsandbeaus.org/"} +{"d:Title": "Ruffles and Beaus Square Dance Club", "d:Description": "San Diego Plus club. When and where, schedules, class, photos and newsletters.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://rufflesnbeaus.sdsda.org/"} +{"d:Title": "Simi Valley Boots and Slippers Square Dance Club", "d:Description": "Simi Valley square and round dancing Plus club. Listing of special dances, lessons, contact information and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.bootsandslippers.com/"} +{"d:Title": "Rockin' Jokers", "d:Description": "A Plus club located in Campbell . Includes dance calendar, photographs, newsletters and club history.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.rockinjokers.com/"} +{"d:Title": "Valley Trailers", "d:Description": "Northridge. Includes dance schedule and format, class information, photo gallery and links to related sites.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.valleytrailers.org/"} +{"d:Title": "BoysnBerries Square Dance Club", "d:Description": "Buena Park club dancing beginners to plus. Includes schedule, special events, contact information, newsletters, map and directions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.boysnberries.org/"} +{"d:Title": "Sierra Hillbillies Square Dance Club", "d:Description": "Newhall club schedule, activities, classes, locations, and many square dance links. Dance alternating Mainstream and \"Plus\" level tips, with an occasional \"A1\" tip", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.sierrahillbillies.org/"} +{"d:Title": "Single Skirts 'N' Flirts Square Dance Club", "d:Description": "Modesto area. Information about the club, dance times and locations, special events, and party nights.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.singleskirtsnflirts.ccsda.org/"} +{"d:Title": "Red Ribbon Squares", "d:Description": "Santa Monica. Calendar, class schedule and history of the club.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.redribbonsquares.org/"} +{"d:Title": "Happy Squares", "d:Description": "Simi Valley. Includes dance and caller schedules, special events, and newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.happysquares.com/"} +{"d:Title": "Canyon Lake Twirlers", "d:Description": "Canyon Lake square dance club dancing mainstream and plus. Includes dance and caller schedules, special events, who's who, history and newsletters.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.canyonlaketwirlers.org/"} +{"d:Title": "Cowtown Singles Square Dance Club", "d:Description": "Riverside singles and couples \"Plus\" square dance club.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.cowtown-singles-square-dance.org/"} +{"d:Title": "Church Mice Square Dance Club", "d:Description": "Garden Grove (close to Anaheim). Plus club listing their dance schedule, guest callers and directions, special dances and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.churchmice.com/"} +{"d:Title": "Thunderbirds Square Dance Club", "d:Description": "Apple Valley plus club. Dance schedule, photos, classes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/California", "url": "http://www.thunderbirdssquaredanceclub.com/"} +{"d:Title": "The Whirl and Twirl Square Dance Club", "d:Description": "Orlando square and round dancing club. Mainstream thru plus. Schedule, map, lessons and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Florida", "url": "http://floridasquaredance.com/whirlandtwirl/"} +{"d:Title": "Pirates of Central Florida Square Dance Club", "d:Description": "Located in Winter Springs, they dance mainstream and plus with rounds between tips. Dance notices and contact e-mail links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Florida", "url": "http://www.squaredancesites.com/pirates/"} +{"d:Title": "Sunshine Strollers Square Dance Club", "d:Description": "Port St. Lucie club. Dancing at basic, mainstream, plus, advanced levels and for the visually challenged. Round dancing is provided through phase III. Complete schedule of events, related links, and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Florida", "url": "http://sunstroll.tripod.com/"} +{"d:Title": "Silver Stars Square Dance Club", "d:Description": "A mainstream/plus club in Lawrenceville. Lists dance nights, special events, and photographs from previous dances and other related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Georgia", "url": "http://www.silverstarssquaredance.com/"} +{"d:Title": "Wheel Arounds Square Dance Club", "d:Description": "A plus club in Marietta. Includes dance schedule, photographs, club officers' and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Georgia", "url": "http://www.wheelarounds.com/"} +{"d:Title": "Kennesaw Square Dealers", "d:Description": "A mainstream/plus club in Kennesaw. Includes dance schedule, profiles of caller and cuer, and contact details for club officers.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Georgia", "url": "http://www.squaredealers.com/"} +{"d:Title": "Arlington Squares", "d:Description": "A mainstream/ plus club in Arlington Heights. Events, lessons, dance schedules, map, photos and other related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Illinois", "url": "http://www.arlingtonsquares.com/"} +{"d:Title": "Bachelors 'n' Bachelorettes - Mc Henry IL Chapter", "d:Description": "A singles plus club in Johnsburg. Square and round dancing on the first and third Fridays. Schedule, directions and contact information as well as other chapter locations.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Illinois", "url": "http://www.angelfire.com/il/McHenryBnB/"} +{"d:Title": "Country Bumpkins Square Dance Club", "d:Description": "A plus club in Homewood. Square and line dancing on the first and third Fridays. Lists lessons, special dances and club dance schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Illinois", "url": "http://countrybumkins.freeyellow.com/"} +{"d:Title": "American Squares", "d:Description": "In Homewood an Advanced club listing dance and guest caller schedules, and information about advanced lessons. Also listed are special dances, photos, newsletters, location and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Illinois", "url": "http://www.americansquares.com/"} +{"d:Title": "Fox Valley Mixers Square Dance Club", "d:Description": "A plus level club in St. Charles. They provide some mainstream dances. Complete dance schedules, contact information, photos and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Illinois", "url": "http://www.foxvalleymixers.org/"} +{"d:Title": "Pistols and Petticoats Square Dance Club", "d:Description": "A mainstream club in Fort Wayne. Square and round dancing every Tuesday.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Indiana", "url": "http://www.dave-gipson.com/Pistols.htm"} +{"d:Title": "The Quakertown Shakers", "d:Description": "A mainstream/ plus club in Plainfield. Includes information on lessons, members, schedules, activities and newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Indiana", "url": "http://www.quakertownshakers.org/"} +{"d:Title": "Square Riggers Dance Club", "d:Description": "A mainsteam/plus club serving Wellesley, Natick and Metrowest Boston, offering weekly beginner classes. Includes workshop and dance schedule, club officers, map and directions.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Massachusetts", "url": "http://squareriggers.freeservers.com/"} +{"d:Title": "Great Plain Squares", "d:Description": "A plus club in Needham. Offers square and round dancing for experienced dancers and mainstream classes for beginners (check site for dates and time). Links to many other clubs in the New England area.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Massachusetts", "url": "http://greatplainsquares.freeservers.com/"} +{"d:Title": "Tech Square Dance Club", "d:Description": "A Massachusetts Institute of Technology plus club in Cambridge. Square and round dancing every Tuesday and sponsor other events. Check calendar for times and places.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Massachusetts", "url": "http://www.mit.edu/~tech-squares/"} +{"d:Title": "Dakota Grand Square Dance Club", "d:Description": "South St. Paul. Square and round dancing, mainstream level with the fourth tip plus. Schedule, map, lessons and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Minnesota", "url": "http://www.grandsquares.com/"} +{"d:Title": "Central District Clubs", "d:Description": "Lists clubs in the central area of the state, with schedules and contact information for most.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Missouri", "url": "http://www.rollanet.org/~jrd/dance/CDCLubs.html"} +{"d:Title": "West County Spinners Square Dance Club", "d:Description": "A plus club in St. Louis. Square and round dancing on the first, third and fifth Mondays with guest callers. Calendar, contact link, directions and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Missouri", "url": "http://www.squaredancemissouri.com/Missouri/Club/West_County_Spinners/"} +{"d:Title": "FAD Square Dance Club", "d:Description": "a mainstream club in Paramus. Square dance on the first and third Sundays. FAD stands for Fun at Dancing. Schedule, directions, contacts and links to related information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/New_Jersey", "url": "http://www.sqrdance.org/FAD/"} +{"d:Title": "Circle Eights Square Dance Club", "d:Description": "A mainstream club in Haworth. Square dancing on fourth Fridays. Contact information, schedule, directions and links to related information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/New_Jersey", "url": "http://www.sqrdance.org/Circle8/"} +{"d:Title": "Hi Taw Twirlers Square Dance Club", "d:Description": "A Challenge Square Dance Club in Paramus. Directions, schedule and contact links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/New_Jersey", "url": "http://www.sqrdance.org/Hi.Taw.Twirlers/"} +{"d:Title": "Belles and Beaux Square Dance Club", "d:Description": "A mainstream club in Elmwood Park. Directions, schedule, contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/New_Jersey", "url": "http://www.sqrdance.org/BellesBeaux/"} +{"d:Title": "Western Wheelers Square Dance Club", "d:Description": "A plus club in Oradell. Schedule, directions contact information and links to related sites.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/New_Jersey", "url": "http://www.sqrdance.org/WesternWheelers-HixandChix/"} +{"d:Title": "Happy Tracks Square Dance Club", "d:Description": "Information on club calendar, special events and lessons. Located in Nicoma park.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oklahoma", "url": "http://happytrackssquaredanceclub.com/"} +{"d:Title": "Norman Silver Spur", "d:Description": "Information about meeting locations and lessons. Features pictures and videos of dance moves. Located in the city of Norman.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oklahoma", "url": "http://normansilverspur.com/"} +{"d:Title": "Swinging Rebels", "d:Description": "Includes calendar, lesson information, newsletter and FAQ. Located in Oklahoma City.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oklahoma", "url": "http://swingingrebelsokc.org/"} +{"d:Title": "Teacup Chains", "d:Description": "Features dance schedule, list of officers, videos, history and contact information. Located in the city of Edmond.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oklahoma", "url": "http://teacupchains.com/"} +{"d:Title": "Toledo 49'rs Square Dance Club", "d:Description": "This is now a traveling club with no regular dances. They plan to continue having a formal dance each June for their anniversary . Includes photos, news, officers, meetings, events calendar, donations work and links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.xoweb.com/square.htm"} +{"d:Title": "River City Dancers", "d:Description": "Mainstream club. Includes schedule, events, photo gallery, clothing recommendations, and information about lessons. Milwaukie.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.rivercitydancers.net/"} +{"d:Title": "Beachcombers Square Dance Club", "d:Description": "Port Orford, Square and round dancing on the second and fourth Saturdays, mainstream and plus tips.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.beachcombersquaredance.com/"} +{"d:Title": "Central Oregon Square and Round Dance Council", "d:Description": "Information about the annual August Central Oregon Round-Up and clubs in Bend, Redmond, and Prineville.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://centraloregoncouncil.org/"} +{"d:Title": "Mix and Mingle Squares", "d:Description": "Plus level club. Includes schedule. Tualatin.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.mixandminglesquares.org/"} +{"d:Title": "Tualatin Valley Council (TVC)", "d:Description": "A non-profit organization located in the Northwest part of the state, dedicated to promoting modern square dancing. Includes organization information and documents, events calendar, photos, and meeting minutes.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.tualatinvalleycouncil.org/"} +{"d:Title": "Checkerboard Squares Dance Club", "d:Description": "Portland mainstream dance club's schedule, special events, club history and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://checkerboardsquares.wordpress.com/"} +{"d:Title": "Chaps and Petticoats Square Dance Club", "d:Description": "A mainstream and plus club in Aurora. Club calendar, special events, photos, lesson information and links are highlighted.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.chapsandpetticoats.com/"} +{"d:Title": "Checkmates A2 Club", "d:Description": "A2 group offering lessons, workshops and regular dance nights. Portland.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.checkmates-a2.org/"} +{"d:Title": "Salem Swingin' Stars Square Dance Club", "d:Description": "Mainstream with 3rd tip Plus. When, where, lesson, contact information and club photos.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.sunburstech.com/squaredance/"} +{"d:Title": "Valley River Dancers Club", "d:Description": "Square dancing in Newberg,Oregon Mainstream through A2. Dance location, times, special event and lesson information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.valleyriverdancers.org/"} +{"d:Title": "Recycles Plus Square Dance Club", "d:Description": "Plus dancing in Tualatin. Links to other events and square dance interest.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://myplace.frontier.com/~ferrouss/recycles.htm"} +{"d:Title": "B'n'B Square and Round Dance Club", "d:Description": "Oregon City mainstream club dancing every Wednesday evening at the Abernethy Grange in Oregon City. Site shows special events, photos and club activities as well as lesson information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://www.spider4hire.com/BnB/"} +{"d:Title": "Country Capers Square Dance Club", "d:Description": "Located at the Rockwood Grange in Portland. Lists events, photos lesson information and links to other square dance interests.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Oregon", "url": "http://spider4hire.com/Capers/"} +{"d:Title": "Liberty Stars", "d:Description": "A plus club in Warminster. Includes club and membership information, and dance schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Pennsylvania", "url": "http://www.libertystars.com/"} +{"d:Title": "Club Sashay Square Dance Club", "d:Description": "A plus club in Springfield. Directions, calendar, contacts, and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Pennsylvania", "url": "http://clubsashay.com/"} +{"d:Title": "Mountain View Squares", "d:Description": "A mainstream/plus club in Blairsville. Includes schedule, photographs and details of past and upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Pennsylvania", "url": "http://mountainviewsquares.com/"} +{"d:Title": "Castle Paws and Taws", "d:Description": "Dancing plus every week, except for student dances which alternate between plus and student level dancing. Located at West Central Pennsylvania near the Ohio border in historic New Castle.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Pennsylvania", "url": "http://castlepawsandtaws.org/"} +{"d:Title": "Spartan Spinners Square Dance Club", "d:Description": "Dancing Mainstream and Plus in Spartanburg. Lists dance schedule, location, special events and photo album.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/South_Carolina", "url": "http://spartanspinners.com/"} +{"d:Title": "Paws and Taws Square Dance Club, Seneca", "d:Description": "A Mainstream club in Seneca. Some Plus tips. Dance schedule, location, special dance listing and links to other area clubs.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/South_Carolina", "url": "http://sites.google.com/site/pawsandtaws/"} +{"d:Title": "Katy Prairie Promenaders Square Dance", "d:Description": "A mainstream club in Katy (just west of Houston). Square dance and lessons each Friday night. Schedule, directions, club information, and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Texas", "url": "http://squaredancing.tripod.com/"} +{"d:Title": "Texas Reelers", "d:Description": "A mainstream club in Richardson. Square and Round dance club with information on regular and special dance times, location, callers, cuers, and lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Texas", "url": "http://www.texasreelers.org/"} +{"d:Title": "Fern Bluff Squares", "d:Description": "Mainstream club serving Sky Valley in Monroe. Offering lessons and dances. Includes times, directions, newsletter, flier downloads, and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Washington", "url": "http://www.fbs.isquaredance.com/"} +{"d:Title": "Pi-R Squares Dance Club", "d:Description": "A mainstream club in Kent. Dance schedule,contact link, history, lesson information, photos and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Washington", "url": "http://pi-r-squares.org/"} +{"d:Title": "Westport Squares", "d:Description": "A mainstream club in Madison. Gallery, schedule, directions, event listing, contact link and neighboring club information.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Wisconsin", "url": "http://www.westportsquares.com/"} +{"d:Title": "Limber Timbers", "d:Description": "A mainstream/plus club in Delavan and Elkhorn. Includes regular and special dance schedules, maps and club officer contact details. See dancing schedule for dance level and location.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Wisconsin", "url": "http://junser.home.netcom.com/indexlimber.html"} +{"d:Title": "Petunia City Square Dance Club", "d:Description": "A mainstream club in Beloit. Dance dates and times, scheduled callers and cuers, and related links of interest.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Clubs/United_States/Wisconsin", "url": "http://webspace.webring.com/people/od/dshultz/"} +{"d:Title": "European Square and Round Dance College", "d:Description": "Annual week long event held during Easter in Bavaria, Germany. Includes details of upcoming and past meetings, downloadable cue-sheets, articles and information on the European Callers and Teachers Association.", "topic": "Top/Arts/Performing_Arts/Dance/Folk/Square_Dancing/Conventions_and_Festivals", "url": "http://www.rotscheid.nl/Cham/Cham.html"} +{"d:Title": "International Association for Dance Medicine and Science", "d:Description": "IADMS promotes medical, scientific, and educational activities aimed at enhancing the treatment and training of dancers with the ultimate goal of improving dancers' health, well-being, and performance.", "topic": "Top/Arts/Performing_Arts/Dance/Health", "url": "http://www.danceeducation.org/"} +{"d:Title": "Societ\u00e0 di Danza", "d:Description": "Italian group dedicated to researching 19th century and historical dance. Includes information about balls and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.societadidanza.it/"} +{"d:Title": "Federica Calvino Prina", "d:Description": "Italian dancer and teacher of renaissance and baroque dance. Information about future courses and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://xoomer.virgilio.it/fecalvin/"} +{"d:Title": "Lucretia", "d:Description": "Czech group performing Gothic, Renaissance, Baroque and folk dance. Includes information about performances available, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://lucretia.euweb.cz/"} +{"d:Title": "Saltatio Vitae", "d:Description": "Czech performing group offering shows with historical and folkloric themes.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://saltatiovitae.wz.cz/"} +{"d:Title": "The Institute for Historical Dance Practice", "d:Description": "Group based in Belgium that researches, performs and teaches dances from between 1450 and 1920. Information about associated performing groups, services, summer schools and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.historicaldance.com/"} +{"d:Title": "Earthly Delights", "d:Description": "Music and dance band based in Canberra, Australia. Information about their instruments, performances, dance classes, dance history, dance terms, and some instructions for dances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.earthlydelights.com.au/"} +{"d:Title": "Society of Dance History Scholars (SDHS)", "d:Description": "Organization dedicated to Western and non-Western dance history, with working groups in early dance and reconstruction. Includes information about publications and conferences, and links organized by subject.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.sdhs.org/"} +{"d:Title": "The Calendar of Historical Dance", "d:Description": "Upcoming events including classes, summer schools, performances and balls. Plus, links organized by country, period and type, facsimiles of early 18th-century choreographies from the Library of Congress, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.early-dance.de/"} +{"d:Title": "Library of Congress: Dance Instruction Manuals", "d:Description": "Facsimiles and SGML versions of social dance manuals from 1490 to 1920. Also includes video clips and an essay giving an overview of the collection.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://memory.loc.gov/ammem/dihtml/"} +{"d:Title": "Dance History Teachers Discussion Group", "d:Description": "Discussion archives, and information about subscribing.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "https://lists.fsu.edu/mailman/listinfo/dhtdg/"} +{"d:Title": "Choregraphie Antique", "d:Description": "Dance history ensemble of Goucher College in Baltimore, Maryland. Performs ballroom dances of the 18th, 19th and early 20th century. Calendar of upcoming performances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.goucher.edu/choregraphieantique/"} +{"d:Title": "Dolmetsch Historical Dance Society", "d:Description": "Society aiming to promote teaching, performance and research in European dance from the 15th to the 19th century. Includes information about the journal \"Historical Dance\", books, practice tapes, conferences, summer schools, and a list of dance groups in the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.dhds.org.uk/"} +{"d:Title": "New York Historical Dance Company", "d:Description": "Offers workshops and performances in 15th to early 20th century dance. Includes information about services and products for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.newyorkhistoricaldance.com/"} +{"d:Title": "Teatro Arcimboldo", "d:Description": "Renaissance and baroque dance-theater ensemble based in Switzerland. Information about performances, classes and recordings.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.arcimboldo.ch/teatro-english/"} +{"d:Title": "ZeitenTanz", "d:Description": "Association to promote historical dance in Austria, based in Vienna. Includes information about workshops and their Baroque dance summer school, and an overview of dance styles from the Renaissance to the early 19th century.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.zeitentanz.at/index_engl.htm"} +{"d:Title": "The Period Dance Group", "d:Description": "The memories of a performing group formed at the University of Georgia in the 1970s.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://perioddance.org/"} +{"d:Title": "Ken Pierce", "d:Description": "Baroque and late Renaissance dancer and researcher. Includes papers, videos, and information about his summer workshop in Cambridge, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://web.mit.edu/kpierce/www/"} +{"d:Title": "We Make History", "d:Description": "Organizes Colonial, Regency and Victorian dance events throughout the Southwestern United States. Site provides information about upcoming balls, and introductions to the etiquette and clothing of each period.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.wemakehistory.com/"} +{"d:Title": "Nonsuch", "d:Description": "History and dance company based in the UK. Information about classes, performance and education services, and books and tapes for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.nonsuchdance.co.uk/"} +{"d:Title": "Dance Through Time", "d:Description": "Company that researches, preserves, presents, and educates the public about Western social dances from the 15th century to the present, based in San Francisco. Includes information about upcoming performances, and hiring the company for teaching or performance.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.dancethroughtime.org/"} +{"d:Title": "Early Dance Circle", "d:Description": "Umbrella organization for early dance in the UK. Includes information about membership and events, and an overview of Western dance from the Middle Ages to the 19th century.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.earlydancecircle.co.uk/"} +{"d:Title": "Tapestry Historic Dance Ensemble", "d:Description": "Performs dances from the late-15th to mid-19th centuries. Information about classes in the Philadelphia area, and background information on Renaissance, colonial, regency and Victorian dance.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://williamsburgrose.com/tapestry/"} +{"d:Title": "The Early Dance Consort", "d:Description": "Based in Sydney, Australia. Offers classes and performances in Renaissance and Baroque dance.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.earlydanceconsort.com.au/"} +{"d:Title": "Oxford Historical Dance Society", "d:Description": "Runs one-day workshops in different dance styles. Includes information about workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Historical", "url": "http://www.ohds.org.uk/"} +{"d:Title": "BaroqueDance.com", "d:Description": "Dancer Paige Whitley-Bauguess' site. Contains information about classes in North Carolina, Paige's dance activities, the annual East Coast Baroque Dance Workshop, Craven Historical Dancers, a pair of videos, and arrangements of tunes to extant dances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.baroquedance.com/"} +{"d:Title": "The Books of John Weaver", "d:Description": "Facsimiles of \"Orchesography\" and \"Of Time and Cadence in Dancing\", and an HTML transcription of \"Orchesography\".", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.pbm.com/~lindahl/weaver/"} +{"d:Title": "Louise Pescetta", "d:Description": "Dancer and musician based in California.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://home.earthlink.net/~dolascetta/louise.html"} +{"d:Title": "Baroque Dance Mailing List", "d:Description": "Information about how to subscribe to the Feuillet list.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.rendance.org/links/feuillet.html"} +{"d:Title": "Apollo's Banquet", "d:Description": "Ensemble of dancers and musicians founded by Thomas Baird and Hugh Murphy. Information about upcoming events, services, and the East Coast Baroque Dance Workshop.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.apollosbanquet.com/"} +{"d:Title": "Baroque Dance and the Bach Cello Suites", "d:Description": "Article by a cellist about his experiences playing the Bach suites for a dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.cello.org/Newsletter/Articles/mansbridge/mansbridge.htm"} +{"d:Title": "Blakeney Manor: Dance and Music", "d:Description": "Includes an essay on the social and political importance of dance, and Rameau's description of the menuet ordinaire, translated by Essex, as well as information about 18th century songs and musicians.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.blakeneymanor.com/dance.html"} +{"d:Title": "The New York Baroque Dance Company", "d:Description": "Directed by dancer and choreographer Catherine Turocy. Photo gallery, video clip, dancer profiles and information about workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.nybaroquedance.org/"} +{"d:Title": "Consort de Danse Baroque", "d:Description": "UK-based company directed by Philippa Waite. Information about classes, their summer school, and products for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.ukbaroquedance.com/"} +{"d:Title": "The Covent Garden Minuet Company", "d:Description": "London-based amateur group performing 18th century social dances. Photos, membership, performances, and a small bibliography.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.minuetcompany.org/"} +{"d:Title": "Matt's Baroque Dance Pages", "d:Description": "Includes background information, HTML versions of 18th century dance manuals, and an annotated collection of links.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://baroquedance.info/"} +{"d:Title": "Seattle Early Dance", "d:Description": "Company based in Seattle Washington that reconstructs, performs, and teaches baroque dance. Includes a brief introduction to the dances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.seattleearlydance.org/"} +{"d:Title": "Corpo Barocco", "d:Description": "Belgian performing group directed by Sigrid T'Hooft. Includes information about classes.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.corpobarocco.be/"} +{"d:Title": "Bath Minuet Company", "d:Description": "Information about dance classes, the annual Bath Georgian Ball, and photo galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Baroque", "url": "http://www.bathminuet.co.uk/"} +{"d:Title": "English Regency Dancing in New Mexico", "d:Description": "Information about dance practice and balls, including a photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/English_Regency", "url": "http://mandala.net/regency/"} +{"d:Title": "The Felicities of Rapid Motion: Jane Austen in the Ballroom", "d:Description": "Essay by Allison Thompson examining dance in the novels of Jane Austen.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/English_Regency", "url": "http://www.jasna.org/persuasions/on-line/vol21no1/thompson.html"} +{"d:Title": "The Regency Assembly", "d:Description": "Group organising dance classes, balls and performances, based in Birmingham, UK. Includes information about events, the dances, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/English_Regency", "url": "http://myweb.tiscali.co.uk/regencyplaydancers/"} +{"d:Title": "Bay Area English Regency Society (BAERS)", "d:Description": "Includes a calendar of upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/English_Regency", "url": "http://www.baers.org/"} +{"d:Title": "Medieval Dance Picture Collection", "d:Description": "Iconography from the 9th to the 16th centuries.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Medieval", "url": "http://www.mittelaltertanz.org/"} +{"d:Title": "Chorea Historica", "d:Description": "Czech group directed by Eva Kr\u00f6schlov\u00e1. Information about the group, their repertoire and their costume designer.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.choreahistorica.cz/"} +{"d:Title": "Chastelana", "d:Description": "Polish group performing dances from the Renaissance and medieval periods. Information about members and their activities.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://chastelana.republika.pl/"} +{"d:Title": "Renaissance Dance", "d:Description": "European dance from the 15th to early 17th centuries. Includes a brief introduction, archives of the Rendance discussion list, links to primary and secondary sources, bibliographies, music and reenactment links, and a directory of teachers, performers, organizations and events.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.rendance.org/"} +{"d:Title": "Eric's SCA Dance and Music Page", "d:Description": "Includes Eric's SCA Dance Booklet, dance music (sheet music, audio files and information about CDs), and a transcription of the 1651 edition of Playford.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://sca.uwaterloo.ca/~praetzel/sca-music.html"} +{"d:Title": "Joy and Jealousy", "d:Description": "Information about a book on 15th century Italian balli by Vivian Stephens and Monica Cellio. Includes a link to the text and music.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://home.jtan.com/~cellio/jj.html"} +{"d:Title": "Norman W. Gray's Home Page", "d:Description": "Includes articles on the Inns of Court dances, Playford dances, the Branle des Chevaux, and the Gresley Collection (circa 1500).", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://members.ozemail.com.au/~grayn1/"} +{"d:Title": "Accademia della Danza", "d:Description": "SCA dance group based in Massachusetts. Information about their meetings and activities.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://danza.arberth.com/"} +{"d:Title": "Renaissance Historical Dance Society", "d:Description": "Devonshire UK based group specializing in 15th, 16th and 17th century dances. Includes information about their performances, photos, and a diary of upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.rhds.org.uk/"} +{"d:Title": "Bassa Toscana", "d:Description": "French Renaissance and medieval dance troupe. Information about their performance and education services.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.bassatoscana.fr/"} +{"d:Title": "Il Ballarino", "d:Description": "An English translation of Caroso's \"Il Ballarino\" (1581).", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://jducoeur.org/IlBallarino/"} +{"d:Title": "Movimento!", "d:Description": "Early dance and theater troupe based in British Columbia, Canada. Classes for children and adults, and information about performances and costume workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.earlydance.org/"} +{"d:Title": "The Middle Ages: Medieval and Renaissance Dances", "d:Description": "Cheat sheets, step descriptions, and a list of sources for SCA dances, including some from after 1600.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.themiddleages.net/life/dances.html"} +{"d:Title": "Dance of the Ages", "d:Description": "Includes some general information about reconstructing dances, descriptions of 15th century dance steps, and a reconstruction of the Italian ballo \"Leoncello Nuovo\".", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.nachtanz.org/KReed/histdanc.html"} +{"d:Title": "Thrir Venstri Foetr", "d:Description": "Group based in Washington DC, performing dances from Playford and Arbeau. Includes schedules of performances and rehearsals, and descriptions of steps, figures and dances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://terpconnect.umd.edu/~eowyn/3LF/"} +{"d:Title": "L'Academie Atlantienne de la Danse", "d:Description": "A group dedicated to the practice of, and research into, Renaissance and SCA dance. Information about upcoming events, and copies of their electronic newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://dance.atlantia.sca.org/"} +{"d:Title": "Capriol Society for Early Dance", "d:Description": "Based in Cambridge, England. Mainly concerned with 14th-17th century dancing from the European courts. Background, and information about classes.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.capriolsociety.org/"} +{"d:Title": "Norwich Historical Dance", "d:Description": "Medieval to Playford dance. Information about events, meetings and services.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Renaissance", "url": "http://www.norwichhistoricaldance.org.uk/"} +{"d:Title": "Grand Traditions Vintage Dance Academy", "d:Description": "Covering dances from the Victorian era through the Ragtime, 1920s, and swing periods. Social dance classes, workshops, and balls in Michigan, for dancers of all levels.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.vintagedance.com/"} +{"d:Title": "The Commonwealth Vintage Dancers", "d:Description": "Reconstructing, performing and teaching social dances of the 19th and early 20th centuries. Information about the annual Newport Vintage Dance Week, and upcoming events in and around New England.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://vintagedancers.org/"} +{"d:Title": "Academy of Danse Libre", "d:Description": "Performing group for 19th and early 20th century social dance, based in California. Includes information about upcoming performances, and photos from past performances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.danselibre.org/"} +{"d:Title": "Victorian Dance Ensemble", "d:Description": "Specializing in dance from the 1860s. Includes some background on the dances, and information about upcoming demonstrations, balls, and classes in Maryland and Pennsylvania. Also contains information about their video: Civil War Dance Instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.civilwardance.org/"} +{"d:Title": "The Flying Cloud Academy of Vintage Dance", "d:Description": "Cincinnati Ohio based organization dedicated to the preservation, performance, and teaching of historic ballroom dance and music. Essays, bibliographies, and information about classes, performances and balls.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.vintagedance.net/"} +{"d:Title": "Victorian Events Calendar", "d:Description": "Listings include period balls, vintage dance programs, concerts, exhibits and symposiums.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.burrows.com/events.html"} +{"d:Title": "Ye Gaskell Occasional Dance Society", "d:Description": "Victorian balls in Oakland, California, preceded by a class covering the evening's dances. Includes dates of upcoming dances, maps, and a mailing list form.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.gaskellball.com/"} +{"d:Title": "Lexington Vintage Dance Society", "d:Description": "Based in Kentucky. Information about the dances, classes, balls, and performances by the Swan Salon Dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.lexingtonvintagedance.org/"} +{"d:Title": "Terpsichore - Her Votaries and Fashions", "d:Description": "Background and partial transcription of this 1898 publication covering Irish country dances, quadrilles and couple dances.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://chrisbrady.itgo.com/dance/leggett/leggett3.htm"} +{"d:Title": "Social Daunce Irregulars Victorian Grand Ball", "d:Description": "Regular balls in California. Also includes information about classes, and photo and video galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://victoriandance.org/"} +{"d:Title": "Vintage Music and Dance Society", "d:Description": "Connecticut based group. Information about performances by their performing troupe \"Polite Society\", a list of dances taught, a reconstructed quadrille variation, and a discography.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.vintagedancing.com/"} +{"d:Title": "Vintage Dance and History in Southern California", "d:Description": "A calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.lahacal.org/"} +{"d:Title": "Triangle Vintage Dance", "d:Description": "Group organising Victorian balls and regular dance classes in North Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://www.trianglevintagedance.com/"} +{"d:Title": "Bohemian National Polka", "d:Description": "Choreography by Richard Powers, intended to portray the original 1830s National Style of Polka from Bohemia.", "topic": "Top/Arts/Performing_Arts/Dance/Historical/Vintage", "url": "http://socialdance.stanford.edu/syllabi/BNP.htm"} +{"d:Title": "Off Jazz Dance World", "d:Description": "All about jazz and tap dance; history, terminology, teachers, workshops, Instructional videos, quick time demo clips, pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz", "url": "http://www.offjazz.com/"} +{"d:Title": "Lynn Brilhante", "d:Description": "Choreography, instruction, performance in Jazz dance.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz", "url": "http://www.brilhante.com/"} +{"d:Title": "Boulder Jazz Dance Workshop", "d:Description": "Description, schedule of classes, faculty of annual summer intensive dance workshop held at the University of Colorado in Boulder, CO.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz/Companies", "url": "http://bcn.boulder.co.us/arts/idt/idt.html"} +{"d:Title": "Jump Rhythm Jazz Project", "d:Description": "About the international touring group and artistic director. Online calendar and personnel biographies.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz/Companies", "url": "http://www.jrjp.org/"} +{"d:Title": "Decidedly Jazz Danceworks", "d:Description": "All about the professional Canadian jazz dance company, including current season, events, school tours, newsletter, dancer bios and history.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz/Companies", "url": "http://www.decidedlyjazz.com/"} +{"d:Title": "JazzAntiqua", "d:Description": "Dance and music ensemble.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz/Companies", "url": "http://www.jazzantiqua.org/"} +{"d:Title": "Zenon Dance Company and School", "d:Description": "Zenon Dance School offers classes in modern, jazz, ballet, and hip-hop to students of all ages. The Company performs locally, nationally and internationally. Minneapolis, MN.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz/Companies", "url": "http://www.zenondance.org/"} +{"d:Title": "SKIP Entertainment Dance Company", "d:Description": "A page dedicated to the company and its hard working dancing youth.", "topic": "Top/Arts/Performing_Arts/Dance/Jazz/Companies", "url": "http://www.skipentertainment.com/"} +{"d:Title": "DC Bachata Congress", "d:Description": "Website for the annual DC Bachata Congress, and international bachata event held in Washington D.C.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://dcbachata.com/"} +{"d:Title": "San Francisco Bachata Festival", "d:Description": "Official site of the San Francisco Bachata Festival, featuring registration, schedule, and other info for the event.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.sanfrancisco.mybachatafestival.com/"} +{"d:Title": "808 Bachata Advancement", "d:Description": "The website of 808 Bachata Dance Company, with information about bachata lessons and events that the company promotes in Hawaii.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.808bachataadvancement.com/"} +{"d:Title": "Dance Bachata", "d:Description": "A site that provides information and news about bachata dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.dancebachata.nl/"} +{"d:Title": "Bachata Sentimiento", "d:Description": "A bachata dance group based in San Francisco, California that offers bachata lessons, hosts bachata events, and has a performance team.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.bachatasentimiento.com/"} +{"d:Title": "Island Touch Dance Academy", "d:Description": "A bachata school based in Tampa, Florida that helps teach bachata dancing around the country.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://islandtouchdance.com/"} +{"d:Title": "The Bachata Club", "d:Description": "A bachata school based in Toronto, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.thebachataclub.com/"} +{"d:Title": "Dominican Republic Bachata Festival", "d:Description": "A bachata festival that takes place in Santo Domingo, Dominican Republic featuring dance lessons, performances, and dancing to live bands.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.domibachata.com/"} +{"d:Title": "L.A. Summer Bachata Festival", "d:Description": "Website for the LA Summer Bachata Festival a Latin dance festival held in August, with information about their event.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.lasummerbachatafestival.com/"} +{"d:Title": "L.A. Bachata Festival", "d:Description": "The website of the L.A. International Bachata Festival, providing information about the event including schedule, instructors, and hotel&ticket bookings.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.labachatafestival.com/"} +{"d:Title": "BachataForLondon", "d:Description": "A website featuring popular bachata videos and locations of bachata dance classes and events in London.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://bachataforlondon.co.uk/"} +{"d:Title": "Bachata Brno", "d:Description": "Site featuring bachata video lessons for beginners, video performances, playlists, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://www.bachatabrno.com/"} +{"d:Title": "Bachata Caliente", "d:Description": "A performance bachata team and dance company based in Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Bachata", "url": "http://thirdstreetdance.wixsite.com/bachatacaliente"} +{"d:Title": "Latin Motion Dance Academy", "d:Description": "A dance company that provides a syllabus of Latin dance classes around Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.latinmotion.com.au/"} +{"d:Title": "Latin Dance Australia", "d:Description": "A studio based in Sydney that offers classes, parties, and shows in Latin dance styles including salsa, rueda, and samba.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.latindance.com.au/"} +{"d:Title": "American Bolero Dance Company", "d:Description": "Spanish dance company that tours internationally, bringing audiences flamenco, classical Spanish, and Latin American dances in a theatrical setting with live singers and musicians.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.ambolero.com/"} +{"d:Title": "Club Cubana", "d:Description": "Cressida Childs, founder.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.clubcubana.com/"} +{"d:Title": "Addie-Tude", "d:Description": "Home page of Addie Diaz and her Salsa/Mambo dance troupe.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.addie-tude.com/"} +{"d:Title": "The Nuyorican Co.", "d:Description": "New York-style Latin dance in Belgium: all about salsa, mambo and cha-cha-cha classes, special workshops and parties, showgroups.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://users.telenet.be/conny888/"} +{"d:Title": "Conny&The Nuyorican Co.", "d:Description": "New York style Latin dance in Belgium.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://users.telenet.be/cr47923/"} +{"d:Title": "Silhouette Dance Club", "d:Description": "A dance studio based in St. Helens offering dance lessons in Latin, Jazz, Ballroom, and other dance forms for both children and adults.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.silhouettedanceclub.co.uk/"} +{"d:Title": "Phoenix Dance Company", "d:Description": "Teaches Latin American and ballroom dancing in Cape Town, South Africa. They run group classes and have regular social dance events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.phoenixdance.co.za/"} +{"d:Title": "Latin Steps Dance Company", "d:Description": "An multi-award winning Australian Latin dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.latinsteps.com/"} +{"d:Title": "Contra-tiempo", "d:Description": "An innovative Latin dance theatre company out of Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.contra-tiempo.org/"} +{"d:Title": "Brazilian Fantasy", "d:Description": "London based brazilian dance Company. Information and videos about brazilian samba and Capoeira.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.brazilianfantasy.co.uk/"} +{"d:Title": "Dance My Way", "d:Description": "Latin and Brazilian dance company in the UK. They teach and train dancers in Samba and Salsa for social and performance dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.dancemyway.co.uk/"} +{"d:Title": "Mambo Room", "d:Description": "A Latin Dance studio located in Hampton Roads, offering dance lessons in salsa, bachata, zumba, and other Latin dance styles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://mamboroomdance.com/"} +{"d:Title": "Abak\u00faa", "d:Description": "Afro-Latin dance company with Cuban roots, founded by Frankie Martinez. Pictures, dance lessons, upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.abakuadancers.org/"} +{"d:Title": "By Your Side Dance Studio", "d:Description": "An instructional and performance based dance company owned and operated by Deborah Fields-Perez.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.byyoursidedancestudio.com/"} +{"d:Title": "DanceCraft Studio", "d:Description": "A dance company in Cape Town offering classes and events in various genres for all ages.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.dancecraft.info/"} +{"d:Title": "Latin Street Dancing", "d:Description": "Latin dance instruction and performance based in Chicago, offering instruction in salsa, merengue, cha cha cha, tango, cumbia, and rhumba.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.latinstreetdancing.com/"} +{"d:Title": "Bachata Cananda", "d:Description": "Instructors of Salsa, Bachata, Cha Cha, Merengue&Cumbia throughout Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "https://www.bachatacanada.com/"} +{"d:Title": "Tribal Vibe Dancers", "d:Description": "Latin dance company in Nicosia, Cyprus that offers lessons in LA style salsa, bachata, sensual musicality.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Companies", "url": "http://www.tribalvibedancers.com/"} +{"d:Title": "Lambada Zouk Uncut", "d:Description": "Lambada Zouk Class and workshops taught by Lindale Thompson [London]", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Lambada", "url": "http://www.freewebs.com/lambadashakedown/"} +{"d:Title": "SalsaPower", "d:Description": "Source for Casino Style Salsa and Rueda de Casino with information about dancing around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.salsapower.com/"} +{"d:Title": "World Salsa Federation", "d:Description": "A salsa dancing organization recognized by the Amateur Athletic Union and organizers of the annual World Salsa Championships in Miami, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.worldsalsafederation.com/"} +{"d:Title": "Salsa Memes", "d:Description": "Salsa dancing memes and humor from around the web.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.salsamemes.com/"} +{"d:Title": "The Salsa Beat Machine", "d:Description": "An interactive Salsa Rhythm Machine, which plays Salsa rhythms directly from a web browser, with a selection of a dozen instruments, rhythms and programs.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.salsabeatmachine.org/"} +{"d:Title": "Salsa Dance Professional", "d:Description": "Website about salsa dancing, salsa clothes, salsa artists and performers.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.salsa-dance-professional.com/"} +{"d:Title": "Salsa Forums", "d:Description": "One of the longest running salsa discussion forums with hundreds of topics and discussions about issues related to salsa dancing and music.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://salsaforums.com/"} +{"d:Title": "SalsaDex", "d:Description": "A web directory of salsa dancing websites, with site rating functionality.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.salsadex.com/"} +{"d:Title": "Salsa Moda", "d:Description": "A salsa dancing lifestyle and clothing brand that sells t-shirts tailored for salsa dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "https://www.salsamoda.com/"} +{"d:Title": "Dancer Hangout", "d:Description": "A worldwide salsa dancing forum featuring discussion about salsa events, humor, advice, travel.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.dancerhangout.com/"} +{"d:Title": "Salzoom", "d:Description": "A social network for fans of salsa dancing and music.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa", "url": "http://www.salzoom.com/"} +{"d:Title": "Mike Bello the Mambo Fello", "d:Description": "Discover Mike Bello's history of salsa dancing and mambo dancing, a description of mambo salsa difference and schedule of his dance classes in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.mambofello.com/"} +{"d:Title": "Edie the SalsaFREAK", "d:Description": "Articles on salsa dancing written for beginners to advanced dancers. by Edie the Salsa Freak, as well as her touring schedule, and class information.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.dancefreak.com/"} +{"d:Title": "Liz Lira", "d:Description": "A professional salsa instructor from Southern California. Includes information on classes and performances, biography, photo gallery, and resume.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.lizlira.com/"} +{"d:Title": "Yuma Salsero", "d:Description": "A salsa performer France who travels around the world with a group of friends to study different salsa dance styles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.salsero.fr/"} +{"d:Title": "Jorge Cookie Rojas", "d:Description": "The website of Jorge Cookie Rojas a professional dance instructor and World Salsa Championship finalist with a background in ballet, jazz, and contemporary dance.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.cookierojas.com/"} +{"d:Title": "Silke Heleine", "d:Description": "Official website of Silke Heleine, a salsa instructor from the SF Bay Area and director of the Latin Bombshells.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.silkeheleine.com/"} +{"d:Title": "Carlos K\u00f6nig", "d:Description": "A salsa dance instructor in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.carloskonig.com/"} +{"d:Title": "Shani Talmor", "d:Description": "A salsa instructor and professional dancer from Israel who now offers classes in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.shanitalmor.com/"} +{"d:Title": "David and Paulina", "d:Description": "The website of multiple World Championship title-holders David Zepeda Ayala and Paulina Posadas Dagio.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.davidandpaulina.com/"} +{"d:Title": "Anya Katsevman", "d:Description": "Two-time salsa world champion and costume designer from New York.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.anyakatsevman.com/"} +{"d:Title": "Junior and Emily", "d:Description": "The website of Junior and Emily Alabi, World Salsa Champions and the directors of Amicitia Dance Company.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.juniorandemily.com/"} +{"d:Title": "Rogelio Moreno", "d:Description": "A professional salsa dance instructor from Los Angeles, California and director of the Salsabor Y Cache dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.rogeliomoreno.com/"} +{"d:Title": "John and Liz", "d:Description": "The website of John Narvaez and Liz Rojas, the directors of the World champion team Salsamania Dance Company from the SF Bay Area.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.johnandlizproductions.com/"} +{"d:Title": "Melissa Rosado", "d:Description": "The site of professional salsa instructor Melissa Rosado featuring her bio, photos&videos, and information about her teams and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.melissarosado.com/"} +{"d:Title": "Tianne Frias", "d:Description": "A professional salsa instructor from San Francisco, California and the co-director of RicaSalsa dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.tiannefrias.com/"} +{"d:Title": "Salsa With Rebecca", "d:Description": "Rebecca Chehalis Miller, a salsa instructor, teaches salsa lessons in Berkeley, Oakland and the East Bay Area, with information about her upcoming classes.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://www.salsawithrebecca.com/"} +{"d:Title": "Luz Rodriguez", "d:Description": "The website of Luz Rodriguez, a professional salsa instructor in the Washington DC/Virginia area, with information about her upcoming classes as well as her bio.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dancers", "url": "http://lucyluz.wordpress.com/"} +{"d:Title": "Ruedisima Dance Company", "d:Description": "Street Latin dance in Sydney, Australia. Salsa, Rueda, classes and shows.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.ruedisima.com.au/"} +{"d:Title": "LatinGrooves", "d:Description": "A dance company led by Jorge Geronimo's providing dance performances and salsa lessons in Huntington Beach, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.latingrooves.com/"} +{"d:Title": "TropiCaliente", "d:Description": "Latin dance troupe specialized in Salsa and Samba with dances from all over the Caribbean and South America in repertoire. Upcoming events, classes and sample music.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://webhome.idirect.com/~beaven/"} +{"d:Title": "Yemay\u00e1 Salsa", "d:Description": "Dance troupe from Sacramento, California. Information on classes, workshops and upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.yemayasalsa.com/"} +{"d:Title": "UnitedSalseros", "d:Description": "A performance salsa team based in Toronto, Canada combining salsa dancing with a mix of Afro Cuban and contemporary dance.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.unitedsalseros.com/"} +{"d:Title": "Nashville Rueda", "d:Description": "A salsa dance team that specializes in teaching and performing casino rueda in Nashville, Tennessee.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://nashvillerueda.com/"} +{"d:Title": "Ritmos Latinos", "d:Description": "Website for the Rueda de Casino club at the University of Arizona.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://ritmoslatinos.org/"} +{"d:Title": "PB&G Productions, Inc", "d:Description": "World-renown salsa team based in the SF Bay Area and the organizers of the San Francisco Salsa Congress.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.pbgdance.com/"} +{"d:Title": "Mambo Fe Dance Company", "d:Description": "A salsa dance company based in Santa Fe, New Mexico offering salsa lessons and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://mambofe.com/"} +{"d:Title": "Afinkao", "d:Description": "A performance dance team from the SF Bay Area specializing in Afro Cuban dance and body movement.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.afinkao.com/"} +{"d:Title": "Ritmo Bello", "d:Description": "Ritmo Bello is a salsa dance team based in San Diego that performs at congresses across the country and teaches salsa lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.ritmobello.com/"} +{"d:Title": "Montuno Dance Company", "d:Description": "An \"On1\" salsa dance team based in San Jose, California, directed by World Salsa Championships finalist Isidro Corona.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://montunodance.net/"} +{"d:Title": "Salsamania", "d:Description": "The website of Salsamania, one of the largest salsa dance teams in the SF Bay Area and winners of the 2011 World Latin Dance Cup.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.salsamania.org/"} +{"d:Title": "Spartan Mambo", "d:Description": "The performance salsa team of San Jose State University.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.spartanmambo.com/"} +{"d:Title": "MamboNova Dance Company", "d:Description": "An On2 salsa performance dance company based in Redwood City, California and directed by Hector Reyes.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.mambonovasf.com/"} +{"d:Title": "Alma Latina Dance Company", "d:Description": "The site of multiple World Salsa Championship title holders based in Tijuana and San Diego.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.almalatinadancecompany.com/"} +{"d:Title": "Majesty in Motion", "d:Description": "One of the largest salsa dance companies in San Diego, directed by David and Jennifer Stein.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://majestyinmotion.com/"} +{"d:Title": "Salsa Intocable Dance Company", "d:Description": "The website of Salsa Intocable, a dance company based in LA and directed by Laura Luu.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.salsaintocable.com/"} +{"d:Title": "Yamulee Dance Co.", "d:Description": "A salsa dance team based in New York, directed by Osmas Perrones.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.yamulee.com/"} +{"d:Title": "AK Dance Promotions", "d:Description": "The website of Alaska Dance Promotions, a dance company based in Anchorage that offers salsa classes as well as a performance team.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.alaskadancepromotions.com/"} +{"d:Title": "Cadence Dance Team", "d:Description": "A performance salsa team based in Canada, with multiple dance teams for performers of different levels.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.cadencedanceacademy.com/"} +{"d:Title": "MG Dance Team", "d:Description": "A championship-winning salsa dance team based in El Monte, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.mgdancestudio.com/"} +{"d:Title": "Salsa Rica Productions", "d:Description": "A performance salsa team based in Calgary, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.salsarica.ca/"} +{"d:Title": "Omambo Dance Project", "d:Description": "A performance and competition salsa dance team based in Southern California, directed by Omar Mu\u00f1oz.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.omambodanceproject.com/"} +{"d:Title": "RicaSalsa", "d:Description": "A salsa performance team with a contemporary flair based in San Francisco, California and directed by Ricardo and Tianne.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://salsabyricardo.com/"} +{"d:Title": "Abakua", "d:Description": "A salsa and Afro-Latin dance company led by world renowned instructor Frankie Martinez.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://www.abakuadancers.org/"} +{"d:Title": "Sin City Salseros", "d:Description": "The website for a salsa dance team based in Las Vegas, Nevada.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Dance_Teams", "url": "http://sincitysalseros.com/"} +{"d:Title": "SF Salsa Rueda Festival", "d:Description": "Official website of the San Francisco Salsa Rueda Festival held every February in San Francisco.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.salsaruedafestival.com/"} +{"d:Title": "Salsa Rueda Festival Las Vegas", "d:Description": "Website for the Las Vegas Salsa Rueda Festival held every August.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.salsaruedafestivalvegas.com/"} +{"d:Title": "San Francisco Salsa Festival", "d:Description": "An annual salsa festival held in San Francisco every March, organized by Salsamania Dance Company.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://sfsalsafestival.com/"} +{"d:Title": "Arctic Salsa Festival", "d:Description": "A salsa festival held every January in Helsinki, Finland, featuring dancers and instructors from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://arcticsalsafestival.com/"} +{"d:Title": "Chicago International Salsa Congress", "d:Description": "A celebration of the creation and evolution of Latin and Afro-Caribbean music and dance, held every February in Chicago.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.chicagosalsacongress.com/"} +{"d:Title": "World Salsa Summit", "d:Description": "A worldwide salsa competition organized by Billy Fajardo and held in Miami every February.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.worldsalsasummit.com/"} +{"d:Title": "Hong Kong Salsa Festival", "d:Description": "An international salsa festival held every February in Hong Kong featuring dancers and performers from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://hksalsafestival.com/"} +{"d:Title": "Vancouver International Salsafestival", "d:Description": "A salsa festival in Vancouver, Canada attracting over 4,000 people from around the world every year, with top salsa performers and musicians.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://salsafestival.ca/"} +{"d:Title": "Australia Rueda de Casino Championship", "d:Description": "A competition for Cuban-style salsa dancing held in Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://ruedadecasino.com.au/"} +{"d:Title": "CT Salsa Fest", "d:Description": "The Connecticut Salsa Festival, held every May in Stamford, Connecticut.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.ctsalsafest.com/"} +{"d:Title": "Alaska Salsa Festival", "d:Description": "A weekend of salsa dancing, workshops, and showcases held in Anchorage, Alaska every August.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://alaskasalsafestival.com/"} +{"d:Title": "Texas Salsa Congress", "d:Description": "A salsa festival held every November in Houston, Texas which showcases salsa dancers from Texas and around the world and raises money for scholarships.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://txsalsacongress.com/"} +{"d:Title": "Utah Salsa Expo", "d:Description": "A salsa dancing festival held in Salt Lake City, Utah featuring salsa performances from all over the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.utahsalsaexpo.com/"} +{"d:Title": "College Salsa Congress", "d:Description": "The official website of the College Salsa Congress, an annual salsa events aimed at college students featuring workshops, performances, and a college salsa competition.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.collegesalsacongress.com/"} +{"d:Title": "SLOSX", "d:Description": "The website of the San Luis Obispo Salsa Exchange, which brings college students from around the country together for three days of salsa workshops, activities, and dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://slosx.com/"} +{"d:Title": "Las Vegas Salsa Congress", "d:Description": "Event info for the Las Vegas Salsa Congress held every year on 4th of July weekend, featuring info about performers, workshops, and event schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.mysalsacongress.com/las-vegas"} +{"d:Title": "Portland Salsa Congress", "d:Description": "The website for an annual salsa congress held in Portland, Oregon every June.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.geminisalsa.com/"} +{"d:Title": "Ruedafestival Stuttgart", "d:Description": "Website for the Ruedafestival Stuttgart event in Germany for Rueda de Casino.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.ruedafestival.de/en"} +{"d:Title": "London Cuban Salsa Congress", "d:Description": "Homepage of the London Cuban Salsa Congress, an international Cuban salsa event held in London every February.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://www.salsaexplosion.co.uk/londoncubancongress/"} +{"d:Title": "Salsa School Ireland", "d:Description": "A non-profit community based salsa congress held in Ireland every year.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Events", "url": "http://irishsalsacongress.ie/"} +{"d:Title": "Salsa Sabrosa", "d:Description": "This site contains information about salsa events and classes in the south of Sweden. Written in Swedish.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsa-sabrosa.com/"} +{"d:Title": "SalsaNewYork.com", "d:Description": "Events calendar for salsa and mambo in New York, as well as articles and profiles of local dance teams and instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsanewyork.com/"} +{"d:Title": "The Northern Salsa Pages", "d:Description": "A guide to salsa clubs and events in the north of England.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.uksalsa.com/"} +{"d:Title": "Salsa Cruise.com", "d:Description": "Information about the best cruises that feature salsa dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://salsacruise.com/"} +{"d:Title": "Salsa Bangkok", "d:Description": "The central source for dancing salsa in Thailand.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsabangkok.com/"} +{"d:Title": "SalsaSF.com", "d:Description": "Dance resource for the Bay Area. Articles and interviews, photo and video gallery, calendar of events and workshops, lists of clubs and instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsasf.com/"} +{"d:Title": "Berlin Salsa Guide", "d:Description": "All about Salsa in Berlin. Clubs, dance schools, CD shops, Latino restaurants, events, photos.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsa-berlin.com/"} +{"d:Title": "Salsaholics Anonymous", "d:Description": "Definitive guide to the salsa scene in Scotland.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://salsaholics.co.uk/"} +{"d:Title": "Born To Salsa", "d:Description": "A listing of salsa classes in Florida and salsa events from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.borntosalsa.com/"} +{"d:Title": "Salsa-Amsterdam.nl", "d:Description": "Information about salsa dancing in Amsterdam: news, clubs, lessons, maps and links.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsa-amsterdam.nl/"} +{"d:Title": "SalsaDuende.com", "d:Description": "Guide to salsa and Latin dancing on the web. Contains index of dance clubs worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsaduende.com/"} +{"d:Title": "SALSAtlanta", "d:Description": "Information about Cuban-style salsa dancing in Atlanta, Georgia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsacasino.com/"} +{"d:Title": "Sydney Salsa Scene", "d:Description": "Comprehensive information of Latin nightclubs, dance schools and general Latin events in Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.sydneysalsascene.com/"} +{"d:Title": "Salsa.ie", "d:Description": "A community site about salsa dancing in Ireland featuring event listings and map of salsa events throughout the country.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsa.ie/"} +{"d:Title": "Seattle Rueda", "d:Description": "Salsa rueda news and events from Seattle, Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://seattlerueda.com/"} +{"d:Title": "Salsa Caribe Productions", "d:Description": "Leading promoters of authentic Cuban salsa, dance classes, workshops, club nights and performances, both in the UK and in Cuba.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsacaribe.co.uk/"} +{"d:Title": "MySalsaCongress.com", "d:Description": "Informational site for popular salsa congresses including the LA Salsa Congress, Las Vegas Salsa Congress, and World Latin Dance Cup.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.mysalsacongress.com/"} +{"d:Title": "Festivalsero.com", "d:Description": "Find upcoming salsa festivals, congresses and other salsa events around the world. Browse salsa festivals by location or date.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.festivalsero.com/"} +{"d:Title": "DanceInTimeProductions", "d:Description": "Information on Salsa and Rueda de Casino in the Washington D.C./MD/VA areas, with many instructional&performance videos.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://danceintime.com/"} +{"d:Title": "North Bay Salsa", "d:Description": "Dedicated to the promotion of salsa&rueda group classes, studio classes, clubs, special events, parties, workshops, and private lessons in Northern California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.northbaysalsa.com/"} +{"d:Title": "Salsa By The Bay", "d:Description": "A salsa dancing site for the San Francisco Bay Area featuring event listings, reviews, and profiles of local dance instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsabythebay.com/"} +{"d:Title": "Wellington Salsa", "d:Description": "Up-to-date information on Salsa parties, events and classes in Wellington, New Zealand.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.wellingtonsalsa.org.nz/"} +{"d:Title": "London Salsa", "d:Description": "A listing site for all things salsa in London including classes, venues, teachers, bands, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.londonsalsa.co.uk/"} +{"d:Title": "Salsa Manchester", "d:Description": "Salsa classes, salsa clubs, and workshops in Manchester, UK as well as bachata and other Latin dances.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://salsa-manchester.co.uk/"} +{"d:Title": "Salsa Pittsburgh", "d:Description": "Salsa event calendars, and information about local clubs, instructors, and DJs for Pittsburgh, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsapittsburgh.com/"} +{"d:Title": "All Out Salsa", "d:Description": "A site that provides information about salsa dancing in South Africa, including the Cape Town Salsa Congress.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://alloutsalsa.com/"} +{"d:Title": "London Salsa Scene", "d:Description": "A website that provides information about salsa clubs, classes, and events in and around London.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.londonsalsascene.co.uk/"} +{"d:Title": "Chrissie's Salsa Pages", "d:Description": "Offers addresses and pictures of salsa clubs in central Europe and worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsatecas.net/"} +{"d:Title": "SalsaDancingPhoenix.com", "d:Description": "Website features popular places to go salsa dancing, as well as salsa lessons in the Phoenix metro area.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.salsadancingphoenix.com/"} +{"d:Title": "YA Salsa", "d:Description": "Salsa dancing news and event listings for the Detroit, Michigan area.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.yasalsa.org/"} +{"d:Title": "Latin Dance Calendar", "d:Description": "Latin dance classes, events, festivals and news worldwide with a focus on the USA, Canada, UK and Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://latindancecalendar.com/"} +{"d:Title": "Rumbanana Salsa Group", "d:Description": "A group based in Corvallis, Oregon that promotes Cuban music and dance.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.rumbanana.org/"} +{"d:Title": "Latin Dance Events", "d:Description": "A site that lists local Latin dancing lessons and events for salsa, bachata, kizomba, tango, and mores.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Event_Listings", "url": "http://www.latindanceevents.com/"} +{"d:Title": "Salsa Magazine", "d:Description": "A salsa dance magazine that contains history, graphics, photos, posters and videos of salsa from the 70's.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://www.salsamagazine.com/"} +{"d:Title": "Just Salsa Magazine", "d:Description": "Web magazine dedicated to Latin music and dance culture featuring photo archives of club dancers, artists, and dance companies from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://www.justsalsa.com/"} +{"d:Title": "Salsa By The Bay", "d:Description": "An information site about salsa in the San Francisco Bay Area that publishes a printed quarterly magazine about salsa dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://www.salsabythebay.com/"} +{"d:Title": "Salsa Central", "d:Description": "An online salsa and Latin dance magazine, with the latest news, salsa CD and event reviews, salsa playlists, salsa videos, interviews, and articles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://www.salsa-central.com/"} +{"d:Title": "La Voz Del Mambo", "d:Description": "An online salsa magazine featuring articles about salsa and interviews with professional salsa dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://www.lavozdelmambo.com/"} +{"d:Title": "Salsa Circuit", "d:Description": "An online salsa magazine with salsa news, articles, and events from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://salsacircuit.com/"} +{"d:Title": "America Salsa", "d:Description": "A website dedicated to salsa music&dance, with photos, videos and articles about salsa from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://www.americasalsa.com/"} +{"d:Title": "Salsamundi", "d:Description": "An web-based, international salsa dancing magazine with issues available online through its website.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://www.salsamundi.com/"} +{"d:Title": "Dance Planet Daily", "d:Description": "An online dance magazine featuring articles, profiles and interviews with prominent dancers in the Latin dance scene.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Magazines_and_E-zines", "url": "http://danceplanetdaily.com/"} +{"d:Title": "Latin Motion Dance Academy", "d:Description": "A dance company that provides a syllabus of Latin dance classes around Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.latinmotion.com.au/"} +{"d:Title": "Club Salsa Sydney", "d:Description": "Australia's largest Salsa (street Latin dancing) dance school and nightclub promoter. Beginners and advanced dance classes are held weekly at a variety of venues across Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.clubsalsa.com.au/"} +{"d:Title": "Casa De La Salsa", "d:Description": "A Salsa Club in Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.casadelasalsa.com/"} +{"d:Title": "Salsa In Motion", "d:Description": "Salsa and Latin dance school based in Melbourne, Australia with workshops and courses on Cuban salsa, rumba, body isolation, bachata&other Latin styles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://salsainmotion.com.au/"} +{"d:Title": "The Salsa Foundation", "d:Description": "Beginner and advanced salsa lessons in Melbourne, Australia as well as a performance team and regular salsa events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.thesalsafoundation.com.au/"} +{"d:Title": "Sydney Dance Rhythms", "d:Description": "Ballroom, salsa, tango and bridal dance Lessons in Sydney and Summer Hill.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.sydneydancerhythms.com/"} +{"d:Title": "Salsa Connection Dance Studio", "d:Description": "A salsa and Latin Dance studio in Adelaide, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.salsaconnection.com.au/"} +{"d:Title": "Tropical Soul Dance Studio", "d:Description": "Based in Sydney, Australia, Tropical Soul offers salsa, bachata and Latin dancing classes from beginners to advanced level.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.tsdance.com.au/"} +{"d:Title": "Passionata Dance", "d:Description": "A salsa, tango and bridal dance studio based in Sydney's west, Liverpool, Burwood and St George areas.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.passionatadance.com/"} +{"d:Title": "Danza Loca", "d:Description": "Based in Perth, Western Australia, Danza Loca offers Perth's free introductory salsa lessons every Tuesday and runs Zumba classes in locations all over the metropolitan region.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.danzaloca.com/"} +{"d:Title": "Rhythemics Dance School", "d:Description": "A Latin dance school based in Melbourne offering lessons Latin street dance, salsa, and other dance styles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Australia", "url": "http://www.rythemics.com.au/"} +{"d:Title": "SalsaFlash", "d:Description": "Salsa lessons in Leuven taught by Joao.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Belgium", "url": "http://www.salsaflash.be/"} +{"d:Title": "Cuban Dance Entertainment", "d:Description": "Cuban dance company based in Vancouver, Canada, that promotes Cuban music and dance through shows, workshops and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://www.cubandancentertainment.com/"} +{"d:Title": "iFreeStyle.ca", "d:Description": "Salsa dance lessons and professional performance dance company from Toronto, Canada specializing in Salsa On2/Mambo. Group classes, workshops, private lessons, special events, performances, choreography and private bookings.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://www.ifreestyle.ca/"} +{"d:Title": "Salsaholics Anonymous", "d:Description": "Provides a venue for new and intermediate salsa dance lovers to learn and practice salsa in Toronto, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://www.salsaholics.ca/"} +{"d:Title": "Hot Salsa Dance Zone", "d:Description": "Salsa lessons in Vancouver, Canada by Alberto Gonzalez, professional salsa instructor and dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://www.hotsalsadancezone.com/"} +{"d:Title": "Toronto Dance Salsa", "d:Description": "A large salsa dance school in Toronto, Canada with over 5000 students attending annually. Information about salsa classes and socials.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://www.torontodancesalsa.ca/salsa-classes.php"} +{"d:Title": "ComeSalsa Ottawa", "d:Description": "Salsa dancing lessons and events in Ottawa, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://comesalsa.ca/"} +{"d:Title": "Cadence Dance Academy", "d:Description": "A dance school based in Canada that offers lessons in salsa, cha cha, bachata, Afro Cuban, and more in multiple studio locations.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://www.cadencedanceacademy.com/"} +{"d:Title": "GoDanz", "d:Description": "SalsaSteph and her team offer a wide range of Latin dance courses in downtown Toronto for all levels, from beginner to salsa addict.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://godanz.com/"} +{"d:Title": "Salsa in the Heart", "d:Description": "A salsa school in Toronto with drop-in lessons specialized in accelerated learning programs for beginners.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://www.salsaintheheart.ca/"} +{"d:Title": "AfroLatino Dance School", "d:Description": "Dance company and school offering lessons in Cuban salsa, Afro-Cuban, Rumba, Bachata, Merengue, Samba, Latin Cardio in Toronto, Canada", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Canada", "url": "http://afrolatinodance.com/"} +{"d:Title": "Salsa-Amor", "d:Description": "A site where visitors can sign up to take salsa dancing classes in Havana, Cuba.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Cuba", "url": "http://salsa-amor.room-more.com/"} +{"d:Title": "Helsinki Salsa Academy", "d:Description": "Helsinki Salsa Academy (HSA), founded by Mikko Kemppe, is a salsa dance training and performance organization based out of Helsinki, Finland.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Finland", "url": "http://www.helsinkisalsaacademy.com/"} +{"d:Title": "eXtremoS", "d:Description": "Salsa Lessons, pictures, and event listings for Amsterdam, Holland.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Holland", "url": "http://www.extremos.nl/"} +{"d:Title": "Salsa Northern Ireland", "d:Description": "A popular salsa club in Belfast, Northern Ireland with lessons weekly at the Queens University Students Union.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Ireland", "url": "http://www.salsa-ni.com/"} +{"d:Title": "Dance-Excel", "d:Description": "Alanna Sloan providing Salsa instruction for beginners and improvers in Belfast, Northern Ireland.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Ireland", "url": "http://dance-excel.com/"} +{"d:Title": "Salsa Latina Dance Centre", "d:Description": "A dance school offering salsa, samba, argentine tango, bachata and other Latin dance lessons in Christchurch, New Zealand.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/New_Zealand", "url": "http://www.salsalatina.co.nz/"} +{"d:Title": "Latin Dance Studios", "d:Description": "A Latin dance school based in Auckland, New Zealand offering weekly classes for beginners to advanced dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/New_Zealand", "url": "http://www.salsadance.co.nz/"} +{"d:Title": "Viva Dance", "d:Description": "A salsa dance studio in Auckland, New Zealand offering salsa lessons, workshops, and performance teams.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/New_Zealand", "url": "http://www.vivadance.co.nz/"} +{"d:Title": "Dance Unlimited", "d:Description": "Salsa and other Latin dance lessons in Singapore taught by Suman and Rachel.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Singapore", "url": "http://www.danceunlimited.sg/"} +{"d:Title": "Xenbar", "d:Description": "A salsa bar in Singapore open every day of the year, information about salsa classes and lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Singapore", "url": "http://xenbar.com/"} +{"d:Title": "Dance En Motion", "d:Description": "Salsa dancing lessons, workshops, and private lessons in Singapore.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Singapore", "url": "http://www.dance-en-motion.com/index.html"} +{"d:Title": "Two Left Feet Dance School", "d:Description": "Offers salsa and zouk lessons in Singapore aimed at beginners.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/Singapore", "url": "http://www.two-leftfeet.com/"} +{"d:Title": "La Salsa Dansskool", "d:Description": "A salsa dance school located in Durbanville Hills, Cape Town, South Africa.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/South_Africa", "url": "http://lasalsa.co.za/"} +{"d:Title": "Hot 'n' Spicy Salsa School", "d:Description": "Salsa dance classes at various venues throughout South Africa.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/South_Africa", "url": "http://www.salsa.za.net/"} +{"d:Title": "HotSalsa", "d:Description": "Salsa lessons and salsa team based in Durban, South Africa.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/South_Africa", "url": "http://www.redsalsa.co.za/"} +{"d:Title": "Ritmo de Havana", "d:Description": "Salsa dance classes and salsa parties in Dubai.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Arab_Emirates", "url": "http://www.ritmo-de-havana.com/"} +{"d:Title": "Dubai Salsa", "d:Description": "Salsa lessons and events by James&Alex Dance Studios in Dubai.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Arab_Emirates", "url": "http://www.dubaisalsa.com/"} +{"d:Title": "Salsa Fusion", "d:Description": "Salsa lessons and club nights hosted by Salsa Fusion in London, UK as well as video demonstrations of moves.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsa-fusion.com/"} +{"d:Title": "Dapa Centre", "d:Description": "Dance and performing Arts centre. Classes for all ages in salsa, merangue, samba and Cha Cha. Located in Eanam Wharf, Blackburn, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.dapacentre.co.uk/"} +{"d:Title": "SalsaFever", "d:Description": "Salsa instruction and private lessons in the UK, as well as a catalogue of various salsa products.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsafever.co.uk/"} +{"d:Title": "Salsa Magic Dance School", "d:Description": "School run by Miguel-Angel Plaza teaching salsa dance lessons in the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsamagic.co.uk/"} +{"d:Title": "Colchester Salsa", "d:Description": "Information about salsa dance classes held at the St John's Community Centre in Colchester, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.colchestersalsa.co.uk/"} +{"d:Title": "Salsagold", "d:Description": "Information about salsa classes by former UK Salsa Dance Champion Richard Pierre in London, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsagold.co.uk/"} +{"d:Title": "Salsa Tropical", "d:Description": "Teaches salsa classes in London, England at several locations for beginners and intermediates.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsa-tropical.com/"} +{"d:Title": "Salsa Bristol", "d:Description": "A salsa dance company founded by two improver level salsa dancers in keynsham Bristol near Bath.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://salsabristol.co.uk/"} +{"d:Title": "Cambridge Cuban Salsa Club", "d:Description": "Offers salsa classes, workshops and parties in Cambridge as well as acting as a local information hub about Cuban salsa in East Anglia and the rest of the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.cambridgecubansalsa.co.uk/"} +{"d:Title": "Secret Salsa", "d:Description": "Salsa dance classes and socials in Suffolk and Norfolk, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.secretsalsa.co.uk/"} +{"d:Title": "Salsa Buena", "d:Description": "Providing regular weekly salsa classes, monthly bachata and rueda classes and party nights in and around the Cardiff, South Wales (UK) area.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsabuena.co.uk/"} +{"d:Title": "SalsaBug", "d:Description": "The dance school of Paul and Wendy Marcel offering salsa and Latin/Ballroom classes throughout Surrey.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsabug.co.uk/"} +{"d:Title": "SalsaMania UK", "d:Description": "Salsa classes in the cross body style for beginners, improvers and intermediate levels offered in South London.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsamania.org.uk/"} +{"d:Title": "I Love Salsa", "d:Description": "Professional salsa dance classes in the UK as well as salsa dance events in schools and studios.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.ilovesalsa.com/"} +{"d:Title": "Latin Beats Entertainment", "d:Description": "Salsa classes and parties in Aberdeen.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.latinbeats.co.uk/"} +{"d:Title": "Salsana", "d:Description": "Venezuelan salsa instructor who offers salsa, kizomba and zumba lessons in Wirral and Liverpool.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsana.co.uk/"} +{"d:Title": "Salsa y Sol", "d:Description": "Salsa, zumba, and yoga classes in Winchester, Hampshire, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsaysol.co.uk/"} +{"d:Title": "Latino Interactive", "d:Description": "Latino Interactive is a salsa event organization based in Southampton.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.latinointeractive.co.uk/"} +{"d:Title": "Latin Dance Aberdeen", "d:Description": "Salsa classes, parties, and private lessons in Aberdeen, Scotland. Teachers provide classes of salsa, bachata, rueda, and kizomba.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.latindanceaberdeen.com/"} +{"d:Title": "Salsateca Dance School", "d:Description": "A Latin American-dance school in London that offers salsa and bachata classes for beginners, improvers, intermediate and advanced students at venues in central, north and south London.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsateca.co.uk/"} +{"d:Title": "Latin Dance Academy", "d:Description": "A premier Latin dance school based in London offering students lessons in salsa, bachata, kizomba, and other Latin dance styles.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.latindanceacademy.co.uk/"} +{"d:Title": "Caramelo Latin Dance", "d:Description": "Dance school located in the London Paddington area, with information about classes, dance teams, events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.caramelolatindance.co.uk/"} +{"d:Title": "Nu Salsa", "d:Description": "Beginners, improvers and advanced salsa classes in Belfast. Salsa event listings for Northern Ireland.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.nusalsa.com/"} +{"d:Title": "StreetSalsa", "d:Description": "A salsa dancing school in the UK which offers salsa dancing lessons in local bars, clubs and studios by storm. The site also has a social networking feature where dancers can network online.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.streetsalsa.org/"} +{"d:Title": "Basement Dance Studio", "d:Description": "Dance fitness classes in London, England.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.thebasementdancestudio.com/"} +{"d:Title": "Mambo City", "d:Description": "Salsa teachers in London UK. Often arrange workshops with visiting teachers from the US.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsadance.co.uk/"} +{"d:Title": "Salsa Rocks Leeds", "d:Description": "A dance school that offers salsa classes in Leeds, UK. The website offers information about their classes, instructors, monthly events, specialist workshops and blogs.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsaleeds.co.uk/"} +{"d:Title": "Salsache", "d:Description": "Salsache offers classes in Cuban salsa and New York-style salsa in Nottingham.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.salsache.uk/"} +{"d:Title": "Breckland Salsa", "d:Description": "Salsa, Bachata&Merengue class in the heart of Norfolk", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.brecklandsalsa.co.uk/"} +{"d:Title": "Baile Escocia", "d:Description": "UK based latin dance agency and events with classes for salsa and tango dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_Kingdom", "url": "http://www.baileescocia.co.uk/"} +{"d:Title": "Absolute Salsa", "d:Description": "Rueda de Casino moves and steps as well as information about classes. Descriptions available in English, Spanish, and Italian.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.absolutesalsa.com/"} +{"d:Title": "Dance Dream's Latin Heat", "d:Description": "Cuban salsa rueda classes and private lessons in Miami, as well as instructional videos for learning and teaching.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.latin-heat.com/"} +{"d:Title": "Miami Salsa", "d:Description": "Private instructors teaching Rueda de Casino Miami style in Denver, Colorado.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.miamisalsa.com/"} +{"d:Title": "LearnToSalsa.com", "d:Description": "Information about salsa classes and private lessons by Tenia Raeni, a salsa instructor based in Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.learntosalsa.com/"} +{"d:Title": "LatinDance.com", "d:Description": "Salsa and other Latin dance instruction by Josie Neglia in Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://latindance.com/"} +{"d:Title": "Salsabor Tropical", "d:Description": "South Florida dance studio teaching all types of social dances and specializing in Latin dance classes including salsa, bachata, and chacha.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salsabortropical.com/"} +{"d:Title": "EugeneSalsa.com", "d:Description": "Eugene, Oregon. Dance classes, nightlife, and music plus photographs of the local Salsa dance scene.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.eugenesalsa.com/"} +{"d:Title": "Platinum Salsa", "d:Description": "Latin dance company specializing in salsa lessons and other genres of dance. They teach and organise social dance events in Connecticut.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://platinumsalsa.com/"} +{"d:Title": "SalsaGente", "d:Description": "A school in Santa Cruz, California that offers salsa rueda and Cuban-style salsa instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salsagente.com/"} +{"d:Title": "Salsa Xtreme Dance Studio", "d:Description": "A dance company specializing in Rueda Casino style salsa in Tampa, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salsaxtremetampa.com/"} +{"d:Title": "Salsa Con Todo", "d:Description": "A salsa dance company based in Seattle, Washington offering salsa lessons from beginner to advanced level.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salsacontodo.com/"} +{"d:Title": "Salseros-LA", "d:Description": "Salsa classes by Jose Hernandez in Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://salseros-la.com/"} +{"d:Title": "Salseroblanko", "d:Description": "Salsa classes, events, and lessons in Huntsville, Alabama taught by John \"salseroblanko\" Morey.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salseroblanko.net/"} +{"d:Title": "BAILA Society", "d:Description": "A salsa dance company in New York City that teaches salsa partnerwork and technique and performs around the country.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.bailasociety.com/"} +{"d:Title": "Caribbean Dance Explosion", "d:Description": "Indo-Caribbean and Afro-Caribbean dance classes including salsa and tango.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.caribbeandanceexplosion.org/"} +{"d:Title": "SalsaInTheMix Dance Company", "d:Description": "Event services, choreography, and salsa dance classes in Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://salsainthemix.com/"} +{"d:Title": "OC Salsa", "d:Description": "Salsa dance lessons with Esteban Conde and his instructors in the South Orange County area in California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.estebanconde.com/"} +{"d:Title": "Estilo Dance Studio", "d:Description": "Salsa dance school in Philadelphia, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.estilodancestudio.com/"} +{"d:Title": "Step Into Salsa", "d:Description": "On2 salsa dance classes, performing teams and professional instruction in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://stepintosalsa.com/"} +{"d:Title": "Art In Motion", "d:Description": "Salsa Classes, lessons, and dancing in Louisville, Kentucky with weekly salsa classes, private lessons, and monthly salsa parties.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.theartinmotion.com/"} +{"d:Title": "NYSalsababy", "d:Description": "NYC&Brooklyn based dance school offering small salsa classes with individual attention to details and techniques as well as private lessons, workshops, and dance performances.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.nysalsababy.com/"} +{"d:Title": "Santa Rosa Salsa", "d:Description": "Salsa dancing classes in Santa Rosa and Sonoma County taught by the instructors of Santa Rosa Salsa led by Irene Silva.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.santarosasalsa.com/"} +{"d:Title": "Purdue Salsa Dance Club", "d:Description": "The salsa dance club at Purdue University offering weekly salsa lessons and salsa dancing events.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://web.ics.purdue.edu/~salsa/"} +{"d:Title": "SJSU Salsa Club", "d:Description": "The salsa club at San Jose State University, offering weekly salsa lessons to SJSU students and community members.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.sjsusalsa.org/"} +{"d:Title": "DC Style Salsa Academy", "d:Description": "Salsa dancing and styling lessons On2 in the Washington D.C. area.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.dcstylesalsa.com/"} +{"d:Title": "Nuevolution Dance Studio", "d:Description": "A salsa dance school in Pembroke Pines, Florida provides students of all levels with different varieties of salsa dance lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.nuevolutionsalsa.com/"} +{"d:Title": "Mambo Room", "d:Description": "Latin dance studio in Virginia offering Salsa, Bachata, Zumba.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://mamboroomdance.com/"} +{"d:Title": "Mixed Motion Art", "d:Description": "A Chicago-based dance and fitness school which specializes in on2 salsa dancing. The site offers information about classes, a calendar of events, as well as a blog.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.mixedmotionart.com/"} +{"d:Title": "NYC Salsa", "d:Description": "A website with information about salsa dance lessons offered in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://nysalsa101.com/"} +{"d:Title": "MG Dance Studio", "d:Description": "Dance school based in El Monte, California that offers salsa lessons to kids, teens, and adults.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.mgdancestudio.com/"} +{"d:Title": "SalsaNow!", "d:Description": "Salsa lessons, Latin dance classes and events in Baltimore and surrounding areas in Maryland by Niss Albaig.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salsa-now.net/"} +{"d:Title": "Salsa N' Seattle", "d:Description": "Salsa dancing lessons in Seattle containing a schedule of classes, instructors, videos of salsa moves.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salsanseattle.com/dance-seattle/"} +{"d:Title": "Con Ritmo Salsa Studio", "d:Description": "New York-style salsa/mambo on2 dance studio in Lodi, New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.conritmosalsastudio.com/"} +{"d:Title": "Spokane Salsa", "d:Description": "Information for salsa dancing and events in Spokane, Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.spokanesalsa.com/"} +{"d:Title": "Kings Dance Alliance", "d:Description": "A collection of salsa dance studios located throughout Florida offering salsa instruction to dancers of all levels.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.kingsdancealliance.com/"} +{"d:Title": "Salsa with Juan", "d:Description": "Salsa dancing lessons and socials held in Oakland, California, organized by Juan GIl and Ruth Caspary.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.salsawithjuan.squarespace.com/"} +{"d:Title": "DF Dance", "d:Description": "Salsa dancing classes and workshops in Salt Lake City, Utah.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Schools/United_States", "url": "http://www.dfdancestudio.com/"} +{"d:Title": "OnlineSalsaTickets.com", "d:Description": "An online store for tickets to salsa, bachata and other Latin festivals and congresses all over the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Shopping", "url": "http://www.onlinesalsatickets.com/"} +{"d:Title": "Salsa&Merengue Society", "d:Description": "Online salsa videos of salsa and other Latin dance steps, as well as the history of salsa music and dance provided by a dance school in Sheffield, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Videos", "url": "http://www.salsa-merengue.co.uk/"} +{"d:Title": "Addicted2Salsa", "d:Description": "A popular salsa dancing podcast which offers free salsa dancing lessons every week.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Videos", "url": "http://addicted2salsa.com/"} +{"d:Title": "Nuevotec Productions", "d:Description": "A website that produces videos of salsa dance performances from events around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Videos", "url": "http://www.nuevotec.com/"} +{"d:Title": "SalsaSan", "d:Description": "A salsa video site where users can submit and rate videos of salsa dancing performances, lessons, and social dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Videos", "url": "http://salsasan.com/"} +{"d:Title": "WebDanceTV", "d:Description": "Online video lessons in salsa, bachata, kizomba and cha-cha-cha by international instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Videos", "url": "http://webdancetv.com/"} +{"d:Title": "Dancaholic", "d:Description": "Website for a salsa dance app for iPhone&iPad featuring 100+ instructor lead videos.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Videos", "url": "http://www.dancaholic.com/"} +{"d:Title": "Salsa Musicality", "d:Description": "A blog about salsa musicality and percussion based on ten years of social dancing, teaching, performing and playing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://salsa-musicality.blogspot.com/"} +{"d:Title": "Becoming a salsero", "d:Description": "A blog about salsa dancing and how Walter became a salsero.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://becomingasalsero.blogspot.com/"} +{"d:Title": "Salsa Nick", "d:Description": "The blog of Salsa Nick who shares news, articles, and posts about Cuba salsa.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://salsanick.com/"} +{"d:Title": "Salsa Ryan Gosling", "d:Description": "A Tumblr blog about Ryan Gosling and cheesy salsa-related pickup lines.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://salsaryangosling.tumblr.com/"} +{"d:Title": "Salsa Blog", "d:Description": "The salsa blog of Loo Yeo, who shares his experiences with salsa dancing in the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://salsadiary.blogspot.com/"} +{"d:Title": "The Unlikely Salsero", "d:Description": "The salsa blog of Don Baarns where he talks about salsa musicality and his experiences salsa dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://www.unlikelysalsero.com/"} +{"d:Title": "Salsa Dancing Tips", "d:Description": "A salsa blog with tips for improving at salsa dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://www.salsadancingtips.com/"} +{"d:Title": "My Dance Productions", "d:Description": "A blog and news site about salsa dancing in Los Angeles and around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://www.mydanceproductions.com/"} +{"d:Title": "Rhapsodies of the Barefooted Gypsy", "d:Description": "The blog of Jennifer Hababag, a salsa dancer who shares her experiences with salsa dancing in Cairo and Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "https://cairogypsy.wordpress.com/"} +{"d:Title": "Salsakesh", "d:Description": "The blog of Takeshi Young, a salsa choreographer and dancer from San Jose, California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Salsa/Weblogs", "url": "http://www.salsakesh.com/"} +{"d:Title": "Aquarela", "d:Description": "Professional dance ensemble promoting Brazilian dance, culture, music and carnival in the San Francisco Bay Area and beyond.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://www.aquarela.com/"} +{"d:Title": "SambaLa Samba School", "d:Description": "A school promoting samba dancing and Brazilian culture in Southern California.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://www.sambala.org/"} +{"d:Title": "SambaFrog", "d:Description": "A company in Sydney, Australia, offering Brazilian dance and percussion classes, workshops and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://www.sambafrog.com/"} +{"d:Title": "London School of Samba", "d:Description": "Formed in 1984, it is the UK's original samba school, and has taught samba drumming and dancing to over 35,000 individuals and has performed for over 1.5 million people.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://londonschoolofsamba.co.uk/"} +{"d:Title": "DanceMyWay", "d:Description": "A salsa school in the UK offering samba and Brazilian dance lessons and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://www.dancemyway.co.uk/"} +{"d:Title": "Sambashows", "d:Description": "A UK based organisation provides professional samba dancers and musicians for worldwide events. Their Brazilian dancers perform a Latin dance shows for private events, corporate events and theatrical productions.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://www.sambashows.com/"} +{"d:Title": "Brazivedas", "d:Description": "Organization dedicated to bringing Brazilian culture to the Bay Area through dance, music and martial arts.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://www.brazivedas.com/"} +{"d:Title": "AfroLatino Dance Company", "d:Description": "Offers dance entertainment services for a variety of corporate and private events in the Toronto area, specializing in Cuban cabaret and Brazilian samba shows.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://afrolatinodance.com/"} +{"d:Title": "HD Entertainment", "d:Description": "A company the puts on samba and Latin themed dance shows.", "topic": "Top/Arts/Performing_Arts/Dance/Latin/Samba", "url": "http://www.hd-entertainment.com.au/"} +{"d:Title": "Dance Art", "d:Description": "Dancezine. Helpful resource for all dancers, including a search for studios by location.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://danceart.com/"} +{"d:Title": "critical dance", "d:Description": "An inclusive site for dancers and dance fans dedicated to all types of performance dance, but especially ballet and modern. Features a moderated discussion forum, news, interviews and reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.ballet-dance.com/"} +{"d:Title": "Answers4Dancers", "d:Description": "Dance auditions and career advice for working dancers, entry-level dancers and student dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.answers4dancers.com/"} +{"d:Title": "Dance Aotearoa New Zealand (danz)", "d:Description": "National umbrella organisation shaped to meet the needs of dance in all its diversity.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.danz.org.nz/"} +{"d:Title": "Dance Magazine", "d:Description": "Online version of Dance Magazine known for its Young Dancer section, reviews, dance news, and features on Dancers, Choreographers, Dance Companies, Teachers, technique, health, dance pictures, and the history of dance. Featuring Dance Directory, Sterns Performing Arts Directory, and the Dance Magazine College Guide.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.dancemagazine.com/"} +{"d:Title": "DanceSpirit Magazine", "d:Description": "Featuring a directory of dance schools and a chat room", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.dancespirit.com/"} +{"d:Title": "Dance.net", "d:Description": "Promoting online dance communities with online magazine for dance enthusiasts, instructors, and studio owners; live chat; discussion boards; searchable database; free home pages.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.dance.net/"} +{"d:Title": "Zaghareet Magazine", "d:Description": "An american publication with a Middle Eastern Dance focus, about dancers, troupes, music, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.zaghareet.freeservers.com/"} +{"d:Title": "Dance Europe", "d:Description": "Monthly magazine published in London covering performances across Europe and beyond. Online auditions, European performance diary, dancer and company links and notice board.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://danceeurope.net/"} +{"d:Title": "The Dance Current", "d:Description": "Monthly publication out of Canada. Provides back issues, web features and information on subscriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://thedancecurrent.com/"} +{"d:Title": "London Dance", "d:Description": "Includes what's on listings, features, directory and news. London, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.londondance.com/"} +{"d:Title": "Proximity Magazine", "d:Description": "Quarterly journal devoted to contact improvisation, movement improvisation and new dance. Published in Melbourne, Australia and welcomes unsolicited material.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://proximity.slightly.net/"} +{"d:Title": "Dance Magazine, The", "d:Description": "Free dance magazine in Dutch and English offered as a Microsoft Word file.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.dansblad.nl/"} +{"d:Title": "Topix: Dance", "d:Description": "News about dance, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Dance/Magazines_and_E-zines", "url": "http://www.topix.com/rss/arts/dance.xml"} +{"d:Title": "The Egyptian Castle", "d:Description": "Egyptian music, dance, and culture. Includes vintage film clips of popular Egyptian dancers and music available to download", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.egyptiancastle.com/"} +{"d:Title": "Belly Dancing - Art Of Middle Eastern Dance", "d:Description": "Features poetry, translated song lyrics, a glossary of belly dance terms, tips and tricks, finger cymbal rhythms, and Egyptian goddesses.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.shira.net/"} +{"d:Title": "Middle Eastern Dance and Healing", "d:Description": "Information about Raqs Sharqi. Article covers information on the different Middle Eastern dance styles, instruments, costuming, and props.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.spiritdancer.co.uk"} +{"d:Title": "Zaida Bellydancing for Older Women", "d:Description": "Several articles exploring topics related to belly dancing that are particularly slanted to the needs of older women.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.mrbean.net.au/~phoebe/"} +{"d:Title": "Bellydance Museum", "d:Description": "Online collection of rare postcards, film posters, engravings and other paraphernalia on Oriental dance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.belly-dance.org/"} +{"d:Title": "Serpentine Communications", "d:Description": "Middle Eastern dance video production company and information on dancers Artemis and Yasmin. Includes current and in production titles.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.serpentine.org"} +{"d:Title": "Belly Dance Hub", "d:Description": "Discussion board and directory for Middle Eastern dancers. Includes articles, stories, interviews and Arabic song lyrics and translations.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.orientaldancer.net/"} +{"d:Title": "Bellydance", "d:Description": "Information on the origins of oriental dance, stories and photos of Fifi Abdu, Beba, Soheir Zaki, Samia Gamaal, Farida Fahmi and Mona Said. Features photos of oriental dance costumes from the 1700s, 1800s and the 1900s. In English, French, German and Dutch.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.bellydance.be/"} +{"d:Title": "Desert Spirit Films", "d:Description": "Production company created by Middle Eastern, Gypsy dancer Monique Monet. Offers inspirational, philosophical, and historical articles on dance and personal development.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.desertspiritfilms.com/"} +{"d:Title": "Joy of Belly Dancing", "d:Description": "Articles, history, dance styles, how to, magazines, recipes, drum rythyms, lyric translations, spotlights on troupes, stars and videos plus dancers' directory.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.joyofbellydancing.com/"} +{"d:Title": "Morocco and the Casbah Dance Experience", "d:Description": "Researchers of dance traditions in the Middle East and North Africa. Articles on oriental dance and childbirth, floor work, ethics of ethnic.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.casbahdance.org/"} +{"d:Title": "The Gilded Serpent", "d:Description": "An online magazine focused on Middle Eastern dance, arts, travel, and culture. Large archive of articles and reviews, North Beach history project, staff and contributor profiles, letters to the editor.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.gildedserpent.com/"} +{"d:Title": "Helena Vlahos: Tips and Tricks", "d:Description": "Advice on posture and dancing to fast and slow music.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.helenavlahos.com/tips.html"} +{"d:Title": "Belly Dancing Plus", "d:Description": "Photo galleries, costuming suggestions, plus-sized belly dance attire vendor links, articles, FAQs, video reviews and opportunities to contribute.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://www.bellydanceplus.com/"} +{"d:Title": "Madame Mozuna's Caravanserai", "d:Description": "Quotes, suggestions on finding dance music, lyrics to a couple of songs translated into English, and a glossary defining more than 50 terms.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern", "url": "http://carlmillerphotos.com/mozuna/"} +{"d:Title": "Yahoo Groups: Belly Dance UK", "d:Description": "Discussion forums, links area, and photo gallery particularly slanted on the belly dance scene in the United Kingdom, although dancers from all over the world are welcome to participate.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Chats_and_Forums", "url": "http://groups.yahoo.com/group/bellydanceuk/"} +{"d:Title": "Yahoo Groups: Middle Eastern Dance", "d:Description": "Discussion board, photo gallery, and links page on Middle Eastern dance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Chats_and_Forums", "url": "http://groups.yahoo.com/group/middleeasterndance/"} +{"d:Title": "Sherehezade, Belly Dance, Egyptian Style", "d:Description": "Yahoo group for the exchange information of special belly dancing events and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sherehezade/"} +{"d:Title": "Belly-Dance Events and Services", "d:Description": "Discussion forum and lists of events, workshops, retreats, classes, parties and gatherings.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Chats_and_Forums", "url": "http://groups.yahoo.com/group/belly-dance/"} +{"d:Title": "Costumes", "d:Description": "Covers various styles, including tribal. Advice and instructions for making headpieces, tops, skirts and pants, and belts, including tips such as secure beading, ways to tie belts, and layering.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Costuming", "url": "http://www.middleeasterndance.homestead.com/Costumes.html"} +{"d:Title": "The Costume Goddess", "d:Description": "Sells bellydance costume how-to book. Also includes costume and bellydance art galleries, tips and caricatures.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Costuming", "url": "http://www.costumegoddess.com"} +{"d:Title": "Dawn Devine Brown", "d:Description": "Author, costumier, dancer and historian. Books, links to articles, a quarterly e-zine on costuming and details of classes in Milpitas. Includes a glossary of belly dance and cultural terms.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Costuming", "url": "http://www.davina.org"} +{"d:Title": "Shira: Costume Corner", "d:Description": "Help and advice for a beginners, practice outfit, the classic look, and costuming a troupe. Book reviews, tips and tricks, and galleries including fabulous fuller figures, historical garb and making your own.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Costuming", "url": "http://www.shira.net/costuming.htm"} +{"d:Title": "1001 Nights On The Internet", "d:Description": "Portal with links and articles on dance, middle eastern culture, recipes, names and a rudimentary Arabic language lesson. In German and English.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.1000and1.de/"} +{"d:Title": "Panta Rei's Bellydance Page", "d:Description": "Links to bellydance sites, costumes, music, instruments, and ethnical dances.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.optionality.net/bellydance/"} +{"d:Title": "Sapphire Swan Dance Directory - Belly Dance", "d:Description": "Belly Dance web guide. Lists about 12 web sites related to Oriental dance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.sapphireswan.com/dance/belly.htm"} +{"d:Title": "Zaghareet Belly Dance Links", "d:Description": "Links to vendors, musicians, information on Middle Eastern culture, and dance-related web sites.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.zaghareet.freeservers.com/links.html"} +{"d:Title": "Shimmy Goddess", "d:Description": "Links and recommendations for belly dancers in Arizona including shopping, lessons and events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.shimmygoddess.com/"} +{"d:Title": "Middle Eastern Dance Resource Guide", "d:Description": "Includes names, culture, costuming, media and dancers' directories.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.bdancer.com/med-guide/"} +{"d:Title": "Belly Dance NY", "d:Description": "Directory of Middle Eastern dance resources in the metro New York City area. Includes a swap page, travel advice and a dancer's questions column.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.bellydanceny.com/"} +{"d:Title": "Belly Dance Florida", "d:Description": "Lists teachers, performers, events, vendors, restaurants and organizations for belly dancing in Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.beledy.net/florida/"} +{"d:Title": "Bellydancing @BellaOnline", "d:Description": "The art and health benefits of Bellydancing, costumes and organizations. Newsletter and forum/discussion board.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.bellaonline.com/site/bellydancing"} +{"d:Title": "Shakemyday", "d:Description": "Sarah Skinner, performer and photographer, provides a directory of events, services, performers, teachers, resources and shopping in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.shakemyday.com/"} +{"d:Title": "Middle Eastern Dance Web Ring", "d:Description": "List of sites in the ring, with brief descriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Directories", "url": "http://www.webring.org/hub?ring=bellydance"} +{"d:Title": "Belly Dance Events", "d:Description": "A listing of worldwide workshops and belly dance related events", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Events", "url": "http://www.zaghareet.freeservers.com/events.html"} +{"d:Title": "Cairo Dance Festival", "d:Description": "Raqia Hassan, one of Egypt's Dance Masters, will be teaching at the Cairo Dance Festival. Short biographies of scheduled teachers and information on attending.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Events", "url": "http://www.raqiahassan.net/"} +{"d:Title": "Babylonian Ensemble", "d:Description": "Hostesses of the Mediterranean Fantasy Festival in Seattle. Photo galleries and festival information: dates, directions, dancer line-up, vendor lists and registration.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Events", "url": "http://www.babylonianensemble.com/"} +{"d:Title": "M.E.D. Folk Tours", "d:Description": "Music and dance events, camps and festivals in the U.S. and Turkey.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Events", "url": "http://www.folktours.com/"} +{"d:Title": "Rakkasah", "d:Description": "An annual cycle of four festivals in California and New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Events", "url": "http://www.rakkasah.com/"} +{"d:Title": "International Bellydance Congress", "d:Description": "Dance festival being held in Bognor Regis, Sussex, UK. Includes information on teachers and performers, the venue and booking.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Events", "url": "http://www.bellydancecongress.com/"} +{"d:Title": "EEMED - An Evening of Experimental Middle Eastern Dance", "d:Description": "Concert of controversial works in Los Angeles. Mission statement, videos, articles and reviews,gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Events", "url": "http://www.eemed.com/"} +{"d:Title": "Zaghareet", "d:Description": "American belly dance magazine which started life as a trade publication but has evolved into a Middle Eastern arts and culture magazine. Staff introductions and subscription details.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/News_and_Media", "url": "http://zaghareet.freeservers.com/magazine.html"} +{"d:Title": "Jareeda Magazine", "d:Description": "International print magazine publishing eight issues a year. Description of editorial, information for submitters, available past issues, and subscriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/News_and_Media", "url": "http://www.jareeda.com/"} +{"d:Title": "International Academy of Middle Eastern Dance", "d:Description": "A belly dance organization. Producers of the Awards of Belly Dance. Videos, workshops, shows, costumes, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Organizations", "url": "http://www.bellydance.org/"} +{"d:Title": "Mosaic Arabic Dance Network", "d:Description": "Arabic and oriental folk dance network in the UK. Magazine, events and membership details.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Organizations", "url": "http://www.mosaicdance.org/"} +{"d:Title": "Philly Raqs", "d:Description": "Belly dancing information for the greater Philadelphia area, including southeastern and central Pennsylvania, northern Delaware and south Jersey. Lists news, events, instructors, restaurants and vendors.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Organizations", "url": "http://www.phillyraqs.com/"} +{"d:Title": "Huntsville Beledi Club", "d:Description": "Located in Huntsville, Alabama to support, promote, teach, perform and sponsor Middle Eastern Dance. Contains on-line newsletters, calendar of events, club photos, current workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Organizations", "url": "http://www.belediclub.com/"} +{"d:Title": "Saahira", "d:Description": "Featuring Saahira in Phoenix, Arizona.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Personal_Pages", "url": "http://members.tripod.com/~Saahira/index.htm"} +{"d:Title": "Shahala's Web Site", "d:Description": "Shahala is a Middle Eastern Dance enthusiast located in Madison, Alabama. She also teaches in the area and does performances, normally with the Beledi Club of Huntsville.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Personal_Pages", "url": "http://www.shahala.com/"} +{"d:Title": "Belly Dance in Israel", "d:Description": "Orit Maftsir summarizes the scene and identifies the characteristics of Israeli bellydance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Regional", "url": "http://gildedserpent.com/articles26/oritbdisrael.htm"} +{"d:Title": "Daughters of Rhea Belly Dance Family", "d:Description": "Matrilinear dancing family Rhea and her daughters Piper and Melina, continue their tradition of oriental dance. Articles, gallery, classes and performances in Baltimore, Maryland, Boston, Massachusetts and Athens, Greece.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers", "url": "http://www.daughtersofrhea.com/"} +{"d:Title": "Palace of Princess Shahara", "d:Description": "Full time professional bellydancer and teacher. Artistic director of the \"Shahara Shimmers\" dance troupe. Find a class in Brisbane Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Australia", "url": "http://www.angelfire.com/stars2/ShaharasPalace/index.html"} +{"d:Title": "Renee's Magical Belly Dancing", "d:Description": "Australian dancer and teacher with instructional videos for sale. Includes a glossary of terms, schedule of classes, workshops and events and costumes and patterns for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Australia", "url": "http://www.bellydancing.com.au/"} +{"d:Title": "Belly Dance Arabesque", "d:Description": "Studio in Adelaide, Australia. Includes class and performance schedules, teacher profiles, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Australia", "url": "http://www.bellydancearabesque.com.au/"} +{"d:Title": "Academy of Middle Eastern Dance", "d:Description": "Information about AMED teachers and performers, book a bellydancer, classes, workshops, Annual Winter Warm Up Festival, photo gallery, events calendar, brochures and details for students. Based Brisbane, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Australia", "url": "http://www.amedbrisbane.com.au/"} +{"d:Title": "Mayada", "d:Description": "Belly dancer from Toronto, Canada. In addition to promoting herself and her magazine Mid-Bits, she offers information on where to see bellydancing, get supplies, and take classes in Toronto.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://www.mayada.ca/"} +{"d:Title": "World Tribe Dance Company", "d:Description": "The World Tribe Dance Company- a troupe which performs dances of the Middle East and North Africa. Located in Hampton Roads, VA.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://www.zaghareet.freeservers.com/wtdc.html"} +{"d:Title": "Czarina", "d:Description": "Dancer offering classes and performances in Richmond and Vancouver.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://members.tripod.com/nexuspraxis/"} +{"d:Title": "Arabesque Studio", "d:Description": "Classes in Egytian style dance, Arabic drum and music, and Middle Eastern dance history. Background and international performance record for Arabesque Dance Company. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://www.arabesquedance.ca/"} +{"d:Title": "Arabia Adorned", "d:Description": "Canadian bellydance classes, events, teachers and dancers. Includes news and resources. Calgary.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://www.bellydance.ca/"} +{"d:Title": "Aziza", "d:Description": "Performer and instructor in Montreal, Quebec, Canada. Includes biography, calendar, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://www.azizashimmy.com/"} +{"d:Title": "Haft Vadi Studio", "d:Description": "Offers bellydance classes and training in Middle Eastern rhythms, in Kitchener, Ontario. Shows and workshops are also available.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://haftvadi.com/"} +{"d:Title": "Alina", "d:Description": "Belly dance performer and instructor in Edmonton, Alberta, Canada. Includes biography, calendar of appearances and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Canada", "url": "http://www.alina-bellydancer.ca/"} +{"d:Title": "Aziza", "d:Description": "Classical oriental dancer from Denmark. Workshops, masterclasses, information on the Oriental Dance Festival Denmark, and gallery of pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Denmark", "url": "http://www.aziza-bellydance.dk/"} +{"d:Title": "Khalil, Prof. Dr. Hassan", "d:Description": "Professor in the Cairo Academy of Dramatic Arts, dance teacher and choreographer. Includes professional profile, with details of workshops and projects.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt", "url": "http://www.hassan-khalil.org/"} +{"d:Title": "Hassan, Raqia : Ahlan wa Sahlan", "d:Description": "Egyptian dance teacher and choreographer; information about her courses.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt", "url": "http://www.raqiahassan.net/"} +{"d:Title": "El Joker, Sayed", "d:Description": "Dancer and teacher, born in Dishna, and committed to preserving the folk dance traditions of Egypt. Includes a profile, photo gallery, video samples, and details of workshops. [German and English]", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt", "url": "http://www.sayed-eljoker.com/"} +{"d:Title": "El Hosseny, Mohamed", "d:Description": "Teacher based in Cairo, Egypt. Includes biography, events, audio, video and image galleries and information on Simsimiyya, the instrument and the dance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt", "url": "http://www.elhossenydance.com/"} +{"d:Title": "Abdou, Fifi", "d:Description": "Brief profile, covering her dance career and film credits.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt/Abdou,_Fifi", "url": "http://www.belly-dance.org/fifi-abdu.html"} +{"d:Title": "Why the Fuss Over Egyptian Style Music and Oriental Dance?", "d:Description": "An article tracing the development and influence of Egyptian dance, from its folk origins through to the 1990's.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt/Current_Status", "url": "http://www.shira.net/egyptianfuss.htm"} +{"d:Title": "La Danse du Ventre", "d:Description": "An article describing the status of dancing and dancers in the 1970's. (source Saudi Aramco World)", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt/Current_Status", "url": "http://www.saudiaramcoworld.com/issue/197105/la.danse.du.ventre.htm"} +{"d:Title": "Fouad, Nagua", "d:Description": "Brief profile of the dancer and her career in dance and film.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt/Fouad,_Nagwa", "url": "http://www.belly-dance.org/nagua-fouad.html"} +{"d:Title": "Fouad, Nagwa", "d:Description": "Profile and artistic appreciation of the dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Egypt/Fouad,_Nagwa", "url": "http://www.hossamramzy.com/stars/starsofegypt_nagwa.htm"} +{"d:Title": "Alexandra", "d:Description": "Bellydancer and teacher in Athens, Greece. Includes photo gallery, biography and class information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Greece", "url": "http://www.bellydancegreece.com/"} +{"d:Title": "Tamar Shaanan", "d:Description": "Performer. Includes profile and image gallery. [English/Hebrew].", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Israel", "url": "http://www.bellydream.com/"} +{"d:Title": "Desert Rhythm", "d:Description": "Middle Eastern dance school in Singapore. Includes teacher information, classes, events, photo gallery, costumes and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Singapore", "url": "http://www.desertrhythm.com/"} +{"d:Title": "Belly Dance Discovery", "d:Description": "Yuki, her professional troupe and dance studio. Classes, dance philosophy, haflas, plus gallery and newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Singapore", "url": "http://www.bellydance.com.sg/"} +{"d:Title": "Jewels of the Nile", "d:Description": "Belly dancing studio in Johannesburg and Pretoria, South Africa. Includes biography of Charlotte, class schedules, booking information, costuming advice, FAQ and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/South_Africa", "url": "http://www.bellydance.co.za/"} +{"d:Title": "Les Arts Turqs", "d:Description": "Contemporary and traditional dance lessons provided by the members of a collective of painters, musicians and artists in Istanbul.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/Turkey", "url": "http://www.bazaarturkey.com/belly_dances_courses.htm"} +{"d:Title": "Spiritdancer- Middle Eastern Dance and Healing", "d:Description": "Glastonbury teacher. Articles on dance styles, instruments, performances and reiki healing.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.spiritdancer.co.uk"} +{"d:Title": "Asmahan", "d:Description": "Dancer known for her costumes who has made stage costumes for many famous names. Biography, shows, costumes, classes in London, UK, and video.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.asmahan.co.uk/"} +{"d:Title": "Jacqueline Chapman", "d:Description": "Classes and workshops in London and the Middle East. Includes teaching video, photographs, pre- and post-natal dance classes.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.bellydancer.org.uk/"} +{"d:Title": "Tony and Yasmin", "d:Description": "Dancer and comedy magician partner from Dorset. Information on classes, hafla and workshop holidays.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.tonyandyasmin.co.uk/"} +{"d:Title": "Arabesq", "d:Description": "Classes in Cardiff and South Wales, events, photographs and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.arabesq.co.uk/"} +{"d:Title": "Lets Belly Dance", "d:Description": "For students in North Hampshire and Reading. Details of local classes, workshops and events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.letsbellydance.co.uk/"} +{"d:Title": "Hathor", "d:Description": "Amateur performance and practice group based in Oxford. Background, bookings and events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.hathor.org.uk/"} +{"d:Title": "Pyramid Belly Dance", "d:Description": "Torquay dancer, Katerina. Biography, classes and details of performances.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.pyramidtorbay.co.uk/"} +{"d:Title": "Sahara Sisters", "d:Description": "Belly dance club based in Chippenham, Wiltshire. Performers in the Eastern style for special occasions and charity organisations. Newcomers are welcome.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://saharasisters.org.uk/"} +{"d:Title": "Deniz Bellydancer", "d:Description": "Dancer available for bookings. Gives information on classes, tribal performances under the name Tribalessence, a hafla in Southend-on-Sea, clothing and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.denizbellydancer.homecall.co.uk/"} +{"d:Title": "Rashiqa", "d:Description": "Middle Eastern dance troupe based in Wolverton, Milton Keynes. Includes photographs and class details.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.rashiqa.co.uk/"} +{"d:Title": "Beatrice Curtis - Egyptian Dance", "d:Description": "Teacher and occasional performer. Details of classes in Surrey and Berkshire plus a students' section with step combinations and tips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.beatricecurtis.co.uk/"} +{"d:Title": "Johara Dance Company", "d:Description": "Troupe under the direction of Josephine Wise blending Arabic dance with African dance, Flamenco, Tango, Bollywood, Gypsy, and Burlesque. Performances and video clip.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.joharadance.co.uk/"} +{"d:Title": "Juliana Brustik", "d:Description": "Egyptian dancer who trained with Suraya Hilal and whose teaching style is informed by her knowledge of contemporary dance, contact improvisation, Pilates, theatrical voice and bodywork. Performances, classes in London, workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.julianabrustik-dance.com/"} +{"d:Title": "Belly Dancing Barbie", "d:Description": "Professional belly dancer in Edinburgh offering classes and costume supplies, also available for performances, parties and corporate events. Includes profile, hire information, details of classes with calendar, online shopping, and image and video galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.bellydancingbarbie.com/"} +{"d:Title": "Zafirah", "d:Description": "Belly dancer in London and Surrey Includes biography, performance information and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_Kingdom", "url": "http://www.cairostyle.net/"} +{"d:Title": "Ansuya Rathor", "d:Description": "Belly dancer teacher in Honolulu. Online belly dance lessons.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States", "url": "http://www.ansuya.com/"} +{"d:Title": "Zara Noor Dance Studio", "d:Description": "Performances, classes and costuming by Terri Massa in Alaska, plus information on the troupe, Tundra Caravan.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Alaska", "url": "http://www.zaraaquilal.8m.com/"} +{"d:Title": "Yasmina", "d:Description": "Promotes classes and videos. Includes articles, humor, and a calendar of upcoming events in Arizona.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Arizona", "url": "http://www.joyofbellydancing.com/"} +{"d:Title": "Angelique&Friends Entertainment", "d:Description": "Angelique teaches in the Phoenix area of Arizona. Includes a calendar of nationwide dance events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Arizona", "url": "http://www.angeliqueandfriends.com/"} +{"d:Title": "Dahlena", "d:Description": "Performer, choreographer and teacher. Based in Yuma, Arizona, she often teaches in Chicago as well. Classes, workshops and dancewear sales.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Arizona", "url": "http://dahlena.com/"} +{"d:Title": "Jezebel", "d:Description": "Tanya Liptak, performer, instructor, lecturer, choreographer, troupe director and video producer in Phoenix, Arizona. Lists class schedule and available videos.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Arizona", "url": "http://www.angelfire.com/biz/liptak/index.html"} +{"d:Title": "Myryka", "d:Description": "Belly dancer offers unique entertainment for parties, weddings, fundraisers and corporate and cultural events in Phoenix and surrounding areas in Arizona.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Arizona", "url": "http://www.myryka.com/"} +{"d:Title": "Jillina", "d:Description": "Dancer and choreographer offering information on international appearances and workshops. Includes articles, gallery and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.jillina.com/"} +{"d:Title": "Aisha Ali", "d:Description": "International performer of Middle East and North Africa dance based in Los Angeles, California. Information, music recordings and class schedule plus recommended reading list on academic and other aspects of dance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.aisha-ali.com/"} +{"d:Title": "Belly Twins", "d:Description": "Veena and Neena from Los Angeles, California. Includes products, classes, events, photos, FAQ and newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.bellytwins.com/"} +{"d:Title": "Desert Sin", "d:Description": "Dance company in the Los Angeles area of California. Includes their philosophy, biographies, performances, photo gallery and press clippings.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.desertsin.com/"} +{"d:Title": "Enheduanna", "d:Description": "Biography, photos, schedule of classes and performance announcements for Enheduanna, an Oriental dance instructor in southern California.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://pinkgypsy.com/enheduanna"} +{"d:Title": "Fahtiem's Bellydance Magic", "d:Description": "Based in Rowland Heights, California, teaches belly dance, entertains at parties, offers Middle Eastern dance music CDs, and has a troupe of oriental bellydancers, The Sultan's Delites.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.bellydancemagic.com/"} +{"d:Title": "Hala Dance", "d:Description": "Dancer and teacher who grew up in Egypt, teaches the cultural aspects as well as the spirit and techniques of this art form; in the San Francisco bay area of California. She also offers workshops and performs at cultural events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.haladance.com/"} +{"d:Title": "Jenza", "d:Description": "Information on classes, performances, biography and photos for belly dancer based in Oakland, California.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://jenzamayia.tripod.com/bellydance/"} +{"d:Title": "Jody", "d:Description": "Los Angeles, California performer of oriental dance. Includes biography and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://pinkgypsy.com/jody"} +{"d:Title": "Kamala", "d:Description": "Professional belly dancer and teacher in Pasadena, California (near Los Angeles). Includes contact information and class schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.pinkgypsy.com/kamala.htm"} +{"d:Title": "Kleopatra", "d:Description": "Featuring Kleopatra of the Los Angeles, California area.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.pinkgypsy.com/kleo.htm"} +{"d:Title": "Lilla", "d:Description": "Belly dance performer and teacher in Orange County, California. Features biographical information, classes, upcoming performances, photos and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.lilladance.com/"} +{"d:Title": "Lotus Flower", "d:Description": "Belly dance performer and instructor in the Los Angeles, California area. Performs at weddings, birthdays, corporate events or other private parties. Includes biography, booking information, photo gallery, performance clips, class schedule and upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.lotusflowerdance.com/"} +{"d:Title": "Mara", "d:Description": "Features photographs and performance schedules for dancer in Orange County, California. Includes links and a bellydance FAQ.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.dancingmara.com/"} +{"d:Title": "Mesmera", "d:Description": "Featuring Mesmera from the Los Angeles, California area. Includes classes, retreats, events, her videos, newsletter and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.mesmera.com/"} +{"d:Title": "Michelle Joyce", "d:Description": "Professional bellydancer, teacher and founding member of the Belladonna Bellydance Troupe in the San Francisco/San Jose area of California. Includes video clips, photo gallery, articles and booking information. Lists performance venues and dates.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.arabicdance.net/"} +{"d:Title": "Namah Ensemble", "d:Description": "Performs mystical modern and traditional Persian/Iranian dance with live music. Based in Woodland Hills, California.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.namah.net/"} +{"d:Title": "Fusion Studio", "d:Description": "Offers bellydance classes in Ventura, California. Includes FAQ and an online textbook.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.neareastdance.com/"} +{"d:Title": "Rebekah", "d:Description": "Santa Cruz, California belly dance instructor and performer. Includes biography, class and performance schedules, photo gallery and links.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.redbekah.com/"} +{"d:Title": "Sandra", "d:Description": "Professional bellydancer based in San Francisco, California. Includes gallery and information on world bellydance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.raks-sharki.com/"} +{"d:Title": "Shahira", "d:Description": "Featuring Shahira from the Los Angeles, California area.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.pinkgypsy.com/shahira.htm"} +{"d:Title": "Shareen el Safy", "d:Description": "Biographical information and photograph. Editor of Habibi Magazine.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.shareenelsafy.com/"} +{"d:Title": "Shoshanna", "d:Description": "Middle Eastern dance performer and instructor from Northern California shares her photo gallery and upcoming dance schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.shoshannaland.com/"} +{"d:Title": "Snake's Kin Studio", "d:Description": "Classes taught by Lynette in Emeryville, California.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.snakeskin.com/"} +{"d:Title": "Stasha Mania", "d:Description": "Performer, instructor, costume maker and author in the San Francisco, California area shares adventures, photos, costuming and links. Includes performance schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.stashamania.com/"} +{"d:Title": "Zambalita", "d:Description": "Performers of a fusion of ethnic dance styles from cabaret and Saudi to Tunisian, in the San Francisco bay area of California. Includes events, photo gallery, links and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.zambalita.com/"} +{"d:Title": "Zorba", "d:Description": "\"The Veiled Male\" belly dancer, located in the Monterey bay area of California. Features information and articles, tips and tricks, photo galleries, diary and links.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.doubleveil.net/"} +{"d:Title": "Beyond Bellydance by Jenna", "d:Description": "New York city bellydance teacher and performer. Includes class/event listings, video clips and a boutique featuring items created by local artists.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.beyondbellydance.com/"} +{"d:Title": "Dancing Rahanas", "d:Description": "Rahana, professional solo belly dancer and group performance artist and director of troupe \"Bahiya\", in Santa Monica, California. Includes contact information, video clips and boutique.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.dancingrahana.com/"} +{"d:Title": "Rachel", "d:Description": "Middle Eastern dancer and choreographer in San Diego, California. Includes biography, photo gallery and booking information", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.rachelbellydance.com/"} +{"d:Title": "Faizeh", "d:Description": "Southern California belly dancer. Includes photo gallery, information on events, performances, classes and merchandise.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.faizeh.com/"} +{"d:Title": "Robyn's World of Iranian Dance", "d:Description": "Featuring Robyn Friend, who teaches and performs Persian classical singing, Persian classical dancing, Turkish folk singing, and Turkish classical singing.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://home.earthlink.net/~rcfriend/"} +{"d:Title": "Kamar and Jewels of Fire", "d:Description": "Dance academy offering Middle Eastern dance shows and lessons. Cabaret, folk, fusion and fantasy styles are taught; in Orange County, California.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://home.earthlink.net/~lunasandra/id1.html"} +{"d:Title": "Meleah", "d:Description": "Professional belly dancer and dance instructor in San Diego. Also provides makeup instruction and products for dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.meleah.com/"} +{"d:Title": "Maria", "d:Description": "Maria - professional bellydancer in San Francisco bay area. Includes show schedule", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.orientdancer.com/"} +{"d:Title": "Zahra Zuhair", "d:Description": "Performer and teacher in Los Angeles, California. Includes biography, awards, classes, workshops, and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.zahrazuhair.com/"} +{"d:Title": "Nyla Crystal", "d:Description": "Offers belly dance entertainment for weddings, parties and corporate events in the San Francisco Bay Area and Sacramento, California.Includes booking information, class and show schedules, video clips and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/California", "url": "http://www.nylacrystal.com/"} +{"d:Title": "Sheikhani Middle Eastern Dance Troupe", "d:Description": "Troupe in Colorado Springs. Performance and membership information, costume tips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Colorado", "url": "http://webspace.webring.com/people/ks/sheikhani_troupe/"} +{"d:Title": "Sadie Marquardt", "d:Description": "Performer and teacher in the Denver metro area of Colorado. Includes biography, photo gallery, performances, classes and booking information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Colorado", "url": "http://www.sadiebellydancer.com/"} +{"d:Title": "Gia Khalsa", "d:Description": "Belly dance performer and teacher, feng shui consulting, and yoga classes in the Connecticut area. Includes resume, links and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Connecticut", "url": "http://www.giakhalsa.com/"} +{"d:Title": "Shalimar", "d:Description": "Photo gallery of Shalimar, based in Connecticut. Lists some events in the Connecticut area.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Connecticut", "url": "http://www.bellydanceconnecticut.com/"} +{"d:Title": "Amina", "d:Description": "Performer and teacher in south Florida, with specialties in raqs sharqi, westernized nightclub, tribal fusion, veil, balancing, ethno-fusion, finger cymbals and cane. Lists workshops, events and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://www.bellydanceramina.com/"} +{"d:Title": "Ansuya", "d:Description": "Belly dancer and actress in Miami, Florida. Includes biography, testimonials, photo gallery, schedule of classes, performances and events. Also offers CDs, videos and DVDs.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://www.ansuya.com/"} +{"d:Title": "In Step Studio", "d:Description": "Middle Eastern, flamenco, Hawaiian and Tahitian dance classes for children and adults in Tallahassee, Florida. Home of Troupe Arabesque and the Mau\u2019oli\u2019oli Dancers. Includes photo galleries, class and performance schedules, links and a costume catalog.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://www.instepstudio.com/"} +{"d:Title": "Mahsati Janan", "d:Description": "Belly dance performing artist, teacher and co-director and a founding member of the troupe Amani; in Tampa, Florida. Includes booking information, galleries and calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://www.mahsati-janan.com/"} +{"d:Title": "Orlando Bellydance", "d:Description": "Middle Eastern dance artist and teacher, Suspira, in Orlando, Florida. Includes biography, class schedule, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://www.orlandobellydance.com/"} +{"d:Title": "Rising Phoenix Dancers", "d:Description": "Featuring Sherezzah and her dance company in Palm Beach county, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://www.beledy.net/phoenix/"} +{"d:Title": "Sahara Silk Middle Eastern Dance Ensemble", "d:Description": "Specializing in Oriental and folkloric styles, this ensemble offers performances and classes in authentic Middle Eastern dance. Located in Jacksonville, Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://saharasilk.com/"} +{"d:Title": "Belly Motions, Inc.", "d:Description": "Belly dance studio located in Coral Gables, Florida. Instructors and performers include Ansuya, Aireen, Hanan, Portia, Shiva. Classes for all levels.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Florida", "url": "http://www.bellymotions.com/"} +{"d:Title": "Asha", "d:Description": "Atlanta, Georgia dance artist integrates Indian classical, belly dance, Rajasthani, Gypsy and other styles. Includes biography, classes, performances, photo and video galleries and booking information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Georgia", "url": "http://www.ashadance.com/"} +{"d:Title": "Nazeem Allayl Belly Dance Studio", "d:Description": "Information about classes, performances, and how to hire a dancer for a bellygram in Atlanta, Georgia. Home of troupe Nazeem Allayl and director Schadia.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Georgia", "url": "http://www.atlantabellydance.com/"} +{"d:Title": "Shake it Baby!", "d:Description": "Message board for classes by Itheyla of Boise.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Idaho", "url": "http://tribes.tribe.net/shakeitbaby"} +{"d:Title": "Shimmy Shakti", "d:Description": "Performance troupe and dance studio in Twin Falls, also offering yoga. Includes class schedules and prices, events and details of yoga instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Idaho", "url": "http://www.shimmyshakti.com/"} +{"d:Title": "Khalidah's North African Dance Experience", "d:Description": "Dance company that specializes in dance styles from Egypt, Morocco and Tunisia, founded and lead by Khalidah Kali in Chicago, Illinois. Includes class schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Illinois", "url": "http://www.khalidah.com/"} +{"d:Title": "Raks Christina", "d:Description": "Belly dance entertainment and classes in the Chicago, Illinois area. Includes biography, photo gallery, booking information and schedules of performances and classes.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Illinois", "url": "http://www.rakschristina.com/"} +{"d:Title": "Ruby Jazayre", "d:Description": "Performer, instructor and choreographer of Middle Eastern dance based in South Bend, Indiana. Includes biography, events, classes, photo gallery and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Indiana", "url": "http://www.rubyjazayre.com/"} +{"d:Title": "Safira Zeki: Classical Middle Eastern Dance", "d:Description": "Class times, locations, performances and lectures, FAQs, history and photos. Located in Wichita, Kansas.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Kansas", "url": "http://www.dancewichita.com/"} +{"d:Title": "Ruric-Amari", "d:Description": "Performs and teaches American belly dancing in Kentucky and Southern Indiana. She specializes in sword dancing, veils, and improvisation. Includes costume and veil construction instructions and a handbook for students.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Kentucky", "url": "http://www.ruric-amari.com/"} +{"d:Title": "Jamila Zahran&Stellarts Studio", "d:Description": "Jamila performs and teaches the dances of the Near and Middle East, North Africa, Spain, and Central Asia. Class schedule and a calendar of dance events in the Kentuckiana region.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Kentucky", "url": "http://www.jamilazahran.com/"} +{"d:Title": "Rose Champagne", "d:Description": "Teacher and performer of Middle Eastern dance based in western Massachusetts and works all over New England.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Massachusetts", "url": "http://www.rose-champagne.com/"} +{"d:Title": "Lana's Detroit Bellydance", "d:Description": "Performer and instructor for Detroit Bellydance, a dance tribe that focuses on the authenticity of Middle Eastern dance. Includes a photo gallery and schedule of classes and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Michigan", "url": "http://www.detroitbellydance.com/"} +{"d:Title": "Habibi Belly Dancers", "d:Description": "Featuring the dance company Habibi Dancers of Grand Ledge, Michigan.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Michigan", "url": "http://www.habibidancers.org/"} +{"d:Title": "Sun/Moon Belly Dancers", "d:Description": "Troupe of performers in Mankato, Minnesota. Includes photo gallery, list of events and schedule of classes.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Minnesota", "url": "http://www.sunmoonbellydance.com/"} +{"d:Title": "Jawaahir Dance Company and the Cassandra School", "d:Description": "Company information, classes, calendar and educational articles. Based in Minnesota, U.S.A.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Minnesota", "url": "http://www.jawaahir.org/"} +{"d:Title": "Belly Dance Mirage", "d:Description": "Based in St. Louis, Missouri, performs traditional and modern dances of the Middle East. Includes information on Tropical Fire Hawaiian Polynesian Performers.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Missouri", "url": "http://bellydancemirage.com/"} +{"d:Title": "Belly Dance with Nisaa", "d:Description": "Featuring Nisaa, instructor and performer in the St. Louis, Missouri metro area. Includes information about Middle Eastern dance, classes and local resources.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Missouri", "url": "http://www.bellydancewithnisaa.com/"} +{"d:Title": "Aalim Middle Eastern Dance", "d:Description": "Performances and classes based in St. Louis.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Missouri", "url": "http://www.members.tripod.com/elhelwa/"} +{"d:Title": "Maia's Belly Dance Academy", "d:Description": "Performer and instructor in Salem, New Hampshire. Includes photo gallery, testimonials, contact information and schedules of classes and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_Hampshire", "url": "http://maiasdance.tripod.com/"} +{"d:Title": "Zahira Zuhra", "d:Description": "Bellydancer and instructor located in Nashua, New Hampshire. Includes events, classes, photo gallery and items for sale.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_Hampshire", "url": "http://www.zahira-bellydancer.com/"} +{"d:Title": "Gypsy Caravan Enterprises", "d:Description": "Chadia, performer and instructor in New Jersey. Includes biography, classes, workshops, events, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_Jersey", "url": "http://www.gypsycaravanenterprises.com/"} +{"d:Title": "Soraya", "d:Description": "International dancer with academic background. Dance history, styles, articles, gallery and videoclips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_Jersey", "url": "http://www.bellydancebysoraya.com/"} +{"d:Title": "Leyla Najma", "d:Description": "Professional dancer from New Mexico. Performance schedule, gallery and resources.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_Mexico", "url": "http://www.leyla-najma.com/"} +{"d:Title": "Amaya", "d:Description": "Featuring performer and instructor Amaya in Albuquerque, New Mexico. Includes information on the Shake and Bake Dance Festival, her videos, classes, performances and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_Mexico", "url": "http://www.wisewomandancer.com/"} +{"d:Title": "Morocco and the Casbah Dance Experience", "d:Description": "Teacher, performer, and writer in Mideastern/North African and Oriental dance. Classes, performances, articles, seminars, and dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.casbahdance.org/"} +{"d:Title": "Allen Street Dance Studio", "d:Description": "Home of Folkloric Productions Dance Co., Inc. in Buffalo, New York. Includes classes in Middle Eastern dance, Afro-Brazilian, workshops with guest artists and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.folkloric.org/"} +{"d:Title": "Belly Dance with Neon", "d:Description": "Professional belly dancer performs in New York City restaurants and nightlife venues and publishes multimedia products for the art of belly dance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.neonissima.com/"} +{"d:Title": "Bellyqueen - Danse Orientale", "d:Description": "Professional bellydance troupe based in New York City. Includes classes, workshops, events, photo and video galleries and shopping.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.bellyqueen.com/"} +{"d:Title": "Blanca", "d:Description": "Professional belly dancer based in New York City. Includes biography, events and booking information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.blancadance.com/"} +{"d:Title": "Egyptian Academy of Oriental Dance", "d:Description": "Yousry Sharif and Nourhan Sharif offer classes, seminars, and events in New York. Online shop.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.egyptianacademy.com/"} +{"d:Title": "Enchantress of Bioluminosity", "d:Description": "Artist's biography, schedule of shows, and list of her belly dance classes in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.enchantressofbioluminosity.com/"} +{"d:Title": "Middle Eastern Dancing With Sarah", "d:Description": "Biography and articles, class schedule, workshops, troupe and dance supplies. New York.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.dancingwithsarah.com/"} +{"d:Title": "Serena Studios", "d:Description": "Learn to bellydance at Serena Wilson's New York studio. Classes, shows, boutique. Professional dancers for hire.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/New_York", "url": "http://www.serenastudiosonline.com/"} +{"d:Title": "Gypsy", "d:Description": "Classes, workshops and events for dancer, Paula Stump, in Winston-Salem, North Carolina. Also includes information about and photos of her troupe, Aladdin's Genies.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/North_Carolina", "url": "http://shimmies-r-us.com/"} +{"d:Title": "Orientale Expressions", "d:Description": "Belly dancer troupe in Raleigh, Durham, Chapel Hill, and the Carrboro area of North Carolina", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/North_Carolina", "url": "http://orientale.tripod.com/"} +{"d:Title": "Conchi", "d:Description": "Professional bellydancer, teacher and artistic director of AlHambra Dance Company; located in Cincinnati, Ohio. Includes photo gallery, events and booking information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Ohio", "url": "http://conchi.homestead.com/"} +{"d:Title": "Kira's Oasis", "d:Description": "Belly Dance teacher in Centerville, Ohio. Includes her biography, class information, event calendar and virtual tour of the dance studio.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Ohio", "url": "http://www.kirasoasis.com/"} +{"d:Title": "AALIM Dance Academy Inc.", "d:Description": "Studio in Edmond, Oklahoma; home of Soraya Al Musri, Desert Fire and the Aalim Dance Ensemble. Includes classes, events, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Oklahoma", "url": "http://www.aalimdanceworld.com/"} +{"d:Title": "Dancer's Oasis", "d:Description": "Studio serving the Middle Eastern dance community of Bucks County, Pennsylvania (north of Philadelphia). Includes photo gallery, class and event schedules, links and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Pennsylvania", "url": "http://www.dancersoasis.com/"} +{"d:Title": "Studio 1831", "d:Description": "Valerie Rushmere, dancer and yoga instructor, offers classes in Philadelphia.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Pennsylvania", "url": "http://www.studio1831.com/"} +{"d:Title": "Desert Rose", "d:Description": "Lists classes and costuming for oriental and folkloric dance in Memphis, Tennessee. Offers annual guided tour to Egypt, Turkey, and Syria with a professional dancer.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Tennessee", "url": "http://www.desertrosedance.com/"} +{"d:Title": "Zanzibar Studio and Boutique", "d:Description": "Andrea Perkins, teacher and performer in Chattanooga, Tennessee. Includes biography, classes, performances, photo gallery and online shopping.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Tennessee", "url": "http://www.zanzibarstudio.com/"} +{"d:Title": "Dance With Jasmine", "d:Description": "Bellydance teacher/performer in Memphis. Information on classes and bookings, schedule of upcoming troupe performances, photos and videos, and advice for new students.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Tennessee", "url": "http://dancewithjasmine.com/"} +{"d:Title": "HippSync Dance Company", "d:Description": "Middle Eastern dance company offering exercise classes, workshops and performances in Dallas, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://www.angelfire.com/grrl/HippSync/"} +{"d:Title": "Isis and the Star Dancers", "d:Description": "Dance troupe based in Dallas/Ft. Worth, Texas, offering a variety of classes, entertainment, and costumes. Specializing in Middle Eastern and Polynesian dance.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://www.isisandthestardancers.com/"} +{"d:Title": "Meleea", "d:Description": "Dallas, Texas performer and teacher. Includes classes, performances and shopping bazaar.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://www.beledi.com/"} +{"d:Title": "Melissa Amira", "d:Description": "Performer and teacher in Austin, Texas. Includes calendar show dates and other upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://www.angelfire.com/tx/melissaamira/"} +{"d:Title": "Sirrom Studio", "d:Description": "Classes, rates and background information. Houston, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://www.sirroms.com/"} +{"d:Title": "Z-Helene", "d:Description": "Information about classes and performances available from Z-Helene, a dancer based in Austin, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://www.zhelene.com/"} +{"d:Title": "Chanson de Vie Dance Studio", "d:Description": "Information about a Mid-Eastern dance studio located in Nassau Bay, Texas (USA) near Clear Lake.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://members.tripod.com/feylahn-ivil/chansondeviedancestudio/"} +{"d:Title": "Chanson de Vie Dance Studio", "d:Description": "Middle-Eastern dance studio and costuming vendor located in Webster, Texas (USA) in the Clear Lake Area. Includes class times and rates, performance dates and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Texas", "url": "http://www.chansondevie.com/"} +{"d:Title": "Eastern Arts", "d:Description": "Dancers, artists, musicians and scholars in Salt Lake City, Utah, offering music and dance traditions of Turkey, Iran, Azerbaijan, Afghanistan, Uzbekistan, and Mongolia. Includes schedule of events and catalog of music, books and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Utah", "url": "http://www.easternartists.com/"} +{"d:Title": "Thia", "d:Description": "Instructor and performer in Salt Lake City, Utah area. Includes articles, performances, classes, events, photo gallery and makeup and costume tips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Utah", "url": "http://www.bellydancingbythia.com/"} +{"d:Title": "Sulisha Creations", "d:Description": "Sulisha, director of Arabian Eyes Dance Company, performer and teacher of Egyptian cabaret and traditional styles of belly dance. Includes a boutique, class listing, calendar of events, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Utah", "url": "http://sulishacreations.com/"} +{"d:Title": "Alia Thabit", "d:Description": "Dancer and teacher of Lebanese and Syrian descent offers classes and workshops in Vermont. Provides information about Middle Eastern dance, a photo gallery and schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Vermont", "url": "http://www.earth-goddess.com/"} +{"d:Title": "Bellydance by Anthea (Kawakib, Atesh)", "d:Description": "Anthea Poole (aka Kawakib) based in Fredericksburg, Virginia (USA). Performer, instructor, costumer. Online shopping for costumes, musical instruments.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Virginia", "url": "http://www.kawakib.com/"} +{"d:Title": "Samra's Expressions", "d:Description": "Offers lessons and performances of Middle Eastern and other international dances. Specializes in cane, shamadan, hagallah, raks and many other types of dance. Located in Galax, Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Virginia", "url": "http://www.samrasexpressions.com/"} +{"d:Title": "Alexandra", "d:Description": "Belly dancer and instructor in Kirkland and Seattle, Washington for beginning through advanced levels. Includes instructional videos, CDs, testimonials and class schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington", "url": "http://iteachbellydance.com/"} +{"d:Title": "Delilah", "d:Description": "Belly dance performer and instructor in Seattle, Washington. Includes her videos and DVDs, calendar of events, retreats in Hawaii, news, articles and galleries of photos, video and sound;", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington", "url": "http://www.visionarydance.com/"} +{"d:Title": "Saqra", "d:Description": "Saqra from Vancouver, Washington. Classes, tips, videos and events.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington", "url": "http://www.saqra.net/"} +{"d:Title": "Sultana Dancers", "d:Description": "Belly dance troupe in southeastern Washington state under the direction of Laurie Nearing; offers classes, workshops and performances featuring live drumming.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington", "url": "http://www.sultanadancers.com/"} +{"d:Title": "Hasani's Online Hotline", "d:Description": "Features Hasani and friends. Schedule for upcoming dance events in the Pacific Northwest.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington", "url": "http://hasani.net.phtemp.com/"} +{"d:Title": "Asharah", "d:Description": "Middle Eastern dancer based in the Washington, D.C. area. Specializes in the Turkish oriental style; also performs Egyptian and American Tribal. Includes biography, photo gallery and lists of performances and music selections.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington,_DC", "url": "http://www.asharah.com/"} +{"d:Title": "Silk Road Dance Company", "d:Description": "Dance company specializing in the dance traditions of central Asia. Includes repertoire, photos, contact and background information.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington,_DC", "url": "http://www.silkroaddance.com/"} +{"d:Title": "Raks Bonita", "d:Description": "Belly dance performance and instruction in the Washington DC metro area.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington,_DC", "url": "http://www.raksbonita.com/"} +{"d:Title": "Bellydance by Samira Shuruk", "d:Description": "Professional Middle Eastern dancer in the Washington DC and Baltimore metro areas. Includes biography, performance schedule, booking information, images and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington,_DC", "url": "http://www.samirashuruk.com/"} +{"d:Title": "Sahara Dance", "d:Description": "Dance classes, private lessons, workshops, retreats, and performances plus professional ensemble and student company.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/Washington,_DC", "url": "http://www.saharadance.com/"} +{"d:Title": "Narah bint Durr", "d:Description": "Biography, schedules of classes, workshops and performances of dancer in Morgantown, West Virginia. Includes list of benefits, FAQ and research of bellydance, along with information about the Khafif dance troupe.", "topic": "Top/Arts/Performing_Arts/Dance/Middle_Eastern/Teachers_and_Performers/United_States/West_Virginia", "url": "http://www.tribalbellydance.net/"} +{"d:Title": "Modern Dance Reviews", "d:Description": "Reports, reviews and photographic documentation of modern dance, stage performances and installations. By Petr Karlovsky.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.karlovsky.net/"} +{"d:Title": "Free Flow Dance Theatre", "d:Description": "Leading edge contemporary dance company based in Saskatoon, Saskatchewan, Canada. Site features upcoming events, photo gallery and information on the W.I.P. New Dance Series.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.freeflowdance.com/"} +{"d:Title": "Eiko and Koma", "d:Description": "The life and work of modern dancers Eiko and Koma. Located in NYC, New York.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.eikoandkoma.org/"} +{"d:Title": "Contact Improvisation", "d:Description": "Information about jams, workshops, classes and teachers.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.contactimprov.net/"} +{"d:Title": "The Place", "d:Description": "Centre for dance in the heart of London. Education and training information.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.theplace.org.uk/"} +{"d:Title": "Contact Quarterly", "d:Description": "Contact Collaborations' umbrella includes Contact Quarterly dance magazine; Contact Editions, and publisher and distributor of books on Body Mind Centering and improvisation.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.contactquarterly.com/"} +{"d:Title": "Move to Learn", "d:Description": "Malcolm Manning shares information on Contact Improvisation, Movement Research, Dance Improvisation, Authentic Movement, Movement Exploration.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://movetolearn.com/"} +{"d:Title": "Isadora Duncan Foundation for Contemporary Dance", "d:Description": "Quotes, information, books, videos, archival photos on the life and art of Isadora Duncan. Also the prestigious Lori Belilove and Company presenting Duncan's repertory with a contemporary edge.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.isadoraduncan.org/"} +{"d:Title": "Julia Sasso", "d:Description": "Official site for the choreographer, performer and dance educator.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.juliasasso.com/"} +{"d:Title": "Ksenia Vidyaykina", "d:Description": "Russian dancer, choreographer, singer, costume designer in NYC, NY. Upcoming shows listed.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.kseniadance.com/"} +{"d:Title": "Fran\u00e7ois Malkovsky's Free Dance", "d:Description": "Excerpts from a book about his work. In English with some French pages.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://mbodak.free.fr/"} +{"d:Title": "Modern Dance in India", "d:Description": "Article on evolutions of this dance form in India from beginnings with Uday Shankar in the ealy 20th century. Also, listing of dancers and institutions.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.artindia.net/modern.html"} +{"d:Title": "Dance Meditation", "d:Description": "Stretches, modern dance elements, and reflection techniques, put together in free lesson plans online.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.bellaonline.com/articles/art13454.asp"} +{"d:Title": "Israeli Contact Improvisation Festival", "d:Description": "Annual celebration in December. Workshops, jams, performances, and a 5-day residential event featuring 25 teachers from around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.contactil.org/"} +{"d:Title": "The Yard", "d:Description": "Located on Martha's Vineyard, this not-for-profit organization strives to promote growth and experimentation in theatre arts to professional artists.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.dancetheyard.org/"} +{"d:Title": "Dance, Archetypes and the I Ching", "d:Description": "Commentary on archetypal imagery from the I Ching revealed in a modern dance performance.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.dennismerrittjungiananalyst.com/Dance_and_archetypes.htm"} +{"d:Title": "Will Kemp", "d:Description": "Site is about this British born Royal Ballet trained dancer, and includes a biography and news of events.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.willkemp.org/"} +{"d:Title": "Isadora Duncan's Web Links", "d:Description": "The collection of the web links of the biography, quotations, dance companies, pictures, videos of the Mother of Modern Dance Isadora Duncan.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www11.plala.or.jp/i-duncanslinks/"} +{"d:Title": "Ledges and Bones Dance Project", "d:Description": "Laboratory for experiments in dance performance, choreography and movement education. Located in the Santa Barbara - Los Angeles, California area.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://www.ledgesandbones.org/"} +{"d:Title": "Wikipedia: Contemporary Dance", "d:Description": "Article explains Contemporary Dance is the name given to a group of 20th century concert dance forms. Linked articles give the history and definition of Modern Dance, a term also commonly used to describe 20th century concert dance forms.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://en.wikipedia.org/wiki/Contemporary_dance"} +{"d:Title": "Danse Danse", "d:Description": "Describes contemporary dance productions of world-calibre companies presented in Montr\u00e9al. In English and French.", "topic": "Top/Arts/Performing_Arts/Dance/Modern", "url": "http://dansedanse.ca/"} +{"d:Title": "Virpi Pahkinen", "d:Description": "Finnish choreographer and solo dancer. Site contains biography, repertory, commissioned works, information about touring schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.pahkinen.com/"} +{"d:Title": "Peter Pucci", "d:Description": "Choreographer and Movement Director describes his latest theater and dance projects.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.pucciplus.com/"} +{"d:Title": "Matthew Hardy", "d:Description": "Official site with examples of his work in dance, cabaret, theater and graphic design.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.matthewhardy.org/"} +{"d:Title": "Marcus Schulkind", "d:Description": "Dancer, choreographer, teacher at Green Street Studios, and acupuncturist in Boston, MA.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.marcusschulkind.org/"} +{"d:Title": "Pete Purdy", "d:Description": "Freelance director, choreographer and teacher based in the UK. Biography, examples of work and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.petepurdy.com/"} +{"d:Title": "Adam Benjamin", "d:Description": "Choreography, performance workshops, publications, directory reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.adambenjamin.co.uk/"} +{"d:Title": "Aydin Teker", "d:Description": "Choreography, biography, reviews, education, teaching and performing experience.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.aydinteker.com/"} +{"d:Title": "Gerry Turvey", "d:Description": "Choreography, dance-theatre, history, workshops, projects, dance in Africa.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.turveyworld.co.uk/"} +{"d:Title": "Shirley Kirkes Mar", "d:Description": "Teacher of all forms of choreography for film, television and theater.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.shirleykirkesmar.com/"} +{"d:Title": "Cori Caulfield", "d:Description": "Vancouver based interdisciplinary performer and choreographer who has brought new dance and theatre works to Canada, the United States, China, Singapore, Europe and Malaysia.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.coricaulfield.com/"} +{"d:Title": "Judith Wombwell", "d:Description": "Includes work as choreographer, visual artist, multi-media performances and web design.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://jwombwell.com/"} +{"d:Title": "Eryn Dace Trudell", "d:Description": "The art and expression of Canadian dance artist Eryn Dace Trudell.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.eryndacetrudell.com/"} +{"d:Title": "Ryuji Yamaguchi", "d:Description": "Japanese male dancer and choreographer based in New York City.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.ryujiyamaguchi.org/"} +{"d:Title": "Nicole Bindler", "d:Description": "Dance choreographer, improviser, teacher and massage therapist. Located in Cambridge, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://nicolebindler.com/"} +{"d:Title": "Thomas Freundlich", "d:Description": "Finnish dancer and choreographer. Biography, description of works, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.freundlich.org/"} +{"d:Title": "Peter Czerner", "d:Description": "Creates dance for the contemporary modern dancer, with classes, worldwide workshops and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.czerner-dance.com/team/peterundandrea.htm"} +{"d:Title": "Sheetal Gandhi", "d:Description": "Official site for Sheetal Gandhi. Features a gallery of photographs, resume and biography, description of choreographic works, and press coverage.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.sheetalgandhi.com/"} +{"d:Title": "Scott Heron", "d:Description": "Choreographer and performance artist. Photos, biography, images and contact information. Located in New Orleans, Louisiana.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://scottheron.org/"} +{"d:Title": "Charlotte Greenblatt", "d:Description": "Contemporary dancer and choreographer who has trained and performed in San Francisco, Brussels, Berlin and Vienna. Contact information included.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.charlottegreenblatt.com/"} +{"d:Title": "Dana Salisbury", "d:Description": "Choreographer and multi-disciplinary artist whose investigations span dance, video, language, site-specific performance installation and visual art.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.danasalisbury.com/"} +{"d:Title": "Dudley Brooks", "d:Description": "Artistic director of Run For Your Life!...it's a dance company! Biography, repertoire, affiliations.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.runforyourlife.org/html/dudley.html"} +{"d:Title": "Tricia Brouk", "d:Description": "Tricia choreographs and dances in film, theatre and television. She recently choreographed John Turturro's feature film, \"Romance and Cigarettes.\" Contact information on site.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.triciabrouk.com/"} +{"d:Title": "P\u00e9 Vermeersch", "d:Description": "P\u00e9 Vermeersch is a choreographer, dancer and teacher living and working in Kortrijk (Belgium).", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.pevermeersch.com/"} +{"d:Title": "William Lu", "d:Description": "A contemporary dancer, choreographer and educator who dances for Backhausdance.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.wldance.com/"} +{"d:Title": "Louis Falco", "d:Description": "Dedicated to the legacy of this dancer/choreographer, including biography, company history, repertory and archive information.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Choreographers", "url": "http://www.louisfalco.com/"} +{"d:Title": "Jazzart Dance Theatre", "d:Description": "Professional contemporary dance company creating a fusion of Western and African dance styles. Based in Cape Town, South Africa.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Africa", "url": "http://www.jazzart.co.za/"} +{"d:Title": "Vuyani Dance Theatre Project", "d:Description": "A project-based company that produces works that combine in a dynamic and theatrical way the many cultures, backgrounds and tastes that enrich South Africa.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Africa", "url": "http://www.vuyani.co.za/"} +{"d:Title": "City Contemporary Dance Company", "d:Description": "Promoter of modern dance and contemporary culture. (Hong Kong)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Asia", "url": "http://www.ccdc.com.hk/"} +{"d:Title": "Cyanides", "d:Description": "Site dedicated to the Cyanides group of India, featuring the dance challenge, the modern dance and Fastest Taank Modern Dance (FTM)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Asia", "url": "http://cyanides.com/"} +{"d:Title": "EcNad Project Ltd", "d:Description": "EcNad Project Ltd, the former Dance Dimension Project (DDP), is Singapore's leading cutting edge contemporary dance group. More accurately described as a multidisciplinary movement based company that challenges the notion of dance and performance space. 'EcNad' is the reverse spelling of 'dance'.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Asia", "url": "http://www.ecnad.org/"} +{"d:Title": "Karas", "d:Description": "Formed by Saburo Teshigawara and Kei Miyata in Tokyo 1985. Schedule, Reviews, Archives and Biography", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Asia", "url": "http://www.st-karas.com/"} +{"d:Title": "Arts Fission Company", "d:Description": "THE ARTS FISSION Company is a Singapore based Asian dance laboratory with the mission to rekindle human spirit and sensibility by reinventing vernacular movements into a new genre of Asian dance theatre.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Asia", "url": "http://www.artsfission.org/"} +{"d:Title": "Tanssiteatteri Rollo", "d:Description": "Finnish dance theatre active since 1972. Introduction, repertoire and tour dates.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.rollo.fi/"} +{"d:Title": "Xoreyetes", "d:Description": "Modern Greek dancing company participating in many European projects.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.dancers.gr/"} +{"d:Title": "Carte Blanche", "d:Description": "The Norwegian national company of contemporary dance. Background, dancers, program and news.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.carteblanche.no/"} +{"d:Title": "Damaged Goods", "d:Description": "Meg Stuart's dance company in Brussels.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.damagedgoods.be/"} +{"d:Title": "Dance Company Thor", "d:Description": "Belgian contemporary dance company led by Thierry Smits.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.thor.be/"} +{"d:Title": "Movers Dance Company", "d:Description": "A combination of dance, acrobatics and mime from Switzerland.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.movers.ch/"} +{"d:Title": "Olga Zitluhina Modern Dance Company", "d:Description": "Profile, gallery, events, and projects. Latvia.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.dance.lv/"} +{"d:Title": "Deja Donne", "d:Description": "International contemporary dance theatre group from Prague, Czech Republic. Gallery, choreographer biographies, and calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.dejadonne.com/"} +{"d:Title": "Jo Str\u00f8mgren Kompani", "d:Description": "Norwegian dance company, with focus on direct communication, physical rawness and absurd humour through a cross-over style.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.jskompani.no/"} +{"d:Title": "Flota", "d:Description": "Dance projects by Slovenian choreographer Matjaz Faric and wide group of collaborators.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www2.arnes.si/~ljdrsps3/flota.html"} +{"d:Title": "Kwaad Bloed VZW", "d:Description": "Belgian choreographers Ugo Dehaes and Charlotte Vanden Eynde present their work in various media. Upcoming shows, interviews, press and downloads.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.kwaadbloed.com/"} +{"d:Title": "Andra Perrin", "d:Description": "Choreographer based in Amsterdam. Current and past projects.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://andra.perrin.free.fr/"} +{"d:Title": "Riki von Falken", "d:Description": "Has worked with Merce Cunningham, Trisha Brown, Jennifer Muller, and Stphen Petronio (Berlin, Germany)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.rikivonfalken.com/"} +{"d:Title": "Enjeux", "d:Description": "About the creation En [ jeu ] 3+4 x 3, a collaboration between the collective Res Publica, LAB[au] and the musician Niels Mechin.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.lab-au.com/enjeux"} +{"d:Title": "Cie Toula Limnaios", "d:Description": "Two dancers from Berlin, Germany. Biographies, repertoire and tours. Partly in English.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.toula.de/"} +{"d:Title": "Claire Parsons Co.", "d:Description": "Dancer and choreographer based in Stockholm, Sweden. Work, style, reviews, and current projects.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.claireparsons.com/"} +{"d:Title": "Ismo Dance", "d:Description": "Dance group from Helsinki, Finland producing dance theatre and contemporary dance, solo performances and large group productions. Works, photographs and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.ismodance.fi/"} +{"d:Title": "Kobalt Works", "d:Description": "Belgium-based contemporary dance company choreographed by Arco Renz.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.kobaltworks.be/"} +{"d:Title": "Joji inc", "d:Description": "Company based in Brussels created by Johanne Saunier, choreographer, and Jim Clayburgh, scenographer. Background notes and productions.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.jojiinc.org/"} +{"d:Title": "Earthphish Dance", "d:Description": "Swiss company based in Zurich. Music, dance, history and gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.earthphish.com/"} +{"d:Title": "Microdance", "d:Description": "Artistic unit creating dance performances and films, with a focus on using technology in production and presentation. Based in Oslo, Norway.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://microdance.org/"} +{"d:Title": "HerStay", "d:Description": "Norwegian company lead by dancer and choreographer Monica Emilie Herstad. Projects, reviews and news.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.herstay.net/"} +{"d:Title": "Magister Kelley - Awakening of Sirahel (Fire Dance of Xena)", "d:Description": "You can download video samples of the show in order to get an idea about the fire dances with torches. Hopefully you might get at least a bit of a taste of the atmosphere at the show.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://xena.sovinec.cz/"} +{"d:Title": "Gruppo Nanou", "d:Description": "Company based in Italy. Site in Italian and English with contact information included.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe", "url": "http://www.grupponanou.it/"} +{"d:Title": "Rambert Dance Company", "d:Description": "Flagship contemporary dance company. Tours, video clips, behind the scenes and archive.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.rambert.org.uk/"} +{"d:Title": "Carol Brown Dances", "d:Description": "Choreographic history, projects, biographies, agllery and news.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.carolbrowndances.com/"} +{"d:Title": "Phoenix Dance Theatre", "d:Description": "One of Britain's leading contemporary dance companies, touring nationally and internationally with the aim of bringing inspiring and entertaining dance to the widest possible audience. (Leeds, UK)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.phoenixdancetheatre.co.uk/"} +{"d:Title": "Random Dance Company", "d:Description": "Known for their use of technology and new media, they bring a new way of moving to theatres around the world. Wayne McGregor, Artistic Director, and his eight dancers are described as 'the future of dance'. (London, England)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.randomdance.org/"} +{"d:Title": "somedancersandmusicians.com", "d:Description": "'some dancers and musicians' is a contemporary dance and music company based near London, UK. Includes descriptions of the work, photos, shockwave movies and biographies of the artists.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.somedancersandmusicians.com/"} +{"d:Title": "Eshoda Arts", "d:Description": "A Bath based company dedicated to creating work which explores the possibilities of cross art collaboration, combining contemporary dance with visual arts, text and sound.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.eshodaarts.co.uk/"} +{"d:Title": "Retina Dance Company", "d:Description": "Retina Dance Company, under the artistic direction of Filip Van Huffel, have established themselves as innovative and dynamic voices in both the British and European contemporary dance scene.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.retinadance.com/"} +{"d:Title": "Seven Sisters Group", "d:Description": "London-based dance and performance company under the artistic direction of Susanne Thomas.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.sevensistersgroup.com/"} +{"d:Title": "Ascendance", "d:Description": "A Leeds-based contemporary dance company with a reputation of excellence in contemporary dance performance, dance audience development and contemporary dance educational work", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.ascendance.org.uk/"} +{"d:Title": "Connectingvibes", "d:Description": "Multicultural company of young dancers from City and Islington College, London. Repertoire, events, booking and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.connectingvibes.com/"} +{"d:Title": "Anjali Dance Company", "d:Description": "The members are all people who have learning disabilities: aims, contacts, tour details and links. Based in Banbury, Oxfordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.anjali.co.uk/"} +{"d:Title": "Protein Dance", "d:Description": "Details of current and future projects, touring, news and a full picture of what they have been doing since 1997.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.proteindance.co.uk/"} +{"d:Title": "Vtol", "d:Description": "Archive of ceased touring company.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.vtol.co.uk/"} +{"d:Title": "Shiftwork", "d:Description": "Work by dancer and choreographer Chirstinn Whyte, and photographer and digital artist Jake Messenger.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.shiftwork.org.uk/"} +{"d:Title": "Vincent Dance Theatre", "d:Description": "Physical dance theatre from Sheffield, Yorkshire. Productions, touring, education and gallery", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.vincentdt.com/"} +{"d:Title": "CoisCeim", "d:Description": "Dance theatre company from Dublin, Ireland. Details of current production, projects, funding and how to help.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://www.coisceim.com/"} +{"d:Title": "Newness Dance", "d:Description": "Based in London. Philosophy, performers and forthcoming works.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Europe/United_Kingdom", "url": "http://newnessdance.blogspot.com/"} +{"d:Title": "Montreal Danse", "d:Description": "The company is a convergence point where superb dancers and invited choreographers working at the forefront of contemporary dance create works that captivate, move, entertain and challenge. Based in Montreal.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://montrealdanse.com/"} +{"d:Title": "Compagnie Marie Chouinard", "d:Description": "Internationally acclaimed contemporary dance company founded in 1990 by choreographer Marie Chouinard. Includes information on the company, dancers and upcoming tours. (Montr\u00e9al, Qu\u00e9bec)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.mariechouinard.com/"} +{"d:Title": "Daniel L\u00e9veill\u00e9 Danse", "d:Description": "A Montr\u00e9al-based Canadian contemporary dance choreographer. Productions, history, mission, and technical rider.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.danielleveilledanse.org/"} +{"d:Title": "Dancetheatre David Earle", "d:Description": "Order of Canada recipient David Earle, with more than 100 works in his repertoire. (Guelph, Ontario, Canada)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.dtde.ca/"} +{"d:Title": "Free Flow Dance Theatre", "d:Description": "Free Flow is a contemporary dance group run by Artistic Director Jackie Latendresse. The company is located in Saskatoon Saskatchewan Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.freeflowdance.com/"} +{"d:Title": "O Vertigo Dance", "d:Description": "A cultural organization in Montreal, Canada, devoted to creation in new dance and to the presentation of the works of its founder, Artistic Director and Choreographer, Ginette Laurin", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.overtigo.com/"} +{"d:Title": "Dancemakers", "d:Description": "Toronto's second oldest contemporary dance company. It brings to its audiences dance of challenging physicality and emotional impact. The Company's creations draw on the diverse talents and individual strengths of its artists to develop and support works which both provoke and entertain.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.dancemakers.org/"} +{"d:Title": "Compagnie Flak", "d:Description": "Acts as a research center, meeting place, and a catalyst of ideas for choreographers. Includes information about the company and its founder Jose Navas, biographies of the company members, and information about their repertroire. Based in Montreal.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.flak.org/"} +{"d:Title": "Lynda Gaudreau / Compagnie De Brune", "d:Description": "Choreographer Lynda Gaudreau has founded the Compagnie De Brune in Montreal in 1992. Her contemporary work, essentially based on research, embraces elements from architecture, music, and the visual arts.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.lyndagaudreau.com/"} +{"d:Title": "Margie Gillis Dance Foundation", "d:Description": "Margie Gillis is an internationally acclaimed solo dance artist. (Montreal)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.margiegillis.org/"} +{"d:Title": "dance OREMUS danse", "d:Description": "A not-for-profit arts organization dedicated to the artistic legacy of Isadora Duncan. Offers staged productions including recitals, opera, and symphonic and choral masterpieces of the Baroque, Romantic and Modern repertoire. (Toronto, Ontario)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.danceoremusdanse.org/"} +{"d:Title": "Nyata-Nyata : Dance Company", "d:Description": "Enterprise of contemporary artistic expression, which defines its activities in the field of African dance", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.nyata-nyata.org/"} +{"d:Title": "Apog\u00e9e", "d:Description": "Launched to the edge of physical expression from the bed of a trampoline, Apog\u00e9e marries a startling range of aerial- and ground-based movement to conjure a transformative, gravity-defying work of pure dance that penetrates right to the heart. (Montreal, PQ)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://pages.total.net/~apogee/"} +{"d:Title": "A2D2, Aerial-Dance Circus Company", "d:Description": "Contemporary circus and dance company that provides entertainment for corporate and private functions, fundraisers, galas, and festivals. Includes profile, repertoire, past events, image gallery and video clips.Toronto.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.a2d2.ca/"} +{"d:Title": "Zata Omm Dance Projects", "d:Description": "A contemporary dance company based in Toronto, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/Canada", "url": "http://www.zataomm.org/"} +{"d:Title": "Jos\u00e9 Lim\u00f3n Dance Foundation", "d:Description": "Performance schedules, tour dates, class information, historical background and news about this organization for classic modern dance. (New York/California)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States", "url": "http://www.limon.org/"} +{"d:Title": "Moyamo Dance", "d:Description": "Modern dance company founded by Anna Sapozhnikov, consisting of choreographers and dancers from Chicago, Champaign (Illinois), and Louisville (Kentucky), and performing at diverse locations.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States", "url": "http://www.moyamodance.blogspot.com/"} +{"d:Title": "Nikolais-Louis Foundation for Dance", "d:Description": "Dance company that honors and perpetuates the innovations in theatrical productions and dance technique of Alwin Nikolais and Murray Louis. Located in US; tours internationally.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States", "url": "http://www.nikolaislouis.org/"} +{"d:Title": "Center Dance Ensemble", "d:Description": "The resident Modern Dance Company of the Herberger Theatre Center in Phoenix, AZ. Frances Smith Cohen, Director.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Arizona", "url": "http://www.centerdance.com/"} +{"d:Title": "A Ludwig Dance Theatre", "d:Description": "Tempe-based company creating works beyond the traditional concepts, from extreme avant-garde performance to very thoughtful reflections on the human condition. Information about dancers, performances, and tours.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Arizona", "url": "http://www.aludwigdance.org/"} +{"d:Title": "Add Some Fun Entertainment", "d:Description": "They have costumed characters that provide magic, face painting, balloon creations, henna, games, music/sing-a-longs, parachute play and more, for kid's parties and corporate events in the San Francisco Bay Area.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.addsomefun.com/"} +{"d:Title": "Allyson Green Dance", "d:Description": "Performs in Austria, Brazil, Belgium, Canada, France, Hungary, Macedonia, Portugal, Slovakia, Venezuela, and the United States. The company is produced annually in New York by such venues as Danspace Project, Dance Theater Workshop and Symphony Space. (San Diego, CA, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://allysongreendance.com/"} +{"d:Title": "AXIS Dance Company", "d:Description": "A contemporary dance group with an unusual body of dancers, some of whom are disabled. Their work is recognized internationally. (Oakland, CA, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.axisdance.org/"} +{"d:Title": "Benita Bike's DanceArt Co.", "d:Description": "A Los Angeles chamber modern dance company whose work ranges from the elegant and abstract to the gutsy and emotionally potent.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.danceart.org/"} +{"d:Title": "Capacitor", "d:Description": "A group of interdisciplinary movement artists that accumulate energy from technologically impacted culture and release it through innovative, multi-sensory performances. (San Francisco)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.capacitor.org/"} +{"d:Title": "Contraband", "d:Description": "A group of dancers, artists, and musicians, directed by Sara Shelton Mann. (Northern California)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.sarasheltonmann.org/"} +{"d:Title": "Dandelion Dancetheater", "d:Description": "A post-modern dance - theater company based in the San Francisco Bay Area that collides raw, emotionally-driven, athletic and lyrical movement with theatrical scenes and gesture to explore the essence of what it is to be alive.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.dandeliondancetheater.org/"} +{"d:Title": "Donna Sternberg&Dancers", "d:Description": "The magic of dance, to transport, to exhilarate, to touch on the deepest level of our being. (Santa Monica, CA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.dsdancers.com/"} +{"d:Title": "Hysterica Dance Company", "d:Description": "Hysterica Dance - official website for hysterica dance company, award winning Los Angeles based contemporary dance company - schedule, bios, collaborators, press links...", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.hystericadance.com/"} +{"d:Title": "Janice Garrett&Dancers", "d:Description": "San Francisco, CA", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.janicegarrettanddancers.org/"} +{"d:Title": "Jean Isaacs' San Diego Dance Theater", "d:Description": "Innovative company promotes modern dance in San Diego through performance, artist mentorship, educational outreach, cross-border US/Mexico collaborations, summer workshops, classes, tours. Bios, schedule, history. Non-profit 501 (c)3.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://sandiegodancetheater.org/"} +{"d:Title": "LEVYdance", "d:Description": "Aims to captivate and provoke the audience by igniting personal emotions within each viewer. (San Francisco, CA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.levydance.org/"} +{"d:Title": "Liss Fain Dance", "d:Description": "A modern dance company based in San Francisco, has earned a reputation for vibrant, abstract pieces crafted from movement and steps that traverse broad areas. The company's works are replete with images both abstract and personal; technical precision and heightened physicality underscore the dances.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.lissfaindance.org/"} +{"d:Title": "Loretta Livingston and Dancers", "d:Description": "Contemporary dance performance ensemble based in downtown Los Angeles, California, USA", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.livingstondance.com/"} +{"d:Title": "Los Angeles Choreographers&Dancers", "d:Description": "A non-profit dance organization composed of two professional companies, Louise Reichlin and Dancers (modern) and Zapped Taps/Alfred Desio (tap).", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.usc.edu/dept/dance/p2_lacd.html"} +{"d:Title": "Malashock Dance&Company", "d:Description": "A Modern/Contemporary dance company lead by John Malashock. (California, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.malashockdance.org/"} +{"d:Title": "Margaret Jenkins Dance Company", "d:Description": "Web site detailing the activities and performances of the Margaret Jenkins Dance Company from San Francisco, CA.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.mjdc.org/"} +{"d:Title": "Margaret Wingrove Dance Company", "d:Description": "Contemporary San Jose group emphasizing innovation and emerging local talent since 1981.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.mwdance.com/"} +{"d:Title": "Nancy Karp + Dancers", "d:Description": "Dedicated to the creation and presentation of new work that reflects the artistic vision of director/choreographer Nancy Karp. (San Francisco)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.nancykarp.org/"} +{"d:Title": "Nesting Dolls", "d:Description": "Nesting Dolls, San Francisco's punk-lyric contemporary dance company, is a \"stirring fusion of aggressive attack and strong technical lyricism.\" (SF Bay Guardian)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.candydarling.com/nestingdolls"} +{"d:Title": "ODC Dance/San Francisco", "d:Description": "San Francisco's premier modern dance company.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.odcdance.org/"} +{"d:Title": "Paufve | dance", "d:Description": "Paufve | dance is a modern dance company based in Oakland, California under the artistic direction of choreographer Randee Paufve, with choreography which communicates through the language of the body, viscerally and intuitively connecting to other human beings.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.paufvedance.org/"} +{"d:Title": "Run For Your Life!...it's a dance company!", "d:Description": "Abstract comedy with no malice or agenda, and their satirical dances point up universal human (or non-human) foibles. (San Francisco, CA, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.runforyourlife.org/"} +{"d:Title": "Well Tempered Ballet Co.", "d:Description": "Hollywood dance/theatre company. (California)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.boloproductions.com/"} +{"d:Title": "Helios Dance Theater", "d:Description": "Southern California company that makes use of discussion and improvisation during rehearsal to explore in depth the dance at hand.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.heliosdancetheater.org/"} +{"d:Title": "Nguyen Dance Company", "d:Description": "Nguyen Dance Company (NDC) is a 501(c)(3) nonprofit organization. The company's signature style blends contemporary elements with traditional Vietnamese aesthetics. Located in Oakland, California.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.dannydancers.com/"} +{"d:Title": "Chaddick Dance Theater", "d:Description": "Modern dance company in the San Francisco Bay Area.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.chaddickdancetheater.com/"} +{"d:Title": "Fire Pixie Fire", "d:Description": "A collective of fire dancers, eaters, and breathers based in the San Francisco Bay area.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://fire.firepixie.com/"} +{"d:Title": "Upswing Company", "d:Description": "Cherie Carson offers site-specific dance works using a variety of props. (Berkeley, CA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/California", "url": "http://www.upswingaerialdance.org/"} +{"d:Title": "Kim Robards Dance", "d:Description": "Kim Robards Dance has inspired individuals through its high level of artistic excellence in performances and workshops. Each season presents an exhilarating array of company events. (Denver, CO, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Colorado", "url": "http://www.kimrobardsdance.org/"} +{"d:Title": "Full Force Dance Theatre", "d:Description": "In its tenth year of presenting repertory with its founder and director, Katie Stevinson-Nollet. (Connecticut)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Connecticut", "url": "http://uhaweb.hartford.edu/nollet/ffdt/ffdt.html"} +{"d:Title": "Pilobolus Dance Theatre", "d:Description": "Connecticut-based company of choreographers and dancer-athletes committed to developing three original works each year, with two companies touring nationally and worldwide. Performance schedules and information about workshops, residencies, and other opportunities.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Connecticut", "url": "http://www.pilobolus.com/"} +{"d:Title": "Karen Peterson and Dancers", "d:Description": "Florida's only modern dance company to feature collaborative \"mixed-ability\" dance work and contemporary repertory. (Miami)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Florida", "url": "http://www.karenpetersondancers.org/"} +{"d:Title": "R.P.M. Dance Incorporated", "d:Description": "Non-profit contemporary modern dance company which performs, choreographs and offers private lessons in a variety of dance styles in Central Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Florida", "url": "http://www.rpmdance.org/"} +{"d:Title": "Core", "d:Description": "Group that offers classes and workshops in Houston and Atlanta and gives performing tours as the Core Performance Company. Includes tour schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Georgia", "url": "http://www.coredance.org/"} +{"d:Title": "Idaho Dance Theatre", "d:Description": "Company of professional artists based in Boise, working to advance and promote the art of dance as part of the state's cultural and educational environment. Information about performances and tours.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Idaho", "url": "http://idahodancetheatre.org/"} +{"d:Title": "Khecari Dance Theatre", "d:Description": "Contemporary dance company based in Chicago supporting the works of Julia Rae Antonick and Jonathan Meyer.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://www.khecari.org/"} +{"d:Title": "Hubbard Street Dance Chicago", "d:Description": "Combines modern, jazz, and ballet to create energetic, athletic form of dance. Top choreographers from Holland, Spain, and Israel add a distinctive international flavor.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://www.hubbardstreetdance.com/"} +{"d:Title": "Joel Hall Dancers", "d:Description": "One of the most racially and ethnically diverse professional dance companies in America, based in African-American jazz music and jazz dance culture. (Chicago, IL, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://www.joelhall.org/"} +{"d:Title": "Mad Shak Dance Company", "d:Description": "Mad Shak Dance Company creates and presents highly physical, intricately crafted contemporary modern dance through the collaborative development of unique movement vocabulary, original music, and theatrical concepts that intellectually engage and entertain audiences. (Chicago)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://www.madshak.com/"} +{"d:Title": "River North Chicago Dance Company", "d:Description": "Mixes modern and jazz dance. Sultry Spanish influenced dance is some of their most distinctive work.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://www.rivernorthchicago.com/"} +{"d:Title": "Winifred Haun and Dancers", "d:Description": "Features photos, videos, performance schedule for dance performances choreographed by Winifred Haun, which offer an emotionally charged, compelling fusing of the elements of modern, ballet, and jazz. (Chicago, IL, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://www.winifredhaun.org/"} +{"d:Title": "Perceptual Motion", "d:Description": "Non-profit, multi-generational dance company. Contact and company information on web site. Located in Chicago, IL.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://www.perceptualmotiondance.com/"} +{"d:Title": "Giordano Dance Chicago", "d:Description": "Created to create and preserve American jazz dance. Technically strong dancers perform daring, edgy pieces.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://giordanodance.org/"} +{"d:Title": "Chicago Moving Company - Home", "d:Description": "Performs regularly throughout Chicago and has toured nationally and internationally to acclaim", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Illinois", "url": "http://chicagomovingcompany.wixsite.com/cmcdance"} +{"d:Title": "Dance Kaleidoscope", "d:Description": "Professional contemporary dance group offers performances and arts education in Indianapolis and on tour. Includes season schedule and touring information.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Indiana", "url": "http://www.dancekal.org/"} +{"d:Title": "Valerie Williams Co'Motion Dance Theater", "d:Description": "Professional modern dance company based in Ames that tours throughout the upper Midwest as well as performing locally.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Iowa", "url": "http://midwestartistmgmt.wixsite.com/comotiondancetheater"} +{"d:Title": "ArtSpot Productions", "d:Description": "A New Orleans-based nonprofit organization dedicated to the creation and production of original multidisciplinary performance works for local, national and international presentation.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Louisiana", "url": "http://www.artspotproductions.org/"} +{"d:Title": "Exit Dance Theatre", "d:Description": "Professional modern dance company based in Newburyport, Massachusetts. Visit our site for performance dates near you.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Massachusetts", "url": "http://www.exitdancetheatre.org/"} +{"d:Title": "Snappy Dance Theater", "d:Description": "Quirky Boston-based modern dance theater company combining physicality, beauty, humor and sadness in performances for all audiences.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Massachusetts", "url": "http://www.snappydance.com/"} +{"d:Title": "Zenon Dance Company and School", "d:Description": "Zenon's Dance School provides a diverse curriculum of modern, jazz, ballet, and hip-hop to dancers of all ages and levels. Zenon Dance Company performs locally, nationally, and internationally. Minneapolis, MN.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Minnesota", "url": "http://www.zenondance.org/"} +{"d:Title": "Beyond Motion Dance Company", "d:Description": "The company began out of a strong passion for music, dance and movement. (St. Louis, MO, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Missouri", "url": "http://www.beyondmotionproductions.com/"} +{"d:Title": "Dance St. Louis", "d:Description": "Not-for-profit agency dedicated to enhancing cultural well-being by creating and perpetuating interest, awareness and appreciation of dance through concert presentations and educational activities.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Missouri", "url": "http://www.dancestlouis.org/"} +{"d:Title": "Freespace Dance", "d:Description": "A modern dance company in residence at Montclair State University, New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_Jersey", "url": "http://www.freespacedance.com/"} +{"d:Title": "AirDance New Mexico", "d:Description": "Aerial dance and multi-media theater from Albuquerque, New Mexico directed by Debra Landau. Upcoming performances and descriptions of past productions. Also includes links.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_Mexico", "url": "http://www.aquilaarts.com/airdance.html"} +{"d:Title": "Bill Evans Dance Company", "d:Description": "The finest in modern, tap and ballet from a true professional. Extensive selection of choreographed works. Guest artist residencies, and workshops. (Sandia Park, NM, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_Mexico", "url": "http://www.billevansdance.org/"} +{"d:Title": "Stomp", "d:Description": "Exciting modern dance troupe using everyday objects in non-traditional ways. Movement and rhythms of bodies, objects, sounds - even abstract ideas.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.stomponline.com/"} +{"d:Title": "Tim Martin", "d:Description": "Ballet and Modern works available for purchase. Full information on casting, techniques, costuming, and props. Free lighting plot information (PDF format) available for downloading or on-screen viewing. (New York, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.martinballet.com/"} +{"d:Title": "Alvin Ailey American Dance Theater", "d:Description": "The official site, includes history, current repertory, information about the Ailey School and ticket purchasing.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.alvinailey.org/"} +{"d:Title": "Amy Marshall Dance Company", "d:Description": "Brings modern dance to communities through residencies, lecture demonstrations, and performances, educating the public about the art form. Located in New York, United States.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.amymarshall.com/"} +{"d:Title": "Artichoke Dance Company", "d:Description": "Provides a vehicle for artists to explore and create dance and performance works.(New York, NY, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://artichokedance.org/"} +{"d:Title": "Avodah Dance Ensemble", "d:Description": "Modern dance company rooted in the Jewish tradition. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.avodahdance.org/"} +{"d:Title": "Ben Munisteri Choreography", "d:Description": "Modern classical technique combined with innovative movement. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.munisteri.com/"} +{"d:Title": "Blue Muse Dance Project", "d:Description": "Established in year 1998, the company provides choreographers the opportunity to show their work and talent to the New York City community.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.bluemusedance.org/"} +{"d:Title": "Buglisi Foreman Dance", "d:Description": "Former artists from the Martha Graham Company provide information on schedule, dance festivals, photographs, and reviews. Performs nationally and internationally. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.buglisi-foreman.org/"} +{"d:Title": "Circle of Dance Repertory Company", "d:Description": "Founded in 1982 by five artists dedicated to developing a regional modern dance company. (Huntington, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.circleofdance.org/"} +{"d:Title": "Dance Anonymous", "d:Description": "A Manhattan-based nonprofit modern dance company founded in September 2000.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.danceanonymous.com/default.asp"} +{"d:Title": "Daniel Gwirtzman Dance Company", "d:Description": "A young, highly-praised modern dance company based in New York. Choreographer Gwirtzman previously danced with Garth Fagan and Mark Morris, and is a co-founder of Artichoke Dance Company.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.gwirtzmandance.org/"} +{"d:Title": "David Dorfman Dance", "d:Description": "Official website for David Dorfman Dance and Art Sweats, Inc. (NYC, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.daviddorfmandance.org/"} +{"d:Title": "Du\u0161an T\u00fdnek Dance Theatre", "d:Description": "Contemporary dance company based in Brooklyn, NY. Events, press releases and video.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.dusantynek.org/"} +{"d:Title": "Eiko&Koma", "d:Description": "Eiko and Koma create a theater of movement out of stillness, shape, light and sound. Much honored on five continents for their exquisitely wrought productions, they continue to explore new contexts and challenges.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.eikoandkoma.org/"} +{"d:Title": "FORWARD Motion Theater", "d:Description": "A non-profit organization to create and promote choreo-works combining movement and technology. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.forwardmotiontheater.org/"} +{"d:Title": "Gabrielle Lansner&Company", "d:Description": "A NYC based dance/theater company. Repertoire, upcoming events, workshops and press.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.gabriellelansner.com/"} +{"d:Title": "Infinity Dance Theater", "d:Description": "A non-traditional dance company. Includes programs and media center. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.infinitydance.com/"} +{"d:Title": "jill sigman/thinkdance main page", "d:Description": "Founded in 1998, jill sigman/thinkdance uses body as a medium to make people think, question, and interpret. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.thinkdance.org/"} +{"d:Title": "Jody Oberfelder Dance Projects", "d:Description": "Performs athletic/ expressive choreography to an international audience. Schedule, news and projects.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.jodyoberfelder.com/"} +{"d:Title": "KDNY Dance Company", "d:Description": "Based in New York City. K D N Y showcases the athletic and buoyant choreography of Kathleen Dyer, which ranges from the comic to the mysterious.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.kdnydance.com/"} +{"d:Title": "Lacy James/Mereminne Dancers", "d:Description": "Reviews, photos, video, bio/history, performance calendar. Also includes information about the music and other artistic projects of New York City-based Lacy James and Mereminne Productions.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.mereminne.com/"} +{"d:Title": "Mary Seidman and Dancers", "d:Description": "Exists to further the growth of modern dance in society and act as a catalyst for learning, growth, and physical development (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.maryseidmananddancers.org/"} +{"d:Title": "Michael Mao Dance", "d:Description": "Dedicated to performing the works of Michael Mao, an immigrant choreographer who makes dances in the American modern dance tradition with dancers who are either native-born or have resettled in the United States from Africa, Asia, the Americas and Europe. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.michaelmaodance.org/"} +{"d:Title": "Parsons Dance Company", "d:Description": "The Parsons Dance Company is comprised of ten full-time dancers and maintains a repertory of over 50 works. See when the company is coming to a theatre near you. (New York)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.parsonsdance.org/"} +{"d:Title": "Tiffany Mills Company", "d:Description": "Mills' dancers partner in three-dimensional explosions, syncopating space. The Tiffany Mills Company strikes a nerve, triggered by instinct, driven out of raw physicality. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.tiffanymillscompany.org/"} +{"d:Title": "Time Lapse Dance", "d:Description": "Jody Sperling/Time Lapse Dance was formed for the projects of Jody Sperling, a dancer, choreographer, and dance scholar based in New York City. Her choreographic style combines a fluid, sensual physicality with an acute sense of humor. With transformation as its goal, the work draws on eclectic imagery, often from vintage sources.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.timelapsedance.com/"} +{"d:Title": "Treehouse Shakers", "d:Description": "A dance-theater company committed to creating work that encourages people to experience their feelings and their connection to the greater community. Treehouse Shakers achieves this by creating humanistic stories, experimenting with narrative styles and exploring the story's elements through the abstractions of modern dance. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.treehouseshakers.com/"} +{"d:Title": "Trisha Brown Dance Company", "d:Description": "Dedicated to the creation and presentation of work by renowned artistic director, Trisha Brown. Offers classes in New York City and produces work ranging from solos to large-scale choreography, and chamber and grand operas.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.trishabrowncompany.org/"} +{"d:Title": "Troika Ranch Dance Theater", "d:Description": "New York City based company combines dance, theater, and interactive digital media in their eclectic live performances.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.troikaranch.org/"} +{"d:Title": "Vangeline Theater", "d:Description": "Our work fosters a general appreciation of French, Japanese and European Culture through performances and workshops. We want to bring surreal and beautiful dream-like imagery to the public through our dance performances in order to awaken that which is still dormant within. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.vangeline.com/"} +{"d:Title": "Zendora Dance Company, New York City", "d:Description": "Presents works that are a unique synthesis of Eastern and Western aesthetics, delicate rituals which recall an atmosphere of ancient places.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.zendoradancecompany.com/"} +{"d:Title": "Notes in Motion", "d:Description": "A dance theatre company made up of choreographers and artists invested in collaboration and the energy and challenge which such community fosters. Three major elements constitute Notes in Motion programming: workshop, production, and education/outreach.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.notesinmotion.org/"} +{"d:Title": "Dance By Neil Greenberg", "d:Description": "A contemporary modern dance company founded by choreographer Neil Greenberg.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.neilgreenberg.org/"} +{"d:Title": "Alpha Omega Dance", "d:Description": "The company's goal has always been to work with as many art forms and new and upcoming choreographers. (NYC)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.alphaomegadance.org/"} +{"d:Title": "Suarez Dance Theater", "d:Description": "Information about performances, touring, training and company membership in this NYC-based cross-over company presenting highly theatrical dance.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.suarezdance.org/"} +{"d:Title": "Doug Varone and Dancers", "d:Description": "Performs a body of work heralded by critics as \"among the most compelling in the contemporary repertory.\" Honored with seven New York Dance and Performance Awards (Bessies)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.dougvaroneanddancers.org/"} +{"d:Title": "Adele Myers and Dancers", "d:Description": "A contemporary dance company of five women based in New York City. Combining robust athleticism with dramatic intent, the choreography portrays a spectrum of power dynamics among women.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.adelemyersanddancers.com/"} +{"d:Title": "Kun Yang Lin", "d:Description": "Dance company whose choreography is a fusion of modern American and Asian traditions (Tai chi, Martial art, Chinese dance, theater, music and painting) mixed with popular culture and postmodern dance.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.kunyanglin.org/"} +{"d:Title": "Galumpha", "d:Description": "Combining stunning acrobatics, striking visual effects, physical comedy and inventive choreography, Galumpha brings to life a world of imagination, beauty, muscle and merriment. (Binghamton, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.galumpha.com/index.php"} +{"d:Title": "Maude Baum&Company", "d:Description": "With a mission to bring the best of American Modern Dance Theartre Choreography and Performance to out audiences and to inspire creativity, Maude Baum and Company creates, performs and tours acclaimed American Modern Dance works and offers an acclaimed repertoire of Dance Theatre works (Albany, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.eventurenow.com/eventureSites/136/optional_section2/index.cfm"} +{"d:Title": "Jubilate Dance Studio", "d:Description": "Details upcoming performances of the Jubilate Dance Company, as well as a listing of classes offered by the Jubilate Dance Studio. (Spencerport, New York, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.jubilatedance.com/"} +{"d:Title": "Christopher Caines Dance Company", "d:Description": "New York based contemporary dance company performing the work of choreographer Christopher Caines, featuring live music, singers, instrumentalists and choirs.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.christophercainesdance.org/"} +{"d:Title": "Dance Repertory (dRep)", "d:Description": "Professional dance company founded by Donnette Heath in 1997 to educate youth and increase awareness and appreciation of modern dance. Located in New York, New York.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.dancerepertory.org/"} +{"d:Title": "Decadancetheatre", "d:Description": "Made up of female dancers from the US, Germany, Denmark and Japan. (Brooklyn, NY)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://decadancetheatre.wordpress.com/"} +{"d:Title": "Mark Morris Dance Group", "d:Description": "Presents an average of 90 shows each year in 35 cities worldwide and has the distinction of being the only modern dance company in the country to feature live music at every performance.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://markmorrisdancegroup.org/"} +{"d:Title": "Palissimo", "d:Description": "Dance theatre company under artistic leadership of Pavel (Palo) Zustiak, based in New York City", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://www.palissimo.org/"} +{"d:Title": "Paul Taylor Dance Company", "d:Description": "Paul Taylor, his work, and his company.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/New_York", "url": "http://ptamd.org/"} +{"d:Title": "Dayton Contemporary Dance Company", "d:Description": "A modern dance company rooted in the African American experience (Dayton, OH, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Ohio", "url": "http://www.dcdc.org/"} +{"d:Title": "GroundWorks Dancetheater", "d:Description": "A professional organization, founded in 1998, dedicated to the development and presentation of new choreography that encourages collaboration with other art disciplines. The work embraces economy and flexibility in all areas as catalysts for stretching the parameters of how and where dance is shown. GroundWorks develops programs that encourage understanding of dance and how it is created. (Cleveland Heights, OH}", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Ohio", "url": "http://www.groundworksdance.org/"} +{"d:Title": "Verb Ballets", "d:Description": "A nonprofit organization dedicated to performing high quality, thought provoking works by contemporary choreographers from across the nation. (Cleveland, OH)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Ohio", "url": "http://verbballets.org/"} +{"d:Title": "MorrisonDance", "d:Description": "MorrisonDance is a pick-up dance company based in Cleveland, Ohio, directed by choreographer, Sarah Morrison; this page features photographs, video, artist biographies, and links to other dance projects.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Ohio", "url": "http://www.morrisondance.com/"} +{"d:Title": "Bodyvox", "d:Description": "Bodyvox's works are characterized by athleticism and theatricality, with movements indicative of significant cross-training. (Portland, Oregon)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.bodyvox.com/"} +{"d:Title": "Dance Gatherer", "d:Description": "A dance company based in Portland, Oregon that blends European ballet and American modern dance techniques with Afro-Caribbean and Asian movement.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.dancegatherer.org/"} +{"d:Title": "Tere Mathern Dance", "d:Description": "A Portland, Oregon contemporary modern dance company, directed by Teresa Mathern, emphasizing works founded in Alexander Technique and Laban/Bartenieff Movement Theory.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.conduit-pdx.org/mathern/"} +{"d:Title": "Do Jump Dance Company", "d:Description": "Portland-based movement artists performing works that combine humor, music, dance, theater, and acrobatics. Information about classes, camps, performances, and performing tours.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://dojump.org/"} +{"d:Title": "Ten Tiny Dances", "d:Description": "A bi-annual performance series in which dancers perform on a 4-by-4 foot stage. Located in Portland, Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.tentinydances.org/"} +{"d:Title": "Polaris Dance Theatre", "d:Description": "Based in Portland, Oregon, a contemporary modern dance company under the artistic direction of Robert Guitron\u00e2s.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.polarisdance.org/"} +{"d:Title": "NW Fusion Dance Company", "d:Description": "A pre-professional dance company based in Tigard, Oregon, with a broad repertoire that includes ballet, contemporary, jazz, tap, musical theater and hip hop.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.nwfusion.org/"} +{"d:Title": "Kinetic Images Dance Company", "d:Description": "A Portland, Oregon, classical modern dance company whose repertoire includes pieces that superimpose live dancers over video projections of performances recorded at scenic outdoor locations.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.kineticimages.org/home.html"} +{"d:Title": "Rainbow Dance Theatre", "d:Description": "Based in Monmouth, Oregon, this professional dance company fuses West African dance, Haitian dance, hip hop, martial arts and American modern dance with aerial choreography, computer animation, 3-D backdrops and interactive full-stage sets.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.rainbowdancetheatre.com/"} +{"d:Title": "Minh Tran and Company", "d:Description": "Founded in 1997, Minh Tran and Company performs works that are fusions of traditional Asian and contemporary western techniques. Based in Portland, Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.mtdance.org/"} +{"d:Title": "Dancing People Company", "d:Description": "Through its post-modern, yet lyrical dance style, this company features dances that portray the human need to communicate and connect. Based in Ashland, Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.dancingpeople.com/"} +{"d:Title": "Oslund + Company", "d:Description": "Under the artistic direction of Mary Oslund, this Portland-based contemporary dance company features intricate group work and unusual partnering.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.oslundandco.org/"} +{"d:Title": "Jefferson Dancers", "d:Description": "A pre-professional dance company associated with the magnet arts dance program at Jefferson High School in Portland, Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://www.pps.k12.or.us/schools/jefferson-dancers/"} +{"d:Title": "tEEth", "d:Description": "Formed in 2006, tEEth is a Portland based dance/performance art company that integrates contemporary dance, theatre, new media, original sound, costumes and sculptural design elements.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Oregon", "url": "http://teethperformance.com/"} +{"d:Title": "Headlong Dance Theater", "d:Description": "When Headlong makes dances, they create new movement vocabularies for each piece. Rather than ascribing to a certain dance technique, their style is eclectic and varied. (Philadelphia, PA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Pennsylvania", "url": "http://www.headlong.org/"} +{"d:Title": "Mary Miller Dance Company", "d:Description": "Home site of this nationally acclaimed single-artist-vision moderndance company, in Pittsburgh, PA, USA, since 1985.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Pennsylvania", "url": "http://marymillerdancecoorg.fatcow.com/"} +{"d:Title": "Fusionworks", "d:Description": "Non-profit dance company based in Lincoln, Rhode Island. Performs modern and contemporary dance; offers classes in ballet, modern dance, jazz, and hiphop; and offers outreach programs.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Rhode_Island", "url": "http://www.fusionworksdance.org/"} +{"d:Title": "Contemporary Dance Fort Worth", "d:Description": "A founding member of the Barefoot Brigade coalition for modern dance and performance art. Upcoming events, ticket information, dance company history, and educational programs. (Texas)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Texas", "url": "http://www.cdfw.org/"} +{"d:Title": "Deborah Hay Dance Company", "d:Description": "Performance schedules, tour dates, class information, historical background and news about America's foremost organization for classic modern dance (Austin, TX, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Texas", "url": "http://www.deborahhay.com/"} +{"d:Title": "Michelle Brangwen Dance Ensemble", "d:Description": "Houston company presenting year-round performances of original choreography to new live music. Sharing the stage dangerously, the dancers and musicians set a challenging precedent for ensemble interaction.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Texas", "url": "http://www.brangwendance.org/"} +{"d:Title": "Dallas Black Dance Theatre", "d:Description": "The oldest, continuously operating professional dance company in Dallas.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Texas", "url": "http://www.dbdt.com/"} +{"d:Title": "Beckles Dancing Company", "d:Description": "Committed to bringing dance to the broadest spectrum of audiences. Contact information on web site. Located in the Dallas, Texas area.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Texas", "url": "http://www.becklesdancingcompany.org/"} +{"d:Title": "Core", "d:Description": "Group that offers classes and workshops in Houston and Atlanta and gives performing tours as the Core Performance Company. Includes tour schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Texas", "url": "http://www.coredance.org/"} +{"d:Title": "Another Language Performing Arts Co.", "d:Description": "An interdisciplinary dance company whose mission is to combine different art forms in innovative ways, and to broaden access to community arts education with the aid of today's communications technology. (Salt Lake City, Utah, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Utah", "url": "http://www.anotherlanguage.org/"} +{"d:Title": "Ririe Woodbury Dance Company", "d:Description": "Dedicated to furthering contemporary dance by creating and performing original and innovative works of the highest quality modern dance, and promoting the understanding of and appreciation for the art form of dance, in the belief that Dance is for Everybody (Salt Lake City, Utah)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Utah", "url": "http://www.ririewoodbury.com/"} +{"d:Title": "Jane Franklin Dance", "d:Description": "Jane Franklin Dance, a modern dance company based in Arlington, Virginia (just outside Washington, DC), is a delicious blend of dance, theater and humor.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Virginia", "url": "http://janefranklin.com/"} +{"d:Title": "Starr Foster/Dance Project", "d:Description": "Starr Foster/Dance Project is a gifted and powerful group of performers, as well as teachers and choreographers. (Richmond, VA, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Virginia", "url": "http://www.sfdproject.org/"} +{"d:Title": "Second Wind Dance Company", "d:Description": "Creates performances with timely thematic materials relating to social and world issues such as domestic violence, world hunger, and child abuse, in an artistic and culturally enriching manner.(Chesapeake, Virginia)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Virginia", "url": "http://www.fourfriends.com/secondwind/"} +{"d:Title": "ARC Dance Productions", "d:Description": "Bringing dancers, choreographers, designers, musicians, and audiences together to support artistic expression through professional-quality dance performances. (Seattle, WA, USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Washington", "url": "http://www.arcdance.org/"} +{"d:Title": "Jerboa Dance", "d:Description": "Seattle based dance company performing an eclectic mix of dance styles.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Washington", "url": "http://www.jerboadance.com/"} +{"d:Title": "Spectrum Dance Theater", "d:Description": "Presents works of contemporary choreography, and also has a dance education program. (Seattle)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Washington", "url": "http://www.spectrumdance.org/"} +{"d:Title": "Edgeworks Dance Theater", "d:Description": "Edgeworks Dance Theater aims to break down commonly known stereotypes about men who dance and how men exist in dance. The company's work covers a wide spectrum of dance styles, and challenges society's perceptions, ideas, conditions, and stereotypes about the male identity in America. (Washington, DC USA)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Washington,_DC", "url": "http://www.hjwedgeworks.org/"} +{"d:Title": "Kanopy Dance Company", "d:Description": "A Madison-based professional company for nearly 30 years and resident modern dance company of The Overture Center for the Arts, Kanopy produces an annual performance series and offers a spectrum of modern and ballet technique classes.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Wisconsin", "url": "http://www.kanopydance.org/"} +{"d:Title": "Li Chiao-Ping Dance", "d:Description": "Artistic director and University of Wisconsin Dance Program professor Li Chiao-Ping creates powerful, athletic multimedia work for her Oregon, Wis.-based company.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Wisconsin", "url": "http://www.lichiaopingdance.org/"} +{"d:Title": "Carter Productions", "d:Description": "Milwaukee-based Carter Productions is the brainchild of UW-Milwaukee alumna Susanne Carter, who creates one-woman shows blending modern dance with gesture, text, and props.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Wisconsin", "url": "http://www.carterproductions.com/"} +{"d:Title": "Cycropia Aerial Dance Company", "d:Description": "An aerial dance troupe based in Madison, Wis., Cycropia uses low-flying trapezes and other suspension apparati to \"combine modern dance, athleticism, and the magic of defying gravity.\"", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Wisconsin", "url": "http://www.cycropia.org/"} +{"d:Title": "Danceworks, Inc.", "d:Description": "This Milwaukee-based company established in 1997 creates original, collaborative contemporary dance works designed to appeal to diverse audiences, in addition to providing education and outreach.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/North_America/United_States/Wisconsin", "url": "http://www.danceworksmke.org/"} +{"d:Title": "Leigh Warren and Dancers", "d:Description": "Contemporary dance company founded by choreographer Leigh Warren. (Adelaide)", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Oceania", "url": "http://www.lwd.com.au/"} +{"d:Title": "Stretch MK1 - Contemporary Australian Theatre", "d:Description": "This site is designed to enable you to access Publicity Materials, ArtWork and Technical Information.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Oceania", "url": "http://www.smk1.com/"} +{"d:Title": "Chunky Move Dance Company", "d:Description": "Based in Melbourne and showcases a particular style and energy in contemporary dance and has already toured extensively throughout Australia as part of the Made to Move contemporary dance touring program.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Companies/Oceania", "url": "http://www.chunkymove.com/"} +{"d:Title": "Youth Ballet Companany of Saskatchewan", "d:Description": "Classes in modern dance, classical ballet, rhythm and movement and jazz for all ages. Located in Saskatchewan, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.youthballet.com/"} +{"d:Title": "MorrisonDance", "d:Description": "Classes offered and productions broadcast online while being performed live. School in Cleveland, Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.morrisondance.com/"} +{"d:Title": "Lisa Sandlos Dance", "d:Description": "Offering modern movement dance classes for adults in downtown Toronto, Canada. Beginners welcome.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.xoe.com/LisaSandlos/"} +{"d:Title": "The Dance Council", "d:Description": "Offers scholarships, classes for all ages in modern, West African dance, and Irish dance. Located in Dallas, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.thedancecouncil.org/"} +{"d:Title": "Calisthenics Victoris Inc", "d:Description": "An Australian artistic sport, combining gymnastics, marching, singing, simplified ballet, folk and modern dance. It combines the best aspects of sport and art.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.calisthenics.asn.au/"} +{"d:Title": "Velocity Dance Center", "d:Description": "Instruction in modern dance, contemporary, contact improvisation, ballet and related movement disciplines in Seattle, Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.velocitydancecenter.org/"} +{"d:Title": "The Cholmondeleys and The Featherstonehaughs", "d:Description": "Company that performs and conducts educational workshops. Contact information and summary of work on site.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.thecholmondeleys.org/"} +{"d:Title": "Math while Moving Series", "d:Description": "Teaching basic math skills kinesthetically using large motor movements with rhythms, music and song.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.bellaonline.com/articles/art17606.asp"} +{"d:Title": "Melbourne Dance Theatre \"Full Time Course\"", "d:Description": "Combines dance training with stage experience, rehearsing and performing with the Melbourne Dance Theatre company.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.melbournedancetheatre.com.au/MDTWebSiteReviewed/home.htm"} +{"d:Title": "Cafe Dance", "d:Description": "Classroom, rehearsal and performance space offering classes in modern dance, yoga, NIA, and children's dance. Located in Northwest Austin, TX.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.cafedance.com/"} +{"d:Title": "Sean Cheesman Dance Camp", "d:Description": "A week of learning the latest and newest dance styles of tomorrow, today in Summer 2005.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://members.shaw.ca/seanmcheesman/"} +{"d:Title": "Bearnstow Summer Arts Camp", "d:Description": "Dedicated to the creative arts of theatre, dance, poetry, music and graphic arts, plus healthy physical activities. Week-long workshops for professional and pre-professional dancers. Located in Mount Vernon, Maine.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://bearnstow.org/"} +{"d:Title": "Dance Studio Toulouse", "d:Description": "Founded by Nick Blumenfeld in 1992. Classes, workshops, summer training program. English and French language web site. Located in Toulouse, France.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://dance.studio.free.fr/"} +{"d:Title": "Noyes School of Rhythm", "d:Description": "Noyes Rhythm is a system of movement that helps women to increase or regain their natural sense of rhythm. Located in Portland, CT.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.noyesrhythm.org/"} +{"d:Title": "Hip Hop Kidz", "d:Description": "Dance classes in this registered technique and a performance troupe. Contact information and video clips online. Located in Florida and Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.h2kz.com/"} +{"d:Title": "Broadway Dance Center", "d:Description": "A studio in New York, NY, offering classes in modern, ballet, jazz, tap, and hip-hop for youth and adults. Visas available for international students.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.broadwaydancecenter.com/"} +{"d:Title": "Modern Dance Beginnings", "d:Description": "Traces early dancers in the United States, German contributions, 2nd generation in America, later dancers and combining of forms.", "topic": "Top/Arts/Performing_Arts/Dance/Modern/Education", "url": "http://www.infoplease.com/encyclopedia/entertainment/modern-dance-the-beginnings-modern-dance.html"} +{"d:Title": "San Francisco Bay Area Morris teams", "d:Description": "One of the first local directories of Morris Dance teams and their specialities.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.ucolick.org/~sla/morris/ba.html"} +{"d:Title": "Morris Dancing&May-day Games", "d:Description": "Scanned pages from Walford's Antiquarian, 1886.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://chrisbrady.itgo.com/morris/morris.htm"} +{"d:Title": "The Flag&Bone Gang", "d:Description": "Description of this very modern side who have created a new style based on the so-called 'Forgotten Morris' From Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://website.lineone.net/~teddodsworth/fandb/flagbone.htm"} +{"d:Title": "New England Folk Festival Association", "d:Description": "List of sides in New England, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.neffa.org/Top/Folk_Dancing/Morris/Teams/index.shtml"} +{"d:Title": "The Morris Ring", "d:Description": "The national association of men's Morris and Sword Dance clubs. Details of membership, events and links to member sides.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.themorrisring.org/"} +{"d:Title": "The Britannia Coco-nut Dancers", "d:Description": "Contact details, history and photographs of this unique traditional men's side from Bacup, Lancashire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.coconutters.co.uk/"} +{"d:Title": "Origin of the Morris", "d:Description": "Spoof morris origin theories. Each time you go to this page you get a different explanation/theory as to the origins of morris dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.mit.edu/~jcb/Red_Herring/origins/"} +{"d:Title": "Recently Traditional Fictional Morris", "d:Description": "Contact details, photographs and event list of this side from Boston, Massachusetts. Description of the 'Anti-Morris' based upon writings of Terry Pratchett.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.mit.edu/~jcb/AntiMorris/"} +{"d:Title": "Rattlejag Morris", "d:Description": "History, contact details, photographs and event list of this mixed side from Retford, Nottinghamshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.rattlejagmorris.org.uk/"} +{"d:Title": "Roy Dommett's morris notes", "d:Description": "Notes on the numerous boolets, lectures and articles produced by Roy over the years on cotswold and border morris dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.opread.force9.co.uk/RoyDommet/"} +{"d:Title": "Old Mettle", "d:Description": "Entertaining and informative article on this nineteenth century morris dancer and fool.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.mustrad.org.uk/articles/mettle.htm"} +{"d:Title": "The Fabulous Fezheads", "d:Description": "Contact details, photographs and amusing member biographies of this groups of 'Sand-Dancers from tropical Kent'. Site also contains details of their annual Fig and Date Fayre.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.fezheads.net/"} +{"d:Title": "Open Morris", "d:Description": "One of the three umbrella organisations for morris sides.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.open-morris.org/"} +{"d:Title": "Abram Morris Dancers", "d:Description": "History of the Abram Morris Dance, and the modern revival side who perform the dance annually.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.abram-morris-dancers.org.uk/contents.htm"} +{"d:Title": "Hastings Jack in the Green Festival", "d:Description": "Occurs every May over the Early May Bank Holiday weekend. Features Folk Music, Ceilidhs, Morris Dancers, Giants, and the Jack in the Green.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.hastingsjack.co.uk/"} +{"d:Title": "Leicestershire Morris Dancers", "d:Description": "A listing of all sides in Leicestershire and Rutland including contact details and a photo of each team.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.thehat.free-online.co.uk/folk/morris/leicsmorris.htm"} +{"d:Title": "The Morris Dancer's WebRing", "d:Description": "Navigate around a ring of member sites all on the subject of morris dancing. From here webmasters can also submit their sites for membership.", "topic": "Top/Arts/Performing_Arts/Dance/Morris", "url": "http://www.webring.org/webring?ring=morris"} +{"d:Title": "Elephant Up A Pole", "d:Description": "Single page site with contact details and brief description of this mixed side from Coventry in the West Midlands.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.angelfire.com/co/bordermorriseuap/index.html"} +{"d:Title": "Mike Miller's Border Morris Notes", "d:Description": "Dance notes from Mike Miller of The Basset Street Hounds.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://web.lemoyne.edu/~millermj/border/"} +{"d:Title": "Beltane Border", "d:Description": "Contact details, events programme, photo galleries and a bio of all the members of this mixed side from Torbay in Devon", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.beltaneborder.co.uk/"} +{"d:Title": "The Witchmen", "d:Description": "Contact details, events programme and photographs of this pagan side from Northampton.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.witchmen.com/"} +{"d:Title": "Hook Eagle Morris", "d:Description": "Men-only Border side from Hook in Hampshire. Site contains notation for some of their dances.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.hookeagle.org.uk/"} +{"d:Title": "OBJ Border Morris", "d:Description": "Contact details, events programme and photographs of this mixed side from Bracknell in Berkshire. Site also lists contact details for a number of other local sides.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.obj.org.uk/"} +{"d:Title": "The Wild Hunt Bedlam Morris", "d:Description": "Contact details, events diary and photo gallery from this mixed side from Merstham, Surrey.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.wildhunt.org.uk/"} +{"d:Title": "Wharfedale Wayzgoose", "d:Description": "Photographs, contact details and events programme for this mixed Side from Otley in West Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.wharfedale-wayzgoose.co.uk/"} +{"d:Title": "FFL Morris", "d:Description": "Brief details and an email contact for this mixed side from California.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.rgoldman.org/morris/ffl/"} +{"d:Title": "Bassett Street Hounds", "d:Description": "A border morris team based in Syracuse, NY. Includes tunes, notes on the dances, and an excerpt from Andy Anderson's booklet about Border Morris dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://bassett-street-hounds.org/"} +{"d:Title": "The Widders", "d:Description": "contact details, photos and other information about this new mixed side from Chepstow.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.thewidders.co.uk/"} +{"d:Title": "Hunters Moon Morris", "d:Description": "Contact details, photos and events list for this side from Eastbourne in Sussex.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.huntersmoonmorris.co.uk/"} +{"d:Title": "Flag Crackers of Craven", "d:Description": "Contact details and photos of this mxed side from Skipton in North Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.mid-summer-night.co.uk/flagcrak/"} +{"d:Title": "Silhill Morris", "d:Description": "Contact details and photographs of this mixed side from Solihull in The West Midlands.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.silhill.f9.co.uk/"} +{"d:Title": "Black Pig Border Morris", "d:Description": "History, events list, photos, contact details for this mixed side from Nottingham.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.blackpigborder.co.uk/home/home.htm"} +{"d:Title": "The Maenads", "d:Description": "Contact details, events list and some photos of this women's side from Kent.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.atmg94.dsl.pipex.com/"} +{"d:Title": "Babylon", "d:Description": "Events list, contact details and a brief description of this mixed side from Corscombe in Dorset.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://www.babylon.org.uk/"} +{"d:Title": "Helsinki Morrisers", "d:Description": "Brif history and some photographs of this mixed side from Finland.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Border", "url": "http://helsinki-morrisers.tripod.com/"} +{"d:Title": "Morris to Carnival - Thelwall Morris Men", "d:Description": "A site written by Geoff Bibby which explains the transition of Morris Dancing from the traditional dance to the modern carnival equivalent that is seen around the north-west today.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Carnival", "url": "http://www.thelwallmorris.org.uk/lymm_book/misc/morris_to_carnival.htm"} +{"d:Title": "Leigh West Park Morris Dancing Troupe", "d:Description": "Member of the North of England Morris Dancing and Carnival Organisation; includes photos, practice schedule, and events calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Carnival", "url": "http://www.freewebs.com/leighwestpark/"} +{"d:Title": "Dorchester Morris Dancers", "d:Description": "Tribute site to the now-disbanded troupe; includes photos, history, and message board.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Carnival", "url": "http://www.ctaweb.co.uk/dorchester"} +{"d:Title": "Krystalaires", "d:Description": "Troupe from Widnes. Includes background information, pictures, championship results, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Carnival", "url": "http://www.freewebs.com/krystalaires/"} +{"d:Title": "NEMDCO", "d:Description": "The homepage of the North of England Morris Dancing Carnival Organisation. Contains details of member troupes, rules and competition dates.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Carnival", "url": "http://www.freewebs.com/nemdco/"} +{"d:Title": "St. Anns Morris Troupe", "d:Description": "Contact details, photos, competition results and information about this troupe from Rochdale.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Carnival", "url": "http://www.freewebs.com/stannsmorristroupe/"} +{"d:Title": "Yorkshire Coast Morris", "d:Description": "A mixed side from Scarborough. Site has a photos section but no events.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.yorkshirecoastmorris.org.uk/"} +{"d:Title": "Thames Valley Morris International (TVI)", "d:Description": "Information and photographs of this men's side from Ontario in Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://publish.uwo.ca/~handford/tvi.html"} +{"d:Title": "The Outside Capering Crew", "d:Description": "A listing of events they've attended, descriptions of their dances, and a review.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.capers.co.uk/crew/"} +{"d:Title": "Red Herring Morris", "d:Description": "Mixed Cotswold Side from Belmont, Massachusetts (USA) Formed from a merger of two sides: Middlesex Morris and Lemon and Capers Morris.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.mit.edu/~jcb/Red_Herring/"} +{"d:Title": "Marlboro Morris Men", "d:Description": "Events programme of this men's side from Massachusetts, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.amherst.edu/~aahayden/mmmma1.html"} +{"d:Title": "Greenwich Morris Men", "d:Description": "Events programme and photo gallery from this men's side based in South East London.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.greenwichmorrismen.com/"} +{"d:Title": "Berkshire Bedlam Morris", "d:Description": "Photo gallery, events programme and history of this side from Wokingham in Berkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.berkshirebedlam.org/"} +{"d:Title": "Green Mountain Morris", "d:Description": "A young men's team from Norwich Vermont. Schedules, choreography and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://engineering.dartmouth.edu/~cgl/youthmorris/"} +{"d:Title": "Aldbury Morris Men", "d:Description": "From Tring, Herts. Programme, history, contacts and details of a CD available from the side", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.aldburymorris.co.uk/"} +{"d:Title": "Green Ginger Morris", "d:Description": "Site contains: contacts, style, costume, danceout programme and reports from previous events with photos.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.green-ginger-morris.co.uk/"} +{"d:Title": "Dolphin Morris", "d:Description": "Contact details and events programme for this ring side from Nottingham.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.dolphin-morris.co.uk/"} +{"d:Title": "Fenstanton Morris", "d:Description": "Contact details of this mixed Side from Fenstanton in Huntingdonshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.users.zetnet.co.uk/rjames/morris.htm"} +{"d:Title": "Full Moon Morris", "d:Description": "Events diary, photographs and contact details for this mixed side from South Wales.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.fullmoonmorris.co.uk/"} +{"d:Title": "Berkeley Morris", "d:Description": "Contact details, programme and photos of this mixed side from California.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.berkeley-morris.org/"} +{"d:Title": "Stroud Morris Dancers", "d:Description": "Events programme and contact details for this mixed side from Gloucestershire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.stroudmorris.org.uk/"} +{"d:Title": "Great Western Morris", "d:Description": "Photos and programme for this men's side from Exeter in Devon.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.great-western.org.uk/"} +{"d:Title": "Greensleeves Morris Men", "d:Description": "Events programme for this men's side from Wimbledon in London.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.greensleevesmorris.org.uk/"} +{"d:Title": "Granta Morris", "d:Description": "Contact details of this mixed side from Cambridge.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.doves.demon.co.uk/granta"} +{"d:Title": "Long Man Morrris", "d:Description": "Contact details and events calendar for this Ring side from Eastbourne in East Sussex.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.longman.org.uk/"} +{"d:Title": "Oxford City Morris Men", "d:Description": "A programme and a list of contacts amongst the other morris information on this site.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.mark.fry.freeuk.com/OCMMWeb.htm"} +{"d:Title": "MossyBack Morris Men", "d:Description": "From Seattle, WA., dancing in the styles of Bledington and Ducklington. Photos and stories", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.mossyback.com/"} +{"d:Title": "Northampton Morris Men", "d:Description": "Contact details and (out-of-date) events programme for this men's side from Northamptonshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.users.globalnet.co.uk/~nyoung/nyoung.htm"} +{"d:Title": "Winchester Morris Men", "d:Description": "Contact details, events programme and photo album of this men's side Based in Winchester. The site also includes a brief biography of Lionel Bacon.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.winchester-morris-men.org.uk/"} +{"d:Title": "Uptown-on-Calhoun", "d:Description": "List of performances and contact details of this mixed side from Minnesota, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.uptownoncalhoun.org/"} +{"d:Title": "Bedfordshire Lace Ladies'' Morris", "d:Description": "Performers of some of England's traditional dances in and around Bedfordshire, UK. Contains a programme and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.bedfordshirelace.org.uk/"} +{"d:Title": "Royal Oak Morris", "d:Description": "Photographs, history, profile, and events diary for this mixed side from the village of Eydon in Northamptonshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.eydon.org.uk/morris/index.html"} +{"d:Title": "East Suffolk Morris Men", "d:Description": "Includes pictures, calendar, pictures and sounds.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.eastsuffolkmorris.org.uk/"} +{"d:Title": "Royal Marine Morris", "d:Description": "Contact details for this men's side from Walton-on-the-Naze in Essex.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.waltonnaze.demon.co.uk/morris/morris.html"} +{"d:Title": "Weald of Kent Morris", "d:Description": "Contact details and events programme of this men's side from Goudhurst.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.wealdofkentmorris.org.uk/"} +{"d:Title": "Icknield Way Morris Men", "d:Description": "Events diary and contact details of this men's side from Wantage in Oxfordshire. Notations for the Stanton Harcourt dances, currently under the care of the side.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.icknieldwaymorrismen.org.uk/"} +{"d:Title": "Taunton Deane Morris Men", "d:Description": "Events list, photographs and contact information for this men's side from Somerset.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.tauntondeanemorris.co.uk/"} +{"d:Title": "Detailed Dance Notes", "d:Description": "Full description of numerous dances by Andrew from Lagabag Morris. Also cheat sheet for 66 dances.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://duramecho.com/Dance/CotswoldNotes/index.html"} +{"d:Title": "Cotswold Morris Dancing Cheat Sheet", "d:Description": "Downloadable dance instructions for your Personal Digital Assistant (PDA)", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://duramecho.com/Dance/CotswoldCheatSheet/index.html"} +{"d:Title": "Wessex Morris Men", "d:Description": "Events programmes, contact details and pictures of this men's side from Cerne Abbas in Dorset.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.wessexmorrismen.co.uk/"} +{"d:Title": "Tarka Morris Men", "d:Description": "Events programme for this men's side from Bideford in North Devon.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.tarkamorrismen.org.uk/"} +{"d:Title": "English Miscellany", "d:Description": "Commercial Folk Dance group from St Albans.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.englishmiscellany.com/"} +{"d:Title": "Mad Jack's Morris", "d:Description": "From Hastings, England. Programme, profile, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.madjacksmorris.co.uk/"} +{"d:Title": "Ravensbourne Morris Men", "d:Description": "Events programme, photographs and contact details for this men's side from Bromley in Kent.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.ravensbourne.org/"} +{"d:Title": "Kesteven", "d:Description": "Contact details for this men's and women's Team from South Lincolnshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.endon-house.demon.co.uk/kesteven.htm"} +{"d:Title": "Everards Original Anstey Morrismen", "d:Description": "Photos, events programme and contact details for this men's side based in Leicestershire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.ansteymorris.com/"} +{"d:Title": "East Kent Morris Men", "d:Description": "Long established Ring side. List of Morris sides in Kent.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://ekmm.org.uk/"} +{"d:Title": "Ebor Morris", "d:Description": "Events list, photos and contact details for this men's side from York.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.ebormorris.org.uk/"} +{"d:Title": "Stafford Morris Men", "d:Description": "Events programme and contact details from this men's side from Staffordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://edwardsce.netfirms.com/staffordmorris/"} +{"d:Title": "Westminster Morris Men", "d:Description": "Contact details and weblog from this men's side from London.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://westminstermorris.org/"} +{"d:Title": "Towersey Morris Men", "d:Description": "Programme, photos and contact details for this ring side from Thame in Oxfordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.towerseymorrismen.org.uk/"} +{"d:Title": "Letchworth Morris Men", "d:Description": "Events programme and contact details for this men's side from Hertfordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.letchworthmorris.org.uk/"} +{"d:Title": "The Mayflower Morrismen", "d:Description": "Photos and events programme for this men's side from Billericay in Essex.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.mayflowermorris.com/"} +{"d:Title": "Morris Men of Little Egypt", "d:Description": "Photos and a little history of this men's side from Glemsford in Suffolk.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.little-egypt.org.uk/newmor.html"} +{"d:Title": "Rutland Morris Men", "d:Description": "Cotswold side. Includes details of appearances, photo gallery, and booking information.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.morrismen.org.uk/"} +{"d:Title": "Belles and Arrows Morris", "d:Description": "Photos, events programme and contact details for this mixed side from Southsea, Hants.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.belles-and-arrows-morris.co.uk/"} +{"d:Title": "Morrisdansgroep Helmond", "d:Description": "Contact details and photographs of this English morris dancing side from Holland.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.morrisdans.nl/helmond/"} +{"d:Title": "Thaxted Morris Men", "d:Description": "Events programme and contact details for this Ring side from Essex.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.thaxted.co.uk/?Thaxted_Morris_Men"} +{"d:Title": "Kits Coty Morris Dancers official page", "d:Description": "Mixed side from Kent. Includes a programme and a contact point.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.kitscotymorris.dsl.pipex.com/"} +{"d:Title": "Dacre Morris", "d:Description": "Women's side from Lewisham in London. Events list, contact details and weblog.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.freewebs.com/dacre-morris/"} +{"d:Title": "Exeter Morris Men", "d:Description": "Contact details and events list for this men's side from Exeter.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.bonnygreen.co.uk/exetermm.htm"} +{"d:Title": "Hereburgh", "d:Description": "Contact details, photo album and events programme for this mixed side from Leamington Spa in Warwickshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://home.btconnect.com/McDonald/hmindex.htm"} +{"d:Title": "Het Utrechts Morris Team!", "d:Description": "Events list and contact details for this men's side from The Netherlands.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.utrechtmorris.nl/umt/"} +{"d:Title": "The Ancient Men", "d:Description": "Contact information and tours itinerary for this men's side from Oxford University. Also known as The Oxford University Morris Men.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.am39.com/"} +{"d:Title": "Hammersmith Morris Men", "d:Description": "Events calendar and contact details for this men's side from London.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://uk.groups.yahoo.com/group/hammersmithmorris/"} +{"d:Title": "Minnesota Traditional Morris", "d:Description": "Events Calendar and photo gallery from this men's side from Minnesota, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.minnesotatraditionalmorris.org/"} +{"d:Title": "Shakespeare Morris Men", "d:Description": "Events calendar, contact details and photographs of this men's side from Stratford Upon Avon.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.shakespearemorris.org.uk/home.htm"} +{"d:Title": "Black Joak Morris", "d:Description": "Contact details, photo gallery and brief history of this mixed side based in Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://blackjoak.morris.org.au/"} +{"d:Title": "Golden Star", "d:Description": "Events Calendar, contact details and photographs of this mixed side from Norwich in Norfolk.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://goldenstarmorris.org.uk/"} +{"d:Title": "Pigsty Morris", "d:Description": "Events programme and contact details for this mixed side from Bristol.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.pigstymorris.org.uk/"} +{"d:Title": "Green Mountain and Maple Leaf Morris", "d:Description": "Performance schedule and contact details for these two (separate men and women) sides from Norwich in Vermont, USA. The site also contains notations for some of the dances, photographs and some video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://engineering.dartmouth.edu/~Christopher_Levey/youthmorris/"} +{"d:Title": "Woodside Morris Men", "d:Description": "Photos, contact details and events list for this men's side based in Watford.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.woodsidemorrismen.com/"} +{"d:Title": "Black Wren Morris", "d:Description": "Contact details, events schedule for this new mixed side based in the Arizona (USA) desert.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.blackwrenmorris.com/"} +{"d:Title": "Hedgemonkey Morris", "d:Description": "Contact details, photo gallery and events programme of this mixed side from Adelaide, South Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.hedgemonkey.morris.org.au/"} +{"d:Title": "St Albans Morris Men", "d:Description": "Photo album, contact details and events programme for this men's side from St Albans in Hertfordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.stalbansmorrismen.org.uk/"} +{"d:Title": "Leeds Morris Men", "d:Description": "Events programme and contact details for this men's Side from West Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://homepages.which.net/~bob.price/lmm/"} +{"d:Title": "Packington Morris Men", "d:Description": "Events diary, photo archive and contact details of this men's side from Packington in Leicestershire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://packingtonmorris.org.uk/html/about/index.html"} +{"d:Title": "The Ancient Men (Oxford University Morris Men)", "d:Description": "Contact details, tours list, history and photos of this men's side based around Oxford University.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.yetaco.plus.com/am39/"} +{"d:Title": "Whitchurch Morris Men", "d:Description": "Photographs and events programme for this men's side from Aylesbury.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.bikerjack.co.uk/whitchurch/"} +{"d:Title": "Rampant Rooster Morris", "d:Description": "Photographs, events list and contact details for this mixed side, based in Dorking, Surrey", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.rampantroostermorris.co.uk/"} +{"d:Title": "Sompting Village Morris", "d:Description": "Events diary, photo gallery and contact details for this side from West Sussex who dance with separate men's and women's sets.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://s-v-m.moonfruit.com/"} +{"d:Title": "Oyster Morris", "d:Description": "Events programme, photo gallery and contact details for this side from Canterbury in Kent with separate men's and women's sets.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.oystermorris.org.uk/"} +{"d:Title": "Thakeham Morris", "d:Description": "Men's side (formerly Gillian's Morris) from West Sussex. Includes news, history, dance calendar, image gallery, past performances and contact form.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.thakeham-morris.org.uk/"} +{"d:Title": "Men of Wight Morris Men", "d:Description": "Isle of Wight, UK. Includes profile, events programme, dance videos, audio clips, and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.menofwight.org.uk/"} +{"d:Title": "Grand Union Morris", "d:Description": "Men-only side from Denham, Buckinghamshire. Lots of pictures on the photos page. They have MP3s of some of their tunes, and you can buy their CD.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.grandunionmorris.org/"} +{"d:Title": "Royal Manor Morris", "d:Description": "Details and some photos of this now defunct ladies side from Portland in Dorset.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.island-publishing.co.uk/royman.htm"} +{"d:Title": "Kennett Morris", "d:Description": "Events programme and photographs of this men's side From Reading.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.kennetmorrismen.co.uk/"} +{"d:Title": "Thames Valley Morris", "d:Description": "Contact details for this side from South West London.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.tvmm.org.uk/"} +{"d:Title": "The Adderbury Morris Men", "d:Description": "An Oxfordshire side. History, photographs and a library of MP3 files.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.adderburymorris.org.uk/"} +{"d:Title": "Ha'penny Morris", "d:Description": "History of this women's side from Boston, Massachusetts. Site also contains pictures and contact email addresses.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://hapenny.org/"} +{"d:Title": "Eynsham Morris", "d:Description": "Contact details, photographs, events programme and a detailed history of this men's side from Oxfordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.eynshammorris.org.uk/"} +{"d:Title": "Headington Quarry Morris Dancers", "d:Description": "News, photo gallery, details of engagements, and team information for this ring side from Oxford.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://sites.google.com/site/headingtonquarrymorrisdancers/"} +{"d:Title": "Malmesbury Morris", "d:Description": "Mixed side from Wiltshire. Diary, contacts, and photographs.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.malmesburymorris.org.uk/"} +{"d:Title": "Claro Sword and Morris Men", "d:Description": "Photographs, contact details and events programme for this ring side from Harrogate.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.clarosword.org/"} +{"d:Title": "Headcorn Morris", "d:Description": "A mixed side from central Kent. Dance calendar, history, style notes and meeting times.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.headcornmorris.co.uk/"} +{"d:Title": "New St George Morris", "d:Description": "Mixed Cotswold Side from the Belvoir area of Leicestershire. Find side history, events, repertoire, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.newstgeorge.org.uk/"} +{"d:Title": "Solway Morris", "d:Description": "Contact details, events programme and photographs of this mixed side from Cumbria.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.solwaymorris.org.uk/"} +{"d:Title": "City of Auckland Morris Dancers", "d:Description": "Photographs and contact details for this mixed side from New Zealand.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.aucklandmorris.org.nz/"} +{"d:Title": "Adelaide Morris Men", "d:Description": "Contact details, history and photo gallery of this South Australian side.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.adelaidemorrismen.com/"} +{"d:Title": "Foresters Morris Men", "d:Description": "Morris and sword dancing club based in Nottingham. Gives programme, history, photographs of performances and details of practise sessions for new members.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.chezfred.org.uk/for/"} +{"d:Title": "Vancouver Morris Men", "d:Description": "A site for this Canadian side.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://vancouvermm.weebly.com/"} +{"d:Title": "Rag Morris", "d:Description": "A jolly nice page all about some morris dancers in Bristol.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://www.ragmorris.com/"} +{"d:Title": "Renegade Rose Morris", "d:Description": "Events programme and contact details for this mixed side from Portland, Oregon, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Cotswold", "url": "http://renegaderose.org/"} +{"d:Title": "Pig Dyke Molly", "d:Description": "Mixed side from The Fens. Site contains photos, contact details and a brief history of Molly dancing in the area.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.pigdyke.co.uk/"} +{"d:Title": "Vancouver Morris Men", "d:Description": "Details of the Molly dances performed by The Vancouver Morris Men in Canada. Site also contains some photographs.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.vancouvermorrismen.org/molly.html"} +{"d:Title": "Ouse Washes Molly Dancers", "d:Description": "Contact details, events programme, history of the side, photographs and descriptions of various dances from this mixed side from Norfolk in East Anglia.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.ousewashes.com/"} +{"d:Title": "Hinckley Plough Bullockers", "d:Description": "Details of the annual plough tour around the villages of Sharnford, Sapcote and Stoney Stanton in Leicestershire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.martinwildig.com/mwbull.htm"} +{"d:Title": "Molly Dancing FAQ", "d:Description": "Frequently asked questions about Molly Dancing with answers by Gog Magog.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.societies.cam.ac.uk/molly/mollyfaq.htm"} +{"d:Title": "Mollydancing.com", "d:Description": "Describes this traditional East Anglian farmworkers' broom dancing with chronology and history.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.mollydancing.com/"} +{"d:Title": "Old Glory", "d:Description": "Describes this team from East Suffolk and its activities with gallery and tours.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.old-glory.org.uk/"} +{"d:Title": "Hornbeam Molly", "d:Description": "Profile, repertoire, programme and gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.hornbeammolly.org.uk/"} +{"d:Title": "Mad Molly", "d:Description": "Describes this Bay Area team and its activities with upcoming events.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://madmolly.wordpress.com/"} +{"d:Title": "Rhubarb Tarts Molly", "d:Description": "Describes this Wakefield, England team. Profile, calendar, gallery and videos", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.rhubarbtarts.com/"} +{"d:Title": "The Norwich Kitwitches", "d:Description": "Brief description of this revival side from Norwich.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.kitwitches.co.uk/"} +{"d:Title": "Gog Magog Molly", "d:Description": "Photos and events programme for this mixed side from Cambridge. Site also contains description of the dances and associated downloadable music files.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Molly", "url": "http://www.gogmagogmolly.org.uk/"} +{"d:Title": "Argarmeles Clog Team", "d:Description": "Contact details, events programme and photos of this ladies team from Merseyside, Lancashire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.argarmelesclog.org.uk/"} +{"d:Title": "Ripon City Morris Dancers", "d:Description": "Contact details of this side from Ripon in North Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://website.lineone.net/~teddodsworth/rcmd/ripon.htm"} +{"d:Title": "Betty Lupton's Ladle Laikers", "d:Description": "Short history of this ladies side from Harrogate in Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://website.lineone.net/~teddodsworth/blll/betty.htm"} +{"d:Title": "Masons Apron Homepage", "d:Description": "Contact details for this ladies side from West Oxfordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://masonsapron.tripod.com/"} +{"d:Title": "Sergeant Musgraves Dance", "d:Description": "Events programme, contact details and photograph album for this mixed Northwest side from Nottingham.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.sgtmusgraves.force9.co.uk/"} +{"d:Title": "Fiddlesticks - North West Clog Dancers", "d:Description": "Contact details, events list and photographs of this ladies side from Norwich in Norfolk.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.fiddlesticks-clog.org.uk/"} +{"d:Title": "BasingClog Morris", "d:Description": "Mixed side from Basingstoke, Hampshire, provide dance programme, photo gallery, contact numbers and information about joining.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.basingclog.org.uk/"} +{"d:Title": "Earlsdon Morris Men", "d:Description": "Photo gallery and contact details for this men's Side From Coventry in The West Midlands.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.earlsdonmorrismen.org.uk/"} +{"d:Title": "The Wight Bells", "d:Description": "Contact details, event list and photographs of this Ladies side from the Isle of Wight.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.wightbells.com/"} +{"d:Title": "Fylde Coast Cloggers", "d:Description": "Photographs, event list and contact details for this women's side from Lytham in Lancashire. Also a list of dances performed, some with abc files of the music.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.fyldecoastcloggers.co.uk/"} +{"d:Title": "Anstey Royale Chalfont", "d:Description": "Brief history of the side, events list, contact details and photographs of this women's side from Anstey in Leicestershire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.martinwildig.free-online.co.uk/mwchalfont.htm"} +{"d:Title": "Minden Rose", "d:Description": "Events calendar, email contact and brief description of this women's side from Alton in Hampshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.minden-rose.org.uk/"} +{"d:Title": "Mayflower Morris", "d:Description": "Events programme and photographs of this women's side from Ash in Surrey.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.freewebs.com/mayflowermorris/"} +{"d:Title": "Ramrugge Clog Morris", "d:Description": "Contact details, events program and photographs of this mixed side from Hertfordshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.ramrugge.org.uk/"} +{"d:Title": "The Plymouth Maids", "d:Description": "Contact details, events programme and photographs of this ladies side from Devon.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.plymouthmaids.co.uk/"} +{"d:Title": "Tyne Bridge Morris", "d:Description": "Contact details for this women's side from Newcastle upon Tyne.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.tynebridgemorris.org.uk/"} +{"d:Title": "masons apron morris", "d:Description": "Contact details and events programme for this ladies side from Oxfordshire", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.masonsapron.org.uk/"} +{"d:Title": "Rose and Castle Morris", "d:Description": "Photo gallery, history, events programme and contact details for this men's side from Stoke Bruerne in Northamptonshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.rose-castlemorris.org.uk/"} +{"d:Title": "Brandragon", "d:Description": "Weblog with videos of this mixed side from Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://brandragon.morris.org.au/"} +{"d:Title": "The Knots of May", "d:Description": "Women's side from Brighton, East Sussex. Includes, history, event list and photographs.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.knotsofmay.org.uk/"} +{"d:Title": "Sidmouth Steppers", "d:Description": "Profile, programme and photographs this ladies side from Sidmouth, Dorset.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.sidmouthsteppers.com/"} +{"d:Title": "Wakefield Morris Dancers", "d:Description": "Diary, Photo Gallery and contact details for this mixed side from West Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.megbrad.demon.co.uk/shrogys/"} +{"d:Title": "Buttercross Belles", "d:Description": "Events programme, contact details and a brief history of this ladies side from Otley in West Yorkshire. The site also includes details of the individual dances performed by the side.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://buttercrossbelles.com/"} +{"d:Title": "Belfagan Women's Morris", "d:Description": "All about the all female morris side, dancing since 1981 in Cockermouth, Cumbria.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/North_West", "url": "http://www.belfagan.blogspot.co.uk/"} +{"d:Title": "Rapper Online", "d:Description": "The internet guide to English Rapper Sword Dance.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.rapper.org.uk/"} +{"d:Title": "Southport Swords", "d:Description": "From Southport in Lancashire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.southportswords.org.uk/"} +{"d:Title": "Addison Rapper", "d:Description": "Contact details for this men's team from Tyneside.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.addisonrapperandclog.org.uk/"} +{"d:Title": "Highside Longsword", "d:Description": "Photographs and contact details for this team from Kirkby Malzeard, North Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://website.lineone.net/~teddodsworth/hl/highside.htm"} +{"d:Title": "Rapper and Longsword Manufacturer", "d:Description": "Handmade swords for Rapper and Longsword by Frank Lee.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://rapper-swords.co.uk/"} +{"d:Title": "Norton's Guard Longsword", "d:Description": "Emperor Norton's Fire Brigade and Hose Company #2, based in Berkeley, California, performing Longsword from England and Europe.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://groups.yahoo.com/group/nortonsguard/"} +{"d:Title": "Newcastle Kingsmen Sword Dancers", "d:Description": "Information, dance notations, music, history of rapper, photographs and links.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.kingsmen.co.uk/"} +{"d:Title": "The NUT on the Net", "d:Description": "Internet edition of The NUT, the journal of the Northumbrian rapper sword dance", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.the-nut.net/"} +{"d:Title": "Rapscallion Rapper", "d:Description": "Rapper team from Massachusetts", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.amherst.edu/~bdahill/rapscallion/"} +{"d:Title": "Black Adder Rapper", "d:Description": "Diary and photos of this women's team From Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.blackadder.totalserve.co.uk/firstpage.htm"} +{"d:Title": "Claro Sword&Morris Men", "d:Description": "Contact details, photographs and events program for this longsword team from Harrogate in North Yorkshire.", "topic": "Top/Arts/Performing_Arts/Dance/Morris/Sword", "url": "http://www.clarosword.org/"} +{"d:Title": "International Dance Council - CID", "d:Description": "The only official world-wide umbrella organization for all kinds of dance. Founded 1973. Based in the UNESCO, Paris.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.unesco.org/ngo/cid/"} +{"d:Title": "Dance/USA", "d:Description": "National service organization for professional dance that works with its membership of professional dance companies, artists, presenters, service organizations and individuals to advance the art form of dance.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.danceusa.org/"} +{"d:Title": "EADA - English Amateur Dancersport Association", "d:Description": "The official site of Amateur Competitive Dancesport. Includes details of the organisation, dancesport information, competition charts, news, membership details, and forum.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://cms.eada.org.uk/"} +{"d:Title": "United Dance Merchants of America", "d:Description": "Organized to protect the common interests of its members and -- among other things -- to encourage the growth and development of dance in america.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.udma.org/"} +{"d:Title": "Dance Heritage Coalition", "d:Description": "National alliance of institutions holding significant collections of materials documenting the history of dance.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.danceheritage.org/"} +{"d:Title": "Imperial Society of Teachers of Dancing", "d:Description": "London based dance examination board covering the full spectrum of dance examinations on a global scale. History, magazine, faculties, in depth articles, courses, bookshop.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.istd.org/"} +{"d:Title": "Terpsichore SAND", "d:Description": "A not-for-profit, dance career management organization promoting education, all dance principles, choreographers and musicians through development and preservation of natural resources.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.terpsichoresand.org/"} +{"d:Title": "Tanzstudio Nora Mackh", "d:Description": "\"ESDU\" (European Show Dance Union): european dance-organization, which organizes championships in musical and showdance. Information about upcoming championships.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.dance-mackh.com/"} +{"d:Title": "San Antonio Dance Umbrella", "d:Description": "Service organization founded to promote dance and aid dancers and choreographers in the San Antonio area. Information on SADU programs, profiles of local companies, and upcoming performance information.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.sadu.org/"} +{"d:Title": "The Sacred Dance Guild", "d:Description": "Dance as a language of Faith and Celebration.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.sacreddanceguild.org/"} +{"d:Title": "World Arts Focus", "d:Description": "WAF explores culture and builds community through the practice and study of multicultural performing arts traditions, with an emphasis on dance.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "http://www.joesmovement.org/"} +{"d:Title": "National Dance Fitness&Health Association", "d:Description": "Helps to improve soul line and partner dance teaching skills. Presents programs, certification and governing board. With video and online store.", "topic": "Top/Arts/Performing_Arts/Dance/Organizations", "url": "https://soullinedancing.com/"} +{"d:Title": "Mark James' Dance Zen Site", "d:Description": "Includes resume, photographs, and videos of performances.", "topic": "Top/Arts/Performing_Arts/Dance/People", "url": "http://www.mjames.org/"} +{"d:Title": "Obey, Pattie", "d:Description": "Offers biography, pictures and upcoming tour data for the teacher and choreographer.", "topic": "Top/Arts/Performing_Arts/Dance/People", "url": "http://www.pattieobey.com/"} +{"d:Title": "Mandy De Winter and Judy King", "d:Description": "International teachers and music and event organisers. Includes profiles, details of the band Parampara, regular groups, workshops, events, holidays, teacher training course, CDs and books. Based in the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.mandyandjudy.co.uk/"} +{"d:Title": "Circle Dance Network", "d:Description": "Circle Dance Friends Co. Ltd. aims to represent the network in the UK. Includes articles from their magazine Grapevine, information for teachers, and details of the annual teachers Easter gathering and the company organization.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://circledancenetwork.org.uk/"} +{"d:Title": "June Watts' Sacred Circle Dancing", "d:Description": "International teacher and choreographer based in the UK offers workshops, teacher training courses, and books. Includes profile, events calendar, dances choreographed and flyers.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.junewatts.com/"} +{"d:Title": "Sacred Circle Dance Knoxville, Tennessee", "d:Description": "Offers long dance and sacred circle dance meetings. Includes style descriptions, teacher details, directions and events schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.inthedance.com/"} +{"d:Title": "Hazel Young Circle Dance Teacher", "d:Description": "UK. Includes details of regular groups, events, articles on music sources, and a list of choreographies.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.hazelyoung.co.uk/"} +{"d:Title": "Moondancer Sacred Circle Dance", "d:Description": "Brief details of this UK group including a directory of other West Yorkshire groups and teachers.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.moondancer.org.uk/"} +{"d:Title": "Circle Dance In York", "d:Description": "UK based Karen Michaelsen offers daytime and evening classes, workshops, and residential weekends. Includes profile, schedule, articles, image gallery, reviews, and booking form.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.circledanceinyork.co.uk/"} +{"d:Title": "Martine's Circle Dance Site", "d:Description": "International teacher based in Switzerland offering regular classes and Bach flower dance workshops. Includes profile, articles, events calendar, and biography of Anastasia Geng. [English/French]", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.martinewinnington.com/"} +{"d:Title": "Lynn Frances", "d:Description": "UK. International teacher offers sacred circle dance classes and workshops as well as a book and touch for health, metamorphic technique and manual lymphatic drainage therapies. Includes events calendar, class schedules, and articles.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.hartcentre.co.uk/"} +{"d:Title": "Live Circle Dance with Brian Steere", "d:Description": "UK. Teacher and musician offering events, monthly meetings, and a dance camp. Includes profile, camp policies and booking form, collection of lyrics and musical scores, and weblog.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.livingcircle.net/"} +{"d:Title": "Seventh Circle", "d:Description": "An eJournal of Circle and World Dance. Includes articles, and contact lists.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://homepages.together.net/~seren/"} +{"d:Title": "Laura Shannon", "d:Description": "UK. International teacher and researcher offers workshops and training courses in Women's Ritual Dance. Includes profile, schedules, articles, and image galleries. [English, German].", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.laurashannon.net/"} +{"d:Title": "Sacred Circle Dancing in New Haven, CT", "d:Description": "Group offering monthly meetings in Connecticut, USA. Includes history, schedule, directions, and poetry.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.henryfarkas.com/circledance/"} +{"d:Title": "Touchstone Farm&Yoga Center", "d:Description": "Organic farm offering garden, yoga, circle and long dance classes, and events in Massachusetts, USA. Includes details of their activities, schedules, and library of over 400 sacred circle dances.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.sacredcircles.com/"} +{"d:Title": "Andy Bettis", "d:Description": "UK. Findhorn Foundation co-focaliser, and teacher offers workshops. Includes articles, calendar, dance notes, image galleries, reviews and music for sale. Also computer programming CV, reviews of anime, and his musical career.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.andybettis.com/"} +{"d:Title": "Neskaya Arts Movement Center", "d:Description": "Offers dance, Tai Chi and Yoga in New Hampshire, USA. Includes schedule of classes and workshops, details of past and future events, and accommodation information.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.neskaya.com/"} +{"d:Title": "Sacred Circle Dance Information", "d:Description": "Raymond's database of music sources for over 1500 dances.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://secamlocal.ex.ac.uk/cdnet/"} +{"d:Title": "Paul Boizot", "d:Description": "UK Circle and folk dance teacher and musician offers classes and workshops as well as aromatherapy treatments and courses. Includes profile, details of classes and events, lyrics, articles, information on his other activities, merchandise, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.paulboizot.co.uk/"} +{"d:Title": "Web Garden of Katharina Kroeber and Mark Dammer", "d:Description": "Findhorn based instructors offering classes and events in traditional and modern circle dance and astronomy. Includes profile, workshop information, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.mdammer.net/"} +{"d:Title": "Sacred Dance", "d:Description": "Choreographer and teacher Maria-Gabriele Wosien, joint founder of the genre, offers international seminars. Includes events, image gallery and merchandise.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.sacreddance-wosien.net/"} +{"d:Title": "SF Bay Area Sacred Circle Dance", "d:Description": "Details of groups in San Francisco, USA. Includes schedule, special events, photograph gallery, newsletter and archives.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.circledancing.com/"} +{"d:Title": "Healing Dance", "d:Description": "International teacher of Bach Flower, meditative, and Taize dances based in Italy. [Dutch, English, Italian, Portuquese].", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.danzemeditative.com/"} +{"d:Title": "Dance Camp Wales", "d:Description": "Annual event to explore the nature of spirit through dance from many traditions. Includes profile, history, image galleries, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.dancecampwales.org/"} +{"d:Title": "Dance Camp North", "d:Description": "UK community based on offering an annual event of dance, music and a variety of workshops. Includes image galleries of past events, details of the organisation, their constitution and activities.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.dancecampnorth.org.uk/"} +{"d:Title": "Danzas Circulares", "d:Description": "Leah Bella's group in Weston, Florida,USA. Includes profile, calendar, image galleries, directions and contact details. [English, Spanish] [May not work in all browsers].", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.danzascirculares.com/"} +{"d:Title": "Sacred Circle Dance The Inner Path", "d:Description": "Seasonal events in Nashville, Tennessee, USA.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.angelfire.com/planet/danceinspirit/"} +{"d:Title": "Barbara Swetina", "d:Description": "Findhorn musician offers song and dance workshops. Includes events, image galleries and details of books and CDs for sale with audio clips.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.sacredsongs.net/"} +{"d:Title": "The Universal Circle Dance", "d:Description": "An examination of aspects of circle dance by a messianic Christian, including resources.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://circledance.followersofyah.com/"} +{"d:Title": "Lesley Laslitt", "d:Description": "Teacher and choreographer of contemporary dance based in Kent, UK. Includes profile, details of weekly class, past and future events, image gallery and reviews.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.lesleylaslett.com/"} +{"d:Title": "Circle Dance Ireland", "d:Description": "Derrie McGahon offers workshops on Bach Flower and Circle Dance in Sligo. Includes profile, information on workshops and other Irish groups, image gallery, map, and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.circledanceireland.com/"} +{"d:Title": "Central Scotland Circle Dance", "d:Description": "Loose affiliation of dancers throughout the region. Includes directory of groups with meeting times and locations, events calendar, newsletter, and image gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://www.cscd.org.uk/"} +{"d:Title": "Anne Armstrong: Circle Dancer", "d:Description": "Teacher of regular groups and day events in Kent and Sussex, UK, as well as dance holidays in France. Includes profile, information on activities and contact details.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://circledancewithanne.pbworks.com/"} +{"d:Title": "Let It Be A Dance!", "d:Description": "Brief details of this sacred circle dance group in Vancouver, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://wwww.sacredcircledance.wordpress.com/"} +{"d:Title": "Yahoo Group : Circle Dancing Friends", "d:Description": "Mailing list offering support and exchange of information.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://groups.yahoo.com/group/circledancingfriends/"} +{"d:Title": "Cobourg Sacred Circle Dance", "d:Description": "Brief details of this group in Ontario, Canada, with event information.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://cbgscdance.wikifoundry.com/"} +{"d:Title": "Peterborough Sacred Circle Dance", "d:Description": "Brief details of the group in Ontario, Canada with event information and articles on notation and etiquette", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://ptbosacredcircledance.wikifoundry.com/"} +{"d:Title": "World Dance", "d:Description": "Stefan and Bethan Freedman, international teachers and choreographers, offer dance holidays as well as workshops in dance, group leadership, drumming, voice, and self-awareness. Includes course details, events calendar, articles, and testimonials.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Circle_Dance", "url": "http://worldance.weebly.com/"} +{"d:Title": "The Wave", "d:Description": "Resource site based in Germany. Includes background information, international workshop calendar, lists of teachers in Germany, Austria and Switzerland, and merchandise. [English/German]", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Five_Rhythms", "url": "http://www.ecstatic-dance.de/"} +{"d:Title": "Moving Center School Mill Valley", "d:Description": "Northern California based center offering classes and background information.. Includes staff biographies, details and schedule of classes and workshops, directions, and registration policies.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Five_Rhythms", "url": "http://www.movingcenterschool.com/"} +{"d:Title": "School of Movement Medicine", "d:Description": "UK. Susannah and Ya'Acov Darling Khan offer local classes and workshops internationally. Includes background information, teacher profiles, workshop calendar, newsletter, booking information, travel message board, and lists of UK classes and European teachers.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Five_Rhythms", "url": "http://www.schoolofmovementmedicine.com/"} +{"d:Title": "Adam Barley", "d:Description": "UK. Teacher offering workshops internationally, as well as disc jockey services. Includes profile, details of workshops, and calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Five_Rhythms", "url": "http://www.adambarley.com/"} +{"d:Title": "Ways Of Being", "d:Description": "Sangeet John Portalski offers classes and workshops in Germany. Includes biography, and class and workshop schedules. [English, German]", "topic": "Top/Arts/Performing_Arts/Dance/Sacred_and_Circle_Dance/Five_Rhythms", "url": "http://www.wavesofbeing.com/"} +{"d:Title": "Dancers Directory", "d:Description": "Global addressbook for dancers with free registration.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.dancers.org/"} +{"d:Title": "OhioDance", "d:Description": "Information on dance events, workshops/classes, auditions, job openings, rehearsal space; provides networking and professional development; holds an annual festival; publishes newsletters, calendars, and a dance directory.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://ohiodance.org/"} +{"d:Title": "Princeton Book Company", "d:Description": "Specialists in the publishing and distribution of dance books and dance videos for 25 years, with a list of over 300 dance related titles.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.dancehorizons.com/"} +{"d:Title": "DanceScape Television", "d:Description": "Daily videostreaming and webcasting broadcasts of dance demonstrations by world champions, as well as online dance instruction. Discussion board covers ballroom and latin to hip hop and ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.dancescape.tv/"} +{"d:Title": "Go Beyond Productions, Inc.", "d:Description": "Choreography, dance classes and dancers for hire.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.gobeyondproductions.com/"} +{"d:Title": "Career Transition for Dancers", "d:Description": "Offers career counseling, scholarships and workshops in New York and Los Angeles for dancers transitioning out of careers as performers.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.careertransition.org/"} +{"d:Title": "Underwraps Event Choreography", "d:Description": "Offers creative dance, costume and entertainment concepts for wide-ranging events.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.underwraps.co.uk/"} +{"d:Title": "A.I.D.A.: An International Dance Agency", "d:Description": "International agency for dancers, trainers and choreographers and those who are seeking them.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.aida-dance.de/index.htm"} +{"d:Title": "Dance USA", "d:Description": "National dance service organization.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.danceusa.org/"} +{"d:Title": "Studio of Dance.com", "d:Description": "Web design service focused on dance studios with free studio directory and related services.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.studioofdance.com/"} +{"d:Title": "Cultural Research", "d:Description": "Research papers on dance, dance education, cultural studies and qualitative methods.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.culturalresearch.org/"} +{"d:Title": "Men Who Danced", "d:Description": "For professional dancers, over the age of forty, who danced in theatre, films, and television. Reuniting former colleagues, and fighting ageism in the performing arts.", "topic": "Top/Arts/Performing_Arts/Dance/Services", "url": "http://www.menwhodanced.com/"} +{"d:Title": "The New Dawn Singers Inc.", "d:Description": "Group of singers and dancers that tour nationally in the U.S with family-friendly shows.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.newdawnsingers.com/"} +{"d:Title": "Tama Leao's Polynesian Shows", "d:Description": "Hawaiian luau dancing and performance for events throughout Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.polynesianshow.com/"} +{"d:Title": "Pyrotechnique", "d:Description": "Australian fire dance theatre group touring internationally since 1996. Information and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.pyrotechnique.com.au/"} +{"d:Title": "Flamenco Chicago, LLC", "d:Description": "Flamenco dancers, singers and guitarists available for special events in Chicago and the Midwest. Classes and private lessons in flamenco dance and guitar.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.flamencochicago.com/"} +{"d:Title": "Dance Reality", "d:Description": "UK dance company with theme specialties for events.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.dancereality.co.uk/"} +{"d:Title": "Dance Afloat", "d:Description": "Supplying male dance hosts to the cruise industry.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.danceafloat.com/"} +{"d:Title": "MissingLinkProductions", "d:Description": "Dance acts that cross boundaries between dance performance, circus arts and theater.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.circusperformers.com/skills/27"} +{"d:Title": "Birkun Productions", "d:Description": "Hong Kong company supplying live entertainment for events", "topic": "Top/Arts/Performing_Arts/Dance/Services/Dancers_for_Hire", "url": "http://www.birkunproductions.com/"} +{"d:Title": "Chris Macke Photography", "d:Description": "Specializing in head-shots and dancers. New York City photographer.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.mackephotography.com/"} +{"d:Title": "Dance Photography", "d:Description": "Bruce Monk's black and white photography of dancers during performance.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.brucemonk.com/"} +{"d:Title": "Cathy Peylan", "d:Description": "Exhibition of dance and other photographic work.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://peylan.com/"} +{"d:Title": "Marty Sohl Photography", "d:Description": "Gallery of dance, opera, and theater photography.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.martysohlphoto.com/"} +{"d:Title": "Bob Shomler's Ballet and Dance Photo Gallery", "d:Description": "Photographs of major ballet companies taken in the San Francisco bay area.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.shomler.com/dance/"} +{"d:Title": "Unstill", "d:Description": "Black and white dance photography by Laurent S. Ziegler covering ballet, modern and ethnic dance.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.unstill.net/"} +{"d:Title": "Klaus Kampert", "d:Description": "Fine art nude dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.klauskampert.com/"} +{"d:Title": "Elena Retfalvi", "d:Description": "Photographic exploration of human emotions, including portfolio of contemporary dance.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.retfalvi.com/"} +{"d:Title": "Boz Swope", "d:Description": "Professional photographer in New York focused on dance photography. Available for traveling assignments.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.terpsichoresand.org/bozswope/"} +{"d:Title": "Cjkane Photography", "d:Description": "Dance and other photographs including those for Vineland Regional Dance Company. Chris Kane, Millville, NJ.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.cjkane.com/"} +{"d:Title": "Peregrine Images", "d:Description": "Photography/publication company focusing on the dance photographs of Hal Eastman.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.peregrineimages.com/"} +{"d:Title": "Chris Nash Online Gallery", "d:Description": "UK-based dance photographer's biography, photographs and publication and exhibition information.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.chrisnash.net/index.html"} +{"d:Title": "Christopher Jean-Richard Photographer", "d:Description": "Featuring the 2002 USA International Ballet Competition in Jackson, MS, and performance photos of the Tulsa Ballet.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.cjeanrichard.com/"} +{"d:Title": "Asya Verzhbinsky", "d:Description": "London based photographer featuring photographs of companies including Royal Ballet, Birmingham Royal Ballet, and Pina Bausch.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.asyav-images.com/"} +{"d:Title": "RJ Muna Pictures", "d:Description": "San Francisco photographer whose portfolio includes a large selection of dance images, as well as location, automotive, portrait, and fine art work.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.rjmuna.com/"} +{"d:Title": "David Cooper Photography", "d:Description": "Vancouver, British Columbia based photographer whose online portfolio showcases 25 years of dance and theater work.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.davidcooperphotography.com/"} +{"d:Title": "Ian Winters Photography", "d:Description": "San Francisco photographer and artist whose primary focuses are dance and multimedia installations.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.ianwinters.com/"} +{"d:Title": "Encore Dance Photography", "d:Description": "Brooklyn based dance photography studio offering portraiture and performance images. Official photographer for the United States Tournament of Dance.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.encoredancephoto.com/"} +{"d:Title": "Memories in the Making", "d:Description": "Maryland studio offering portraiture and dance photography.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.memoriesphotography.us/"} +{"d:Title": "Matt Haber Photography", "d:Description": "Oakland based dance and portrait photographer.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.matthaber.com/"} +{"d:Title": "Kent Miller Studios", "d:Description": "New York based dance and commercial photographer.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.kentmillerstudios.com/"} +{"d:Title": "Fred's Photography", "d:Description": "Ontario based Portrait and Dance photographer.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.fredsphoto.on.ca/"} +{"d:Title": "Richard Something Photography", "d:Description": "San Francisco based photographer specializing in dance, superheroes, and portraits; includes portfolio and rates.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.richardsomething.com/"} +{"d:Title": "Dance Photographer", "d:Description": "Images by Paul Antico. Los Angeles based.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.dancephotographer.com/"} +{"d:Title": "Contemporary Dance Photography by Eric Boudet", "d:Description": "Gallery of contemporary dance photography.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.eric-boudet.com/"} +{"d:Title": "Hilary Shedel Photography", "d:Description": "UK dance and movement photographer.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.hilaryshedel.com/"} +{"d:Title": "Raintree Photography and Video Inc", "d:Description": "James Scobbie, specialist in dance school photography. Located in Mississauga, Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://raintreephotography.weebly.com/"} +{"d:Title": "Michael Slobodian", "d:Description": "Montreal, Quebec based photographer/ videographer whose work includes dance, commercial, fashion, and portrait photography.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://michaelslobodian.com/"} +{"d:Title": "Evil Conspiracy Media", "d:Description": "New York City based photographer focused on experimental dance, especially butoh.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://evilconspiracy.com/"} +{"d:Title": "Greg Schilhab Photography", "d:Description": "Online galleries include classic and modern dance images from Toronto-based Greg Schilhab.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://gregschilhab.org/"} +{"d:Title": "Kravetz Photographics", "d:Description": "Dance and performance art photography by Aleksandr Onyshchenko based in Moncton NB, Canada.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.kravetzphotographics.com/"} +{"d:Title": "Showstopper Photography", "d:Description": "Sports, dance, and schools both public and private. Photography in Eastern Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.showstopperphotography.com/"} +{"d:Title": "Briana Blasko", "d:Description": "Freelance dance and performance photographer. Lives in New Delhi, India.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.brianablasko.com/"} +{"d:Title": "Patrick Baldwin", "d:Description": "Performing arts photography: dance, theatre and music photography.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://patrickbaldwin.com/"} +{"d:Title": "EAP Dance Photography&Video", "d:Description": "Specializing in dance photography, Port Jefferson Station, NY.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://eapdancephotos.com/"} +{"d:Title": "Zehra Rizvi Photography", "d:Description": "Photographs people, travel, editorial and dance. Montreal, Quebec.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.zehrarizvi.com/"} +{"d:Title": "Julie Lemberger Photography", "d:Description": "Dance photography in New York City, documentation of dance in performance at the turn of the centuries.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.julielemberger.com/"} +{"d:Title": "Arthur Fink Dance Photography", "d:Description": "Images of dancers and choreographers at work, creating, rehearsing, and performing.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://arthurfinkphoto.com/"} +{"d:Title": "Larry Westby Photography", "d:Description": "Performing arts photography for the north Dallas region.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://181.224.137.217/~larrywes/"} +{"d:Title": "A 1000 Words Photography", "d:Description": "Specializing in the capture of dancers in live performance and private sessions. Portrait work for all ages. Oklahoma based.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.a1000wordsphotography.net/"} +{"d:Title": "Stephen Berkeley White", "d:Description": "Photographer for portrait, dance, and style. London based.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.berkeleywhitephotography.com/"} +{"d:Title": "Dance Portfolios", "d:Description": "Australian dance portfolios. Photographers are husband and wife team Kimene and Peter.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://danceportfolios.com.au/"} +{"d:Title": "David Barnes Photography", "d:Description": "Providing photographic services for classical and contemporary dancers and dance schools in Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.imagearchive.com.au/"} +{"d:Title": "Daniel Barth Photography", "d:Description": "Berlin based dance photographer. Available for booking throughout Europe.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.danielbarth.net/"} +{"d:Title": "Bravo Studios", "d:Description": "David W. Walker, photography for dance, modelling, fashion and sports. Evansville, IN.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.bravostudiosllc.com/"} +{"d:Title": "Jeff Wagner Photography", "d:Description": "Photography for tango dancers and other social dances.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.jeffwphotography.com/"} +{"d:Title": "Stephen Texeira Photography", "d:Description": "Photographs dancers and performers. Oakland, CA .", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.texeiraphoto.com/"} +{"d:Title": "Michelle Smith-Lewis Photography", "d:Description": "Seattle based performing arts photographer specializing in dance, theatre and music.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.msmithlewis.com/"} +{"d:Title": "Nicola Selby", "d:Description": "Dance photography. Lancashire, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.nicolaselby.com/"} +{"d:Title": "Viktoria Begg Photographer", "d:Description": "Photographer, based in Dundee, Scotland. Specialist in dance and theatre photography.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.viktoriabeggphotography.com/"} +{"d:Title": "Dmitry Savchenko Art Photography", "d:Description": "World ballet legends, art photography. Based in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.dmitrysavchenkoartphotography.com/"} +{"d:Title": "Maya", "d:Description": "Underwater art photography. Mostly dancers. Maya Almeida, London based.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.mayaalmeida.com/"} +{"d:Title": "NYC Dance Project", "d:Description": "Photographs and interviews with the top dancers, choreographers and artistic directors in NYC. Run by Creative Directors, Ken Browar and Deborah Ory.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.nycdanceproject.com/"} +{"d:Title": "Laurent Liotardo Photography", "d:Description": "Dance and wedding photographer based in London, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Photographers", "url": "http://www.laurentliotardophotography.com/"} +{"d:Title": "Dance Holidays", "d:Description": "Offering dance holidays and tours around the world; flamenco, salsa, ceroc, lindy hop, modern, Latin, ballroom, line dancing, Brazilian, African, Afro-Cuban, salsa.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Travel", "url": "http://www.danceholidays.com/"} +{"d:Title": "Cuba Salsa Holidays .com", "d:Description": "Study salsa on a two week holiday in Havana, Cuba.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Travel", "url": "http://www.cubasalsaholidays.com/"} +{"d:Title": "Agastar Video Productions", "d:Description": "Performing arts videography by former professional dancers, with broadcast quality equipment.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Videographers", "url": "http://www.agastar.com/"} +{"d:Title": "C/N Video Creations", "d:Description": "Video production for the performing arts. Denver, Colorado.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Videographers", "url": "http://www.cnvideos.com/"} +{"d:Title": "Johannes Holub Videographers", "d:Description": "New York based digital video facility that specializes in documenting dance performances.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Videographers", "url": "http://www.holubvideo.com/"} +{"d:Title": "Artistic Memories Video Productions: Dances", "d:Description": "Basic information for service in Charlottesville and Central Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Services/Videographers", "url": "http://am-video.bizland.com/Dances/Dances.htm"} +{"d:Title": "Modern Jive Dance Step Database", "d:Description": "Over 500 modern jive dance moves/steps described, many with animations. Includes advanced search facilities and advice for beginners.", "topic": "Top/Arts/Performing_Arts/Dance/Swing", "url": "http://www.jiveoholic.org.uk/"} +{"d:Title": "Raper's Swing Dance Corner", "d:Description": "Includes lesson plans, a who's who in swing dance, Swing Dance Research and Historic Association, dance club listings and music listings.", "topic": "Top/Arts/Performing_Arts/Dance/Swing", "url": "http://www.swingdance.com/"} +{"d:Title": "DanceTutor", "d:Description": "Online instruction using video, stills, and text including east coast swing, west coast swing, lindy hop and balboa.", "topic": "Top/Arts/Performing_Arts/Dance/Swing", "url": "http://www.dancetutor.com/"} +{"d:Title": "Yehoodi", "d:Description": "Forum offering national and regional discussions on swing dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Swing", "url": "http://yehoodi.com/"} +{"d:Title": "CR Hutch", "d:Description": "Information regarding swing dance manual and video tapes by Craig Hutchinson in Virginia. Includes general swing information and history.", "topic": "Top/Arts/Performing_Arts/Dance/Swing", "url": "http://users.erols.com/crhutch/"} +{"d:Title": "Charm City Dancers", "d:Description": "Dance group whose goal is the preservation, protection, and promotion of the African American Art Form of Hand Dance, locally and nationally. Baltimore, MD.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Hand_Dance", "url": "http://shirley-duncan.tripod.com/NBHDA/"} +{"d:Title": "National Hand Dance Association", "d:Description": "Links, membership information, events listings, online newsletter and photo gallery from recent events.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Hand_Dance", "url": "http://www.nationalhanddanceassociation.org/"} +{"d:Title": "Silky Smooth Dance Studio", "d:Description": "Dance studio with hand dance and other dance classes in group and private format in Woodridge Virginia.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Hand_Dance", "url": "http://www.silkysmoothdance.com/"} +{"d:Title": "Jumpin' at the AachenSide", "d:Description": "Lindy Hop related information for dancers in Germany, featuring parties, workshops, music and dance partner searches.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.it-must-schwing.de/"} +{"d:Title": "Lithuanian Lindy Hop", "d:Description": "Discussion forum.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.lindyhop.lt/"} +{"d:Title": "Archives of Early Lindy Hop", "d:Description": "Articles on the history and music of the era, biographies of key players in the dance's development. Includes shop and links.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.savoystyle.com/"} +{"d:Title": "Lindy Week Review", "d:Description": "Dancer's guide to the Washington, DC area, including a calendar, a vintage shopping directory, music reviews, and a retro living primer.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.jitterbuzz.com/"} +{"d:Title": "Northern California Lindy Society", "d:Description": "Non-profit organization promotes Lindy Hop through workshops and special events; also offers links to area instructors, dance event photos and other related resources such as bands and clothing.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.ncls.com/"} +{"d:Title": "Camp Hollywood", "d:Description": "Annual Los Angeles dance camp focusing on \"Hollywood\" or \"Dean Collins\" style. Offers schedule, merchandise, online registration, instructor and band list, and photos.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.camphollywood.net/"} +{"d:Title": "San Diego Lindy Hop Society", "d:Description": "Lists dance workshops, events, books, videos, music, vintage clothing shops, and area dance clubs. Provides contact information for local instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.angelfire.com/ca/SDLindyHop/"} +{"d:Title": "Jitterbug Junction", "d:Description": "Lindy troupe.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://utahswing.tripod.com/troupe.html"} +{"d:Title": "Lindy in the Park", "d:Description": "Outdoor swing dancing in Golden Gate Park.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.lindyinthepark.com/"} +{"d:Title": "Four on the Floor", "d:Description": "Austin-based Lindy Hop group offering regular classes, workshops, events and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.fouronthefloor.com/"} +{"d:Title": "Jitterbugs New Zealand", "d:Description": "Studio based in Auckland. General information, news, timetable, teachers, calendar, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.jitterbugs.co.nz/"} +{"d:Title": "Sommer and Dorry", "d:Description": "Based in Baltimore, teach and perform worldwide. Includes videos, photos, and free online instruction.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.dorryandsommer.com/"} +{"d:Title": "Houston Swing Dance Society", "d:Description": "Swing dance events with classes, live music, workshops, and camps. Learn lindy hop, jive, shag, balboa, swing, and other American folk dances.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.hsds.org/"} +{"d:Title": "The Jam Cellar", "d:Description": "Weekly swing dance event held in Vienna, Virginia. Run by dancers, for dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.thejamcellar.com/"} +{"d:Title": "Nottingham Swing Dance Society", "d:Description": "List of area classes, workshops, and dances.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.happy-feet.org.uk/"} +{"d:Title": "One2Swing Pasadena", "d:Description": "Classes, workshops, videos, and other information about Ben Yau and Sheri Kang.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.one2swing.com/"} +{"d:Title": "Hep Jen", "d:Description": "Information about this dancer and DJ based in San Francisco and currently working nationwide.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.hepjen.com/"} +{"d:Title": "New Move of the Week", "d:Description": "Free. Changes every Sunday. Includes online video and detailed text descriptions.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.newmoveoftheweek.com/"} +{"d:Title": "Red Hot Lindy Hop Memphis", "d:Description": "Information for vintage swing dancing, lessons, events and open practice in the Memphis, Tennessee area. Includes locations of several venues offering events.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.redhotlindyhop.com/"} +{"d:Title": "Toronto Lindy Hop", "d:Description": "A resource for swing dancing in Toronto. Find out about teachers, classes, workshops and special events for Lindy Hop, the original swing dance.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.torontolindyhop.com/"} +{"d:Title": "Kevin and Carla", "d:Description": "Kevin St. Lauren and Carla LaRue Heiney, American Lindy Hop Champions and dance partners from 2001 to 2007. Includes a video gallery, photographs and information on DVDs.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.kevinandcarla.com/"} +{"d:Title": "Kevin St. Laurent", "d:Description": "Professional dancer and champion Lindy Hopper offers dance classes and workshops in various places, and instructional DVDs. Biography, competition awards, news, videos, photographs and tour schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.kevinstlaurent.com/"} +{"d:Title": "ChileSwing", "d:Description": "Lindy Hop instruction and social dancing in Baltimore, Maryland. Includes a calendar and links for other local dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://chileswing.com/"} +{"d:Title": "Mad About Swing", "d:Description": "Lindy Hop and Swing dance classes in Plymouth (UK) led by Duncan and Louise.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.madaboutswing.co.uk/"} +{"d:Title": "Savoy Swing Club", "d:Description": "Washington state area Lindy Hop group offering a calendar of events, a performance group, member information and related links.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.savoyswing.org/"} +{"d:Title": "Beantown Camp", "d:Description": "Annual event held in Beverly, Massachusetts, USA. Includes schedules, history, photo albums, fees and information about registration.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.beantowncamp.com/"} +{"d:Title": "Mobtown Ballroom", "d:Description": "Lindy Hop lessons and open dancing in Baltimore. Also includes online videos.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://mobtownballroom.com/"} +{"d:Title": "LindyWeb Belgium", "d:Description": "Reference for courses and parties, with photos and video fragments.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "https://sites.google.com/site/lindyhopbe/"} +{"d:Title": "American Lindy Hop Championships", "d:Description": "Provides information about the championships and its regional contests.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Lindy_Hop", "url": "http://www.artspectrum.org/alhc.htm"} +{"d:Title": "Swingdance.ru", "d:Description": "Lindy hop and boogie woogie swing dance classes and events with Vladimir and Ksenia in Russia.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional", "url": "http://www.swingdance.ru/"} +{"d:Title": "Tuxedo Junction", "d:Description": "Swing Time online monthly newsletter, event listings, news, dance camps, reviews for the UK and around the world.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional", "url": "http://www.swingtime.co.uk/"} +{"d:Title": "The Swing Bunch", "d:Description": "A free gathering of Lindy Hop dancers in London.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional", "url": "http://www.theswingbunch.co.uk/"} +{"d:Title": "Swingkatten", "d:Description": "A swing dance society located in Uppsala, Sweden, dedicated to promoting swing dancing by organizing parties, classes, shows, workshops and other swing dance related activities.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional", "url": "http://www.swingkatten.org/en/"} +{"d:Title": "UK-Jive class&event database", "d:Description": "Database of modern jive events and organizations throughout the UK.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional", "url": "http://www.uk-jive.co.uk/"} +{"d:Title": "The Rhythm Hot Shots", "d:Description": "Lindy Hop dance company with an African-American style.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional", "url": "http://www.rhythmhotshots.com/"} +{"d:Title": "Jill's Jive Dance Club", "d:Description": "A dance club offering swing and rock and roll classes with Jill and Trevor close to Brisbane, CBD.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Australia", "url": "http://www.jillsjive.com.au/"} +{"d:Title": "Swingtime Australia", "d:Description": "Swing and lindy hop lessons in Melbourne, Sydney, and Queensland, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Australia", "url": "http://www.swingtimeaustralia.com/"} +{"d:Title": "Swing Patrol", "d:Description": "Dance classes and events in Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Australia", "url": "http://www.swingpatrol.com.au/"} +{"d:Title": "Tas Swing", "d:Description": "Promoting swing and related forms of dancing in Tasmania, Australia. Includes social events, classes, workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Australia", "url": "http://www.tasswing.com.au/"} +{"d:Title": "Toronto Swing Dance Society", "d:Description": "A swing dance group offering regular dances, classes and workshops in Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Canada", "url": "http://www.dancing.org/tsds/"} +{"d:Title": "Danceland Toronto", "d:Description": "Events and instruction in lindy hop and other swing forms as well as zydeco in Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Canada", "url": "http://www.dancing.org/"} +{"d:Title": "Ottawa Swing Dance Society", "d:Description": "A swing dancing guide that includes general information, a mailing list, and upcoming events in Ottawa.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Canada", "url": "http://www.swingottawa.ca/"} +{"d:Title": "Swinging Air Force", "d:Description": "A swing troupe that can be contracted for performances as well as classes and events in Montreal.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Canada", "url": "http://www.swingingairforce.com/"} +{"d:Title": "Urban Beat Dance Co.", "d:Description": "Dance lessons in Vancouver, British Columbia - West Coast Swing, East Coast Swing, Salsa, Hip Hop, Nightclub Twostep", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Canada", "url": "http://www.urbanbeatdanceco.com/"} +{"d:Title": "Jumpin' at the AachenSide", "d:Description": "Information for swing dancers including a schedule of workshops and swing dance parties in Germany with a focus on Aachen, Cologne.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Germany", "url": "http://www.it-must-schwing.de/"} +{"d:Title": "Boogie Beat Swing", "d:Description": "Instructors and performers based in Dublin, Ireland. Includes profile, available classes, performances and news.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/Ireland", "url": "http://www.boogiebeatswing.com/"} +{"d:Title": "Little Rock Bop Club", "d:Description": "Information on dance lessons, parties and events in Little Rock, Arkansas.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://www.littlerockbopclub.com/"} +{"d:Title": "DanceNet: Swing Dance in Boston and New England", "d:Description": "Directory of swing dancing clubs and venues throughout the region, organized by state. Also includes dance and workshop event calendars.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://www.havetodance.com/"} +{"d:Title": "Upper Valley Swing Dance Network", "d:Description": "A guide to dancing in New Hampshire and Vermont, including listings of events, lessons, and instructors and a weekly newsletter.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://www.uvswingdance.net/"} +{"d:Title": "Utah Swing Home page", "d:Description": "Local information.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://utahswing.tripod.com/"} +{"d:Title": "UtahSwingNetwork", "d:Description": "Yahoo Group provides information about swing dancing events in Utah.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://groups.yahoo.com/group/UtahSwingNetwork/"} +{"d:Title": "Mark's Dances", "d:Description": "Monthly West Coast Swing dances in metropolitan Kansas City. Dance to great music by Swing DJ Mark Harris.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://www.marksdances.com/"} +{"d:Title": "USA Swing Dance Network", "d:Description": "Source for swing event websites, swing news, dancer rankings, feature stories, swing video clips, online chat, competition photos, merchandise, and information.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://www.usaswingnet.com/"} +{"d:Title": "Fidgety Feet", "d:Description": "Performs vintage swing and jazz dance in the D.C. area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://www.fidgetyfeet.com/"} +{"d:Title": "Strictly Swing New Mexico", "d:Description": "A dance club devoted to the learning, promotion, and enjoyment of swing dancing that focuses mostly on west coast swing in Albuquerque, New Mexico.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States", "url": "http://www.strictlyswing.com/"} +{"d:Title": "Swing Dance Alaska", "d:Description": "News, information, and other resources for the Alaska swing dance scene, including lindy hop and all other styles, in Anchorage and other Alaska cities.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Alaska", "url": "http://swingak.net/"} +{"d:Title": "One2Swing", "d:Description": "The official website for Ben Yau and Sheri Kang. Catch up on our latest swing dance and lindy hop news and information.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.one2swing.com/"} +{"d:Title": "Central Coast Swing Dance", "d:Description": "Regional swing dance information for the central coast of California.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.centralcoastswingdance.com/"} +{"d:Title": "In The Swing Of Things", "d:Description": "A listing of places to dance, information about the Foothills Swing Dance Society, and classes with Chris and Emma in Auburn and Nevada City, California.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.intheswingofthings.com/"} +{"d:Title": "Innovations", "d:Description": "A non-profit west coast swing dance club that hosts workshops and weekly dances with lessons in San Diego.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.innovationsdance.org/"} +{"d:Title": "Jenny Redo's West Coast Swing Page", "d:Description": "A listing of places to dance west coast swing in the San Francisco Bay Area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.jennykevin.com/swing.html"} +{"d:Title": "Little Rock Bop Club", "d:Description": "Social dancing every week in Little Rock, Arkansas.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.littlerockbopclub.com/"} +{"d:Title": "Balboaswing", "d:Description": "Steve Garrett and Heidi Salerno teach Balboa, Swing, Lindy Hop and other vintage dances at the LMDC in Oakland, CA (the San Francisco Bay Area). They also sell instructional DVDs.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.balboaswing.com/"} +{"d:Title": "The Jr. Jitterbugs", "d:Description": "A troop of young Lindy Hoppers dedicated to preserving Lindy Hop, Shag, Blaboa, and Bal-swing. They are all ages and of different styles. Based in Southern California.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.angelfire.com/jazz/JrJitterbugs/"} +{"d:Title": "The Orange County West Coast Swing Dance Club", "d:Description": "A club meets that promotes west coast swing dancing throughout the Southern California area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.ocwcsdc.com/"} +{"d:Title": "San Francisco Bay Area Lindy List", "d:Description": "Calendar of lindy hop events, workshops, and classes in San Francisco.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.lindylist.com/"} +{"d:Title": "Swing Cats Rhythm Revue", "d:Description": "San Francisco-based swing dance troupe.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.swingcats.net/"} +{"d:Title": "Swing Set", "d:Description": "Carrie Lucas, from Huntington Beach, California offers swing lessons and instructional videos.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.carrielucas.com/"} +{"d:Title": "SwingInfo", "d:Description": "Swing dance information with a focus on lindy hop for Southern California from Santa Barbara to San Diego.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://swinginfo.com/"} +{"d:Title": "SwingOrama", "d:Description": "San Diego Lindy Hop resource. Includes news, calendar of events, forum, and list of classes by Meeshi and Melissa.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.swingorama.com/"} +{"d:Title": "Swingtime Swing Dance Troupe", "d:Description": "Stanford swing dance troupe performing in the San Francisco Bay Area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://swingtime.stanford.edu/"} +{"d:Title": "2 to Groove", "d:Description": "Based in San Diego. Offering classes in Lindy, East Coast Swing, Balboa and Tap as well as performing and coordinating dance activities for corporate and fundraising events.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.2togroove.com/"} +{"d:Title": "DanceDanceDance", "d:Description": "A site by World Dance Champions Jamie and Gail Arias of Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.dancedancedance.com/"} +{"d:Title": "Sonic Swing", "d:Description": "Swing dancing in San Francisco Bay area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.imagine123.com/sonic/index.html"} +{"d:Title": "Dance-Today.com", "d:Description": "West Coast Swing, Hustle, and Night Club 2-Step lessons and videos by award winning teachers. Also offering workshops, group classes, private lessons and weekly parties at our ballroom.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.dance-today.com/"} +{"d:Title": "Roscoe's Rascals Mailing List", "d:Description": "Los Angeles performance dance team and instruction in swing and salsa. Lessons, choreography, and entertainment for corporate entertainment and private events.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://groups.yahoo.com/group/RoscoesRascals/"} +{"d:Title": "StreetSwing", "d:Description": "Sonny Watson teaches dance in the Los Angeles area. Also offers videos, history, events, and step-sheets.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/California", "url": "http://www.streetswing.com/"} +{"d:Title": "West Coast Swing Dance", "d:Description": "Information on west coast swing dancing, swing dance events, and music in Colorado.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Colorado", "url": "http://www.coloradoswingdance.org/"} +{"d:Title": "Swingmonkey, Lindy Hop in Connecticut", "d:Description": "Pictures of Connecticut's dancers and other local information.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Connecticut", "url": "http://www.swingmonkey.com/"} +{"d:Title": "Suncoast Swing Gang", "d:Description": "The Swing Gang hosts a swing dance in Tampa every Sunday, lessons, and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Florida", "url": "http://swingang.com/"} +{"d:Title": "Daytona Beach Boppers", "d:Description": "Offers beach music, swing and rhythm and blues.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Florida", "url": "http://daytonabeachboppers.com/"} +{"d:Title": "Big City Swing", "d:Description": "Professional Swing and Lindy Hop company offering classes, private lessons, instructional videos and performances. Based in Chicago, the troupe and individual instructors travel across the country to teach and perform.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Illinois", "url": "http://www.bigcityswing.com/"} +{"d:Title": "Chicago Swing Dance Society", "d:Description": "A non-profit group promoting swing dancing with a focus on lindy hop that offers regular weekly lessons, special workshops, and a weekly dance event called JavaJive in Chicago.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Illinois", "url": "http://swing.uchicago.edu/"} +{"d:Title": "Windyhop", "d:Description": "Lessons, workshops and local dance events in Chicago.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Illinois", "url": "http://windyhop.net/"} +{"d:Title": "Naptown Stomp", "d:Description": "A club that teaches Lindy hop and east coast swing in Indianapolis.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Indiana", "url": "http://www.naptownstomp.org/"} +{"d:Title": "Indy Swing Dance Club", "d:Description": "A club that hosts west coast swing lessons and dances in Indianapolis, IN.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Indiana", "url": "http://sites.google.com/a/indyswing.org/dance-indy-swing/"} +{"d:Title": "Swing Des Moines", "d:Description": "Information on the swing scene in Des Moines and central Iowa.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Iowa", "url": "https://swingdm.wordpress.com/"} +{"d:Title": "Hop to the Beat Dance Studio", "d:Description": "Based in the Boston, Massachusetts, USA. They specialize in lindy hop and offer classes, dances, and workshops. They also host the annual Beantown Lindy Hop Summer Camp.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Massachusetts", "url": "http://www.hoptothebeat.com/"} +{"d:Title": "The Upstairs Lounge", "d:Description": "Boston's speakeasy.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Massachusetts", "url": "http://members.tripod.com/~kewlwerkz/"} +{"d:Title": "Syracuse Swing Dance Society", "d:Description": "Dedicated to promoting swing dancing in the Central New York area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/New_York", "url": "http://syrswingdance.org/"} +{"d:Title": "Twisters Shag Dance Club", "d:Description": "Information on beach and shag dance lessons, shag clubs, and parties for shaggers in Carolina.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/North_Carolina", "url": "http://www.goshagging.com/"} +{"d:Title": "Cleveland Swings", "d:Description": "A source for swing music and dance information in Northeast Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Ohio", "url": "http://www.clevelandswings.org/"} +{"d:Title": "The Hepcat Society of Swing", "d:Description": "Swing dancing club at Baldwin-Wallace University with events in the Cleveland area that holds meetings on campus in Berea, Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Ohio", "url": "http://homepages.bw.edu/~swing/"} +{"d:Title": "SwingColumbus", "d:Description": "Information on local swing events in and around the Columbus, Ohio, area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Ohio", "url": "http://swingcolumbus.org/"} +{"d:Title": "Swingout.net", "d:Description": "Information about the swing scene in Portland, Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Oregon", "url": "http://swingout.net/"} +{"d:Title": "Southern Oregon Swing", "d:Description": "A site dedicated to promoting west coast swing dancing in Southern Oregon with information about dance events and local classes.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Oregon", "url": "http://www.soswing.org/"} +{"d:Title": "Central PA Swing Dance Club", "d:Description": "A club featuring dances and lessons in vintage swing including East Coast Swing, Lindy Hop and Charleston in Central Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Pennsylvania", "url": "http://www.centralpaswingdance.org/"} +{"d:Title": "Houston Swing Dance Society", "d:Description": "A non-profit, educational organization, dedicated to the preservation and enjoyment of swing dancing.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Texas", "url": "http://www.hsds.org/"} +{"d:Title": "Bay Area Whip Dance Club", "d:Description": "A swing (whip, salsa, and lindy hop) dance club located in southeast metro Houston near the Johnson Space Center.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Texas", "url": "http://www.bawdc.org/"} +{"d:Title": "San Antonio Swing Dance Society", "d:Description": "A site that promotes swing dancing and culture in San Antonio.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Texas", "url": "http://www.sasds.org/"} +{"d:Title": "Vermont Swings", "d:Description": "Dedicated to promoting swing dance in the Greater-Burlington area of Vermont.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Vermont", "url": "http://www.vermontswings.com/"} +{"d:Title": "Richmond Shag Club", "d:Description": "A part of the Association of Carolina Shag Clubs with membership information and upcoming club activities.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Virginia", "url": "http://www.richmondshag.homestead.com/"} +{"d:Title": "Swing Virginia", "d:Description": "Workshops in west coast, east coast, and lindy hop and where to dance in Virginia Beach, and Hampton Roads areas.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Virginia", "url": "http://www.swingvirginia.com/"} +{"d:Title": "University of Virginia Swing Club", "d:Description": "A list of the club's upcoming events and links to other sites in the Charlottesville area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Virginia", "url": "http://scs.student.virginia.edu/~swing/"} +{"d:Title": "Resilient Spirit", "d:Description": "In Bellevue, Redmond, Kirkland - Lake Washington's Eastside and Northend.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Washington", "url": "http://www.resilientspirit.com/"} +{"d:Title": "Seattle Swing Dance Club", "d:Description": "Information about the club's activities and a listing of west coast swing events, instructors, DJs, and workshops in Seattle Washington.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Washington", "url": "http://seattlewcswing.org/"} +{"d:Title": "HepCat Productions", "d:Description": "Offering classes and dances in Seattle.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Washington", "url": "http://www.seattleswing.com/"} +{"d:Title": "Cream City Swing", "d:Description": "Swing information including lessons, bands, venues, and an event calendar for the Milwaukee area.", "topic": "Top/Arts/Performing_Arts/Dance/Swing/Regional/United_States/Wisconsin", "url": "http://www.ccswing.com/"} +{"d:Title": "Tango Argentino de Tejas", "d:Description": "Includes reviews of instructional videos, guides to tango music and tango articles.", "topic": "Top/Arts/Performing_Arts/Dance/Tango", "url": "http://www.tejastango.com/"} +{"d:Title": "Bed and Tango", "d:Description": "Boarding when visiting different places for tango purposes, offered by tango dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Tango", "url": "http://www.bedandtango.com/"} +{"d:Title": "Tango-L Swiki Coweb", "d:Description": "A collaborative Web site with articles and information that can be edited by anyone.", "topic": "Top/Arts/Performing_Arts/Dance/Tango", "url": "http://coweb.cc.gatech.edu/tango"} +{"d:Title": "TangoMeet", "d:Description": "Online tango classes administered by Sebastian Arce and Mariana Montes.", "topic": "Top/Arts/Performing_Arts/Dance/Tango", "url": "http://www.tangomeet.com/"} +{"d:Title": "Cyber-Tango", "d:Description": "Tango resources including a tango geography.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Directories", "url": "http://www.cyber-tango.com/"} +{"d:Title": "Tango Argentino by Walter", "d:Description": "Links for bandoneon and Astor Piazzolla information. Europe and world links.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Directories", "url": "http://home.scarlet.be/~wds1/tango/index.htm"} +{"d:Title": "Links to Tango Sites", "d:Description": "A list of tango sites and information worldwide by Christian Mensing.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Directories", "url": "http://www.inorg.chem.ethz.ch/tango/htm/tango_link.html"} +{"d:Title": "Go Tango Worldwide by Torito.", "d:Description": "Includes a world map that you can click on to find regional sites.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Directories", "url": "http://www.torito.nl/"} +{"d:Title": "Roman\u00b4s Tango Link Directory", "d:Description": "Argentine tango dance and music link directory.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Directories", "url": "http://tangolinks.romanvirdi.com/"} +{"d:Title": "Tango Festivals", "d:Description": "Comprehensive information about Argentine tango festivals worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Directories", "url": "http://www.tangofestivals.net/"} +{"d:Title": "Tango Info", "d:Description": "Page with links to websites, festivals, and other resources in many languages.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Directories", "url": "https://tango.info/"} +{"d:Title": "Tango-E-Vita", "d:Description": "Dutch site with some articles in English, photos, and video-clips.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Literature_and_Multimedia", "url": "http://users.telenet.be/Tango-E-Vita/"} +{"d:Title": "Mundo Tango", "d:Description": "Tango videos organized by category. Also includes a map of milongas in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Literature_and_Multimedia", "url": "http://www.mundotango.net/"} +{"d:Title": "Argentina-Tango.com", "d:Description": "An interpretation of the evolution of tango history as song and dance as well as videos and links to tango sites worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Literature_and_Multimedia", "url": "http://www.argentina-tango.com/"} +{"d:Title": "Tango Port", "d:Description": "Categorized videos mostly hosted on YouTube.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Literature_and_Multimedia", "url": "http://www.tangoport.com/"} +{"d:Title": "Working Artist", "d:Description": "Blog for an artist who makes silkscreen prints inspired by dance, nature, faces, figures, and tango dancers.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Literature_and_Multimedia", "url": "http://elizabethbrinton.blogspot.com/"} +{"d:Title": "Simba tango", "d:Description": "A weblog about tango. Mostly about dance, but also other aspects.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Literature_and_Multimedia", "url": "http://simbatango.com/"} +{"d:Title": "The Hidden History of Tango", "d:Description": "Several articles by Christine Denniston, author of \"The Meaning of Tango,\" with information on the origins and evolution of tango as a dance.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Literature_and_Multimedia", "url": "http://www.history-of-tango.com/"} +{"d:Title": "Argentine Tango Open Forum in Yahoo Groups", "d:Description": "An international mailing list for subjects related to Argentine tango.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Mailing_Lists", "url": "http://groups.yahoo.com/group/argentine-tango/"} +{"d:Title": "The Tango-L and Tango-A Mailing Lists", "d:Description": "Information about the Tango-L and Tango-A mailing lists and how to subscribe.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Mailing_Lists", "url": "http://www.tango-l.com/"} +{"d:Title": "Tanz Cafe", "d:Description": "European style cafe in South Africa that offers tango shows, dance lessons and milongas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional", "url": "http://tanzcafe.freeservers.com/"} +{"d:Title": "BailaTango", "d:Description": "International tango schools in USA, Canada, Germany, Slovenia and Turkey", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional", "url": "http://www.bailatango.com/"} +{"d:Title": "PasionTango", "d:Description": "Group and private dance classes with Adam Vucetic and biographical information about this teacher in Lisbon, Portugal.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional", "url": "http://www.pasiontango.net/"} +{"d:Title": "Sonja y Sven: Tango Argentino", "d:Description": "Professional tango dancers and teachers in Brussels, Belgium.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional", "url": "http://www.sonjaysven.be/"} +{"d:Title": "Bergen Tango Marathon", "d:Description": "Tango Abrazo, the tango club of Bergen, Norway, hosts a yearly tango marathon during the month of November in Bergen, Norway.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional", "url": "http://bergentango.no/marathon/"} +{"d:Title": "Tangoverkstan", "d:Description": "Tango classes, private lessons, and events in Stockholm, Sweden.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional", "url": "http://www.tangoverkstan.se/"} +{"d:Title": "Taconeado", "d:Description": "A pub with a tango theme and dinner shows.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://taconeando.com/"} +{"d:Title": "TravelTango", "d:Description": "Company that offers lodging and tango classes in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.traveltango.com.ar/"} +{"d:Title": "Maria Tango", "d:Description": "Mar\u00eda Teresa offers housing in her apartment as well as tango contacts and tours.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.mariatango.com/"} +{"d:Title": "Piazzolla Tango", "d:Description": "A center with a tango art gallery and gift shop that offers dinner shows. They also offer tango classes.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.piazzollatango.com/"} +{"d:Title": "Buenos Aires Tango", "d:Description": "Classes in Buenos Aires with Lidia Ferrari. Tango resources and information.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.buenosairestango.com/"} +{"d:Title": "Cosmotango", "d:Description": "Instructional DVDs and CITA (Congreso Internacional de Tango Argentino) information with teachers Gustavo Naveira and Fabian Salas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://cosmotango.com/"} +{"d:Title": "Escuela de Tango Osvaldo Pugliese", "d:Description": "A tango tour in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.tangotour.4t.com/"} +{"d:Title": "D\u00edas de Tango", "d:Description": "Custom tango tours with lessons in Argentina organized by Dalila Yentel and Mart\u00edn Misenta.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.diasdetango.com/"} +{"d:Title": "tangocherie", "d:Description": "A blog about Buenos Aires and tango from the point of view of an expat Californian who has lived there since 2003.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://tangocherie.blogspot.com/"} +{"d:Title": "TangoTix", "d:Description": "A guide to the tango shows of Buenos Aires featuring show reviews and online booking.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://tangotix.com/"} +{"d:Title": "Tango a Palermo", "d:Description": "Information and photos taken at milongas in Palermo.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.tangoapalermo.com/index-en.html"} +{"d:Title": "Tangueros Solidarios", "d:Description": "Non-profit organisation bringing tango song and dance to public and private events in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.tanguerossolidarios.com/"} +{"d:Title": "Tango TaxiDancers", "d:Description": "An agency which provides experienced dance partners to accompany you anywhere you want to dance the Tango.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina", "url": "http://www.tangotaxidancers.com/"} +{"d:Title": "Gustavo Naviera and Giselle Anne", "d:Description": "Information about these teachers events and tours, their curriculum, fotos and videos, and information about their classes in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina/Teachers", "url": "http://www.gustavoygiselle.com/"} +{"d:Title": "Tango Berna", "d:Description": "Regular classes with Mar\u00eda Edith Bernatene in Buenos Aires and information about shows in which she participates worldwide.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina/Teachers", "url": "http://tango-berna.com.ar/"} +{"d:Title": "Pablo Nievas and Valeria Zunino", "d:Description": "Information about this tango couple, their shows and tours and their classes in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina/Teachers", "url": "http://www.pnievasyvzunino.com.ar/"} +{"d:Title": "Nicole Nau und Luis Pereyra", "d:Description": "Information about these teachers and their lessons in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina/Teachers", "url": "http://tangofolklore.com/"} +{"d:Title": "Horacio Jos\u00e9 Razetti", "d:Description": "Salon style classes in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina/Teachers", "url": "http://www.tango-classes.com/"} +{"d:Title": "H\u00e9ctor y Silvina", "d:Description": "Lessons with H\u00e9ctor Corona and Silvina Machado in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Argentina/Teachers", "url": "http://hectorysilvina.net/"} +{"d:Title": "L'Affaire De Tango", "d:Description": "Provides weekly classes and milongas, based in Melbourne.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.australiantango.com.au/"} +{"d:Title": "Patio de Tango", "d:Description": "Provides tango classes, milongas, shows and events in and around Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.patiodetango.com.au/"} +{"d:Title": "Tango Social Club of Canberra", "d:Description": "A not-for-profit organization for all things tango, based in Canberra.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://tangocanberra.asn.au/"} +{"d:Title": "Sydney Tango", "d:Description": "A full directory and calendar of tango lessons, milongas and tango events in Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.sydneytango.com.au/"} +{"d:Title": "Southern Cross Tango", "d:Description": "Tango dance school and performance company based in Adelaide.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.southerncrosstango.com.au/"} +{"d:Title": "Siempre Tango", "d:Description": "Student focussed, not-for-profit tango school based in Adelaide.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.siempretango.net.au/"} +{"d:Title": "Socialtango", "d:Description": "A group running tango classes and events, focusing on lessons and social aspects of the dance, based in Melbourne.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.socialtango.com/"} +{"d:Title": "Alma de Tango", "d:Description": "Argentine tango school run by Hugo Fern\u00e1ndez, based in Queensland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.almadetango.com/"} +{"d:Title": "A Little Buenos Aires", "d:Description": "A school offering tango lessons and milongas, based in Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.alittlebuenosaires.com.au/"} +{"d:Title": "Club de Tango", "d:Description": "A tango school by Lisa De Lazzari and Peter Waller, based in Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.clubdetango.com.au/"} +{"d:Title": "Northern Tango", "d:Description": "Northern Tango is a community of tango dancers in Darwin, Northern Territory.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://northerntango.nstemp.com/"} +{"d:Title": "Dance Tango", "d:Description": "Tango lessons with Federico and milonga information for Sydney.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.dancetango.com.au/"} +{"d:Title": "Tango Sal\u00f3n Adelaide", "d:Description": "Tango classes and practicas focusing on social dancing in Adelaide.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.tangosalonadelaide.blogspot.com/"} +{"d:Title": "TangoAustralia", "d:Description": "Argentine tango in Australia including news, interviews, competitions, a forum, calendars of milongas in each state and a community board.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.tangoaustralia.com.au/"} +{"d:Title": "Tango Melbourne", "d:Description": "Argentine tango lessons, milongas and special tango events in Melbourne, Victoria.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://tangomelbourne.com.au/"} +{"d:Title": "Argentine Tango Perth", "d:Description": "Tango classes available in various locations in Perth.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://tangoperth.com/"} +{"d:Title": "Juan Rando Dance Academy", "d:Description": "Provides practicas and classes in Perth, Western Australia.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Australia", "url": "http://www.jrda.com.au/"} +{"d:Title": "Tangissimo", "d:Description": "Biography and contact information for shows and lessons with Martin and Claudia in L\u00e4ndle.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Austria", "url": "http://www.tangissimo.net/"} +{"d:Title": "PCTango", "d:Description": "Practicas, milongas, and private classes with Paul R\u00f6ssler and Celia Back-Vega.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Austria", "url": "http://www.pctango.com/"} +{"d:Title": "Al Comp\u00e1s del Coraz\u00f3n", "d:Description": "Teachers Marisa and Oliver live and work in Brussels, Belgium but regularly teach in other European countries as well.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Belgium", "url": "http://www.marisayoliver.com/"} +{"d:Title": "ToTANGO", "d:Description": "Lists events in Toronto and Montr\u00e9al. Also contains articles about tango.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://totango.net/"} +{"d:Title": "Social Argentine Tango in Toronto", "d:Description": "Lists classes, milongas, and upcoming events in Toronto.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://www.torontotango.com/"} +{"d:Title": "Studio Tango Montreal", "d:Description": "Tango dance studio in downtown Montreal with classes and events.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://www.studiotango.ca/"} +{"d:Title": "El Abrazo", "d:Description": "A site in Toronto dedicated to teaching close embrace tango.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://www.elabrazo.ca/"} +{"d:Title": "MonTango", "d:Description": "Argentine tango dance school in Montreal, Quebec.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://www.montango.ca/"} +{"d:Title": "Studio Air de Tango Montr\u00e9al", "d:Description": "Air de Tango, run by Myl\u00e8ne Pelletier offers classes, dance evenings, a shoe boutique and a dance company in Montr\u00e9al.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://www.airdetango.com/"} +{"d:Title": "Tomas Howlin: Tango Clasico", "d:Description": "Professional dancer in Montr\u00e9al. Performance and workshop information.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://tomashowlin.com/"} +{"d:Title": "Inspiration Tango", "d:Description": "An Argentine tango company that offers lessons, dance shows and DJ services founded by Francis Vaillancourt.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://www.inspirationtango.ca/"} +{"d:Title": "Vivatango", "d:Description": "A dance studio offering tango classes and milongas in Toronto.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada", "url": "http://vivatango.blogspot.com/"} +{"d:Title": "BC Tango", "d:Description": "Classes with Gabriela Rojo and Claude Esposito and events in Vancouver.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada/British_Colombia", "url": "http://www.bctango.com/"} +{"d:Title": "Libertango", "d:Description": "Provides schedule information for this regular milonga, and also some information on the local scene in Vancouver.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada/British_Colombia", "url": "http://www.libertango.ca/"} +{"d:Title": "Linda LeeThomas", "d:Description": "Pianist of Argentine tango music in Vancouver and part of the tango group Tanguissimo.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada/British_Colombia", "url": "http://www.lindaleethomas.com/"} +{"d:Title": "Strictly Tango", "d:Description": "Tango events and classes in Vancouver.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada/British_Colombia", "url": "http://www.strictlytango.com/"} +{"d:Title": "Por el Amor del Tango", "d:Description": "Contact information for tango on Salt Spring Island.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada/British_Colombia", "url": "http://tangomockup.wordpress.com/"} +{"d:Title": "Argentine Tango Lab", "d:Description": "Classes, events, workshops and milongas in Vancouver.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada/British_Colombia", "url": "http://www.argentinetangolab.com/"} +{"d:Title": "Tango Moderna", "d:Description": "Argentine Tango with Dan Falk including classes, private lessons and workshops in Vancouver and Victoria.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Canada/British_Colombia", "url": "http://www.tangomoderna.com/"} +{"d:Title": "The Hong Kong Tango Academy", "d:Description": "Tango events with Keith and Sunshine.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/China", "url": "http://tangohk.com/"} +{"d:Title": "Hong Kong Tango Studies", "d:Description": "Classes and milongas in Hong Kong.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/China", "url": "http://hongkongtangostudies.wordpress.com/"} +{"d:Title": "Otro-Tango.com", "d:Description": "Workshops and classes with Raymond and Lily in Hong Kong.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/China", "url": "http://www.otro-tango.com/"} +{"d:Title": "TangoTang", "d:Description": "Nonprofit organization devoted to promoting tango dance and music in Hong Kong.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/China", "url": "https://www.facebook.com/TangoTang-370423291131/"} +{"d:Title": "Tango Zagreb", "d:Description": "Tango classes, workshops, milongas, practicas and concerts in Zagreb.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Croatia", "url": "http://www.tangoargentino.hr/"} +{"d:Title": "Argentine Tango in Denmark", "d:Description": "Information on tango in Denmark and the rest of Scandinavia.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Denmark", "url": "http://www.tango.dk/"} +{"d:Title": "Gotan: Tango in Denmark", "d:Description": "Features a calendar of events for Denmark and its neighbours listing milongas, classes, and events.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Denmark", "url": "http://www.gotan.dk/"} +{"d:Title": "Tangomarkkinat", "d:Description": "Sein\u00e4joki tango festival information.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Finland", "url": "http://www.tangomarkkinat.fi/"} +{"d:Title": "Amigos del Tango", "d:Description": "An association which promotes activities relating to the Argentine tango in Finland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Finland", "url": "http://www.tango.fi/"} +{"d:Title": "Tango Pasion", "d:Description": "A tango show that plays in France; includes tour dates, photos, review and cast.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/France", "url": "http://tangopasion.online.fr/"} +{"d:Title": "Le Temps du Tango Association", "d:Description": "A calendar of tango events in Paris, a map of tango associations and the tango magazine \"La Salida.\"", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/France", "url": "http://www.letempsdutango.com/"} +{"d:Title": "Pasional Tango Club", "d:Description": "Tango classes and events in Paris.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/France", "url": "http://www.tangopasional.com/"} +{"d:Title": "La Casa del Tango", "d:Description": "Information about this tango cultural center with classes, dancing, and performances located in north-eastern Paris.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/France", "url": "http://www.lacasadeltango.net/"} +{"d:Title": "Ricardo and Rotraut", "d:Description": "Tour schedule, photos, and a tango opera video for this tango couple in Germany.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Germany", "url": "http://www.tango-argentino.info/"} +{"d:Title": "JA Tango: Juan Pablo Alonso and Anne M\u00fcller", "d:Description": "Biographical and contact information for these tango dancers and teachers in Hamburg.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Germany", "url": "http://www.jatango.de/"} +{"d:Title": "Tango Argentino in Berlin", "d:Description": "Inclusive information for practicas, milongas and teacher contacts in Berlin.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Germany", "url": "https://tango.info/berlin/"} +{"d:Title": "Tango Querer News", "d:Description": "Yahoo group for a tango society with weekly milongas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Greece", "url": "http://groups.yahoo.com/group/Tangoquerernews/"} +{"d:Title": "Tango and milongas in Greece", "d:Description": "A compilation of tango information in Greece, along with a hodgepodge of tango media.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Greece", "url": "https://sites.google.com/site/newtangonewsingreecee"} +{"d:Title": "Milonga.hu", "d:Description": "Information about tango in Hungary.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Hungary", "url": "http://www.milonga.hu/"} +{"d:Title": "Tango Ireland", "d:Description": "Information on milongas, classes and events in Ireland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Ireland", "url": "http://www.tangoireland.com/"} +{"d:Title": "Dynamic Tango Dublin", "d:Description": "Argentine tango classes, milongas and events in Dublin.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Ireland", "url": "http://www.dynamictango.com/"} +{"d:Title": "Milonga Ireland", "d:Description": "Tango classes and events in Ireland, including a calendar and information for several cities.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Ireland", "url": "http://www.milonga.ie/"} +{"d:Title": "Dublin Tango Festivalito", "d:Description": "A yearly International tango festival in Dublin with workshops, performances and milongas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Ireland", "url": "http://www.dublinfestivalito.com/"} +{"d:Title": "La Milonga Tango Club", "d:Description": "Argentine tango in the area of Bologna and Italy in general.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Italy", "url": "http://www.lamilonga.it/"} +{"d:Title": "Tango in Italy", "d:Description": "Italy tango information edited by Christian Mensing.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Italy", "url": "http://www.inorg.chem.ethz.ch/tango/htm/italia.html"} +{"d:Title": "International L'Aquila Tango Festival", "d:Description": "Festival with live music, international teachers and Dj's and Argentine tango activities.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Italy", "url": "http://www.laquilatangofestival.com/"} +{"d:Title": "San Remo Tango Festival", "d:Description": "A tango festival that takes place in San Remo, Italy", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Italy", "url": "http://festivaldeltango.it/en/"} +{"d:Title": "Tango in Japan", "d:Description": "Japan tango information edited by Christian Mensing.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Japan", "url": "http://www.inorg.chem.ethz.ch/tango/htm/japan.html"} +{"d:Title": "Tango in Puerto Vallarta", "d:Description": "Practicas and classes with Al and Barbara Garvey in Puerto Vallarta, Jalisco. Also the home for Tango Bar Productions DVDs.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Mexico", "url": "http://www.tangobar-productions.com/"} +{"d:Title": "Tango Quer\u00e9taro", "d:Description": "Tango practicas and classes in Quer\u00e9taro, Mexico.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Mexico", "url": "http://queretaro.tangoelements.com/"} +{"d:Title": "TangoTalks", "d:Description": "Argentine tango in Amsterdam with Rene and Gabriela.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Netherlands", "url": "http://www.tangotalks.com/"} +{"d:Title": "La Cadena", "d:Description": "A tango magazine based in Holland with information on world tango festivals.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Netherlands", "url": "http://www.lacadena.nl/"} +{"d:Title": "Tango Abrazo", "d:Description": "Lucien Lecarme and Liesbeth Menken from Holland: a listing of their workshops and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Netherlands", "url": "http://www.tangoabrazo.nl/"} +{"d:Title": "El Corte", "d:Description": "Tango dance school in Nijmegen, Holland. Information about classes, dancing and local accommodations.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Netherlands", "url": "http://www.elcorte.com/"} +{"d:Title": "Tango Agenda for the Netherlands", "d:Description": "Lists all Argentine tango events in the Netherlands and special events in Belgium.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Netherlands", "url": "http://www.torito.nl/agenda/"} +{"d:Title": "Tango Argentino: Arjan and Marianne", "d:Description": "Dance couple from Amsterdam offering classes and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Netherlands", "url": "http://www.tangoalma.nl/"} +{"d:Title": "Toujours Tango", "d:Description": "Not currently giving group classes but available for private lessons in Wellington.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/New_Zealand", "url": "http://www.toujourstango.co.nz/"} +{"d:Title": "TangoNZ.org", "d:Description": "Argentine Tango in Wellington New Zealand.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/New_Zealand", "url": "http://www.tangonz.org/"} +{"d:Title": "Tango Nuevos Aires", "d:Description": "Classes and practicas presented in English and Spanish with David and Mariana. Includes places to dance tango in Madrid.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Spain", "url": "http://davidymariana.info/"} +{"d:Title": "El Tango", "d:Description": "A tango site in Madrid that includes places to dance in Spain and tango resources such as articles, midi files, books and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Spain", "url": "http://www.esto.es/tango/"} +{"d:Title": "Tango in Barcelona by Chris, UK", "d:Description": "A list of regular Argentine tango milongas, practic\u00e1s and lessons in Barcelona.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Spain", "url": "http://chrisjj.com/tango/barcelona/"} +{"d:Title": "Zuercher Tangowoche", "d:Description": "Site for the yearly festival in Zurich, one of the major tango events in Switzerland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Switzerland", "url": "http://www.tangowoche.ch/"} +{"d:Title": "Ski Tango", "d:Description": "Organization promoting the yearly event of a full week of tango and ski in an old swiss chalet in Arolla, Switzerland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Switzerland", "url": "http://lechatnestpasla.free.fr/"} +{"d:Title": "Tango dancing in Switzerland", "d:Description": "Switzerland tango information edited by Christian Mensing.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Switzerland", "url": "http://www.inorg.chem.ethz.ch/tango/htm/ch-tango.html"} +{"d:Title": "Tango Milonguero - Classes in Geneva", "d:Description": "Classes in Argentine Tango and Milonga given in Geneva, Switzerland and the surrounding areas. French, Spanish and English spoken.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Switzerland", "url": "http://tangomilonguero.ch/"} +{"d:Title": "Tango Taiwan", "d:Description": "Guide to activities including milongas, classes, exhibitions and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Taiwan", "url": "http://www.tangotaiwan.com/"} +{"d:Title": "Milonga Istanbul", "d:Description": "Milongas and tango events in Istanbul.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Turkey", "url": "http://www.milongaistanbul.com/"} +{"d:Title": "Tango Guide in Istanbul", "d:Description": "List of milongas, tango map, tango shoes and outfit sellers in Istanbul.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Turkey", "url": "http://www.istanbulaires.com/"} +{"d:Title": "Edinburgh Tango Society", "d:Description": "Tango events, classes, and milongas in Edinburgh, Glasgow, Scotland and North England.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.edinburghtango.org.uk/"} +{"d:Title": "Tango UK Information Point and Discussion List", "d:Description": "Discussion list for the UK argentine tango community, including resources on events, organisations, teachers and musicians.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://groups.yahoo.com/group/tango-uk/"} +{"d:Title": "Rojo y Negro", "d:Description": "North London based tango classes, events and demonstrations.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.rojoynegroclub.com/"} +{"d:Title": "Brighton Dancing", "d:Description": "Dance classes in Brighton and Hove, including Argentine tango, ballroom and Latin. Weekly milongas and other events.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.brightondancing.com/"} +{"d:Title": "Rumbos de Tango", "d:Description": "Ricardo Oria, tango dancer and teacher from Buenos Aires, offers classes, performances and private lessons in Edinburgh.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.rumbosdetango.com/"} +{"d:Title": "Norwich Tango", "d:Description": "Argentine tango dancing in Norwich.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.norwichtango.com/"} +{"d:Title": "Tango with Flavio", "d:Description": "Classes in Southampton, Guildford, and Portsmouth. Includes a description of Argentine tango technique.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangowithflavio.co.uk/"} +{"d:Title": "OKtango", "d:Description": "Lessons and workshops as well as practicas and dance evenings in London.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangoinlondon.net/"} +{"d:Title": "Metro Tango", "d:Description": "Details of classes a calendar of events in and around Norwich.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.metrotango.co.uk/"} +{"d:Title": "Tango Oblivion", "d:Description": "Argentinean tango in Totnes and Chagford in Devon. Provides details of classes and workshops and Tango Mango, a total immersion event.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangoindevon.co.uk/"} +{"d:Title": "Argentine Tango in Lincolnshire", "d:Description": "A website for Argentine Tango in Lincolnshire.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangolincs.co.uk/"} +{"d:Title": "Tango Movement", "d:Description": "Argentine tango dance classes in London and tango shows with David and Kim.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangomovement.com/"} +{"d:Title": "White Rose Tango", "d:Description": "Weekly Classes and regular workshops with Adam and Gis\u00e8le in Leeds, Harrogate and Boroughbridge.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://whiterosetango.co.uk/"} +{"d:Title": "Milongueros.net", "d:Description": "Information about all tango activities in and around Greater Manchester. Contains an event calendar, and a small DVD shop.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.milongueros.net/"} +{"d:Title": "Tango York", "d:Description": "Milonga and Argentine tango classes in York.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangoyork.co.uk/"} +{"d:Title": "Maral&Mariano", "d:Description": "Site for Maral Kojayan&Mariano Laplume, Argentine tango dancers and teachers in London.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.maralmariano.com/"} +{"d:Title": "Tango on Tyne", "d:Description": "A group of tango enthusiasts with lessons and events in Tynemouth and in the Newcastle city centre.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tango-on-tyne.co.uk/"} +{"d:Title": "Let's Tango!", "d:Description": "Argentine tango and chacarera lessons with Domenico and Mariapaola in and around Cambridge.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.canyengue.org/"} +{"d:Title": "Tango Malvern", "d:Description": "Tango classes and events in Great Malvern Worcestershire.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangomalvern.com/"} +{"d:Title": "Freedom Tango", "d:Description": "Explains the philosophy behind, and lists classes operated by this group in north and south-west London.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.freedomtango.co.uk/"} +{"d:Title": "Tanguito Argentine Tango Academy", "d:Description": "A tango school in North London.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tanguito.co.uk/"} +{"d:Title": "Plymouth Tango", "d:Description": "Argentine tango classes in Plymouth.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.plymouthtango.co.uk/"} +{"d:Title": "TangoAr Dance Studio", "d:Description": "Classes with Ricardo Delgado in London.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangoar.com/"} +{"d:Title": "Argentine Tango in Cambridge", "d:Description": "Information on the events of all tango organisers in and near Camrbridge.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.salsatango.co.uk/tango.htm"} +{"d:Title": "The Factory: Argentine Tango", "d:Description": "A gym that offers a variety of dance classes and activities including tango.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.factorylondon.com/dance/tango-classes"} +{"d:Title": "Tango UK", "d:Description": "Organises weekly classes, weekend workshops, and regular social events at its dance studio in Bramshaw.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tango.uk.com/"} +{"d:Title": "Argentine Tango in London", "d:Description": "Argentine tango classes in Central London for dancers of all levels.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tango-space.com/"} +{"d:Title": "Tomorrow's Milongas - London", "d:Description": "Milongas, workshops, and teachers in London with a map and calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "https://www.facebook.com/TomorrowsMilongasLondon"} +{"d:Title": "Cambridge Tango", "d:Description": "Site on tango dancing in Cambridge, UK.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.camtango.com/"} +{"d:Title": "Tango Argentino in Yorkshire", "d:Description": "Tango classes and events in Leeds, Sheffield and Harrogate.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://www.tangoyorkshire.co.uk/"} +{"d:Title": "TangoDuende", "d:Description": "Information regarding tango classes run by Richard Putko in Watford, England.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom", "url": "http://watfordtango.wordpress.com/"} +{"d:Title": "Edinburgh Tango Society", "d:Description": "Guide to Argentine tango events in Edinburgh and Scotland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom/Scotland", "url": "http://www.edinburghtango.org.uk/"} +{"d:Title": "Glasgow Tango Studio", "d:Description": "Information on classes, social dances, and tango events. Includes an archive of past visiting teachers and previous events.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_Kingdom/Scotland", "url": "http://www.glasgowtangostudio.co.uk/"} +{"d:Title": "TangoScene", "d:Description": "Schedule for, and photos from, San Francisco and New York city milongas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States", "url": "http://inscenes.com/tango.shtml"} +{"d:Title": "Alaska Tango Yahoo Group", "d:Description": "Provides postings for recent tango events in Alaska.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Alaska", "url": "http://groups.yahoo.com/group/alaska_tango/"} +{"d:Title": "Tango Discovery Arizona", "d:Description": "Group and private lessons in Arizona with Ravi and Satya Khalsa.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Arizona", "url": "http://tangoaz.com/"} +{"d:Title": "Learn to Dance Tango", "d:Description": "Argentine tango classes with David Liu.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Arizona", "url": "http://www.learntodancetango.com/"} +{"d:Title": "Organic Tango Website", "d:Description": "Instructors Homer Ladas in San Francisco and Moti in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://organictango.info/"} +{"d:Title": "Where to Dance Argentine Tango in San Francisco", "d:Description": "The best places to dance tango in San Francisco according to dancer Igor Polk.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.virtuar.com/tango/where.htm"} +{"d:Title": "San Francisco Tango", "d:Description": "Argentine tango classes, parties, private lessons, performances and DJ services.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.sftango.com/"} +{"d:Title": "Tango Academy of Pasadena", "d:Description": "Argentine tango instruction at all levels in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.tangoacademypasadena.com/"} +{"d:Title": "Argentine Tango with Phil Seyer", "d:Description": "His instructional videos and private lessons in the Sacramento/Roseville area.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.argentine-tango.com/"} +{"d:Title": "SLO Tango", "d:Description": "A guide to Argentine tango on the central coast of California.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.slotango.org/"} +{"d:Title": "Tango Concepts", "d:Description": "Classes with Isabelle and Florentino in San Diego.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.tangoconcepts.com/"} +{"d:Title": "Tango Afficionado", "d:Description": "An online tango resource in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.tangoafficionado.com/"} +{"d:Title": "TangoClasses.com", "d:Description": "Classes with Gustavo and Jesica Hornos in Sausalito, San Rafael and Santa Rosa.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.tangoclasses.com/"} +{"d:Title": "San Diego Tango Festival", "d:Description": "Information and registration for this yearly festival in San Diego.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.sandiegotangofestival.com/"} +{"d:Title": "Tango Caminito", "d:Description": "Tango group or private lessons and shows in Fallbrook.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://tangocaminito.com/"} +{"d:Title": "Bay Area Argentine Tango Association", "d:Description": "Page for this nonprofit membership organization which offers certain discounts and privileges for members.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.bayareatango.org/"} +{"d:Title": "Teatro de las Artes", "d:Description": "A nonprofit organization founded by Alfie Martin which has produced the Carlos Gardel Tango Festival among other things in North Hollywood.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/California", "url": "http://www.teatrodelasartes.com/"} +{"d:Title": "Tango in Denver", "d:Description": "Argentine Tango lessons, workshops, dances and festivals in Denver and Ft Collins with Tom Stermitz.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Colorado", "url": "http://www.tango.org/"} +{"d:Title": "Tango Muse", "d:Description": "The page of Tine Herreman, a tango DJ and dancer in New Haven.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Connecticut", "url": "http://www.tangomuse.com/"} +{"d:Title": "Yale Tango Club", "d:Description": "A Yale University tango club that offers classes and practicas in New Haven.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Connecticut", "url": "http://yaletangoclub.wordpress.com/"} +{"d:Title": "Teresa&Juan Carlos Figueroa", "d:Description": "Classes with these teachers in Newark.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Delaware", "url": "http://www.tangoindelaware.com/"} +{"d:Title": "Tango Times", "d:Description": "Oscar Caballero and Roxana Garber, teachers and dancers in Miami.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Florida", "url": "http://www.tangotimes.us/"} +{"d:Title": "Argentine Tango with Rick and Lynda Wilson", "d:Description": "Teachers and performers who offer classes in Atlanta.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Georgia", "url": "http://www.tangosalon.com/"} +{"d:Title": "Tango Rio", "d:Description": "Argentine tango classes, workshops and milongas in Atlanta.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Georgia", "url": "http://www.tango-rio.com/"} +{"d:Title": "Milongas: Nights of Argentine Tango", "d:Description": "Weblog posted by Neil Liveakos of Atlanta.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Georgia", "url": "http://milongas.blogspot.com/"} +{"d:Title": "Tango Evolution", "d:Description": "A social club of Argentine tango dancers from Atlanta, Georgia.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Georgia", "url": "http://www.tangoevolution.com/"} +{"d:Title": "Argentine Tango in Atlanta", "d:Description": "Social tango classes and events with Barbara Durr in Atlanta.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Georgia", "url": "http://atlantatango.com/"} +{"d:Title": "Tango Bohemia", "d:Description": "A school that offers group classes, private lessons, and milongas in Atlanta.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Georgia", "url": "http://tangobohemia.com/"} +{"d:Title": "Tangology 101 - The Study of Argentine Tango", "d:Description": "This site by Clint Rauscher give information on his classes in Atlanta, Macon and Athens and also offers dancing tips, videos and DJ information.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Georgia", "url": "http://www.tangology101.com/"} +{"d:Title": "Maui Tango", "d:Description": "Argentine tango dancing, instruction and information in Maui.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Hawaii", "url": "http://dynamics.org/maui-tango/"} +{"d:Title": "IslaTango", "d:Description": "Information about classes, practicas and milongas with George Garcia in Honolulu.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Hawaii", "url": "http://www.islatango.com/"} +{"d:Title": "Tango para Todos: The Chicago Tango School", "d:Description": "Classes with local teachers and performers Erica and Douglas. Also provides practica, milonga and teacher information for Chicago.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Illinois", "url": "http://www.tangoparatodos.com/"} +{"d:Title": "Tango Society of Central Illinois", "d:Description": "Tango community news, lessons, workshops, and milongas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Illinois", "url": "http://www.centraltango.com/"} +{"d:Title": "CU-Tango", "d:Description": "A Guide to Argentine tango in Champaign-Urbana and Central Illinois.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Illinois", "url": "http://cu-tango.com/"} +{"d:Title": "Bloomington Argentine Tango Assosciation", "d:Description": "Argentine tango classes and events in Bloomington.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Indiana", "url": "http://bloomingtontango.net/"} +{"d:Title": "Valerie Williams", "d:Description": "Home page for this dancer and choreographer who teaches tango in Ames.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Iowa", "url": "http://www.vjw.biz/"} +{"d:Title": "LexTango", "d:Description": "Argentine tango events and classes in Lexington, Kentucky.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Kentucky", "url": "http://www.lextango.com/"} +{"d:Title": "Planet Tango", "d:Description": "Tango in New Orleans with event calendar. Contains tango lyrics.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Louisiana", "url": "http://www.planet-tango.com/"} +{"d:Title": "Argentine Tango in Maine", "d:Description": "Some links for Maine tango information presented by tango enthusiast Sharon Pedersen.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Maine", "url": "http://www.bowdoin.edu/~pedersen/tango/"} +{"d:Title": "Porttango", "d:Description": "Argentine tango classes and events in Portland, Maine", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Maine", "url": "http://www.porttango.com/"} +{"d:Title": "Blue Tango", "d:Description": "Tango classes in Boston with Hsueh-tze Lee.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Massachusetts", "url": "http://www.bluetango.org/"} +{"d:Title": "Shahrukh Merchant's Argentine Tango in Boston and Cambridge", "d:Description": "Group and private classes, workshops, performances, and tango DJ Services.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Massachusetts", "url": "http://www.shahrukhmerchant.com/tango/"} +{"d:Title": "Todo Tango in Boston", "d:Description": "Argentine tango activities in and around Boston.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Massachusetts", "url": "http://tango.havetodance.com/"} +{"d:Title": "The Tango Society of Boston", "d:Description": "Tango event calendar for Boston.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Massachusetts", "url": "http://bostontango.org/"} +{"d:Title": "Argentine Tango Detroit", "d:Description": "Lessons and events in the Detroit metropolitan area.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Michigan", "url": "http://argentinetangodetroit.com/"} +{"d:Title": "Michigan Argentine Tango Club", "d:Description": "Consists of students from the University of Michigan and Ann Arbor community members.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Michigan", "url": "http://www.umich.edu/~matc/"} +{"d:Title": "Dance with Lois Donnay", "d:Description": "Argentine tango instructor in Minneapolis.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Minnesota", "url": "http://www.mndance.com/"} +{"d:Title": "Tango Society of Minnesota", "d:Description": "A non-profit organization dedicated to promoting and fostering Argentine tango in Minnesota.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Minnesota", "url": "http://mntango.org/"} +{"d:Title": "Tango St. Louis", "d:Description": "A Web site which lists upcoming events dedicated to the promotion of Argentine tango in St. Louis.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Missouri", "url": "http://www.tangostlouis.com/"} +{"d:Title": "Convergence Dance and Body Center", "d:Description": "Alicia Pons's school offers tango, swing, salsa and yoga classes in St. Louis.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Missouri", "url": "http://www.convergencedbc.com/"} +{"d:Title": "Tango Montana", "d:Description": "Argentine tango in Bozeman and the surrounding areas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Montana", "url": "http://www.tangomontana.com/"} +{"d:Title": "TangoHelena", "d:Description": "A non-profit organization which provides classes, practicas, and milongas in Helena.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Montana", "url": "http://www.tangohelena.com/"} +{"d:Title": "Tango Missoula", "d:Description": "Tango events in and around Missoula.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Montana", "url": "http://tangomissoula.com/"} +{"d:Title": "MonadknockTango", "d:Description": "Argentine tango in SW New Hampshire including seasonal series and special events in the region.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_Hampshire", "url": "http://www.monadnocktango.org/"} +{"d:Title": "Carolina Zokalski&Diego Di Falco", "d:Description": "Tango instructors and choreographers in New Jersey.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_Jersey", "url": "http://www.carolinaydiego.com/"} +{"d:Title": "Connections Dance Theater", "d:Description": "Dance educator Liliana Attar, trained at the National School of Dance in Buenos Aires, provides K-12 dance programs including tango.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_Jersey", "url": "http://connectionsdt.tripod.com/"} +{"d:Title": "Tango Club of Albuquerque", "d:Description": "A non-profit organization created by the tango community of Albuquerque and surrounding areas providing dances, classes, and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_Mexico", "url": "http://www.abqtango.org/"} +{"d:Title": "Triangulo", "d:Description": "Carina Moeller's studio in New York City hosts events and provides tango classes.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_York", "url": "http://www.tangonyc.com/"} +{"d:Title": "Tango La Nacional", "d:Description": "Tango classes and events in Manhattan.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_York", "url": "http://www.tangolanacional.com/"} +{"d:Title": "Dance Tango", "d:Description": "Information about tango in NYC and Tri-State area including places to dance, places to learn, and a calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_York", "url": "http://www.dancetango.com/"} +{"d:Title": "Liquid Tango", "d:Description": "Tango classes, workshops and performances by Caleb Cain Marcus and Ting Chin in New York.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_York", "url": "http://www.liquidtango.com/"} +{"d:Title": "Richard Lipkin's NY Tango Page", "d:Description": "Non-partisan guide to Argentine tango in New York City including classes, milongas, and practicas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_York", "url": "http://www.newyorktango.com/"} +{"d:Title": "Argentine Tango Lovers of Long Island", "d:Description": "A not-for-profit organization in Long Island with classes, milongas and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_York", "url": "http://www.argentinetangolovers.com/"} +{"d:Title": "The Tango Company", "d:Description": "Group and private dance lessons and performances directed by Carlos Ca\u00f1edo.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/New_York", "url": "http://tangoclassesnyc.com/"} +{"d:Title": "Tango Asheville", "d:Description": "Argentine tango events in Asheville and the surrounding areas.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/North_Carolina", "url": "http://www.tangoasheville.com/"} +{"d:Title": "Tango Rush", "d:Description": "A Cleveland based non-profit organization devoted to the study of close embrace tango.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Ohio", "url": "http://www.tangorush.com/"} +{"d:Title": "Where to Tango in Cleveland", "d:Description": "Argentine tango in Akron, Cleveland, and Northeast Ohio.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Ohio", "url": "http://www.neotango.net/"} +{"d:Title": "TangoOSU", "d:Description": "The Argentine tango student organization at the Ohio State University with weekly lessons and milongas in Columbus.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Ohio", "url": "http://tango.osu.edu/"} +{"d:Title": "Tangueros Unidos", "d:Description": "Argentine tango events in the Western Pennsylvania and Ohio region.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Ohio", "url": "http://www.nycdc.com/tanguerosunidos/"} +{"d:Title": "Tulsa Tango", "d:Description": "Classes milongas and practicas at Casa Tango in Tulsa.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oklahoma", "url": "http://tulsa-tango.net/"} +{"d:Title": "Tango Argentino de Tulsa", "d:Description": "A subgroup of Ballroom Dancers of Tulsa (USA Dance, Inc.) which sponsors classes and milongas and hosts visiting instructors.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oklahoma", "url": "http://oktango.net/"} +{"d:Title": "Tango Berretin", "d:Description": "Argentine tango with Alex Krebs in Portland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://www.tangoberretin.com/"} +{"d:Title": "The Tango Center", "d:Description": "In downtown Eugene. A non-profit community center dedicated to tango music and dance.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://www.tangocenter.org/"} +{"d:Title": "Tango Center Project Diary", "d:Description": "Greg Bryant's weblog describes efforts at the Tango Center in Eugene.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://tangocenter.blogspot.com/"} +{"d:Title": "Greg Bryant'sTango DJ Weblog", "d:Description": "Greg Bryant's tango DJ hosting notes.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://tangodj.blogspot.com/"} +{"d:Title": "Tango Moments", "d:Description": "A studio where Jay Rabe and Jodi Syverson give classes in Portland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://www.tangomoments.com/"} +{"d:Title": "Portland Area Argentine Tango", "d:Description": "Schedule of events, lists of instructors and DJs, local resources and image galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://www.portlandtango.com/"} +{"d:Title": "Tango Elements", "d:Description": "Classes with Graeme Clark in Southern Oregon", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://www.tangoelements.com/"} +{"d:Title": "Eugene Argentine Tango", "d:Description": "Tango events, venues and instructors in Eugene.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://www.eugenetango.com/"} +{"d:Title": "Bend Tango", "d:Description": "Information for tango in Bend, central Oregon.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://www.bendtango.com/"} +{"d:Title": "TangoPolly's Blog", "d:Description": "Tango resources and writings by Polly McBride of Portland.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://tangopolly.wordpress.com/"} +{"d:Title": "Argentine Tango Club at OSU", "d:Description": "Argentine Tango classes in Corvallis and information about events in the community.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Oregon", "url": "http://osutango.blogspot.com/"} +{"d:Title": "Urban Tango: Argentine Tango in Philadelphia", "d:Description": "Instruction for all skill levels, in the Philadelphia area.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Pennsylvania", "url": "http://www.urban-tango.com/"} +{"d:Title": "Sarah Chung Tango", "d:Description": "Argentine tango in Philadelphia with Sarah Chung.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Pennsylvania", "url": "http://www.sarahchungtango.com/"} +{"d:Title": "Tangueros Unidos", "d:Description": "Argentine tango events in the Western Pennsylvania and Ohio region.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Pennsylvania", "url": "http://www.nycdc.com/tanguerosunidos/"} +{"d:Title": "Providence Tango", "d:Description": "Argentine tango classes and dancing in Providence.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Rhode_Island", "url": "http://www.providencetango.com/"} +{"d:Title": "Tango Dancing in Rhode Island", "d:Description": "Rhode Island tango dance information including classes and schools.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Rhode_Island", "url": "http://www.ridance.com/ritango.html"} +{"d:Title": "TangoRojo: Argentine Tango in Charleston, SC", "d:Description": "Group classes and private lessons in Charleston and Mount Pleasant.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/South_Carolina", "url": "http://www.tangorojo.com/"} +{"d:Title": "Wasatch Tango", "d:Description": "Argentine tango in the Salt Lake City area including event information and calendars.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Utah", "url": "http://www.wasatchtango.org/"} +{"d:Title": "Tango Vermont", "d:Description": "Tango events and instruction with Gerd Hirschmann in Landgrove.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Vermont", "url": "http://www.tangovermont.com/"} +{"d:Title": "Queen City Tango", "d:Description": "Tango dancing calendar and events in Burlington, Vermont.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Vermont", "url": "http://www.queencitytango.org/"} +{"d:Title": "Charlottesville Tango", "d:Description": "Classes, practicas and milongas held at a tango studio in Charlottesville.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Virginia", "url": "http://www.charlottesvilletango.com/"} +{"d:Title": "Seattle Tango", "d:Description": "Classes, dances and performances by Tony Fan and Ilana Rubin.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Washington", "url": "http://www.seattletango.com/"} +{"d:Title": "Sonny Newman's Dance Hall", "d:Description": "Classes and practicas with Sonny Newman in Greater Seattle.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Washington", "url": "http://www.tangoseattle.com/"} +{"d:Title": "Argentine Tango on Seattle's Eastside", "d:Description": "Seattle dancers Patty Leverett and Kevin Rossio.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Washington", "url": "http://www.mstango.com/"} +{"d:Title": "Nuevotango Festival", "d:Description": "An annual tango festival organized by Mario Consiglieri in Seattle.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Washington", "url": "http://www.nuevotango.com/"} +{"d:Title": "allseattletango", "d:Description": "Argentine tango dances, classes, workshops, and events in Seattle.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Washington", "url": "http://allseattletango.com/"} +{"d:Title": "Viviana Tango", "d:Description": "Classes and milongas with Viviana and Isidoro in the Washington DC area.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Washington_DC", "url": "http://www.vivianatango.com/"} +{"d:Title": "Tango Mercurio", "d:Description": "A non-profit arts organization offering tango classes, educational outreach programs, guest artist workshops, and community events in Washington, DC.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Washington_DC", "url": "http://www.tangomercurio.org/"} +{"d:Title": "Wisconsin Tango", "d:Description": "Argentine Tango lessons and events in Madison.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Wisconsin", "url": "http://www.wisconsin-tango.com/"} +{"d:Title": "Madison Tango Society", "d:Description": "A not-for-profit organization with goals of expanding the love of tango and encouraging instruction in the Madison area.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/United_States/Wisconsin", "url": "http://www.madisontango.org/"} +{"d:Title": "Uruguay Tango", "d:Description": "Directory for Uruguay tango information by Christian Mensing.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Regional/Uruguay", "url": "http://www.inorg.chem.ethz.ch/tango/htm/urug.html"} +{"d:Title": "Otango", "d:Description": "Official website for this two act tango show.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Shows", "url": "http://www.otango.com/"} +{"d:Title": "Sabor a Tango", "d:Description": "An Argentine tango dinner show in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Shows", "url": "http://www.saboratango.com.ar/"} +{"d:Title": "Madero Tango", "d:Description": "A tango dinner show in Buenos Aires.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Shows", "url": "http://www.maderotango.com/en/"} +{"d:Title": "Tango Lovers", "d:Description": "A tango show featuring a group of award winning musicians, composers, dancers and singers.", "topic": "Top/Arts/Performing_Arts/Dance/Tango/Shows", "url": "https://www.tangolovers.com/"} +{"d:Title": "Chicago Tap Theatre", "d:Description": "CTT's mission is to preserve, promote and make tap accessible to a broad spectrum of people through story-based shows and innovative presentation, educate. Includes info and pictures.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.chicagotaptheatre.com/"} +{"d:Title": "Heather Cornell and Manhattan Tap", "d:Description": "Touring tap ensemble headed by Heather Cornell. The Group holds an intensive, residencies, and workshops. Includes history of the group, and show calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.manhattantap.org/"} +{"d:Title": "Vance's Fantastic Tap Dance Dictionary", "d:Description": "A glossary of tap dance terms, including names of steps and combinations, and descriptions of the movements. There is an extended history of tap, as well as video clips and information about Bill \"Bojangles\" Robinson.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.theatredance.com/dictionary.html"} +{"d:Title": "Tap City: The New York City Tap Festival", "d:Description": "Annual tap dance festival in New York City that offers master classes, training programs, premiere performances, city-wide events, International Tap Dance Hall of Fame, Tap Preservation, Tap Teacher and Hoofer Awards, and daily Tap Talks/Tap Film screenings.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.atdf.org/tapcity.html"} +{"d:Title": "Jay Fagan", "d:Description": "Promotional website for Jay Fagan, tap choreographer, teacher and Las Vegas performder.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.jayfagan.com/"} +{"d:Title": "Chicago Human Rhythm Project (CHRP)", "d:Description": "The Chicago Human Rhythm Project sustains and advances tap and contemporary percussive dance as recognized art forms through year-round concert presentations, educational forums, the oldest annual tap festival in the world, and community outreach.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.chicagotap.org/"} +{"d:Title": "Roxane Butterfly and Djellabah Groove", "d:Description": "Tap Dancer/poet Roxane Butterfly and her new show called \"Djellabah Groove.\" The show is a combination of tap, visuals, spoken word and live music.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://worldbeats.free.fr/"} +{"d:Title": "Tony Waag", "d:Description": "General information about Tony Waag including his role as Executive Producer of Tap City, the New York City Tap Festival, and many other contributions to the art of tap.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.atdf.org/t_waag.html"} +{"d:Title": "American Tap Dance Foundation (ADTF)", "d:Description": "A non-profit dance company founded by Brenda Bufalino, Tony Waag, and the late Charles \u2018Honi\u2019 Coles. Sponsors performances, educational programs, history and archival projects as well as film and lecture series.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.atdf.org/"} +{"d:Title": "Ira Bernstein - Ten Toe Percussion", "d:Description": "Percussive step dancer Ira Bernstein's site offers a bio, video clips, calendar of events, programs and formats, photos, and merchandise.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.tentoepercussion.com/"} +{"d:Title": "Alfred Desio", "d:Description": "Inventor of Tap-Tronics, a system that allows a tap dancer to play electronic instruments using the sound of the taps as a source.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.usc.edu/dept/dance/p5_bios.html"} +{"d:Title": "Tap Boston", "d:Description": "General information about tap in Boston, including classes and events.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://tapboston.havetodance.com/"} +{"d:Title": "Jazz Tap Center", "d:Description": "Production office and Tap School, originally from Tel-Aviv, Israel - but now based and operating from New York City - USA. Produces tap dance performances, master classes, workshops, and festivals.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://jazztapcenter.com/"} +{"d:Title": "Tap Dogs", "d:Description": "Site for tap show created by Dein Perry. Includes story, photo gallery, and ticket information.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.tapdogs.com/"} +{"d:Title": "Tap Kids", "d:Description": "Summer Tap program including classes and a performance as well as a chance to work with the cast and creators of the national touring show \u201cTap Kids.\u201d", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.tapkids.com/"} +{"d:Title": "Maui Tap Experience", "d:Description": "Hawaiian Islands International Tap Festival. Includes dates, teacher list and ticket information.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.mauitapexperience.org/"} +{"d:Title": "Third Coast Rhythm Project", "d:Description": "Tap Festival in san Antonio including classes, courses, panel discussion, Tap Off, and concert.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.thirdcoastrhythm.com/"} +{"d:Title": "West Coast Tap Dance Collective", "d:Description": "Non-profit group hosts monthly tap jams, annual tap dance day celebrations, and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.westcoasttapdance.com/"} +{"d:Title": "Offjazz Dance World", "d:Description": "Contains multiple tap resources including video clips, tap history, tap star profiles, terminology, workshops, and tap links.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.offjazz.com/j+tap.htm"} +{"d:Title": "Dance.Net", "d:Description": "Discussion forum with multiple sub-categories for tap.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.dance.net/tap.html"} +{"d:Title": "Johnson and Peters Tap Dance", "d:Description": "Johnson and Peters are tap dance artists with national and International credits. They offer free-lance choreography and performances and operate Johnson and Peters Tap Dance Studio located in Seattle, WA. Includes class schedule and event calendar.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.tapdanceseattle.com/"} +{"d:Title": "Swinging Night of Tap", "d:Description": "Tap Dance show directed by Birda Ringstand held in Decatur, GA. Includes show times and ticket information.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.swingingnightoftap.com/"} +{"d:Title": "NY Tap", "d:Description": "Information about special events, a tap extravaganza, outdoor shows, and a young people's conference, all in New York.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.nytap.org/"} +{"d:Title": "Buster Cooper - Tap Dancer&Choreographer", "d:Description": "Graded tap\u00a0technique videos to aid teachers and students\u00a0at home and in the classroom, offered by Buster Cooper. Includes bio, photographs, and ordering information.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.darkroomwebdesign.com/BusterCooper/"} +{"d:Title": "Bamboozled", "d:Description": "Film starring Savion Glover as a tap-dancing street artist.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.bamboozledmovie.com/film/sglover.html"} +{"d:Title": "Music Works", "d:Description": "Music and videos for learning tap, jazz, ballet, and hip hop.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.musicworksunlimited.com/"} +{"d:Title": "Just Tap", "d:Description": "Tap dance merchandise including special professional tap shoe.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.justtap.com/"} +{"d:Title": "Shinichi Matsumoto's Tap Wonderland", "d:Description": "Shinichi Matsumoto's Tap Wonderland is a collector's online museum featuring tap dance recordings, books, video and memorabilia. The site offers a window into the Tap scene in Japan.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://tap-wonderland.com/"} +{"d:Title": "Isabelles Academy of Tap", "d:Description": "Tap classes for adults and children from beginners to advanced, based in Melbourne Ausralia", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.isabellestap.com.au/"} +{"d:Title": "Alberto Ag\u00fcero", "d:Description": "Tap dancer and teacher in Argentina.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.alberto-aguero.com.ar/"} +{"d:Title": "Michelle Dorrance", "d:Description": "Teacher, choreographer and performer throughout the US and abroad.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://michelledorrance.com/"} +{"d:Title": "Michael Shoehorn Conley", "d:Description": "Contains writings about tap and electronic tap, sound clips, photos, links, press and public performance schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://shoehornmusic.com/"} +{"d:Title": "Tap Dance Festival for Youth", "d:Description": "Michigan based workshops for beginners through advanced and seniors including tap olympics.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://tapology.org/"} +{"d:Title": "International Tap Association", "d:Description": "Tap advocacy organization offering events, news, calendars, Who's Who in Tap, filmography, history, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.tapdance.org/"} +{"d:Title": "Tap Overload Dance Company", "d:Description": "Tap ensemble based in Orange County, CA under the direction of Mindy Millard. Includes information, pictures, and videos.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.tapoverload.com/"} +{"d:Title": "Bay Area Rhythm Tap Company", "d:Description": "Tap company directed by Debbie Sternbach includes photos, videos, bios, classes, and performances.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.bayarearhythmtap.com/"} +{"d:Title": "United Taps", "d:Description": "Offers a video dictionary, syllabus, combinations, choreography, music, notation, and links.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.unitedtaps.com/"} +{"d:Title": "Tap Dance Man", "d:Description": "Terrence \"Taps\" information, events, photos, and blog.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.tapdanceman.com/"} +{"d:Title": "Cintia Chamecki", "d:Description": "Offers a performance calendar, biography, pictures, videos, and press clips.", "topic": "Top/Arts/Performing_Arts/Dance/Tap", "url": "http://www.cintiachamecki.com/"} +{"d:Title": "Chicago Tap Theatre.com", "d:Description": "Provides information about the company founded by Mark Yonally: mission, repertoire, events, photos and contact info.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.chicagotaptheatre.com/"} +{"d:Title": "Tappers With Attitude", "d:Description": "Non-profit organization that offers tap classes from ages 5 through adult, beginner through advanced. Home of Knock On Wood Studio, TWA Youth Ensemble and Step Aside Tap Company.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.knockonwood.org/"} +{"d:Title": "Manhattan Tap Company", "d:Description": "It's possible to find the company's history, current performer biographies (plus lists of prior dancers and musicians), versions of touring shows for booking, and performance calendars, including residencies and workshops featuring Artistic Director Heather Cornell.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.manhattantap.org/"} +{"d:Title": "Tapsounds Underground and 3D Dance Network", "d:Description": "Gregg Russell's tap company, Tapsounds Underground, expand the boundaries of tap by Invading the Past, Developing the Future. Workshop and tour schedules are available.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.3ddn.net/"} +{"d:Title": "Footworks Percussive Dance Ensemble", "d:Description": "Based in Annapolis, Maryland, Footworks Dance Ensemble combines live music, comedy, singing, and many forms of percussive dance including Irish step dancing and jazz tap. Included are show listings, staff members, education programs, mailing lists, and special projects.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.footworks.org/"} +{"d:Title": "North Carolina Youth Tap Ensemble (NCYTE)", "d:Description": "NCYTE presents performances featuring cutting edge contemporary choreography and traditional rhythm tap as it was danced in its prime. Also sponsor of the North Carolina Rhythm Tap Festival. Includes video clips and calendar of performances.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.ncyte.org/"} +{"d:Title": "Tapestry Dance Company", "d:Description": "Founded in 1089 by master tap dancer Acia Gray and ballet/jazz artist Deirdre Strand, Tapestry Dance Company is a professional dance company specializing in the art of tap dance and multi-form choreography.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://tapestry.org/"} +{"d:Title": "Los Angeles Choreographers&Dancers", "d:Description": "Dance organization comprised of Louise Reichlin and Dancers (modern) and Zapped Taps(tm)/Alfred Desio. Information about Desio and his invention Tap-Tronics(tm), featured in the movie, Tap. Also includes video clips and a calendar of events.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.lachoreographersanddancers.org/"} +{"d:Title": "Dallas Tap Dazzlers", "d:Description": "Organized in 1990, this ensemble of senior female jazz-tap dancers entertain with fast-paced routines and colorful, quickly-changed costumes. Includes performance schedule, video clips, and booking information.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://dallastapdazzlers.org/"} +{"d:Title": "St. Louis Strutters", "d:Description": "Group of senior dancers whose fast tapping and high kicking are trademarks of their energetic performances.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.stlstrutters.com/"} +{"d:Title": "The mysterious and poetic dances of Tapage (The New York Times)", "d:Description": "Tapage\u2019s choreographic approach incorporates dramatic intensity and rhythmic complexity with a contemporary gesture, collaborating internationally withlive,classical, jazz and world musicians. A unique tap duo", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.tapage.org/"} +{"d:Title": "Footprints Tap Ensemble", "d:Description": "Twenty-four Chicago-area tap dancers who have performed on Broadway, in the Macy's Thanksgiving Day Parade, and for Disney World's 25th Anniversary.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://footprintstap.weebly.com/"} +{"d:Title": "Rhythm In Shoes", "d:Description": "Based in Dayton, Ohio, and directed by Sharon Leahy, this group integrates swing tunes, tap, hoe-downs, and clogging, offering performances and workshops. Includes event calendar, class offerings, photos, audio, shoe reviews and merchandise.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Companies", "url": "http://www.leahygood.com/rhythm-in-shoes"} +{"d:Title": "IMDb: Bill Robinson", "d:Description": "Bojangles's filmography.", "topic": "Top/Arts/Performing_Arts/Dance/Tap/Robinson,_Bill", "url": "http://www.imdb.com/name/nm0732408/"} +{"d:Title": "Helene Eriksen", "d:Description": "Articles on ethnic dance styles with photographs of dancers and costumes. Includes Dances of the Roma, the Turkic Peoples, Dance in Afghanistan, North Africa, Dances of the Arab World. Publication on costume and music including a Kathak lesson.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion", "url": "http://www.helene-eriksen.de/"} +{"d:Title": "The Gothic Belly Dance Resource", "d:Description": "Gothic, ritual, sacred, experimental, and related fusion forms of belly dance. History, costuming and advice. UK based.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion", "url": "http://gothicbellydance.com/"} +{"d:Title": "Dancing Darkly", "d:Description": "Laura Tempest Schmidt explains what Gothic belly dance, aka Raqs Gothique, is, and is not.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion", "url": "http://gildedserpent.com/articles27/tempestdancedarkly.htm"} +{"d:Title": "Tribe: Tribal Dance Germany and Europe", "d:Description": "Forum for the growing community of tribal style and tribal fusion dancers in Germany and the rest of Europe. Postings in German and English.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion", "url": "http://tribes.tribe.net/neastribal"} +{"d:Title": "TribalBellyDance.org", "d:Description": "Sharon Moore of Mandala Tribe presents articles, links to tutorials and photo albums of various tribes.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion", "url": "http://www.tribalbellydance.org/"} +{"d:Title": "Gothla UK", "d:Description": "Weekend of Gothic belly dance workshops, performances and dancing held in June in Leicester, UK. Schedule, teachers and booking forms.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion", "url": "http://www.gothla.co.uk/"} +{"d:Title": "Spirit of the Tribes", "d:Description": "Celebration in Florida with workshops, performances, photographs of past events, comments news.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Events", "url": "http://www.majanile.com/reflections06.html"} +{"d:Title": "Gathering of the Tribes", "d:Description": "Art, performance, music, and workshops celebrating the culture of tribal dance.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Events", "url": "http://www.gottribes.org/"} +{"d:Title": "BellyFusions", "d:Description": "Festival featuring an eclectic mix of bellydance fusions including contemporary oriental, flamenco, tribal and Gothic. Call for teachers and performers, plus workshop information. Paris. January 2009. In French and English.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Events", "url": "http://www.bellyfusions.com/"} +{"d:Title": "TribalCon", "d:Description": "Three day convention of tribal dance and music workshops in Atlanta, Georgia. Includes events guide, registration, and photographs of previous years.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Events", "url": "http://www.tribalcon.com/"} +{"d:Title": "Ariellah Aflalo", "d:Description": "Classically trained dancer exploring her Moroccan roots through tribal fusion. Performance dates, classes in California, projects and gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.ariellah.com/"} +{"d:Title": "Amel Tafsout", "d:Description": "Dance anthropologist, story teller, singer, dancer and choreographer of North African Maghreb dance. Biography, performances, articles on Algerian dance and traditions, workshops, and North African music including a live track.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.ameltafsout.com/"} +{"d:Title": "FatChanceBellyDance", "d:Description": "Carolena Nericcio, the dancer credited with developing American Tribal Style (ATS), her troupe, and studio. History and reviews, classes, international performance and workshop schedules, merchandise.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.fcbd.com/"} +{"d:Title": "Asharah", "d:Description": "Modern tribal style dancer with experience in various forms of bellydance including Turkish Rom and Egyptian oriental. Classes in Washington DC, performances, and gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.asharah.com/"} +{"d:Title": "Narah", "d:Description": "Biography, schedules of classes, workshops and performances of dancer in West Virginia. Includes list of benefits, FAQ and research of bellydance, and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.tribalbellydance.net/"} +{"d:Title": "Mahea Uchiyama Center For International Dance", "d:Description": "Features Mahea Uchiyama's studio in Berkeley, California.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.mahea.com/"} +{"d:Title": "Tahya", "d:Description": "Instruction in dance, drumming and other creative/healing arts guiding participants to find the music that dances within us all. Lehigh Valley, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.tahya.com/"} +{"d:Title": "New Mexico: Farfesha", "d:Description": "Featuring Farfesha in Albuquerque, New Mexico at their new web site location. It includes three articles (how to wrap a turban, making tassels, and ethnic jewelry).", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.farfesha.com/"} +{"d:Title": "Dancers of the Crescent Moon", "d:Description": "Troupe combining dances derived from Middle Eastern regions with lively staging and audience participation. Photo, upcoming events, and class information. San Francisco Bay area.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.dcm-siwa.com/"} +{"d:Title": "Hipnosis", "d:Description": "American Tribal Style troupe based in the Philadelphia Pennsylvania area and offering classes, performances and henna. Events and class schedule.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.tribalbellies.com/"} +{"d:Title": "Zanbaka", "d:Description": "Tribal and folkloric styles dancer in Seattle, Washington. Lists schedules of performances, workshops, classes and special events. Includes biography, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.zanbaka.com/"} +{"d:Title": "Mecca", "d:Description": "Studio and gallery located in Lexington, Kentucky. Offers multi-cultural drum and dance instruction. Home of Rakadu Gypsy Dance, a tribal-fusion bellydance company under the direction of sri TaraSita (Teresa Tomb).", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.meccadance.com/"} +{"d:Title": "Mosaic Dance", "d:Description": "Pomegranate Productions and the New Mexico Dance Coalition present this global dance company based in Santa Fe, New Mexico. Offers performances, classes and workshops for every skill level.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.mosaicdance.net/"} +{"d:Title": "Banat Casablanca", "d:Description": "Tribal bellydance troupe in Macomb, Illinois. Includes class and performance schedules, biographies, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://banatcasablanca.com/"} +{"d:Title": "Gypsy Fire Belly Dance", "d:Description": "Tribal fusion dance troupe and studio in Tulsa, Oklahoma. Includes biographies, classes, performances and photo and art galleries.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.tulsagypsyfire.us/"} +{"d:Title": "Devyani Dance Company", "d:Description": "Megha Gavin, trained with FCBD, and now in official partnership with Carolena. Classes in Birmingham, Alabama, workshops in Tribal Pura, plus biographies, galleries and drum solo video clip.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.devyani.net/"} +{"d:Title": "Tribe Badir", "d:Description": "Introduction to the tribe, Tribe Ankh student troupe, classes in San Jose, California, plus shop for dance shoes, gypsy cholis and Bedouin tents.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://members.tripod.com/tribe_badir/"} +{"d:Title": "Dalia Carella", "d:Description": "Instructor, performer, choreographer of a wider range of gypsy, sacred, Middle Eastern and fusion contemporary dance. Offers classes, performances, videos and CDs. New York.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.daliacarella.com/"} +{"d:Title": "Dancers of the Mystic Sun", "d:Description": "Professional troupe combining Middle Eastern and African dance movements, rhythms and non-traditional costuming. Awards and gallery, details of classes in Sacramento and Folsom, California.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.mysticsun.com/"} +{"d:Title": "Urban Gypsy", "d:Description": "Professional troupe from Houston, Texas, performing a blend of Egyptian, North African and Indian dance. Shows, workshops, classes, Gypsy Sisters student troupe, photographs and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.urbangypsy.cc/"} +{"d:Title": "Gypsy Dance Theatre", "d:Description": "Incorporating music, song, dance, and humorous theatre pieces, their shows include influences from many of the world's diverse ethnic cultures. Houston, Texas.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.gdtlive.com/"} +{"d:Title": "Maja", "d:Description": "Born in Cairo with Russian, Romanian, French\u2013Jewish\u2013Algerian roots, her dance blends several cultures. Teacher, performer, sponsor and director of the Gypsy Tribe troupe. Biography, philosophy, performances and contact details. Florida.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.majanile.com/"} +{"d:Title": "Read My Hips", "d:Description": "Featuring the dance company from Chicago, Illinois, which specializes in American Tribal belly dancing. Articles include \"Learn From Our Mistakes\".", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.readmyhips.com/"} +{"d:Title": "Tribe Nawaar", "d:Description": "Tribal classes and marketplace, based in Boulder, Colorado.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.tribenawaar.com/"} +{"d:Title": "Solimeno", "d:Description": "Janice Solimeno, former member of Ultra Gypsy and co-founder of The Indigo. Classes in California, workshops, performances, bookings and biography.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.solimeno.com/"} +{"d:Title": "Indirani Tribal Dance Troupe", "d:Description": "Indirani is a tribal fusion belly dance and fire dance troupe located in San Diego, California.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.indirani.com/"} +{"d:Title": "Khafif Music and Dance", "d:Description": "Tribal-style belly dance company in Pittsburgh, Pennsylvania. Classes, performances, dancers, gallery and video clips.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.khafif.com/"} +{"d:Title": "Mandara", "d:Description": "World fusion belly dance ensemble based in southwest Virginia. Biographies, performances, classes and workshops.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.mandaradance.com/"} +{"d:Title": "Anaar", "d:Description": "A practicing witch in the Feri Tradition, Anaar brings to her performances dark lore and ritual, focusing on sensual ecstasy and expressionistic storytelling. Slide show, events and press.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.anaar.info/"} +{"d:Title": "Belly Craft", "d:Description": "Founded by Alicia Sparaco and performing a fusion of gothic industrial with tribal. Details of the dancers, classes, and performances, plus video clips. Florida based.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.bellycraft.com/"} +{"d:Title": "Troupe Shuvani", "d:Description": "American Tribal Style belly dance troupe in San Diego, California. Includes biographies, photo gallery and contact information.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.troupeshuvani.com/"} +{"d:Title": "Moria", "d:Description": "Tribal fusion dancer from Bellydance Superstars. Offers performances and workshops throughout the North America, Europe and further afield.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.moriachappell.com/"} +{"d:Title": "Rose Harden", "d:Description": "Ultra Gypsy dancer, teacher, and performer based in San Francisco. Biography, performances, workshops and details of signature design, Rosehips, small skirts for wearing over Melodias.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.rosehipsdancer.com/"} +{"d:Title": "Tribal Odyssey", "d:Description": "Anthea, an ATS and Raks Sharki teacher and dancer from Fredericksburg, Virginia. Background, syllabus and classes", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.kawakib.com/tribe.html"} +{"d:Title": "Desert Rain", "d:Description": "Performing a fusion of American Tribal Style belly dance with ethnic influences. Classes in Boulder, Colorado, including teen classes, dress up days, and private or shared tuition. Performances, haflas, workshops, articles and costuming.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.desertraindance.org/"} +{"d:Title": "Unmata", "d:Description": "Fusion troupe based in Sacramento, known for high energy, extreme choreography and inventive movement. Classes, workshops, tribe.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.unmata.com/"} +{"d:Title": "Kami Liddle", "d:Description": "Solo dancer who guests with the Indigo. San Diego based classes when not touring, includes details of international workshops and performance schedules.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.kamiliddle.com/"} +{"d:Title": "Anaya Tribal", "d:Description": "Professional company based in Phoenix, Arizona who perform improvisational ATS and experimental fusion. Includes classes and workshops, videos, and costuming sales.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.anayatribal.com/"} +{"d:Title": "Anaya Belly Dance", "d:Description": "Dance company in Cincinnati, Ohio. Includes class and performance information, testimonials, shopping and photo gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://anayabellydance.com/"} +{"d:Title": "Zafira Dance", "d:Description": "World fusion with a Egyptian and Turkish style base. Tribe members, classes in Pittsburgh, Pennsylvania, plus workshops, gallery, calendar and news.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://zafiradance.tripod.com/"} +{"d:Title": "Deep Roots Dance", "d:Description": "Seattle, Washington Tribal instructors, workshops, events, and vendors.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers", "url": "http://www.deeprootsdance.com/"} +{"d:Title": "Black Swan Tribe", "d:Description": "Anysia and Meegan in Western Australia. Classes, workshops and gallery.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Australia", "url": "http://blackswantribe.com.au/"} +{"d:Title": "Tribal Blossoms", "d:Description": "Tuition and performance troupe in Brisbane. Includes details of classes and costuming.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Australia", "url": "http://www.angelfire.com/planet/tribalblossoms/"} +{"d:Title": "Underbelly", "d:Description": "Performing arts organisation from Melbourne who specialise in contemporary adaptations of ancient artforms including tribal, African dance, percussion and fire twirling. Information on workshops, tours, retreats, shopping, and a collection of videoclips.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Australia", "url": "http://www.underbellydance.com/"} +{"d:Title": "Gypsyrain", "d:Description": "North Queensland's rainforest tribe. Dancers, classes, sister tribes, Miriwinni and Tableland, plus costuming shop and Gypsy Scents.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Australia", "url": "http://www.gypsyrain.com.au/"} +{"d:Title": "Ghawazi Caravan", "d:Description": "Troupe history, biographies of the dancers, workshops, handmade costuming to order, performances, and classes in the Blue Mountains of New South Wales.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Australia", "url": "http://www.ghawazicaravan.com/"} +{"d:Title": "German Gothic Tribal Style", "d:Description": "Gothic belly dancer Deva Matisa from Berlin. Presents the history of Gothic bellydance, details of workshops, news and download of promotional video. Site in German and English.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Gothic", "url": "http://www.german-gothic-tribal.de/"} +{"d:Title": "Aepril Schaile", "d:Description": "A fusion of the Gothic, the sacred and the experimental. Classes in Portland, Maine, performance schedule, and images.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Gothic", "url": "http://aeprilschaile.com/"} +{"d:Title": "Desert Sin", "d:Description": "Gothic style dance company in the Los Angeles area of California. Philosophy, biographies, performances, photo gallery and press clippings. Includes movie clip of show.", "topic": "Top/Arts/Performing_Arts/Dance/Tribal_and_Tribal_Fusion/Teachers_and_Performers/Gothic", "url": "http://www.djahari.com/"} +{"d:Title": "Performing Arts Network Japan", "d:Description": "Information on theatre, dance, music, venues, presenters and promoters, and service and support organizations.", "topic": "Top/Arts/Performing_Arts/Directories", "url": "http://www.performingarts.jp/"} +{"d:Title": "Leicester College of Performing Arts", "d:Description": "Provides professional training in Dance, Drama, Singing, and Musical Theatre. Leicester, England.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.lcpa.co.uk/"} +{"d:Title": "The Juilliard School", "d:Description": "Private conservatory offering programs through the Divisions of Dance, Drama, and Music from its campus at Lincoln Center in New York City.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.juilliard.edu/"} +{"d:Title": "Australian National Theatre", "d:Description": "Providing training in drama, dance and musical theatre. Victoria, Australia.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.nationaltheatre.org.au/"} +{"d:Title": "Roosevelt University: Chicago College of Performing Arts", "d:Description": "Overview of programs offered at The Music Conservatory and The Theatre Conservatory.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://ccpa.roosevelt.edu/"} +{"d:Title": "The London Drama School", "d:Description": "Offers drama, theatre, film, TV and radio presenter training.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.startek-uk.com/"} +{"d:Title": "Guildhall School of Music and Drama", "d:Description": "A conservatoire whose purpose is to provide and develop the highest attainable quality of creative education, training and research in musical and theatrical performance.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.gsmd.ac.uk/"} +{"d:Title": "The Liverpool Institute for Performing Arts", "d:Description": "Based in Paul McCartney's old school in Liverpool. Specializes in music, dance, acting, sound technology and community arts.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.lipa.ac.uk/"} +{"d:Title": "Flava Entertainment Productions", "d:Description": "Offer professional assistance and training in dance, theatre, film, fashion and the music Industry.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.flavaentertainmentproductions.com/"} +{"d:Title": "Steinlein Productions", "d:Description": "General performing arts school in Los Angeles, with departments for filmmaking, acting, makeup artistry, modeling, voice, dance and yoga.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.steinleinproductions.com/"} +{"d:Title": "The College of Santa Fe", "d:Description": "Offering BFA and BA in all aspects of theatre, and theater management. Santa Fe, New Mexico.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.santafeuniversity.edu/"} +{"d:Title": "Emerson College", "d:Description": "Offers undergraduate and graduate programs in theater and dance. Boston, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.emerson.edu/academics/departments/performing-arts"} +{"d:Title": "Humboldt State University", "d:Description": "Provides courses in theatre, film and dance in the BA program, as well as training in commercial theatre and independent film production on the graduate level. Arcata, California.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://www.humboldt.edu/theatrefilmanddance/"} +{"d:Title": "Louisiana Tech University: School of the Performing Arts", "d:Description": "Encompasses the academic areas of music and theatre. Information on departments, programs, courses, events and organizations. Ruston, Louisiana.", "topic": "Top/Arts/Performing_Arts/Education", "url": "http://liberalarts.latech.edu/performing/"} +{"d:Title": "Spoleto", "d:Description": "17-day long festival in Charleston, South Carolina, offering opera, music theatre, dance and special events.", "topic": "Top/Arts/Performing_Arts/Festivals", "url": "http://www.spoletousa.org/"} +{"d:Title": "Festival of Arts - Pageant of the Masters", "d:Description": "Summer-long arts festival. History, exhibitor list, ticket information and calendar.", "topic": "Top/Arts/Performing_Arts/Festivals", "url": "http://www.foapom.com/"} +{"d:Title": "Classics in the History of Psychology", "d:Description": "William James' 1890 study of Hypnotism.", "topic": "Top/Arts/Performing_Arts/Hypnotism", "url": "http://psychclassics.yorku.ca/James/Principles/prin27.htm"} +{"d:Title": "Becker, Andrew", "d:Description": "Includes schedule, biography, show profile, common questions and contact form.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.andrewbecker.com/"} +{"d:Title": "Blaine, Michael", "d:Description": "Based near Plattsburgh, New York. Includes photos, background and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.michaelblaine.com/"} +{"d:Title": "DeLuca, Tom", "d:Description": "Includes profile, show and service profiles, news and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.tomdeluca.com/"} +{"d:Title": "DeVito, Joe", "d:Description": "Boston based performer providing schedule, booking information and show descriptions.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.joedevitohypnotist.com/"} +{"d:Title": "Faith, Bob", "d:Description": "Website includes bio, show schedule, video samples and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://bobfaith.com/"} +{"d:Title": "Grise, Kevin", "d:Description": "Based in Australia, includes show description, biography and booking information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.thehypnotist.com.au/"} +{"d:Title": "Hemberger, Harold", "d:Description": "Includes biography, photos, contact and booking information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.thesleepster.com/"} +{"d:Title": "Hill, Dave", "d:Description": "Performer includes show profiles, seminars, testimonials and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.worldsgreatesthypnotist.com/"} +{"d:Title": "Jones, Barry", "d:Description": "Performer based in California. Biography, show profile and contact information included.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.barryjones.com/"} +{"d:Title": "Knight, Paul", "d:Description": "Contains show information, photos, biography and news.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnotistpaulknight.com/"} +{"d:Title": "Mamos, Pete", "d:Description": "Entertainer based in North East United States. Provides show description, schedule, biography and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.petemamos.com/"} +{"d:Title": "Michaels, Steve", "d:Description": "New Jersey based performer providing show description, reviews and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnosisshows.com/"} +{"d:Title": "Powers, Peter", "d:Description": "Australian entertainer providing schedule, news, show description and contact details.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.peterpowers.com/"} +{"d:Title": "Sinclair, Barry", "d:Description": "Dublin based entertainer. Includes biography and information about shows and clinics.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.barrysinclair.com/"} +{"d:Title": "Stewart, Ian", "d:Description": "Located in Nova Scotia. Includes background and contact information, past appearances and frequently asked questions.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.ianstewart.info/"} +{"d:Title": "Szeles, James", "d:Description": "Biography, booking, contact and show information included.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.szeles.com/"} +{"d:Title": "Gianni", "d:Description": "Performance description, images, movies, and upcoming performances.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.unknownhypnotist.com/"} +{"d:Title": "West, Jeff", "d:Description": "Performer from Canada, providing biography, show profiles, and booking and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypshow.com/"} +{"d:Title": "Milligan, Chuck", "d:Description": "Entertainer located in Santee, California. Includes, contact information, biography and show profiles.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.chuckmilligan.com/"} +{"d:Title": "Cerbone, John", "d:Description": "Stage shows, customer programs, and leadership development.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnotistpro.com/"} +{"d:Title": "Andrews, Blake", "d:Description": "Based in Longview, Texas. Includes show description, biography, myths and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.thehypnoguy.com/"} +{"d:Title": "Dare, Sami", "d:Description": "Female performer from Minneapolis, Minnesota. Provides biography, show description and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.samidarehypnotist.com/"} +{"d:Title": "Royle, Jonathan", "d:Description": "Performer from Great Britain. Biography, show profiles, past shows and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnotorious.com/"} +{"d:Title": "Robertson, Blair", "d:Description": "Includes show options, booking information and references.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.blairrobertson.com/"} +{"d:Title": "Steele, Greg", "d:Description": "Performer based in Ohio. Includes show descriptions, video files, testimonials and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.comedyhypnotist.com/"} +{"d:Title": "Kand, Erick", "d:Description": "Florida based entertainer for public and private events. Includes FAQs, photos, and information request form.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnosisevents.com/"} +{"d:Title": "Stubbs, Ron", "d:Description": "Washington state based performer. Includes show descriptions, schedule, booking and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnodude.com/"} +{"d:Title": "Laurie, Jack", "d:Description": "Entertainer and instructor providing biography, schedule, past appearances, show descriptions and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.jacklaurie.com/"} +{"d:Title": "St James, Shane", "d:Description": "Includes show description, pictures and booking information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.shanestjames.com.au/"} +{"d:Title": "Proto, Paul", "d:Description": "Based in the United Kingdom. Includes biography, venues, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnoproto.co.uk/"} +{"d:Title": "Night, Adam", "d:Description": "Located in the United Kingdom. Includes news, information on hypnosis, show profile, contact information and biography.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.adamnight.co.uk/"} +{"d:Title": "JayDee", "d:Description": "Includes video blog, show descriptions, and schedule. Based in Edmonton, Alberta, Canada.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.jaydeehypnotist.com/"} +{"d:Title": "Muir, Robert", "d:Description": "Ceredigion, West Wales performer describes his use of hypnosis. Features video clips of shows.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.dreamguardian.co.uk/"} +{"d:Title": "Mr + Mrs Hypnotist", "d:Description": "Toronto based husband and wife act. Provides biography, show description, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.mrandmrshypnotist.com/"} +{"d:Title": "Troye", "d:Description": "Based in South Africa. Contains show information, photos and biography.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hiphypnotist.co.za/"} +{"d:Title": "Spellmaster, Steven", "d:Description": "Based out of Australia, website contains show profiles, merchandise and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.stevenspellmaster.com.au/"} +{"d:Title": "Kellogg, James Jr.", "d:Description": "Website includes bio, gallery, shows and events.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.amazinghypnotist.com/"} +{"d:Title": "England, Miles", "d:Description": "From the United Kingdom. Show profile, contact form and background information included.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnoshow.co.uk/"} +{"d:Title": "Daughters, John", "d:Description": "Includes biography, photos, contact and booking information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.johndaughters.com/"} +{"d:Title": "Valley, Jerry and Tommy Vee", "d:Description": "Includes background and contact information and news.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.stagehypnosisseminar.com/"} +{"d:Title": "Oddo, Michael", "d:Description": "Website includes information on performances and show types.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnoticstageshow.com/"} +{"d:Title": "Tyzen", "d:Description": "Las Vegas based performer. Contains show profile, biography, F.A.Q.s, references and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.tyzen.com/"} +{"d:Title": "Cristiano", "d:Description": "Information about show, frequently asked questions and contact details included.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.funhypnosis.com/"} +{"d:Title": "Dale K", "d:Description": "Entertainer from New Lenox, Illinois. Includes news, show profiles, client list, and video clips.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.dalek.com/"} +{"d:Title": "Doc Strange", "d:Description": "Located in the United Kingdom. Contains show profile, reviews, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.docstrange.co.uk/"} +{"d:Title": "Imbus, Brian", "d:Description": "Based in IL. Includes shows, promo tools and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.brianimbus.com/"} +{"d:Title": "Incredible Boris", "d:Description": "From Ontario, Canada. Includes biography, contact information, schedule and photo gallery.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.comedywood.com/"} +{"d:Title": "James, Justin", "d:Description": "Based in Las Vegas, Nevada. Contact information, show descriptions, information and pictures .", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.thehypnosiscompany.com/"} +{"d:Title": "Johnson, CJ", "d:Description": "Available for corporate and college events nationwide. Includes video files, biography, photos, schedule and show descriptions.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.cjjohnson.com/"} +{"d:Title": "Kalmon, Ricky", "d:Description": "Los Angeles, California based performer. Includes show profiles, video clips, client list, biography and booking information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.rickykalmon.com/"} +{"d:Title": "LaRosa, Dan", "d:Description": "Contains biography, show profile, reviews and contact.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://danlarosa.com/"} +{"d:Title": "Mesmer, Robert", "d:Description": "Performer based in Victoria, BC, Canada. Show description, client list, photo gallery, biography and booking information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.comedyhypnosis.com/"} +{"d:Title": "Mystic, Jason", "d:Description": "Based in Minnesota. Includes biography, show profiles, news and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.jasonmystic.com/"} +{"d:Title": "Regan, David", "d:Description": "Located in the UK. Biography, show description and contact information included.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnoticexperience.co.uk/"} +{"d:Title": "Smith, David Bryan", "d:Description": "Website includes show video's and performance information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.davidbryansmith.com/"} +{"d:Title": "Jim Spinnato", "d:Description": "Performer located in Connecticut. Biography, show profiles, videos and photos", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://jimspinnato.com/"} +{"d:Title": "James, Chris", "d:Description": "Website includes show information and performance types.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.showinfo.co.uk/"} +{"d:Title": "Zing", "d:Description": "Based in Albuquerque, New Mexico provides stand up and hypnotism shows. Includes biographies and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.zingproductions.com/"} +{"d:Title": "Wronker, Steve", "d:Description": "This site includes videos, photos, testimonials and type of shows.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.swfb.net/"} +{"d:Title": "Patrick, Jon", "d:Description": "Website includes show schedules, picture gallery and booking information", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hypnotichumourshow.com/"} +{"d:Title": "Barnhart, Don", "d:Description": "Website has show details, video clips, show photos, dates and products.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.donbarnhart.com/hypnotism.htm"} +{"d:Title": "Tranz, Justin", "d:Description": "Located in Las Vegas. Offers performance and TV show schedules, reviews, resume and booking information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.justintranz.com/"} +{"d:Title": "Pattison, Rodney", "d:Description": "Based in Australia, providing show profiles, photos and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.comedyhypnosis.asia/"} +{"d:Title": "Cole, Richard", "d:Description": "Located in Belleville, Ontario. Website includes bio, testimonials and show types.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.thathypnotistguy.com/"} +{"d:Title": "Amoroso, Laura", "d:Description": "Website includes photos, show video and events.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.lauraamorosohypnosisshow.com/"} +{"d:Title": "Routh, Josh", "d:Description": "Performer based in St. Louis, Missouri. Biography, show profile and contact information included.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.jrhypnosis.com/"} +{"d:Title": "Harrizon", "d:Description": "Show content, FAQ, and blog. Based in North West England.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.harrizon.co.uk/"} +{"d:Title": "Dr Z", "d:Description": "Website has articles, show schedules and services offered. Based in Louisiana.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://theamazingdrz.com/"} +{"d:Title": "Alexander, Rich", "d:Description": "Based in Florida. The site contains info on the show and booking the show.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://floridacomedyhypnotist.com/"} +{"d:Title": "Knight, Devin", "d:Description": "Pennsylvania based, show types and testimonials.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://topcomedyhypnotist.com/"} +{"d:Title": "Stuart Aston Ashing", "d:Description": "London based, website has video clips and booking information", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://stagehypnosisshow.co.uk/"} +{"d:Title": "Terrance B", "d:Description": "Canadian based entertainer. Show descriptions, schedule, downloads and contact information included.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://terranceb.com/"} +{"d:Title": "Aryel", "d:Description": "Columbia based, website includes photos, show video and events", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://www.hipnotista.com.ar/"} +{"d:Title": "Gerard V", "d:Description": "Background and booking information, photos and videos from previous shows. Technical information on show requirements.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://gerardv.com/"} +{"d:Title": "Allen, Rick", "d:Description": "Site includes profile, show and service profiles, news and contact information.", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://rickallenhypnotist.com/"} +{"d:Title": "Bruce James", "d:Description": "Includes show types and services", "topic": "Top/Arts/Performing_Arts/Hypnotism/Hypnotists", "url": "http://brucejameshypnotist.com/"} +{"d:Title": "Magic Show", "d:Description": "Weekly e-zine of magic and magicians.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://allmagic.com/"} +{"d:Title": "Magic&Illusion", "d:Description": "About.com guide to magic, including news, chat, original feature articles and links.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://magic.about.com/"} +{"d:Title": "The Academy of Magical Arts", "d:Description": "Private club for magicians and magic enthusiasts, located in Hollywood, CA.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://www.magiccastle.com/"} +{"d:Title": "The Learned Pig Project", "d:Description": "A repository of magical documents which can be read on-line.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://thelearnedpig.freeservers.com/"} +{"d:Title": "Genii, The Conjurors' Magazine", "d:Description": "News from the magic world, reviews of tricks, tapes and books; also contains several columns on mentalism, performing, and tricks.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://www.geniimagazine.com/"} +{"d:Title": "I Saw That!", "d:Description": "Original close-up and stand-up magic, books, and tricks with presentations for sale. Free articles, reviews, tricks, and discussions.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://www.isawthat.com/"} +{"d:Title": "Something up my Sleeves", "d:Description": "A place for magicians to come together, meet and share ideasand thoughts about the magic community. Also a place for people interested in hiring a performer to browse through various magician profiles. Site includes chats, profiles, message boards, e-mail links.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://www.angelfire.com/ma3/magicbyerik/"} +{"d:Title": "MagicWeek", "d:Description": "A magazine focusing on Magic in the UK. Designed and produced by Duncan Trillo. Updated every Saturday.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://www.magicweek.co.uk/"} +{"d:Title": "Cain's Magical Site", "d:Description": "Magical entertainment and instruction from magician Ron Cain, magic shop tricks and mystical merchandise, advice on leading a magical life, and information on magical and monstrous creatures.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://magicalsite.com/"} +{"d:Title": "Monty's World of Magic", "d:Description": "Variety of tricks and supplies for all ages. Calendar of upcoming events.", "topic": "Top/Arts/Performing_Arts/Magic", "url": "http://www.montysmagic.com/"} +{"d:Title": "Linking Page", "d:Description": "Oldest database of magic related links on the net.", "topic": "Top/Arts/Performing_Arts/Magic/Directories", "url": "http://www.linkingpage.com/"} +{"d:Title": "Street Magic Tricks And Levitation Secrets", "d:Description": "Offers David Blaine style levitation, card, coin, and sleight effects.", "topic": "Top/Arts/Performing_Arts/Magic/Effects", "url": "http://goodtricks.net/streetmagic.html"} +{"d:Title": "Magician's Network", "d:Description": "Talk about magic with other magicians and learn many tricks as well.", "topic": "Top/Arts/Performing_Arts/Magic/Effects", "url": "http://aceofspades1.webs.com/"} +{"d:Title": "The Card Collection", "d:Description": "Playing cards and gadgets for magicians.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Card", "url": "http://www.cards4magic.co.uk/"} +{"d:Title": "Mind Ellusions", "d:Description": "Free magic tricks for an aspiring magician.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Card", "url": "http://www.mind-ellusions.blogspot.com/"} +{"d:Title": "Club Magicians", "d:Description": "Magician's community where you can see the latest card and magic trick news videos.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Card", "url": "http://clubmagicians.com/"} +{"d:Title": "Flag Magic", "d:Description": "Performs online magic tricks using national flags.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.realmagic.net/"} +{"d:Title": "Trendy Magic Interactive Style", "d:Description": "Home of the original mind-reading Mysterious Rabbit (tm) interactive card trick along with a few other interactive oddities.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://magic.trendy.org/interactivemagic.html"} +{"d:Title": "NemesisMagic", "d:Description": "Classic illusions adapted to a web based format.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.gefringraphics.co.uk/Nemesis/portal/NemesisPortal.htm"} +{"d:Title": "Trick Magic", "d:Description": "Free online tricks with illustrations. Related articles, links, downloads.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.trickmagic.com/"} +{"d:Title": "Pub Tricks and Games", "d:Description": "Downloadable video clips. Forum, live chat, ebooks, free ebook and newsletter, links, and their own RSS news feed.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://pubtricks.com/"} +{"d:Title": "How To Do Magic Tricks", "d:Description": "Learn easy card tricks, coin and street magic tricks, levitation and pub tricks. Also optical illusions.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.howtodotricks.com/"} +{"d:Title": "About Levitation Techniques", "d:Description": "How to levitate. History and science of levitation explained. Balducci, Asrah, and King Rising levitations detailed and compared.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.levitation.org/"} +{"d:Title": "1StreetMagic How-to Guide", "d:Description": "Street magic tips and tricks, videos, how-to guide and supplies for street magicians. Related articles.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.1streetmagic.com/street-magic/street_magic.htm"} +{"d:Title": "Street Magic", "d:Description": "Offers tricks and tips, downloadable videos, free newsletter, and a weblog.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://streetmagic.info/"} +{"d:Title": "False Cuts", "d:Description": "Card trick exchange center. Share card tricks with other magicians worldwide. All degrees of difficulty for every level of skill.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.falsecuts.com/"} +{"d:Title": "A Google Trick", "d:Description": "Online effect utilizing what appears to be a Google search page to affect a vanish.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.darkartsmedia.com/Instructions.html"} +{"d:Title": "Cyber Magic", "d:Description": "Illusion by Kevin Gough. Download card tricks and links to other online illusions.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.compumagic.com/cybermagic"} +{"d:Title": "The Internet Lie Detector", "d:Description": "A unique card trick in which the computer appears to be able tell if the spectator is telling the truth or lying.", "topic": "Top/Arts/Performing_Arts/Magic/Effects/Online", "url": "http://www.jbum.com/liedetector/decoderring.html"} +{"d:Title": "Conjuring Lights", "d:Description": "Features galleries of famous magicians, classic effects, and rare books on conjuring.", "topic": "Top/Arts/Performing_Arts/Magic/History", "url": "http://www.conjuringlights.com/"} +{"d:Title": "Magic and Magicians", "d:Description": "Provides articles on Houdini, tricks of the trade, emergence of modern magic, and early magicians.", "topic": "Top/Arts/Performing_Arts/Magic/History", "url": "http://lookd.com/magic"} +{"d:Title": "Volpe, Luca", "d:Description": "Biography, photo gallery, shows and contact information for this Italian magician.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.lucavolpe.com/"} +{"d:Title": "Autumn Morning Star", "d:Description": "Native American storyteller and magician. Describes her storytelling and magic show. Includes biography, links and calendar of her performances. [English/German]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.angelfire.com/celeb/magicmorningstar/AMS.HTML"} +{"d:Title": "DiSero, Matthew", "d:Description": "Interactive comedy and magic for corporate after dinner events. Worldwide performances, based in Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.mattdisero.com/"} +{"d:Title": "Mode, Michael", "d:Description": "Corporate magician and speaker combines magic, comedy to teach creativity techniques.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.magicmode.com/"} +{"d:Title": "Barron, Michael", "d:Description": "Touring illusion show. Biography and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.barronmagic.com/"} +{"d:Title": "Byrne, Jason", "d:Description": "International performances, cruise ships and Las Vegas. Biography, video clip, appearances and show descriptions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.jasonbyrne.com/"} +{"d:Title": "McBride, Jeff", "d:Description": "Combining magic with mime, dance, Kabuki theater, and martial arts to produce a show dense with drama, mystery, and occult symbolism.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.mcbridemagic.com/"} +{"d:Title": "Ortiz, Darwin", "d:Description": "Casino consultant, sleight-of-hand-artist, magician and entertainer. Video clip, list of services, endorsements and clients.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://darwinortiz.com/"} +{"d:Title": "Cielen, James", "d:Description": "Performing for corporate clients and cruise ships around the world.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.dovemagic.com/"} +{"d:Title": "Romhany, Paul", "d:Description": "Comedy magic, performing as Charlie Chaplin, mostly on cruise ships. Biography, video clips and client list. Also is a published author.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.chaplinmagic.com/"} +{"d:Title": "Linn, Chris", "d:Description": "Provides entertainment that blends magic, comedy and audience participation into a show for corporate and family audiencew worldwide.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://chrislinn.com/"} +{"d:Title": "Fallon, Matthew and Mistia", "d:Description": "Magic, comedy, dance, aerial acrobatics and audience participation. Primarily cruise ship performances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.fallonmagic.com/"} +{"d:Title": "Maven, Max", "d:Description": "Discerns the thoughts of total strangers. Deals with subliminal persuasion and the power of suggestion.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.maxmaven.com/"} +{"d:Title": "Jay, Howard", "d:Description": "Stage and close-up shows for private performances, children, bar/bat mitzvahs and corporate affairs. Show descriptions, biography and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.howardjay.com/"} +{"d:Title": "Hamilton", "d:Description": "Magical and hypnotic entertainment. Stage hypnosis, comedy parlor magic, stage illusion. Online video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.carmacoproductions.com/"} +{"d:Title": "Tempest, Marco", "d:Description": "Virtual reality interactive illusions live on stage. Corporate magic for both sides of the brain. Show calendar and video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.marcotempest.com/"} +{"d:Title": "Sullivan, Lawrence", "d:Description": "Illusion, mind reading and magic. Information, news, including photos and video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://lawrencesullivan.com/"} +{"d:Title": "Saterial, George", "d:Description": "Stage, close-up and roving performances worldwide. Articles, reviews, awards and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians", "url": "http://www.magicbygeorge.com/"} +{"d:Title": "MagicCaf\u00e9 Productions", "d:Description": "An agency based in Belgium. [English/Dutch/French]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Agents_and_Agencies", "url": "http://www.youpie.com/magic/mcp/"} +{"d:Title": "Magic In Vegas", "d:Description": "Custom tailored comedy magic entertainment designed for any group, large or small. (May not display in all browsers)", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Agents_and_Agencies", "url": "http://magicinvegas.com/"} +{"d:Title": "Singapore Magicians Network", "d:Description": "A directory and service for finding performers in Asia.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Agents_and_Agencies", "url": "http://www.magicians.com.sg/"} +{"d:Title": "Philip&Henry Productions", "d:Description": "Provides live performances throughout North America and on National television. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Agents_and_Agencies", "url": "http://www.philipandhenry.com/"} +{"d:Title": "IMDB - Harry Anderson", "d:Description": "Features filmography, biography, discussions, news articles and links.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Anderson,_Harry", "url": "http://www.imdb.com/name/nm0026789/"} +{"d:Title": "TV.com - Harry Anderson", "d:Description": "Features biography, roles and appearances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Anderson,_Harry", "url": "http://www.tv.com/people/harry-anderson/"} +{"d:Title": "Criss Angel - Mindfreak", "d:Description": "Official site. Images, music clips, video, tours information, merchandise and forums.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Angel,_Criss", "url": "http://www.crissangel.com/"} +{"d:Title": "Online David Blaine Information&Techniques", "d:Description": "Offers a look into the his street magic, including photos, video clips, his levitation, and insider information with TV appearance updates.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Blaine,_David", "url": "http://www.1streetmagic.com/"} +{"d:Title": "David \"Magic Man\" Blaine", "d:Description": "A fan site with news, photographs and trick descriptions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Blaine,_David", "url": "http://davidblaine.8k.com/"} +{"d:Title": "Blaine, David", "d:Description": "Official site with the illusionist's journal, photo gallery, upcoming performances, events, and a link to his book.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Blaine,_David", "url": "http://www.davidblaine.com/"} +{"d:Title": "IMdb.com - David Blaine", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Blaine,_David", "url": "http://www.imdb.com/name/nm0086145/"} +{"d:Title": "Scotsman.com News - After 44 days, David Blaine's out of his box", "d:Description": "As the illusionist completed his 44-day starvation stunt last night, many were asking if he'd managed to do the same to the British public.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Blaine,_David", "url": "http://www.scotsman.com/news/celebrity/after-44-days-david-blaine-s-out-of-his-box-1-491497"} +{"d:Title": "Salon People | Survival of the cutest", "d:Description": "Hot young magician David Blaine gets all the love while the best tricksters get hardly any.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Blaine,_David", "url": "http://www.salon.com/1999/05/05/blaine/"} +{"d:Title": "Derren Brown", "d:Description": "Official site. Includes information about television and live shows, books, and his paintings.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Brown,_Derren", "url": "http://www.derrenbrown.co.uk/"} +{"d:Title": "Derren Brown Info", "d:Description": "Unofficial site containing news, opinion, photos and links.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Brown,_Derren", "url": "http://www.derrenbrowninfo.co.uk/"} +{"d:Title": "A Conversation with Derren Brown", "d:Description": "Two-part interview conducted by Jamy Ian Swiss in 2003 and 2004. Originally published in Genii magazine.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Brown,_Derren", "url": "http://honestliar.com/fm/works/derren-brown.html"} +{"d:Title": "Lance Burton", "d:Description": "Official site. Learn magic secrets behind Burton's popular magic tricks, join his Magic and Fan Club, and buy magic tricks.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance", "url": "http://www.lanceburton.com/"} +{"d:Title": "IMdb.com - Lance Burton", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance", "url": "http://www.imdb.com/name/nm0123643/"} +{"d:Title": "IMdb.com - Art of Magic, The (1998) (TV)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance/Movies", "url": "http://www.imdb.com/title/tt0325012/"} +{"d:Title": "IMdb.com - Magic in the Magic Kingdom (1988) (TV)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance/Movies", "url": "http://www.imdb.com/title/tt0237429/"} +{"d:Title": "IMdb.com - Houdini: Unlocking His Secrets (1995) (TV)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance/Movies", "url": "http://www.imdb.com/title/tt0322620/"} +{"d:Title": "IMdb.com - Bogus (1996)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance/Movies", "url": "http://www.imdb.com/title/tt0115725/"} +{"d:Title": "IMdb.com - Mystery Magical Special (1986) (TV)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance/Movies", "url": "http://www.imdb.com/title/tt0251886/"} +{"d:Title": "IMdb.com - New Legends (2002) (VG)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Burton,_Lance/Movies", "url": "http://www.imdb.com/title/tt0328181/"} +{"d:Title": "Vevers, Chris", "d:Description": "Shows for both adult or family groups using music, theatre and comedy magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.afmagic.com/"} +{"d:Title": "May, Peter", "d:Description": "Magic, comedy, close-up, impossible escapes and explosive mayhem. Gallery of photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.magichappens.com.au/"} +{"d:Title": "Cass, Phil", "d:Description": "Corporate functions, world wide tour dates listed, download promotional video.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.philcass.com/"} +{"d:Title": "Simonetti, Elio", "d:Description": "International conjuror with the exotic Eva Salleh. Biography and act information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.eliosimonetti.com/"} +{"d:Title": "Manuel, Brad", "d:Description": "Comedy magician for all types of events. Video previews. Also supplying original magic for magicians worldwide.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.bradmanuel.com/"} +{"d:Title": "Chew, Eng Chye", "d:Description": "Corporate magic for cocktail parties, conferences, conventions,hospitality suites, private parties, product launches, restaurants, and trade shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.chewengchye.com/"} +{"d:Title": "Laffan, Tony", "d:Description": "Illusions and escape performances. Biography, cast and crew, animated photos, wallpaper and other downloads.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.tonylaffan.com/"} +{"d:Title": "Duck Cameron", "d:Description": "Elegant magic for important occasions. Stage and close up.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.duckmagic.com/"} +{"d:Title": "Hyde, Timothy", "d:Description": "Magician, speaker, storyteller. For corporate entertainment and business education.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.pnc.com.au/~thyde/"} +{"d:Title": "Rhodes, Glen", "d:Description": "Magic and comedy for wedding receptions. Audience participation and souvenirs of the event.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.weddingentertainer.com.au/"} +{"d:Title": "Crowe, Raymond", "d:Description": "Music, magic and fast-paced comedy.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.raymondcrowe.com/"} +{"d:Title": "Dean, Adam", "d:Description": "Magician, illusionist and comedian. Biography, testimonials, client shows, news, multimedia and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.adamdean.com.au/"} +{"d:Title": "Stas, George", "d:Description": "Magician in Adelaide and Country South Australia. Corporate, Private and Public Events. Performs Stage Shows, Children's and Family Magic", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.adelaidemagic.com/"} +{"d:Title": "Bisset,Christopher", "d:Description": "Magician Corporate Speaker in Perth and Melbourne. Combines magic and suggestion psychology and hypnotism into one performance.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.christopherbisset.com.au/"} +{"d:Title": "Nicholas J. Johnson", "d:Description": "A Melbourne magician, author, stand up comedian and collector of scams.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.conman.com.au/"} +{"d:Title": "SuperSteph", "d:Description": "A female magician. Children's magic shows, party games, puppets. Based in Brisbane.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.supersteph.com/"} +{"d:Title": "Super Kids Parties Australia", "d:Description": "An Australian Directory of Children's Party Entertainers", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.superkidsparties.com.au/"} +{"d:Title": "Cosentino", "d:Description": "Stage magician featuring a mix of magic, dance, illusion. Tour details available.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.cosentino.com.au/"} +{"d:Title": "McSweeney, Terry", "d:Description": "Stage and close up magic for public, private and corporate events. Based in Melbourne.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.thefunmagicguy.com.au/"} +{"d:Title": "Magic Unlimited", "d:Description": "Tim Ellis and Sue-Anne Webster perform at corporate and private events Australia-wide and lecture to other magicians internationally. Promotional video available.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.magicunlimited.com.au/"} +{"d:Title": "Dan the Magic Man", "d:Description": "Richard Brand - Dan the Gambler act, theme stage and roving magic shows. Available for shows worldwide.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.magicdan.com.au/"} +{"d:Title": "Nickelby the Magician", "d:Description": "Illusions, birthday shows, corporate events, live animals. Sunshine Coast area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Australia", "url": "http://www.nicklebythemagician.com.au/"} +{"d:Title": "Harry Lucas - Exquisite Magic", "d:Description": "Stand-up and close-up performances for corporations, hotels, theaters, festivals, conventions and TV. Client list and biography. (English/German)", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Austria", "url": "http://www.harrylucas.com/"} +{"d:Title": "The Mechanical Magician", "d:Description": "Mime and Magic, performed anywhere around the world. Mostly street performing, but does have a 25 min stage show that works anywhere in the world.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Belgium", "url": "http://members.tripod.com/~mechanical_magician/"} +{"d:Title": "Daniel Adrian", "d:Description": "Combines magic, dexterity and creativity. Table magic or full room presentations, for all business or private events, adults and children. [English/French/Dutch]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Belgium", "url": "http://www.magiemagie.be/"} +{"d:Title": "Downing, Dale", "d:Description": "Magician, illusionist, comedian and escape artist presents shows in Canada, on cruise ships and for events world wide. PEI.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada", "url": "http://daledowning.com/"} +{"d:Title": "Deano The Magic Man", "d:Description": "Calgary based entertainment featuring magic, comedy, fun, laughter and illusions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://www.deanothemagicman.com/"} +{"d:Title": "Casavant, Sheldon", "d:Description": "Close-up magic for corporate events, fairs and festivals, and special occasions. Strolling magic, balloons, workshops and illusion show services.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://www.sheldoncasavant.com/"} +{"d:Title": "Wong, Robert", "d:Description": "Specializing in magical entertainment for birthday parties and special events for both children and adults. Also performs on cruise ships in the Caribbean and for corporate events in Canada.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://www.robertwongmagic.com/"} +{"d:Title": "Young, Richard", "d:Description": "Entertains audiences of all ages around the world with fast-paced shows that combine magical illusions, comedy and clean fun.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://ifoolu.com/"} +{"d:Title": "Cool, Christopher", "d:Description": "Provides children's birthday party magic shows and kids' parties. Reviews and FAQ. Calgary.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://calgarybirthdaymagician.com/"} +{"d:Title": "Werhun, Jason", "d:Description": "Custom-designed presentations and emcee work, with interaction and laughs, the show is always clean. Client list and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://thatcorporatemagicguy.com/"} +{"d:Title": "Visser, Chris", "d:Description": "Caters to varied venues, from corporate functions to private parties, including juggling, balloon sculptures, doves flying and large card tricks.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://members.shaw.ca/chrisvisser/"} +{"d:Title": "Smith, Brent", "d:Description": "Performs comedy magic and illusions. Strolling, close-up, stage, cabaret and children's performances. Client list and testimonials. Calgary.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://www.birthdaymagicianbrent.com/"} +{"d:Title": "Magician Randy Burtis", "d:Description": "Performing experience across Western Canada. Family friendly entertainment. Based in Grande Prairie, Alberta.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://www.magicandwonder.com/"} +{"d:Title": "The Magic of Sherry and Krall", "d:Description": "Family friendly magic and escape performances. Corporate, stage, illusion and custom shows as well as promotional escapes. Calgary.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://www.sherryandkrallmagic.com/"} +{"d:Title": "Yeats Magic Co.", "d:Description": "Stage magic for corporate functions, banquets, and other Calgary events that require one or more professional magicians.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Alberta", "url": "http://www.yeatsmagic.com/"} +{"d:Title": "Court, Clive", "d:Description": "Meet the Funslinger - a satirical show for family festivals and corporate shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.funslinger.com/"} +{"d:Title": "Martini, Aaron", "d:Description": "Private or corporate events, children's shows and special events. Biography, show information and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.aaronmartini.com/"} +{"d:Title": "Taylor, Phil", "d:Description": "Black Dragon Productions - performs all types of magic, from up close performances to larger illusions. Based on Vancouver Island.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://blackdragonproductions.com/"} +{"d:Title": "Wong, Ray", "d:Description": "Strolling, close-up and comedy. Corporate adult functions and family events. Show descriptions, event planning tips, photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.raywongmagic.com/"} +{"d:Title": "Farquhar, Shawn and Felicity", "d:Description": "Comedy, close-up and stage. Corporate, cruises and movies.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.magichampion.com/"} +{"d:Title": "Norden, Mike", "d:Description": "Entertainer for all occasions and events. Magic, balloons, and comedy.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.magicshows.ca/"} +{"d:Title": "Chris, Zacharia", "d:Description": "Mystical magic for children and adults. Birthdays, consulting, corporate events and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.zanyzack.com/"} +{"d:Title": "Clinton W. Gray", "d:Description": "Magic, music and comedy for companies and special events in Vancouver, BC", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.clintonwgray.com/"} +{"d:Title": "MagicShow2Go", "d:Description": "Matthew Johnson does comedy magic and balloon art. Offers blog and videos. Vancouver.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.magicshow2go.com/"} +{"d:Title": "Merlin Cosmos", "d:Description": "Vancouver corporate magician performs across Canada at corporate events, dinner theaters and weddings.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://bestcompanypartyshow.com/"} +{"d:Title": "Vancouver Magician Network", "d:Description": "Magicians available for the Lower Mainland, BC.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.vancouvermagician.ca/"} +{"d:Title": "Con Man Shows", "d:Description": "Craig McKee, magician in Nanaimo, BC.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.conmanshows.com/"} +{"d:Title": "The Magic of Yeeri", "d:Description": "Walk around close up magic, stage/ illusion shows or family shows for corporate and private events in the Vancouver area", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.magicianvancouver.com/"} +{"d:Title": "the King of Magic", "d:Description": "Shayne, entertainer/ magician from Victoria, BC. Also serving Vancouver and the lower mainland of BC.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.thekingofmagic.com/"} +{"d:Title": "\u00bfQu\u00e9 Bol\u00e1? Magic", "d:Description": "Originally from Cuba, Ariel Morales and his partner Rochelle Allison, present comedy magic and illusions for every occasion.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.quebolamagic.com/"} +{"d:Title": "Jamie D. Grant", "d:Description": "Vancouver magician and keynote speaker.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.jamiedgrant.com/"} +{"d:Title": "Vancouver Magic Productions", "d:Description": "Site for booking family party, event or corporate magicians.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.vancouvermagic.com/"} +{"d:Title": "Magician Trent", "d:Description": "Magician for children's, family, school, and corporate events. Vancouver and the Fraser Valley.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/British_Columbia", "url": "http://www.motivatebymagic.com/"} +{"d:Title": "Glow, Brian", "d:Description": "Performing at corporate trade shows, conventions, sales meetings, and new product launches around the world. Special effects design and pyrotechnics (fireworks). Online video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Manitoba", "url": "http://www.brianglow.com/"} +{"d:Title": "Anders Magic", "d:Description": "Interactive comedy magic shows for conferences and corporate events. Can fly in to events and cruise ships.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Manitoba", "url": "http://www.andersmagic.com/"} +{"d:Title": "Wood, Greg", "d:Description": "Adult banquet and family shows featuring humour, magic feats, and audience participation.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Manitoba", "url": "http://www.comedyillusions.com/"} +{"d:Title": "Watson, Sean", "d:Description": "Sleight-of-hand and illusion, performing for all international events. Biography, gallery and video clip.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Manitoba", "url": "http://www.watsonsmagic.com/"} +{"d:Title": "Chris Funk - Wonderist", "d:Description": "Magician and corporate entertainer. Winnipeg.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Manitoba", "url": "http://chrisfunkmagic.com/"} +{"d:Title": "Magic DJ Don", "d:Description": "Don Bernard, entertainer and magician. McLeod's, NB.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/New_Brunswick", "url": "http://www.magicdjdon.ca/"} +{"d:Title": "Stewart, Ian", "d:Description": "Information about his magic show, hypnotism act and a personal page. Featuring pictures and miscellany.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Nova_Scotia", "url": "http://www.maritimemagic.com/"} +{"d:Title": "Rod Doiron", "d:Description": "Sleight-of-hand magic, up close and personal, as well as a stage show featuring demonstrations of telepathy, prediction, and other forms of extra sensory perception. Halifax.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Nova_Scotia", "url": "http://roddoiron.com/"} +{"d:Title": "The Magical World of David Moon", "d:Description": "Performing at amusement parks, fairs, schools, restaurants, cabarets. Family entertainment. Halifax.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Nova_Scotia", "url": "http://www.davemoon.ca/"} +{"d:Title": "Julie Eng", "d:Description": "Female magician presents her classical, magical wares.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.magicienne.com/"} +{"d:Title": "Blau, Andy", "d:Description": "\"Zoltan the Adequate, geek magicians\": Renaissance-period style entertainment.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.justadequate.com/"} +{"d:Title": "Drake, Timothy", "d:Description": "Illusionist, stage, close up and corporate shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.timothydrake.ca/"} +{"d:Title": "Ben, David", "d:Description": "Sleight of hand, recreations of traditional magic of the past. Actor, writer, performer, producer, and creative consultant. Online video.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.davidben.com/"} +{"d:Title": "Farley, Luke", "d:Description": "Children's shows, birthday parties, private parties, stage.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://home.cogeco.ca/~magician/"} +{"d:Title": "Pilsworth, Chris", "d:Description": "Full-time magician based in Ottawa for corporations, private parties and theatres. Show descriptions and current performances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.borntoamaze.com/"} +{"d:Title": "Guttman, Ron", "d:Description": "Family entertainer featuring contemporary and classical magic. Mentalism for corporate and grownup audiences. Close-up and strolling magic for receptions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://thepartymagician.com/"} +{"d:Title": "The Magically Hip", "d:Description": "Comedy magic, stage hypnosis and motivational presentations. Schools performances and youth smoking prevention programs.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.themagicallyhip.com/"} +{"d:Title": "Dupont, Jean-Luc", "d:Description": "Provides magical entertainment to companies that wish to reinforce their marketing messaging for trade shows, conferences and special events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.jeanlucdupont.com/"} +{"d:Title": "Leskini", "d:Description": "Coins, cards, ropes, mingling at a trade show, banquet or cocktail party, special occasion, company party. Also sells props and effects.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.leskini.com/"} +{"d:Title": "Crawford, Ian", "d:Description": "Clean, family-oriented magical entertainment throughout Ontario, including the Haunting S\u00e9ance show. Corporate or private performances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www3.sympatico.ca/magic.ian/"} +{"d:Title": "Poynter, Ken&Barbi", "d:Description": "Specializing in corporate, family and cruise line entertainment.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.kenbarbipoynter.com/"} +{"d:Title": "Majinx, Lawrence&Cynthia", "d:Description": "Illusionists with years of theatrical, theme park and special event experience.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.majinx.com/"} +{"d:Title": "Magical Entertainer Vincent", "d:Description": "A Toronto area magician and multi-talented performer for birthdays, stage shows and close-up strolling magic for audiences of all ages.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.freewebs.com/magicvincent/"} +{"d:Title": "Joyce, Ryan", "d:Description": "Canada based touring artist performs around the world in theatre tours, cruise ships and corporate events. Magician, illusionist, hypnotist and filmmaker.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.ryanjoyce.com/"} +{"d:Title": "Master of Illusions", "d:Description": "Mentalism, comedy, escapology, motivational magic, sleight of hand, and grand illusion. Cruise lines, resorts, corporate business, night clubs. Peter Loughran, Ennismore, ON.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.masterofillusions.ca/"} +{"d:Title": "Scott, Steven", "d:Description": "Magic and humour, children and adults. Fairs, festivals, and exhibitions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.magicentprod.com/stevenscott/"} +{"d:Title": "Vaudeville Steve", "d:Description": "Magician and juggler for family and corporate audiences throughout Ontario. Steve, Kitchener, Waterloo, Cambridge area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://kitchenermagic.com/"} +{"d:Title": "Hilarious Magician Sam Pearce", "d:Description": "Illusionist and magician, performs comedy and magic across Canada. Kitchener, Ontario.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://canadianillusionist.com/"} +{"d:Title": "Palter, Jason", "d:Description": "Incorporates magic and humour. Motivational presentations for executives, product launches, corporate and community events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Ontario", "url": "http://www.jasonpalterlive.com/"} +{"d:Title": "Jutras, Louis", "d:Description": "Corporate, exhibitions, school shows, parties and anniversaries. [English/French]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Quebec", "url": "http://www.louisjutras.com/"} +{"d:Title": "Professional Magicians Alliance", "d:Description": "Team of master magicians available for corporate or private entertainment. Includes links for magic shops and magic lessons.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Quebec", "url": "http://www.magicien.qc.ca/"} +{"d:Title": "Max&Maxine", "d:Description": "More than 15 years of experience. Professional world-wide entertainment for corporate and private events will liven-up: award nights, galas, cocktail receptions, trade shows. Walk-around close-up magic and Magic-Comedy Show available. Fun-filled, highly interactive style of entertainment. Watch-stealing/pickpocket routine also included. See web site for video clip, photos and full description.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Quebec", "url": "http://www.maxmagician.com/"} +{"d:Title": "Marc Descartes", "d:Description": "Montreal magician for corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Quebec", "url": "http://marcdescartes.weebly.com/english.html"} +{"d:Title": "Mauro, Broderick", "d:Description": "Presents interactive comedy magic for both adults and children.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Saskatchewan", "url": "http://www.funnymagician.com/"} +{"d:Title": "McBain, Chester", "d:Description": "Promotional video clip.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Saskatchewan", "url": "http://www.theentertainer.ca/"} +{"d:Title": "Double Vision", "d:Description": "Identical twins who perform a comedy magic act. Stage shows and strolling magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Canada/Saskatchewan", "url": "http://www.doublevisionmagic.com/"} +{"d:Title": "Ginet, Pierre", "d:Description": "Pickpocket entertainer with comedy and magic. Includes contact information and the museum of pickpocket. (English/French)", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/France", "url": "http://www.pierreginet.com/"} +{"d:Title": "Pilouface", "d:Description": "Offers magical representations, close-ups and balloons sculpting.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/France", "url": "http://www.pilou-magicien.com/"} +{"d:Title": "Ditgen, Jan", "d:Description": "Comedy, mental and close-up, specializing in business presentations. Shows in English, German and French for an international audience. [English/German]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Germany", "url": "http://www.zauberer-zauberkuenstler-magier.de/"} +{"d:Title": "Desery, Andr\u00e9", "d:Description": "Close-up, table and stage shows. Performances for children with audience participation. [English/German]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Germany", "url": "http://www.zauberer-andre.com/"} +{"d:Title": "Ch\u00e1vez, Lukas", "d:Description": "Stage shows, table hopping, and children's performances. [English/German]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Germany", "url": "http://www.lukas-magic.de/"} +{"d:Title": "Merk, Alexander", "d:Description": "By using illusions he makes dreams visible and tells stories of time, love and farewell.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Germany", "url": "http://www.alexander-merk.com/"} +{"d:Title": "Ren\u00e9", "d:Description": "Provides information, pictures and testimonials about Rene's close-up and stage magic shows that he can present in German, French, Spanish and Japanese.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Germany", "url": "http://www.renemagic.com/"} +{"d:Title": "Blue, Danny", "d:Description": "Company meetings, seminars, receptions and birthday parties. References and photos. [English/Hungarian]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Hungary", "url": "http://www.danny-blue.com/"} +{"d:Title": "Sudhansu", "d:Description": "Profile and photographs of the engineer turned magician.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/India", "url": "http://www.sudhansu.20m.com/"} +{"d:Title": "Burman, Sourav", "d:Description": "From close-up to large stage performances. Biography, body of work, photos and videos. Based in Kolkata. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/India", "url": "http://www.souravburman.com/"} +{"d:Title": "Muthukad, Gopinath", "d:Description": "Performances and magic education. Includes background, photos, store and contact form.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/India", "url": "http://www.muthukad.com/"} +{"d:Title": "Logan, Erix", "d:Description": "Information on illusions, biography and fan club.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Italy", "url": "http://www.erixlogan.com/"} +{"d:Title": "The Napoleons", "d:Description": "Stage and TV performances. [English/Japanese]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Japan", "url": "http://www.tvland.co.jp/napoleons/"} +{"d:Title": "Maeda, Tomo", "d:Description": "Specializes in close-up magic, slight of hand with playing cards. [English/Japanese]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Japan", "url": "http://www.eva.hi-ho.ne.jp/tomo-maeda/"} +{"d:Title": "Mr.Maric", "d:Description": "Stage and TV performances. [English/Japanese]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Japan", "url": "http://www.maric.co.jp/"} +{"d:Title": "Akimoto, Tadashi", "d:Description": "Performance at restaurants and bars. [Mostly in Japanese - with links to English pages and videos]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Japan", "url": "http://www.geocities.jp/tadashi_akimoto/"} +{"d:Title": "Jones, Aaron", "d:Description": "Magic entertainment for any event. Closeup, children's, stage magic, fundraisers.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Japan", "url": "http://www.aaronjones.com/"} +{"d:Title": "Schuyer, Sylvia", "d:Description": "Close-up and table performances, corporate and cruise ships. (Bilingual English/Dutch)", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Netherlands", "url": "http://www.glamourmagic.nl/"} +{"d:Title": "The Amazing Chicane", "d:Description": "Wayne Rogers provides a variety of shows from children's parties to corporate events. Descriptions of performances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://www.nzmagic.com/"} +{"d:Title": "Watson, Alan", "d:Description": "Corporate, trade, strolling, restaurants and family events. A family of entertainers with profiles and show descriptions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://www.watson.co.nz/"} +{"d:Title": "Hall, Edward", "d:Description": "Magician and children's entertainer.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://homepages.ihug.co.nz/~edhall/magic/"} +{"d:Title": "Harry the Clown", "d:Description": "Birthday parties, family shows, shopping malls, special events. Magic, face painting and clown silliness.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://www.harrytheclown.com/"} +{"d:Title": "Wonder, Andy", "d:Description": "Auckland based performer of magic shows for children's birthday parties featuring a live performing rabbit.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://www.magicparty.co.nz/"} +{"d:Title": "Rogers, Felicity", "d:Description": "Features a giant flying butterfly and shrinking dollhouse illusion.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://www.handbird.com/magic.html"} +{"d:Title": "Reid, Bill and Mandy", "d:Description": "Stage, cabaret, children's shows, strolling, restaurant and balloon sculpturing.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://www.magicians.co.nz/"} +{"d:Title": "Fenton, Joel", "d:Description": "Comedy magic and juggling for stage shows, outdoor events and street festivals.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/New_Zealand", "url": "http://www.joel.co.nz/"} +{"d:Title": "de Matos, Luis", "d:Description": "Close-up, stage and theater on wheels. Show descriptions, photographs and biography. [English/Portuguese]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Portugal", "url": "http://www.luisdematos.pt/"} +{"d:Title": "Yu, Joe", "d:Description": "Close-up and stage shows. Family days and balloon sculpturing. Corporate and public functions, clubs, theme parks, resorts and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Singapore", "url": "http://www.joeyu.com/"} +{"d:Title": "QuinnMagic", "d:Description": "Quinn Cher does magic shows for all events and entertains people of all ages. Roving, stage and children's party. Sample tricks online.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Singapore", "url": "http://www.quinnmagic.com/"} +{"d:Title": "Sum, J. C.", "d:Description": "Performs for special events and entertainment venues across Asia Pacific. Online video, biography.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Singapore", "url": "http://www.jcsum.com/"} +{"d:Title": "Mr. Bottle", "d:Description": "Offers children's and close-up magic, and balloon art.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Singapore", "url": "http://www.magician.com.sg/"} +{"d:Title": "Concept: Magic", "d:Description": "Provides customized magic and illusion entertainment for corporate and special events across Asia.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Singapore", "url": "http://www.conceptmagic.biz/"} +{"d:Title": "Wladimir", "d:Description": "Magic cabaret, sleight of hand, table magic. (Multilingual)", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Slovenia", "url": "http://www.wladimir.org/"} +{"d:Title": "Underwood, Colin", "d:Description": "Magician, juggler and comedy entertainer. Specialising in trade shows and corporate functions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/South_Africa", "url": "http://www.colinunderwood.com/"} +{"d:Title": "Marcel Oudejans", "d:Description": "Close-up magician, entertainment for corporate events. International and South African performances available.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/South_Africa", "url": "http://www.corporatemagician.co.za/"} +{"d:Title": "Dream Makers", "d:Description": "A female and male magician work together to create stories full of mystery, drama and comedy. Corporate events. [English/Spanish]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Spain", "url": "http://magiadreammakers.com/"} +{"d:Title": "Jackson, Rick", "d:Description": "Magician, paranormal entertainer, illusionist, clown, balloon sculptor and escape artist. [English/Swedish]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://mastermagician.faithweb.com/"} +{"d:Title": "Ljungberg, Gay \u2013 Comedy&Magic Entertainment", "d:Description": "One of Sweden's most booked artists in his genre with more than 4000 performances under his belt.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://www.magicmarketing.se/"} +{"d:Title": "Whoops! Entertainment", "d:Description": "Professional magicians, really fun and dexterous entertainers. Skilled performers combining comedy and magic to entertain and amuse on events, partys and cruiseships.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://whoopsentertainment.com/"} +{"d:Title": "Mr. Dannyman", "d:Description": "Daniel Risman. Stand up, close up and children's shows with comedy. Biography and information about styles of performances. [English/Swedish]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://www.dannyman.se/"} +{"d:Title": "The Gallos", "d:Description": "Jahn Gallo and Gun are international entertainers with 25 years of experience offering comedy, magic, and illusions for all occasions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://gallo-magic.com/"} +{"d:Title": "Halvarson, Michael", "d:Description": "Pickpocket entertainer combining magic, pickpocketing, and comedy.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://www.michaelhalvarson.com/"} +{"d:Title": "Nilsson, Stefan \u2013 Prof. Nilsson", "d:Description": "Magician offering magic shows for parties and corporate events. [Swedish/English]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://korthaj.se/"} +{"d:Title": "Houdi, John \u2013 MagiComedy", "d:Description": "Corporate entertainer specializing in magic and ventriloquism.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Sweden", "url": "http://johnhoudi.com/"} +{"d:Title": "Shorty", "d:Description": "A swiss comedy magician and slapstick comedian presents his shows and biography.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Switzerland", "url": "http://www.shorty.li/"} +{"d:Title": "Peter Marvey", "d:Description": "Internationally renowned illusionist performs only unique personally designed and constructed illusions in his shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Switzerland", "url": "http://www.marvey.ch/"} +{"d:Title": "Pat Perry", "d:Description": "Creative swiss magician performing close up and stage shows in German and English. World Champion magician in the category \"general magic\" and \"most original act\".", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Switzerland", "url": "http://www.patperry.ch/"} +{"d:Title": "Markus Gabriel", "d:Description": "Charming magician and illusionist, who has won several awards for his unique inventions and performance. He offers shows from close-up to stage and television spectacles.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Switzerland", "url": "http://www.zauberei.ch/"} +{"d:Title": "Swiss-Magic Entertainment", "d:Description": "Swiss magician Dominic Bernath presents himself and his different shows from close-up magic experiences to big stage illusions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/Switzerland", "url": "http://www.swiss-magic.com/"} +{"d:Title": "Van Buren, Andrew", "d:Description": "International illusionist.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.vanburen.org.uk/"} +{"d:Title": "Ball, Ian", "d:Description": "Corporate functions, weddings and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.iballmagic.co.uk/"} +{"d:Title": "Malik, Shahid", "d:Description": "Specializing in conferences, corporate entertainment, after-dinner cabaret, and product launches. Double world record breaking escape artist.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.shahidmalik.co.uk/"} +{"d:Title": "Gardini, Peter", "d:Description": "Professional magical entertainment for any occasion.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.astonmagic.co.uk/"} +{"d:Title": "Driscoll, Rob", "d:Description": "Entertainment for adults and children. Cabaret, close-up table magic, private parties and corporate functions. Face painting, balloon modelling and stiltwalking.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.aibm.co.uk/"} +{"d:Title": "Key, Martin", "d:Description": "Provides magical entertainment for children and adults including conjuring, outdoor events, parties, corporate magic, ventriloquism, and puppet theatre.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicmartin.co.uk/"} +{"d:Title": "Keable, Ian", "d:Description": "Magician and mind reader specialising in comedy, cabaret, after dinner speaking, corporate events, and close-up magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.iankeable.co.uk/"} +{"d:Title": "Magic Matt", "d:Description": "Magic and pickpocketing for events, corporate functions and trade shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicmatt.co.uk/"} +{"d:Title": "James, Lol", "d:Description": "Close-up, corporate, cabaret, private parties. Sawing in half at a company function.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.loljames.com/"} +{"d:Title": "Reade, Nick", "d:Description": "Close-up and cabaret, trade shows, product launches, team building.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.nickreade.com/"} +{"d:Title": "Corporate Magicians", "d:Description": "The UK directory of close-up magicians, cabaret magicians, mind-readers, hypnotists and illusionists.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.corporatemagicians.com/"} +{"d:Title": "Coy, Glyn", "d:Description": "Close-up magician and mentalist from the South of England.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.coy.co.uk/"} +{"d:Title": "Rose, Darryl", "d:Description": "Magic Circle member specialising in corporate and trade show work.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.darrylrose.com/"} +{"d:Title": "Goodman, Sean", "d:Description": "Close-up and table magic for weddings, bar mitzvahs and corporate functions. Children's shows. References and enquiry form.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.simplymagic.co.uk/"} +{"d:Title": "Scarlett, Peter", "d:Description": "Close-up and cabaret magician. Site has items for sale to other magicians.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.peterscarlett.com/"} +{"d:Title": "Fortune, James", "d:Description": "A.K.A. James the Magician. Surrey based adult and children's entertainer. Also performs for charities and non-profit organizations.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicfun.co.uk/"} +{"d:Title": "James, Rob", "d:Description": "Close-up magician working throughout the United Kingdom.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.rob-james.com/"} +{"d:Title": "Richardson, Roly", "d:Description": "Magic shows, discos, face painting and parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.rolysmagicshow.co.uk/"} +{"d:Title": "Ballinger, Richard", "d:Description": "Children's entertainer and comedy magician, Weston Super Mare.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.richard-ballinger.co.uk/"} +{"d:Title": "Krendo, Kris", "d:Description": "Provides magic entertainment for adults and children at private and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicentertainments.co.uk/"} +{"d:Title": "Nyman, Andy", "d:Description": "Actor and magician. Close-Up mindreading and magic. Magic products.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.andynyman.com/"} +{"d:Title": "Keisner, Adam", "d:Description": "Performs close-up, sleight of hand magic in with common objects in the London area. Weddings, birthdays, and office parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.keisner.com/"} +{"d:Title": "Tricks of the Trade", "d:Description": "Children's and close-up magician. Site also has other acts available for booking.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.tott.co.uk/"} +{"d:Title": "Farrar, Mark S.", "d:Description": "Information on magic squares, magic, mnemonics, the Northamptonshire Magicians' Club, a book, as well as other details of Mark's hobbies.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.markfarrar.co.uk/"} +{"d:Title": "Zenon, Paul", "d:Description": "TV and corporate performer presents his biography, photos, previous engagements and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.paulzenon.com/"} +{"d:Title": "Qualter, Noel", "d:Description": "Close-up magician based in London. Available for weddings, parties and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.noelqualter.com/"} +{"d:Title": "Gray, Will", "d:Description": "Close up and cabaret magician. Parties, weddings and corporate events. Midlands.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.willgray.co.uk/"} +{"d:Title": "Eayres, Marcus", "d:Description": "Magician and children's entertainer. Biography and photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.itsakindofmagic.com/"} +{"d:Title": "Jones, Wyn", "d:Description": "Shows include magic, comedy, balloon modelling, audience participation and ventriloquism.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.okeydokeyentertainments.co.uk/"} +{"d:Title": "Cairns, Mark", "d:Description": "Close-up entertainer specialising in magic for adult audiences. Client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.markcairns.co.uk/"} +{"d:Title": "Haigh, Gary", "d:Description": "Close-up performer and entertainer. Corporate events, theatres, clubs and institutions as well as television.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.garyhaigh.co.uk/"} +{"d:Title": "Claus, Collin", "d:Description": "Close-up magic with everyday objects. Available for parties and corporate functions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://streetmagic.org.uk/"} +{"d:Title": "Carlo, Jimmy", "d:Description": "Close-up and comedy entertainment for corporate events, trade shows, product launches and promotions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.jimmycarlo.co.uk/"} +{"d:Title": "Lemezma, Marc", "d:Description": "Close-up, psychic and walkabout magical entertainment for weddings, private parties and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.lemezma.com/"} +{"d:Title": "Leonard, Eric", "d:Description": "Magic for all occasions in the North East. Based in Yarm in the Cleveland area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.ericsmagic.co.uk/"} +{"d:Title": "Freeman, Robert", "d:Description": "Magician, juggler and court jester. Wooden stilts and fire eating, paper folding and medieval banquets with performances at home and overseas.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.thejollyjester.com/"} +{"d:Title": "Day, Steve", "d:Description": "Shows for adults, corporate events and children's parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magician.uk.com/"} +{"d:Title": "Brekk's Magic", "d:Description": "Children's shows and corporate events. Show descriptions and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.brekksmagic.co.uk/"} +{"d:Title": "North, Graham", "d:Description": "Magician and mindreader. Description of current magic and mentalism acts. Also includes an online experiment in ESP. [May not work in all browsers]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://grahamnorthmagic.tripod.com/"} +{"d:Title": "Lewis, Henry", "d:Description": "Close-up and table performances for cabaret, weddings, private parties, corporate meetings and similar events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.henrylewis.info/"} +{"d:Title": "Rushton, Nick", "d:Description": "Close-up shows for weddings, television appearances, private and corporate functions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.witnessthemagic.co.uk/"} +{"d:Title": "Romany", "d:Description": "Performs internationally for cabaret, corporate and society events. Close-up and theatrical magic, comedy.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.romanymagic.com/"} +{"d:Title": "Mr Lolly's Parties", "d:Description": "Children's party entertainer, featuring games, magic and puppets for all ages.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.mrlollysparties.co.uk/"} +{"d:Title": "Howell, Christopher", "d:Description": "Close-up and cabaret shows for adults and children. Entertainer for receptions, dinners and corporate events. References, biography and photos. Based in London.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.christopherhowell.net/"} +{"d:Title": "Davis, Mandy", "d:Description": "Receptions, corporate banquets, weddings, bat mitzvahs and anniversary parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.mandydavis.co.uk/"} +{"d:Title": "John, Colin", "d:Description": "Close-up, corporate and children's shows. Face painting, balloon modelling, disco and story telling.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.colinjohn.com/"} +{"d:Title": "Alexander, Simon", "d:Description": "Close-up magic with elements of psychology and mystery. Corporate events, weddings, trade shows, anniversaries and birthdays.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://simonalexander.net/"} +{"d:Title": "Raven, Jim", "d:Description": "Close-up shows for weddings and corporate functions. Based in Hampshire.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://tricksuk.com/"} +{"d:Title": "Brannan, Ben", "d:Description": "Based in London, provides entertainment for a variety of venues.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.angelfire.com/freak/benbrannan/"} +{"d:Title": "Jay, Phil", "d:Description": "Close up magic for corporate and private entertainment. Consultant for trades shows, television, film and photo shoots.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.philjaymagic.com/"} +{"d:Title": "Pusterla, Marco", "d:Description": "Magic and storytelling shows, primarily for the East of England.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.mpmagic.co.uk/"} +{"d:Title": "Cardej, Jonny", "d:Description": "Illusions, close-up, stage, and mind reading. List of past and future performances and a selection of publicity posters.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.freewebs.com/jonnycardel/"} +{"d:Title": "Penn, David", "d:Description": "Close-up performer available to perform sleight of hand and table magic that can be performed during dinner events. Also trade shows, stage and cabaret.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.davidpenn.co.uk/"} +{"d:Title": "Charrett, Steve", "d:Description": "Corporate events, trade shows, weddings and children's parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://stevecharrettmagic.co.uk/"} +{"d:Title": "Lancaster, David", "d:Description": "Close up magician, cabaret mind reader and after dinner speaker. Weddings and corporate parties. Profile and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.davidlancaster.co.uk/"} +{"d:Title": "Charles, Roy", "d:Description": "A stand up comedy magician. Includes reviews, photos, other talents, guest book and contact details.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.freewebs.com/roycharles/"} +{"d:Title": "Boo Hiccup", "d:Description": "Comedy magician, event host and children's entertainer based in London.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.boohiccup.com/"} +{"d:Title": "Jack Bryce Magical Entertainer", "d:Description": "Devon. Specialist in close-up table magic for private and corporate events and weddings, covering the South West. Includes profile, information on his act and services, client list, testimonials, and contact details.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.jackbryce.co.uk/"} +{"d:Title": "Scorah, Kerry", "d:Description": "Close-up magician for weddings, parties and corporate events throughout the UK.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.kerryscorah.co.uk/"} +{"d:Title": "Styler, Russ", "d:Description": "Close-up and cabaret shows, for corporate customers and weddings. Client list and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.russstyler.com/"} +{"d:Title": "Cook, Alistair", "d:Description": "Official site containing news, reviews, biography, and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.alicook.com/"} +{"d:Title": "Carpenter, Sean", "d:Description": "Close-up and cabaret performances, profile and contact page.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://seancarpenter.co.uk/"} +{"d:Title": "Moses, Don", "d:Description": "Magician, comedian and entertainer specialising in weddings, after-dinner speeches and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.donmoses.co.uk/"} +{"d:Title": "Trillo, Duncan", "d:Description": "Card manipulation combined with sleight of hand, together with music. Stage performances, cruise ships, film and theatre.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.duncantrillo.co.uk/"} +{"d:Title": "Robinson, Jon", "d:Description": "Close up magic for corporate and private parties, cabaret and weddings.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.eclipsebelief.com/"} +{"d:Title": "Swift, Jeremy", "d:Description": "Magician and illusionist, offering mentalism and close-up performances for private parties and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.jeremyswift.com/"} +{"d:Title": "Bernie", "d:Description": "Sleight of hand and close-up shows for all events. Specializing in corporate entertainment and wedding receptions. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicatyourfingertips.co.uk/"} +{"d:Title": "Lowe, Ian", "d:Description": "Specialising in magic parties and balloon models.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.ian-lowe.co.uk/"} +{"d:Title": "Mehtab, Peter", "d:Description": "Close-up magician and entertainer. Presenting performances for both private parties and corporate functions. Client list and awards.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.topmagician.co.uk/"} +{"d:Title": "Einhorn, Nicholas", "d:Description": "Close-up and corporate magician. Hospitality events, trade shows and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.einhorn.co.uk/"} +{"d:Title": "Carando, Andy", "d:Description": "A children's entertainer and professional magician available for birthdays, Christmas, school, and family parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.andycarando.co.uk/"} +{"d:Title": "Michael, Dorian", "d:Description": "Close-up and table shows for weddings, birthdays, parties and corporate customers. Client list, photos and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.dorianmagic.com/"} +{"d:Title": "Franco", "d:Description": "Close-up and table magic, children's parties, balloon modelling, plate spinning. Also is an accordion player.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.francomagic.co.uk/"} +{"d:Title": "Mead, Nigel", "d:Description": "Close-up magician. Live and TV performances, private parties and corporate shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.nigelmead.com/"} +{"d:Title": "Kerr, Diddy Mike", "d:Description": "Magic, balloon modelling, face painting, disco games, puppets. Corporate events and casino.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.diddymike.plus.com/"} +{"d:Title": "Mr. Majestyck", "d:Description": "Close-up, comedy, walk-a-round and balloon modelling. Discos and karaoke, birthdays, dinners, weddings, corporate events, and festivals.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.majestyck.homecall.co.uk/"} +{"d:Title": "Leyser, Keelan", "d:Description": "Magic and illusions with dance. Close-up and table performances for trade shows, product launches, private or public events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.keelanleyser.co.uk/"} +{"d:Title": "Rowland, Ian", "d:Description": "Specialising in mind magic and psychic-flavoured illusions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.ianrowland.com/"} +{"d:Title": "Craw, Brendan", "d:Description": "Performances for children and adults. Weddings, birthdays, restaurants, hotels, schools and cabaret.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.brendinismagic.co.uk/"} +{"d:Title": "Bonsall, Dave", "d:Description": "Utilizes complex sleight of hand techniques to produce to illusion of miracles. Table and strolling performances for corporate and trade shows. Photos and video clips, client list and biography.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.fingertipmiracles.co.uk/"} +{"d:Title": "Squirty Wirty", "d:Description": "Performs a program of comedy magic, balloons, puppets, games and competitions, including sweets and prizes. Also has a live rabbit and dove with audience interaction.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.squirtywirty.co.uk/"} +{"d:Title": "Anthony, James", "d:Description": "Specializes in the performance of dinner and table magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.jamesanthony.co.uk/"} +{"d:Title": "Emazdad", "d:Description": "Magic, balloon modelling, Marmite the lion and audience participation. Family parties, weddings, schools, playgroups, fetes, hotels, holiday camps, business and product launches.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://emazdad.co.uk/"} +{"d:Title": "Sharp, Frederic", "d:Description": "Close-up table magician performs at weddings, shows and other events across the UK. Details of his services and clients, testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.sharpmagic.co.uk/"} +{"d:Title": "Fox, David", "d:Description": "Close-up and stage magician for weddings, parties and corporate events. Based in the Midlands. Performs throughout the UK.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magician-midlands.co.uk/"} +{"d:Title": "Hanrahan, James", "d:Description": "Cabaret, close-up and wedding magician. Based in Belfast.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicianbelfast.com/"} +{"d:Title": "Fall, David", "d:Description": "David Fall is a magical entertainer, providing entertainment for all ages with childrens magic, close-up, table and bar magic, corporate work, weddings and general parties", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.davidfall.com/"} +{"d:Title": "Temple, Paul", "d:Description": "Close-up magician, childrens entertainer and Punch and Judy man. Nottinghamshire.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.paultempleentertainments.co.uk/"} +{"d:Title": "Robert Pound", "d:Description": "Close up and trade show magician.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.robertpound.com/"} +{"d:Title": "Pound, Robert", "d:Description": "Close-up magic and balloon sculpture. Corporate entertainment, trade, weddings and children's shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.robertpound.co.uk/"} +{"d:Title": "Haynes, Bob", "d:Description": "Entertaining children in Milton Keynes as Uncle Bob's Magic Show. Also performs close-up and table magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.ghweb.org.uk/uncle_bobs_magic_show/"} +{"d:Title": "Clockwise, Andy", "d:Description": "Has performed hundreds of shows throughout his magic career, living rooms, halls, fetes, theatres, enthralling young and old alike with his blend of comedy magic, and sleight of hand.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://andyclockwise.weebly.com/"} +{"d:Title": "Ace of Diamonds", "d:Description": "London based magician, balloon modeller and ventriloquist for children's parties, weddings, and trade shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.colindymond.com/"} +{"d:Title": "Freedman, James", "d:Description": "The Man of Steal. International comedy pickpocket entertainer.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.jamesfreedman.com/"} +{"d:Title": "Wizard Wonky", "d:Description": "Magic and balloon animals, service and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://wizardwonky.co.uk/"} +{"d:Title": "Somerset, Maximilian", "d:Description": "Magician and musician.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.maxmagic.co.uk/"} +{"d:Title": "Murray, Andrew", "d:Description": "Corporate events, trade shows and private parties. Sleight of hand, humour and psychological subtlety.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.andrewmurray.moonfruit.com/"} +{"d:Title": "Allen, Jon", "d:Description": "Close-up magician. Member of the Inner Magic Circle.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.incorporateentertainment.co.uk/the-magician/"} +{"d:Title": "Mr Tinsel", "d:Description": "Close-up magic and large illusions for adult audiences. Children's entertainer and balloon modeller. Also provides training workshops.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://mrtinsel.co.uk/"} +{"d:Title": "Sanderson, Martin", "d:Description": "Specializing in corporate entertainment. Trade shows, exhibitions, product launches, weddings, company dinners, private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://martinsanderson.co.uk/"} +{"d:Title": "Watkins, Stuart", "d:Description": "Close-up and mentalist performances. Biography and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.stuartwatkins.co.uk/"} +{"d:Title": "Hollingworth, Guy", "d:Description": "Slight of hand and close-up, brochure, shows, history. On-line shop.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://guyhollingworth.wixsite.com/testsite"} +{"d:Title": "Hellyer, Brian", "d:Description": "Close-up and comedy with cards, rope, rings and balloons. Restaurants, private parties, corporate events, weddings and birthdays.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magic-just-magic.com/"} +{"d:Title": "Shoesmith, TJ", "d:Description": "Close-up magician. Corporate or private, formal or informal.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "https://www.tjshoesmith.co.uk/"} +{"d:Title": "Allen, Dave", "d:Description": "Magic, puppets, face painting, balloons and characters. Birthday parties, fairs, fetes, weddings, restaurants, trade fairs and product launches.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicdaveparties.co.uk/"} +{"d:Title": "Fallon, Pat", "d:Description": "Performs a range of shows from stage to close-up. Based in Dublin.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "https://www.abcmagic.ie/"} +{"d:Title": "Partridge, Philip", "d:Description": "Close-up, comedy and cabaret. Shows for both business events and children's parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://www.magicianmanchester.co.uk/"} +{"d:Title": "Seabourne, Stephen", "d:Description": "Close-up and table magician based in Solihull.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom", "url": "http://exclusive-magician.com/"} +{"d:Title": "Breck, Michael", "d:Description": "Comedy, zany puppets, balloon modeling, and a real rabbit for kids. Also, comedy and close-up illusions for adults.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.michaelbreck.com/"} +{"d:Title": "Animalman", "d:Description": "An American cowboy who provides children's party entertainment with magic, animals, stories and balloon modelling.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.minizoo.org.uk/"} +{"d:Title": "Barlow, Lewis", "d:Description": "Using simple, everyday objects to produce magic. Resume, reviews and awards.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.lewisbarlow.com/"} +{"d:Title": "McMahon, Kevin", "d:Description": "Close up table magician, providing entertainment for corporate events, weddings and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.kevinmcmahonmagic.com/"} +{"d:Title": "Top Class Magic", "d:Description": "Close up magician for hire in corporate events, weddings and house parties throughout Scotland.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.topclassmagic.co.uk/"} +{"d:Title": "Mr. Giggles", "d:Description": "Professional magic and audience participation shows for children, as well as a schools road safety show.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.mrgiggles.co.uk/"} +{"d:Title": "Paul Nardini", "d:Description": "UK magician working in both the private and the corporate markets.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.paulnardini.com/"} +{"d:Title": "Steven Dick", "d:Description": "Close-up and table performances for weddings, private functions and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.stevendick.co.uk/"} +{"d:Title": "Harrower, John", "d:Description": "Close-up and cabaret magician based in Scotland.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://www.johnharrower.com/"} +{"d:Title": "Jody Magician", "d:Description": "Offering wedding, party, cabaret and corporate magic in the Edinburgh and Glasgow areas.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_Kingdom/Scotland", "url": "http://jodymagician.com/"} +{"d:Title": "Corporate-FX", "d:Description": "Trade show magic, add a tradeshow magician and corporate magic to convention exhibits. Aisle view and theater style. Based in California, performs across the United States and Canada.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.corporatefx.com/"} +{"d:Title": "Misenheimer, Chaz", "d:Description": "Performs stage and close-up magic for private and public events and groups throughout the southeast.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.magicbychaz.com/"} +{"d:Title": "Pass\u00e9, Jim", "d:Description": "Stage magic and illusions. Fund raising and speaking engagements. Performances across the country, based in North Carolina.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.magicofjimpasse.com/"} +{"d:Title": "Fields, Bradley", "d:Description": "Stage magic with comedy and mime, MatheMagic school presentation. Online video, biography, schedule, news and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.bradleyfields.com/"} +{"d:Title": "Burgoon, Thomas", "d:Description": "Hypnotist, comedian and magician. Hotels, nightclubs, cruise ships, and Las Vegas.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.tomburgoon.com/"} +{"d:Title": "Gianni&Chazz", "d:Description": "Comedy, parlor magic, major stage and grand illusion suitable for all audiences. Casinos, fairs, theme parks, festivals, resorts and televisions appearances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.magicbuilders.com/"} +{"d:Title": "Tebo, Ron", "d:Description": "Presents shows for resorts, day care centers, schools and libraries nationwide. Includes guide to performances and booking.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.daycaremagic.20m.com/"} +{"d:Title": "Caserta, David", "d:Description": "Close-up shows for corporate event, trade show, restaurant strolling magic or private parties. Resume, news, reviews, merchandise and guest book.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.angelfire.com/ma/magicdave/"} +{"d:Title": "Vargus, Jeremy", "d:Description": "Offers a combination of live music, dance, techno-magic and illusion. Corporate, family, cruise lines, theme parks, television, custom shows. Based in California, performs across the United States.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.jeremyvargus.com/"} +{"d:Title": "Hagerman", "d:Description": "Performs shows at schools, theme parks and museums all over the country. Extreme Science, teaches children science through the use of comedy. Also writes professional comedy for a host of other performers.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://hagermania.com/"} +{"d:Title": "Romeo, Darren", "d:Description": "A one-man show that focusing on the emotion evoked by magic and music. Produced by Siegfried and Roy.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.darrenromeo.com/"} +{"d:Title": "Gifford, Lance", "d:Description": "A team of magicians and assistants perform large stage illusions, including animals. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.fairentertainer.com/"} +{"d:Title": "Winthrop, Simon", "d:Description": "Close up and stage magic, comedy, TV shows, sales meetings and product launches.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.simonwinthrop.com/"} +{"d:Title": "Levent: Magic", "d:Description": "Combines magic and madness, sleight of hand and wacky comic audience participation. Biography, photos, video clips`and press clippings.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States", "url": "http://www.leventmagic.com/"} +{"d:Title": "Mort the Mystifying and Donna", "d:Description": "Offer fun-filled magical entertainment for all ages and occasions. Birthdays, parties, restaurant, corporate.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Alabama", "url": "http://www.mortanddonna.com/"} +{"d:Title": "Robbins Productions", "d:Description": "Available for conventions, corporate events, comedy bookings, drug awareness.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Alaska", "url": "http://robbinsmagic.com/"} +{"d:Title": "Kopf, Daniel", "d:Description": "The King's Magician. Performs a \"comedy magic bird show\" for all occasions throughout the Southwest and beyond.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arizona", "url": "http://www.angelfire.com/az2/kingsmagician/"} +{"d:Title": "Holcombe, Patrick", "d:Description": "Close-up, tableside, parlor and stage for business and private performances. Biography, client list and show suggestions. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arizona", "url": "http://www.patrickholcombe.com/"} +{"d:Title": "Urban, Gene", "d:Description": "Performs close-up magic and mentalism at business events, trade shows and corporate functions. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arizona", "url": "http://www.corporatetrx.com/"} +{"d:Title": "Steele, Richard", "d:Description": "Offering corporate event planning. Comedy magic, grand scale illusions and close up entertainment are available.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arizona", "url": "http://richardsteelemagic.com/"} +{"d:Title": "Blakiston, Roger", "d:Description": "Jolly Roger - a comedy magician from England. Based in Arizona, but will travel anywhere in the world. Corporate, trade shows, strolling magic, and events for both adults and children. [May not work in all browsers]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arizona", "url": "http://www.comicmagician.com/"} +{"d:Title": "Robison, Rod", "d:Description": "Comedy magician and mentalist, corporate meetings, audience participation, clean humor and mindreading.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arizona", "url": "http://mentallusions.com/"} +{"d:Title": "Caldwell, Christopher", "d:Description": "Mentalist, trade show magician, and commercial spokesman. Presents reviews, list of clients, biography, video clips, news and booking information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arizona", "url": "http://chriscaldwell.com/"} +{"d:Title": "Gillis, Greg", "d:Description": "Specializing in entertainment at private and professional events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Arkansas", "url": "http://greggillis.tripod.com/"} +{"d:Title": "Birch, Dan", "d:Description": "Los Angeles based magician and illusionist specializing in doves. Also a magic products designer and dealer.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://danbirch.com/"} +{"d:Title": "Burr, Richard", "d:Description": "Richard and Josette, close-up and grand illusions. Television. corporate events, anti-drug shows. Client list and photographs.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.touchofmagic.com/"} +{"d:Title": "Mannix, Tim", "d:Description": "Comedy and magic for stage, intimate parties, promotions or events. Adults and children.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://tjmagic.bizland.com/"} +{"d:Title": "McDaniel, Bryan", "d:Description": "Comedy, stage, close-up and hypnosis. Based in San Diego.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.magichypnotist.com/"} +{"d:Title": "Alonzo, Ed", "d:Description": "Comedy and illusions. Biography, schedule, credits, scrapbook and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.edalonzo.com/"} +{"d:Title": "Seth, Joshua", "d:Description": "Voice-over actor, comedy magician and hypnotist. Includes biography, credits, contact information, and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.joshuaseth.com/"} +{"d:Title": "Joseph, Gerald", "d:Description": "Children, adults, and corporate events, strolling and sleight of hand. Also teaches magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.geraldsmagic.com/"} +{"d:Title": "Mickey Magic", "d:Description": "Features a biography, photos, previous customers' recommendations, and schedule.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.mickeymagic.com/"} +{"d:Title": "The Magic Beard", "d:Description": "Close-up sleight of hand expert. Shows for adults and children. Great Humble Hughdini (Hugh Macdonald) and Magic Mike/Majinga (Michael Stroud)", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://magicbeard.tripod.com/"} +{"d:Title": "Carney, John", "d:Description": "Sleight of hand and comedy with audience participation for clubs, television and corporate events. Resume, books and videos for sale.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.carneymagic.com/"} +{"d:Title": "Cole, Danny", "d:Description": "Shows based on contemporary objects. Biography, images, performance calendar.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.dannycole.net/"} +{"d:Title": "Curtis, Lee", "d:Description": "Children's entertainer based in Santa Clara County.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.magicalwizard.com/"} +{"d:Title": "Pryor, Randy", "d:Description": "Comedy, magic and audience participation. Corporate events and cruise ships. Performance and booking information, pictures and resume.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.randypryor.com/"} +{"d:Title": "Nixon, Tom", "d:Description": "Sleight of hand artist. Features newsletter, biography, services offered, and video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.tomnixon.com/"} +{"d:Title": "Alcuaz, Jethro", "d:Description": "Performing stage magic and illusions. Includes video, performance schedule and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.jethroalcuaz.com/"} +{"d:Title": "Owens, R. J.", "d:Description": "Walk around, close-up and stage shows. Also does acting and commercials. Biographical material, performance information as well as streaming video.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.rjowens.com/"} +{"d:Title": "Chan, Dan", "d:Description": "Offers entertainment from magic, illusions, escapes, fire-juggling and fire-breathing. Based in San Francisco and travels internationally.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.danchanmagic.com/"} +{"d:Title": "Varma, Michael", "d:Description": "Specializing in hosting parties at The Magic Castle in Hollywood, California.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.michaelvarma.com/"} +{"d:Title": "Rafael Magic", "d:Description": "Illusionist specializing in corporate events and private parties. Weddings, cabaret, and trade shows. Profile and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.rafaelmagic.com/"} +{"d:Title": "Rasner, Rob", "d:Description": "Strolling close-up shows for all types of parties. Comedy and balloons for children. List of current performances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.rasnermagic.com/"} +{"d:Title": "Hurley, Rich", "d:Description": "Comedy and magic using coins, cards, and borrowed objects. Also known as \"Mediocre The Great.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://richhurleymagic.com/"} +{"d:Title": "Santiago's Magic", "d:Description": "Corporate events, private parties, weddings and anniversaries.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.santiagosmagic.com/"} +{"d:Title": "Reyes, Roderick", "d:Description": "Close-up magic, trade shows, children's entertainment and stage shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://magicmanrod.com/"} +{"d:Title": "Palmer, Johnny Ace", "d:Description": "Close-up magician. Biography, awards, reviews, performance description and links.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.johnnyacepalmer.com/"} +{"d:Title": "Viner, Kevin", "d:Description": "Mentalist and magician specializing in corporate entertainment. Biography, television and theater appearances, and video footage.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.kevinvinermagic.com/"} +{"d:Title": "Weiss, Bob", "d:Description": "Los Angeles based, aka Magic Bob Weiss. Close-up, strolling, birthday party and stage shows for both children and adults.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.magicbobweiss.com/"} +{"d:Title": "Blackmore, Chris", "d:Description": "Performing for business clientele. Show descriptions, testimonials, video and photo galleries, and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.chrisblackmore.com/"} +{"d:Title": "Abrams, John", "d:Description": "Combines magic, comedy, music and live exotic pets in a stage show specifically designed for elementary schools and preschools, family fun nights and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.animalmagician.com/"} +{"d:Title": "Bliss, Thom", "d:Description": "Clean comedy and balloon animals for children, teenagers, adults, and families in southern California. Birthday parties and special programs for churches, schools, libraries.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.thomblissmagic.com/"} +{"d:Title": "Neubauer, David", "d:Description": "Close-up, strolling and stand-up magic for corporate, celebrity and private events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.dnmagic.com/"} +{"d:Title": "Barnes, Khevin", "d:Description": "Stage magician and speaker offers a performance that utilizes science, magic and imagination for corporate events, lectures and stage shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.keynotespeak.com/"} +{"d:Title": "Safire", "d:Description": "MagicMinis children's magic shows, T-shirts, and face painting. Specializing in children's parties, birthday parties, school events, and company picnics. Chinese show available. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://magicminis.com/"} +{"d:Title": "Lewis, Dave", "d:Description": "Family entertainment that includes caricature art, balloon twisting, magic, face painting and airbrush tattoos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://partyfunbydave.tripod.com/"} +{"d:Title": "Troudt, Erich", "d:Description": "Illusions, comedy, Halloween. Biography, photo gallery, contact information, and up-coming events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://magicoferichtroudt.homestead.com/"} +{"d:Title": "MagicCircus", "d:Description": "Interactive shows, science and health for schools, entertainment for parties and other events. Northern California.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.magiccircus.com/"} +{"d:Title": "Danny Magic", "d:Description": "Specializes in close-up and sleight of hand using coins, cards and jalape\u00f1o peppers.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.dannymagic.com/"} +{"d:Title": "Mendoza, Ven", "d:Description": "Magic shows and dove releases for birthdays, weddings, funerals, graduation and special events. Serves the Sacramento area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://sites.google.com/site/doveworks/"} +{"d:Title": "Strong, Robert", "d:Description": "Offers magic, juggling, escape arts, fire eating and comedy. Also does corporate speaking. Biography, quotes, photos and several video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.strongentertainment.com/"} +{"d:Title": "Skilton, Joe", "d:Description": "Magician providing corporate and private events. Includes show descriptions and videos. Los Angeles.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.joeskilton.com/"} +{"d:Title": "Kevilus, Doug", "d:Description": "Corporate magician creating customized presentations using magic of the mind. Biography, testimonials and newsletter. San Francisco.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.paranormalmind.com/"} +{"d:Title": "Boyce, Lance", "d:Description": "Winston, a comedy magician specializing in birthday parties. Show types and contact information. Fresno.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.fun-time.com/"} +{"d:Title": "Wong, Mike", "d:Description": "Specializes in banquets, trade shows, product promotions and children's events. Show information, videos and rates. Corona.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.mikewong.com/"} +{"d:Title": "Marcy, Matt", "d:Description": "Comedy-magician providing corporate and private event entertainment. Includes videos and services. Los Angeles.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.mattmarcy.com/"} +{"d:Title": "Schalich, Joseph", "d:Description": "Provides magic shows for birthday parties, corporate events and weddings. Services, videos and contact form. Santa Rosa.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.winecountrymagic.com/"} +{"d:Title": "Balzerak, Frank", "d:Description": "Corporate magician and entertainer specializing in close-up and personal sleight of hand magic. Clients and contact form. Santa Rosa.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.frankbmagic.com/"} +{"d:Title": "Ackerly, Phil", "d:Description": "Comedy magician providing entertainment for corporate, private and children's parties. Pictures and upcoming performances. Santa Clara.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.ackerly-entertainment.com/"} +{"d:Title": "Alexander, Jay", "d:Description": "Corporate entertainment, custom presentations, cabaret and TV appearances. Show descriptions, client list, video clips and merchandise.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.jayalexander.com/"} +{"d:Title": "Ward, Joel", "d:Description": "Corporate magician specializing in creating custom corporate magic for all types of business events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://joelward.com/"} +{"d:Title": "Haydn, Pop", "d:Description": "Close-up, sleight of hand, cruise ship and nightclubs. Resume, photos, credits. Also his books, videos, and other products for the professional magician.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.pophaydn.com/"} +{"d:Title": "Winch, Peter", "d:Description": "Riverside based grand illusionist available for fundraisers and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.peterwinchmagic.com/"} +{"d:Title": "Anthony, Walt", "d:Description": "Comedy magic. Tailor-made shows for specific events, available for both large and intimate gatherings.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.sanfranciscomagicparlor.com/"} +{"d:Title": "Martinez, Gerardo", "d:Description": "Performances for children and adults, corporate magic and stage, walk around and close-up. Biography, client list and show descriptions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://www.germagic.net/"} +{"d:Title": "Tran, Joseph", "d:Description": "Combines magic and illusion with style and elegance in Los Angeles. Close-up, stage, feature film, live television, and major concerts. Show schedule, resume, news and events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://gentlemanofmagic.com/"} +{"d:Title": "FinalCutKing", "d:Description": "Zach King, filmmaker and digital magician.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/California", "url": "http://finalcutking.com/"} +{"d:Title": "Black, Bruce", "d:Description": "Specialized in customized entertainment for all ages and occasions at both corporate events and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.blacksmagic.net/"} +{"d:Title": "Professor Higgins", "d:Description": "Performer at Hollywood's Magic Castle. Booking information, list of public appearances and photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.higginsmagic.com/"} +{"d:Title": "Weidhaas, Mark", "d:Description": "Based in Colorado Springs and specializing in educational children shows and sophisticated mysteries of the mind.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.angelfire.com/wizard/inspectormagic/"} +{"d:Title": "Archer, Danny", "d:Description": "Combines magic entertainment with solid business strategies to give a corporate event more impact and effectiveness.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.dannyarcher.com/"} +{"d:Title": "LaRue, Robert", "d:Description": "Mysterious entertainment and amazing illusions of magic. Includes information on performance styles, references and doves.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.laruemagic.com/"} +{"d:Title": "Sheets, John T.", "d:Description": "Information about the national performing magician and illusionist.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.johntsheets.com/"} +{"d:Title": "Ream, Lamont", "d:Description": "Specializing in close-up magic and coin effects. Combines mystique and illusion with tall-tale patter that narrates each effect.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.angelfire.com/magic2/lamont-ream/"} +{"d:Title": "Royale, Keir", "d:Description": "Magician, illusionist, motivational speaker and entertainer. Comedy and close-up, can be themed for company presentations.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://lifeismagical.com/"} +{"d:Title": "Carducci, Michael", "d:Description": "Magician specializing in close-up and stage magic for corporate events and private parties. Site includes show descriptions, videos, testimonials and contact info.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.trulymagic.com/"} +{"d:Title": "Phelyx", "d:Description": "Phelyx provides interactive/close-up mentalism and illusion for adult audiences. The site contains descriptions, photographs, testimonials, video, and information about his artwork, for which he is also well-known.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.phelyx.com/"} +{"d:Title": "Preston, Shawn", "d:Description": "Professional comedy magician, mentalist and sleight of hand expert. Specializing in customized presentations for private parties and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.openthetrapdoor.com/"} +{"d:Title": "Tobo, Gregg", "d:Description": "Colorado magician and motivational speaker. Includes: contact info, video, blog, biography, and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.astonishingproductions.com/"} +{"d:Title": "Michael, Dennis", "d:Description": "Comedy magic for public and private events featuring stand up and close up performances", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.dennismichaelmagic.com/"} +{"d:Title": "Bruce, Benji", "d:Description": "Corporate magician. Press material, booking information, videos, gallery, show description, and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://benjibruce.com/"} +{"d:Title": "Mr. E", "d:Description": "Trade shows and corporate events, school assemblies and birthdays. Show details and descriptions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Colorado", "url": "http://www.coloradomagician.com/"} +{"d:Title": "The Magic of Christopher", "d:Description": "Corporate, private parties, summer programs, fairs and festivals. Reviews and list of clients.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Connecticut", "url": "http://www.magicofchristopher.com/"} +{"d:Title": "Flint, Bryan", "d:Description": "Provides entertainment for corporate, public, and private occasions in New England.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Connecticut", "url": "http://showtimefun.com/"} +{"d:Title": "Garrity, David", "d:Description": "Comedy, music and audience participation. Show descriptions, schedule and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Connecticut", "url": "http://magicalartist.com/"} +{"d:Title": "Sisti, Jim", "d:Description": "Close-up magic for restaurants, trade shows, corporate events, conferences, grand openings, parties and banquets. Includes client list, biography and references.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Connecticut", "url": "http://www.jimsistimagic.com/"} +{"d:Title": "Horton, Jeff", "d:Description": "Provides custom shows for any occasion featuring comedy, live rabbit and balloon sculptures.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Connecticut", "url": "http://www.jeffhorton.com/"} +{"d:Title": "Haddad, Pete", "d:Description": "Comedy magician with audience participation. Online video clip.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Connecticut", "url": "http://www.petehaddad.com/"} +{"d:Title": "O'Brien, Tom", "d:Description": "Provides entertainment for private and corporate events in Connecticut, Massachusetts and New York.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Connecticut", "url": "http://www.tomobrienproductions.com/"} +{"d:Title": "West, Paul", "d:Description": "Comedy, magic and music. Corporate events, conventions, festivals, concerts. Tour schedule.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.brotherpaulmagic.com/"} +{"d:Title": "Kaiser, Phillip", "d:Description": "Close-up, stand-up, sleight of hand and stage productions. Biography, calendar and show details.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.phillipkaiser.com/"} +{"d:Title": "Magic by Jerry", "d:Description": "Corporate magic for conventions and trade shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.magicbyjerry.com/"} +{"d:Title": "Harris, David", "d:Description": "Business entertainer and magician for customized performances at trade shows, business receptions, and other corporate events. Photographs, client list, promotional items, and stage specifications.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.davidharrismagic.com/"} +{"d:Title": "Mason, Larry", "d:Description": "Magician and escape artist for parties, corporate, business and other events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://absolutelymagic.bizland.com/"} +{"d:Title": "Goodman, Gary", "d:Description": "Stage, trade shows, cocktail receptions, corporate meetings and special events. Online videos of performances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.garygoodman.com/"} +{"d:Title": "Merlina", "d:Description": "A bilingual magician and storyteller. Birthdays and party packages.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.merlina.com/"} +{"d:Title": "Aldridge, Clarke", "d:Description": "Close-up tricks with cards, coins, keys and other small props. Biography and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.clarkealdridge.com/"} +{"d:Title": "Marc", "d:Description": "Stage shows, close-up, children's birthday parties and corporate shows. Biography and photographs.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.marctheamazing.com/"} +{"d:Title": "Koppertop, Karl", "d:Description": "Comedy, sleight of hand, juggling and balloons. Birthdays, bar/bat mitzvahs, weddings and educational shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.karlkoppertop.com/"} +{"d:Title": "Tieger, Rich", "d:Description": "Comedy, close-up magic and balloon sculptures. Presents show styles and venues. Also sells magic products.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.richtieger.com/"} +{"d:Title": "Coury, Al", "d:Description": "Presents strolling and stage presentations for all occasions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://alcourymagic.com/"} +{"d:Title": "Domico, Cesar", "d:Description": "Close-up, comedy, illusion, children's magic and balloons. Performances in English and Spanish. Brochure, resume and show schedules. Book of magic cards.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.magicdomico.com/"} +{"d:Title": "Campaneria, Luis A.", "d:Description": "Private and corporate entertainment suitable for children and families. Stand-up, close-up, grand illusions and balloon sculptures.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.a1magic.com/"} +{"d:Title": "Weatherbee, Paul", "d:Description": "Providing magic and comedy throughout the central Florida area. Specializing in family entertainment.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.weatherbeemagic.com/"} +{"d:Title": "Yen, Rebekah", "d:Description": "Magic, dance, and multi-cultural arts. Corporate performances, world TV commercials, shows, and specials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.magicyen.com/"} +{"d:Title": "Becker, Fred", "d:Description": "Orlando based magicians and illusionists for corporations and associations.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.fredbecker.com/"} +{"d:Title": "Ace, Dylan", "d:Description": "Shows from intimate close-up magic, to grand illusions and daring escapes. Clients, biography, pictures and news.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.dylanace.com/"} +{"d:Title": "Lyndel", "d:Description": "Comedy and magic, schools, resorts and corporations. Client list, testimonials and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.lyndel.net/"} +{"d:Title": "Olson, Erick", "d:Description": "Corporate magician providing customized entertainment packages for trade shows, sales meetings, corporate parties, and other special events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.corporatecomedian.net/"} +{"d:Title": "Professor Patches", "d:Description": "Kid's birthday party shows performed for toddlers through late elementary ages.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.professorpatches.com/"} +{"d:Title": "The House of Flying Cards", "d:Description": "Five member troupe based in Gainesville. Features information about performances, upcoming shows, short biographies and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.freewebs.com/hofc/"} +{"d:Title": "Wizardz Magic Theater", "d:Description": "Theater showcasing close-up magicians in Kissimmee. Includes list of upcoming shows and video previews of the performers.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://www.wizardzmagictheater.com/"} +{"d:Title": "Moore, Fred", "d:Description": "Corporate magician, comedian, and entertainer. Customized shows with audience participation.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Florida", "url": "http://fredmooremagician.com/"} +{"d:Title": "Howie the Great", "d:Description": "Career magician - performances at CNN Center, major illusion shows, corporate trade shows, NBA shows and post-game entertainment, weddings, and specialized magic for every occasion.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Georgia", "url": "http://www.howiethegreat.com/"} +{"d:Title": "Magic Charley", "d:Description": "Illusionist and comedian. Trade shows, product promotions, special events, school programs and family celebrations.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Georgia", "url": "http://www.magiccharley.com/"} +{"d:Title": "The Mystical Mr. Driggy", "d:Description": "Performing stage, up close, strolling and all types magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Georgia", "url": "http://mrdriggy.tripod.com/"} +{"d:Title": "Turner, Joe M.", "d:Description": "Sleight of hand performer does customized magical messaging for trade show exhibitors. Clean entertainment for corporate events, hospitality suites and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Georgia", "url": "http://turnermagic.com/"} +{"d:Title": "Wayne, Bruce", "d:Description": "Motivational presentations for corporate executives. Trade shows hospitality suites and parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Georgia", "url": "http://brucewaynemagic.wordpress.com/"} +{"d:Title": "Warren and Annabelle's Magic", "d:Description": "Sleight-of-hand and close-up combined with musical requests, cocktails and dinner.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Hawaii", "url": "http://www.warrenandannabelles.com/"} +{"d:Title": "Kelly, Kevin", "d:Description": "Magic and puppet shows for adults, families, and children in the Chicago area. Biography, show descriptions and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.kellymagic.com/"} +{"d:Title": "Fabjance, John", "d:Description": "Educational, corporate and private, with custom programs for business meetings, trade show exhibits and special events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.magicmgmt.com/"} +{"d:Title": "The Great Scott", "d:Description": "Magic shows and balloon animals. Serving the Chicagoland and Champaign-Urbana areas.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.thegreatscott.com/"} +{"d:Title": "Connie&Marie", "d:Description": "Performing stage and close-up magic and balloon sculpture for parties, meetings and special events", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.magicgorski.com/"} +{"d:Title": "Measner, John", "d:Description": "Customized magical entertainment. Performances include strolling magic, stage illusions, stilt walking and audience participation.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.jmmagic.com/"} +{"d:Title": "Dan the Magic Man", "d:Description": "Magician and baloonist for any event, birthdays, kid's parties, picnics, dinner parties, and restaurants. Also includes Magic tricks section and forum.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://danmagicman7.tripod.com/"} +{"d:Title": "The Amazing Andrew", "d:Description": "Performs close-up magic and large scale illusions for special occasions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.amazingandrew.com/"} +{"d:Title": "Scanlan, Jeff", "d:Description": "Magic with a sports theme for corporate and private clients including a number of major sports organizations. Based in Chicago.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.jeffscanlan.com/"} +{"d:Title": "Masterson, Sean", "d:Description": "Performs close-up walk around shows for corporate and social events. Also does a one hour sit-down performance and children's birthdays.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.mastersonmagic.com/"} +{"d:Title": "Belliveau, Steve", "d:Description": "Performs sleight of hand and stage shows with live animals and wacky props. Based in Chicago, he performs across the midwest.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.sbmagic.com/"} +{"d:Title": "Williams, Mitch", "d:Description": "Corporate, public, and private entertainment and self improvement programs.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.mitchwilliamsmagic.com/"} +{"d:Title": "Murphy, Terry", "d:Description": "Strolling, stand up, stage and illusion all with a touch of comedy.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://terry60431.tripod.com/"} +{"d:Title": "Fairman, Edd", "d:Description": "Banquets, fairs, corporate, openings and educational shows. Biography, video, photos and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.wizardofsorts.com/"} +{"d:Title": "Miller, Robert K.", "d:Description": "Children's birthday parties, club meetings, cocktail parties or corporate events throughout the greater Chicago area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.magicmiller.com/"} +{"d:Title": "Cobb, Keith", "d:Description": "Close-up, family, adult, and stage shows. Also provides juggling, balloon art, puppets and themed characters.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.iyqent.com/"} +{"d:Title": "Barth, Dan", "d:Description": "Magician, close-up card expert and television host, performs and plans for corporate events. Show details and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Illinois", "url": "http://www.angelfire.com/biz4/danbarthshows/"} +{"d:Title": "Cornell, Lee", "d:Description": "Magic, puppetry, ventriloquism and comedy mind reading.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Indiana", "url": "http://www.cornellpublications.com/magic/"} +{"d:Title": "DelMar, David", "d:Description": "Comedy magic and ventriloquism with audience involvement for trade shows and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Indiana", "url": "http://www.blackbirdentertainment.com/"} +{"d:Title": "Cole, Lee", "d:Description": "Classics of magic, comically de-railed. Performs worldwide shows with volunteers who are brought on stage.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Iowa", "url": "http://www.leecole.com/"} +{"d:Title": "Henderson, Scott", "d:Description": "Sample movie clips of performances. Birthdays tailored for different ages. Birthday party tips and package information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Kansas", "url": "http://www.happybirthdaymagic.com/"} +{"d:Title": "Magictom.com", "d:Description": "Louisville magician MagicTom entertains at Kentucky trade shows. See a live rabbit do card tricks, learn magic tricks.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Kentucky", "url": "http://www.magictom.com/"} +{"d:Title": "Eickhoff, Brad", "d:Description": "Stage. close-up and strolling shows. Specializing in children's birthday parties. Performs in the Greater Cincinnati and Northern Kentucky area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Kentucky", "url": "http://www.mymagicpro.com/"} +{"d:Title": "Brown, Brad", "d:Description": "Provides clean, comedy programs for corporate and private events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Kentucky", "url": "http://www.comedymagicevents.com/"} +{"d:Title": "Gaines, Tim", "d:Description": "Close up for dinners, weddings, private parties. Stage performances, children's shows and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Louisiana", "url": "http://www.magicbytim.com/"} +{"d:Title": "LeBlanc, John", "d:Description": "Close-up magician. Various articles about magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Louisiana", "url": "http://www.jwleblanc.com/magic/"} +{"d:Title": "Spinosa, Tim", "d:Description": "Store promotions, trade and corporate shows, and birthday parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Louisiana", "url": "http://www.timspinosa.com/"} +{"d:Title": "Corey, William", "d:Description": "Offers views on magic. Show descriptions, interviews and commentary.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Louisiana", "url": "http://www.regainthemagic.com/"} +{"d:Title": "Ng, Norman", "d:Description": "Performs close-up, strolling and stand-up magic, special shows for children. Resume, client list and upcoming events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maine", "url": "http://www.normanmagic.com/"} +{"d:Title": "Smith, Phil", "d:Description": "Based in Westbrook, performing magic for corporate clients and trade shows. Includes press releases, contact information, and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maine", "url": "http://www.corporatemagician.com/"} +{"d:Title": "Conjuring Carroll", "d:Description": "Find descriptions of services, profile and contact details for this performer.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maine", "url": "http://www.conjuringcarroll.com/"} +{"d:Title": "Dr. Wilson's Memory Elixir", "d:Description": "A Bar Harbor entertainer who presents an old-time medicine show featuring memory improvement.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maine", "url": "http://www.memoryelixir.com/"} +{"d:Title": "Gagne, Eric", "d:Description": "Performances in New England and Southern Canada. List of available illusions and show options. [May not work in all browsers]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maine", "url": "http://www.angelfire.com/ga/magicofericgagne/"} +{"d:Title": "Edwards, Ken", "d:Description": "Magician and stage hypnotist from Lewiston, who does shows for all occasions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maine", "url": "http://www.angelfire.com/ma4/kenedwards/"} +{"d:Title": "Cornish, Steve", "d:Description": "Birthdays, large stage shows, fundraising, schools, community events, business presentations and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maine", "url": "http://www.thegreatstephan.com/"} +{"d:Title": "Buono, Frank", "d:Description": "Brief marketing information and a brochure.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.frankbuono.com/"} +{"d:Title": "Dan the Magic Man", "d:Description": "Birthday party planning and parent resources. Dan performs magic shows for kids in the Washington D.C and Baltimore/Annapolis, Maryland area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.familymagicshows.com/"} +{"d:Title": "Wood, Peter", "d:Description": "Shazam Magic provides magic shows with a splash of comedy to the Maryland, Virginia, and D.C. area. Includes background, description of shows, services and rates, news, and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.shazammagic.com/"} +{"d:Title": "Alan, Wayne", "d:Description": "Presents sales messages using magic and illusion as a marketing communications tool at trade shows, sales meetings, hospitality suites, conventions and special events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.waynealanmagic.com/"} +{"d:Title": "Blaney, Becky", "d:Description": "Comedy magician, performs across the United States. Online video and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.beckyblaney.com/"} +{"d:Title": "Hall, Tim", "d:Description": "Mentalist, mind reader, entertainer and motivational speaker. Corporate and trade shows, private events, meetings and parties. Online video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.aladdinproductions.com/"} +{"d:Title": "Speed&Thro", "d:Description": "A duo offering magic and illusion, combined with humor. References, client list and photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.speedthro.com/"} +{"d:Title": "Thomen, Dave", "d:Description": "Helps families and organizations with a fusion of magic, comedy and audience participation. Package descriptions and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.d-smagic.com/"} +{"d:Title": "Chamberlin, Michael", "d:Description": "Performs using music, comedy, and improvisation for children and adults in the Washington, D.C. area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://mikecmagic.com/"} +{"d:Title": "Turley the Magician", "d:Description": "Comedy and magic entertainment for families and children of all ages in Washington, Maryland, and Virginia.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.turleymagic.com/"} +{"d:Title": "Julius, Jack", "d:Description": "Magic and mime for stage, adult shows, birthday parties, conventions and corporate events. Photos and show calendar", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://www.nvo.com/jackjulius"} +{"d:Title": "Perrello, Mike", "d:Description": "A professional magician available for birthdays and parties serving Montgomery County and Northern Virginia.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Maryland", "url": "http://funmagicshows.com/"} +{"d:Title": "Rainho, Jim", "d:Description": "Provides magic entertainment for children and adults for all events. Specializes in corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.rainho.com/"} +{"d:Title": "Charette, Steve", "d:Description": "Strolling entertainment and close-up magic. Restaurants, dinner and birthday parties, weddings, corporate functions, conventions, festivals.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.magicsteve.com/"} +{"d:Title": "Henry, John", "d:Description": "Adult and children's magic shows for all events. Supplier of magic themed greeting cards.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.worldofmagic.com/"} +{"d:Title": "Popielarczyk, Ed", "d:Description": "Magic and balloon twisting. Fun shows for all ages.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.edpop.com/"} +{"d:Title": "McMullan, Dana", "d:Description": "Stage, birthday parties, schools and seniors. Photos, shows descriptions and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.newenglandmagic.com/"} +{"d:Title": "Gross, Peter", "d:Description": "Performs comedy, magic and hypnotism including the Amazing Flying Rodents', for clubs, theatres, and private and corporate events. Includes profile, news, information on his act, events schedule, video clip, and contact details.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.funnymagic.com/"} +{"d:Title": "The Stupendous Mr. Magichead", "d:Description": "Comedy magic for kids ages 3-10, with emphasis on audience participation. Features appearance of five live rabbits. Includes performance options and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.mrmagichead.com/"} +{"d:Title": "Shields, Sandra", "d:Description": "Specializing in trade shows, sales meetings, and hospitality suites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.sshields.com/"} +{"d:Title": "Roberts, Matt", "d:Description": "Comedy, magic, and audience participation shows for adults and children. Show descriptions and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.mattrobertsmagic.com/"} +{"d:Title": "Oliver, David", "d:Description": "Close-up, sleight-of-hand, music, comedy and audience participation. Stage, cruise ships, public and TV appearances. Show descriptions, news, client list and reviews", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.davidolivermagic.com/"} +{"d:Title": "Bent, Mike", "d:Description": "Combines magic, comedy and teaching to fulfill the needs of family entertainment.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://www.abrakidabra.com/"} +{"d:Title": "Wald, Michael", "d:Description": "Specializing in family entertainment. Comedy magic for theaters, community events, weddings and birthday parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Massachusetts", "url": "http://michaelwaldmagic.com/"} +{"d:Title": "Radatz, Aaron", "d:Description": "Audience participation, comedy, dance, incorporating exotic animals, lights, pyrotechnics, and music. Tour dates, biography, special projects.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.aaronradatz.com/"} +{"d:Title": "Sterlini, John", "d:Description": "Photos, performance overview, biography and promotional video details. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://sterlini.com/"} +{"d:Title": "Amusionist Al The Only", "d:Description": "Corporate entertainment who offers clean comedy and audience participation. Stand-up magic and comedy and close-up interactive magic for banquets, conventions, hospitality suites and cocktail receptions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.tricky1.com/"} +{"d:Title": "Clark, Chris", "d:Description": "Provides a magic and disk jockey service with puppets and juggling.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.clarkshow.com/"} +{"d:Title": "Lee, Misty", "d:Description": "Combines magic, music and theater. Performance calendar, news, photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.mistylee.com/"} +{"d:Title": "Clark, Eugene", "d:Description": "Magic shows, educational assembly programs, corporate events, birthday parties, libraries, schools. Puppet shows, reading programs.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.amazingclark.com/"} +{"d:Title": "Castle, Dave J.", "d:Description": "Comedy, walk-around, balloon sculptures and juggling. Specializes in entertainment for children. List of clients and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.michiganmagician.com/"} +{"d:Title": "Wawrzaszek, Jeff", "d:Description": "Comedy shows, telegrams and sleight-of-hand entertainment. Featuring clean comedy and fun for children's, family and corporate audiences. Includes reviews, show descriptions and party tips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.a2magic.com/"} +{"d:Title": "Spitzer, Ben", "d:Description": "School performances, ranging from child-care to elementary and library shows. Corporate entertainment, stress and motivation programs. Articles and video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.benspitzer.com/"} +{"d:Title": "Sturk, John", "d:Description": "Performing comedy magic for children and adults. Performance descriptions, media coverage and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.johnsturk.com/"} +{"d:Title": "Zeemo", "d:Description": "Offers a variety of shows that are family oriented and include magic, juggling and yo-yo tricks. All programs include audience participation and are lots of fun.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.zeemoshows.com/"} +{"d:Title": "Tait, George", "d:Description": "A magical entertainer that uses his five senses to create the illusion of a sixth. Show details, online interactive experiments.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Michigan", "url": "http://www.mindtapped.com/"} +{"d:Title": "Cimbura, Tim", "d:Description": "Comedy magic and juggling with a message. Show outlines, gallery and event planning documents.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Minnesota", "url": "http://www.cimbura.com/"} +{"d:Title": "Coggins, Brent W.", "d:Description": "Magic and comedy, with an emphasis on fire. A dove that lives and dies and flaming business cards. Show schedule and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Minnesota", "url": "http://www.singee.com/"} +{"d:Title": "Farr, David", "d:Description": "Fun magic, clean comedy, audience interaction and a little bit of pick-pocketing. Corporate and private events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Minnesota", "url": "http://www.davidfarr.com/"} +{"d:Title": "The Magic of Suzanne", "d:Description": "Close-up magic for corporate events, especially trade shows and conventions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Minnesota", "url": "http://www.suzmagic.com/"} +{"d:Title": "Amazing Jeffo", "d:Description": "Uses comedy, magic, and music to teach disability awareness. Corporate events, schools, private parties, churches and magic classes.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Minnesota", "url": "http://www.amazingjeffo.com/"} +{"d:Title": "Achilles, Karl", "d:Description": "Tricks, illusions and escapes in the tradition of Houdini. Customized entertainment for corporate, family and festivals.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Minnesota", "url": "http://www.karlachillesmagic.com/"} +{"d:Title": "Harris,Joseph", "d:Description": "Provides magic shows for all ages, and clean stand up comedy for young, middle and senior adults.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Mississippi", "url": "http://josephharris0.tripod.com/"} +{"d:Title": "Jozsef, Keith", "d:Description": "Illusionist and magician. Client list, photos and video.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Missouri", "url": "http://www.keithjozsef.com/"} +{"d:Title": "Quinn. Jeff", "d:Description": "Catering to corporations, schools and festivals.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nebraska", "url": "http://www.jeffquinnmagic.com/"} +{"d:Title": "Maria and the Venom Girls", "d:Description": "Full show description of the show entitled Venom Magic, starring Maria Gara and her Venom Girls. Includes photos and video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.snakebabe.com/venom.shtml"} +{"d:Title": "James Cielen Dovemagic Corporation", "d:Description": "Travels around the world performing magic on luxury cruise ships. Full schedule and description of illusions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.dovemagic.com/news.htm"} +{"d:Title": "Gleason Magic", "d:Description": "Gregory and Kristi Gleason - Las Vegas based entertainers specializing in large grand illusions, comedy magic, and mentalism.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.gleasonmagic.com/"} +{"d:Title": "Amazing Johnathan", "d:Description": "Touring comedy magician based in Las Vegas. Tour schedule, news, jokes, pictures and contact information included.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.amazingj.com/"} +{"d:Title": "Armstrong, Jon", "d:Description": "Card magic, humor and audience participation. Photos, biography, client list and calendar.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.cardjon.com/"} +{"d:Title": "Venom", "d:Description": "Maria Gara, fire eating, metamorphosis, and exotic animal handler. Online video, scrapbook, resume", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.venommagic.com/"} +{"d:Title": "Richards, Jeffrey", "d:Description": "Casino performances. Trade show spokesman, stand-up comedy, ESP, conventions, banquets, meetings and parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.corporatemagicproductions.com/"} +{"d:Title": "King, Mac", "d:Description": "Performs at Harrah's Hotel and Casino, and corporate meeting or functions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.mackingshow.com/"} +{"d:Title": "Irwin, Brian", "d:Description": "Focusing primarily on close-up, sleight-of-hand magic for cocktail parties, dinner and banquet functions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.tradeshowbusiness.com/"} +{"d:Title": "Bizzaro", "d:Description": "Pyrotechnics and fire effects for bands. Close-up, strolling magic, TV shows, festivals and film. Images and fan art.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Nevada", "url": "http://www.smappdooda.com/"} +{"d:Title": "Absolutely Magic", "d:Description": "Featuring award-winning performer Andrew J. Pinard, Absolutely Magic supplies magical entertainment and consulting services to corporations, resorts and for theater and film productions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Hampshire", "url": "http://www.absomagic.com/"} +{"d:Title": "Purdy, Jason", "d:Description": "Doves, fire and fantasy. Biography, client list, news, online video samples, show photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Hampshire", "url": "http://jasonpurdymagic.com/"} +{"d:Title": "Randazzo, Mike", "d:Description": "Corporate and nightclub entertainer with experience in many fields of magic. His show includes a varied display of magic with a comedy touch.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.magicalmike.com/"} +{"d:Title": "Eslick, Brian", "d:Description": "Comedy, magic, and ventriloquism. Schools, libraries, birthday parties and special events. Also gives classes.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.briansmagic.com/"} +{"d:Title": "Yedid, Meir", "d:Description": "Close-up and sleight of hand. Biography, show schedule, photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.meiryedid.com/"} +{"d:Title": "Holiday, Joe and Sharon", "d:Description": "Dance and magic, illusions, sleight of hand with costumes and music. Also give educational programs. Client list, online video and pictures.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.joeholiday.com/"} +{"d:Title": "Ross, Brad", "d:Description": "Corporate, children's and family shows. Show descriptions, biography, client list, photos, press releases and merchandise.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.bradross.com/"} +{"d:Title": "Dolson, Mark A.S.", "d:Description": "Wings of Magic, magician, actor, and variety entertainer for any party or event. Costumes, special effects and props.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.wingsofmagic.com/"} +{"d:Title": "The Amazing Kenneth", "d:Description": "Shows for children and adults with a comedic touch.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.amazingkenneth.com/"} +{"d:Title": "Bundy, John and Morgan", "d:Description": "A team that produces shows for major theme parks, casinos, fairs, conventions, television and sales meetings. Biographies, show listings, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.illusionbiz.com/"} +{"d:Title": "Salazar, Anthony", "d:Description": "Comedy, strolling, close-up and stage magic. Show descriptions, biography, client list, photos and video clip. [Site may not be readable in all browsers]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.salazarmagic.com/"} +{"d:Title": "Dasher, Eric", "d:Description": "Corporate, children's and themed family shows. Close-up and stage shows. Weddings and parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.dashermagic.com/"} +{"d:Title": "Richards, Brian", "d:Description": "Corporate events, dinner banquets, trade shows and family events. Children's show, with special programs promoting reading, healthy eating and exercise.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.brmagic.com/"} +{"d:Title": "Thomas, Dennis", "d:Description": "Birthday parties with the child becoming a magician for the day. Show details and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Jersey", "url": "http://www.dennisthemagician.com/"} +{"d:Title": "Chapparo, Tony", "d:Description": "Close up, escape magic for all occasions. Magic videos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_Mexico", "url": "http://505magician.tripod.com/upclosemagic.htm"} +{"d:Title": "Gough, Kevin", "d:Description": "Performs a show for audiences of all ages in the Hudson Valley for all occasions. The presentation features new magic developed and performed by Mr. Gough, as well as classical magic blended into a unique style.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.compumagic.com/magic/"} +{"d:Title": "Carroll, Harrison J.", "d:Description": "Specializes in providing the trade show exhibitor with a presentation, from sleight-of-hand to a variety of stage offerings.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.harrison-magic.com/"} +{"d:Title": "The Magic of Virgil", "d:Description": "Close-up, sleight of hand, audience participation, grand illusions. Lecturer for magicians groups. Discussion board, calendar, awards, profile and references.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.magicofvirgil.com/"} +{"d:Title": "Oakes, Ryan", "d:Description": "Offering services for both corporate and private events. Close-up, platform, speaking and consulting. Client list and testimonials.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.ryanoakes.com/"} +{"d:Title": "Blades, Johnathan", "d:Description": "Trade shows, parties, corporate events, festivals and instruction. Biography, schedule and references.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.johnathanblades.50megs.com/"} +{"d:Title": "Moche, Jeff", "d:Description": "Comedy magic, performing for corporations, private parties, special events, colleges, and cruise ships. Shows using small and large tricks for close-up or stage performance.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.jeffmagic.com/"} +{"d:Title": "Funn, David", "d:Description": "Children's and adult parties, weddings, bar and bat mitzvahs and teen parties. Act includes live rabbit, ESP and palm reading. Booking information, magic tricks and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.davidfunn.com/"} +{"d:Title": "Madeleine the Magician", "d:Description": "Magic for kids and adults. Children and adults love clowns and magicians for birthday parties whether performing close-up and walk-around magic or illusions on stage.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.madeleinethemagician.com/"} +{"d:Title": "Magic by Marc", "d:Description": "Comedy, close-up magic and platform style shows. Corporate, trade and industrial. New York, New Jersey and across North America.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.magicbymarc.com/"} +{"d:Title": "Charlie Magic", "d:Description": "Weddings, cocktail hours, private parties. Biography, credentials, simple tricks and contact information. Long Island-based.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.charliemagic.com/"} +{"d:Title": "August Magic", "d:Description": "Includes current projects, and information about performers.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.augustmagic.net/"} +{"d:Title": "Furman, Matthew", "d:Description": "Close-up and stage. Corporate events, trade shows, sales meetings, and all types of private parties. Show details and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.matthewfurman.com/"} +{"d:Title": "Kyriakos, Dennis", "d:Description": "Sleight of hand and close-up magic. Performs exclusively for private, corporate and charity events", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.miraclemagic.com/"} +{"d:Title": "Marty&Iris", "d:Description": "Magic, face painting, balloons and other entertainment for birthdays, confirmations, bar and bat mitzvahs, corporate events, carnivals and picnics. New York City area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.partywithmarty.net/"} +{"d:Title": "Warren, James", "d:Description": "Provides customized entertainment for companies, private parties and special events. Also anti-drug and anti-smoking assembly programs for schools.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.warrenmagic.com/"} +{"d:Title": "Tempest, Marco", "d:Description": "Virtual reality interactive illusions live on stage. Includes show calendar and video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/New_York", "url": "http://www.marcotempest.com/"} +{"d:Title": "Magic Barry Entertainment", "d:Description": "Magic, game shows, karaoke, dance disc jockey. Entertains at events and parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/North_Carolina", "url": "http://www.magicbarry.com/"} +{"d:Title": "Zelnik, Greg", "d:Description": "Entertainment for both children and adults in the Charlotte area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/North_Carolina", "url": "http://www.zelnikthemagician.com/"} +{"d:Title": "Lozoff, Joshua", "d:Description": "Close-up magician, performance schedule and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/North_Carolina", "url": "http://joshualozoff.com/"} +{"d:Title": "Carson, Greg", "d:Description": "Entertainment services ranging from birthday parties to trade shows, using a blend of comedy and mystery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://www.carsonmagic.com/"} +{"d:Title": "Taylor, Jania", "d:Description": "Female magician entertains for corporate events. Tradeshows, and after dinner entertainment, education shows. Also sells magicians lecture notes.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://www.magicbyjania.com/"} +{"d:Title": "Dustman, Michael", "d:Description": "Comedy magic entertainment for corporate events, banquets, trade shows and special events. Show descriptions, client list, pictures and media.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://www.michaeldustman.com/"} +{"d:Title": "Wood, Mark", "d:Description": "Combines magic, comedy, juggling, games, rope spinning and audience participation. School assemblies, library programs or any special event.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://www.markwoodfunshow.com/"} +{"d:Title": "Hatcher, Chris \"Ace\"", "d:Description": "Magic, juggling and balloon animals for kids, friends, family, and coworkers.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://acetheclown.s5.com/"} +{"d:Title": "Night, Michael", "d:Description": "Comedy magician and stage hypnotist. Includes biography and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://michaelnight.com/"} +{"d:Title": "Martin, Andrew", "d:Description": "Entertainment that blends music, magic and mirth into a mystical medley.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://andrewmartinmagic.com/"} +{"d:Title": "Stanley, David", "d:Description": "Non-vulgar adult stand up comedy magic for corporate events, cocktail and private parties. School shows and birthday parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://www.matthewdavidstanley.com/"} +{"d:Title": "Shizzle Dizzle Magic LLC", "d:Description": "Magic with fantasy and illusions. Close-up and cabaret show.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://www.shizzledizzlemagic.com/"} +{"d:Title": "Griffin, Michael", "d:Description": "Escape Artist. Showcase of challenges, booking information, reviews and message board.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "https://escapeguy.squarespace.com/"} +{"d:Title": "Baker, Carroll", "d:Description": "Close-up, stand-up, corporate and family shows. Comedy and magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Ohio", "url": "http://www.magicparty.org/"} +{"d:Title": "Brandini, Brad", "d:Description": "Comedy magician and illusionist for and children's shows, trade events and corporate presentations.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Oklahoma", "url": "http://www.bradini.com/"} +{"d:Title": "Magical Michael", "d:Description": "Magic and comedy for all ages. Corporate, private and public shows. Stage, close-up and street magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Oklahoma", "url": "http://magicalmichael.com/"} +{"d:Title": "Arno, Bob", "d:Description": "Brings comedy and the serious side to pickpocketing and other street crimes. From pure comedy to lectures using exclusive video footage he has victimized executives of Fortune 500 Companies world-wide.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Oregon", "url": "http://www.bobarno.com/"} +{"d:Title": "Hanes, Justin", "d:Description": "Justin Hanes is a professional magician/comedian who uses sleight of hand and psychological techniques to delight a wide variety of audiences.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Oregon", "url": "http://portlandmagician.webs.com/"} +{"d:Title": "Keene, Hart", "d:Description": "Specializes in private and corporate event entertainment. Services available in the Portland area and throughout Oregon.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Oregon", "url": "http://www.magicianportland.com/"} +{"d:Title": "Asher, Lee", "d:Description": "The \"Card Star\", specializing in original close up card sleight-of-hand magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Oregon", "url": "http://www.leeasher.com/"} +{"d:Title": "Hurley, Kevin", "d:Description": "Standup and close-up magic for parties or corporate events: includes repertoire, instructions for simple magic tricks, performance dates, and contact information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.kevinhurley.com/"} +{"d:Title": "Mr. Mysterrio", "d:Description": "Combines motivational speaking, sales training and marketing to create presentations. Also presents educational and anti-drug shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.mrmysterrio.faithweb.com/"} +{"d:Title": "London, Abby", "d:Description": "Specializing in children's' parties. Funny magic, zany balloon sculptures, crazy critters and varmints and other fun stuff.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.abbylondon.com/"} +{"d:Title": "Interval, Tom", "d:Description": "Close-up walk-around magic, sleight-of-hand illusions, community shows. Available for corporate and private bookings. Also teaches magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.intervalmagic.com/"} +{"d:Title": "Gertner, Paul", "d:Description": "Trade shows, sales meetings and hospitality suites by combining entertainment and information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.gertner.com/"} +{"d:Title": "Rojahn, Dave", "d:Description": "Magic, mind reading, and seances in a comedy format; shows for colleges, Renaissance Faires, and children.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.isaacfawlkes.com/"} +{"d:Title": "The OptiMystiks", "d:Description": "A family of magicians. Describes types of shows offered and gives testimonials from past clients. Also biographies of each member of the group.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.optimystiks.com/"} +{"d:Title": "Methyus, Aedryan", "d:Description": "Illusionist, corporate magician and comedy. Magic workshop for children and adults.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.methyus.com/"} +{"d:Title": "Hopkins, Cliff", "d:Description": "Corporate magicians that provide clean comedy magic and fast paced illusion shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://cliffhopkins.com/"} +{"d:Title": "Mower, Tim", "d:Description": "Strolling magic to full-scale stage productions. Entertains at conventions, trade shows, hospitality suites, and nightclubs. Also television commercials, clubs, casinos and cruise lines.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Pennsylvania", "url": "http://www.timand.com/"} +{"d:Title": "Cerel, Lon", "d:Description": "Birthday party entertainment and comedy magic in New England. Client list and photo gallery.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Rhode_Island", "url": "http://loncerel.com/"} +{"d:Title": "Zenofsky, Steve", "d:Description": "Magician and ventriloquist. Family entertainment for kids of all ages. Serving Rhode Island, Massachusetts and other New England states.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Rhode_Island", "url": "http://www.zanymagic.com/"} +{"d:Title": "Sonefelt, Timothy", "d:Description": "Offers entertainment for all occasions; includes biography, show schedule, and contact information. Based in Anderson.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/South_Carolina", "url": "http://www.timsonefelt.com/"} +{"d:Title": "Valentine, Allen", "d:Description": "Information about shows, the artist, photos, and performance locations. Also includes video trailer and press releases.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/South_Carolina", "url": "http://www.allenvalentine.com/"} +{"d:Title": "Johnson, Jacob", "d:Description": "Magician and comedian, sleight of hand artist and freak of nature.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/South_Carolina", "url": "http://www.jjmagic.8m.com/"} +{"d:Title": "Bayme, Jonathan", "d:Description": "Teen magician's profile, show schedule, and news.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/South_Carolina", "url": "http://www.magicofjb.com/"} +{"d:Title": "JoeJoe", "d:Description": "Restaurant, comedy clubs, theaters, street festivals, corporate functions and television. Features an optical illusion where the magician disappears. Video clip.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/South_Carolina", "url": "http://joejoeonline.com/"} +{"d:Title": "Bairefoot, Michael", "d:Description": "Information about on shows and magical style, accomplishments, and Ring 334 monthly newsletter. Based in Myrtle Beach.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/South_Carolina", "url": "http://www.123magicshow.com/"} +{"d:Title": "Michaels, Jason", "d:Description": "Vegas-style stage magician based in Nashville. Profile, show photos, and information about current and upcoming appearances.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Tennessee", "url": "http://www.jasonmichaelsmagic.com/"} +{"d:Title": "Ledbetter, Michael", "d:Description": "United Methodist pastor shares his hobby of the art of illusion as an extension of his ministry. Available for birthday parties, VBS, revivals, and church youth groups. Includes videos of tricks and gospel magic. Based in Overton County.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Tennessee", "url": "http://webpages.charter.net/sagestranger/"} +{"d:Title": "Kelley, Rodney", "d:Description": "Performs at birthday parties, churches, company parties, schools and conventions. Nashville area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Tennessee", "url": "http://www.rodneykelley.com/"} +{"d:Title": "Riggs, Billy", "d:Description": "Entertains, motivates and speaks to corporations and associations, blending magic and motivation.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.billyriggs.com/"} +{"d:Title": "Palmer, Bill", "d:Description": "Resume and contact information on the work of this magician, writer, actor and hypnotist. [Retired]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.billpalmer.com/"} +{"d:Title": "Munton, James", "d:Description": "Close-up, strolling and stand up magic performances for adults. Secret agent magic show for children. Includes biography and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.jamesmunton.com/"} +{"d:Title": "Henderson, Brad", "d:Description": "Sleight of hand magician and mind reader available for trade shows, hospitality suites, and banquet programs. Also provides motivational seminars.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.bradhenderson.com/"} +{"d:Title": "Diamond Jim", "d:Description": "Close-up and stand-up comedy magic in the Dallas area. Magician resources, articles, dvd's, history.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.diamond-jim.com/"} +{"d:Title": "Anderson, Doug", "d:Description": "Corporate entertainer with information about comedy magic, stage shows, close-up magic, and trade shows. Includes video.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.magicdoug.com/"} +{"d:Title": "Bo Gerard Seriously Funny Dallas Magician", "d:Description": "Dallas based comedy Magician for corporate functions and private parties.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.bogerard.com/"} +{"d:Title": "Bond, Trixie", "d:Description": "Houston based for corporate events, children's parties, trade shows, libraries and schools.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.trixiebond.com/"} +{"d:Title": "Wells, Scott", "d:Description": "Corporate performances, including trade shows, entertaining clients, or performing at employee banquets. Stand-up, comedy, close-up and walk-around shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.scottwells.com/"} +{"d:Title": "Chadwick, Bruce", "d:Description": "Comedy magician, illusionist, and sleight-of-hand artist based in the Dallas/Fort Worth area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.magicbybrucechadwick.com/"} +{"d:Title": "Blaney, Walter Zaney", "d:Description": "Comedy magic with audience participation. Online video demonstration. Conventions and banquet circuit.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.walterblaney.com/"} +{"d:Title": "Flint, Sandy", "d:Description": "Wild West magic show, Vegas-style magic, grand stage illusions for corporate events, fund-raisers. On tour in Texas and throughout the USA.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.sandyflint.com/"} +{"d:Title": "Edmundson, Gerald", "d:Description": "Sleight-of-hand artist performs for conventions, trade shows, corporate functions, festivals and private gatherings. Video clips.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://geraldedmundson.com/"} +{"d:Title": "Holder, Monte", "d:Description": "Performs professionally for corporate events, conventions, fairs, festivals, malls and schools. Based in Lubbock.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://montgomerymagic.com/"} +{"d:Title": "Miller, Curt", "d:Description": "Close-up magic and clean comedy magic shows for corporate, private events and trade shows. Houston.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.curtmiller.com/"} +{"d:Title": "Burton, Steve", "d:Description": "Provides magic and sleight of hand for everything from birthday parties to corporate events in the Texas Gulf Coast area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.houstonmagician.com/"} +{"d:Title": "Brown, Ray", "d:Description": "Performs professionally for schools, corporate, and private events. Based in San Antonio, TX,", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.raybrownmagic.com/"} +{"d:Title": "Sprout, Daryl", "d:Description": "Educational magic show featuring live animals. Comedy magic show for schools, libraries, and company events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.snakeencounters.com/"} +{"d:Title": "Brushwood, Brian", "d:Description": "Fire eating, sleight of hand, comedy, a straitjacket escape. Tours nationwide, performing at colleges and clubs coast to coast.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://shwood.squarespace.com/"} +{"d:Title": "Kane, Kendal", "d:Description": "Kids party magic shows, music,&mystery. Corporate event entertainment, library and school shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.kanemagic.com/"} +{"d:Title": "Kline, John", "d:Description": "Performs magic shows, corporate events, parties, banquets, and stage shows in the greater Dallas area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://johnklinemagic.com/"} +{"d:Title": "MrGoodfriend", "d:Description": "Comedy magician performing magic shows for kid's parties, corporate mixers, educational and motivational events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.omagic.com/"} +{"d:Title": "Sanders, Dal", "d:Description": "Stage magic, featuring comedy, illusions, and magical education.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.stagemagic.com/"} +{"d:Title": "Lyle, Christopher", "d:Description": "Magician, balloon artist and corporate spokesman.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.lylemagic.com/"} +{"d:Title": "Gregg the Balloon Guy", "d:Description": "Magic shows, face painting and balloon twisting parties for children in the Dallas area.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.greggtheballoonguy.com/"} +{"d:Title": "Salinas, Jamie", "d:Description": "Specializing in sleight of hand.Performs for banquets, trade shows, corporate events, children and family shows. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.magicbyjamie.com/"} +{"d:Title": "Magic Street Productions", "d:Description": "Philip Kampf and Lindsey Olson perform for corporate shows, fund raisers and educational programs. Provides magic classes and educational programs on CD and videotape.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.magicstreetproductions.com/"} +{"d:Title": "Maurer, Harry", "d:Description": "Magic, comedy and audience participation. Casinos, cruise ships and nightclubs. Calendar, biography, reviews and client list.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.oncuepro.com/hmmagic/"} +{"d:Title": "Calfee, Mica", "d:Description": "Entertainment for birthday parties and Blue and Gold events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.norabbitmagic.com/"} +{"d:Title": "McKinney, Todd", "d:Description": "Central Texas magician for company shows, birthday parties, schools and libraries.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Texas", "url": "http://www.toddmckinneymagic.com/"} +{"d:Title": "Hickman, Curtis Raye", "d:Description": "Specializing in birthday parties and comedy magic. Show includes levitation of the birthday child.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Utah", "url": "http://www.amazingcurtis.com/"} +{"d:Title": "Lorenzo the Great", "d:Description": "Specializing in magic for children. Serving Northern Virginia and Washington, D.C. Strolling magic, birthday parties and corporate functions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Virginia", "url": "http://www.lorenzomagic.com/"} +{"d:Title": "Young, Joseph", "d:Description": "Magic, comedy and audience participation.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Virginia", "url": "http://www.josephyoungmagic.com/"} +{"d:Title": "Hargrave&Roberts", "d:Description": "Fun, mystery and magic combined with music, comedy and audience participation. Company events, private parties, trade shows and street fairs. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington", "url": "http://www.beyondmagic.com/"} +{"d:Title": "Magic Mike", "d:Description": "Performs magic tricks and balloon animals in the Seattle Puget Sound area. Birthday parties, schools, day car, hospitals and retirement centers.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington", "url": "http://www.funandmagic.com/"} +{"d:Title": "Soule, Steffan", "d:Description": "Corporate and family audiences. Offers a stage and a close-up magic show. Descriptions and pictures.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington", "url": "http://www.steffansoule.com/"} +{"d:Title": "Charles, Kirk", "d:Description": "Seattle magician and author. Offers all-ages school shows, corporate performances, resume, biography, video clips and how-to trick instructions.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington", "url": "http://www.kirkcharles.net/"} +{"d:Title": "Jester, Nathanael", "d:Description": "Magician performing magic shows for private parties and corporate events.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington", "url": "http://www.aceofillusions.com/"} +{"d:Title": "Meyers, Bruce", "d:Description": "Mystery, comedy and magic. Corporate performances, banquets and children's birthday shows.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington", "url": "http://www.brucemeyers.com/"} +{"d:Title": "The Great Zucchini", "d:Description": "Preschool and kindergarten entertainer. Services, photos, testimonials and links.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington,_DC", "url": "http://www.thegreatzucchini.com/"} +{"d:Title": "Munton, James", "d:Description": "Close-up, strolling and stand up performances for adults. Secret agent show for children. Includes biography and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington,_DC", "url": "http://www.jamesmunton.com/"} +{"d:Title": "Noland's Magic", "d:Description": "Performs at corporate events, weddings, children's birthday parties and family events. Serving Maryland, Northern Virginia and Washington, DC.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington,_DC", "url": "http://www.nolandsmagic.com/"} +{"d:Title": "Carnegie, Dean Alan", "d:Description": "Escape and mystery, publicity stunts and customized presentations Schedule, client list and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington,_DC", "url": "http://www.carnegiemagic.com/"} +{"d:Title": "Cohen, Al", "d:Description": "Offers corporate, strolling, parlor and birthday shows. Includes contacts.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington,_DC", "url": "http://tobiasmagic.com/"} +{"d:Title": "Henning, Eric", "d:Description": "Old-Fashioned Magic - Victorian and Wizard characters available. Biography, news, events, shows, reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Washington,_DC", "url": "http://www.erichenningmagic.com/"} +{"d:Title": "Hill, Dewayne", "d:Description": "Comedy magician. Show information, reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/West_Virginia", "url": "http://www.dewaynehill.com/"} +{"d:Title": "Watson, Charles", "d:Description": "Specializes in interactive shows. Includes biography.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/West_Virginia", "url": "http://www.themagicofcharles.com/"} +{"d:Title": "Gerard, Glen", "d:Description": "Provides shows for corporate trade shows, conventions, parties, fairs and festivals. Biography, online video clips and performance schedule.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Wisconsin", "url": "http://www.magic4you.com/"} +{"d:Title": "Lindberg, Ron", "d:Description": "Rondini - hypnosis, birthdays, cards and coins, large scale illusions and animals.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Wisconsin", "url": "http://www.abramagic.com/"} +{"d:Title": "Morgan, Matthew", "d:Description": "Description of acts, biography and awards, photo gallery, contact and booking information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Wisconsin", "url": "http://www.magicmorgan.com/"} +{"d:Title": "Schneider, Jason", "d:Description": "A mix of magic and sideshow acts, including fire eating, card tricks and feats of insanity.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Wisconsin", "url": "http://www.madmanofmagic.com/"} +{"d:Title": "Obermann, Scott", "d:Description": "Provides performances for businesses or private parties in Southeast Wisconsin", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/By_Region/United_States/Wisconsin", "url": "http://greatscottmagic4u.com/"} +{"d:Title": "Brazeau, Emile", "d:Description": "Biography of the magician also known as Emil Brin, aka Ray Bol aka Ray Danton aka Don Cardoza aka Pierre Le Blanc. Showbills, newspaper clippings and photos.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures", "url": "http://www.angelfire.com/realm/of_magic0/"} +{"d:Title": "Magic Audio Archives", "d:Description": "Contains old audio clips of various personalities, mostly magicians, including Joseph Dunninger and Harry Houdini.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures", "url": "http://www.noonco.com/"} +{"d:Title": "Cooper, Tommy", "d:Description": "A collection of information about the magician, including audio clips, photographs, memorabilia and posters.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures", "url": "http://justlikethat.homestead.com/"} +{"d:Title": "Magic (illusion) - Wikipedia", "d:Description": "An overview of magicians, including historical details.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures", "url": "http://en.wikipedia.org/wiki/Illusionist"} +{"d:Title": "Dante the Magician", "d:Description": "A biography of the famous magician Dante.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures", "url": "http://erh.homestead.com/dante.html"} +{"d:Title": "IMdb.com - Harry Blackstone Jr.", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Blackstone,_Harry,_Jr.", "url": "http://www.imdb.com/name/nm0085844/"} +{"d:Title": "IMdb.com - Harry Blackstone", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Blackstone,_Harry,_Sr.", "url": "http://www.imdb.com/name/nm0085843/"} +{"d:Title": "Joseph Dunninger", "d:Description": "A short history.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Dunninger,_Joseph", "url": "http://www.cometamagico.com.ar/dunninger2.htm"} +{"d:Title": "IMdb.com - Joseph Dunninger", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Dunninger,_Joseph", "url": "http://www.imdb.com/name/nm0242930/"} +{"d:Title": "Tribute to Doug Henning's World of Magic", "d:Description": "A tribute site from another magician.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Henning,_Doug", "url": "http://www.compumagic.com/magic/dh/"} +{"d:Title": "IMdb.com - Doug Henning", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Henning,_Doug", "url": "http://www.imdb.com/name/nm0377392/"} +{"d:Title": "Jean Eugene Robert-Houdin (1805-1871)", "d:Description": "From the PBS network - a short biography.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdin,_Robert", "url": "http://www.pbs.org/wgbh/amex/houdini/peopleevents/pande03.html"} +{"d:Title": "Harry Houdini (1874-1926)", "d:Description": "From the PBS network - a short biography.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry", "url": "http://www.pbs.org/wgbh/amex/houdini/peopleevents/pande04.html"} +{"d:Title": "Houdini in The New York Times", "d:Description": "Resource for Houdini enthusiasts who want to access hundreds of articles, features, and letters that appeared in the Times during the first half of the twentieth century.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry", "url": "http://www.houdinimuseum.org/"} +{"d:Title": "NPR : The Life and Death of Harry Houdini", "d:Description": "The great magician Harry Houdini left this life nearly 80 years ago on Halloween. Some say Houdini's premature death was caused in part by his reputation for bravado and superhuman strength. Hear a seance attempting to contact Houdini [3:30 Realaudio broadcast] and a historian's commentary about Houdini's last days [4:47 Realaudio broadcast].", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry", "url": "http://www.npr.org/templates/story/story.php?storyId=1485266"} +{"d:Title": "NPR : Exposing Houdini", "d:Description": "In June 2004 a new exhibition about Houdini opens in Appleton, Wisconsin. But some modern day conjurers want part of the show to disappear. Harriet Baskas reports. Part of the Hidden Treasures Radio Project series. [5:30 Realaudio broadcast plus an online photo gallery]", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry", "url": "http://www.npr.org/templates/story/story.php?storyId=1918743"} +{"d:Title": "The American Experience: Houdini", "d:Description": "\"Houdini\" follows the transformation of Jewish Hungarian immigrant Ehrich Weiss to the world's greatest escape artist.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry", "url": "http://www.pbs.org/wgbh/amex/houdini/"} +{"d:Title": "And Now Houdini", "d:Description": "Little known facts about the life of magician Harry Houdini. Rare photos, posters. Hear him speak, see him perform. Online anniversary seance.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry", "url": "http://www.magictricks.com/houdini.html"} +{"d:Title": "IMdb.com - Harry Houdini", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry", "url": "http://www.imdb.com/name/nm0396378/"} +{"d:Title": "IMdb.com - Houdini: Unlocking His Secrets (1995) (TV)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry/Movies", "url": "http://www.imdb.com/title/tt0322620/"} +{"d:Title": "IMdb.com - Haldane of the Secret Service (1923)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry/Movies", "url": "http://www.imdb.com/title/tt0014112/"} +{"d:Title": "IMdb.com - Soul of Bronze, The (1921)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Houdini,_Harry/Movies", "url": "http://www.imdb.com/title/tt0165949/"} +{"d:Title": "Harry Kellar (1849-1922)", "d:Description": "From the PBS network - a short biography.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Kellar,_Harry", "url": "http://www.pbs.org/wgbh/amex/houdini/peopleevents/pande05.html"} +{"d:Title": "Jasper Maskelyne", "d:Description": "Brief overview of achievements.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Maskelyne,_Jasper", "url": "http://www.cometamagico.com.ar/maskelyne2.htm"} +{"d:Title": "The Magical Life of Long Tack Sam", "d:Description": "Official web site for the movie about this magician, born 1985 in Shangdung province. Played Vaudeville's New York Palace Theater with his troupe of Chinese acrobats. Orson Welles' mentor in magic.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Sam,_Long_Tack", "url": "http://www.longtacksam.com/"} +{"d:Title": "IMDb - The Magical Life of Long Tack Sam", "d:Description": "Directory of the cast and crew, company credits, external reviews, links and other information.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Historical_Figures/Sam,_Long_Tack", "url": "http://www.imdb.com/title/tt0379360/"} +{"d:Title": "Ricky Jay", "d:Description": "Official web site of the magician and actor. Events, radio shows, films and contact. Film clip from Bob Dylan's \"Love and Theft\".", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Jay,_Ricky", "url": "http://www.rickyjay.com/"} +{"d:Title": "IMdb.com - Ricky Jay", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Jay,_Ricky", "url": "http://www.imdb.com/name/nm0419633/"} +{"d:Title": "IMdb.com - Incident at Loch Ness (2004)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Jay,_Ricky/Movies", "url": "http://www.imdb.com/title/tt0374639/"} +{"d:Title": "IMDb: Water Engine, The", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Jay,_Ricky/Movies", "url": "http://www.imdb.com/title/tt0105788/"} +{"d:Title": "IMdb: Ranger, the Cook and a Hole in the Sky, The", "d:Description": "Cast/credits plus additional information about the film.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Jay,_Ricky/Movies", "url": "http://www.imdb.com/title/tt0114235/"} +{"d:Title": "IMdb.com - New Magic (1983)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Jay,_Ricky/Movies", "url": "http://www.imdb.com/title/tt0086007/"} +{"d:Title": "The Amazing Kreskin", "d:Description": "Official site of the mentalist. Biography, show schedule, upcoming events, press releases, effect stunts, video, photos and merchandise.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Kreskin,_The_Amazing", "url": "http://www.amazingkreskin.com/"} +{"d:Title": "The Amazing Kreskin Interview", "d:Description": "Am interview from The Little Egypt Gazette.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Kreskin,_The_Amazing", "url": "http://littleegyptmagic.com/kreskint.htm"} +{"d:Title": "The Incredible Gall of the Amazing Kreskin", "d:Description": "A skeptical commentary by Gary P. Posner from the Spring 1992 Tampa Bay Skeptics Report.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Kreskin,_The_Amazing", "url": "http://www.tampabayskeptics.org/Kreskin.html"} +{"d:Title": "IMdb.com - Kreskin", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Kreskin,_The_Amazing", "url": "http://www.imdb.com/name/nm0470916/"} +{"d:Title": "The Amazing Kreskin", "d:Description": "A biography from the American Entertainment Speakers Bureau.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Kreskin,_The_Amazing", "url": "http://aeispeakers.com/speakerbio.php?SpeakerID=582"} +{"d:Title": "Penn&Teller: Living it up in Sin City", "d:Description": "CNN.com - Penn and Teller are proud to work in Las Vegas.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Penn_and_Teller", "url": "http://cnn.com/2003/SHOWBIZ/TV/07/29/penn.teller/"} +{"d:Title": "Penn and Teller Links", "d:Description": "List of links including images and brief comments about each link.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Penn_and_Teller", "url": "http://home.sprynet.com/~ashmuel/ptlinks.htm"} +{"d:Title": "Penn&Teller", "d:Description": "Official web site. Tickets, news, cool stuff, TV appearances, mailing list and store.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Penn_and_Teller", "url": "http://pennandteller.net/"} +{"d:Title": "IMdb.com - Penn Jillette", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Penn_and_Teller", "url": "http://www.imdb.com/name/nm0422710/"} +{"d:Title": "IMdb.com - Teller", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Penn_and_Teller", "url": "http://www.imdb.com/name/nm0854418/"} +{"d:Title": "IMdb.com - James Randi", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Randi,_James", "url": "http://www.imdb.com/name/nm0709791/"} +{"d:Title": "Siegfried&Roy", "d:Description": "Official web site.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy", "url": "http://www.siegfriedandroy.com/"} +{"d:Title": "The Cats of the Secret Garden", "d:Description": "Dedicated to Siegfried and Roy and their Cats with photographs from The Secret Garden and links to their site.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy", "url": "http://extrapages.tripod.com/SiegfriedandRoycats.html"} +{"d:Title": "Extratv.com : Siegfried and Roy: Lion in Wait", "d:Description": "NBC may replace the Vegas show with the giant paws of Siegfried and Roy's famous big cats.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy", "url": "http://telepixtvcgi.warnerbros.com/dailynews/extra/0204/02_12d.html"} +{"d:Title": "Vegas Vigil For Roy Horn", "d:Description": "CBS News coverage.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy", "url": "http://www.cbsnews.com/news/vegas-tiger-attack-inevitable/"} +{"d:Title": "IMdb.com - Showboy (2002)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/title/tt0326148/"} +{"d:Title": "IMdb.com - Art of Magic, The (1998) (TV)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/title/tt0325012/"} +{"d:Title": "IMdb.com - Magic in the Magic Kingdom (1988) (TV)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/title/tt0237429/"} +{"d:Title": "IMdb.com - Siegfried&Roy: Masters of the Impossible", "d:Description": "(1996) - Cast, crew, reviews, and plot summary.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/title/tt0117647/"} +{"d:Title": "IMdb.com - Roy Horn", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/name/nm0746913/"} +{"d:Title": "IMdb.com - Siegfried Fischbacher", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/name/nm0278788/"} +{"d:Title": "IMdb.com - Lookin' to Get Out (1982)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/title/tt0084268/"} +{"d:Title": "IMdb.com - The Magic Box (1999)", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Siegfried_and_Roy/Movies", "url": "http://www.imdb.com/title/tt0182299/"} +{"d:Title": "Mark Wilson Magic", "d:Description": "Official site with biography, newsletter and contacts. Offers a variety of video courses, props, memorabilia and illusions for sale from his Allakazam television show.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Wilson,_Mark", "url": "http://www.markwilsonmagic.com/"} +{"d:Title": "TV.com - The Magic Of Mark Wilson", "d:Description": "Features episode guide, cast and crew information, forum, polls and reviews.", "topic": "Top/Arts/Performing_Arts/Magic/Magicians/Wilson,_Mark", "url": "http://www.tv.com/shows/the-magic-of-mark-wilson/"} +{"d:Title": "The Society of American Magicians", "d:Description": "The oldest magical society in the U.S. Information about the society, its events, links to local chapers, and membership information.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.magicsam.com/"} +{"d:Title": "The Official Magic Circle Site", "d:Description": "The Magic Circle is the premier organisation, in the world, for magicians. This site has information about the society, the headquarters in London, and also members who are able to perform Magic at your function.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.themagiccircle.co.uk/"} +{"d:Title": "Texas Association of Magicians", "d:Description": "The Official website of the Texas Association of Magicians.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.taom.org/"} +{"d:Title": "Australian Society of Magicians", "d:Description": "Fourth oldest Magic club in the World. A meeting place for Magicians and visiting magicians from around the world. Providing contacts, instructions, lectures and regular functions for all Australian Magicians. Meets second Monaday and Fourth Wednesday of each month.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.magicians.org.au/"} +{"d:Title": "Directory for Amateur Canadian Magicians", "d:Description": "A resource for Canadians interested in amateur magic. Includes advice, list of vendors, places to learn, history and biography of famous magicians. Enables meetings with other magicians.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.magicinfo.ca/"} +{"d:Title": "Manchester's Magicians' Society", "d:Description": "The third magical society to be formed in England. Contains information on the group's history, dates of upcoming events, and details of members.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.orderofthemagi.org.uk/"} +{"d:Title": "The Magic Club of Great Britain", "d:Description": "Homepage of the Magic Club of Great Britain. A Magic club with a dealership rather than a dealership with a club. We meet every second Thursday at Centre-Com.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.themagicclub.com/"} +{"d:Title": "Sacramento Area Magicians Assembly", "d:Description": "Promotes excellence in the art of magic. Club members learn and perform magic tricks at club meetings held monthly.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://magic1.org/sam72/"} +{"d:Title": "Surrey Society of Magicians", "d:Description": "Based in Surrey, England. Online trick, competitions and bookings.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.surreymagic.co.uk/"} +{"d:Title": "International Brotherhood of Magicians", "d:Description": "World's largest organization for magicians boasting nearly 13,000 members worldwide. Detailed information about the organization including local groups.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.magician.org/"} +{"d:Title": "Hull Magicians' Circle WWW Site", "d:Description": "The official site of the Hull Magicians Circle. HMC consists of a group of Magicians from the North East of England.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://hullmc.org.uk/"} +{"d:Title": "Scottish Conjurers' Association", "d:Description": "Established in 1924 in Glasgow, Scotland. History, current committee and their vision for the future, news, events and links.", "topic": "Top/Arts/Performing_Arts/Magic/Societies", "url": "http://www.scamagic.org/"} +{"d:Title": "ISPA - International Society for the Performing Arts", "d:Description": "Nonprofit organization of executives and directors of concert and performance halls, festivals, performing companies, and artist competitions, government cultural officials, artists' managers, and other interested parties with a professional involvement in the performing arts.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.ispa.org/"} +{"d:Title": "Bluepipa", "d:Description": "Blue Pipa, Inc. is a non-profit organization dedicated to the exploration and promotion of traditional and modern music from all cultures, including jazz, film and theater-works from around the world.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.bluepipa.org/"} +{"d:Title": "The Kitchen", "d:Description": "Non-profit experimental performance space located in New York City and presenting video, music, dance, performance, film and literature events.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.thekitchen.org/"} +{"d:Title": "Canadian Actors' Equity Association", "d:Description": "Professional association of performers, directors, choreographers and stage managers in Canada, who are engaged in English-language live performance, including stage, opera, ballet and dance.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.caea.com/"} +{"d:Title": "Association of Performing Arts Presenters", "d:Description": "Continuing education, Funding, Government Affairs, Members Conference.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.artspresenters.org/"} +{"d:Title": "National Performance Network", "d:Description": "Provides support for established and emerging artists in dance, music, theatre, performance art, puppetry and spoken word.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.npnweb.org/"} +{"d:Title": "A Different Corner Productions", "d:Description": "Nonprofit organization dedicated to bringing the performing arts to the Asian-Australian community and their culture to the mainstream. Includes event information, ticket sales, newsletters, mailing list, and links.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.adifferentcorner.com/"} +{"d:Title": "Alliance of Special Effects and Pyrotechnic Operators", "d:Description": "Mission statement, membership information, video library, member area, and store.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.asepo.org/"} +{"d:Title": "Perform", "d:Description": "Joint initiative of Theatre Nova Scotia, Dance Association of Nova Scotia and Nova Scotia Choral Federation that brings performing arts programs to schools across Nova Scotia.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.performns.ca/"} +{"d:Title": "Western Arts Alliance", "d:Description": "The Western Arts Alliance supports performing arts touring and presenting in the West.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.westarts.org/"} +{"d:Title": "The Orpheus Centre", "d:Description": "A residential centre, which enables disabled and non-disabled people to work together in the arena of performing arts.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.orpheus.org.uk/"} +{"d:Title": "Ebony Showcase Theatre and Cultural Centre", "d:Description": "Non-profit organization founded by Nick and Edna Stewart. Supports the theatre's rebuilding project by selling vintage movie and classic TV videos, showbiz memorabilia and collectibles including autographed items dontated by Nick Stewart and Alan Young. Also features talent showcase, photos, newsroom and archives, and other information.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.ebonyshowcase.org/"} +{"d:Title": "Irish Board of Speech and Drama", "d:Description": "The Irish Board of Speech and Drama is a speech and drama examining body whose purpose is to inspire confidence and imagination in young people and adults.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.irishboard.ie/"} +{"d:Title": "Just Off Broadway Theatre Association", "d:Description": "A member-based performing arts organization that works to improve opportunities and resources for Kansas City's eclectic and thriving performing arts culture.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.justoffbroadway.org/"} +{"d:Title": "Free Street Programs", "d:Description": "Free Street is a creative writing and performing community arts organization in Chicago. The site describes the various programs offered and mission and gives examples of student writing.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://freestreet.org/"} +{"d:Title": "Santa Fe Performing Arts", "d:Description": "Performing arts in Santa Fe, offering training and theatre performance, youth, teen and adult drama education.", "topic": "Top/Arts/Performing_Arts/Organizations", "url": "http://www.sfperformingarts.org/"} +{"d:Title": "International Performers Directory", "d:Description": "Register your entertainment business on this free directory used by entertainment coordinators and booking agents.", "topic": "Top/Arts/Performing_Arts/Performers", "url": "http://www.axtell.com/performers.html"} +{"d:Title": "Aminibigcircus", "d:Description": "A gathering of artists producing works in film, music, and live performance. Background information, movie clips in QuickTime format, and music in MP3 format.", "topic": "Top/Arts/Performing_Arts/Performers", "url": "http://www.aminibigcircus.com/"} +{"d:Title": "Bubblemania", "d:Description": "Casey Carle puts on a program combining soap bubbles, physical comedy and artistic achievement. Programs available for science assemblies, corporate and civic events, libraries, theaters, cruise ships, science fairs and museums.", "topic": "Top/Arts/Performing_Arts/Performers", "url": "http://www.bubblemania.com/"} +{"d:Title": "Tom Noddy's Bubble Magic", "d:Description": "Performer available for indoor shows. Site has biography, previous venues, tips and advice, interview snippets, and contact information.", "topic": "Top/Arts/Performing_Arts/Performers", "url": "http://www.tomnoddy.com/"} +{"d:Title": "Copper the Magic Clown and Accokeek Amusements", "d:Description": "Offers clowns, characters, moonbounces, face painting, balloons, spin art.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://copperclown.tripod.com/"} +{"d:Title": "Abby the Magician", "d:Description": "Magic, puppetry, and comedy for parties, picnics, receptions, reunions and corporate events. Serving Philadelphia and its suburbs.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.abbylondon.com/"} +{"d:Title": "Clarol the Clown", "d:Description": "Reviews, show descriptions, prices, and links.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.claroltheclown.com/"} +{"d:Title": "Butterscotch and Company", "d:Description": "US clown with a number of characters. Photographs of her in costume, lists of characters and awards.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.angelfire.com/biz/ButterscotchGraphics/"} +{"d:Title": "Cookie the Clown", "d:Description": "In Auckland, New Zealand. Learn how to juggle, be a ventriloquist along withmany other fun projects. Section also for professional clowns to meet.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.members.tripod.com/cookieclown/"} +{"d:Title": "Jimbo Z. Klown", "d:Description": "This seasoned professional clown and character performer from the Boston, MA area is available for many venues from birthdays to full stage shows featuring magic.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.clowns4all.com/jzk.html"} +{"d:Title": "TTatorTots", "d:Description": "Professional clown in the Seattle metro area. Face-painting, balloon sculpting, walk arounds are things Tator does at birthday parties, corporate events, grand openings, and company picnics.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.angelfire.com/wa2/ttatortot/index.html"} +{"d:Title": "Ocasio's Comedy", "d:Description": "Comedy entertainer, clown and comedy instructor. founder and director of the Northwest Comedifest.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.ocomedy.com/"} +{"d:Title": "Ba Ba Loons and Tunes", "d:Description": "Professional clowns and fuzzy costumed characters to entertain at parties and events in the San Francisco Bay area.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.babaloons.com/clowns.htm"} +{"d:Title": "Cheesecake the Clown", "d:Description": "Information about clown who performs in the Boston area. Also links for a other artists and family entertainers. Lots of links for aspiring clowns.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://cheesecakeandfriends.com/"} +{"d:Title": "B.J. the Clown", "d:Description": "Show descriptions, photos, references, contact information, clip art and animations.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.bjtheclown.com/"} +{"d:Title": "Cappy the Clown", "d:Description": "Performs in South Carolina, Wisconsin, Florida, and Hawaii. Clown resources, art gallery, activities.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.clownaround.com/"} +{"d:Title": "Penney the Clown", "d:Description": "Magic, slapstick, audience participation, face painting, and balloon twisting. Wide variety of characters available. Birthday parties and corporate events.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.penneytheclown.com/"} +{"d:Title": "Gitsy's World", "d:Description": "Professional clown and family entertainer providing comedy magic, face painting, balloon art.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://gitsy.com/"} +{"d:Title": "Mo The Clown", "d:Description": "New Hampshire USA balloon twisting clown and justice of the peace, plus event calendar", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.motheclown.com/"} +{"d:Title": "Uncle Curt&Hugs", "d:Description": "Professional entertainers, experienced in the arts of clowning, balloon twisting, juggling, magic,and comedy.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.unclecurtandhugs.com/"} +{"d:Title": "Coconut the Clown", "d:Description": "Providing clown entertainment for family audiences and company parties. Denver, Colorado metro area.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://coconuttheclown.com/"} +{"d:Title": "LuLuBell the Clown", "d:Description": "Entertainment for birthday parties, office parties, and other events throughout the Greater Kansas City area.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.ilikeclowns.com/"} +{"d:Title": "Just Clowning Around", "d:Description": "This duo performs as clowns, magicians and other original characters for birthday parties, corporate events, and schools. Large menu of services.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.justclowningaround.com/"} +{"d:Title": "Major Conrad Flapps", "d:Description": "Introduces the man behind the clown, tells about his shows, and provides sample music.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.majorconradflapps.com/"} +{"d:Title": "Clowning With Zoe&Friends", "d:Description": "Bringing smiles and fun to parties throughout the Raleigh-Durham area of North Carolina. Inflatable moonwalk, slide, and unique music and movement clowning for special events.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://zoetheclown.netfirms.com/"} +{"d:Title": "Charles the Clown", "d:Description": "Birthdays to television programs. Become-a-clown show. Routines for all ages.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.charlestheclown.com/"} +{"d:Title": "Stan Wiest", "d:Description": "Clown magician for birthday parties. Balloon animals, comedy and audience participation. Serving New York, Long Island, New Jersey and Connecticut.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.stanwiest.com/clown.htm"} +{"d:Title": "Clown Kevin", "d:Description": "Games, magic tricks, secrets, tips, skits, and links.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.clownkevin.com/"} +{"d:Title": "Kinfolk and Friends", "d:Description": "Short biography and services provided, contact information, and information about their clowns.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.kinfolkclowns.com/"} +{"d:Title": "JeannieMay: Clowns and Face Painters", "d:Description": "Photographs, clown profiles, and contact information.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.jeanniemay.com/clowns.html"} +{"d:Title": "Hysterical Management", "d:Description": "Birthday party clowns in Cleveland, Ohio. Event and party resources.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.hystericalmanagement.com/"} +{"d:Title": "Charm and Happy", "d:Description": "Information on services provided in the Southern California area including, party clowns, rides, jumpers, ponies and costumed balloon deliveries.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.clowns4all.com/"} +{"d:Title": "Party Surprise", "d:Description": "Information regarding services offered, terms and pricing.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.iowatelecom.net/~partysurprise/home.htm"} +{"d:Title": "Tony Bones Entertainment", "d:Description": "Children's Theatre specializing in Children's Parties, Theatre in education and master of ceremonies in Victoria, BC.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.tonybones.com/"} +{"d:Title": "Toes Entertainment", "d:Description": "Clown and balloon artist in Hawaii for children and adults of all ages.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://partyclown808.tripod.com/"} +{"d:Title": "Clowning by Karen", "d:Description": "Karen is a clown in Colorado. Available for children's parties plus corporate, and stage shows.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.kbclown.com/"} +{"d:Title": "Whiskers The Clown", "d:Description": "Whiskers provides and entertainment and ministry to children, youth and adults.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://whiskerstheclown.com/"} +{"d:Title": "The Happyness Clowns", "d:Description": "Semi-professional clowns specializing in: birthday parties, picnics, balloon sculptures, church events, Santa Claus, magic, strolling entertainers, and a wide variety of other events.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.angelfire.com/nh/thc/thnc.html"} +{"d:Title": "The VonClowns Family", "d:Description": "A family of clowns providing family entertainment for your family event.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.vonclowns.com/"} +{"d:Title": "Laughing Jack", "d:Description": "Clowns, balloon twisting, face painting and balloon decorating and entertaining in the Lancaster, Ohio area.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.angelfire.com/comics/lafnjakentertainment/"} +{"d:Title": "Lulu the Clown and Friends", "d:Description": "Serving Northern NJ and surrounding areas featuring magic, face painting, balloon twisting, and disc jockey services", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.lululaughalot.com/"} +{"d:Title": "Snicklefritz", "d:Description": "The personal site of this gospel clown includes a biography and photographs.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.freewebz.com/snicklefritz/"} +{"d:Title": "Circus Unlimited", "d:Description": "Offering information on services provided including clowns and clown related skills.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.circusunlimited.com/"} +{"d:Title": "Neale Bacon and Friends", "d:Description": "Family entertainment specialists in British Columbia: Professor Pickles the clown, Neal Bacon ventriloquist and magician, and Mister Puffintwist, balloon twister.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://professorpickles.tripod.com/"} +{"d:Title": "Razzle Dazzle The Clown", "d:Description": "Clowns, face painting, balloon sculpture, magic, balloon grams and balloon decorating.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://razzledazzletheclown.com/"} +{"d:Title": "Boston Clowns", "d:Description": "Providing clowns for birthdays and other events.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.bostonclown.com/"} +{"d:Title": "Peppermint the Clown", "d:Description": "Entertainment for all ages. Includes comedy magic, face painting, balloon sculpting, organized games, stage performances and strolling interactive entertainment.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://pepperminttheclown.com/"} +{"d:Title": "Clowns For Hire", "d:Description": "Online directory of clowns for hire. Locate clowns in your area or register as a clowning enthusiast.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.clownsforhire.com/"} +{"d:Title": "Clowns By Pinky", "d:Description": "Clown and entertainment service based in South Florida.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://clownsbypinky.com/"} +{"d:Title": "Rainbows and Jellybeans", "d:Description": "Facepainting, balloon twisting clowns available for parties and events in Newcastle and Hunter,UK region", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.rainbowsandjellybeans.20m.com/"} +{"d:Title": "Crazy Daisy Clowns", "d:Description": "Entertainment for children's parties, daycares, preschools and all children's events.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://crazydaisyclowns.com/"} +{"d:Title": "Kellys Characters", "d:Description": "Clowns and costumed characters for birthday parties. Songs, dance, games, magic, face painting, dressup and tea parties.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.angelfire.com/ky3/princesskelly/"} +{"d:Title": "Silly Jilly the Clown", "d:Description": "Silly Jilly lives in St. Louis. Her specialties are big and fancy balloon animals and wild and crazy balloon hats. She also does face painting and magic.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://sillyjillytheclown.homestead.com/SillyJillytheClown.html"} +{"d:Title": "Bobby the Balloon Man and Scooter the Clown", "d:Description": "Offer balloon artistry, magic and clown shows in Miami, Ft. Lauderdale, south Florida and the Florida east coast.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.balloonman.cc/"} +{"d:Title": "Circus Fun", "d:Description": "Short biography, contact information, type of entertainers, information on different activities, and links.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.circusfun.net/"} +{"d:Title": "Funnybone the Clown", "d:Description": "Balloons, magic and comedy available for parties. Based in California.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.funnyclown.com/"} +{"d:Title": "Bubbles the Clown", "d:Description": "Scotland's singing clown. Biography, CDs and videos, package details and jokes.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://clownbubbles.homestead.com/"} +{"d:Title": "Clown Fun With Linny&Mo", "d:Description": "Husband and wife duo offering family entertainment includes comedy magic, face painting, balloon sculpting, stage performances or casual strolling entertainment. Located in Raleigh, NC.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://home.earthlink.net/~linnyandmo/"} +{"d:Title": "Clown Ring", "d:Description": "Webring for professional and amateur clowns.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.webring.org/hub?ring=clownring"} +{"d:Title": "Professional Kids Entertainment", "d:Description": "Provides clowns, magicians, cartoon characters, princesses and bouncer slides for kid\u2019s birthday party entertainment. Contains services details with prices, video gallery and FAQ.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.bookyourkidsparty.com/"} +{"d:Title": "Genii Blue the Clown", "d:Description": "Clown for all events: birthday parties, grand openings, picnics that can do face painting, balloon sculpture, magic tricks to entertain children of all ages.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://geniiblue.tripod.com/"} +{"d:Title": "Parties by Stacey", "d:Description": "Children's entertainment company, serving L.A. and surrounding areas with clowns, costumed characters and theme parties for birthdays, company picnics, holiday parties and fundraising events for the past seven years.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.partiesbystacey.com/"} +{"d:Title": "Clowns Australia", "d:Description": "Fun clowns for any occasion Australia wide.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.entertainoz.com.au/Entertainers/Clowns"} +{"d:Title": "Pepper the Clown", "d:Description": "Dianne Pepper. Includes photos, resume, and price list for entertainment, face painting, clown-o-grams, and clowning workshops. Located in Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.peppertheclown.ca/"} +{"d:Title": "Clowns Canada", "d:Description": "Federally incorporated organization of clowns, jugglers, magicians, face-painters, balloon artists and other children's entertainers.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.clownscanada.com/"} +{"d:Title": "Penelope The Clown", "d:Description": "A family variety entertainer. Features magic, juggling, face painting, balloon animals and strolling entertainment. Based in Calgary, Alberta.", "topic": "Top/Arts/Performing_Arts/Performers/Clowns", "url": "http://www.penelopetheclown.com/"} +{"d:Title": "Charles Haslett", "d:Description": "Prince Charles, Inspector Clouseau, Manuel impersonator and entertainer for corporate and private events in the UK and worldwide.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.charleshaslett.co.uk/"} +{"d:Title": "Douglas James as James Bond", "d:Description": "Pierce Brosnan lookalike/stunt available for James Bond theme nights, corporate events, presentation stunts, and product launches.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.douglasjames.co.uk/"} +{"d:Title": "Ed Wells as John Cleese Lookalike", "d:Description": "Available for hire.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.johncleese.co.uk/"} +{"d:Title": "En Drag", "d:Description": "A nightclub/cabaret revue, presenting female impersonation every Friday night in San Francisco.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.sfdrag.com/"} +{"d:Title": "Jim Malmberg as Johnny Carson", "d:Description": "Since 1984 Jim Malmberg has been impersonating Johnny Carson, the King of Late Night.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.carson-johnny.com/"} +{"d:Title": "Richard Skipper", "d:Description": "Carol Channing and Judy Garland impersonator. Contains biography, resume, pictures, schedule, and reviews.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.richardskipper.com/"} +{"d:Title": "Mendenhall, Brent", "d:Description": "As George W. Bush. Includes biography, appearances, photos, and contact information form.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.gwbushimpersonator.com/"} +{"d:Title": "The Impersonators", "d:Description": "Listing of various impersonators past and present including Beatles, Jack Benny, Blues Brothers, Humphrey Bogart.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.impersonators.com/"} +{"d:Title": "Dianne Wagner", "d:Description": "Impersonator of Elizabeth Taylor, Xena, Theresa Russell.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.diannewagner.com/"} +{"d:Title": "Ermal as John Wayne and Gary Cooper", "d:Description": "Ermal appears on the Coors Light Commercials, and performs his own one-man show \"John Wayne - American\" at conventions, seminars, and dinners.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.ermal.com/"} +{"d:Title": "Dixie Landers", "d:Description": "Celebrity female impersonator. Reviews, press releases, pictures.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.angelfire.com/stars2/Dixielanders/index.html"} +{"d:Title": "Holly Beavon", "d:Description": "Professional Marilyn Monroe, Madonna, and Felicity Shagwell impersonator and actress based in LA, travels world-wide for corporate entertainment and TV/Film appearances.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.hollybeavon.com/"} +{"d:Title": "Christopher&Co.", "d:Description": "200 celebrity voices, 100 costumed impersonations, one man. Based in the San Francisco Bay Area and performing around the world.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.hireastar.net/"} +{"d:Title": "Martin Gaisford as Hercule Poirot", "d:Description": "Agatha Christie's famous Belgian sleuth available for corporate events, murder mysteries, promotions, and commercials.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.poirotlookalike.com/"} +{"d:Title": "Barry Britton Tributes", "d:Description": "Impersonations and performances of Bruce Springsteen, Mick Jagger, Rod Stewart, and Meat Loaf. Pictures, audio and video tracks.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.barrybrittontributes.com/"} +{"d:Title": "Bell, Tracey", "d:Description": "Performs quick-change,impersonations of a variety of famous females. Show profile, articles, video clips and contact information included.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.traceybell.com/"} +{"d:Title": "The Professional Impersonators Web Ring", "d:Description": "List of sites with brief descriptions.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://www.webring.org/hub?ring=impersonators"} +{"d:Title": "Greg London", "d:Description": "Official site of the musical impressionist with news, blog, profile, music and video samples, product details, and concert reviews.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators", "url": "http://greglondonshow.com/"} +{"d:Title": "A-List Lookalikes", "d:Description": "Entertainers for corporate and private events. Located in the UK.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators/Agencies", "url": "http://www.alistlookalikes.co.uk/"} +{"d:Title": "Celebrity Impersonators", "d:Description": "Agency specializing in look- and sound-alike performers around the world.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators/Agencies", "url": "http://www.celebrityimpersonators.com/"} +{"d:Title": "Lookalikes-USA", "d:Description": "Entertainment co. specializing in look-alikes, impersonators, and novelty acts for all corporate and private events worldwide.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators/Agencies", "url": "http://www.lookalikes-usa.com/"} +{"d:Title": "Splitting Images", "d:Description": "UK-based celebrity lookalike agency. Includes list of look-alikes, previous client list and contact information.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators/Agencies", "url": "http://www.splitting-images.com/"} +{"d:Title": "Top Lookalikes", "d:Description": "UK production company providing lookalikes and tribute artists for stage, TV, film, commercials, print and live appearances worldwide.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators/Agencies", "url": "http://www.toplookalikes.co.uk/"} +{"d:Title": "Cher Impersonator Florida", "d:Description": "Award winning Cher look and sing alike, also impersonating Ernestine, Phoebe from 'Friends', with supporting dancers and Sonny impersonators. Include biography, costume gallery, audio and video clips, and testimonials.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators/Atchison,_Betty", "url": "http://www.cheriffic.net/"} +{"d:Title": "Johnny Counterfit", "d:Description": "Comedian/singing impressionist, presents a musical show with voice impressions of singers, actors, and politicians, in clean comedy, with a live band.", "topic": "Top/Arts/Performing_Arts/Performers/Impersonators/Counterfit,_Johnny", "url": "http://www.counterfit.com/"} +{"d:Title": "International Index to the Performing Arts", "d:Description": "Subscription-based content from more than 200 international periodicals, articles and obituaries appearing in The New York Times and The Washington Post.", "topic": "Top/Arts/Performing_Arts/Publications", "url": "http://iipa.chadwyck.com/"} +{"d:Title": "Currency Press", "d:Description": "Australia's performing arts publisher offering theatre, music, and film and television publications.", "topic": "Top/Arts/Performing_Arts/Publications", "url": "http://www.currency.com.au/"} +{"d:Title": "Uwe S\u00f6rensen", "d:Description": "Maker of character marionettes, portrait puppets based on photographs, and string puppets, located in Hamburg, Germany.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.marionettenbau.de/"} +{"d:Title": "The Puppetry Home Page", "d:Description": "Dedicated to international puppetry and lists puppetry information and resources.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.sagecraft.com/puppetry/"} +{"d:Title": "Puppeteers of America", "d:Description": "National Association of Puppetry. Festivals, membership information.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.puppeteers.org/"} +{"d:Title": "International Marionnette Institute", "d:Description": "The International Puppet Institute of Charleville-M\u00e9zi\u00e8res was founded in 1981. Together with its National School of Puppetry (ESNAM), both with a world-wide concern, it represents an unparalleled set of means and activities dedicated to puppetry and its promotion. The Institute deals with many fields: education, vocational and continuous training, courses, meetings, publishing, research and documentation, exhibitions. Site in French and English.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.marionnette.com/"} +{"d:Title": "Puppets2000", "d:Description": "An award winning, unique and highly interactive entertainment puppet site, containing \"Behind-the-Scenes\" and \"How To\" activities, as well as strong visual components with easy-to-use navigation.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.puppets2000.com/"} +{"d:Title": "Greek Marionette Theater", "d:Description": "All about marionettes in Greece and world wide. Videos, photos, links, and the marionette history.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.marionette.gr/"} +{"d:Title": "The Punch and Judy College of Professors", "d:Description": "UK organisation of leading professional Punch and Judy performers.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.punchandjudy.org/"} +{"d:Title": "Puppets and Stuff", "d:Description": "Virtual community for puppeteers or puppet enthusiasts from around the world. Free home pages.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://puppetsandstuff.com/"} +{"d:Title": "Dynamic New Animation", "d:Description": "A performance company that uses puppetry to creates shows for children and adults, and teaches puppetry. Information about shows, education, history, and contact details.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.dynamicnewanimation.co.uk/"} +{"d:Title": "Puppet Resources", "d:Description": "Archive of free puppet skits, scripts and other resources.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.puppetresources.com/"} +{"d:Title": "Scott Radke", "d:Description": "Produces marionettes, drawings, and sand sculptures.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.scottradke.com/"} +{"d:Title": "Puppetry Tech Notes", "d:Description": "Short articles on various technical subjects about making and performing puppetry.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://masterwill.blogspot.com/"} +{"d:Title": "WWWF Grudge Match: Lamb Chop vs. Pets.com", "d:Description": "Pet Sematary III: A sock puppet battle from beyond the grave. [Humor]", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.grudge-match.com/History/lambchop-petscom.shtml"} +{"d:Title": "Teia Moner. Theatre and puppets", "d:Description": "Actress and puppeteer from Barcelona. Provides history, projects,images from puppets, shows, workshops and exhibitions.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.teiamoner.com/"} +{"d:Title": "Pelham Puppets Online", "d:Description": "Puppet collectors, dedicated to puppets made by the Pelham Company from 1947 to 1992. History, care, range and classifieds.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.ppo.co.uk/"} +{"d:Title": "Renaissance Artist", "d:Description": "Puppet builders and performers. Custom built puppets, mascot costumes, masks, and soft sculpture. Artist-in-Residence packages for schools.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.renaissanceartist.com/"} +{"d:Title": "The Jim Henson Foundation", "d:Description": "Founded in 1982 by Jim Henson to promote and develop the art of puppet theater in the United States, the Foundation awards grants to American puppet artists to develop new works of contemporary puppet theater.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.hensonfoundation.org/"} +{"d:Title": "PuppetFest Midwest", "d:Description": "Annual event in Missouri presented by the Rumpelstiltskin Society. The purposeis to promote, encourage and illustrate the traditional skills of good puppet theatre. Includes photographs and details of each year's events.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.puppetfestival.org/"} +{"d:Title": "Push Puppets", "d:Description": "An on-line gallery of both old and modern push puppets.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://website.lineone.net/~honeywood/"} +{"d:Title": "Merrynettes", "d:Description": "Hand crafted professional quality theater marionettes. Also creates one-of-a-kind caricature marionettes of celebrities, family, and friends.", "topic": "Top/Arts/Performing_Arts/Puppetry", "url": "http://www.merrynettes.com/"} +{"d:Title": "University of Connecticut Puppetry Program", "d:Description": "BFA, MA or MFA degrees in the Puppet Arts.", "topic": "Top/Arts/Performing_Arts/Puppetry/Education", "url": "http://www.drama.uconn.edu/?page_id=124"} +{"d:Title": "Toronto School of Puppetry", "d:Description": "Information on master classes, weekend series and Monday evening marionettes.", "topic": "Top/Arts/Performing_Arts/Puppetry/Education", "url": "http://puppetmongers.com/toronto-school-of-puppetry/"} +{"d:Title": "The Jim Henson Company", "d:Description": "Official site with media clips, press releases and company information about the Muppets, Creature Shop, online store, TV/film/home video production, and links.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets", "url": "http://www.henson.com/"} +{"d:Title": "Muppet Central", "d:Description": "News, toys, and collectibles. Features chat and message boards, cards, reviews, articles and interviews, trivia quizzes and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets", "url": "http://www.muppetcentral.com/"} +{"d:Title": "Rec.arts.henson+muppets FAQ", "d:Description": "Muppet and Henson-related frequently asked questions.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets", "url": "http://www-cs-students.stanford.edu/~csilvers/muppet-faq.html"} +{"d:Title": "Muppet World", "d:Description": "Contains games, activities, and information about movies and characters.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets", "url": "http://www.muppetworld.com/"} +{"d:Title": "The Muppets Personality Test", "d:Description": "Find out which character from The Muppets you are most like with this test.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets", "url": "http://www.matthewbarr.co.uk/muppets/"} +{"d:Title": "CNN - 'Buddy' takes Henson magic one step further", "d:Description": "About the gorilla muppet used for the movie 'Buddy'.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/9706/11/buddy/index.html"} +{"d:Title": "CNN - The Muppets at 40", "d:Description": "Article by Sherry Dean.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/misc/9602/henson/index.html"} +{"d:Title": "The Muppets Place", "d:Description": "Fan page with profiles of the Muppet production staff.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew", "url": "http://www.angelfire.com/me3/muppets/CreativePeople.html"} +{"d:Title": "Your Face!", "d:Description": "Tribute to Muppet performer Richard Hunt.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew", "url": "http://rhuntfan.tripod.com/"} +{"d:Title": "Rick Lyon and \"Sesame Street\"", "d:Description": "Official site of a 15-year Muppet performer with dozens of behind-the-scenes photos.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew", "url": "http://www.lyonpuppets.com/sesame.html"} +{"d:Title": "IMDb - Jerry Nelson", "d:Description": "Puppeteer and voice actor's filmography.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0625456/"} +{"d:Title": "NPR : 'Sesame Street' Turns 35: Kevin Clash and Elmo", "d:Description": "NPR's Tavis Smiley talks with Kevin Clash, puppeteer and the voice of the popular Sesame Street character Elmo, about his career working with puppets and the 35th anniversary of Sesame Street. [9:09 streaming audio broadcast.]", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew/Clash,_Kevin", "url": "http://www.npr.org/templates/story/story.php?storyId=1816191"} +{"d:Title": "The Internet Movie Database (IMDb): Kevin Clash", "d:Description": "Puppeteer and voice actor's filmography and TV appearances.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew/Clash,_Kevin", "url": "http://www.imdb.com/name/nm0165159/"} +{"d:Title": "NPR : A Life Inside Big Bird", "d:Description": "At eight-foot-two, he's easily the biggest star in children's television. But it's a small world inside the costume of Sesame Street's Big Bird. Caroll Spinney, who also performs Oscar the Grouch, discusses the evolution of Big Bird with NPR's Bob Edwards. See a video clip of Spinney's characters.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew/Spinney,_Caroll", "url": "http://www.npr.org/templates/story/story.php?storyId=1249919"} +{"d:Title": "USA Today: The bird man of 'Sesame Street'", "d:Description": "Article focusing on Spinney's philosphical book.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew/Spinney,_Caroll", "url": "http://www.usatoday.com/life/2003-04-27-bird-man_x.htm"} +{"d:Title": "Caroll Spinney", "d:Description": "Official site with book information, list of characters he's played and samples of his paintings.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew/Spinney,_Caroll", "url": "http://www.carollspinney.com/"} +{"d:Title": "The Internet Movie Database (IMDb): Carroll Spinney", "d:Description": "Credits and trivia.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Cast_and_Crew/Spinney,_Caroll", "url": "http://imdb.com/name/nm0818973/"} +{"d:Title": "Tough Pigs", "d:Description": "News, reviews of Henson shows, information on past and present Muppets merchandise, and a Muppet comic strip.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Fan_Pages", "url": "http://www.toughpigs.com/"} +{"d:Title": "The Muppets Place", "d:Description": "Fan page with information about Jim Henson, special sections for Kermit, Miss Piggy, information about The StoryTeller and general Muppet stories.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Fan_Pages", "url": "http://www.angelfire.com/me3/muppets/index.html"} +{"d:Title": "The Muppet Newsflash", "d:Description": "Informs Muppet fans and Jim Henson enthusiasts around the world with Muppet-related news and updates.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Fan_Pages", "url": "http://muppetnewsflash.blogspot.com/"} +{"d:Title": "The Muppet Movies Lyric Archive", "d:Description": "Movie, lyrics, and pictures.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies", "url": "http://www.whysanity.net/muppets/"} +{"d:Title": "Rotten Tomatoes: Muppets From Space", "d:Description": "Reviews, previews, media predictions, box office, trailers, interviews, photographs, and news.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppets_From_Space", "url": "http://www.rottentomatoes.com/m/muppets_from_space/"} +{"d:Title": "TV Guide honors Muppet Christmas Carol", "d:Description": "By Danny Horn. [TV Guide] MuppetCentral.com archive of an article.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Christmas_Carol,_The", "url": "http://www.muppetcentral.com/news/1998/112698.shtml"} +{"d:Title": "Internet Movie Database", "d:Description": "Credits, trivia, reviews, summary, quotes, links, and other details.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Christmas_Carol,_The", "url": "http://www.imdb.com/title/tt0104940/"} +{"d:Title": "AllReaders.com Review - Muppet Christmas Carol", "d:Description": "Review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Christmas_Carol,_The", "url": "http://allreaders.com/movie-review-summary/muppet-christmas-carol-7203"} +{"d:Title": "The Muppet Christmas Carol", "d:Description": "Review by MaryAnn Johanson. [Flick Filosopher]", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Christmas_Carol,_The/Reviews", "url": "http://www.flickfilosopher.com/1999/12/the-muppet-christmas-carol-review.html"} +{"d:Title": "Muppet Movie DVD Review", "d:Description": "Review of the DVD release includes a description of extras available on this version.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Movie,_The", "url": "http://gracefulboot.com/reviews/Muppet-Movie-DVD-Review.html"} +{"d:Title": "At-A-Glance Film Reviews - The Muppet Movie", "d:Description": "Positive review of the first muppet movie. [Rated 3.5/4]", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Movie,_The", "url": "http://rinkworks.com/movies/m/the.muppet.movie.1979.shtml"} +{"d:Title": "IMDb - Muppet Movie, The (1979)", "d:Description": "Complete cast and crew list, plot summary, reviews, memorable quotes, and links to other sites.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Movie,_The", "url": "http://www.imdb.com/title/tt0079588/"} +{"d:Title": "IMDb: Muppet Movie Quotes", "d:Description": "Memorable quotes from the 1979 movie.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Movie,_The", "url": "http://www.imdb.com/title/tt0079588/quotes"} +{"d:Title": "At-A-Glance Film Reviews: Muppet Treasure Island", "d:Description": "Review by Sam [rated 2 1/2 of 5]", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Treasure_Island", "url": "http://rinkworks.com/movies/m/muppet.treasure.island.1996.shtml"} +{"d:Title": "Muppet Treasure Island", "d:Description": "Cranky Critic thinks the movie would be worth a $7 ticket.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Treasure_Island", "url": "http://www.crankycritic.com/archive/muppettreasureisland.html"} +{"d:Title": "All-Reviews.com - Muppet Treasure Island", "d:Description": "Reviews of the movie [3/4].", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Treasure_Island", "url": "http://www.all-reviews.com/videos-3/muppet-treasure-island.htm"} +{"d:Title": "AllReaders.com Review - Muppet Treasure Island", "d:Description": "Review of the plot, setting, theme, and structure of the film, and links to similar movies.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Movies/Muppet_Treasure_Island", "url": "http://allreaders.com/movie-review-summary/muppet-treasure-island-7227"} +{"d:Title": "Muppet Characters", "d:Description": "A list of characters from \"The Muppet Show\", \"Sesame Street\" and \"Muppets Tonight\".", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Television", "url": "http://www-cs-students.stanford.edu/~csilvers/muppet-characters.html"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, reviews, and other details.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Television/Emmet_Otter's_Jug-Band_Christmas", "url": "http://www.imdb.com/title/tt0075988/"} +{"d:Title": "The Internet Movie Database (IMDb): Fraggle Rock", "d:Description": "Cast information, plot outline, and visitor comments.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Television/Fraggle_Rock", "url": "http://www.imdb.com/title/tt0085017/"} +{"d:Title": "Internet Movie Database", "d:Description": "Cast and crew list, summary, reviews, and other details.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Television/Muppet_Family_Christmas,_A", "url": "http://www.imdb.com/title/tt0251282/"} +{"d:Title": "The Muppet Show Picture Page", "d:Description": "Contains pictures, fan art, special events, wallpapers, and links.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Television/Muppet_Show,_The", "url": "http://jimhensonfan.tripod.com/"} +{"d:Title": "The Internet Movie Database (IMDb): The Muppet Show", "d:Description": "Cast and credit information, user comments, and a message board.", "topic": "Top/Arts/Performing_Arts/Puppetry/Muppets/Television/Muppet_Show,_The", "url": "http://www.imdb.com/title/tt0074028/"} +{"d:Title": "Sol y Tierra", "d:Description": "Theatre and video with puppets for children with positive messages; useful for Schools and Cultural Centres.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.solytierra.com/"} +{"d:Title": "Performance Art and Puppetry from EE", "d:Description": "EE is a performance art group that uses puppets, projections, live music and costumes to create unique entertainment experiences.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://encroach.net/"} +{"d:Title": "Ramdas Padhye", "d:Description": "Information about the Indian ventriloquist, puppeteer and puppet builder; his various collections of puppets and shows performed by him.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.vpuppets.com/"} +{"d:Title": "Bryan, Lee aka That Puppet Guy", "d:Description": "Captivating audience while creating lasting memories through the magic of live puppetry performances.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.puppetguy.com/"} +{"d:Title": "Grey Seal Puppets", "d:Description": "A professional puppetry performance and design company which performs over 300 shows a year. Grey Seal also has many years experience in T.V. and video, as well as construction.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.greysealpuppets.com/"} +{"d:Title": "Friends Puppet", "d:Description": "Offer character education puppet adventures in Vancouver, Washington. Children will learn and laugh as the Friends teach important Bible lessons.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.friendspuppets.org/"} +{"d:Title": "Great Arizona Puppet Theater", "d:Description": "Online reservations, show descriptions, and show times.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.azpuppets.org/"} +{"d:Title": "Rainbow Puppet Productions", "d:Description": "Touring theatre creating programs celebrating family and nature.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://rainbowpuppets.com/"} +{"d:Title": "Blind Summit Theatre", "d:Description": "Information on plays, workshops, history, tour dates, and contact details.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.blindsummit.com/"} +{"d:Title": "The Drawbridge Puppet Theater", "d:Description": "Puppet and marionette performances, gifts and workshops.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.drawbridgepuppets.com/"} +{"d:Title": "The Puppeteers' Company", "d:Description": "UK based company which tours internationally. Programmes are variously suited to cabaret audiences; festivals; t.v. and theatre; schools.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.puppco.demon.co.uk/"} +{"d:Title": "Puppetworks, Inc.", "d:Description": "Puppet theatre in New York City: in Brooklyn (all year round) and Manhattan (twice yearly 6-week runs) and various other locations - with emphasis on the wooden marionette.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.puppetworks.org/"} +{"d:Title": "The Frisch Marionette Company", "d:Description": "A professional marionette puppet theater company and creators of high quality, one of a kind, professional, handcrafted, working marionettes.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.frischmarionettes.com/"} +{"d:Title": "Carousel Puppets", "d:Description": "Puppet shows for all occasions. Large and colorful marionettes and hand puppets. Lively music and lots of audience participation.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.carouselpuppets.com/"} +{"d:Title": "Sicilian Puppet Theater of the Flli Pasqualino", "d:Description": "The traditional form of epic popular theater that developed in Sicily in the early 1800's, performed with the famous sicilian puppets, a unique kind of marionettes covered with knightly armor.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.pupisiciliani.com/eng/index.html"} +{"d:Title": "Atlanta Puppet", "d:Description": "Professional puppet shows and workshops for schools, festivals, fairs, malls, and cruise ships. All styles of puppetry. Award winning Master Puppeteer Peter Hart.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.atlantapuppet.com/"} +{"d:Title": "Tiny Ninja Theater", "d:Description": "Features descriptions of all shows, archives of reviews and press, company information, store, and production studio. Based in New York.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.tinyninjatheater.com/"} +{"d:Title": "Curious Moon Puppet Theatre", "d:Description": "Georgia-based puppet company that provides programs and workshops for all ages and venues.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.curiousmoon.com/"} +{"d:Title": "Red Herring Puppets", "d:Description": "Over 25 years experiences designing, building, and performing puppets for film, television, theatre, opera, schools, libraries, museums and special events.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.redherringpuppets.com/"} +{"d:Title": "Lone Wolf Tribe", "d:Description": "Kevin Augustine and his band of foam-rubber creations. Tour schedule provided.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.lonewolftribe.com/"} +{"d:Title": "PetraPuppets", "d:Description": "Steven and Jeanie Petruzzella perform for schools, libraries and family audiences.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://petrapuppets.com/"} +{"d:Title": "The Old Trout Puppet Workshop", "d:Description": "Based on a ranch in Southern Alberta, this workshop creates original avant-garde puppetry performances for adults and children alike.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.theoldtrouts.org/"} +{"d:Title": "Transformed Puppet", "d:Description": "Transformed puppet, aliencow puppet show, the shat, corpsy and other puppet videos.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.transformedpuppet.com/"} +{"d:Title": "Mountain Marionettes", "d:Description": "A full time, professional puppet company, specializing in fast-paced marionette variety shows that will charm children and adults alike.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://mountainmarionettes.com/"} +{"d:Title": "Opera in Focus", "d:Description": "William B. Fosser's puppet production presents fully staged scenes from well known operas in performances. History, repertoire and reservations.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.operainfocus.com/"} +{"d:Title": "The Huber Marionettes", "d:Description": "Phillip Huber and David Alexander invite you to experience a world of sophisticated puppetry designed for entertaining discerning tastes.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.hubermarionettes.com/"} +{"d:Title": "Rayz Roadshow", "d:Description": "Edutainment in schools and churches. Teaching morals through comedy, ventriloquism, illusions, sound effects, stories, balloon animals.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.puppets.co.nz/"} +{"d:Title": "Dandelion Puppets", "d:Description": "British puppet shows, children's entertainment, wildlife shows. See and learn shows with an educational bias.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.dandelionpuppets.co.uk/"} +{"d:Title": "Nick Barone's Puppets", "d:Description": "Nick Barone Puppets provides puppet shows and professionally built puppets and puppet videos.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.puppetbuilder.com/"} +{"d:Title": "Small World Puppets", "d:Description": "Offers mobile puppet theater and workshops. Descriptions of shows including Puppet Palooza.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.smallworldpuppets.com/"} +{"d:Title": "The Worldwide Friends of Punch and Judy", "d:Description": "A group of people who love the show. Includes an overview of the organization, its mission, and membership details.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.punchandjudyworld.org/"} +{"d:Title": "Barefoot Puppets", "d:Description": "Heidi Rugg's shows feature world folk-tales and far-away lands with scripts that aim to be imaginative and well-researched. Performance schedule, show descriptions and photographs.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.barefootpuppets.com/"} +{"d:Title": "Blue Sky Puppet Theatre", "d:Description": "This professional touring company presents educational, fine art, and fun performances. Topics include: math education, peaceful conflict resolution, drug and alcohol prevention.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.blueskypuppets.com/"} +{"d:Title": "Gasch Stories&Puppets", "d:Description": "Dianne Gasch is a professional puppeteer using hand and bigger than life size puppets. Bob Gasch is a professional storyteller. Both are available to schools, libraries and other organizations for workshops, inservices or performances.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.gaschstories-puppets.com/"} +{"d:Title": "Svanen", "d:Description": "A Danish-Czech professional touring puppet-theater for children and childish grown-ups.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.svanen-dukketeater.dk/"} +{"d:Title": "Toytheatre", "d:Description": "The art of staging a performance in a small scale. The characters are in cardboard and on slides, the sceneries are changeable and the drama enfolds as the practionneers lend voices and movement to the stage held in a miniature theatre the size of a tv box", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.bawden.freewebspace.com/"} +{"d:Title": "Puppets to Go", "d:Description": "Serving New York, Long Island, New Jersey and Connecticut for children's parties.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.stanwiest.com/ny_puppets_to_go2.htm"} +{"d:Title": "Punch and Brodie Puppet Productions", "d:Description": "Puppet characters are available for business conferences, corporate communications, theme events, company meetings, sales events, trade shows, industrial training videos and other presentations.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://punchandbrodie.com/"} +{"d:Title": "Freshwater Pearls Puppetry", "d:Description": "Presents classic puppet theatre to schools, libraries, festivals, museums, historic sites and other venues.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.freshwaterpearlspuppetry.com/"} +{"d:Title": "Deputy Bob", "d:Description": "Christian ventriloquist. Services, photographs and news.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.deputybob.com/"} +{"d:Title": "International Purves Puppets", "d:Description": "Scotland's only permanent puppet theatre. Information on puppet shows, workshops, children's parties, Christmas shows, teacher inservice and touring company.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.purvespuppets.com/"} +{"d:Title": "Teatro en kofro", "d:Description": "Introducing a puppet theatre that caters for both children and adults, presented by the famous solo-puppeteer, Thomas Plaszky , one of the leading puppeteers of Slovakia.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.dvk.sk/"} +{"d:Title": "Little Stage and Puppet Theater", "d:Description": "Located in Los Angeles area, it shows children's plays, based on folk fairy tales from around the world.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.stagepuppet.8m.com/"} +{"d:Title": "Andy Hayward", "d:Description": "Puppeteer and puppet builder. Includes profile, news, credits, puppet design portfolio, video clips, and contact information.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.andyhayward.net/"} +{"d:Title": "The Robert Rogers Puppet Company", "d:Description": "This professional puppet troupe creates magical plays with original puppets for performances across the US and around the world.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.robertrogerspuppets.com/"} +{"d:Title": "Presto Puppet Theatre", "d:Description": "British touring puppet theatre presenting colourful children's productions using a variety of puppet styles. Bookers select from a repertoire for smaller theatres and school presentation.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.prestopuppets.co.uk/"} +{"d:Title": "Don Becker", "d:Description": "A well-made odd collection of original characters and miniature marionettes.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://mannartgallery.com/becker.html"} +{"d:Title": "Arm of The Sea Theater", "d:Description": "Original, live performances in New York's Hudson Valley with visual theater that incorporates masks, puppets and live music.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.armofthesea.org/"} +{"d:Title": "Rick Lyon", "d:Description": "Film and television puppeteer for television programs like Sesame Street and Bear in the Big Blue House and films like Men In Black. Rick also performs with his own troupe, The Lyon Puppets.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.lyonpuppets.com/"} +{"d:Title": "Wayne Martin Puppets", "d:Description": "Show and production information, along with a short video [Real Player format].", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.waynemartinpuppets.com/"} +{"d:Title": "Kelly, Kevin", "d:Description": "Magic and puppet shows for adults, families, and children in the Chicago area. Biography, show descriptions and testimonials.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.kellymagic.com/"} +{"d:Title": "Wonderworld Productions", "d:Description": "Ric Morton provides family entertainment for fairs, festivals, birthday parties and other events, as well as educational programs for schools and libraries in southern California.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.wonderworldproductions.com/"} +{"d:Title": "Crabgrass Puppet Theatre", "d:Description": "Offers information on history, current productions, booking, news, public performance dates and a photograph gallery. Based in Vermont.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.crabgrasspuppet.com/"} +{"d:Title": "Le Theatre de Marionette", "d:Description": "Theater troupe offering young patrons professionally staged marionette performances. Based in Las Colinas, TX.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.letheatredemarionette.com/"} +{"d:Title": "Jim Gamble Puppet Productions", "d:Description": "Extensive repertoire of original state-of-the-art productions, each with its own set of unique puppet characters.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.jimgamble.com/"} +{"d:Title": "Michael Ziegfield", "d:Description": "Official site with a biography, reviews and production schedule of the performing artist/media puppeteer. Also includes The Ziegfeld Company Multi-Media Group.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://michaelpaulonline.com/"} +{"d:Title": "MaxImagination", "d:Description": "Max and his friends are professional puppets available to entertain and teach children in various age groups.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://maximagination.tripod.com/MaxWeb/"} +{"d:Title": "Lambert Puppet Theatre", "d:Description": "The only purpose built puppet theatre in Ireland. The theatre operates throughout the year on Saturdays and Sundays, with performances at 3:30pm, and productions change monthly.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.lambertpuppettheatre.ie/"} +{"d:Title": "Gary Friedman Productions", "d:Description": "Showcases the work of a South African with over twenty years experience in puppetry-in-education and development, advertising promotions, television and live theatre production.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://africanpuppet.blogspot.com.au/"} +{"d:Title": "Artello", "d:Description": "Puppet and theatre company from Vigo (Galicia, Spain) founded by Rosa Hurtado and Santiago Montenegro.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.empresas.mundo-r.com/artello/"} +{"d:Title": "Kurt Hunter Marionettes", "d:Description": "Minnesota-based puppeteers who perform in concert halls, schools and retirement homes, accompanied by music ranging from classical to lively dance tunes.", "topic": "Top/Arts/Performing_Arts/Puppetry/Puppeteers", "url": "http://www.huntermarionettes.com/"} +{"d:Title": "I Burattini di Mario Magonio", "d:Description": "Information about the Puppet Theatre of Mario Magonio, of Genoa, Italy. Includes directories and links to puppet theatres, museums and other resources from around the globe.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://xoomer.virgilio.it/Barudda/"} +{"d:Title": "Figurentheater T\u00fcbingen", "d:Description": "Information about the theater, players and repertoire. With dates and pictures. Located in Germany.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.figurentheater-tuebingen.de/"} +{"d:Title": "Mascots And Puppets Specialists", "d:Description": "A creative arts group in Singapore which performs with and produces professional mascots, puppets, marionettes, costumes, special props and illusions.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.mascotsandpuppets.com/"} +{"d:Title": "The San Francisco Bay Area Puppeteers Guild", "d:Description": "People in the San Francisco Bay area of California who are interested in the art of puppetry, from amateurs to professionals. Lists news, Guild activities and events, and membership information.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://sfbapg.org/"} +{"d:Title": "Cyberpunch", "d:Description": "T. Vogels Theatre in Copenhagen offers a theater performance for handpuppets, digital video and computer animation in real time on the stage. [Danish/German/English]", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.cyberpunch.com/"} +{"d:Title": "The Puppet People", "d:Description": "A professional touring puppet company based in New York, utilizing many different styles of puppets from marionettes to large parade puppets.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.thepuppetpeople.org/"} +{"d:Title": "Center for Puppetry Arts", "d:Description": "Largest organization in the United States devoted to the art of puppetry. Information on museum and education programs; performances; 1999 Festival; membership information; job opportunities; links.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.puppet.org/"} +{"d:Title": "Columbia Association of Puppeteers", "d:Description": "A puppetry guild in Portland, Oregon, USA with lots of puppet builders, teachers and performers. A Chartered guild of the Puppeteers of America since 1975.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.cappuppets.org/"} +{"d:Title": "New England Marionette Opera", "d:Description": "New England Marionette Opera Theatre, Peterborough, NH, (90 minutes from Boston) America's only opera theater performed entirely with string operated marionettes. Classical puppet theater.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.marionettes.org/"} +{"d:Title": "Random Acts Puppet Theater", "d:Description": "Travels across Texas and the USA. Includes information about upcoming random acts.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.randomacts.info/"} +{"d:Title": "UNIMA - USA, Inc.", "d:Description": "American Chapter of UNIMA, Union Internationale de la Marionette, the world's oldest theatre organization. It is dedicated to promoting international friendship through the art of puppetry.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.unima-usa.org/"} +{"d:Title": "Il Teatro Calamari", "d:Description": "An international touring puppetry, mask and physical theatre troupe based in Saint Helena, California. Performs in street, schools, large and small venues. Offers workshops and residencies.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.teatrocalamari.com/"} +{"d:Title": "Puppetmongers", "d:Description": "Ann and David Powell create plays for children, families and adults. Provides profiles of the artists, performance calendar, as well as a school and museum of puppetry. Based in Toronto, Canada.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.puppetmongers.com/"} +{"d:Title": "The Puppetry Arts Theatre", "d:Description": "Brings visual and performance arts to youth and families in New York City. Includes profile, current events, information about programs and support. With photographs.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.puppetryarts.org/"} +{"d:Title": "Eulenspiegel Puppet Theatre", "d:Description": "Nonprofit, tax-exempt company is dedicated to promote the art of puppetry by producing and presenting performances and workshops. Includes its history, the current season, show details, photos, and contact information. West Liberty, Iowa, USA.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.puppetspuppets.com/"} +{"d:Title": "Norwich Puppet Theatre", "d:Description": "Information, history, current programme and touring activity.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://www.puppettheatre.co.uk/"} +{"d:Title": "The Kids on the Block Puppet Program", "d:Description": "Hands-On Education has been educating and entertaining children of New Jersey since 1989. Programs range from Disability Awareness to AIDS Prevention.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://handsoneducation.wixsite.com/thekidsontheblock"} +{"d:Title": "Ballard Institute and Museum of Puppetry", "d:Description": "Collection includes all types of puppets from hundreds of years past from international sources. Located on the University of Connecticut campus at Storrs. Free admission.", "topic": "Top/Arts/Performing_Arts/Puppetry/Regional", "url": "http://bimp.uconn.edu/"} +{"d:Title": "Scotty's Vent Figure Registry", "d:Description": "An alphabetical index of ventriloquists and their figures.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://dickensndave.bravehost.com/registry.html"} +{"d:Title": "Puppets and Props", "d:Description": "Professional ventriloquist dummies for sale. Figure making info, cast dummy parts.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.puppetsandprops.com/"} +{"d:Title": "Axtell Expressions", "d:Description": "Amazing Puppets for ventriloquists and support materials.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.axtell.com/"} +{"d:Title": "Paul Winchell Figures: Jerry and Knucklehead", "d:Description": "Working replicas of Jerry Mahoney and Knucklehead Smiff built by Jerry Layne.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.jerrylayne.com/html/pwstylefigures.html"} +{"d:Title": "Lee Cornell's Ventriloquism 101", "d:Description": "Videos, books, puppets, and ventriloquist figures.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.cornellpublications.com/ventriloquism/"} +{"d:Title": "Selberg Studios", "d:Description": "Professional figures by master sculptor Tim Selberg.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.selbergstudios.com/"} +{"d:Title": "Vent Haven Museum", "d:Description": "Vent Haven International Ventriloquist ConVENTion. Vent Haven Museum.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://venthavenmuseum.com/"} +{"d:Title": "Alan Semok: The Dummy Doctor", "d:Description": "Puppetmaker-ventriloquist-actor-ventriloquism teacher.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.alansemok.com/dummies"} +{"d:Title": "Ventriloquist Ring", "d:Description": "A webring for sites related to ventriloquism.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.webring.org/hub?ring=vent"} +{"d:Title": "VentriloQuest.com", "d:Description": "Ventriloquism and ghost stories. Includes special feature on Eddie Garland.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://darkartsmedia.com/Ventriloquest.html"} +{"d:Title": "Yahoo Groups: WorldEvents", "d:Description": "E-mail and chat site for the community of professional and amateur ventriloquists around the world.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "https://groups.yahoo.com/neo/groups/WORLDVENTS/info"} +{"d:Title": "Vent Haven: Ventriloquism Museum", "d:Description": "By Steven Rosen. [CityBeat.com] \"For a world-class museum, Greater Cincinnati\u2019s Vent Haven Museum attracts precious few.\" Includes video slideshow.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism", "url": "http://www.citybeat.com/arts-culture/visual-arts/article/13016769/vent-haven-ventriloquism-museum"} +{"d:Title": "Buch, Judy", "d:Description": "Ventriloquist and a Certified Speaking Professional.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.judybuch.com/"} +{"d:Title": "Taylor, Mason", "d:Description": "Comedian, ventriloquist, musician, and entertainer.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.taylormason.com/"} +{"d:Title": "Barber, Jim", "d:Description": "Comedy performances.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.jimbarber.com/"} +{"d:Title": "Johnson, Jay", "d:Description": "Biography, booking information, and related links.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.monkeyjoke.com/"} +{"d:Title": "Holliday, Linda", "d:Description": "Professional ventriloquist and magician.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://lindaholliday.com/"} +{"d:Title": "Abdou, Bob: Mr. Puppet", "d:Description": "A blend of comedy, marionettes, hand puppets, and ventriloquism.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.mrpuppet.com/"} +{"d:Title": "Rhodes, Carla", "d:Description": "Multi-talented ventriloquist, comedian, musician, and artist.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.carlarhodes.net/"} +{"d:Title": "Lafong, Michele", "d:Description": "Ventriloquist, puppeteer, impressionist, and stand up comedienne.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://michelelafong.com/"} +{"d:Title": "Johnson, Kevin", "d:Description": "Ventriloquist and comedian. Includes latest news, gallery, video and contact info.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.laffalot.com/"} +{"d:Title": "Wade, Mark", "d:Description": "KidShowVent - educational comedy and ventriloquism. Video clip, photos and online store.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.kidshowvent.com/"} +{"d:Title": "Markson, Sylvia", "d:Description": "A comical variety show where anything and everything comes to life.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.themagictrunk.com/"} +{"d:Title": "Michaels, Pete", "d:Description": "Comic/Ventriloquist/Vocalist.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.petemichaels.com/"} +{"d:Title": "Padhye, Ramdas", "d:Description": "Professional Ventriloquist, Puppeteer, and Puppet-Maker from India.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.vpuppets.com/"} +{"d:Title": "Warren, Kenny", "d:Description": "Ventriloquist with his sidekick Leroy Cool present comedy, music, and song.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.kennywarren.com/"} +{"d:Title": "Barry O'", "d:Description": "Kidshow ventriloquist, magician, and musician in Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.barryo.ca/"} +{"d:Title": "Taylor, Steve", "d:Description": "Motivational Speaker and Comedy Expert.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.stevetaylorpro.com/"} +{"d:Title": "Brogan, Steve", "d:Description": "Comic Ventriloquist.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.stevebroganco.com/"} +{"d:Title": "Dacoba, Alicia", "d:Description": "Bilingual ventriloquist who works with Live Talking Animals.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.aliciadacoba.com/"} +{"d:Title": "Hein, John Oscar", "d:Description": "Oscar and Bernie: comedy ventriloquism.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.oscarandbernie.com/"} +{"d:Title": "Pizzi, John", "d:Description": "Don Rickles with a dummy!", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.johnpizzi.com/"} +{"d:Title": "Hughes, Phil", "d:Description": "Comic ventriloquist.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.comedyentertainment.com/"} +{"d:Title": "Stadelman, Paul", "d:Description": "Dedicated to the late, great ventriloquist, Paul Stadelman.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://dickensndave.bravehost.com/stadel1.htm"} +{"d:Title": "Strassman, David", "d:Description": "Creator of Puppetronics.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.puppetronics.com/"} +{"d:Title": "Layne, Jerry", "d:Description": "Ventriloquist and Ventriloquial Figure Maker.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.jerrylayne.com/"} +{"d:Title": "Brown, Dale", "d:Description": "Ventriloquist and motivational speaker.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.dale-brown.com/"} +{"d:Title": "Cornell, Lee", "d:Description": "Magic and Ventriloquism Show.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.cornellpublications.com/magic/"} +{"d:Title": "Greene, Carol", "d:Description": "Singing Ventriloquist.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.carolgreene.com/"} +{"d:Title": "Hamilton. T. A.", "d:Description": "Magic, Illusions, and Ventriloquism.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.tahamilton.com/"} +{"d:Title": "Merchant, Mark", "d:Description": "Comedian Ventriloquist.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.markmerchant.com/"} +{"d:Title": "Hilliker, Val", "d:Description": "Comedy Ventriloquist for children and family shows.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.valhilliker.com/"} +{"d:Title": "Geffner, Jonathan", "d:Description": "Performances, videos, posters.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.geffner.com/"} +{"d:Title": "Hoover, Bob", "d:Description": "Shows offer a combination of music, stand-up comedy and ventriloquism. Offers both clean family entertainment and Christian concerts designed specifically for churches and religious organizations. Contains resume, testimonials and videos.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.bobhoover.org/"} +{"d:Title": "Christopher, Dan", "d:Description": "Ventriloquist, magician, comedian.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.danchristopher.com/"} +{"d:Title": "Boiano, Dave: Dickens&Dave", "d:Description": "Ventriloquism and related information.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://whiggins.stormpages.com/"} +{"d:Title": "Horn, Dan", "d:Description": "Ventriloquist and comedian. Includes profile and performance schedule.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.standupvent.com/"} +{"d:Title": "Shimer, Bob", "d:Description": "Storyteller and ventriloquist.", "topic": "Top/Arts/Performing_Arts/Puppetry/Ventriloquism/Ventriloquists", "url": "http://www.drango.com/storiesandmagic/"} +{"d:Title": "Performing Arts Reading Room", "d:Description": "Searchable index of information on theatre, dance and music. Library of Congress, Washington, D.C.", "topic": "Top/Arts/Performing_Arts/Reference/Libraries", "url": "http://www.loc.gov/rr/perform/"} +{"d:Title": "The Actor's Checklist", "d:Description": "A review of practices and practical information for starting and sustaining a career in singing, acting and the performing arts.", "topic": "Top/Arts/Performing_Arts/Resources", "url": "http://www.actorschecklist.com/"} +{"d:Title": "The Performers Network", "d:Description": "An online community for international variety performers, offering bulletin boards, web services, library and links to the performing community.", "topic": "Top/Arts/Performing_Arts/Resources", "url": "http://www.performers.net/"} +{"d:Title": "Performing Arts Medicine Association", "d:Description": "Organization of physicians and other allied professionals dedicated to improving the health care and treatment of performing artists through education, research and teaching.", "topic": "Top/Arts/Performing_Arts/Resources", "url": "http://www.artsmed.org/"} +{"d:Title": "Survivors Art Foundation", "d:Description": "A non-profit organization committed to helping trauma survivors with artistic outlets through internet art and outreach programs, national exhibitions, publications and development of employment skills. Includes mission statement, board of directors, staff, programs available, FAQ and contact numbers.", "topic": "Top/Arts/Performing_Arts/Resources", "url": "http://www.survivorsartfoundation.org/"} +{"d:Title": "Storyfest: Storytelling, Spirituality and Pilgrimage Travel", "d:Description": "Storytelling seminars, tours (Wales, Ireland, Italy, Spain, Hawaii, Hungary, New Mexico), apprenticeship in sacred storytelling.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storyfest.com/"} +{"d:Title": "Storyteller.net", "d:Description": "Articles, list of tellers, written and recorded stories, and event listings.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storyteller.net/"} +{"d:Title": "Story Arts Online!", "d:Description": "Heather Forest's site for teachers, librarians, and students explores the use of storytelling in the classroom to enhance speaking, listening, reading and writing skills.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storyarts.org/"} +{"d:Title": "Aaron Shepard's Storytelling Page", "d:Description": "Resources for storytellers, including articles, quotes, stories, and guide to telling.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.aaronshep.com/storytelling/"} +{"d:Title": "Time Slips", "d:Description": "Storytelling project with people with Alzheimer's disease and their caregivers.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.timeslips.org/"} +{"d:Title": "Tim Sheppard's Storytelling Resources for Storytellers", "d:Description": "Collection of articles, links, and information on the oral tradition and the art of storytelling.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.timsheppard.co.uk/story/"} +{"d:Title": "Tonsil: Musings from an Itinerant Story Listener", "d:Description": "Weblog on storytelling issues.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://tonsil.blogspot.com/"} +{"d:Title": "Storytelling -- It's News!", "d:Description": "National Storytelling Network's compilation of articles in the media about storytelling.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storynet-advocacy.org/news/"} +{"d:Title": "Australian Storytelling", "d:Description": "Offers directory of tellers by location, newsletter, articles and interviews, festival information and links.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.australianstorytelling.org.au/"} +{"d:Title": "National Story Project with Paul Auster", "d:Description": "Read or listen to stories that aired from 1999 to 2001 on National Public Radio's \"Weekend All Things Considered\".", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.npr.org/programs/watc/features/1999/991002.storyproject.html"} +{"d:Title": "International Storytelling Center", "d:Description": "Visitor and festival information, articles, and advocacy. Jonesborough, Tennessee.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storytellingcenter.net/"} +{"d:Title": "STORYTELL Discussion List", "d:Description": "Storytell, sponsored by the National Storytelling Network, is an email discussion list of more than 500 subscribers worldwide for discussion about storytelling.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storynet.org/storytell.html"} +{"d:Title": "Storytelling in Schools", "d:Description": "A reference guide to a wide variety of educational programs (K-college) that demonstrate the value of using storytelling and storytelling techniques across the curriculum in traditional classrooms.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storynet-advocacy.org/edu/"} +{"d:Title": "The Art of Storytelling Show with Brother Wolf", "d:Description": "An international conversation on the art form of storytelling with interviews of storytellers from every country and perspective. Podcast available.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.artofstorytellingshow.com/"} +{"d:Title": "Breaking the Eggs", "d:Description": "Opinionated discussion of performance storytelling in the 21st century", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://storytelling.blogspot.com/"} +{"d:Title": "Talk Story Radio", "d:Description": "Dozens of half hour story pod casts featuring the tellers and tales of Hawaii and the Pacific Rim and touring storytellers who have come through Hawaii. Diverse themes, well produced, with extensive credits: come lend an ear!", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.talkstoryradio.com/"} +{"d:Title": "Voice--A Storyteller's Lifestyle", "d:Description": "Blog by professional storyteller Rachel Hedman on the marketing, business, research, and rehearsal that goes into storytelling.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://storytellingadventures.blogspot.com/"} +{"d:Title": "Storytelling Notes", "d:Description": "Blog focusing on the details of the storytelling life of a professional storyteller, Priscilla Howe", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://storytellingnotes.blogspot.com/"} +{"d:Title": "Story Lab X", "d:Description": "A curated blog featuring videos of storytellers in performance, gathered from video-sharing sites around the Web.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://storylabx.tumblr.com/"} +{"d:Title": "Song, story and culture", "d:Description": "Storyteller Bill Harley blogs about the storytelling process, his storytelling career, and his sources of inspiration.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://billharley.wordpress.com/"} +{"d:Title": "Storytelling and Videoconferencing", "d:Description": "Selected writings on folklore from Professor Eric Miller", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.storytellingandvideoconferencing.com/"} +{"d:Title": "Storytelling Webring", "d:Description": "Hub for links to storytelling events, resources, and organizations.", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.webring.org/hub?ring=storytelling"} +{"d:Title": "Young Storyteller of the Year", "d:Description": "Young Storyteller of the Year is an annual UK event seeking the new generation of storytellers and backed up by training projects in schools", "topic": "Top/Arts/Performing_Arts/Storytelling", "url": "http://www.tradartsteam.co.uk/ysoy.html"} +{"d:Title": "LANES League for the Advancement of New England Storytelling", "d:Description": "Member directory, event listings, news letter, mailing list, festival and conference information. New England and Upstate New York", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.lanes.org/"} +{"d:Title": "StoryTellers of the American Frontier", "d:Description": "Program based in Atlanta, Georgia, for at-risk youth that build character through historical and cultural awareness. StoryTellers feature true stories of the African, Mexican and Native Americans who have been left out of the History books.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://storytellers.net/"} +{"d:Title": "Wheel Council", "d:Description": "\"Wholistic Health, Education, and Empowerment for Life.\" Traditional and personal storytelling for children and adults, with the goals of building self-esteem, cultural pride, problem solving skills, and substance abuse prevention. Based in Evergreen, Colorado and Phoenix, Arizona.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.wheelcouncil.org/index.html"} +{"d:Title": "Tejas Storytelling Association", "d:Description": "A non-profit organization that is dedicated to fostering the appreciation of storytelling of all facets through out the South Central Region.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.tejasstorytelling.com/"} +{"d:Title": "Jonesborough Storytellers Guild", "d:Description": "Monthly meetings and weekly performances by professional and amateur tellers. Member directory, audio recordings, event listings, and workshop information. Jonesborough, TN.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytellersguild.org/"} +{"d:Title": "New Zealand Guild of Storytellers", "d:Description": "Promoting storytelling and the oral literature of New Zealand. Regional listings of events and storytellers.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://storytelling.org.nz/"} +{"d:Title": "SAC - Storytelling Association of California", "d:Description": "The Storytelling Association of California (SAAC) aims to be a regional voice for story listeners and storytellers.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storysaac.org/"} +{"d:Title": "Connecticut Storytelling Center", "d:Description": "Annual festival, school programs, event calendar, membership directory, and newsletter. New London, Connecticut.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.connstorycenter.org/"} +{"d:Title": "Pioneer Valley Folklore Society", "d:Description": "Monthly song and story swap, Amherst, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.filbert.com/pvfs/"} +{"d:Title": "National Storytelling Network", "d:Description": "The web page of the National Storytelling Network, with links to its Festival, organization, and resources.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storynet.org/"} +{"d:Title": "Black Storytellers Alliance", "d:Description": "Non-profit 501(c)3 organization of storytellers, educators and community supporters of the oral tradition as practiced by African people in the Diaspora.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.blackstorytellers.com/"} +{"d:Title": "California Indian Storytelling Association", "d:Description": "Promoting the stories and culture of the indigenous people of the Americas. Membership information, events, festivals, and mailing list.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.cistory.org/"} +{"d:Title": "Youth Storytelling Projects", "d:Description": "This site, run by Nationally known storyteller and teacher Kevin Cordi is the first national effort to enlist youth storytelling clubs and troupes for young kids of all ages. It includes advice, council, tips.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.youthstorytelling.com/"} +{"d:Title": "Northlands Storytelling Network", "d:Description": "The largest regional storytelling organization in the United States, a community of storytellers and storylisteners throughout the upper Midwest (Minnesota, Iowa, Illinois, Michigan, and Wisconsin).", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.northlands.net/"} +{"d:Title": "Storytellers School of Toronto", "d:Description": "Supports creative work in the art of storytelling and the Toronto Storytelling Festival. Toronto, Canada.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytellingtoronto.org/"} +{"d:Title": "The North Carolina Storytelling Guild (NCSG)", "d:Description": "Providing storytelling events, continuing education opportunities and advocacy for the art of the oral tradition throughout the state of North Carolina.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.ncstoryguild.org/"} +{"d:Title": "O.O.P.S! - The Ohio Order for the Preservation of Storytelling", "d:Description": "The networking agent and supporting organization for storytelling and storytellers in the State of Ohio. Holds annual Storytelling Conference, regional seminars and publishes a quarterly newsletter.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.oopstorytelling.org/"} +{"d:Title": "Houston Storytellers Guild", "d:Description": "Promoting the art and craft of storytelling in the Houston, Texas, area through performances and workshops.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.houstonstorytellers.org/"} +{"d:Title": "Voices in the Glen", "d:Description": "Non-profit organization for lovers of storytelling in the Washington D.C. area that seeks to promote the art of storytelling and the enjoyment of stories.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.voicesintheglen.org/"} +{"d:Title": "New Jersey Storytelling Network", "d:Description": "Storytelling events, shows and projects. Includes directory of storytellers in New Jersey.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.njstorynet.org/"} +{"d:Title": "Spellbinders", "d:Description": "Organization training volunteer storytellers to connect generations and build community.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.spellbinders.org/"} +{"d:Title": "Storytellers of Central Ohio", "d:Description": "For the members of the Storytellers of Central Ohio and all who love stories.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.socotales.org/"} +{"d:Title": "The Ottawa Storytellers", "d:Description": "Promoting the art of storytelling in our community. The site lists events and resources for tellers and listeners. (Ottawa, Ontario, Canada)", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.ottawastorytellers.ca/"} +{"d:Title": "Sandcastle Storytellers", "d:Description": "Sandcastle Storytellers, Inc. is a not for profit storytelling club. We tell all types of stories for all ages. Everyone is welcome to come to our public meetings. (Florida).", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.sandcastlestorytellers.org/"} +{"d:Title": "Strawberry Fields Storytellers", "d:Description": "New Storytelling Guild in Miami Florida area.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.strawberryfieldsstorytellers.com/"} +{"d:Title": "Rivertown Storytellers", "d:Description": "Promoting excellence in storytelling, increasing public awareness of storytelling as an art form and an educational tool. Evansville, Indiana.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.rivertownstorytellers.com/"} +{"d:Title": "Interfaith Story", "d:Description": "Discussion group of the National Storytelling Network (USA), for storytellers and listeners who wish to share the wisdom tales/sacred stories from every spiritual tradition.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.interfaithstory.org/"} +{"d:Title": "Tale Tellers of St. Augustine, Florida", "d:Description": "Master Storytellers from the Nation's Oldest City perform at festivals, schools, libraries, and special events throughout Florida -- and beyond.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://taletellers.org/"} +{"d:Title": "The Storytellers' Guild of Anchorage", "d:Description": "Guild sponsors Tellabration! and other storytelling events -- with the aim of inviting Anchorage residents to take part in the worldwide resurgence of interest in storytelling. The guild meets once a month and is open to all storytellers and aspiring storytellers who wish to grow in the practice of this art.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytellersguildofanchorage.org/"} +{"d:Title": "Storytelling Center, Inc. of New York City", "d:Description": "New York's premier storytelling organization, offering workshops, swaps and special events.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytelling-nyc.org/"} +{"d:Title": "National Association of Black Storytellers", "d:Description": "Information on festivals, memberships, storytellers, history, and workshops.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://nabsinc.org/"} +{"d:Title": "Storytelling In Organizations", "d:Description": "A Special Interest Group of the National Storytelling Network. Membership and conference information, newsletter, and articles.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytellinginorganizations.com/"} +{"d:Title": "Victoria Storytellers' Guild", "d:Description": "Meets the third Monday of every month to tell and listen to stories.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.victoriastorytellers.org/"} +{"d:Title": "Wisconsin Storytelling Guilds", "d:Description": "Find a storytelling guild in Wisconsin, courtesy of WIStory.org.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://webpages.charter.net/hardyg/wistory/guilds.htm"} +{"d:Title": "Inland Valley Storytellers", "d:Description": "Includes member profiles and local events. Most activities in Claremont, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://inlandstorytellers.org/"} +{"d:Title": "Tellastory: Dallas Storytelling Guild", "d:Description": "The guild celebrates the art, craft, and traditions of storytelling and works to keep the oral tradition alive through educational and public programs. Our website supports this mission.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.dallasstorytelling.org/"} +{"d:Title": "Michigan Storytelling", "d:Description": "Up-to-date calendar and contact information of public storytelling events, courses, festivals and conferences featuring locations in Michigan or featuring Michigan storytellers.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.michiganstorytelling.org/"} +{"d:Title": "The Society for Storytelling", "d:Description": "Homepages for the UK Society for Storytelling, giving contact and membership details, publication and storytelling tapes lists, and society events.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.sfs.org.uk/"} +{"d:Title": "South Coast Storyteller's Guild", "d:Description": "Celebrating the oral tradition and developing student storytellers in Costa Mesa, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storyguild.org/"} +{"d:Title": "West Virginia Storytelling Guild", "d:Description": "Supporting and promoting storytellers in West Virginia.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://storytellerwv.tripod.com/"} +{"d:Title": "Community Storytellers", "d:Description": "Community Storytellers is a Los Angeles area storyswap group that meets monthly.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.communitystorytellers.org/"} +{"d:Title": "Kentucky Storytelling Association", "d:Description": "A non-profit membership organization whose mission is to develop on-going support and appreciation for storytelling in Kentucky.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.kystory.org/"} +{"d:Title": "Detroit Storytelling Festival", "d:Description": "The Detroit Story League began meeting in 1912 and has held an annual festival since 1988.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.detroitstorytelling.org/"} +{"d:Title": "Storytelling Arts of Indiana", "d:Description": "Promotes the art and use of storytelling in daily life through concerts, workshops, programs and other events.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytellingarts.org/"} +{"d:Title": "Tarrant Area Guild of Storytellers", "d:Description": "Guild offering events and workshops in the Fort Worth TX area.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.tarrantguild.org/"} +{"d:Title": "Traditional Arts Team", "d:Description": "Runs events in the Midlands relating to traditional performing arts including storytelling, song, music and dance. Publishes the magazine, Folk Monthly.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.tradartsteam.co.uk/"} +{"d:Title": "Keepers Of The Culture, Inc. (\"Khotsi\")", "d:Description": "Cultural arts organization perpetuating the African and African-American oral tradition. Member directory, meeting and event information, and photos. Philadelphia, PA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.angelfire.com/pa5/kotc/"} +{"d:Title": "Storytellers Of Ireland - Aos Sc\u00e9al \u00c9ireann - Two Shorten the Road", "d:Description": "Established in 2003 to promote and foster the oral tradition of Storytelling throughout Ireland.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytellersofireland.org/"} +{"d:Title": "Network of Biblical Storytellers(NOBS)", "d:Description": "Global organization encourages oral, written, and electronic forms of passing on stories from the Bible.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.nbsint.org/"} +{"d:Title": "Bloomington (Indiana) Storytellers' Guild", "d:Description": "Monthly meetings to share stories and plan events. Site lists upcoming events, membership and contact information.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://btownstorytellers.blogspot.com/"} +{"d:Title": "Fireside Story League", "d:Description": "Volunteer storytelling league; includes photo gallery, event calendar, newsletter. Tacoma, Washington.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://firesidestoryleague.org/"} +{"d:Title": "World Storytelling Institute (WSI)", "d:Description": "Training in, performance of, and discussion about, forms of storytelling in Chennai, India. Host of the Chennai Storytelling Association (CSA) .", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storytellinginstitute.org/"} +{"d:Title": "Portland Storytellers' Guild", "d:Description": "Elevating the Art of Traditional Storytelling. Storyteller directory, upcoming events, newsletter. Portland, OR.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.portlandstorytellers.org/"} +{"d:Title": "Blether Tay-Gither, Dundee Storytelling Group", "d:Description": "Local charity and group of storytellers in Dundee, Scotland, who love sharing stories. Information about local storytelling events and workshops.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://blethertaygither.org.uk/"} +{"d:Title": "Storytelling Guild of Eastern Idaho", "d:Description": "Promoting and providing storytelling in Eastern Idaho", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://snakeriverstories.blogspot.com/"} +{"d:Title": "Story Circle of the Capital District", "d:Description": "A group of people who love stories. Find out about the storytelling guild and the storytelling events in the greater Albany, NY, US, area.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.storycircleatproctors.org/sc/"} +{"d:Title": "Alabama Storytelling Association", "d:Description": "Statewide organization for people who love stories and storytelling, and a resource for educators, business and individuals to turn to for information about how to use story for their individual needs.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.alabamastorytelling.com/"} +{"d:Title": "The Storytelling Guild of Australia (WA) Inc.", "d:Description": "Celebrating the art and traditions of oral storytelling. Aims to be the definitive source of information regarding storytelling in Western Australia.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.wa.storyguild.org.au/"} +{"d:Title": "Healing Story Alliance", "d:Description": "Network for storytellers, therapists, clergy, health care practitioners of all kinds, anyone who can see the benefit of story as a tool for healing. Stories, resources, articles, links. Special Interest Group of the National Storytelling Network.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://healingstory.org/"} +{"d:Title": "Lehigh Valley Storytelling Guild", "d:Description": "Monthly meeting for professional and amateur tellers, member directory, and event listings. Allentown/Bethlehem area, PA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://lvstorytelling.org/"} +{"d:Title": "The Scottish Storytelling Centre and Network", "d:Description": "Includes stories, events, festivals, tours, and John Knox House.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.tracscotland.org/scottish-storytelling-centre"} +{"d:Title": "Tennessee Tellers: The Cleveland Storytelling Guild", "d:Description": "Membership information, events calendar, local tellers list, and links. Cleveland, Tennessee.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.tennesseetellers.org/"} +{"d:Title": "Wisconsin Storytelling", "d:Description": "Information and links to storytellers, guilds, and storytelling events in the Badger State.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://wisconsinstorytelling.com/"} +{"d:Title": "Southern Order of Storytellers", "d:Description": "Atlanta, Georgia, based group of storytellers and listeners bring storytelling and listening back to their traditional place in the human community. Events, resources, and links.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://southernorderofstorytellers.org/"} +{"d:Title": "Smoky Mountain Storytellers", "d:Description": "A local organization supporting storytelling and tellers around Knoxville, Tennessee and the Great Smoky Mountains National Park.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://www.smokymountaintellers.org/"} +{"d:Title": "Dream Shapers", "d:Description": "Non-profit organization providing artists and programs for children and their families. Member directory, event calendar, program information and testimonials. Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://wp1.dreamshapers.info/"} +{"d:Title": "Story District", "d:Description": "Venue and organization promoting storytelling in the metropolitan Washington DC area.", "topic": "Top/Arts/Performing_Arts/Storytelling/Associations", "url": "http://storydistrict.org/"} +{"d:Title": "Telling Stories to Children", "d:Description": "Annual workshop from Judith Black and Doug Lipman features instruction, individual coaching, and a performance. Marblehead, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Education", "url": "http://www.tellingstoriestochildren.com/"} +{"d:Title": "Digital Story Telling Education", "d:Description": "Provides instruction on creating digital stories, addresses issues of copyright and offers an online submission form for new creations. Requires participation in the UK National Education Network.", "topic": "Top/Arts/Performing_Arts/Storytelling/Education", "url": "http://story.e2bn.net/"} +{"d:Title": "A World-Wide List of Courses In and/or About Storytelling", "d:Description": "List of colleges and universities offering instruction, includes contact information.", "topic": "Top/Arts/Performing_Arts/Storytelling/Education", "url": "http://www.storytellinginstitute.org/07.html"} +{"d:Title": "Festival de conte du Qu\u00e9bec", "d:Description": "Bi-annual Montreal Intercultural Storytelling Festival. Tales and tellers from Canada and Ireland. October.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.festival-conte.qc.ca/"} +{"d:Title": "Tampa-Hillsborough County Storytelling Festival", "d:Description": "Tampa, Florida, USA. Annual Festival features professional and youth storytellers.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.tampastory.org/"} +{"d:Title": "Timpanogos Storytelling Festival", "d:Description": "One of the largest storytelling festivals in the West. Held in August each year. Orem, Utah, USA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.timpfest.org/"} +{"d:Title": "George West Storyfest", "d:Description": "Family oriented, free festival featuring storytelling, cowboy poetry, music, history reenactments, and craft demonstrations. Weekend of the first Saturday in November. George West, Texas.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.georgeweststoryfest.org/"} +{"d:Title": "Tellabration!", "d:Description": "An international night of storytelling held each November on the Saturday before Thanksgiving(in USA)! Check listings for an event near you.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.tellabration.org/"} +{"d:Title": "Festival at the Edge", "d:Description": "Much Wenlock, Shropshire, UK. Annual weekend festival of storytelling, music, poetry and related arts, held each July.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.festivalattheedge.org/"} +{"d:Title": "Bay Area Storytelling Festival", "d:Description": "San Francisco Bay Area's annual weekend of storytelling held in Kennedy Grove Regional Park, El Sobrante, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.bayareastorytelling.org/"} +{"d:Title": "S.O.M.O.S Taos Storytelling Festival", "d:Description": "Two days of storytelling by recognized national and regional tellers. Stories from the Native American and Hispanic traditions,ghost tales as well as stories for children and families.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.somostaos.org/"} +{"d:Title": "Cave Run Storytelling Festival", "d:Description": "Annual festival held on the shore of Cave Run Lake in the Daniel Boone National Forest located eight miles west of Morehead, Kentucky. September.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.caverunstoryfest.org/"} +{"d:Title": "Indian Canyon Indian Storytelling Festival", "d:Description": "Sponsored by the Costanoan Ohlone Indian Canyon Resource. Hollister, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.indiancanyonvillage.org/7th_indian_storytelling_festival.htm"} +{"d:Title": "Fray Day", "d:Description": "Hosts annual artfests and storytelling open mics at different spots around the globe.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://fray.com/events/"} +{"d:Title": "Tapestry of Tales", "d:Description": "Annual event of the Multnomah County Library, Portland, Oregon.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.multcolib.org/events/tales/"} +{"d:Title": "Illinois Storytelling Festival", "d:Description": "Third weekend of September. Spring Grove, Illinois, USA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.storytelling.org/"} +{"d:Title": "Southern Ohio Storytelling Festival", "d:Description": "Annual festival held the first weekend after Labor day in historic Chillicothe, Ohio.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.sostoryfest.com/"} +{"d:Title": "La Crosse Storytelling Festival", "d:Description": "Annual storytelling festival (since 2003) in LaCrosse, Wisconsin. September.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.lacrossestoryfest.com/"} +{"d:Title": "Beyond The Border", "d:Description": "A celebration of the world\u2019s oral traditions, held every other year at St Donats Castle on the South Wales coast. It also promotes storytelling events throughout the year in Wales and elsewhere in the UK.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.beyondtheborder.com/"} +{"d:Title": "Kansas Storytelling Festival", "d:Description": "Held in April each year. Evening concerts and many separate sessions of stories, workshops and music. Downs, Kansas.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.kansasstorytelling.com/"} +{"d:Title": "F\u00e9ile Sceala\u00edochth Chl\u00e9ire", "d:Description": "The Cape Clear International Storytelling Festival takes place annually each September, on the southernmost of Ireland's islands. Stories in Irish and English for children and adults, discussions, swaps, workshops for aspiring seanachies, puppets, and music.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.capeclearstorytelling.com/"} +{"d:Title": "Fox Valley Folk Music&Storytelling Festival", "d:Description": "Chicago area festival. Schedule, teller profiles, links, and event history. Geneva, Illinois. September.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://foxvalleyfolk.com/"} +{"d:Title": "Four Corners Storytelling Festival", "d:Description": "National and local storytellers of all ages participate in this annual two-day festival. Farmington, New Mexico. October.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.infoway.org/storytelling/"} +{"d:Title": "Signifyin' and Testifyin' Storytelling Festival", "d:Description": "Annual fall festival held in Minneapolis and St. Paul, Minnesota. Presented by the Black Storytellers Alliance.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.blackstorytellers.com/"} +{"d:Title": "National Storytelling Festival", "d:Description": "An international gathering of storytellers and listeners held annually on the first weekend in October in Jonesborough, Tennessee. Over two dozen tellers entertain thousands of festivalgoers in circus style tents.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.storytellingcenter.net/festival/"} +{"d:Title": "Connecticut Storytelling Festival", "d:Description": "Annual gathering (April) of storytellers at Connecticut College, New London, Connecticut, USA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.connstorycenter.org/festival.htm"} +{"d:Title": "Haunting in the Hills Storytelling Festival", "d:Description": "National Park Service hosts this annual event at Big South Fork National River and Recreation Area, Tennessee.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.nps.gov/biso/planyourvisit/storytelling.htm"} +{"d:Title": "The Storytelling Festival of Carolina", "d:Description": "Tellers, schedule, and info for this annual Tale Telling and Yarn Spinning weekend in Laurinburg, South Carolina.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.storytellingfestivalofcarolina.org/"} +{"d:Title": "Mariposa Storytelling Festival", "d:Description": "Annual production of the Mariposa Arts council, held near Yosemite National Park, California. March.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.mariposaartscouncil.org/mariposa-storytelling-festival/"} +{"d:Title": "Slieve Bloom Storytelling Festival", "d:Description": "A weekend of Tall tales, myths, legends, music, song and fun in Great houses and Castles in the Heart of Ireland. Mid-October.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.slievebloom.ie/index.php?mact=CompanyDirectory,cntnt01,details,0&cntnt01category=Events&cntnt01cd_origpage=60&cntnt01companyid=111&cntnt01categoryid=23&cntnt01returnid=60"} +{"d:Title": "Sierra Storytelling Festival", "d:Description": "Annual storytelling festival (July) held in the foothills of the Sierra Nevada near Nevada City, California. Schedule, tellers, ticket info.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.sierrastorytellingfestival.org/"} +{"d:Title": "Cracker Storytelling Festival", "d:Description": "Annual autumn festival (October) at Homeland Heritage Park, Homeland, Florida featuring Florida performers.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.crackerstorytellingfestival.com/"} +{"d:Title": "Ojai Storytelling Festival", "d:Description": "Annual storytelling Festival held each May in Ojai, California. Schedule, tellers, archives, photos.", "topic": "Top/Arts/Performing_Arts/Storytelling/Festivals", "url": "http://www.ojaistoryfest.org/"} +{"d:Title": "Amoon, Theresa", "d:Description": "Bilingual English-French storyteller, curriculum vitae, photographs, press reviews and audio excerpts. France.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers", "url": "http://theresaamoon.free.fr/"} +{"d:Title": "Southwood, Gilly", "d:Description": "Storytelling programs and workshops for children and adults. Muizenberg, Cape Town.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers", "url": "http://www.gillysouthwood.co.za/"} +{"d:Title": "Martin, Richard", "d:Description": "Storyteller with music by Petra Koch. Stories, event listings, workshop information, and links. Darmstadt, Germany.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers", "url": "http://www.tellatale.eu/"} +{"d:Title": "Tooinsky, Izzi", "d:Description": "Juggler and storyteller performing worldwide. Contact information, biography, and performance information. Culburra Beach, NSW.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.izzitooinsky.com/"} +{"d:Title": "Watkins, Kel", "d:Description": "Comedian and storyteller, performances are geared toward adult audiences. Perth, Western Australia.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.freightshop.com.au/cocos/front.htm"} +{"d:Title": "Bellingham, Daryll", "d:Description": "Educational stories and workshops for children, teachers, and corporate audiences. West End, Brisbane, Australia.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.storytell.com.au/"} +{"d:Title": "Blackrose, Morgon Schatz", "d:Description": "Storyteller, author, and early childhood consultant. Biography, program descriptions, contact information, and books. Port Macquarie, NSW.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.schatzblackrose.com/"} +{"d:Title": "The Storytelling Garden Australia", "d:Description": "A selection of storytellers and storytelling programs for children and adults. Storytellers travel across Victoria and New South Wales in Australia to tell in Child Care Centres and Pre-Schools, Primary and Secondary Schools, Libraries and Adult Concerts, and facilitate Adult Education classes and Professional Development seminars, workshops and conferences.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.storygarden.com.au/"} +{"d:Title": "Anna Jarrett", "d:Description": "Internationally acclaimed storyteller and accredited trainer. Find information on programs and community storytelling.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.travellingstoryteller.com.au/"} +{"d:Title": "Jacobs Sife, Donna", "d:Description": "Stories, videos, articles, booking forms, descriptions of performances and workshops. Focuses on tolerance, understanding, and bridge building.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://donnajacobsife.com/"} +{"d:Title": "The Story Tree Company", "d:Description": "Jenni Cargill-Strong. Description of workshops, performances, recordings available, and blog. Mullumbimby, NSW.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.storytree.com.au/"} +{"d:Title": "Henwood, Jo", "d:Description": "Storyteller, education officer, tour guide, educator and children\u2019s librarian. Part of the Australian Storytelling Guild community. Brooklyn, NSW.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.johenwoodstoryteller.com.au/"} +{"d:Title": "Wind Wanderer, Jesse", "d:Description": "Storyteller, Actor, Clown, Musician, Pirate, Faun, Wizard, etc. Site contains current shows/workshops, contact info, images, videos and blog. Hilton, Western Australia", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.windwanderer.com/"} +{"d:Title": "Goomblar Wylo", "d:Description": "A traditional Australian Aboriginal man who does didgeridoo playing, corroboree dancing and dreamtime story telling. Katoomba, NSW.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Australia", "url": "http://www.goomblar.com/"} +{"d:Title": "Carmody, Bruce", "d:Description": "Bilingual storyteller (French and English) performs for schools and churches. Performance and contact information. Newmarket, Ontario.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.thestoryteller.ca/"} +{"d:Title": "Hammar, Mark", "d:Description": "Storyteller and author; biography and contact information. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.graywolfpub.bizland.com/storytellers/markhammar.html"} +{"d:Title": "Kentridge, Catherine", "d:Description": "Performances for children; biography, performance schedule, and resources for parents. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.thestorylady.ca/"} +{"d:Title": "Miller, Denise", "d:Description": "Storytelling for children, families, and adults; incorporates singing and drumming into performances. Includes biography, client list and reviews. Edmonton, Alberta.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.swansong.ca/"} +{"d:Title": "Thunderbird, Shannon", "d:Description": "Actress, storyteller, singer, songwriter and educator. Performance and contact information, photographs, and testimonials. Toronto, ON.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.shannonthunderbird.com/"} +{"d:Title": "Lips, Tom", "d:Description": "Storyteller and musician. Event and recording information. Ottawa, Ontario.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.tomlips.ca/"} +{"d:Title": "Hays, Mary", "d:Description": "Biography, list of themes and programs, story sample, and brochure. Olds, Alberta.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.airenet.com/storyteller/index.html"} +{"d:Title": "Van Deusen, Kira", "d:Description": "Performs Siberian folktales. Stories, music, and history of Siberian Shamanism. British Columbia.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.kiravan.com/"} +{"d:Title": "Shain, Alan", "d:Description": "Storyteller, comedian, speaker and disability activist. Biography, program information, references, and contact email. Ontario.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.magma.ca/~rickcurrie/shain/"} +{"d:Title": "Voyageur Storytelling", "d:Description": "Offers 'Country Supper Storytelling Concerts' in Northern Bruce Peninsula, Ontario.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.voyageurstorytelling.ca/"} +{"d:Title": "Moses, Johnny", "d:Description": "Performances for pre-school through high school. Fluent in 8 Native languages. Biography, performance highlights, and contact email.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.johnnymoses.com/"} +{"d:Title": "Hill, Deirdre A.", "d:Description": "Resum\u00e9 and contact information. Victoria, British Columbia.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.deidrehill.ca/"} +{"d:Title": "Bernards, Allice", "d:Description": "Storytelling programs, news, upcoming events and contact info. Vancouver area, British Columbia.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.storysalt.com/"} +{"d:Title": "Vall\u00e9e, Dani\u00e8le", "d:Description": "Info on her performances, reviews, and books, in French. Ottawa, Ontario. [Requires Flash]", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.danielevallee.com/"} +{"d:Title": "Hickey, John David", "d:Description": "Bard and storyteller John David Hickey (\"Hobbes\") tells fables, folktales, and legends in various festivals and events in Quebec and Ontario.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/Canada", "url": "http://www.johndavidhickey.ca/"} +{"d:Title": "Graal, Kevin", "d:Description": "Programs for children and adults. Program information, recent projects, and contact information. London, England.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.talkingtales.org/"} +{"d:Title": "Rogers, Graham", "d:Description": "Specializing in performances for children aged 4 to 11. Biography, photos, children's drawings, and program information. South of England.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.grahamrogers.co.uk/"} +{"d:Title": "Wilson, Charlie", "d:Description": "Storytelling in schools, theaters, festivals, and parties. Biography, event listings, and information on voice overs.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.crickcrack.com/"} +{"d:Title": "AnSgeulaiche, Scot", "d:Description": "Traditional Highland storyteller, gives workshops, educational performances, personal and corporate development, and Highland tours. Argyll, Scotland.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.ansgeulaiche.co.uk/"} +{"d:Title": "Harris, John", "d:Description": "Performs exclusively for young audiences. Biography, program details, price list, testimonials, and photos.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.johnharristhestoryteller.com/"} +{"d:Title": "O'Leary, Michael", "d:Description": "Storyteller and musician, performs for children and adults. Event listings, PDF brochure, testimonials, pricing and contact information. Southampton.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.michaelolearystoryteller.com/"} +{"d:Title": "Firebrace, Francis", "d:Description": "Australian Aboriginal storyteller uses art and dance in his performances. Performance schedule, photos, artwork, and biography. Surrey, UK", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.newagemultimedia.com/firebrace/index.html"} +{"d:Title": "Dowling, Janet", "d:Description": "Storytelling for adults and children. Program outlines, FAQ, testimonials, biography, and contact information.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.janettellsstories.co.uk/"} +{"d:Title": "Collins, Joseph", "d:Description": "Freelance storytelling by Joseph Collins. Performance, education and workshops.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.pocketuniverses.co.uk/"} +{"d:Title": "Smith, Jess", "d:Description": "Scottish author, musician and storyteller from Perthshire's Travelling people.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.jesssmith.co.uk/"} +{"d:Title": "Eirwen Malin and Phil Thomas - Storytellers from South Wales", "d:Description": "Provides contact information, biographies and details of upcoming appearances. [English,Welsh]", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.eirwen.org.uk/"} +{"d:Title": "Vallance, Peter", "d:Description": "Peter Vallance is a professional storyteller, dance teacher and tour guide in residence at the Findhorn Foundation.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.peterthestoryteller.co.uk/"} +{"d:Title": "Raventales - Storytelling from Michael and Wendy Dacre", "d:Description": "Information on storytelling, music shows, workshops exploring traditional tales with shadow puppets, giant puppets, crafts and story walks and news of public events.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://raventales.co.uk/"} +{"d:Title": "Speight, Pat", "d:Description": "All ages storyteller. Montenotte, Cork, Ireland.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.patspeight.com/"} +{"d:Title": "Manwaring, Kevan", "d:Description": "Storyteller, poet, author, teacher and bard. Event listings, testimonials, workshop and publication descriptions. Bath.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.kevanmanwaring.co.uk/"} +{"d:Title": "Whittaker, Alan", "d:Description": "Storytelling and stand-up comedy, emceeing, and presentation skills.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.wizardmarra.com/portal/"} +{"d:Title": "Snell, Sophie", "d:Description": "Professional storyteller and published children's writer. Traditional folk tales. Derby.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.sophiesnell.co.uk/"} +{"d:Title": "Chand, Peter", "d:Description": "Stories from the Indian subcontinent. Bilingual English/Punjabi. Wolverhampton.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.chandstory.com/"} +{"d:Title": "Crawford, Jamie", "d:Description": "Storyteller for the British Museum. Performances incorporate elements of poetry, clowning, stand-up, dance and film. Denton, Newhaven, East Sussex.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.jamiecrawford.co.uk/"} +{"d:Title": "Murray, Roisin", "d:Description": "Works with children and adults offering storytelling for education, entertainment and business and personal change. Derbyshire.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://morethanstories.org.uk/"} +{"d:Title": "Abbott, Giles", "d:Description": "Storytelling for children and adults; audio clips, performance information, biography, poetry, and event and contact information. London.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://gilesabbott.com/"} +{"d:Title": "Mystik Molly - Time Traveller", "d:Description": "Storyteller, historian, archaeologist Mystik Molly aka Melissa Lumley-Wright", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://mystikmolly.weebly.com/"} +{"d:Title": "Weatherill, Cat", "d:Description": "Quotes and details of shows of storytelling. Contact details and biography.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.catweatherill.co.uk/"} +{"d:Title": "Katalyst Tales - Katrice Horsley The Story Teller", "d:Description": "Storyteller, trainer, and motivational speaker - using the power of stories to promote change and development.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.katalysttales.co.uk/"} +{"d:Title": "Butters, Keith", "d:Description": "Lincolnshire Storyteller of traditional stories and Tennyson dialect poems", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.storylincs.org.uk/"} +{"d:Title": "Heywood, Simon", "d:Description": "Storyteller, songwriter, composer, folklorist. Details on performances, teaching and workshops, press, and forthcoming events.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.simon-heywood.com/"} +{"d:Title": "Parker, Peter J", "d:Description": "Costumed wizard storyteller with absorbing stories from myth and legend, storytelling with dragon puppets. Sheffield.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.storytellerpeterjparker.co.uk/"} +{"d:Title": "Cawkwell, Katy", "d:Description": "Storytelling for adults and children in theaters, festivals, schools, businesses. Event and performance information.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.katycawkwell.co.uk/"} +{"d:Title": "Lupton, Hugh", "d:Description": "Repertoire, touring information, and reviews of one of Britain's leading storytellers.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://dianathorold.com/HUGH/"} +{"d:Title": "Keal, Pete", "d:Description": "Storytelling for all ages. Program descriptions, biography, and contact information. Hebden Bridge, England", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://petekeal.unospace.net/"} +{"d:Title": "Edgar, John", "d:Description": "Original retellings of Breton folk tales. Program and event listings. Wolverhampton.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_Kingdom", "url": "http://www.storyjohn.co.uk/"} +{"d:Title": "Ball, Patrick", "d:Description": "Celtic harper and teller. Biography, reviews, performance schedule, news, and contact information. Sebastopol, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.patrickball.com/"} +{"d:Title": "Spiegel, Marc", "d:Description": "Original and traditional stories for children including \"Einstein Alive.\" Articles, audio samples, story list, and contact details. Washington, DC", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.marcspiegel.com/"} +{"d:Title": "Banda, Masankho", "d:Description": "Dancer, Storyteller and Massage Therapist from Malawi. Classes, performances and workshops. Oakland, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.ucandanc.org/"} +{"d:Title": "Schimmel, Nancy", "d:Description": "Storyteller and Songwriter. Resume and contact information. Berkeley, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.sisterschoice.com/storymain.html"} +{"d:Title": "Amatneek, Bill", "d:Description": "Storytelling with musical accompaniment. Program descriptions, reviews, and contact information. Mill Valley, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.amatneek.com/"} +{"d:Title": "Makeba, Awele", "d:Description": "African American history, folklore, and personal tales. Reviews, audio clips, contact information, and event listings. Oakland, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.awele.com/"} +{"d:Title": "Harley, Bill", "d:Description": "Storytelling for children. Biography, radio listings, event calendar, and contact information. Seekonk, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.billharley.com/"} +{"d:Title": "Reneaux , J.J. (1955-2000)", "d:Description": "Web site of the late Cajun singer and storyteller from Athens, Georgia. Biography and list of books and recordings.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.jjreneaux.com/"} +{"d:Title": "Doty, Thomas", "d:Description": "Performs stories from the American West. Daily journal, event listings, biography, fee listing, audio samples, images, and on-site workshops and class descriptions. Ashland, Oregon.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.dotycoyote.com/"} +{"d:Title": "Lower, Joanne", "d:Description": "Stories for all ages. Biography, list of recordings, event calendar, audio clip, and contact information. Rochester, MN.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.iowafarmgirl.com/"} +{"d:Title": "O'Callahan, Jay", "d:Description": "Performances and workshops. Biography, achievements, programs, newsletter, and calendar. Marshfield, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://ocallahan.com/"} +{"d:Title": "Ferlatte, Diane", "d:Description": "Multi-cultural stories, with an emphasis on the African-American experience. Biography, program list, upcoming events, and contact information. Oakland, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.dianeferlatte.com/"} +{"d:Title": "Holder, Chris", "d:Description": "Songs and stories for all ages. Biography, performance descriptions, and contact information. Hammondsport, NY.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storysinger.com/"} +{"d:Title": "Shepard, Mark", "d:Description": "Storyteller and hypnotist. Biography and contact information. New Haven, CT", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.markshepard.com/"} +{"d:Title": "Williams, Diane", "d:Description": "Biography, events, and links. Madison, Mississippi.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.angelfire.com/ms/DianeWilliams/"} +{"d:Title": "Miller, David Joe", "d:Description": "Storyteller and writing consultant. Biography, program descriptions, testimonials, and contact details. Jonesborough, TN.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.davidjoemiller.com/"} +{"d:Title": "Tahira", "d:Description": "Storyteller, poet and singer, sharing the African and African American oral tradition. Delaware.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.angelfire.com/de/TAHIRA/"} +{"d:Title": "Black, Judith", "d:Description": "Storytelling for children and adults. Biography, articles, newsletter, event schedule, and contact information. Marblehead, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storiesalive.com/"} +{"d:Title": "Bates, Davis", "d:Description": "Performances and workshops for children and families. Event schedule, program information, classroom activities, reviews, and contact details. Shelburne Falls, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.davisbates.com/"} +{"d:Title": "Fierst, Gerald", "d:Description": "Performances and workshops for children and adults. Program descriptions, weblog, audio clip, tape order form, and contact email. Montclair, NJ.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.geraldfierst.com/"} +{"d:Title": "McCabe, Tom", "d:Description": "Performances primarily for children and adults. Biography, reviews, articles, program descriptions, contact information, and link to video of a live performance. Northampton, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.tommccabe.com/"} +{"d:Title": "Mnich, Ellen", "d:Description": "Presents stories based on her Aunt Hellen's travels. Workshop descriptions, reviews, video clips, and contact information. Troy, New York.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.aunthelenscloset.com/"} +{"d:Title": "Pearmain, Elisa", "d:Description": "Stories and workshops for all ages. Program descriptions, short stories, and contact email. Lincoln, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://wisdomtales.com/Story/"} +{"d:Title": "Lewis, Mark", "d:Description": "Emmy award-winning storyteller. Biography, audio and writing samples, resume, and cotanct information. Eugene, Oregon.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.laughingmooninc.com/"} +{"d:Title": "Eshu and Motoko - The Folktales Network", "d:Description": "Motoko Dworkin and Eshu Bumpus, Storytellers of Asian and African folktales. Amherst, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.folktales.net/"} +{"d:Title": "Miller, Mike", "d:Description": "Business speaker and storyteller for all ages. Biography, articles, story samples, program descriptions, images, and contact information. Charleston, South Carolina.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.greatstoryteller.com/"} +{"d:Title": "Wooden, Faye", "d:Description": "Performances for all ages. Includes biography, testimonials, images, audio files, and booking information. Maryville, TN.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.fayewooden.com/"} +{"d:Title": "Cox, Allison", "d:Description": "Storyteller, editor, and educator. Biography, program and story descriptions, articles, event listings, and contact information. Vashon, WA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.dancingleaves.com/allison/index.html"} +{"d:Title": "Macdonald, Margaret Read", "d:Description": "Storyteller, author, and children's librarian, offering classes, workshops, and keynote speeches. Includes ordering information, appearances, partners, and contact information. Kirkland, WA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.margaretreadmacdonald.com/"} +{"d:Title": "Hamilton, Mary", "d:Description": "Storytelling for adults and children. Biography, performance and workshop descriptions, recordings and books, articles, and contact information. Frankfort, KY", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.maryhamilton.info/"} +{"d:Title": "Bonins, Glenda", "d:Description": "Specializing in K-12 residencies and community programs. Program descriptions, performance schedule, reviews, and contact details. Tucson, AZ.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storyworksgroup.com/"} +{"d:Title": "Dudding, Kate", "d:Description": "Programs for children, families, and adults. Short stories, images, program descriptions, biography, and contact details. Clifton Park, NY.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.katedudding.com/"} +{"d:Title": "Claflin, Willy", "d:Description": "Performs with puppet Maynard Moose at schools and festivals. Calendar of events, performance descriptions, testimonials, and biography. San Francisco, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.willyclaflin.com/"} +{"d:Title": "Schuch, Steve", "d:Description": "Music and story performances for children. Program descriptions, audio and video samples, list of publications, biography, articles, and contact information. Hillsborough, New Hampshire.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.nightheron.com/school.html"} +{"d:Title": "Lockett, Dr. Michael", "d:Description": "Performances for all ages. Biography, short stories, images, events, message board, and contact information. Normal, IL.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.mikelockett.com/"} +{"d:Title": "Hannah, Martha", "d:Description": "Medieval stories, comedy, song, and workshops. Program descriptions, reviews, pricing, biography, and contact information. Austin, TX.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.marthahannah.com/"} +{"d:Title": "Huff, Mary Jo", "d:Description": "Stories for all ages. Presentations, workshops, school residencies. Early Childhood teachers and kids are her speciality. Newburgh, Indiana.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storytellin.com/"} +{"d:Title": "Watts, Cynthia", "d:Description": "Biography, program details, news, and contact information. Atlanta, GA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.mindspring.com/~watts-story/index2.html"} +{"d:Title": "Grayzel, Eva", "d:Description": "Stories and motivational speaking for all ages. Program descriptions, performance schedule, references, and contact information. Easton, PA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.evagrayzel.com/"} +{"d:Title": "Washington, Donna", "d:Description": "Storyteller, folklorist, and author for all ages. Audio files, references, event calendar, photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.dlwstoryteller.com/"} +{"d:Title": "Scoles, Cheryl", "d:Description": "Storytelling and puppeteering for children. Testimonials, performance listings, and contact information. East Brunswick, NJ.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://storytime1.net/"} +{"d:Title": "Anderson, Lance", "d:Description": "Performs primarily for adults. Biography, video and audio clips, event listings, and contact details. North Hollywood, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.lanceanderson.com/"} +{"d:Title": "Binder, Mark", "d:Description": "Performs for children. Biography, published works, event calendar, audio clips, and contact information. Providence, RI.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.markbinder.com/"} +{"d:Title": "Norfolk, Sherry", "d:Description": "Performances for children and teachers. Biography, program descriptions, and contact information.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.sherrynorfolk.com/"} +{"d:Title": "Fowler, John Thomas", "d:Description": "Storyteller and old time musician. Biography, programs and residencies, and contact email. South Carolina.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.hairytoeproductions.com/"} +{"d:Title": "Reiser, Bob", "d:Description": "Original and traditional tales for children and adults. Reviews, program descriptions, biography, and contact details. Tarrytown, New York.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.bobtales.com/"} +{"d:Title": "Bumgardner, Scott Hill", "d:Description": "Professional speaker, storyteller, and cowboy poet. Poems, biography, reviews, story list, event calendar, and recordings. Houston, Texas.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.texaslegacies.com/"} +{"d:Title": "Kasony-O'Malley, Michael R.", "d:Description": "Stories for all ages. Program, workshop, and residency descriptions, testimonials, biography, store, and contact information. Columbus, OH.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.michaelthestoryteller.com/"} +{"d:Title": "Brachfeld, Renee", "d:Description": "Performs stories and songs from the Jewish Tradition with musician Mark Novak. Biography, schedule, program descriptions, and contact information. Washington, D.C.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.jewishstorytelling.com/"} +{"d:Title": "Strauss, Susan", "d:Description": "Performances and workshops for children and adults. Program descriptions, books and recordings, notable past performances, and contact details. Bend, OR.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.straussstoryteller.com/"} +{"d:Title": "The Patchwork Players Story Theatre", "d:Description": "James Nelson-Lucas and Patti Christensen combine storytelling, improv-theatre and audience participation to bring tales old and new to life. Southern California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://patchworkplayers.com/"} +{"d:Title": "Burch, Milbre", "d:Description": "Teller and teacher of storytelling to adults and children. Biography, program descriptions, articles, performance schedule, and contact information. Columbia, Missouri.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.kindcrone.com/"} +{"d:Title": "Killavey, Cindy", "d:Description": "Programs for children and adults. Biography, program descriptions, reviews, schedule, and contact information. Portsmouth, RI.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storyri.com/"} +{"d:Title": "Stands With Many, Joseph", "d:Description": "Cherokee storytelling and cultural programs for all ages. Program descriptions, pricing, and booking information. Baltimore, MD.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.standswithmany.com/"} +{"d:Title": "Strauss, Kevin", "d:Description": "Folktales and nature stories for children and adults. Program descriptions, newsletter, short stories, events, and cotanctr details. Ely, Minnesota.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.naturestory.com/"} +{"d:Title": "Burrows, Derek", "d:Description": "Teller, musician, and educator. Tales from the Caribbean, The Bahamas, and Africa for children. Biography, reviews, program descriptions, and audio clips. Boston, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://derekburrows.com/"} +{"d:Title": "Payne, Sylvia", "d:Description": "Performances for all ages. Program descriptions, testimonials and contact information. Newton, NC.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storytraditions.com/"} +{"d:Title": "Gillard, Marni", "d:Description": "Storyteller and author. Biography, testimonials, publications, and contact information. Schenectady, NY", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.marnigillard.com/"} +{"d:Title": "Irvine, Alan", "d:Description": "Performs for children and adults. Biography, story descriptions, articles, walking tours, and contact information.Pittsburgh, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.alanirvine.com/"} +{"d:Title": "Martin, Rafe", "d:Description": "Storyteller and author. Biography, books, recordings, performance descriptions, articles, awards, and contact details. Rochester, New York.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.rafemartin.com/"} +{"d:Title": "Crocker, Gary", "d:Description": "Humorist and storyteller. Provides history, local stories, audio clips, testimonials, and contact information. West Gardiner, ME.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.garycrocker.com/"} +{"d:Title": "Daugherty, David", "d:Description": "Costumed storytelling for children using props. Biography, event listings, photographs, and email. Marshalltown, Iowa.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.mydragonstories.com/"} +{"d:Title": "Lann-Clark, Erica", "d:Description": "Traditional and personal tales for adults and children. Calendar of events, biography, and contact information. Soquel, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.ericalannclark.com/"} +{"d:Title": "King Pruitt, Linda", "d:Description": "Storytelling, performance, workshops, teacher training, and residencies available. A history of Aesop and a fable with curricular extensions available on site. Capistrano Beach, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.lkpstoryteller.com/"} +{"d:Title": "Taylor, Paul", "d:Description": "Storytelling and didjeridoo performances for all ages. Biography, program descriptions, audio recordings, and contact information. Laramie, Wyoming.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.paultaylor.ws/"} +{"d:Title": "Picor, Genot", "d:Description": "Stories of American exploration for all ages. Biography, event schedule, program highlights, testimonials, images, pricing, and contact information. Macomb Township, MI.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.genotpicor.com/"} +{"d:Title": "Latimer, Katie", "d:Description": "Upcoming events, biography, story descriptions, and contact information. Coventry, RI. [Flash required]", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.katielatimer.com/"} +{"d:Title": "Dooley, Norah", "d:Description": "Offers storytelling for schools, libraries, festivals, keynotes and celebrations. Includes information, fees and outlines. Massachusetts.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.norahdooley.com/"} +{"d:Title": "Roseberry, Sue", "d:Description": "Programs for school children. Biography, program outlines, event calendar, and contact details. Midland, Texas.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.sueroseberry.com/"} +{"d:Title": "Ferguson, \"Riverboat\" John", "d:Description": "Story and song performances for all ages. References, biography, program descriptions, photographs, and contact email. Blountsville, AL.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://riverboatjohn.net/"} +{"d:Title": "Munslow, Keith", "d:Description": "Event calendar, biography, program descriptions, photographs, and contact form. Rhode Island. [Flash required]", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.keithmunslow.com/"} +{"d:Title": "Singer, Sondra", "d:Description": "Storyteller, musician, and dancer performs for children and adults. Program descriptions, event calendar, audio samples and media kit. Lakewood, CO.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.sondrasinger.com/"} +{"d:Title": "Porcino, John", "d:Description": "Story and song performances for all ages. Biography, books and recordings, events, reviews, and articles. Amherst, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.johnporcino.com/"} +{"d:Title": "Pearmain, Elisa", "d:Description": "Spirituality based stories. Bibliography, testimonials, and program descriptions. Lincoln, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.wisdomtales.com/"} +{"d:Title": "Geisler, Harlynne", "d:Description": "Performances for all ages. Biography, schedule, program descriptions, newsletter, book review, and contact information. San Diego, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://storyteller.swiftsite.com/"} +{"d:Title": "Christensen, Patti", "d:Description": "Programs for all ages offering songs and participation. Oceanside, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.pattistory.com/"} +{"d:Title": "Maichack, Mary Jo", "d:Description": "Performs folktales, songs, and story theater. Schedule, biography, audio clips, images, news, references and contact information. Massachusetts.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.maryjomaichack.com/"} +{"d:Title": "Edgecomb, Diane", "d:Description": "Storytelling, experimental and traditional theatre programs for adults and children. Biography, event listings, program descriptions, and contact information. Boston, MA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.livingmyth.com/"} +{"d:Title": "Gorham, Linda", "d:Description": "African American storyteller from Illinois tells interactive folktales. Themes include respect, common sense and caring.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.lindagorham.com/"} +{"d:Title": "Mike Mann, Storyteller", "d:Description": "Presentations for children and adults, workshops and keynotes. Program descriptions, references and video samples.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storymann.com/"} +{"d:Title": "Storytelling and Performance Literacy", "d:Description": "Brett Dillingham is a professional storyteller, writer and educator. He performs and teaches storytelling in the United States, Ireland, England, Canada and Africa. Based in Juneau, Alaska.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.brettdillingham.com/"} +{"d:Title": "Embodied Expressions", "d:Description": "Sita Lewi offers public storytelling events, parties, organizational team building storytelling, school programs and motivational speaking. Based in Texas.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.embodiedexpressions.com/"} +{"d:Title": "The Moth: Urban Storytelling", "d:Description": "Professional and amateur storytellers based in New York.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.themoth.org/"} +{"d:Title": "The Book Fairy", "d:Description": "Storyteller and children's entertainer who visits schools and libraries. Based in Texas.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.thebookfairy.com/"} +{"d:Title": "Horowitz, Muriel", "d:Description": "Enchants listeners of all ages with tellings filled with audience involvement. She lives in the Hudson Valley of New York State.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.murielhorowitz.com/"} +{"d:Title": "Tolar, Debra Olson", "d:Description": "Programs for adults, families, and children. Biography, testimonials, program and event lists, and contact information. Valencia, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.debraolsontolar.com/"} +{"d:Title": "McPhie, Marilyn", "d:Description": "Performances for adults and children. Event calendar, audio samples, and contact information. San Diego, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.marilynmcphie.com/"} +{"d:Title": "Spitzer, Linda", "d:Description": "Biography, testimonials, photographs, performance descriptions, and contact information. Lake Worth, Florida.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://home.mindspring.com/~rd_spitzer/storyqueen/linda.htm"} +{"d:Title": "Conrad, Beverley", "d:Description": "Legends and lore of the fiddle and fiddlers, regional \"true\" ghost stories for all age groups. Performance schedule and biography. Snyder County, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.fiddlerwoman.com/"} +{"d:Title": "Gregory, Steven", "d:Description": "Storytelling performances with musical accompaniment by Reiko Obata. Biography, programs, and contact information. San Diego, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.talesalive.com/"} +{"d:Title": "Brother Wolf", "d:Description": "Storyteller Eric Wolf of Yellow Springs, Ohio, describes his storytelling projects and work.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.ericwolf.org/"} +{"d:Title": "Carney, Kate", "d:Description": "Offers storytelling from the lives of real historic women, in New England and the Northeast. Provides workshops in role-playing, storytelling and creative drama for adults or children.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://heroicwomen.atspace.com/"} +{"d:Title": "Gere, Jeff", "d:Description": "Jeff Gere is one of Hawaii's most popular and active storytellers. He runs the Talk Story Festival, Talk Story Radio, has toured extensively and has a bunch of recordings.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.jeffgere.com/"} +{"d:Title": "Black, Sue", "d:Description": "Storyteller, writer, teaching artist \u2013 Sue combines her passion for telling stories with her delight in teaching students and their teachers to tell and write stories.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.sue-black.com/"} +{"d:Title": "Pillsworth, Karen", "d:Description": "Karen Pillsworth, Storyteller Laureate of Kingston, NY, has been enchanting audiences all over the Northeast with her stories for over 25 years.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.karenpillsworth.com/"} +{"d:Title": "Samarripa, Consuelo", "d:Description": "Programs for general audiences in English and Spanish. Biography, performance and workshop listings, and contact information. Austin, TX.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.consuelosamarripa.webs.com/"} +{"d:Title": "Nelson-Lucas, James", "d:Description": "Brings the power of Story Magic to life for events large and small, to audiences young and old. Southern California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.jamesstorymagic.com/"} +{"d:Title": "Dunn, Debbie", "d:Description": "Storytelling Performances, Storytelling Workshops, School Residencies, and Staff Development for Teachers. Mosheim, Tennessee.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://moredunntales.com/"} +{"d:Title": "Behling, Karl", "d:Description": "Stories for all ages. Performances, workshops, teacher training, and residencies available. Program descriptions, and contact information. Kaysville, Utah", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.kbstoryteller.webs.com/"} +{"d:Title": "Henegar, Steven", "d:Description": "Steven celebrates the everyday and the fantastic in vibrant original and traditional stories that call up the experiences and feelings that connect us all \u2013 Truth&Lies for all occasions. Southern California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.stevenhenegar.net/"} +{"d:Title": "Stearns, Carolyn", "d:Description": "Spoken word from storyteller, public speaker, farmer, 4-H leader, community activist, letterboxer, FFA supporter, friend to youth. Storrs, Connecticut.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.carolynstearnsstoryteller.com/"} +{"d:Title": "Ross, Arianna", "d:Description": "Story Tapestries: weaving together the power of acrobatics, dance, music, theatre and storytelling. Germantown, MD.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.ariannaross.com/"} +{"d:Title": "Rippling Stories", "d:Description": "Storyteller Katie Knutson is based in Minneapolis/St. Paul, MN, and travels throughout the country. Residencies, workshops and performances are available.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.ripplingstories.com/"} +{"d:Title": "Nease, Pat", "d:Description": "Bio, repertoire, program info from an educator and storyteller who tells hairy-scary, silly-chilly, and oldie-goldie stories. Parker, Florida.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.patnease.com/"} +{"d:Title": "Tutson, Valerie", "d:Description": "Stories from Africa and African American history. Providence, Rhode Island.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.valerietutson.com/"} +{"d:Title": "Blanchard, Elaine", "d:Description": "Storyteller, Spiritual Retreat Leader and Public Speaker. Speaks on women\u2019s issues and the process of community building.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://porchswingstories.com/"} +{"d:Title": "Petrell Kallevig, Christine", "d:Description": "Uses origami to tell stories. Performance descriptions and contact information. Cleveland, OH.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://storytimeink.com/Christine_Kallevig/"} +{"d:Title": "Crackerbarrel Entertainment", "d:Description": "Husband-and-wife duo of Tom Callinan and Ann Shapiro presents \"Imagine Menagerie\", a collage of participatory tales, told with music.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.crackerbarrel-ents.com/index.htm"} +{"d:Title": "Walter, Jo. There and Back Again", "d:Description": "Jo Walter is a Kitsap storyteller, combining storytelling for kids and adults with teaching and social justice work. Washington State.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.jowalter.org/"} +{"d:Title": "Valentine, Denise", "d:Description": "Storyteller, folk artist, and human rights educator. African and African American history. Philadelphia, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.denisevalentine.com/"} +{"d:Title": "Huddle, Rick", "d:Description": "Biography, performances, reviews for both kid-friendly shows and adult audiences. Portland, OR", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.rickhuddle.com/"} +{"d:Title": "Leventhal, Rona", "d:Description": "Storyteller, Interactive Educator, Creative Movement&Drama Specialist - provides performances, workshops, residencies and keynote addresses for children, adults, families, teachers and corporations. Participatory programs incorporate multiple learning modalities and are customized to the specific needs of the audience.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.ronatales.com/"} +{"d:Title": "Donoval, Nancy", "d:Description": "\"Good Art About Hard Stuff.\" Storyteller, Story Coach, Consultant. National Story Slam Champion 2010. Biography, Info about programs, keynotes, workshops. Minneapolis, MN.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.nancydonoval.com/"} +{"d:Title": "Chung, Alton", "d:Description": "\"Opening the Heart and Healing with Story.\" Asian folktales, ghost stories, and true stories of Japanese-Americans in WWII. Vancouver, WA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.altonchung.com/"} +{"d:Title": "Macklin, Diane", "d:Description": "Storyteller/educator telling in the African \"griotic\" tradition to inspire hope, peace, and justice through world folktales and personal narratives. Washington, DC.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.dianemacklin.com/"} +{"d:Title": "Brown Tones Productions", "d:Description": "Storytellers in WA State with a historical portrayal of Harriet Tubman, the most renowned conductor on the Underground Railroad. Karol Brown portrays Harriet Tubman as a 92 year old woman sharing her stories. James Brown as Brother Ely.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.visitharriettubman.com/"} +{"d:Title": "Buvala, Sean", "d:Description": "Storytelling Coach with 25 years experience serving businesses, nonprofits, teachers, and parents. Available for keynotes, workshops and presentations. Arizona.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://seantells.com/"} +{"d:Title": "Aoki, Brenda Wong", "d:Description": "Stories of people living between worlds, whether in folklore or in Hapa/Mestizo culture. Biography, info about performances, recordings, and awards.. San Francisco, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.brendawongaoki.com/"} +{"d:Title": "Born, Camille", "d:Description": "Medieval legends, original historical stories for middle school students through adults. Mahomet, IL", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://couldbeworsestories.com/"} +{"d:Title": "Buckner-Barnette, Ina", "d:Description": "Stories with participation, movement, and music. Program info, performance calendar, reviews. Los Angeles, CA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.sunshinestoryteller.com/"} +{"d:Title": "Horner, Beth", "d:Description": "Programs for adults, school assemblies, reviews, articles, and recordings. Wilmettte, IL and Columbia, MO.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.bethhorner.com/"} +{"d:Title": "Spelman, Jon", "d:Description": "Storyteller, collector, teacher, and writer. Program descriptions, testimonials, and contact details. Silver Spring, MD.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.jonspelman.com/"} +{"d:Title": "Lehrman, Betty", "d:Description": "Tales for all ages. Biography, performance and workshop descriptions, reviews, event calendar, and contact information. Framingham, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://users.rcn.com/bettylehrman/"} +{"d:Title": "Howe, Priscilla", "d:Description": "Funny, scary, serious, goofy and sophisticated stories, tailored to the audience. Program descriptions, calendar, blog. Kansas City, KS.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.priscillahowe.com/"} +{"d:Title": "Smith, Cathy Jo", "d:Description": "Bio, program information, and events. Specializing in Irish folklore. Dublin, Ohio.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.irishteller.com/"} +{"d:Title": "Owens, Rose", "d:Description": "\"The Story Lady.\" Articles, bibliography, written stories, lesson plans, and program descriptions. Livermore, California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.rosethestorylady.net/"} +{"d:Title": "Katz, Michael", "d:Description": "\"Teller of a Thousand Tales.\" Programs, workshops, recordings, and contact info. California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storytellermichael.com/"} +{"d:Title": "Arnold, Sheila", "d:Description": "Storyteller and historic character interpreter. Programs, schedule, testimonials, info for teachers. Hampton, VA.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.mssheila.org/"} +{"d:Title": "Weaver, John.", "d:Description": "Humorous, interactive storytelling for all ages, plus group coaching in storytelling&read-aloud techniques for teachers, parents or students. California.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.storytellerjohnweaver.com/"} +{"d:Title": "White Fox Stories", "d:Description": "Storytelling by Cathy Mosely. Bio, upcoming performances, programs, contact info. Springfield, IL.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.whitefoxstories.com/"} +{"d:Title": "Freese, Thomas L.", "d:Description": "Storyteller, author, and artist. Visual and/or Literary Arts Residencies or Workshops. Kentucky.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://www.thomaslfreese.com/"} +{"d:Title": "Schoettler, Ellouise", "d:Description": "Varied storytelling programs and storytelling workshops for adults, youth, seniors and womens studies students. Testimonials, schedule, press kit. Chevy Chase, MD.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://ellouiseschoettler.com/"} +{"d:Title": "Act!vated Story Theatre", "d:Description": "Theatrical Storytellers perform at school assemblies, library reading programs and other locations across the U.S.", "topic": "Top/Arts/Performing_Arts/Storytelling/Professional_Storytellers/United_States", "url": "http://activatedstorytheatre.com/"} +{"d:Title": "Stunt Life", "d:Description": "Forum for motorcycle stunters that includes discussion, help and how-to, stunt pictures and videos.", "topic": "Top/Arts/Performing_Arts/Stunts", "url": "http://stuntlife.com/"} +{"d:Title": "Taurus World Stunt Awards", "d:Description": "Honoring the finest industry performers. Gallery, news, past awards.", "topic": "Top/Arts/Performing_Arts/Stunts", "url": "http://www.taurusworldstuntawards.com/"} +{"d:Title": "Sanders, Tom", "d:Description": "Skydiving and BASE jumping gallery and stock footage.", "topic": "Top/Arts/Performing_Arts/Stunts/Aerial", "url": "http://www.aerialfocus.com/"} +{"d:Title": "Gasson, Greg", "d:Description": "Photo gallery.", "topic": "Top/Arts/Performing_Arts/Stunts/Aerial", "url": "http://www.skydivingstunts.com/"} +{"d:Title": "Hartman, Troy", "d:Description": "Skysurfing and extreme stunts.", "topic": "Top/Arts/Performing_Arts/Stunts/Aerial", "url": "http://www.troyhartman.com/"} +{"d:Title": "The Stuntwomen's Association of Motion Pictures", "d:Description": "Professional SAG stunt coordinators and performers.", "topic": "Top/Arts/Performing_Arts/Stunts/Associations", "url": "http://www.stuntwomen.com/"} +{"d:Title": "The Stuntmen's Association", "d:Description": "Skilled professionals that perform stunts with preparation, safety precaution, knowledge, teamwork and experience.", "topic": "Top/Arts/Performing_Arts/Stunts/Associations", "url": "http://www.stuntmen.com/"} +{"d:Title": "Stunts Unlimited", "d:Description": "Stunt coordinators, performers and action directors for the entertainment industry.", "topic": "Top/Arts/Performing_Arts/Stunts/Associations", "url": "http://www.stuntsunlimited.com/"} +{"d:Title": "Havoc Stunt Services International", "d:Description": "A Toronto based film and television stunt company.", "topic": "Top/Arts/Performing_Arts/Stunts/Associations", "url": "http://www.havocstunts.com/"} +{"d:Title": "Scandinavian Stunt Group.dk", "d:Description": "Stunt shows and stage fights from professional stuntmen and stunt coordinators in Denmark.", "topic": "Top/Arts/Performing_Arts/Stunts/Associations", "url": "http://www.stuntgroup.dk/"} +{"d:Title": "The Bay Area Stunt Exchange: B.A.S.E.", "d:Description": "Stunt performers for the motion picture and television industry. A full service association.", "topic": "Top/Arts/Performing_Arts/Stunts/Associations", "url": "http://bayareastunts.com/"} +{"d:Title": "V10 Stunts - Women Stunt Professionals", "d:Description": "Association of professional stuntwomen with resumes, headshots, stunt photos, and contact information.", "topic": "Top/Arts/Performing_Arts/Stunts/Associations", "url": "http://www.v10stunts.com/"} +{"d:Title": "Plantico, Nick", "d:Description": "Credits, services, photographs, demo reel, and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.actionunlimited.net/"} +{"d:Title": "Sheward, Lee", "d:Description": "Biography, show reel, photographs, and credits.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.leesheward.co.uk/"} +{"d:Title": "Pacifico, Claudio", "d:Description": "Sport qualifications, personal information, and photographs.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.claudiopacifico.it/"} +{"d:Title": "Taylor, Rocky", "d:Description": "Profile, photographs, show reel, credits, and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.actionstuntsrockytaylor.com/"} +{"d:Title": "Long, Michael R.", "d:Description": "Resume and photographs.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.lastunts.com/"} +{"d:Title": "Hassall, Peter", "d:Description": "Skills, credits, equipment, photographs, resources, and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.stuntperformer.co.nz/"} +{"d:Title": "Wilton, Robert J.", "d:Description": "Photographs and videos.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.robwilton.com/"} +{"d:Title": "Diamond, Peter", "d:Description": "Credits include Star Wars, Doctor Who, and Raiders of the Lost Ark. Biography, filmography, photographs, and autograph ordering information.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.users.globalnet.co.uk/~fool/"} +{"d:Title": "Judge, Dave", "d:Description": "Overview of skills and services, photographs, and videos.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://www.stuntjudge.co.uk/"} +{"d:Title": "Forster, Dean", "d:Description": "UK-based coordinator and player whose credits include The Bourne Ultimatum, Mission Impossible, and The Fifth Element. Resume, show reel, photographs, and videos.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://stuntcoordinator.co.uk/"} +{"d:Title": "Ashker, John", "d:Description": "Biography, resume, photographs, and demonstration reel.", "topic": "Top/Arts/Performing_Arts/Stunts/Coordinators", "url": "http://0041863.netsolhost.com/stuntcoordination/"} +{"d:Title": "Brotherhood of Destruction", "d:Description": "Mark Hager and Brad Baldino. Biographies, videos, and news.", "topic": "Top/Arts/Performing_Arts/Stunts/Daredevil_Performers", "url": "http://www.dangerousmoments.com/"} +{"d:Title": "Coates, Dave", "d:Description": "Motorcycle stunt rider. Includes a short interview, bike details, a message board and stunt gallery.", "topic": "Top/Arts/Performing_Arts/Stunts/Daredevil_Performers", "url": "http://www.davecoates.co.uk/"} +{"d:Title": "Pyro Boy", "d:Description": "Human firework. Biography, credits, booking information, news, and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Daredevil_Performers", "url": "http://pyroboy.com/"} +{"d:Title": "Cavallo&Company - Spettacoli Equestri", "d:Description": "Spectacles on Horseback. Based in Italy.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.cavallocompany.it/"} +{"d:Title": "Stunt Horses", "d:Description": "Saddlefalls, horsefalls, and trickriding.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.stuntpferde.homepage.eu/"} +{"d:Title": "The Showmens League Of America", "d:Description": "Founded in 1913 by outdoor showmen meeting in Chicago. Buffalo Bill Cody, the Wild West performer, was the club's first president.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.showmensleague.org/"} +{"d:Title": "The Nerveless Nocks", "d:Description": "Theatrical circus/thrill-show productions. See death defying swaypoles, the globe of death, space wheels, and helicopter trapeze acts. Producing shows since 1840.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.nervelessnocks.com/"} +{"d:Title": "2MA Sports Stunt Entertainment", "d:Description": "Producers of live action stunt shows.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.2ma.co.uk/"} +{"d:Title": "The Dragon Attack Stunt Show", "d:Description": "An action packed stunt show based in a medieval setting with monsters, explosions, stunts, comedy, and over 50 sword fights!", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.stunt-show.com/"} +{"d:Title": "Ghost Riders Stunt Co.", "d:Description": "American Frontier Living History. Old West Reenactors. Hangings by invitation.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.ghostriders.org/"} +{"d:Title": "Official Site of Pistol Packin' Paula", "d:Description": "Pistol Packin Paula is an entertainer, stuntwoman, gun-twirler, trick roper, bull-whipper and western dancer who tours around the country performing and amazing everyone with her action packed wild west show.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.pistolpackinpaula.com/"} +{"d:Title": "FERE - Florida Extreme Riding Exhibitions", "d:Description": "Extreme motorcycle stunt shows. Based in Florida and performing for fairs, bike shows, car shows, and air shows.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://trialsdemos.freeservers.com/"} +{"d:Title": "High Action Bikes", "d:Description": "Extreme bmx stunt shows; offers details of events, services, profile, and contacts.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.highactionbikes.com/"} +{"d:Title": "Stunt Action Specialists", "d:Description": "Live action stunt team for theme events, corporate entertainment, promotional and incentive days. From sword-wielding knights, gangsters, swashbucklers and fist-fights. TV/Film work also undertaken.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.stuntactionspecialists.co.uk/"} +{"d:Title": "Sonia Duncan&Tharra: Trick Rider", "d:Description": "Horsework for television and motion pictures.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.trickrider.co.nz/"} +{"d:Title": "Hollywood Stunt Show", "d:Description": "Musical comedy for parks and fairs. Includes background information and photographs.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://www.bauerfilms.com/stuntshow/"} +{"d:Title": "Flyin' Ryan", "d:Description": "Motorcycle stunt show. Gallery, venue, schedule, and performer profile.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows", "url": "http://flyinryan.co.uk/"} +{"d:Title": "Cowgirl Tricks", "d:Description": "Karen Quest does shows of trick roping, whip cracking, and comedy. Includes contact information, biography and schedule.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://www.cowgirltricks.com/"} +{"d:Title": "Snake Oil Productions", "d:Description": "Offer whip performances for Western or Renaissance festivals as well as magic shows.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://www.snakeoilproductions.com/"} +{"d:Title": "Wild West Performers", "d:Description": "Dedicated to preserving and promoting the western arts and artists throughout the United States and abroad.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://www.wildwestperformers.com/"} +{"d:Title": "Anthony De Longis", "d:Description": "Actor who provides videos and master classes on whip cracking and swordplay.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://www.delongis.com/"} +{"d:Title": "Dante's Bullwhips", "d:Description": "Precise target cutting, and dramatically choreographed whipcracking routines.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://www.bullwhip.net/"} +{"d:Title": "Leapin' Louie Lichtenstein", "d:Description": "Performs a high energy comedy show featuring cowboy tricks, whips, juggling, acrobatics, and music.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://comedytricks.com/"} +{"d:Title": "Adam Winrich Whips", "d:Description": "Whipmaker and whipcracker, who is quickly gaining notice for his feats of breaking whip related Guiness world records.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://www.winrichwhips.com/"} +{"d:Title": "The Whip Artistry Studio", "d:Description": "Offers group and individual bullwhip lessons in Jamestown, Ohio.", "topic": "Top/Arts/Performing_Arts/Stunts/Live_Shows/Whip_Cracking", "url": "http://thewhipartistrystudio.com/"} +{"d:Title": "Motion Picture Marine", "d:Description": "Motion Picture Marine is a complete marine production and production services company.", "topic": "Top/Arts/Performing_Arts/Stunts/Marine", "url": "http://motionpicturemarine.com/"} +{"d:Title": "James, Douglas", "d:Description": "Stuntman and stunt double.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.douglasjames.co.uk/"} +{"d:Title": "Cummins, Richard", "d:Description": "Precision and stunt driver from Vancouver, British Columbia, Canada. Demo reel, resume, links, and history.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.richardcummins.com/"} +{"d:Title": "Stunt Players Directory", "d:Description": "Accurate and up to date listings of the world's top stunt performers.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.stuntplayers.com/"} +{"d:Title": "Arthurs, Gary", "d:Description": "Performer for film, television, commercials and live action.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.gmastunts.com/"} +{"d:Title": "Schlund, Dan", "d:Description": "SAG Stunt Coordinator, rocket pack pilot and actor. Site action photos.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.stuntdan.com/"} +{"d:Title": "Simmons, Derrick", "d:Description": "Actor, stuntman, and stunt coordinator.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.derricksimmons.com/"} +{"d:Title": "Stewart, Christopher", "d:Description": "Resume and head shots for this stuntman specializing in martial arts.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.angelfire.com/gundam/pentangle/"} +{"d:Title": "Plyasov, Ivan O.", "d:Description": "Presents information on this performer from Kharkiv, Ukraine, and includes details of past projects. [English and Russian]", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://varyag-stunts.narod.ru/"} +{"d:Title": "Adrenalin Crew", "d:Description": "Motorcycle stunt performers from Washington, DC. History, videos, and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.adrenalinecrew.com/"} +{"d:Title": "Sigma Unit", "d:Description": "Crew offering stunt and wire work as well as training. Photographs, service overview, and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://sigmaunit.yolasite.com/"} +{"d:Title": "Christensen, Brian", "d:Description": "Stuntman and actor. Credits, photographs, videos, and press links.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.nosewind.com/"} +{"d:Title": "Rivers, Curtis", "d:Description": "Profile includes credits, photographs and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.imdb.com/name/nm0729519/"} +{"d:Title": "Bozic, Milkica", "d:Description": "Profile includes film credits.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "http://www.imdb.com/name/nm1291306/"} +{"d:Title": "Asylum Stunts", "d:Description": "Company of stunt professionals based in Chicago. Member list, skills and training overview, and credits.", "topic": "Top/Arts/Performing_Arts/Stunts/Players", "url": "https://sites.google.com/site/asylumstunts/"} +{"d:Title": "Shelly Ward Enterprises", "d:Description": "Full service automotive preparation company working in the film industry.", "topic": "Top/Arts/Performing_Arts/Stunts/Precision_Drivers", "url": "http://www.shellywardent.com/"} +{"d:Title": "Drivers Inc.", "d:Description": "Pictures, resumes and biographies.", "topic": "Top/Arts/Performing_Arts/Stunts/Precision_Drivers", "url": "http://www.driversinc.com/"} +{"d:Title": "Performance Two Inc.", "d:Description": "A team of stunt drivers and coordinators for commercials and film. Operated by Georgia Durante.", "topic": "Top/Arts/Performing_Arts/Stunts/Precision_Drivers", "url": "http://www.performancetwo.com/"} +{"d:Title": "Bobby Ore Professional Driving School", "d:Description": "Informative website for Bobby Ore Motorsports, The driving school of the professionals. Website gives a breakdown of what is taught, when classes are scheduled, and where. Also gives background of the Instructor and his qualifiations.", "topic": "Top/Arts/Performing_Arts/Stunts/Training", "url": "http://www.bobbyoresports.com/"} +{"d:Title": "Stunt Academy", "d:Description": "A stunt training course in Australia.", "topic": "Top/Arts/Performing_Arts/Stunts/Training", "url": "http://www.stuntacademy.com/"} +{"d:Title": "Hollywood Stunts", "d:Description": "Year-round professional training center for men, women and children. Also offers equipment rentals. Classes, study application, photographs, and links.", "topic": "Top/Arts/Performing_Arts/Stunts/Training", "url": "http://www.hollywoodstunts.com/"} +{"d:Title": "FX Stunt School", "d:Description": "Provides training for individuals to perform stunts in action films, television, and live theatre. Located in Georgia, USA.", "topic": "Top/Arts/Performing_Arts/Stunts/Training", "url": "http://www.fxstuntschool.com/"} +{"d:Title": "Jeff Awards", "d:Description": "Given annually by The Joseph Jefferson Awards Committee to honor excellence in professional theatre produced in the immediate Chicago area. Features current and previous recipients and nominees, FAQs, committee members, and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards", "url": "http://www.jeffawards.org/"} +{"d:Title": "The San Diego Theatre Critics Circle", "d:Description": "Home of the Craig Noel Awards for Excellence in Theatre, bestowed annually by professional print and online theatre critics in San Diego, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards", "url": "http://sdcriticscircle.org/"} +{"d:Title": "New York Innovative Theatre Awards", "d:Description": "The New York Innovative Theatre Awards (IT Awards) are given annually to honor individuals and organizations who have achieved artistic excellence in the Off-Off-Broadway theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards", "url": "http://nyitawards.com/"} +{"d:Title": "The Envelope.com: Tony Awards", "d:Description": "Offers show information, facts, dates, galleries and searchable database.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards", "url": "http://theenvelope.latimes.com/awards/tonys/"} +{"d:Title": "The Ruby Griffith Awards", "d:Description": "An annual award competition open to amateur theatre groups meeting the established criteria for all-round production excellence.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards", "url": "http://www.rubygriffith.org/"} +{"d:Title": "Lucille Lortel Awards", "d:Description": "Current and historical information about the Lucille Lortel Awards recognizing outstanding achievement off-Broadway.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards", "url": "http://www.lortelaward.com/"} +{"d:Title": "American Theatre Wing's Tony Awards", "d:Description": "Official site features nominees, news, history, photos, trivia, video clips, past winners, press releases and printable ballot.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://www.tonyawards.com/"} +{"d:Title": "Wikipedia: Tony Award", "d:Description": "Includes history, award categories and complete list of 2006 nominations.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://en.wikipedia.org/wiki/Tony_Award"} +{"d:Title": "BroadwayWorld.com: Tony Award Database", "d:Description": "Features a searchable database listing Award winners and nominees from 1947 to present day.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://www.broadwayworld.com/tonyawards.cfm"} +{"d:Title": "2006 Tony Awards Q&A: Christian Hoff", "d:Description": "Christian Hoff, starring in Jersey Boys as Tommy Devito in Jersey Boys has been nominated for Best Featured Actor in a Musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=9721"} +{"d:Title": "IMDb: The 58th Annual Tony Awards", "d:Description": "Includes cast, presenters, memorable quotes and discussion board.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://www.imdb.com/title/tt0411059/"} +{"d:Title": "IMDb: The 59th Annual Tony Awards", "d:Description": "Includes cast, presenters and discussion board.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://www.imdb.com/title/tt0463857/"} +{"d:Title": "New York Times: 2006 Tony Awards", "d:Description": "Provides news articles, video and audio slide shows, reader's opinions, multimedia files, critics' picks and interactive ballot.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://www.nytimes.com/pages/theater/theaterspecial/index.html"} +{"d:Title": "Tony Awards Presenters to Collect $35K in Gift Bags", "d:Description": "The 60 presenters and co-hosts of the Tony Awards, including Julia Roberts, Oprah Winfrey, Bernadette Peters and Chita Rivera, will be honored with designer accessories, gift sets and jewels.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=10002"} +{"d:Title": "45 More Celebrity Presenters Sign on to Tony Awards", "d:Description": "Over 45 more stars have been announced as presenters of the 2006 Tony Awards, taking place on June 11, 2006 at Radio City Music Hall.", "topic": "Top/Arts/Performing_Arts/Theatre/Awards/American_Theatre_Wing's_Tony_Awards", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=10164"} +{"d:Title": "Theatre VOICE", "d:Description": "Audio driven discussion forum in which theatre critics from across the UK press talk about London shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Chats_and_Forums", "url": "http://www.theatrevoice.com/"} +{"d:Title": "Community Theater Green Room", "d:Description": "Discussion boards with topics including theater resources, articles, how-to's, directing, props, set design, play reading, and humor about acting.", "topic": "Top/Arts/Performing_Arts/Theatre/Chats_and_Forums", "url": "http://www.communitytheater.org/discuss/default.asp"} +{"d:Title": "Stage Door Theatre Chat", "d:Description": "Message boards for people who enjoy watching and participating in all kinds of theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Chats_and_Forums", "url": "http://stagedoorchat.proboards.com/"} +{"d:Title": "International Amateur Theatre Association", "d:Description": "IATA is the world body of amateur theatre and has members in eighty countries on five continents.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre", "url": "http://www.aitaiata.org/"} +{"d:Title": "RADA Riviera Amateur Dramatic Association", "d:Description": "English language theatre on the French Riviera. Coming capers, past pranks and the scene.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre", "url": "http://rada.freeservers.com/"} +{"d:Title": "Elmwood Players", "d:Description": "A community theatre group established in Christchurch, New Zealand in 1948. The Players stage at least five major productions a year, with a mixture of comedy and drama.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre", "url": "http://www.elmwood-players.org.nz/"} +{"d:Title": "New Players Theatre Company", "d:Description": "A non professional theatre company entertaining audiences in the Wellington, New Zealand. Provides news, announcements and upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre", "url": "http://www.newplayers.org.nz/"} +{"d:Title": "North European Amateur Theatre Alliance", "d:Description": "Co-ordinating body of amateur theatre in Denmark, Estonia, Finland, Iceland, Latvia, Lithuania, Norway, Sweden and the Faroes.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre", "url": "http://www.neata.dk/"} +{"d:Title": "International Players Home", "d:Description": "Amateur theatre group presenting English language plays and musicals in the Paris area. Productions, membership information and members area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre", "url": "http://www.internationalplayers.co.uk/ip/"} +{"d:Title": "Thespians Anonymous", "d:Description": "Amateur drama group that aims to promote English language, culture and drama work in Finland. Background, members and productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre", "url": "http://www.thespiansanonymous.org/"} +{"d:Title": "Class Act Theatre", "d:Description": "Theatre company that performs original plays for primary and secondary schools in Western Australia. They aim to provide an entertaining way of teaching syllabus subjects to students.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.classact.com.au/"} +{"d:Title": "Unseen Theatre Company", "d:Description": "This company specialises in producing plays by Terry Pratchett. Adelaide, South Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.unseen.com.au/"} +{"d:Title": "Night Owl Productions", "d:Description": "An amateur theatre company based in the northern suburbs of Melbourne.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.nightowl.s5.com/"} +{"d:Title": "The 1812 Theatre", "d:Description": "An amateur theatre company, established in 1945, in the outer eastern suburbs of Melbourne, with its own elegant, friendly and comfortable theatre. Four seasons per year, each playing 23 nights.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.1812theatre.com.au/"} +{"d:Title": "New Theatre", "d:Description": "Non-professional theatre company located in Newtown, that stage six productions each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.ramin.com.au/online/newtheatre/"} +{"d:Title": "Cloc Musical Theatre", "d:Description": "One of Victoria's largest and most successful non-professional music theatre companies. Established in 1964 they perform musicals in Monash University's 500 seat Alexander Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.cloc.org.au/"} +{"d:Title": "Monash Players", "d:Description": "A student theatre group at the Monash University, Clayton campus.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://yoyo.cc.monash.edu.au/groups/players/"} +{"d:Title": "ARC", "d:Description": "Based in Preston, Melbourne. Amateur theatre company performing musicals and plays. Includes current and past shows and a newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.arc-theatre.com/"} +{"d:Title": "Peridot Theatre Company", "d:Description": "A non professional theatre company operating in Mount Waverley, Melbourne. They perform four full length plays each year along with various One Act plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.peridot.com.au/"} +{"d:Title": "Casting Couch Productions", "d:Description": "Drama company based in Sydney. Contains information on the current production and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.castingcouchproductions.net/"} +{"d:Title": "Launceston Players", "d:Description": "Amateur musical society based in Launceston, Tasmania. Contains details for the latest show, group newsletters and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://launcestonplayers.com/"} +{"d:Title": "Mt Cotton Drama Group", "d:Description": "Amateur theatre group specialising in comedy based in South-East Queensland. Current production, archive and ticket booking.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.mtcottondrama.com/"} +{"d:Title": "Aerialize - Sydney Aerial Theatre", "d:Description": "An aerial school and company for children and adults of all ages. They specialize in trapeze, ropes, silks, aerial rings and web.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.artmedia.com.au/aerial.htm"} +{"d:Title": "Rockdale Musical Society", "d:Description": "Community not-for-profit musical theatre group based in South Sydney (Rockdale) established in 1939.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://rockdalemusicalsociety.com/"} +{"d:Title": "LaLa pictures&Sherazade Productions", "d:Description": "These joint companies have been presenting and are continuing two productions, The Line and Acrobats, at the Belvoir St Theatre in Sydney.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://members.optusnet.com.au/andrew_arb/"} +{"d:Title": "PaperBag PlayBack Theatre", "d:Description": "An Australian troupe based in Sydney, who serve the community by celebrating the transformative power of sharing stories. In addition to public performances, PaperBag also provides performance services for organisations.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://paperbagpb.tripod.com/"} +{"d:Title": "Old Nick Theatre Company Inc", "d:Description": "Company information and history, details of current up-coming and past productions, member information and picture gallery. Tasmania.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.oldnick.org.au/"} +{"d:Title": "Holroyd Musical&Dramatic Society", "d:Description": "A vibrant musical society located in Sydney's west. They perform musicals, plays and performance pieces.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.hmds.org.au/"} +{"d:Title": "Catchment Players", "d:Description": "An amateur dramatic group that present a musical and a play each year. Located in Darebin, Victoria.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.catchmentplayers.org.au/"} +{"d:Title": "Epicentre Theatre Company", "d:Description": "Stages 3 major productions a year at the Zenith Theatre, Chatswood. Includes an image gallery and information about past productions and cast and crew. Based in Artarmon.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.epicentretheatre.org.au/"} +{"d:Title": "Latrobe Theatre Company", "d:Description": "Community Theatre in Latrobe, Gippsland. They perform musical theatre and plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.latrobetheatrecompany.org.au/"} +{"d:Title": "Castle Hill Players home base", "d:Description": "Access this community theatre's productions, contacts and booking details. Based in Castle Hill, Sydney.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.paviliontheatre.org.au/"} +{"d:Title": "Canberra Repertory Society", "d:Description": "An amateur theatre company, founded in 1932, the Canberra Rep is one of the oldest established theatre companies in Australia. Based in Camberra they stage five or six major productions each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.canberrarep.org.au/"} +{"d:Title": "Galleon Theatre Group Inc", "d:Description": "Located in the south-western suburbs of Adelaide, South Australia since 1967. New members are always welcome.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://theatreguide.tripod.com/galleon/frmain.htm"} +{"d:Title": "Fab Nobs Theatre", "d:Description": "Based in Melbourne's eastern suburbs, Fab Nobs Theatre presents three major productions each year in our unique cabaret factory venue.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.fabnobstheatre.com.au/"} +{"d:Title": "WOFTAM Productions", "d:Description": "They are an independent musical theatre company in the Campbelltown area, New South Wales, Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://woftam.atspace.com/"} +{"d:Title": "Sunnybank Theatre Group", "d:Description": "Non-professional company performing plays and musicals. Includes profile, news and information about shows, bookings and membership. Based in Sunnybank, Queensland.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.stg.org.au/"} +{"d:Title": "Woy Woy Little Theatre", "d:Description": "A community run theatre group entertaining the Central Coast since 1945, performing 3 to 4 productions per year at the Peninsula Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.woywoylt.com.au/"} +{"d:Title": "The Regals Musical Society", "d:Description": "A community-based, non-profit group in Sydney, which stage three quality musical theatre productions each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.theregals.com.au/"} +{"d:Title": "Altona City Theatre", "d:Description": "Amateur theatre group who present three productions a year in Melbourne. Coming attractions, audition information and related links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.altonacitytheatre.com.au/"} +{"d:Title": "Norfolk Amateur Theatrical Society", "d:Description": "Amateur theatre located in Kingston on Norfolk Island, north of New Zealand. Also stages an annual \"Theatre in Paradise\" festival in September.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.nats.nlk.nf/"} +{"d:Title": "Mixed Salad Productions", "d:Description": "Non-profit theatre company based in Adelaide. News, current production information, credit card bookings.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.users.on.net/~davesimms/"} +{"d:Title": "Campbelltown Theatre Group", "d:Description": "Amateur theatre group that stage four to five productions each year, both plays and musicals. Based in Campbelltown, New South Wales.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.ctgi.org.au/"} +{"d:Title": "Tamworth Musical Society", "d:Description": "Information and news on current and future musical productions. Tamworth Musical Society is located in Tamworth, NSW Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.tms.org.au/"} +{"d:Title": "Harbour Theatre", "d:Description": "Fremantle's original and only amateur theatre company.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.harbourtheatre.org.au/"} +{"d:Title": "Frankston Theatre Group", "d:Description": "Amateur dramatic group based in Victoria. Lists what's on, audition information, history and bookings.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://frankstontheatregroup.org.au/"} +{"d:Title": "Albury Wodonga Theatre Company", "d:Description": "AWTCo is an amateur theatre company operating in the Albury Wodonga region.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://awtco.org.au/"} +{"d:Title": "Woodstock Players", "d:Description": "Community theatre group in the Burwood area of Sydney, delivering 2-3 productions a year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://woodstockplayers.webs.com/"} +{"d:Title": "Queensland University Musical Society", "d:Description": "A non-auditioning mixed choir, open to all members of the public, and a founding member of AICSA, the Australian Intervaristy Choral Societies Associations.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.qums.org.au/"} +{"d:Title": "Croydon Parish Players", "d:Description": "Amateur theatre company located in Croydon in the eastern suburbs of Melbourne. Provides the company's history, their upcoming shows and schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Australia", "url": "http://www.croydonparishplayers.com/"} +{"d:Title": "Bermuda Musical&Dramatic Society", "d:Description": "Bermuda's largest dramatic society based in the City of Hamilton.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Bermuda", "url": "http://www.bmds.bm/"} +{"d:Title": "The ACT Arts Centre", "d:Description": "A community theatre company serving Maple Ridge and Pitt Meadows, BC.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.theactmapleridge.org/"} +{"d:Title": "Massey Theatre", "d:Description": "Current listings of events, information about the theatre and rental booking inquiries. New Westminster, BC.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.masseytheatre.com/"} +{"d:Title": "Association of Community Theatres of Manitoba", "d:Description": "ACT is a provincially funded, volunteer organization with a mandate to support amateur theatre and amateur performing arts in Manitoba.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.actmanitoba.mb.ca/"} +{"d:Title": "Theatre BC", "d:Description": "Serving as the provincial parent association of community theatre in the province of British Columbia, Canada, since 1932 and is committed to promoting the development of theatre through programs, events, and festivals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.theatrebc.org/"} +{"d:Title": "Gallery 7 Theatre Company", "d:Description": "Community theatre company dedicated to the production of plays reflecting a Christian world-view. Includes company and season information, photo gallery, resource links and discussion boards. Abbotsford, B.C.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.gallery7theatre.com/"} +{"d:Title": "Morpheus Theatre", "d:Description": "A community theatre in Calgary, Alberta, producing Gilbert and Sullivan Operettas and contemporary comedies and dramatic plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.morpheustheatre.ca/"} +{"d:Title": "Conquest Theatre", "d:Description": "A semi-professional theatre company entertaining Canmore, Banff and the Bow Valley, Alberta, Canada. Information on upcoming shows and tickets", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://conquesttheatre.tripod.com/"} +{"d:Title": "Saint John Theatre Company", "d:Description": "Community company offering classic based entertainment to greater Saint John audiences, with two companies offering a variety of productions since 1990.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.saintjohntheatrecompany.com/"} +{"d:Title": "Walterdale Playhouse", "d:Description": "Edmonton's longest-running community theatre, performing high-quality volunteer-driven plays since 1958.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.walterdaleplayhouse.com/"} +{"d:Title": "Playgoers of Lethbridge", "d:Description": "Presents humour and drama, including dinner theatre and murder mystery. Includes membership information and upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.playgoers.ca/"} +{"d:Title": "Repercussion Theatre", "d:Description": "Brings the performing arts to people in their communities, based in Montreal. Also offers training in various theatre disciplines.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.repercussiontheatre.com/"} +{"d:Title": "Hairy Tease Productions", "d:Description": "Company in Moncton, NB specializing in audience-friendly comedy. Lists information about past shows, the players and crew, bursaries, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.hairytease.com/"} +{"d:Title": "PSC Community Theatre", "d:Description": "A non-profit volunteer project bringing live theatre production to Point St. Charles in Montreal.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.psccommunity.com/"} +{"d:Title": "Liverpool International Theatre Festival", "d:Description": "Amateur theatre groups from around the world meet in Liverpool, Nova Scotia to compete in a biennial theatre festival. Includes a list of participating groups, past winners and photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.litf.ca/"} +{"d:Title": "Victoria Playhouse", "d:Description": "Located in the village of Victoria by the Sea, Prince Edward Island, formed in 1981 in the historic Victoria Hall.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.victoriaplayhouse.com/"} +{"d:Title": "Front Row Centre Players", "d:Description": "Community theatre company in Calgary, Alberta presenting Broadway Shows and other musical theatre plays and comedies.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.frontrowcentre.ca/"} +{"d:Title": "Victoria Operatic Society", "d:Description": "A non-profit musical theatre company in Victoria, BC, producing live broadway musical theatre and gives aspiring singers and performers the opportunity to display their talents.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.vos.bc.ca/"} +{"d:Title": "Langham Court Theatre and the Victoria Theatre Guild", "d:Description": "Community theatre that has staged performances since 1929.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.langhamtheatre.ca/"} +{"d:Title": "Grande Prairie Live Theatre", "d:Description": "Producing seven shows a season and a wealth of special events, concerts, and film programing. GPLT operates in the heart of Downtown Grande Prairie, Alberta.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://gplt.ca/"} +{"d:Title": "Sooke Harbour Players Society", "d:Description": "Company in Sooke, British Columbia providing two theatre performances annually. Lists past and current productions, talent pool, venue information, and photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "https://sookeharbourplayers.com/"} +{"d:Title": "Paper Bag Players", "d:Description": "A community theatre group based in Yorkton, Saskatchewan.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.paperbagplayers.com/"} +{"d:Title": "Leading Stars Arts and Education Foundation", "d:Description": "Writing, producing and providing an annual Christmas play in Edmonton, Grande Prairie and Calgary for 30 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://www.leadingstars.ca/"} +{"d:Title": "Basement Players Theatre Group", "d:Description": "Theatre group who perform 12 to 14 shows a year in churches and schools in southern New Brunswick. Includes photos and charities they support.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada", "url": "http://thebasementplayers.wixsite.com/thebasementplayers"} +{"d:Title": "Simcoe Little Theatre", "d:Description": "A local community charity providing low-cost theatre to the people in the area of Simcoe, Ontario. Lists upcoming productions and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.simcoelittletheatre.org/"} +{"d:Title": "The South Simcoe Theatre", "d:Description": "A community theatre company in Cookstown, Ontario. Lists upcoming productions, announcements and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.southsimcoetheatre.com/"} +{"d:Title": "The Windsor Light Opera Association", "d:Description": "A community based amateur musical performance company, who have put on musical productions (not opera) for over 50 years in Windsor, Ontario. Includes information about upcoming productions and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.windsorlight.com/"} +{"d:Title": "Curtain Call Theatre", "d:Description": "Timmins, Ontario area community theatre company offering comedies and dramas.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.curtaincalltimmins.ca/"} +{"d:Title": "East Side Players", "d:Description": "Theatre in in Toronto. Includes audition information and ticket details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.eastsideplayers.ca/"} +{"d:Title": "Kingston Meistersingers", "d:Description": "A non-profit community theatre group dedicated to supporting and producing musical theatre in Kingston, Ontario. Features show archives and their upcoming production.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://meistersingers.ca/"} +{"d:Title": "Huronia Players", "d:Description": "Community theatre group staging productions in a studio theatre facility in Midland, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.huroniaplayers.ca/"} +{"d:Title": "J.M. Drama", "d:Description": "This company promotes the performing and fine arts in the Region of Waterloo, providing scholarships and training to its members, and produces a comedies, dramas and musicals. Access their events calendar, auditions, and membership.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://jmdrama.org/"} +{"d:Title": "The Multicultural Theatre Space", "d:Description": "A not-for-profit arts and culture organization located in Kitchener-Waterloo, Ontario, created to explore cultural experience between people, their histories, and their forms of expression in performance.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.mtspace.ca/"} +{"d:Title": "Garden City Productions", "d:Description": "A non-profit community theatre organization in St.Catharines, Ontario. Performs 2 major musicals per year, and provides information about its upcoming shows, theatre and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.gcp.ca/"} +{"d:Title": "The Oakville Players", "d:Description": "A community theatre group performing comedies and dramas in Oakville. Lists membership information, past and upcoming shows, sponsorship and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.oakvilleplayers.ca/"} +{"d:Title": "Brampton Musical Theatre", "d:Description": "Musical theatre group located in Brampton, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.bramptonmusictheatre.com/"} +{"d:Title": "The Curtain Club Theatre", "d:Description": "Produces five plays each season, located in Richmond Hill. Includes audition notices, past and current production, and membership-driven organization.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.thecurtainclub.org/"} +{"d:Title": "Encore Entertainment", "d:Description": "A not-for-profit theatre company based in Toronto that stages plays and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.stage-door.org/encore/encore.htm"} +{"d:Title": "North Toronto Players", "d:Description": "NTP presents the musicals of Gilbert and Sullivan at Leah Posluns Theatre in fresh productions that entertain modern audiences, and is open to members from all sectors of the community.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.northtorontoplayers.com/"} +{"d:Title": "The Community Players of New Hamburg", "d:Description": "A musical theatre production company in New Hamburg, Ontario. Lists upcoming shows and information for donors and prospective talent.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.thecommunityplayers.com/"} +{"d:Title": "Theatre Gargantua", "d:Description": "Artist driven, non-profit ensemble theatre company in Toronto dedicated to the long term production of physical theatre. Provides a discussion of their creative process, educational opportunities, and how people can become involved.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://theatregargantua.ca/"} +{"d:Title": "Theatre Tillsonburg", "d:Description": "Community theatre company in Tillsonburg, Ontario. Contains the playbill, seating and booking information, upcoming and community events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://theatretillsonburg.com/"} +{"d:Title": "Theatre Etobicoke", "d:Description": "Since 1956, the company has presented musical comedies to audiences in western Toronto. Features upcoming plays, ticket and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://theatre-etobicoke.com/"} +{"d:Title": "West End Studio Theatre", "d:Description": "A community theatre club also offering in-house classes which range from ages 6 through adult in Oakville. Lists schedules for upcoming performances and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://the-west.ca/"} +{"d:Title": "Bottle Tree Productions", "d:Description": "Offers seasons of plays and musicals, script-writing contests, classes, and contacts to the theatre community in Kingston.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.bottletreeinc.com/"} +{"d:Title": "Elgin Theatre Guild", "d:Description": "Community theatre company located in St. Thomas, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.elgintheatreguild.ca/"} +{"d:Title": "The Players' Guild of Hamilton", "d:Description": "North America's oldest continuing amateur theatre. Hamilton, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.playersguild.org/"} +{"d:Title": "Phoenix Players", "d:Description": "Amateur theatre people working to bring theatre entertainment to the communities in southwest Ottawa.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.phoenixplayers.ca/"} +{"d:Title": "Ottawa Little Theatre", "d:Description": "A community theatre company with a long history. Features information about the company, plays and the people involved.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.ottawalittletheatre.com/"} +{"d:Title": "Port Colborne Operatic Society", "d:Description": "Live music theatre with annual productions. Includes current and recent production information, general information about the society and musical theatre, related links and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://portcolborneoperaticsociety.com/"} +{"d:Title": "Georgetown Globe Productions", "d:Description": "Musical theatre company located in Halton Hills, offers two main stage shows and one cabaret production each season. Past productions, awards, and current events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.globeproductions.ca/"} +{"d:Title": "Royal City Musical Productions Inc.", "d:Description": "Community musical theatre company presents a full broadway-style musical each year. Located in Guelph, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://rcmpi.ca/"} +{"d:Title": "Alexander Showcase Theatre", "d:Description": "A community theatre group who stage popular musicals as well as choral concerts, based in North York.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.alexandershowcasetheatre.com/"} +{"d:Title": "Markham Little Theatre", "d:Description": "Stages and produces live theatre performances at Markham Theatre and a variety of other venues in the town. Playbill and subscription information is available.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.markhamlittletheatre.ca/"} +{"d:Title": "Northumberland Players", "d:Description": "Offers dinner and musical theatre from October through May. Based in Cobourg, Ontario. Playbill, membership information, audition details, photo album, and list of sponsors are presented.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.northumberlandplayers.ca/"} +{"d:Title": "Elora Community Theatre", "d:Description": "Live theatre stage performances in Fergus by an award winning community theatre group performing three romance, comedy and mystery appearances each season.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "https://eloracommunitytheatre.wildapricot.org/"} +{"d:Title": "The Capitol Theatre Windsor", "d:Description": "Located in Windsor Ontario, the company has produced a full season of dramatic contemporary theatre since 1987. Provides the company's history, upcoming shows and audition details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.capitoltheatrewindsor.ca/"} +{"d:Title": "Kiwanis Community Theatre Centre", "d:Description": "Calendar of events. History and tours of the theatre. Sault Ste. Marie, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.kctc.ca/"} +{"d:Title": "Burlington Performing Arts Centre", "d:Description": "A state of the art building (LEED) offers world-class entertainment. Schedule of events. Burlington, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.burlingtonpac.ca/"} +{"d:Title": "Prince Edward Community Theatre", "d:Description": "A non-profit community theatre group located in Prince Edward County, dedicated to providing affordable live entertainment.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://pecommtheatre.ca/"} +{"d:Title": "Theatre Ensemble", "d:Description": "A non-profit theatre company located in Windsor, Ontario. Focus on youth involvement and original productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://theatreensemble.ca/"} +{"d:Title": "Rose Theatre Brampton", "d:Description": "A performing arts centre in downtown Brampton. Theatre, broadway, dance, comedy and concerts all year long.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.brampton.ca/sites/rose-theatre/"} +{"d:Title": "Peninsula Players", "d:Description": "Community theatre in Grimsby, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Canada/Ontario", "url": "http://www.peninsulaplayersgrimsby.com/"} +{"d:Title": "Tubingen Anglo-Irish Theatre Group", "d:Description": "Group founded by students of English at the University of T\u00fcbingen in southern Germany. News, links and archives.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Germany", "url": "http://www.anglo-iren.de/"} +{"d:Title": "The Hamburg Players", "d:Description": "English language amateur theatre group presenting three plays a year at the Theater an der Marschnerstrasse in Hamburg. History, news, members and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Germany", "url": "http://www.hamburgplayers.de/"} +{"d:Title": "Frankfurt English Speaking Theatre", "d:Description": "Programme of productions and rehearsals, weekly play readings, archives and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Germany", "url": "http://www.festfrankfurt.org/"} +{"d:Title": "Bamberg University English Drama Group", "d:Description": "Association of English theatre enthusiasts producing one play each year around June. Past productions, including pictures and sound files, plus contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Germany", "url": "http://www.connaction-bamberg.de/buedg/kat1.php"} +{"d:Title": "Terrace Playhouse", "d:Description": "An amateur community theater provided by the US Forces stationed overseas in Ansbach. Offers five productions each year and are an Irving Berlin Award-winning American community theater program.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Germany", "url": "http://terraceplayhouse.blogspot.com/"} +{"d:Title": "Barnstorm Theatre Company", "d:Description": "Theatre company based in the medieval city of Kilkenny that performs children's, community and youth theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.barnstorm.ie/"} +{"d:Title": "Leixlip Theatre Group", "d:Description": "Amateur drama group that was formed in 1974. Contains information on the groups activities including details and photographs from recent productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://homepage.eircom.net/~berginj/ltg.htm"} +{"d:Title": "Balor Theatre", "d:Description": "Developmental community arts group and community theatre based in Ballybofey, Co. Donegal. Providing a theatre in education service in the Northwest.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.balortheatre.com/"} +{"d:Title": "AIMS - Association of Irish Musical Societies", "d:Description": "Resource site for musical societies in Ireland and for musicals in general.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.aims.ie/"} +{"d:Title": "The Drama League of Ireland", "d:Description": "Information for those interested in amateur drama. Also contains information on the performing rights agency.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.dli.ie/"} +{"d:Title": "Taking Liberties Drama Group", "d:Description": "Theatre company performing plays in the heart of Dublin.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://takingliberties.tripod.com/"} +{"d:Title": "Kells Musical and Dramatic Society", "d:Description": "An amateur musical society based in Kells, Co. Meath. Stage a pantomime and musical each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.esatclear.ie/~brianoneill/"} +{"d:Title": "Athlone Musical Society", "d:Description": "Musical society that stage their shows in the Dean Crowe Theatre, Athlone.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://homepage.eircom.net/~flanagand/"} +{"d:Title": "Ennis Players", "d:Description": "Amateur drama society based in Ennis, County Clare. Information about the group, performances, news and joining.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.ennisplayers.com/"} +{"d:Title": "Leeson Park Players", "d:Description": "Dublin based amateur dramatics society - performing since 1933. Current and past productions and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://homepage.eircom.net/~leesonparkplayers/"} +{"d:Title": "Ennis Musical Society", "d:Description": "Amateur musical society based in Ennis, Co. Clare.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.ennismusicalsociety.com/"} +{"d:Title": "Woolly Sheep Productions", "d:Description": "A community based theatre company based in Clonmel, County Tipperary. Includes profiles of the writer and two principal players.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://homepage.eircom.net/%7Ewoollysheep/"} +{"d:Title": "Carrick-on-Suir Musical Society", "d:Description": "Amateur musical society based in Carrick-on-Suir in County Tipperary. Contains details on current and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.carrickmusicals.com/"} +{"d:Title": "Glengarriff Theatre Group", "d:Description": "Community theatre group located in west Cork, Ireland.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.gtg.ie/"} +{"d:Title": "Culwick Choral Society", "d:Description": "Choral society, founded in 1898, with members drawn from all over Dublin city and county.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.culwick.org/"} +{"d:Title": "Shannon Musical Society", "d:Description": "Shannon, Ireland. Community organization.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "https://www.facebook.com/Shannon-Musical-Society-123926296605/"} +{"d:Title": "Oyster Lane Theatre Group", "d:Description": "Amateur musical theatre group based in Wexford.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Ireland", "url": "http://www.oysterlane.com/"} +{"d:Title": "Tokyo International Players", "d:Description": "TIP has been delivering English-language community theatre, to Tokyo's foreign community, for over 100 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Japan", "url": "http://www.tokyoplayers.org/"} +{"d:Title": "New World Theatre Club", "d:Description": "English speaking theatre. Includes information on several productions and events of interest to English speaking audiences.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Luxembourg", "url": "http://www.nwtc.lu/"} +{"d:Title": "Venster", "d:Description": "Information and brief history of the Dutch group, as well as the list of plays performed. (Dutch, English)", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Netherlands", "url": "http://www.toneelgroepvenster.nl/"} +{"d:Title": "In Players", "d:Description": "English-speaking theatre company in Amsterdam. Productions, events, membership and newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Netherlands", "url": "http://www.inplayers.org/"} +{"d:Title": "Tanghalang Laguna", "d:Description": "Theatrical community-based organization based in San Pablo City, Laguna, Philippines. Gallery, production information and calendar of events", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Philippines", "url": "http://tanghalanglaguna.tripod.com/"} +{"d:Title": "The Algarvians", "d:Description": "Amateur dramatics experimental theatre company in the Algarve. Programme, photographs and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Portugal", "url": "http://valegrifo.com/algarveans/"} +{"d:Title": "Lisbon Players", "d:Description": "English language amateur drama group. Productions, auditions, history and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Portugal", "url": "http://www.lisbonplayers.com.pt/site/"} +{"d:Title": "Franklin Players Community Theatre Group", "d:Description": "Past and future productions and activities as well as general information about this amateur group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/South_Africa", "url": "http://franklin.org.za/"} +{"d:Title": "Geneva Amateur Operatic Society", "d:Description": "Claimed to be the largest amateur musical theatre group in Europe. Produce three to four stage productions each season, ranging from light opera and musicals, to Music Hall, Cabaret and English Pantomime, along with several evenings of light classical music and a choral group. Based in Geneva, Switzerland.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Switzerland", "url": "http://www.gaos.ch/"} +{"d:Title": "Village Players of Lausanne", "d:Description": "Based in Lausanne, this group with approximately 100 members, stages two productions yearly as well as monthly play readings in its own clubhouse.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Switzerland", "url": "http://www.villageplayers.ch/"} +{"d:Title": "Zurich Comedy Club", "d:Description": "English speaking amateur theater in Zurich. Events, news and membership information included.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Switzerland", "url": "http://www.zcc.ch/"} +{"d:Title": "Geneva English Drama Society", "d:Description": "Founded in 1933, this Geneva-based english-language theater organization stages three productions yearly along with fifteen to twenty \"lightly rehearsed\" play readings.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/Switzerland", "url": "http://www.geds.ch/"} +{"d:Title": "Altrincham Garrick Playhouse", "d:Description": "Amateur theatre in Manchester area. Information on the upcoming season and previous seasons.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.altrinchamgarrick.co.uk/"} +{"d:Title": "Chorley Little Theatre", "d:Description": "Based in Lancashire this venue hosts 7 shows a year including musicals. Information on current and past productions, map and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.chorleylittletheatre.com/"} +{"d:Title": "Concordia Theatre", "d:Description": "Independently run amateur theatre in Hinckley, Leicestershire. Hosts pantomime, opera, plays, musicals, dance and professional and corporate events. Details of bookings, future presentations, parking, and a map.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.concordiatheatre.co.uk/"} +{"d:Title": "Gladstone Theatre", "d:Description": "Wirral theatre presenting both professional and amateur shows. Includes information about current and coming productions, theatre hire, and the trust.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.gladstonetheatre.org.uk/"} +{"d:Title": "Tynemouth Priory Theatre", "d:Description": "[North Tyneside] Presents classic and new stage productions in its own 200 seat venue. Show and contact information along with photos, audition announcements, and membership details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.tynemouth-priory-theatre.com/"} +{"d:Title": "Kidz R Us Theatre Company", "d:Description": "Based in St. Ives, Cornwall. The site features information about the children's theatre company including past, present and future productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.kidzrus.net/"} +{"d:Title": "South Manchester Amateur Operatic Society", "d:Description": "Amateur society based in East Didsbury dedicated to putting on two productions of major musicals each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.smaos.org.uk/"} +{"d:Title": "Amdram", "d:Description": "A site for free information about amateur theatre, and what's on.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.amdram.co.uk/"} +{"d:Title": "Ad Lib Theatre Company", "d:Description": "Isle of Wight company producing one outdoor production each year: Past productions, profile and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.adlibtheatre.co.uk/"} +{"d:Title": "Addlestone Community Theatre", "d:Description": "An amateur group with adult and junior members that produces a pantomime, two plays and a variety show every year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.addlestone-community-theatre.org.uk/"} +{"d:Title": "Avalon Theatre Company", "d:Description": "Amateur theatre group based in Streatham, South London. The group specialises in musical productions, including light opera, and welcomes members of all ages.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.avalontheatrecompany.co.uk/"} +{"d:Title": "Basildon Players", "d:Description": "This group stage three productions a year ranging from comedy and pantomime to tragedy.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.basildonplayers.co.uk/"} +{"d:Title": "Beaconsfield Theatre Group", "d:Description": "Amateur dramatics group based in Beaconsfield, Buckinghamshire. The group usually puts on three shows per year and sometimes enters plays into local drama festivals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.btg-theatre.org/"} +{"d:Title": "Bench Theatre", "d:Description": "An amateur theatre company in Hampshire producing a wide range of high quality drama and specialising in classic and contemporary plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.amdram.co.uk/bench.htm"} +{"d:Title": "Blackmore Players", "d:Description": "Amateur theatre group based in Brentwood that performs four shows a year. Visit its current and past productions, social events, and bulletin board.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.blackmoreplayers.co.uk/"} +{"d:Title": "Bottisham Players", "d:Description": "Amateur dramatics group centred on Bottisham, Cambridgeshire that produces a pantomime and two plays every year. Access pictures of past productions and description of their current show.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.bottishamplayers.org.uk/"} +{"d:Title": "Broadbent Theatre", "d:Description": "The Broadbent Theatre is owned and run by amateur theatre company, The Lindsey Rural Players. Located in Wickenby, Lincolnshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.broadbent.org/"} +{"d:Title": "Chesham Youth Theatre", "d:Description": "A drama group for people between 11 and 18, serving Chesham, Buckinghamshire and the surrounding area. The group has weekly meetings and two main shows a year, and also offers workshops and theatre trips.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://cheshamyouththeatre.tripod.com/cyt.html"} +{"d:Title": "Chicken Shed Theatre Company", "d:Description": "Specializes in musicals, ballets, mime, and dance. Based in Southgate, North London.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.chickenshed.org.uk/"} +{"d:Title": "The Club Theatre Altrincham", "d:Description": "Amateur theatre in South Manchester. Site shows past productions, what's on and theatre news.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.clubtheatre.org.uk/"} +{"d:Title": "Derbyshire Ensemble", "d:Description": "Working with young and old people alike, this company produces a musical, an open-air concert, and a series of smaller concerts troughout the year in the area of Matlock, Derbyshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://derbyshire-ensemble.freeservers.com/"} +{"d:Title": "Dover Operatic and Dramatic Society", "d:Description": "Amateur group performing four main shows a year - drama, panto, operatic and next generation (youth) sections. Press and production archives, discussion forums and general information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.dods.org.uk/"} +{"d:Title": "The Geoffrey Whitworth Theatre", "d:Description": "Amateur theatre in Crayford, Kent. The theatre holds ten or eleven productions annually, each usually lasting for 8 nights.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.thegwt.org.uk/"} +{"d:Title": "Gravesend Theatre Guild", "d:Description": "An amateur dramatic and musical society presenting 6-8 productions per year and based in Northfleet, Kent.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.gravesendtheatreguild.org/"} +{"d:Title": "Greville Theatre Club", "d:Description": "A well known and well supported amateur drama group based in the north west corner of rural Essex.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.greville.org.uk/"} +{"d:Title": "Headgate Theatre", "d:Description": "This company, administered by Theatre Arts Action Trust, is based in Colchester, Essex. Access their rental and booking rates, current and past productions, and newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.headgatetheatre.co.uk/"} +{"d:Title": "Imperial College Union Dramatic Society", "d:Description": "Information about this London based society, productions, and members.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "https://www.union.ic.ac.uk/arts/dramsoc/"} +{"d:Title": "KDC Theatre", "d:Description": "A Central London based amateur theatre group dedicated to giving Londoners the chance to put on and perform plays. The group offers three themed seasons a year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.kdctheatre.com/"} +{"d:Title": "The Kelvin Players", "d:Description": "Large drama group based in Bristol, England, with own studio premises. Non-professional company, with several productions during each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.kelvinplayers.co.uk/"} +{"d:Title": "Kings Langley Light Opera Company", "d:Description": "Amateur group that performs up to two shows a year, mainly musicals. Based in Kings Langley, Herts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.klloc.co.uk/"} +{"d:Title": "Lane Theatre Newquay", "d:Description": "Offers a mix of productions. What's on and how to book. Located in Newquay, Cornwall.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.lanetheatre.co.uk/"} +{"d:Title": "Little Theatre Company", "d:Description": "Live theatre in the heart of Burton upon Trent by Little Theatre Company, a major user of the Brewhouse Arts Centre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.little-theatre.co.uk/"} +{"d:Title": "Manchester Universities G&S Society", "d:Description": "MUGSS has members from all of the universities in Manchester, and produces a Gilbert and Sullivan show each March.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.mugss.org/"} +{"d:Title": "Network Theatre", "d:Description": "Amateur theatre company based in the heart of London, underneath Waterloo Station.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.networktheatre.org/"} +{"d:Title": "New Stagers Theatre Club", "d:Description": "An amateur group based in Wandsworth, London. The group produces 3 plays and a pantomime each year, and welcomes anyone with an interest in any aspect of theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.newstagers.co.uk/"} +{"d:Title": "Newton Abbot&District Musical Comedy Society", "d:Description": "Newton Abbot, Devon based group producing a musical and a pantomime each year. The group also has a junior society which puts on one production a year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.nadmcs.org/"} +{"d:Title": "The Nomad Theatre", "d:Description": "Theatre group based in East Horsley, Surrey. The site contains information on current and future productions, the classes and groups run by the company, and the history of the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.nomadtheatre.com/"} +{"d:Title": "Pewsey Vale Amateur Dramatic Society", "d:Description": "Based in Pewsey Wiltshire, this society performs shows, pantomimes, cabarets, plays and musicals. Includes pictures from the shows, information on how to join the society, and theatrical links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.pvads.net/"} +{"d:Title": "Phoenix Theatre Company", "d:Description": "An amateur company presenting musicals in the Southampton area of England. Visit its past and current shows, contact information, and local and theatre links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.phoenixtheatre.co.uk/newh/index2.htm"} +{"d:Title": "Pintsize Theatre Company", "d:Description": "A non-profit community theatre group performing in the East Midlands. The group's philosophy is one of reflecting local issues though theatre and film.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.pintsizetheatre.co.uk/"} +{"d:Title": "Playgoers' Society of Dartington Hall", "d:Description": "Amateur theatre group in South Devon, performing regularly at Dartington Hall, Totnes.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.playgoers.co.uk/"} +{"d:Title": "Putney Arts Theatre", "d:Description": "Community theatre based in Putney, south-west London, home to two amateur theatre companies: Putney Theatre Company and Group 64 Youth Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.putneyartstheatre.org.uk/"} +{"d:Title": "The Questors Theatre", "d:Description": "Based in Ealing, London, this is the largest community theatre in Europe, staging around 20 productions a year, a variety of courses and workshops, and a regular programme of visiting companies.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.questors.org.uk/"} +{"d:Title": "Rainham Theatrical Society", "d:Description": "An amateur dramatic society based in Rainham, Kent, staging six main productions a year and actively participating in community events and drama festivals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.oasthousetheatre.f9.co.uk/"} +{"d:Title": "Ringwood Musical and Dramatic Society", "d:Description": "An amateur Musical Society that performs musicals, concerts, plays and revues. Based in Ringwood, Hampshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.rmds.org.uk/"} +{"d:Title": "Riverside Players", "d:Description": "Amateur dramatics group based in Old Windsor, Berkshire, specializing in musical, drama, and pantomime.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.riversideplayers.com/"} +{"d:Title": "Rugby Theatre", "d:Description": "An amateur society which owns its own 313 seat theatre. It puts on ten live shows each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.rugbytheatre.co.uk/"} +{"d:Title": "South London Theatre", "d:Description": "Amateur theatre company producing 22 shows per year in two theatre spaces.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.southlondontheatre.co.uk/"} +{"d:Title": "Southwold and Aldeburgh Theatre", "d:Description": "Based in Suffolk. Information on past and upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.southwoldtheatre.org/"} +{"d:Title": "Spot On! Amateur Productions", "d:Description": "Skipton. New prforming group formed by two young theatre enthusiasts. Information on past and coming performances, photos, press releases, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.angelfire.com/musicals/spoton/"} +{"d:Title": "Stirling and Bridge of Allan Operatic Society", "d:Description": "Amateur musical society based in Stirling, Scotland. Shows, club news and social events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.sabos.co.uk/"} +{"d:Title": "Theatre484", "d:Description": "Company set up to create plays in just 484 hours.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.angelfire.com/musicals/t484/"} +{"d:Title": "Tickenham Drama Group", "d:Description": "Details on the activities of Tickenham Drama Group, a North Somerset based amateur dramatic group. Information on future shows and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.tickenhamdrama.org.uk/"} +{"d:Title": "Tyldesley Little Theatre", "d:Description": "Amateur dramatic society based in Tyldesley, providing drama, comedy and pantomime to the local community in Lancashire, Cheshire and the North West of England.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.users.waitrose.com/~tyllittheatre"} +{"d:Title": "Westfield Productions", "d:Description": "An amateur dramatics company based in Southampton, Hampshire. The group performs an annual pantomime and a summer show.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.westfieldproductions.co.uk/"} +{"d:Title": "Woodford Operatic and Dramatic Society", "d:Description": "Details of the group's shows, which are usually at the Kenneth More Theatre in Ilford, Essex. Site also includes membership information and reviews of past events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.woads.co.uk/"} +{"d:Title": "Woodhouse Players", "d:Description": "An amateur drama group based in Leytonstone, East London, staging four or more productions per year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.woodhouseplayers.co.uk/"} +{"d:Title": "Woodley Theatre", "d:Description": "Amateur theatre group with their own 100-seat theatre in Woodley, near Reading. They average six productions a year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.woodleytheatre.org/"} +{"d:Title": "Youth Action Theatre", "d:Description": "Group based in London, providing an opportunity for any young person, in the age range 16 to 25 years, to acquire or enhance their acting or allied theatrical skills by participation in drama workshops and on-stage performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.yat.org.uk/"} +{"d:Title": "Sainsbury Singers", "d:Description": "Amateur theatre company based in Reading, in the Thames Valley, that produce musical productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.sainsburysingers.org.uk/"} +{"d:Title": "Chesham Musical Theatre Company", "d:Description": "Performs musicals, Gilbert and Sullivan, light opera and old time music hall at least twice a year in Chesham, Buckinghamshire. Includes a gallery of photos from past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.cheshammusicaltheatre.co.uk/"} +{"d:Title": "Forest Musical Productions", "d:Description": "Amateur musical company which performs in Ilford. Contains information about current shows as well as social events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.forestmusical.co.uk/"} +{"d:Title": "Ottershaw Players", "d:Description": "Amateur theatre society based in Ottershaw, Surrey. Membership information and details of forthcoming plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://ottershawplayers.co.uk/"} +{"d:Title": "The Company", "d:Description": "Based in Sheffield. Includes information on past productions and on the group's history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.thecompanysheffield.co.uk/"} +{"d:Title": "Proscenium", "d:Description": "An amateur theatre company, founded in 1924, continues to present both classical and contemporary plays to as wide an audience as possible. Based in Harrow, London.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.proscenium.org.uk/"} +{"d:Title": "Stourbridge Amateur Operatic Society", "d:Description": "Performs operettas and modern musicals in Stourbridge, West Midlands.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.stourbridge-operatic.co.uk/"} +{"d:Title": "Erith Playhouse", "d:Description": "A non-professional repertory theatre sited on the Thames in the London Borough of Bexley. Site features current productions, theatre history and general information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.playhouse.org.uk/"} +{"d:Title": "St. Giles Pantomime Society, Pontefract", "d:Description": "A community pantomime society established by the Vicar of St.Giles Church in 1949, bringing quality theatrical entertainment and fellowship to the West Yorkshire area. Includes their history and newsletters.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.stgilespanto.co.uk/"} +{"d:Title": "Banner Theatre", "d:Description": "A long-established community theatre company, using drama, music, song, back-projected still and moving images and audio recordings as central elements in its touring productions. Based in Birmingham.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.bannertheatre.co.uk/"} +{"d:Title": "Leeds Combined Arts (LCA)", "d:Description": "Based in Leeds, this company aims to bring theatre to schools and centres through the use of workshops, enable people with learning difficulties to experience the arts, and promote a sense of involvement and enjoyment of theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.leedscombinedarts.org.uk/"} +{"d:Title": "Havering Music Makers", "d:Description": "An amateur theatre group performing musicals and other shows at the Queen's Theatre, Hornchurch, Essex.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.haveringmusicmakers.co.uk/"} +{"d:Title": "Kelvedon Players", "d:Description": "An amateur dramatic society that stage pantomimes, dramas and musicals. With three or four productions each year. Based in Kelvedon, Essex.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.kelvedonplayers.co.uk/"} +{"d:Title": "Brantham Amateur Theatrical Society", "d:Description": "Based in Brantham, Suffolk, this group performs musicals and plays, as well as hosting an annual play festival and operating two workshops for younger members.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.batsonline.com/"} +{"d:Title": "Chesham Theatre Company", "d:Description": "Theatre group based in Chesham, Buckinghamshire. Includes profile, information on past and future events, news and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.cheshamtheatrecompany.org.uk/"} +{"d:Title": "Cody Farnborough Amateur Operatic Society", "d:Description": "One of the premier \"non-professional\" musical theatre groups in the North Hampshire area, performing 2 major musicals each year at the professional venue the Princes Hall in Aldershot.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.cfaos.co.uk/"} +{"d:Title": "Panda Players", "d:Description": "Amateur theatre company in Amersham and Chesham, Buckinghamshire. Lists next show, previous shows, costume hire, concert group and some photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.pandaplayers.co.uk/"} +{"d:Title": "Chipstead Players Theatre Company", "d:Description": "The Chipstead Players located in Surrey. Interviews, articles, season information and photographs page.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.chipsteadplayers.org/"} +{"d:Title": "The Rickmansworth Players", "d:Description": "Local amateur society who perform a play and a musical each year. Membership, society, and production information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.rickmansworth-players.org.uk/"} +{"d:Title": "The Wranglers Theatre Company", "d:Description": "Plymouth based amateur theatre company which produces up to four shows a year. Details of productions and information for potential new members.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.thewranglers.co.uk/"} +{"d:Title": "Exeter Drama Company", "d:Description": "An amateur drama company based in Exeter, Devon, presenting four productions a year. Details of forthcoming and past plays, news and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.exeterdramacompany.co.uk/"} +{"d:Title": "Summerseat Players", "d:Description": "A company in Ramsbottom, near Manchester, providing details of current and future productions at the Theatre Royal. Also offers a youth theatre program.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.summerseatplayers.co.uk/"} +{"d:Title": "Canterbury Players", "d:Description": "Amateur dramatics group playing at venues in the Canterbury area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.thecanterburyplayers.co.uk/"} +{"d:Title": "Albrighton Players", "d:Description": "Amateur drama group in Albrighton, Wolverhampton. Details past productions, future productions, group history and how to get involved.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.albrightonplayers.org.uk/"} +{"d:Title": "Mere Amateur Dramatic Society", "d:Description": "Also incorporates the literary festival. Meeting dates, history of the society, details of past and future productions. Cheshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.mereamdram.co.uk/"} +{"d:Title": "Sounds Musical Theatre Company", "d:Description": "One of Plymouth's oldest amateur theatre companies. Features upcoming shows, a list of previous productions and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.soundsmusicaltheatrecompany.co.uk/"} +{"d:Title": "Teddington Theatre Club", "d:Description": "The group puts on plays of all types: modern, classics, British, foreign, comedies, tragedies, musicals and dramas. Information on current and future productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.teddingtontheatreclub.org.uk/"} +{"d:Title": "The Worthy Players", "d:Description": "An amateur dramatic society based near Winchester, Hampshire. Various information is available on past shows and forthcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.theworthyplayers.co.uk/"} +{"d:Title": "The Kingswood Players", "d:Description": "A theatre group in Bristol who stage three productions annually. Lists current and historical information on their activities, updated weekly.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.kingswoodplayers.org/"} +{"d:Title": "The Edward Alderton Theatre", "d:Description": "A non-professional theatre company in Bexleyheath, Kent.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.edwardalderton.org/"} +{"d:Title": "Nottingham Arts Theatre", "d:Description": "Over 250 volunteers produce and perform in the 10-show season. Information on current and past productions, map and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.nottingham-theatre.co.uk/"} +{"d:Title": "Quarndon Amateur Dramatic Society (QUADS)", "d:Description": "Amateur dramatic society which puts on two plays per year in Quarndon, Near Derby, Derbyshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.quarndonquads.co.uk/"} +{"d:Title": "Godalming Operatic Society", "d:Description": "An amateur operatic society, based in Godalming, Surrey, UK, performing mainly the works of Gilbert and Sullivan. Lists upcoming performances with a gallery of past events, and ticket details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.godalmingoperatic.org.uk/"} +{"d:Title": "Nailsea Musicals", "d:Description": "Amateur musical society based in Nailsea, North Somerset. Details on current, future and past Productions, juniors and adults sections.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.nailseamusicals.org.uk/"} +{"d:Title": "Hersham Amateur Operatic&Dramatic Society", "d:Description": "Stages bi-annual productions, and includes news, information about memberships, props, costumes, upcoming and past performances, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.haods.co.uk/"} +{"d:Title": "Celesta Players", "d:Description": "Amateur dramatic society based in Manchester. Performs several shows each year and has an active youth group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.celestaplayers.co.uk/"} +{"d:Title": "Kentish Players", "d:Description": "Theatre company specializing in musical theatre producing two major productions a year. Includes history, photos, reviews, production, and contact information. Based in Rochester, Kent.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.kentishplayers.com/"} +{"d:Title": "Whitefield Garrick Society", "d:Description": "Amateur company located in South Bury, near Manchester. Produces five plays each year in their own thrust stage theatre. Includes photos and information about how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.whitefieldgarrick.org/"} +{"d:Title": "Wilmslow Green Room Theatre Society", "d:Description": "An amateur society that performs 5 times a year, and annually on the lawns of Gawsworth Hall. Information on past and future events, photographs and a newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.wgrsoc.org.uk/"} +{"d:Title": "Foursight Theatre", "d:Description": "A women's theatre company based in Wolverhampton. The company is committed to creating 'total theatre' - a holistic style of performance rooted in physical theatre, combining word, movement and music in equal measure.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.foursighttheatre.co.uk/"} +{"d:Title": "Grayshott Stagers", "d:Description": "Based in Hampshire. Information about their previous shows and other diary dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.legat.clara.net/"} +{"d:Title": "Otley Community Players", "d:Description": "An amateur community theatre group in Otley, West Yorkshire. Information about productions, past and current, membership, rehearsal schedule, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://sites.google.com/site/otleycommunityplayers2/"} +{"d:Title": "Godalming Theatre Group", "d:Description": "A community theatre company in Surrey presenting musicals, pantomime and a youth theatre with classwork in acting, singing and dance.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.godalmingtheatregroup.webeden.co.uk/"} +{"d:Title": "Alnwick Stage Musical Society", "d:Description": "History, performances, galleries and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.alnwickstagemusical.co.uk/"} +{"d:Title": "Amateur Players of Sherborne", "d:Description": "Amateur society situated in North Dorset. They perform four plays a year and have strong links with an amateur dramatic group in Greece.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.aps-sherborne.co.uk/"} +{"d:Title": "Adlington Music and Arts Society", "d:Description": "Amateur dramatic and operatic society based in Chorley, Lancashire. Details of future and previous productions, social events and how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.adlingtonmusicandarts.com/"} +{"d:Title": "Centre Stage", "d:Description": "Amateur musical theatre company based in central London, performing two shows per year. The group rehearses mainly in Camden Town.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://sites.google.com/site/centrestagelondon/"} +{"d:Title": "Knutsford Musical Theatre Company", "d:Description": "Amateur musical theatre company in Knutsford. Information on current and previous shows and background on the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.kmtc.org.uk/"} +{"d:Title": "Barton Theatre Company", "d:Description": "Eccles, Manchester. Amateur theatre company producing three to four shows each year for the local community. Information on the current and season productions, history, ticket, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.bartontheatre.co.uk/"} +{"d:Title": "Act One Theatre Group", "d:Description": "Amateur group in Withington, Manchester. Productions, news and gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.act1theatregroup.co.uk/"} +{"d:Title": "Halifax Playhouse", "d:Description": "An amateur theatre in Halifax, West Yorkshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.halifaxplayhouse.org.uk/"} +{"d:Title": "Acta Community Theatre", "d:Description": "A community theatre company, providing arts opportunities to excluded communities in the Bristol area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.acta-bristol.com/"} +{"d:Title": "The Leckhampton Players", "d:Description": "News. Upcoming productions. History of the theatre group. Joining details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.leckhamptonplayers.com/"} +{"d:Title": "Pinner Players", "d:Description": "Amateur dramatics group based in Pinner, Middlesex.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.pinnerplayers.com/"} +{"d:Title": "Gloc Musical Theatre", "d:Description": "West London based non-professional musical theatre company, specialising in the performance of contemporary musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.glocmt.co.uk/"} +{"d:Title": "Harrogate St. Andrew's Players", "d:Description": "An amateur dramatics society based in Harrogate, performing two shows a year. Photo gallery and information on past and future productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.hsap.co.uk/"} +{"d:Title": "Louth Playgoers Riverhead Theatre", "d:Description": "A long standing amateur dramatics company dedicated to bringing productions of a professional standard to Louth and North East Lincolnshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.louthplaygoers.com/"} +{"d:Title": "Urmston Musical Theatre", "d:Description": "The group puts on musical shows in Trafford, Manchester. Booking information and details of productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.umt.org.uk/"} +{"d:Title": "Dunstable Rep Theatre Club", "d:Description": "This community theatre offers a look at its current season, how to join, and photos from previous shows. Access their webcam.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.littletheatre.org.uk/"} +{"d:Title": "Brighton Little Theatre", "d:Description": "An amateur theatre company based in Brighton, staging between ten and twelve productions a year. Booking information and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.brightonlittletheatre.com/"} +{"d:Title": "St. Albans Musical Theatre Company", "d:Description": "Amateur operatic and dramatic society based in St. Albans, Hertfordshire. Productions range from Gilbert and Sullivan, to high opera, via the popular West End and Broadway musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.samtc.org.uk/"} +{"d:Title": "The Lissenden Players", "d:Description": "Traditional music hall company performing in Highgate, North London and elsewhere on request. Upcoming shows, photos, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.derekmarcus.plus.com/lp/lp.htm"} +{"d:Title": "CHaPs Drama Group", "d:Description": "An amateur theatre group based in the Cadbury Heath area of Bristol.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.chapsdrama.com/"} +{"d:Title": "The Curtain Theatre", "d:Description": "An amateur theatre group in Rochdale, producing five shows per year. Includes information on current and future productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.curtaintheatrerochdale.co.uk/"} +{"d:Title": "Bishop Auckland Theatre Society", "d:Description": "A theatre group based in the North East, performing at least twice a year. Information on past and forthcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.bishopaucklandtheatresociety.co.uk/"} +{"d:Title": "The Hawth Theatre Crawley", "d:Description": "Offers diverse programmes of arts, entertainments and events in the South.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.hawth.co.uk/"} +{"d:Title": "Durham Shakespeare Group", "d:Description": "Amateur group from Durham that can be hired for a small charge to perform a play at evening events. Photos and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "https://www.facebook.com/DurhamShakespeareGroup"} +{"d:Title": "Coleshill Operatic Society", "d:Description": "Based in the West Midlands. Includes details of past productions, and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/England", "url": "http://www.coleshilloperaticsociety.co.uk/"} +{"d:Title": "Ballywillan Drama Group", "d:Description": "Society based in Portrush. News, history, productions and information on panto, musicals and drama.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Northern_Ireland", "url": "http://www.ballywillan.com/"} +{"d:Title": "Gateway Theatre Group", "d:Description": "Amateur drama society based in Portadown staging four shows per year including a pantomime. Includes history, plus details of forthcoming and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Northern_Ireland", "url": "https://gatewaytheatre.wordpress.com/"} +{"d:Title": "East Kilbride Rep Theatre Club", "d:Description": "Amateur theatre group based in East Kilbride. Performs five plays, one pantomime and a one-act festival annually.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.ek-rep.org.uk/"} +{"d:Title": "The Cecilian Society", "d:Description": "Based at the University of Glasgow. Photos and information about rehearsals and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.ceciliansociety.co.uk/"} +{"d:Title": "Stirling and Bridge Of Allan Operatic Society", "d:Description": "Amateur musical society based in Stirling. Information on auditions and upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.sabos.co.uk/"} +{"d:Title": "Kirkintilloch Players", "d:Description": "Amateur acting group based in Kirkintilloch, near Glasgow. Show news and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.kirkintillochplayers.co.uk/"} +{"d:Title": "Carnoustie Theatre Club", "d:Description": "Information on forthcoming shows, tickets, photo album from past events, discussion of amateur drama.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.dibbletree.com/"} +{"d:Title": "Theatre South Productions", "d:Description": "Drama club in Glasgow who stage professional-standard musical productions. Lists upcoming productions and location map.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.theatresouthproductions.co.uk/"} +{"d:Title": "LAMP - Linlithgow Amateur Musical Productions", "d:Description": "Amateur theatre for adults presenting musicals, with a company for children as well.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.linlithgow-musicals.co.uk/"} +{"d:Title": "Nairn Drama Club", "d:Description": "Lists shows in the pipeline, news and company history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.nairndrama.org.uk/"} +{"d:Title": "Rutherglen Repertory Theatre", "d:Description": "A community drama group based in Cathkin High School Theatre, providing entertainment for the people of Rutherglen and Cambuslang. Details of productions and information for potential new members.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.rutherglenrep.org.uk/"} +{"d:Title": "Lyric Club", "d:Description": "Amateur theatre club who perform a show each year at the Kings Theatre, Glasgow.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://lyricclub.net/"} +{"d:Title": "Kirkcaldy Amateur Operatic Society", "d:Description": "Stages two productions a year in the Adam Smith Theatre in Kirkaldy. Includes details of shows, news and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.visitkaos.co.uk/"} +{"d:Title": "Largs Players", "d:Description": "An amateur dramatics group based in Largs, Ayrshire. Information regarding past, present and future shows and box office.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://www.largsplayers.co.uk/"} +{"d:Title": "Theatre Guild Glasgow", "d:Description": "One of Glasgow's most highly respected amateur musical companies performing in city centre venues since 1960. Famous for high standards and a friendly welcome.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Scotland", "url": "http://theatreguildglasgow.co.uk/"} +{"d:Title": "Concept Players", "d:Description": "Amateur society based in south-east Wales, staging several productions a year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Wales", "url": "http://www.concept-players.com/"} +{"d:Title": "Cardiff Players", "d:Description": "Based in Cardiff, this group stages five major productions a year, and occasionally participates in one-act competitions and other shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Wales", "url": "http://cardiffplayers.org/"} +{"d:Title": "Telstars Theatre Company", "d:Description": "Theatre group based in Cardiff. Information on past and future productions, plus photo galleries and a history of the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Wales", "url": "http://www.telstarstheatrecompany.co.uk/"} +{"d:Title": "Dylan Thomas Theatre", "d:Description": "Home to the Swansea Little Theatre Company. Bookings, contacts and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Wales", "url": "http://www.dylanthomastheatre.org.uk/"} +{"d:Title": "Theatr Fach", "d:Description": "Based in Dolgellau, this theatre society presents plays, poetry and prose readings and hosts professional theatre companies and live music.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_Kingdom/Wales", "url": "http://www.theatrfach.co.uk/"} +{"d:Title": "American Association of Community Theatre", "d:Description": "Information about events sponsored by the AACT, including a complete directory of hundreds of member organizations.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States", "url": "http://www.aact.org/"} +{"d:Title": "The Theatre Pages", "d:Description": "A site of community theater resources for Maryland, Virginia and the DC Metropolitan area. Includes audition notices, links to area theaters, resources, an events calendar and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States", "url": "http://www.srbnet.com/"} +{"d:Title": "Park Players Community Theatre", "d:Description": "Park Players is an outdoor community theatre organization dedicated to the performance of quality plays, including the works of William Shakespeare. Located in the heart of Birmingham Alabama.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Alabama", "url": "http://www.bhamparkplayers.com/"} +{"d:Title": "ACTA Theatre", "d:Description": "Community theatre in Trussville, offering family entertainment, children's acting classes and workshops. Information on the current season, tickets, education, and past performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Alabama", "url": "http://www.actatheater.com/"} +{"d:Title": "Southeast Alabama Community Theatre", "d:Description": "Dothan. Community theatre group founded in 1974 which stages three to four major productions each year and also sponsors a children's theatre camp in the summer. Information about the current season, photos, tickets, and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Alabama", "url": "http://seact8.wixsite.com/seact"} +{"d:Title": "Alaska Junior Theater", "d:Description": "Anchorage. Offers a diverse selection of theater experiences accompanied by a strong educational component. Includes performances, season schedule, sponsors, and field trips.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Alaska", "url": "http://www.akjt.org/"} +{"d:Title": "Alaska Theatre of Youth", "d:Description": "Anchorage. Offers theatrical training workshops, Summer Theatrical Conservatory, and tours to rural Alaskan communities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Alaska", "url": "http://www.alaskatheatreofyouth.org/"} +{"d:Title": "Anchorage Community Theatre", "d:Description": "Anchorage. Auditions, volunteers, classes, camps, show schedules, ticket information, photos, and theatre history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Alaska", "url": "http://www.actalaska.org/"} +{"d:Title": "Cyrano's Theatre Company", "d:Description": "Anchorage. Resident company at Cyrano's Off Center Playhouse. Provides tickets and reservations for current production, membership information, upcoming attractions and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Alaska", "url": "http://www.cyranos.org/"} +{"d:Title": "Theater Works", "d:Description": "Peoria. Offers a full range of live theatrical productions, workshops and classes for adults and children of all ages. Information on current and future productions, children's theatre, auditions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arizona", "url": "http://www.theaterworks.org/"} +{"d:Title": "Fountain Hills Community Theater", "d:Description": "Amateur company producing quality theater and workshops. Information about the group, shows, a theatre forum, directions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arizona", "url": "http://www.fountainhillstheater.com/"} +{"d:Title": "Mesa Encore Theatre", "d:Description": "A non-profit organization providing a theatre experience to inspire,educate and entertain the community. Season, audition, and ticket information along with directions and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arizona", "url": "http://www.mesaencoretheatre.com/"} +{"d:Title": "Theatrikos Theater Company", "d:Description": "Flagstaff AZ. Production calendar, audition opportunities and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arizona", "url": "http://www.theatrikos.com/"} +{"d:Title": "The Alternative Theatre Company", "d:Description": "Phoenix, AZ. Arizona's only Gay and Lesbian Theatre since 1994.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arizona", "url": "http://www.alternativetheatreco.org/"} +{"d:Title": "Twin Lakes Playhouse", "d:Description": "Located in Mountain Home Arkansas. Includes schedules, photos, articles, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arkansas", "url": "http://www.twinlakesplayhouse.org/"} +{"d:Title": "Weekend Theater", "d:Description": "A small black box community theater in Little Rock, Arkansas. Current show, schedule of upcoming shows, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arkansas", "url": "http://www.weekendtheater.org/"} +{"d:Title": "Fort Smith Little Theatre", "d:Description": "A volunteer non-profit organization performing drama and musicals. Details on the current production as well as coming attractions, auditions, ticket and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Arkansas", "url": "http://www.fslt.org/"} +{"d:Title": "Music West, Inc.", "d:Description": "Calendar of current and future productions, ticket information, and a FAQs page.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.musicwest.org/"} +{"d:Title": "Musical Theatre West", "d:Description": "Dedicated to preserving musical theatre as a unique American Art form and enriching the cultural life of Southern California through the presentation of established musicals, the development of new works, and the expansion of the Youth Cultural Enrichment Program.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.musical.org/"} +{"d:Title": "Masquers Playhouse", "d:Description": "Point Richmond. Season schedule, contact information, and ticket information available.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.masquers.org/"} +{"d:Title": "The Sierra Madre Playhouse", "d:Description": "All about the Sierra Madre Playhouse, a live, family oriented community theater, now in its 20th season. Offering comedies, mysteries and dramas, in a delightfully friendly setting. Please go to our web page to read about the current show, and more information about our theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.sierramadreplayhouse.org/"} +{"d:Title": "West Valley Light Opera", "d:Description": "Community theatre group that performs three musicals per year at the Saratoga Civic Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.wvlo.org/"} +{"d:Title": "Roger Rocka's Dinner Theatre", "d:Description": "Located in Fresno's historic Tower District, Roger Rocka's dinner theater features the Good Company Players in theatrical performances and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://tower2000.com/rockas-gcp/musichall.html"} +{"d:Title": "Lamplighters Music Theatre", "d:Description": "San Francisco, CA. Two fully-staged light operas or musicals a year, plus two semi-staged or concert productions. Schedule and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.lamplighters.org/"} +{"d:Title": "Culver City Public Theatre", "d:Description": "Resident theatre company of Dr. Paul Carlson Memorial Park in historic Culver City, California. Founded in 1998. Presents free, outdoor theatre with a classical flavor, on weekend afternoons throughout the summer months of July and August.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://ccpt.org/"} +{"d:Title": "Kentwood Players Community Theatre", "d:Description": "Since 1950, located in Westchester, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://kentwoodplayers.org/"} +{"d:Title": "Vacaville High School Drama/Thespian Club", "d:Description": "Drama club of the Vacaville High School. Current and past productions and some links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://members.tripod.com/dramaclub00/"} +{"d:Title": "Northside Theatre Company", "d:Description": "San Jose, CA. Several shows a year, including one Shakespeare play and an annual production of \"A Christmas Carol\". Site has schedule and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.northsidetheatre.com/"} +{"d:Title": "Stanford Savoyards", "d:Description": "Palo Alto, CA. Produce two Gilbert and Sullivan operettas each year. Schedule and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.stanford.edu/group/savoyards/"} +{"d:Title": "Hillbarn Theatre", "d:Description": "Foster City. Offers both mainstage and chamber-theater productions. Information about the group, tickets, current season, and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.hillbarntheatre.org/"} +{"d:Title": "Temecula Performing Arts Company Homepage", "d:Description": "Non-profit community theatre organization, providing performance opportunities and musical theatre workshops for the youth of the Temecula Valley.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.broadwayboundonline.com/"} +{"d:Title": "Theatre West", "d:Description": "an internationally acclaimed non profit arts organization in North Hollywood", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.theatrewest.org/"} +{"d:Title": "Community Light Opera and Theatre Association", "d:Description": "Ridgecrest. Produces a season of musicals and non-musicals dinner theatre, and poetry readings. Photographs, audition information, group history, current and past productions, and membership details are included.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://ridgecrest-arts.org/CLOTA.html"} +{"d:Title": "Stagelight Family Productions", "d:Description": "Youth production company serving the communities of Brea, Fullerton and Yorba Linda, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.stagelightproductions.com/"} +{"d:Title": "Another Theatre Company", "d:Description": "An amateur theatre company based in Antioch. Information on the current season, tickets, photographs, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.angelfire.com/ca6/atc/"} +{"d:Title": "Scripps Ranch Theatre Website", "d:Description": "Provides information about past, present and future stage performances produced within the theatre located on the USIU campus in San Diego.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.scrippsranchtheatre.org/"} +{"d:Title": "The Unusual Suspects", "d:Description": "A theatre company bringing the theatre arts to at-risk teens. Based in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.theunusualsuspects.org/"} +{"d:Title": "Lakewood Theater Guild", "d:Description": "Produces spectacles, dramas, musicals, and comedies. Contact information and past performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.angelfire.com/musicals/lakewood/"} +{"d:Title": "Towne Street Theatre", "d:Description": "Los Angeles' Premiere African-American Theatre Company", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.townestreet.org/"} +{"d:Title": "Coastal Repertory Theatre", "d:Description": "Founded in 1986 to bring quality theater and entertainment to Half Moon Bay and the San Francisco Bay Area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.coastalrep.com/"} +{"d:Title": "North Coast Repertory Theatre", "d:Description": "Small-scale plays and musicals. Lists the current productions, ticket and membership information, and volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.northcoastrep.org/"} +{"d:Title": "Vallejo Music Theatre", "d:Description": "Produces two musicals each year along with concert, cabaret and other events. Information about current and past productions, auditions, group history, directions, contact details, and sponsorship.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.vallejomusictheatre.org/"} +{"d:Title": "Community Actors Theatre", "d:Description": "Non-profit all-volunteer theatrical troupe started in 1982. Provides a creative outlet for African-American playwrights and actors in the Oak Park region. Show and ticket information, workshop, news, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.communityactorstheatre.com/"} +{"d:Title": "Ziggurat Theatre Ensemble", "d:Description": "Creates performances inspired by world myths and explores ritual as a dramatic form. List of current and past productions with photos, cast and crew biographies, awards, events, and information on how to get involved.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.ziggurattheatre.org/"} +{"d:Title": "Chico Theater Company", "d:Description": "A non-profit musical theater group based in Chico. Season schedule, ticket reservation and group information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.chicotheatercompany.com/"} +{"d:Title": "Canyon Theatre Guild", "d:Description": "Current and upcoming shows, auditions, and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.canyontheatre.org/"} +{"d:Title": "Inland Theatre League", "d:Description": "Started in 1975, dedicated to supporting almost 50 community theatres in Southern California.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.inlandtheatre.org/"} +{"d:Title": "Yorba Linda Spotlight Theater Company", "d:Description": "Dedicated to education in the performing arts. Summer youth productions and instruction workshops for children and teens 8-18 years old.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.ylspotlight.org/"} +{"d:Title": "Morgan Wixson Theatre", "d:Description": "This is the home of the Santa Monica Theatre Guild (founded 1946) and Rainbow Factory. The Guild produces plays and musicals. Rainbow Factory is a teen troupe.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://morgan-wixson.org/"} +{"d:Title": "TrapDoor Ensemble", "d:Description": "Based in Los Angeles, TrapDoor Ensemble creates quality theatrical performances utilizing the talents of dedicated and committed individuals from the community.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.trapdoorensemble.org/"} +{"d:Title": "Pinole Community Players", "d:Description": "Non-profit community theater organization in the East San Francisco Bay Area community of Pinole. Audition information, past, current and upcoming production information, and a history of the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.pinoleplayers.org/"} +{"d:Title": "Golden Chain Theatre", "d:Description": "Near Yosemite National Park in Oakhurst, CA. Season ticket information, schedules and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.goldenchaintheatre.org/"} +{"d:Title": "Theatre on the Ridge", "d:Description": "Group located in Butte County. Includes season schedule, news and photos of current show.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.totr.org/"} +{"d:Title": "No Square Theatre", "d:Description": "A not-for-profit organization in Laguna Beach, providing performance and theatrical experience to local amateur performers, directors and technical staff of all ages.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.nosquare.org/"} +{"d:Title": "Orange County Children's Theatre", "d:Description": "OCCT, Est. in 1969 is OC's Premier Children's Theater engaging actors, ages 8 thru 19 years old, in community Theater with the emphasis on education and family involvement.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.occt.org/"} +{"d:Title": "Virago Theatre of Alameda", "d:Description": "Alameda, California. Current production, information about the company and opportunities to help.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://viragotheatre.org/"} +{"d:Title": "OnStage Playhouse", "d:Description": "Chula Vista, CA. Season schedule and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.onstageplayhouse.org/"} +{"d:Title": "The Acting Company", "d:Description": "Yuba City, CA. Upcoming shows and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.actingcompany.org/"} +{"d:Title": "Novato Theater Company", "d:Description": "Complete information about the Novato Community Players including current, future and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.novatotheatercompany.org/"} +{"d:Title": "Redlands Footlighters Theatre", "d:Description": "A community theatre located in Redlands that has been in operation since 1945.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.redlandsfootlighters.org/"} +{"d:Title": "The Sea Ranch Thespians", "d:Description": "Amateur community theater organization that performs in a 125-year-old barn at The Sea Ranch, northern California.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.searanchthespians.com/"} +{"d:Title": "Santa Barbara Revels", "d:Description": "Revels in celebration of the Winter Solstice, featuring traditions of Medieval and Renaissance England, in Santa Barbara, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://santabarbararevels.org/index.html"} +{"d:Title": "Los Altos Stage Company", "d:Description": "Los Altos. Community theatre in an intimate setting. Information about the current, past, and upcoming seasons, tickets, and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://losaltosstage.org/"} +{"d:Title": "Throckmorton Theatre", "d:Description": "Live entertainment including dramatic and musical theatre, comedy performances, jazz, classical concerts, cabaret,dance performances, film presentations, lectures, and monthly art exhibits.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.throckmortontheatre.org/"} +{"d:Title": "Musical Theatre Academy of Orange County", "d:Description": "A non-profit organization developing the performing arts talents of Orange County youth.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "https://mtaoc.org/"} +{"d:Title": "Pacifica Spindrift Players", "d:Description": "PSP is a non-profit organization that creates theater for the Pacifica community. Provides calendar of recent and upcoming productions with audition and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/California", "url": "http://www.pacificaspindriftplayers.org/"} +{"d:Title": "Bas Bleu Theatre Company", "d:Description": "Ft. Collins, Colorado.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Colorado", "url": "http://www.basbleu.org/"} +{"d:Title": "Longmont Theatre Company", "d:Description": "Community theatre serving the Boulder County area. Season consists of five main stage productions, children's and adult educational workshops and shows and Shakespeare in the Park productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Colorado", "url": "http://www.longmonttheatre.org/"} +{"d:Title": "OpenStage Theatre and Company", "d:Description": "Offering quality theatre in Northern Colorado. Acting and stage opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Colorado", "url": "http://www.openstage.com/"} +{"d:Title": "Magic Circle Players Theatre", "d:Description": "In continuous operation since 1959 with five scheduled productions every season and theatre for children productions. Group history, show details, ticket and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Colorado", "url": "http://www.magiccircleplayers.com/"} +{"d:Title": "Fremont Civic Theatre", "d:Description": "Ca\u00f1on City, Colorado, in Fremont county. Show schedule, gallery, by-laws and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Colorado", "url": "http://fremontcivictheatre.org/"} +{"d:Title": "TheatreWorks", "d:Description": "University of Colorado theater company produces challenging classical theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Colorado", "url": "http://www.theatreworkscs.org/"} +{"d:Title": "Rocky Mountain Revels", "d:Description": "Performs a Christmas Revels each December in Boulder, CO, which include music, dance, drama, and participatory theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Colorado", "url": "http://rockymountainrevels.org/"} +{"d:Title": "Little Theatre of Manchester", "d:Description": "All-volunteer organization, with active membership open to any area resident. Current and upcoming events, and online ticket sales.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.cheneyhall.org/"} +{"d:Title": "Theatre Guild of Simsbury", "d:Description": "Non-profit organization presents an annual performance each October at Simsbury High School. Audition and performance information is available.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.theatreguildsimsbury.org/"} +{"d:Title": "Oddfellows Playhouse", "d:Description": "Middletown. Youth theater group serving young people ages 6 to 20 through classes, workshops, mini-productions, mainstage shows, and special events. Contains information on current shows and programs, staff, photos, and registration.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.oddfellows.org/"} +{"d:Title": "The Suffield Players Community Theatre", "d:Description": "News, history, and photos of productions along with information on the current season, tickets and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.suffieldplayers.org/"} +{"d:Title": "Southington Community Theatre, Inc.", "d:Description": "Non-profit theatre group since 1957, with two productions yearly, spring and fall, located in Southington, CT. Tickets, auditions, and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://southingtoncommunitytheatre.org/"} +{"d:Title": "Curtain Call", "d:Description": "Link page to Connecticut community theater groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.curtaincallinc.com/"} +{"d:Title": "Thomaston Opera House", "d:Description": "Show schedule, casting calls and information on sponsors.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.thomastonoperahouse.org/"} +{"d:Title": "Windham Theatre Guild", "d:Description": "Willimantic, CT. Community Theatre featuring drama, musicals, dinner theatre, chorus, Shakespeare, comedy, and other events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.windhamtheatreguild.org/"} +{"d:Title": "UU Players Community Theater", "d:Description": "The UU Players have been putting on musicals in West Hartford since 1983. Current show, cast list, history and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.uuplayers.com/"} +{"d:Title": "Town Players of New Canaan", "d:Description": "Fairfield County. Producing entertainment for over 55 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.tpnc.org/"} +{"d:Title": "Manchester Musical Players", "d:Description": "A community theater organization in Manchester presenting a major Broadway musical production each April, and a fundraising cabaret each August.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.mmplayers.org/"} +{"d:Title": "Phoenix Theater company", "d:Description": "Glastonbury Connecticut. This small eclectic theater is dedicated to bringing a wide range of musical drama, original works, comedy, classical and experimental plays to the Central Connecticut area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.phoenixtheater.us/"} +{"d:Title": "The Sherman Players", "d:Description": "A non-profit community theater located in Sherman, CT.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.shermanplayers.org/"} +{"d:Title": "The Brookfield Theatre for The Arts", "d:Description": "Show information, membership, archived show photographs, and news about the Brookfield theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.brookfieldtheatre.org/"} +{"d:Title": "Hole in the Wall Theater", "d:Description": "Based in New Britain, CT, and provides information about upcoming shows, auditions, and theater membership.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.hitw.org/"} +{"d:Title": "Acting Company of Greenwich", "d:Description": "A non-profit theatre in Greenwich that produces drama, comedy and mysteries about 3 times a year. Contact information, and the current production.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.tacog.org/"} +{"d:Title": "CTCallboard", "d:Description": "Covers community and professional theatre throughout the state of Connecticut, including event listings for shows, auditions, classes and special events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://connecticutcallboard.com/"} +{"d:Title": "Connecticut Theatre Company", "d:Description": "Information about the season, auditions, special projects, ordering tickets, and directions to the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Connecticut", "url": "http://www.connecticuttheatrecompany.org/"} +{"d:Title": "The Brandywiners", "d:Description": "Find listings of current and past shows, events, tickets, history, grants and contact details; performs in Wilmington.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://www.brandywiners.org/"} +{"d:Title": "Chapel Street Players", "d:Description": "Chapel Street Players have been performing quality theatre since 1934. Located in the heart of Newark, DE, CSP is comedy and tragedy, class and contemporary, community and contagious", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://www.chapelstreetplayers.org/"} +{"d:Title": "Second Street Players", "d:Description": "Performs in the Riverfront Theatre in Milford and produces shows year-round including musicals, drama, comedies, original works as well as the Street Kids Summer Camp.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://www.secondstreetplayers.com/"} +{"d:Title": "City Theatre Company", "d:Description": "Performs at the Baby Grand Opera House in downtown Wilmington; find out about shows and events, auditions, and ticketing.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://city-theater.org/"} +{"d:Title": "The Ardensingers", "d:Description": "Performing Gilbert and Sullivan musicals for over half a century in Arden, DE.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://ardensingers.com/"} +{"d:Title": "Delaware Children's Theatre", "d:Description": "Presenting classic family entertainment in Wilmington since 1973; find out about shows, auditions, and the theater history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://www.dechildrenstheatre.org/"} +{"d:Title": "Wilmington Drama League", "d:Description": "Founded in 1933, this group offers many productions by both their adult and youth companies. Provides box office, production, and group information, suggestions for your evening of theatre, as well as details on getting involved.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://wilmingtondramaleague.org/"} +{"d:Title": "Kent County Theatre Guild", "d:Description": "Performs in the Patchwork Playhouse in Dover; includes current performances, troupe history, and audition notices.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Delaware", "url": "http://kctg.homestead.com/"} +{"d:Title": "Ocala Civic Theatre", "d:Description": "Produces more than ten fully-staged productions each season in the Appleton Cultural Center. Provides schedules, photo gallery, seating charts and how to volunteer.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.ocalacivictheatre.com/"} +{"d:Title": "Theater Winterhaven", "d:Description": "Offers Main Stage productions, Theatre for Young People's program, classes, a touring program, a summer musical and stage readings. Group information, season schedule, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.theatrewinterhaven.com/"} +{"d:Title": "Barn Theatre", "d:Description": "Stuart. Offering plays and studio series to the public. Information on the current production, auditions, studio series schedule, season schedule, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.barn-theatre.com/"} +{"d:Title": "Haines City Community Theatre", "d:Description": "Offering theatrical productions and an opportunity for participation.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://members.tripod.com/hcct_org/hcct.html"} +{"d:Title": "Marathon Community Theatre, Inc", "d:Description": "A non profit Theater group in the Heart of the Florida Keys, 50 miles from both Key West and Key Largo. Musicals, comedies and dramas are performed in the group's own building throughout the year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://marathontheater.org/"} +{"d:Title": "Thespian Troupe # 5457", "d:Description": "Monsignor Pace High School. Group information, past productions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.angelfire.com/fl3/troupe5457/"} +{"d:Title": "Imogene Little Theatre", "d:Description": "Milton. Group performs at the Imogene Theatre. Production photos, season, and audtion details along with contact and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://santa-rosa.tripod.com/ilt/index.html"} +{"d:Title": "Wekiva River Players", "d:Description": "Seminole County. Produces several plays and musicals each year. Contains information on current season, previous shows, auditions, tickets, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.wekivariverplayers.org/"} +{"d:Title": "Surfside Players", "d:Description": "Cocoa Beach. Current and past seasons details, news letter, mission statement, and contact information is included.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.surfsideplayers.com/"} +{"d:Title": "Venice Little Theatre", "d:Description": "A not-for-profit community theatre serving the people of the area as an arts, entertainment and arts education center. Season, box office, auditions, education, volunteering, archives, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.venicestage.com/"} +{"d:Title": "Theatre Jacksonville", "d:Description": "Volunteer based community theatre. Season, history, education, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.theatrejax.com/"} +{"d:Title": "Theatre Downtown", "d:Description": "Orlando, Florida. Show schedule, ticket information, and its history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.theatredowntown.net/"} +{"d:Title": "Suwannee Valley Players", "d:Description": "Serving Levy, Dixie and Gilchrist counties in Florida. Current show and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.svplayers.com/"} +{"d:Title": "Lemon Bay Playhouse", "d:Description": "Englewood, Florida's only community theater. Founded in 1987. Show schedule and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.lemonbayplayhouse.com/"} +{"d:Title": "Naples Players", "d:Description": "Presenting musicals, comedies, dramas, children's' theatre, and original plays. Photos, calendar of events, ticket information, audition, education and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.naplesplayers.com/"} +{"d:Title": "Lake Wales Little Theatre", "d:Description": "An independent company performing in an intimate, 139-seat theatre. Contact information along with current season, past seasons, history, and audition details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.lwlt.org/"} +{"d:Title": "Amelia Community Theatre", "d:Description": "Fernandina Beach. A 140-seat theatre that puts on main stage plays, musicals, readers theater and experimental productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.ameliacommunitytheatre.org/"} +{"d:Title": "Theatre Tallahassee", "d:Description": "Community theatre. Featuring information on shows, special engagements, box office, and season schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://theatretallahassee.org/"} +{"d:Title": "Stage Crafters Community Theater, Inc.", "d:Description": "Fort Walton Beach. Information about the current and past seasons, auditions, tickets, membership, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Florida", "url": "http://www.stagecraftersfwb.com/"} +{"d:Title": "Dad's Garage Theatre Company", "d:Description": "Dad's Garage Theatre, home of TheatreSports Atlanta, features cutting-edge theatrical performances and hilarious improv shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Georgia", "url": "http://www.dadsgarage.com/"} +{"d:Title": "Henry County Theater", "d:Description": "McDonough. Producing classic American theatre. Information is included about the group's history, past seasons, current season, photos, tickets, auditions, and contact data.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Georgia", "url": "http://www.henryplayers.com/"} +{"d:Title": "Theatrical Outfit", "d:Description": "Entertaining and enlivening Atlanta for 22 years with the finest in plays and performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Georgia", "url": "http://www.theatricaloutfit.org/"} +{"d:Title": "Camelot Theatre Company", "d:Description": "Griffin. Featuring regional talents and an experienced production team. Show and ticket information are included along with reviews, directors, fundraising, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Georgia", "url": "http://www.camelottheatre.com/"} +{"d:Title": "Young Artists Repertory Theatre Company", "d:Description": "Serving Martinez and Augusta, GA. Offers a variety of shows and musicals for all students elementary through college age. Auditioning tips.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Georgia", "url": "http://www.yart.org/"} +{"d:Title": "The Augusta Players", "d:Description": "In operation since 1945, the oldest performing arts group in the city and the oldest community theatre in the state. Contains information on current productions, special events, youth theatre, tickets, group and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Georgia", "url": "http://augustaplayers.org/"} +{"d:Title": "Diamond Head Theatre", "d:Description": "Honolulu, Hawaii. Located at the foot of Diamond Head.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Hawaii", "url": "http://www.diamondheadtheatre.com/"} +{"d:Title": "Music Theatre of Idaho", "d:Description": "A regional community theater located in Nampa, Idaho. Produces a season of musicals and educational workshops in the Boise-Nampa area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Idaho", "url": "http://www.mtionline.org/"} +{"d:Title": "Westside Players", "d:Description": "A non-profit community theatre company dedicated to offering fine dining and entertainment. Based in Pocatello.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Idaho", "url": "http://www.westsideplayers.org/"} +{"d:Title": "Engle Lane Theatre", "d:Description": "Community Players based in Streator at the William Schiffabuer Centre for the Performing Arts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.englelane.org/"} +{"d:Title": "Quad City Music Guild", "d:Description": "Community theater group performing in Moline, Illinois. Company history, current and upcoming shows, directions, and contact.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://qcmusicguild.com/"} +{"d:Title": "Albany Park Theater Project", "d:Description": "Community-based ensemble of teenagers creating original theater out of the real-life stories of the multi-ethnic, mostly-immigrant Albany Park neighborhood in Chicago.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.aptpchicago.org/"} +{"d:Title": "Playcrafters Barn Theatre", "d:Description": "Moline, IL. Performing in the Quad Cities of Illinois/Iowa for over 72 years!", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.playcrafters.com/"} +{"d:Title": "Oak Lawn Park District Theatre Program", "d:Description": "Oak Lawn. Upcoming auditions, shows, and a map to the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://streisand_1942.tripod.com/oaklawntheatre/"} +{"d:Title": "Champaign-Urbana Theatre Company", "d:Description": "The resident theatre company of Champaign's historic Virginia Theatre performing plays, musicals, and variety shows. Information on tickets, auditions, group history, current season, directions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.cutc.org/"} +{"d:Title": "Palette Masque and LyreTheatre", "d:Description": "Antioch. A non-profit, community-based organization with a yearly season of shows ranging in style from musical to comedy to drama. Contains group information, directions, photos, along with contact, audition, and ticket details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://pmltheatre.com/"} +{"d:Title": "Northern Illinois Community Theatre", "d:Description": "News, reviews and articles on theatre life and techniques.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.nicoth.com/"} +{"d:Title": "The Clinton County Showcase", "d:Description": "Community theatre company based in Breese, offering a variety of shows year round.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.ccshowcase.com/"} +{"d:Title": "Main Street Players of Boone County", "d:Description": "Current and upcoming productions. Information on season tickets, memberships and volunteering.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.mainstreetplayersofboonecounty.com/"} +{"d:Title": "Curtain's Up Theater Company", "d:Description": "Metro-east area of St. Louis, MO. Performance schedules, casts, tickets and a photo archive.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.curtainsuptheater.com/"} +{"d:Title": "Monroe Actors Stage Company", "d:Description": "Waterloo, Illinois. Bringing quality live theatre to Southern Illinois and the St. Louis, area. Performance and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.masctheatre.org/"} +{"d:Title": "Stage 212", "d:Description": "Located in La Salle, Illinois.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.stage212.org/"} +{"d:Title": "Joliet Drama Guild", "d:Description": "Joliet, Illinois. Productions offered at the Billie Limacher Bicentennial Theatre. Current and upcoming shows, and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.jolietdramaguild.org/"} +{"d:Title": "Up and Coming Theatre", "d:Description": "Northwest suburban Chicago area. Upcoming shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.uactheatre.com/"} +{"d:Title": "Community Player Theatre", "d:Description": "A non-profit theater that has been providing live entertainment in Bloomington/Normal, and McLean County, Illinois since 1923.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.communityplayers.org/"} +{"d:Title": "Springfield Theatre Centre", "d:Description": "Springfield, IL. Information about current production, cast lists, tickets, showtimes. Also a map to the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://springfieldtheatrecentre.com/"} +{"d:Title": "Theatre of Western Springs", "d:Description": "Theatre and theatre school serving the western suburbs of Chicago, producing more than a dozen plays each season for adults and children. Schedule, tickets, children's theatre information, photos, and group details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://theatreofwesternsprings.com/"} +{"d:Title": "Summer Place Theatre", "d:Description": "Naperville Illinois, live theater musicals and plays, quality family entertainment.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Illinois", "url": "http://www.summerplacetheatre.org/"} +{"d:Title": "South Bend Civic Theatre", "d:Description": "South Bend, Indiana.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.sbct.org/"} +{"d:Title": "Carmel Community Players", "d:Description": "Part of the Encore Association of Community Theaters in the Indianapolis Indiana area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.carmelplayers.org/"} +{"d:Title": "The Players", "d:Description": "Amateur theatre club in Indianapolis, that was founded in 1905. Includes a history of the group, calendar of events and group news.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.indyplayers.org/"} +{"d:Title": "Pulse Opera House", "d:Description": "Located in Warren, regional community theatre that produces musicals, comedies and melodramas in a historic 1884 opera house.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.pulseoperahouse.org/"} +{"d:Title": "Anderson's Mainstage Theater", "d:Description": "Anderson Indiana. Produces five shows between May and October. Information about auditions, current and past plays, and volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.mainstagetheatre.org/"} +{"d:Title": "Community Theatre of Terre Haute", "d:Description": "Schedule of shows, how to get involved. 75 years of theatre history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.ctth.org/"} +{"d:Title": "Monroe County Civic Theater", "d:Description": "Bloomington, Indiana. Theatre's history, past shows, future shows, and events calendar.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.mcct.org/"} +{"d:Title": "Buck Creek Players", "d:Description": "Indianapolis. Season schedule, audition and ticket information, reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.buckcreekplayers.com/"} +{"d:Title": "Putnam County Playhouse", "d:Description": "Greencastle Indiana. Show schedule, ticket information, reviews and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.putnamcountyplayhouse.com/"} +{"d:Title": "Muncie Civic Theatre", "d:Description": "Provides diverse theatrical opportunities for the people of Muncie and area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.munciecivic.org/"} +{"d:Title": "Rivertown Players, Inc.", "d:Description": "Guide to past productions, including performance dates, directors, and cast lists. Lawrenceburg, IN.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "http://www.rivertownplayers.com/"} +{"d:Title": "Civic Theatre of Greater Lafayette", "d:Description": "Located in Indiana, presenting drama, comedy, musicals and theatre classes for youth and adults.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "https://www.lafayettecivic.org/"} +{"d:Title": "Little Theater of Bedford", "d:Description": "Bedford. Community theater staffed by volunteers. Includes play list with descriptions and includes a Paypal ticket purchase feature as well as email ticket orders.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Indiana", "url": "https://ltbonline.wordpress.com/"} +{"d:Title": "Theatre Cedar Rapids", "d:Description": "Includes profile, information about upcoming events, classes, auditions, tickets and volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.theatrecr.org/"} +{"d:Title": "Des Moines Playhouse", "d:Description": "With more than 85 years of uninterrupted service, The Playhouse is among the six oldest continuously-operating community theatres in the U.S.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.dmplayhouse.com/"} +{"d:Title": "Webster City Community Theatre", "d:Description": "Providing community theatre since the 1960s in Webster City. Site lists upcoming events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.wcctonline.org/"} +{"d:Title": "Iowa City Community Theatre", "d:Description": "Show schedule, auditions, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.iowacitycommunitytheatre.com/"} +{"d:Title": "Act 1 of Benton County", "d:Description": "Vinton, IA. Formed in 1980 with the assistance of the Old Creamery Theater Company. Show schedule, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.act1.org/"} +{"d:Title": "Ames Community Theater", "d:Description": "Nonprofit group founded in 1956. Includes information about current season and shows, auditions, newsletter, volunteer opportunities, photographs and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.actorsinc.org/"} +{"d:Title": "Waterloo Community Playhouse and Black Hawk Children's Theatre", "d:Description": "Provides theatre productions and education programs to the people of Northeastern Iowa. Information on current and coming productions, membership, tickets, history, auditions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.wcpbhct.org/"} +{"d:Title": "Chanticleer Community Theater", "d:Description": "Council Bluffs. Established in 1952. Includes its history, a schedule of performances, admission prices, curtain times, a list of the Board of Directors, photographs and a wish list.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.chanticleertheatre.com/"} +{"d:Title": "Ankeny Community Theatre", "d:Description": "Nonprofit volunteer group bringing productions to the local area. Includes information about current productions, membership and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.ankenycommunitytheatre.com/"} +{"d:Title": "City Circle Acting Co.", "d:Description": "Coralville. Offering musical and classic productions, as well as workshops. Contains schedule, newsletters, ticket information and location maps.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.citycircle.org/"} +{"d:Title": "The Wieting Theatre - Toledo, Iowa", "d:Description": "Operated as a non-profit movie house and community stage by the Wieting Theatre Guild since 1960.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://wieting.tamatoledo.net/"} +{"d:Title": "Peace Pipe Players Community Theatre", "d:Description": "Has provided live entertainment to eastern Iowa for over 40 years, based in Maquoketa. Lists coming shows, audition details, show archives and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://peacepipeplayers.net/"} +{"d:Title": "Cedar Falls Community Theatre", "d:Description": "Offers productions in the Oster Regent Theatre, a landmark built in 1910. Includes history, show season, ticket information and available volunteer positions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.osterregent.org/"} +{"d:Title": "Boone Community Theatre", "d:Description": "Nonprofit organization providing live theatre performances. Includes information about membership, tickets, schedules, and past events and productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Iowa", "url": "http://www.boonecommunitytheatre.org/"} +{"d:Title": "Topeka Civic Theatre", "d:Description": "The Oldest Community Theatre in Kansas", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://www.topekacivictheatre.com/"} +{"d:Title": "Salina Community Theatre", "d:Description": "Includes upcoming productions, rehearsal information and other announcements, photographs, tickets, educational opportunities, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://www.salinatheatre.com/"} +{"d:Title": "Theatre in the Park", "d:Description": "Shawnee. Presents Broadway musicals, concerts, and a variety of special events each summer. Contains profile, schedule, production handbook, ticket prices, photographs, newsletter sign-up and resource links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://www.theatreinthepark.org/"} +{"d:Title": "The Columbian Theatre, Museum and Art Center", "d:Description": "Wamego. Includes history, calendar, membership, private events, tour, foundation and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://columbiantheatre.com/"} +{"d:Title": "Winfield Community Theatre", "d:Description": "Began in 1967 as a creative outlet and entertainment resource for Cowley County. Contains a brief profile, season schedule, ticket and donations information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://www.winfieldcommtheatre.com/"} +{"d:Title": "The Barn Players", "d:Description": "Mission. Community theater since 1955. Includes a history of the group, show schedule, audition information, volunteer opportunities and newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://www.thebarnplayers.org/"} +{"d:Title": "Lawrence Community Theatre", "d:Description": "Lawrence, Kansas", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://www.theatrelawrence.com/"} +{"d:Title": "Wichita Community Theatre", "d:Description": "Wichita's oldest continuing theatre offering classic and contemporary stage productions. The all volunteer production and creative staff work to bring Wichita the best in live theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://wichitact.org/"} +{"d:Title": "Family Community Theater", "d:Description": "Hutchinson. Offers live productions in the Flag Theatre, the state's only festival stage complex. Includes history, show dates, and board member list.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kansas", "url": "http://www.familycommunitytheatre.org/"} +{"d:Title": "Studio Players, Inc.", "d:Description": "A community theater company performing in the historic Bell Court Carriage House.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Kentucky", "url": "http://www.studioplayers.org/"} +{"d:Title": "Southern Repertory Theatre", "d:Description": "Located in New Orleans. Schedules and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Louisiana", "url": "http://southernrep.com/"} +{"d:Title": "ACTS Theatre Home Page", "d:Description": "Artists Civic Theatre Studio in Lake Charles, Louisiana. Live theatre, open auditions, opportunities in acting. Past and current shows, and online donations.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Louisiana", "url": "http://www.actstheatre.com/"} +{"d:Title": "Shreveport Little Theatre", "d:Description": "Non-profit, community theatre established in 1922. Season, theatre, group, ticket, and contact information along with photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Louisiana", "url": "http://shreveportlittletheatre.com/"} +{"d:Title": "The Theater At Monmouth", "d:Description": "The State Shakespearean Theater of Maine", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.theateratmonmouth.org/"} +{"d:Title": "Maine State Music Theatre", "d:Description": "Celebrating 40th Anniverary with excitement and entertainment of live musical theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.msmt.org/"} +{"d:Title": "The Public Theatre", "d:Description": "Maine based public theater in Lewiston - Auburn, Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.thepublictheatre.org/"} +{"d:Title": "Ogunquit Playhouse", "d:Description": "Ogunquit, Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://ogunquitplayhouse.org/"} +{"d:Title": "The Chocolate Church Arts Center", "d:Description": "A year-round regional arts center offering theatre, concerts, visual arts, and workshops, housed in a renovated 1847 'carpenter gothic' church building. Painted brown, of course.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.chocolatechurcharts.org/"} +{"d:Title": "Hackmatack Playhouse", "d:Description": "Well known professional summer theatre. Season includes musicals, dramas and comedies. Berwick, Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.hackmatack.org/"} +{"d:Title": "Community Little Theatre", "d:Description": "in Auburn Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.laclt.com/"} +{"d:Title": "Seaglass Performing Arts", "d:Description": "Kennebunk. Non-profit performing arts group providing theater, story telling, chorale, and dance. Ticket, season, group, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.seaglassperformingarts.org/"} +{"d:Title": "Mad Horse Theatre", "d:Description": "A small group of resident, professional artists who produce intimate and provocative theatre as an ensemble. Provides information about productions, directions, reviews, and calendar.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.madhorse.com/"} +{"d:Title": "Booth Productions", "d:Description": "Ogunquit, Maine. Community theater performing in the summer months.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.boothproductions.com/"} +{"d:Title": "Lincoln County Community Theater", "d:Description": "Damariscotta, Maine in historic Lincoln Hall. Schedule, ticket information, volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.lcct.org/"} +{"d:Title": "Penobscot Theatre Company", "d:Description": "Bangor, Maine. Links to Maine Shakespeare Festival, held on the banks of the Penobscot River, whose stage is a midsummers dream.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.penobscottheatre.org/"} +{"d:Title": "Lyric Music Theater", "d:Description": "Community musical theater presenting four shows per season. South Portland, Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maine", "url": "http://www.lyricmusictheater.org/"} +{"d:Title": "Damascus Theatre Company", "d:Description": "Providing a vehicle since 1985, for all ages interested in community theatre to produce quality entertainment by performing large Broadway musicals every fall, smaller musical shows every spring, and children's entertainment every Christmas.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://members.tripod.com/DamascusTheatre/"} +{"d:Title": "Pumpkin Theatre", "d:Description": "Children's theater founded as part of the Drama Department at the College of Notre Dame of Maryland. Shows, ticket information, and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.pumpkintheatre.com/"} +{"d:Title": "The Rude Mechanicals", "d:Description": "Non-profit community theatre troupe based in Laurel, dedicated to presenting quality productions of classic theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.rudemechanicals.com/"} +{"d:Title": "Silver Spring Stage", "d:Description": "Presents a year-round season of 7 major productions and an annual One-Act Festival. Includes audition information, schedules, directions, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://ssstage.org/"} +{"d:Title": "Children's Theater of Delmar", "d:Description": "Non profit organization located in Delmar, with the aim of providing an alternative form of safe entertainment for local children. Contains information on the current season of events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.theaterofdelmarva.org/"} +{"d:Title": "Rockville Musical Theatre", "d:Description": "Presenting two musicals per year at the F. Scott Fitzgerald Theatre with all parts cast from open auditions. Upcoming shows, auditions, season, theatre links, history, past shows, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.r-m-t.org/"} +{"d:Title": "Community Players of Salisbury", "d:Description": "Founded in 1937, one of the oldest continuously operating community theaters in Maryland. Includes information on show dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.communityplayersofsalisbury.org/"} +{"d:Title": "Bowie Community Theatre", "d:Description": "Award-winning non-profit organization providing live theatre productions and experiences for the Bowie and surrounding communities for over thirty-five years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.bctheatre.com/"} +{"d:Title": "Rockville Little Theatre", "d:Description": "Schedule of shows, audition information, volunteer information, directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.rlt-online.org/"} +{"d:Title": "Audrey Herman Spotlighters Theatre", "d:Description": "Provides quality traditional and cutting edge theatre to the Baltimore community and the opportunity for new artists to develop and refine their skills.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.spotlighters.org/"} +{"d:Title": "Greenbelt Arts Center", "d:Description": "Greenbelt. Presents eight to ten shows per season, as well as musical concerts and art exhibits.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.greenbeltartscenter.org/"} +{"d:Title": "Laurel Mill Playhouse", "d:Description": "Laurel, Maryland. Show schedule and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.laurelmillplayhouse.org/"} +{"d:Title": "Tred Avon Players", "d:Description": "Oxford. Founded in 1982, TAP is one of the oldest community theatre groups on Maryland's Eastern Shore. At least 4 shows per year. Ticket reservations and newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.tredavonplayers.org/"} +{"d:Title": "Tidewater Players", "d:Description": "Established in 1979, and based in Havre de Grace. Profile, history, productions and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.tidewaterplayers.com/"} +{"d:Title": "MAD Theater", "d:Description": "Music and drama club at the NASA's Goddard Space Flight Center. Profile, email lists, productions and newsletter. Greenbelt.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.madtheater.org/"} +{"d:Title": "The Maryland Ensemble Theatre", "d:Description": "Preview of upcoming performances, online box office, and information about special projects. Frederick.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.marylandensemble.org/"} +{"d:Title": "Merely Players", "d:Description": "Theatre company performing at the Chesapeake Arts Center. History, productions and membership information. Brooklyn.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.merelyplayers.cyberbass.com/"} +{"d:Title": "The Montgomery Playhouse", "d:Description": "The oldest community theatre in Maryland. History, description of season shows, directions and ticket information. Gaithersburg.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.montgomeryplayhouse.org/"} +{"d:Title": "Musical Artists Theatre", "d:Description": "A resident company of the Chesapeake Arts Center. Productions, education and profile. Brooklyn.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.musicalartiststheatre.com/"} +{"d:Title": "Open Circle Theatre", "d:Description": "Professional theatre dedicated to producing productions that integrate the talents of artists with disabilities. Performances and calendar. Rockville.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.opencircletheatre.org/"} +{"d:Title": "BlackRock Center for the Arts", "d:Description": "Multifaceted arts center affiliated with Montgomery County. Germantown.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.blackrockcenter.org/"} +{"d:Title": "Chesapeake Center for the Creative Arts", "d:Description": "Provides instruction and presentation in the visual arts, drama, acting, literary arts, dancing and music, along with yoga, afternoon tea, and voice-overs.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.chesapeakearts.org/"} +{"d:Title": "Chesapeake Shakespeare Company", "d:Description": "Artists performing Shakespeare. Profile, education and performance information. Ellicott City.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.chesapeakeshakespeare.com/"} +{"d:Title": "Cumberland Theatre", "d:Description": "Western Maryland's only regional professional theatre. Includes history, show times, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.cumberlandtheatre.com/"} +{"d:Title": "The Damascus Theatre Company", "d:Description": "History, current and past productions, and board members.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.damascustheatre.org/"} +{"d:Title": "Everyman Theatre", "d:Description": "Known as an intimate professional theatre. Featuring its current season, past productions, resident company, and ticket information. Baltimore.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.everymantheatre.org/"} +{"d:Title": "Fell's Point Corner Theatre", "d:Description": "Located in a historic brick firehouse and offering details on its show schedule, reservations and subscriptions, volunteer opportunities, and an archive of previous shows and reviews. Baltimore.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.fpct.org/"} +{"d:Title": "The France Merrick Performing Arts Center", "d:Description": "The refurbished Hippodrome Theatre offers stage performances in the West Side of Baltimore. Includes shows and events, tickets and subscriptions, history, and seating chart. Baltimore.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.france-merrickpac.com/"} +{"d:Title": "Vagabond Players", "d:Description": "Baltimore's continuously existing community theatre company since 1916. Provides season schedule and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://www.vagabondplayers.org/"} +{"d:Title": "Thunderous Productions", "d:Description": "A theatre production group based in Montgomery County. Find current and past show information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://thunderousproductions.angelfire.com/"} +{"d:Title": "Colonial Players of Annapolis", "d:Description": "Theater offering a wide range of dramatic productions. Performance and theater information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "http://thecolonialplayers.org/"} +{"d:Title": "Hard Bargain Players", "d:Description": "An all-volunteer theatre troupe performing in an outdoor amphitheatre. Productions, pricing and profile. Accokeek.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Maryland", "url": "https://hbplayers.wordpress.com/"} +{"d:Title": "North Shore Players", "d:Description": "community theater in Danvers, Massachusetts", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.northshoreplayers.org/"} +{"d:Title": "Acme Theater", "d:Description": "Non-profit community theatre group based in Maynard. Member of the Eastern Massachusetts Association of Community Theaters (EMACT) and the American Association of Community Theaters (AACT). Lists past and current productions, awards, and directions to the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.acmetheater.com/"} +{"d:Title": "Neverland Theatre", "d:Description": "Founded in 1990 and located 25 miles north of Boston in Hamilton.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.neverlandtheatre.com/"} +{"d:Title": "Milton Players", "d:Description": "Audition and performance schedule, ticket information, and a list of all past performances including pictures.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.miltonplayers.org/"} +{"d:Title": "The Footlight Club", "d:Description": "Located at Eliot Hall, Jamaica Plain - within metropolitan Boston. The oldest continuously running community theatre in the United States.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.footlight.org/"} +{"d:Title": "Concord Players", "d:Description": "Group has its roots with the Players begun by Louisa May Alcott, officially beginning in 1919. Located in Concord.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.concordplayers.org/"} +{"d:Title": "Hovey Players", "d:Description": "Waltham's Community Theater Since 1936. Local talent, full-scale productions and new works.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.hoveyplayers.com/"} +{"d:Title": "Ashfield Community Theater", "d:Description": "Amateur theatre group based in Ashfield. Current show information, classes and workshop details and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://acth.org/"} +{"d:Title": "North Regional Theatre Workshop", "d:Description": "Providing musical theatre for communities north of Boston by producing Broadway musicals, musical variety shows, and choral concerts. Contact information and directions are included along with coming shows, details about the group and their history, and scholarship details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.nrtwinc.com/"} +{"d:Title": "Calliope Productions Inc.", "d:Description": "Located in Boylston, a non-profit theatre company. Annually presents five main-stage productions and operates performance training workshops for students (ages 8 -18) and adults.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.calliopeproductions.org/"} +{"d:Title": "Bay Colony Productions", "d:Description": "Bay Colony Productions produces a musical theatre show during the spring with youth and summer with adult performers. Youth musical theatre classes are also offered during the school year and the summer.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.baycolonyproductions.com/"} +{"d:Title": "The Burlington Players", "d:Description": "Provides education and entertainment in theatre and theatre arts for children, teens, and adults to the northeast Massachusetts community.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.burlingtonplayers.org/"} +{"d:Title": "Arlington Friends of the Drama", "d:Description": "Award-winning theatre in a Northwest suburb of Boston.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.afdtheatre.org/"} +{"d:Title": "MIT Musical Theatre Guild", "d:Description": "The oldest and largest theatre organization at MIT, it is student-run, but open to all. Contains information about the group, current and past productions, their schedule, and ways to contact.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.mit.edu/~mtg/"} +{"d:Title": "Gateway Players", "d:Description": "Located in Southbridge, Massachusetts, provides quality live theatre and workshops to the Worcester County area. Schedules and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.gatewayplayers.org/"} +{"d:Title": "Theatre III", "d:Description": "Volunteer organization dedicated to promoting the performing arts through education and high quality, reasonably priced performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.theatre3.org/"} +{"d:Title": "Curtain Call Theatre", "d:Description": "Non-profit community theater based in Braintree, since 1962. Lists audition notices, performance schedules, classes, workshops, directions, past production information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.curtaincallbraintree.org/"} +{"d:Title": "Pickwick Players, Inc.", "d:Description": "Upcoming performances and a castmember page.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://pickwickplayers.tripod.com/"} +{"d:Title": "Nashoba Players", "d:Description": "Westford, MA. Dedicated to providing cultural enrichment through live theatre in drama, musicals and comedy. Includes special events and performances, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.nashobaplayers.org/"} +{"d:Title": "Wakefield Repertory Theatre", "d:Description": "History and membership information with performance schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.wakefieldrep.org/"} +{"d:Title": "Moonstruck Theater", "d:Description": "Founded in April 2006 by a group of students from Central Massachusetts. Site offers ticket reservations and directions to the theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.moonstrucktheater.org/"} +{"d:Title": "Westborough Players' Club", "d:Description": "Not-for-profit community theatre organization providing acting and backstage opportunities for people of all ages for 75 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.westboroughplayers.com/"} +{"d:Title": "Vokes Theatre", "d:Description": "Community theatre company located in Wayland.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.vokesplayers.org/"} +{"d:Title": "Harvard Community Theatre", "d:Description": "Housed in the 700 seat Cronin Auditorium at the Bromfield School.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.harvardtheatre.org/"} +{"d:Title": "Town Players of Pittsfield", "d:Description": "Community group Founded in 1921 and based in Pittsfield that stage plays and musicals. Details of past and future shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.townplayers.org/"} +{"d:Title": "Pentucket Players", "d:Description": "Provides live theatrical entertainment to the Merrimack Valley area. Includes audition and performance details, a history of the group and links to purchase tickets. Based in North Andover.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.pentucketplayers.org/"} +{"d:Title": "Arlington Children's Theater", "d:Description": "Provides audition information, pictures and summer show schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://act.arlington.ma.us/"} +{"d:Title": "The Stratton Players", "d:Description": "History, theatre news and information on current and past productions, auditions, membership and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://strattonplayers.com/"} +{"d:Title": "Exit 7 Players", "d:Description": "A community theater group founded in 1984 located in Ludlow, in western Massachusetts. Current show information, auditions, fundraising efforts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.exit7players.org/"} +{"d:Title": "UMASS Theatre Guild", "d:Description": "A volunteer organization providing a practical learning environment, student-run, theater-oriented experience for UMASS College students and community members. Information on shows, events, and how to communicate with the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://umasstheatreguild.tumblr.com/"} +{"d:Title": "Barnstable Comedy Club", "d:Description": "Includes information on upcoming tickets and performances, acting opportunities, highlights from past shows, its history, and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.barnstablecomedyclub.org/"} +{"d:Title": "Riverside Theatre Works", "d:Description": "Non-profit community theatre school based in Hyde Park. Offering classes in voice, dance and drama for all ages. Includes information on upcoming tickets and performances,highlights from past shows, its history, and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.rtwboston.org/"} +{"d:Title": "Cape Cod Theatre Company", "d:Description": "Educational, year-round theatre located on Cape Cod.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://capecodtheatrecompany.org/"} +{"d:Title": "Stageloft Repertory Theater", "d:Description": "A community/professional theater located in Sturbridge, MA since 1994. Stages ten adult productions and five youth productions per year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Massachusetts", "url": "http://www.stageloft.org/"} +{"d:Title": "University Musical Society", "d:Description": "Based in the University of Michigan. Contains news, season listings and online ticket information and purchase.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.ums.org/"} +{"d:Title": "Jewish Theatre Grand Rapids", "d:Description": "Quality plays and programs dealing with universal Jewish themes and questions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.jtgr.org/"} +{"d:Title": "Grand Rapids Civic Theatre and School of Theatre Arts", "d:Description": "Offers main stage and children's productions along with special events and the School of Theatre Arts. Contains information about the group and school, tickets, current and past seasons.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.grct.org/"} +{"d:Title": "Southgate Community Players", "d:Description": "Produces three major plays a year in the Downriver Community of Southgate. Show schedule, social events. Order tickets through the website.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://scponstage.com/"} +{"d:Title": "The Players Guild of Dearborn", "d:Description": "The group produces five regular season shows, a Guildling youth theater, and summer productions. Season, special event, and ticket information is included along with directions, audition, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.playersguildofdearborn.org/"} +{"d:Title": "Redbud Productions", "d:Description": "Ann Arbor. Produces full scale productions and offers acting classes for both adults and youth. Information on classes, current and past productions, and contact details are included.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.redbudproductions.com/"} +{"d:Title": "Croswell Opera House", "d:Description": "Updated information on productions, auditions, rentals, past seasons, employees and a brief history of Michigan's oldest theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.croswell.org/"} +{"d:Title": "Old Town Playhouse", "d:Description": "Traverse City, MI. Upcoming productions, archives, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.oldtownplayhouse.com/"} +{"d:Title": "Paw Paw Village Players", "d:Description": "Community theater and family entertainment for Southwestern Michigan since 1969.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.ppvp.org/"} +{"d:Title": "The Hartland Players, Inc.", "d:Description": "Hartland Michigan. Current season, tickets, auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.hartlandplayers.org/"} +{"d:Title": "Grosse Pointe Theatre", "d:Description": "Grosse Pointe, Michigan. Shows and auditions, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.gpt.org/"} +{"d:Title": "Avon Players Theatre", "d:Description": "A nonprofit community theater group in Rochester. Performances included award-winning dramas, British farces, Broadway musicals and mysteries.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://avonplayers.org/"} +{"d:Title": "Monroe Community Players", "d:Description": "Has presented quality community theater productions for over fifty years. Lists past and upcoming shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.monroecommunityplayers.org/"} +{"d:Title": "Spotlight Players", "d:Description": "Community theater in Canton MI. Lists audition details, show and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.spotlightplayersmi.org/"} +{"d:Title": "Wyandotte Community Theatre", "d:Description": "Providing the Downriver area with quality entertainment.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://www.wyandottecommunitytheatre.com/"} +{"d:Title": "Bay City Players", "d:Description": "Bay City, Michigan. The oldest continuously running community theatre in Michigan.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://baycityplayers.com/"} +{"d:Title": "Community Theatre Association of Michigan", "d:Description": "An organization of non-professional theatres with groups that are engaged in all phases of production in their respective communities. Information about member groups, conventions and festivals, scholarships, and resources.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Michigan", "url": "http://communitytheatremichigan.org/"} +{"d:Title": "Teatro del Pueblo", "d:Description": "Teatro del Pueblo is a Latino theater based on the West Side of St. Paul, producing a wide range of educational and artistic programming.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://teatrodelpueblo.org/"} +{"d:Title": "Minnesota Association of Community Theatres", "d:Description": "MACT is a service organization promoting standards of excellence in theatre endeavors throughout Minnesota.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://www.mact.net/"} +{"d:Title": "Bemidji Community Theater", "d:Description": "Located near the source of the Mississippi River, Bemidji is home to three different theatrical groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://www.paulbunyan.net/users/bct/"} +{"d:Title": "Rosetown Playhouse", "d:Description": "Roseville, MN. Schedules, audition information. Drama, Musicals, Comedy.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://rosetownplayhouse.org/"} +{"d:Title": "Cross Community Players", "d:Description": "Osseo, MN. Minnesota's only Charity Community Theatre. Information about current and future productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://www.crossplayers.org/"} +{"d:Title": "Mounds View Community Theatre", "d:Description": "Producing one outstanding musical each summer.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://www.mvct.org/"} +{"d:Title": "Lake Benton Opera House", "d:Description": "Upcoming events, photos, history, newsletter, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://www.lakebentonoperahouse.org/"} +{"d:Title": "Masquers Theatre", "d:Description": "Forest Lake, MN.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Minnesota", "url": "http://www.masquerstheatre.org/"} +{"d:Title": "Delta Center Stage", "d:Description": "A Community Theatre Company based in Greenville, Mississippi.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Mississippi", "url": "http://www.deltastage.com/"} +{"d:Title": "Starkville Community Theatre", "d:Description": "Details about amateur dramatics in Starkville Mississippi, plays at The Playhouse Theatre and other local drama theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Mississippi", "url": "http://www.sct-online.org/"} +{"d:Title": "Gulfport Little Theatre", "d:Description": "Year-round amateur theatrical productions, a large youth theater program and summer theater camps. History, shows, auditions, and a map to the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Mississippi", "url": "http://www.gulfportlittletheatre.net/"} +{"d:Title": "Vicksburg Theatre Guild", "d:Description": "Schedules for shows and auditions, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Mississippi", "url": "http://vicksburgtheatreguild.com/"} +{"d:Title": "Center Stage", "d:Description": "A Community Theatre Company based in Biloxi, Mississippi.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Mississippi", "url": "http://centerstagebiloxi.com/"} +{"d:Title": "Unicorn Theatre", "d:Description": "Kansas City Theatre on the Edge.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.unicorntheatre.org/"} +{"d:Title": "The Hawthorne Players", "d:Description": "One of St. Louis' best community based theatre groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.hawthorneplayers.com/"} +{"d:Title": "Clayton Community Theatre", "d:Description": "Clayton, Missouri. Entertaining Clayton and surrounding St Louis communities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://placeseveryone.org/"} +{"d:Title": "The Little Theatre of Jefferson City", "d:Description": "Offers their current season, ticket info, volunteers, contributors, auditions, play trivia game, and read their history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://tltjc.org/"} +{"d:Title": "City Theatre of Independence", "d:Description": "Local community theatre in Independence, Missouri.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.citytheatreofindependence.org/"} +{"d:Title": "Alpha Players of Florissant", "d:Description": "St. Louis area. Providing professional quality family theatre at community theatre prices.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://alphaplayers.org/"} +{"d:Title": "Corbin Theatre Company", "d:Description": "Liberty, MO. Bringing quality theater to the historic Liberty square and the Liberty area community.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.corbintheatre.org/"} +{"d:Title": "First Run Theatre", "d:Description": "Dedicated to Showcasing New, Unpublished Theatrical Productions. Performing in the Soulard Playhouse in St Louis.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.firstruntheatre.com/"} +{"d:Title": "Broadway Fantasies Musical Theatre", "d:Description": "Broadway Fantasies, sponsored by St. Louis County, stages a Broadway Showtune Revue for charity.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.broadwayfantasies.com/"} +{"d:Title": "Columbia Entertainment Company", "d:Description": "Columbia, Missouri. Drama, Musicals, Comedy, Theatre School for all ages. Auditions, current season, photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.cectheatre.org/"} +{"d:Title": "Lyric Live Theater Newburg", "d:Description": "Live Theater, in Newburg Missouri. Schedules of drama, music, variety, and special shows by guest artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.lyriclivetheater.com/"} +{"d:Title": "KTK Productions", "d:Description": "St. Louis. Community theater group with information about season schedule, show times and dates, maps, and announcements.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.kurtainkall.org/"} +{"d:Title": "Ozark Actors Theatre", "d:Description": "Provides professional summer stock theatre and winter productions in the Ozarks. Located in Rolla, MO.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://ozarkactorstheatre.org/"} +{"d:Title": "Springfield Little Theatre", "d:Description": "Springfield, Mo. A National Historic Site as well as Missouri's oldest and largest Civic Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.springfieldlittletheatre.org/"} +{"d:Title": "Maplewood Barn Community Theatre", "d:Description": "Non-profit organization presenting an outdoor theatre at the Maplewood Barn in Nifong Park, Missouri.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Missouri", "url": "http://www.maplewoodbarn.com/"} +{"d:Title": "Whitefish Theatre Company", "d:Description": "Established in 1978 to foster a professional standard of excellence in the theater arts in Whitefish and the Flathead Valley of northwestern Montana.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Montana", "url": "http://www.whitefishtheatreco.org/"} +{"d:Title": "Hamilton Players", "d:Description": "Amateur group that stage plays and musicals in Hamilton.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Montana", "url": "http://www.hamiltonplayers.com/"} +{"d:Title": "Incantations Theatre", "d:Description": "Nebraska. Contact information only.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nebraska", "url": "http://members.tripod.com/~IncantationsTheatre/"} +{"d:Title": "Shelterbelt Theatre", "d:Description": "Theatre group dedicated to producing new works from writers not only in Nebraska but from all over the world. Based in Omaha.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nebraska", "url": "http://www.shelterbelt.org/"} +{"d:Title": "Community Players Online", "d:Description": "Beatrice. The goal of the group is to promote, encourage, and increase the knowledge, appreciation, and practice of live theater. Includes information about the group, and their improvisation team, current productions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nebraska", "url": "http://www.beatricene.com/communityplayers"} +{"d:Title": "Lofte Community Theatre", "d:Description": "Dedicated to providing rural Nebraska residents of all ages opportunities to participate in the creative process.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nebraska", "url": "http://www.lofte.com/"} +{"d:Title": "Cedar County Theatre", "d:Description": "Non-profit organization providing opportunities to participate in and enjoy all facets of community theatre. Productions past and present, membership, donation, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nebraska", "url": "http://cedarcountytheatre.tripod.com/"} +{"d:Title": "Virginia City Theatre Muckers", "d:Description": "Very brief site including a few lines, and pictures, about the Virginia City, Nevada group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nevada", "url": "http://www.angelfire.com/nv/BBMuckers/index.html"} +{"d:Title": "Las Vegas Little Theatre", "d:Description": "Las Vegas' oldest community theatre, established in 1978. Schedule of shows, ticket information, theatre's history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nevada", "url": "http://www.lvlt.org/"} +{"d:Title": "The Silver Stage Players Online", "d:Description": "Elko, Nevada, providing professional-quality live theater for northeastern Nevada. Current show, ticket information, call board.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nevada", "url": "http://www.silverstage.org/"} +{"d:Title": "Carson Valley Community Theatre", "d:Description": "Gardnerville, NV. Upcoming events and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Nevada", "url": "http://carsonvalleycommunitytheatre.org/"} +{"d:Title": "Actorsingers", "d:Description": "Nashua, New Hampshire", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Hampshire", "url": "http://www.actorsingers.org/"} +{"d:Title": "Merrimack Community Theatre", "d:Description": "Merrimack, NH.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Hampshire", "url": "http://members.tripod.com/MerrimackCT/"} +{"d:Title": "Nashua Theatre Guild", "d:Description": "Community Theater in Nashua, NH.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Hampshire", "url": "http://nashuatheatreguild.org/"} +{"d:Title": "Leddy Center for the Performing Arts", "d:Description": "A non-profit performing arts center which offers theater, concerts and classes year 'round.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Hampshire", "url": "http://www.leddycenter.org/"} +{"d:Title": "Franklin Footlight Theatre", "d:Description": "Community theatre who play at the Franklin Opera House. Includes news, photos, calendar and past shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Hampshire", "url": "http://www.franklintheatre.org/"} +{"d:Title": "Burlington County Footlighters Community Theatre", "d:Description": "Cinnaminson, NJ", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.bcfootlighters.com/"} +{"d:Title": "Bridge Players Theatre Company", "d:Description": "Find out what's going on at Bridge Players. Get audition information, directions, ticket prices.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.bridgeplayerstheatre.com/"} +{"d:Title": "South Street Players", "d:Description": "Millstone Twp., Monmouth County. Providing community theater productions since 1982. Our dinner theater productions are staged at the historic Clarksburg Inn. Web site has current production schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.southstreetplayers.org/"} +{"d:Title": "Radburn Players of Fair Lawn", "d:Description": "Information on upcoming shows, auditions and information on Radburn Players of Fair Lawn, New Jersey, providing Broadway style to the community since 1930.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://radburnplayers.com/"} +{"d:Title": "Barn Theatre", "d:Description": "Montville, New Jersey. Established in 1928, the Barn is NJ's oldest continually producing community theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.barntheatre.org/"} +{"d:Title": "All Children's Theatre, Inc.", "d:Description": "A non-profit group based in Parsippany. Provides creative drama and musical experiences for children from 4 to 17.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.allchildrenstheatre.org/"} +{"d:Title": "Algonquin Arts Theatre", "d:Description": "Home to many regional theatre companies.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.algonquinarts.com/"} +{"d:Title": "Villagers Theatre", "d:Description": "Founded in 1960 by local community residents and is dedicated to bringing ongoing cultural enrichment to the Central New Jersey area", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.villagerstheatre.com/"} +{"d:Title": "Center Players", "d:Description": "Community theatre group based in Freehold. Listings include upcoming plays and events, past shows and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.centerplayers.org/"} +{"d:Title": "Phoenix Productions", "d:Description": "Red Bank. A non-profit community theatre organization doing musical revivals. Includes details about the group, tickets, auditions, directions, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.phoenixredbank.com/"} +{"d:Title": "Haddonfield Plays and Players Theater", "d:Description": "Community Theater in Haddonfield, NJ. Ticket information, schedule of shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.haddonfieldplayers.com/"} +{"d:Title": "Spare Parts Theatre Company", "d:Description": "A variety of entertaining and affordable theatre performances at The Broadway Theater, Pitman, New Jersey.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.thespareparts.net/"} +{"d:Title": "Brick Children's Community Theatre", "d:Description": "A non-profit organization dedicated to bringing quality theatrical experiences to the children of Brick Township and the surrounding communities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://bcct.org/"} +{"d:Title": "Brundage Park Playhouse", "d:Description": "Randolph, NJ. This community theatre group presents plays, theater camps, classes and shows by and for kids.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://brundageparkplayhouse.org/"} +{"d:Title": "Chatham Community Players", "d:Description": "Presentations include 3 main productions, family and children's productions. Some original works are by New Jersey residents.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://chathamplayers.org/"} +{"d:Title": "Road Company Community Theater", "d:Description": "Williamstown, NJ, community theater group presenting musicals, dramas, comedies, murder mystery dinner theater, and children's theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.roadcompany.com/"} +{"d:Title": "The Kelsey Theatre at Mercer", "d:Description": "An intimate theatre on the campus of Mercer County Community College in West Windsor, New Jersey offering a full season of live events September - June.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.kelseyatmccc.com/"} +{"d:Title": "Theatre Intime", "d:Description": "Princeton. A student-run community theater and production company. Information on current productions, tickets, group history, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.theatreintime.org/"} +{"d:Title": "Center Players of Bayonne", "d:Description": "The oldest (still very active) community theater group in Hudson County, New Jersey.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://centerplayersofbayonne.4t.com/"} +{"d:Title": "Players' Guild of Leonia", "d:Description": "SE Bergen Co. Founded in 1919, NJ's oldest continuing community theatre produces musicals, comedies, classic and contemporary dramas and children's theatre in the historic landmark Civil War Drill Hall Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://leoniaplayers.org/"} +{"d:Title": "Broadway Theatre of Pitman", "d:Description": "Pitman, New Jersey. Live broadway plays, movies and musicals. Details about tickets, auditions, production schedules and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.thebroadwaytheatre.org/"} +{"d:Title": "Sketch Club Players", "d:Description": "Oldest continuously running amateur theater in South Jersey.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.sketchclubplayers.org/"} +{"d:Title": "Pennington Players", "d:Description": "Trenton, NJ. Contains show information of current and upcoming performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.penningtonplayers.org/"} +{"d:Title": "Mystic Vision Players", "d:Description": "Linden. Producing dramas, musicals, and children's shows. Contact information, upcoming productions and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.mysticvisionplayers.com/"} +{"d:Title": "Studio Playhouse", "d:Description": "A not-for-profit community theatre in Upper Montclair, NJ. Provides upcoming Magic Trunk series for young audiences as well as shows for general audiences.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://studioplayhouse.org/"} +{"d:Title": "Nine Theatricals", "d:Description": "Company based in Freehold, NJ who produce plays and musicals with local talent for audiences in central New Jersey and the Jersey Shore.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.ninetheatricals.com/"} +{"d:Title": "Old Library Theatre", "d:Description": "Performs at the community center in Fair Lawn, NJ. Includes photos and video from rehearsals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.oldlibrarytheatre.net/"} +{"d:Title": "Bergen County Players", "d:Description": "One of the oldest amateur theater groups in America, based in Oradell, NJ. Provides a history of the society, past productions and upcoming shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.bcplayers.org/"} +{"d:Title": "InterACT Productions", "d:Description": "A community theatre company in Essex County, NJ working to bring communities and generations together through the arts. Provides photos and information for volunteers.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.interactproductions.org/"} +{"d:Title": "Open Air Theatre", "d:Description": "In Washington Crossing State Park, New Jersey. Presents an outdoor summer schedule of musical comedy and Shakespeare.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.dpacatoat.com/"} +{"d:Title": "Stony Hill Players", "d:Description": "Summit, NJ. Performance schedules, ticket information, audition alerts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.stonyhillplayers.org/"} +{"d:Title": "Somerset Valley Players", "d:Description": "Hillsborough. Founded in 1967, this non-profit theatre troupe presents musicals, dramas, comedies, and children's theater. Information on current and past performances, casting calls, tickets, directions, and group history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.svptheatre.org/"} +{"d:Title": "Cabaret Theatre", "d:Description": "A non-profit student run theater on the Douglass Campus of Rutgers University in New Brunswick, NJ.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "https://cabarettheatreblog.wordpress.com/"} +{"d:Title": "Country Gate Players", "d:Description": "Non-profit group in Belvidere presents musicals, dramas, comedies, murder mysteries and specials events throughout the year. View ticket information and current season.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.countrygateplayers.org/"} +{"d:Title": "Dover Little Theatre", "d:Description": "Founded in 1933, this intimate,108 seat, community theatre presents 4 productions a year from September through June.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.doverlittletheater.org/"} +{"d:Title": "Summit Playhouse, Inc.", "d:Description": "a non-profit community theater in Summit, NJ.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Jersey", "url": "http://www.thesummitplayhouse.org/"} +{"d:Title": "The Engine House Theatre", "d:Description": "Offering melodrama production, located on the Turquoise Trail (NM 14) in Madrid, New Mexico.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Mexico", "url": "http://www.turquoisetrail.org/enginehousetheatre/"} +{"d:Title": "Spencer Theater for the Performing Arts", "d:Description": "Alto, New Mexico.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Mexico", "url": "http://www.spencertheater.com/"} +{"d:Title": "Theatre NM", "d:Description": "Statewide Information on community theatres in New Mexico.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Mexico", "url": "http://www.theatrenm.org/"} +{"d:Title": "The Growing Stage", "d:Description": "Children\u2019s theatre in Albuquerque providing theatrical experiences to inspire, empower and enrich children and communities. Photo gallery, schedule, and scholarship information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_Mexico", "url": "http://www.thegrowingstage.com/"} +{"d:Title": "Seneca Community Players", "d:Description": "To foster, arouse, and help broaden the interest, availability, and appreciation of the Theatre Arts in Seneca and the surrounding counties.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.senecacommunityplayers.org/"} +{"d:Title": "County Players", "d:Description": "Community Theater located in Wappingers Falls, NY.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.countyplayers.org/"} +{"d:Title": "Blackfriars Theatre", "d:Description": "Professionally oriented community theatre, providing a showcase for actors and technicians who have chosen to make their living in ways other than the professional theatre. Located in Rochester.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.blackfriars.org/"} +{"d:Title": "The Lake Country Players", "d:Description": "A community theater group located in Schuyler County, NY. The Lake Country Players performs a broadway musical in the fall of each year, and dinner theater throughout the year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://members.tripod.com/~LakeCountryPlayers/"} +{"d:Title": "Theatre Association of New York State", "d:Description": "An organization serving non-professional community theatres, college theatre departments, and secondary school drama departments in the state of New York, to promote high standards of theatre practice. Contains news, events and member services.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.tanys.homestead.com/"} +{"d:Title": "The Minstrel Players", "d:Description": "Local Community Theater group dedicated to providing professional quality productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.minstrelplayers.org/"} +{"d:Title": "Lake George Youtheatre", "d:Description": "Musical theatre workshop located in Lake George, NY for students ranging in age from eleven to eighteen. Producing three Broadway musicals in a four-week time frame. Created in 1978.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.lgyoutheatre.com/"} +{"d:Title": "Hand To Mouth Players", "d:Description": "Buchanan, NY. Information on past and upcoming shows, auditions, group events, contact details, a brief history of the group, and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.htmplayers.com/"} +{"d:Title": "YCP TheaterWorks", "d:Description": "Founded in 1959 this group produces dramas, comedies, musicals, farces and original pieces. Information includes group history, announcements, photos, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.ycptw.org/"} +{"d:Title": "Brewster Theater Company", "d:Description": "Putnam County, NY. Offers diverse theatrical productions, workshops, informal play readings and a venue for local playwrights, poets and performing artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://brewstertheatercompany.org/"} +{"d:Title": "Fort Hill Players", "d:Description": "White Plains, NY. Information about the current schedule, auditions, the educational opportunities, and the Fort Hill Players.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.forthillplayers.com/"} +{"d:Title": "Schenectady Civic Players", "d:Description": "Founded in 1928. Includes newsletter [PDF] and information about upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.civicplayers.org/"} +{"d:Title": "Spotlight on Stage", "d:Description": "Database of community and regional theater groups located throughout Queens, and Nassau County, NY", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.spotlightonstage.com/"} +{"d:Title": "Elmwood Playhouse", "d:Description": "Nyack, NY, in Rockland County. Season schedule, box office and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.elmwoodplayhouse.com/"} +{"d:Title": "Chazy Music Theatre", "d:Description": "Chazy, NY. A complete listing of show, ticket, past productions, and theatre information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.chazymusictheatre.org/"} +{"d:Title": "Community Theatre in Brooklyn and the World", "d:Description": "Community Theatre Internationale develops multi-media, collaborative productions between community-based ensembles in different parts of the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.communitytheatreintl.org/"} +{"d:Title": "The Center for Performing Arts in Rhinebeck", "d:Description": "This theater located in Rhinebeck, NY, provides a venue for year round community theater productions. The Center offers acting and technical theater classes, as well as weekday field trip shows for schools.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.centerforperformingarts.org/"} +{"d:Title": "Albany Civic Theater", "d:Description": "Community theater for the New York Capital District. Current productions, ticket ordering, and volunteer information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.albanycivictheater.org/"} +{"d:Title": "Narrows Community Theater", "d:Description": "Brooklyn NY. Upcoming performances, directions, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://narrowscommunitytheater.com/"} +{"d:Title": "Geneva Theatre Guild", "d:Description": "Providing live theatre to residents of Geneva and the surrounding communities. Information on the history of the group, current and past seasons, audition notices, youth theatre, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.gtglive.org/"} +{"d:Title": "Timothy Murphy Playhouse", "d:Description": "A summer musical theater group in upstate New York. Review past productions or volunteer to help out in the future.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://timothymurphyplayhouse.blogspot.com/"} +{"d:Title": "Ilion Little Theatre", "d:Description": "Ilion, NY. Ticket information, auditions and productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/New_York", "url": "http://www.ilionlittletheatre.org/"} +{"d:Title": "Theatre Charlotte", "d:Description": "The theatre produces five mainstage shows a year as well as smaller, casual events staged in a cabaret setting. Show, class, special events, and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.theatrecharlotte.org/"} +{"d:Title": "Asheville Community Theatre", "d:Description": "Produces comedies, dramas, and musicals, and offers community outreach and volunteer opportunities. Information on mailing list, season schedule, box office, membership, classes, and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.ashevilletheatre.org/"} +{"d:Title": "Hickory Community Theatre", "d:Description": "The third-oldest community theater in North Carolina, with two performance spaces; The Charles E. Jeffers Theatre, and The Firemen's Kitchen. Information about the current season, reservations and tickets, auditions, volunteering, and classes.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "https://www.hct.org/"} +{"d:Title": "Durham Savoyards, Ltd.", "d:Description": "Primarily performing the works of Gilbert and Sullivan. News, photographs, and information about the organization.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.durhamsavoyards.org/"} +{"d:Title": "Bare Theatre", "d:Description": "Raleigh. A group utilizing the art of story telling using little other than a room, an audience, the actors, and their text. Production and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.baretheatre.org/"} +{"d:Title": "Piedmont Players", "d:Description": "Information about the Meroney Theater, auditions, children's theater, donations, current season, recent productions, seating, ticket sales, and volunteer program.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.piedmontplayers.com/"} +{"d:Title": "Licklog Players", "d:Description": "Hayesville. Clay County's only organized community theater group. Contains ticket, audition, current season, and information on where they got their name.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.licklogplayers.org/"} +{"d:Title": "Central Piedmont Community CollegeTheatre", "d:Description": "Listing of the current productions, information on tickets, directions to the theatre, and how to donate to the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.main.nc.us/openstudio/mta/cpthe.htm"} +{"d:Title": "Gallery Players", "d:Description": "Community theatre group in Burlington, North Carolina offers information on the current season, ticket information, how to volunteer, auditions, and archives of past shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.galleryplayersonline.com/"} +{"d:Title": "Theatre In The Park", "d:Description": "Raleigh. Annually presents a wide range of productions; comedy, musicals, Shakespeare, contemporary drama, and original works during the main stage season. Season, upcoming events, audition, and volunteer information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.theatreinthepark.com/"} +{"d:Title": "Harnett Regional Theatre", "d:Description": "Dunn. Providing an opportunity for theatre participation for the people of Harnett County and the surrounding areas. Season, ticket, contact, and mailing list information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.onlinehrt.org/"} +{"d:Title": "Old Theater of Oriental", "d:Description": "Oriental NC. The Old Theater first opened as a movie theater in 1945 and continues to attract patrons today with live shows and musical performances. Upcoming shows and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.oldtheater.org/"} +{"d:Title": "Brevard Little Theatre", "d:Description": "Official community theater of Transylvania County, and resident community theater company at Brevard College. History, season information, photos, awards and theatre links.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.brevardlittletheatre.com/"} +{"d:Title": "Theatre Guild of Rockingham County", "d:Description": "Audition opportunity and schedules. Board and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.tgrc-nc.com/"} +{"d:Title": "Lakeland Theatre and Cultural Arts Center", "d:Description": "Littleton, NC. Community theater for the Roanoke Valley area. Information about current and past productions, tickets, auditions, membership, and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://www.lakelandtheatrenc.org/"} +{"d:Title": "Sampson Community Theater", "d:Description": "Located in the Sandhills and provide audiences with 2-3 children's plays, two musicals and 2-4 comedies-dramas each year. Site has theatre news, and information about the board of directors.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "https://www.facebook.com/SampsonCommunityTheatre"} +{"d:Title": "Neuse Little Theatre", "d:Description": "Smithfield. Contains information on membership, auditions, season, reservations, and location.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Carolina", "url": "http://hometownheritage.com/neuselittletheatre/"} +{"d:Title": "Fargo Moorhead Community Theatre", "d:Description": "The FMCT was founded in the spring of 1946 and stages its shows in the 303 seat Emma K. Herbst Playhouse, in Fargo. Site contains their schedule and ticket information, as well as history of the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Dakota", "url": "http://www.fmct.org/"} +{"d:Title": "Minot Area Theatrical Society", "d:Description": "A theatre group that perform plays in Minot for the local community.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/North_Dakota", "url": "http://minottheater.com/"} +{"d:Title": "Dayton Theatre Guild", "d:Description": "Dayton, OH", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.daytontheatreguild.org/"} +{"d:Title": "The Village Players", "d:Description": "Includes upcoming shows, audition dates, archives and many links around Toledo.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.thevillageplayers.org/"} +{"d:Title": "Springfield Arts Council", "d:Description": "The SAC sponsors the annual free Summer Arts Festival and the subscription Broadway and Pops Series. Current season's schedule available.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.springfieldartscouncil.org/"} +{"d:Title": "Weathervane Playhouse", "d:Description": "Akron's community playhouse features theatrical productions, youth programs, and classes in the performing arts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.weathervaneplayhouse.com/"} +{"d:Title": "Playhouse South, Inc.", "d:Description": "Non-profit community theater. Information about upcoming shows and auditions, reservation form, and how to support the organization. Miamisburg.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.playhousesouth.org/"} +{"d:Title": "Players Guild Theatre", "d:Description": "A professionally-directed community theatre located in Canton, offering a wide range of classes and quality live theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.playersguildtheatre.com/"} +{"d:Title": "Yellow Springs Center Stage", "d:Description": "Current production, audition notices, and photos of previous productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.yellowsprings.com/centerstage"} +{"d:Title": "Broadview Heights Spotlights Community Theater", "d:Description": "Show schedule, audition announcements, and a photograph album.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.broadview-heights-spotlights.org/"} +{"d:Title": "Newark Weathervane Playhouse", "d:Description": "Season schedule, ticket information, and photograph gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.weathervaneplayhouse.org/"} +{"d:Title": "Zanesville Community Theatre", "d:Description": "ZCT performs 5-6 shows per year and is an all volunteer non-profit organization. The website lists current season and theatre resources. Current Tickets, Auditions, Theatre Resources, and Events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.zct.org/"} +{"d:Title": "Strongsville Community Theatre", "d:Description": "Includes current schedule, ticket and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://strongsvillecommunitytheatre.com/"} +{"d:Title": "ANTIC (Actors-n-Theatre in Cuyahoga Falls)", "d:Description": "Cuyahoga Falls' community theatre company performing at the Newell Theatre in Quirk Cultural Center.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.antictheatre.org/"} +{"d:Title": "Beechmont Players, Inc.", "d:Description": "Contains information on upcoming shows, events, and auditions as well as photos from past productions. Cincinnati.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.beechmontplayers.org/"} +{"d:Title": "Ohio Community Theatre Association ( OCTA )", "d:Description": "OCTA serves as main community theater association for the state of Ohio. It offers listings of participating theaters, theater regions, and upcoming associated events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.ohiocommunitytheatre.org/"} +{"d:Title": "Mansfield Playhouse", "d:Description": "A community theater company in Mansfield, Ohio. Provides a history of the company, upcoming shows and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.mansfieldplayhouse.com/"} +{"d:Title": "Garfield Players", "d:Description": "Cleveland, Oh. serving for over 25 years. Site has contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://garfieldplayers.org/"} +{"d:Title": "Curtain Players", "d:Description": "Description of upcoming performances, company history, audition schedule, and list of ticket prices. Galena.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.curtainplayers.org/"} +{"d:Title": "River City Players", "d:Description": "Non-profit community theatre located in Middleport who stage various performances throughout the year. Lists audition and show times, and photos of past shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://rivercityplayers.org/"} +{"d:Title": "Center Stage Players", "d:Description": "Quirky all-musical theatre troupe in Cincinnati. Schedule for the upcoming season and events as well as online ticket sales.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://www.centerstageplayersinc.com/"} +{"d:Title": "Emerald City Players", "d:Description": "Community theater group based near Columbus, Ohio. History and show information, news, tickets, and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Ohio", "url": "http://emeraldcityplayers.weebly.com/"} +{"d:Title": "Carpenter Square Theatre", "d:Description": "Oklahoma City's premier year-round live theatre, presenting a unique blend of award-winning modern works, hilarious comedy classics and outlandish musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oklahoma", "url": "http://www.carpentersquare.com/"} +{"d:Title": "Oklahoma Community Theatre Association", "d:Description": "Uniting and supporting all community theatres, organizations and participants in Oklahoma.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oklahoma", "url": "http://www.oktheatre.org/"} +{"d:Title": "Midwestern Theatre Troupe", "d:Description": "Tulsa-based theatre company, with productions at the Nightingale Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oklahoma", "url": "http://www.midwesterntheater.org/"} +{"d:Title": "Town and Gown Theatre", "d:Description": "Producing theatre in the round in Stillwater for over 50 years. Includes production photos and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oklahoma", "url": "http://www.townandgown.org/"} +{"d:Title": "Muskogee Little Theatre", "d:Description": "Fostering the interest and support of the dramatic arts in the community for over 30 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oklahoma", "url": "http://www.muskogeelittletheatre.com/"} +{"d:Title": "Gaslight Theatre", "d:Description": "Enid, OK. Northwest Oklahoma's oldest and most active community theatre. Gaslight produces 8 shows annually including Oklahoma's only free Shakespeare in the Park.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oklahoma", "url": "http://www.gaslighttheatre.org/"} +{"d:Title": "Theatre In The Grove", "d:Description": "The official web site of Theatre In The Grove, Forest Grove, Oregon. Season and audition listing, plus theatre history, ticket information and members of the Board Of Directors.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.theatreinthegrove.org/"} +{"d:Title": "Tillamook Association for the Performing Arts (TAPA)", "d:Description": "Information about the organization, shows, and tickets. Maps to venues and links to related sites.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.tillamooktheater.com/"} +{"d:Title": "Hofferber Repertory Theatre", "d:Description": "Charleston, Oregon community theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.aloratile.com/HRTinfo.htm"} +{"d:Title": "Albany Civic Theater", "d:Description": "productions, auditions and other activities at Albany, Oregon, Civic Theater", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://albanycivic.org/"} +{"d:Title": "Portland World Theatre", "d:Description": "Specializes in underrepresented works and international approaches to theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.portlandwt.com/"} +{"d:Title": "Theatre Vertigo", "d:Description": "A theatre company and acting ensemble, Portland, Oregon. Ensemble driven theatre with a focus on producing and developing new or rarely seen works.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.theatrevertigo.org/"} +{"d:Title": "The Rose Children's Theatre", "d:Description": "Eugene, Oregon. Provides theatre opportunities for youth and adults. Schedule, ticket, audition, workshop and other information provided.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.therct.com/"} +{"d:Title": "Actors Cabaret", "d:Description": "Eugene, Oregon.Presenting plays, musicals, premiere and original productions, and drama instruction for children at the ACE Youth Academy. Schedule and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.actorscabaret.org/"} +{"d:Title": "Red Octopus Theatre Company", "d:Description": "Non-profit theater performance group which produces two plays per year at the Newport Performing Arts Center, in Newport, Oregon, on the central Oregon coast.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.redoctopustheatre.org/"} +{"d:Title": "Pentacle Theatre", "d:Description": "Salem, Oregon. Provides performance schedule with cast and crew list, information about volunteering and membership, and driving directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.pentacletheatre.org/"} +{"d:Title": "Theatre West", "d:Description": "Lincoln City, Oregon. Complete schedule, ticket prices, and other information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.theatrewest.com/"} +{"d:Title": "Cottage Theatre", "d:Description": "Cottage Grove, OR. Tickets, auditions, season schedule, volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.cottagetheatre.org/"} +{"d:Title": "On Broadway Theater", "d:Description": "Coos Bay. Produces shows ranging from current Broadway hits to relatively unknown scripts, from new plays by local authors to adaptations developed for the group. Schedule, audition and ticket information, virtual tour, photo gallery, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Oregon", "url": "http://www.onbroadwaytheater.com/"} +{"d:Title": "Bryn Athyn Community Theater", "d:Description": "Presents two fully staged plays each year in southeastern Montgomery County.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.bact.org/"} +{"d:Title": "Montgomery Theater Project", "d:Description": "Intimate, live theater in Souderton, Southeastern PA. Performing comedies, musicals, drama, poetry readings, annual 10-minute play festival and musical events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.montgomerytheater.org/"} +{"d:Title": "The Savoy Company", "d:Description": "The oldest amateur theater company in the world dedicated solely to the production of the works of Gilbert and Sullivan. Productions are mounted each spring in the historic Academy of Music in Philadelphia, PA.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.savoy.org/"} +{"d:Title": "Methacton Community Theater", "d:Description": "Family oriented community theater located in Trappe, Pennsylvania and offering 4 shows a year, including a summer musical and a children's holiday show.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.methactoncommunitytheater.org/"} +{"d:Title": "Forge Theatre", "d:Description": "Producers of six annual productions, both dramas and musicals. Established in 1962 in Phoenixville, PA.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.forgetheatre.org/"} +{"d:Title": "The Stagecrafters", "d:Description": "Located in Chestnut Hill section of Philadelphia, a group producing six theatrical productions annually with auditions open to all.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.thestagecrafters.org/"} +{"d:Title": "Civic Theatre of Allentown", "d:Description": "Professionally directed community theatre serving the Lehigh Valley. Presents four or five dramas, musicals and children's theatre productions each season.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.civictheatre.com/"} +{"d:Title": "The Dramateurs at the Barn Playhouse", "d:Description": "Founded 1934 in Jeffersonville, PA. Presents a wide selection of community theater in a historic, renovated barn.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.barnplayhouse.org/"} +{"d:Title": "The Gilbert and Sullivan Society of Chester County", "d:Description": "Amateur comic light opera troupe dedicated to annual productions of shows in the Gilbert and Sullivan repertoire. Performances held in West Chester, PA.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.gsschesco.org/"} +{"d:Title": "The Brandywiners", "d:Description": "Presents summer musicals annually at Longwood Gardens. Proceeds are granted to area cultural organizations.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.brandywiners.org/"} +{"d:Title": "The Playhouse at McConnellstown", "d:Description": "Producing plays and musicals for 20 years, the Playhouse offers summer theatre near Lake Raystown in Central Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.littletheater.com/"} +{"d:Title": "State College Community Theatre", "d:Description": "Summer community theatre at State College. Up to six musicals, dramas and comedies produced each season.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.scctonline.org/"} +{"d:Title": "Rose Valley Chorus and Orchestra", "d:Description": "Amateur musical theater presented for over 90 years in the historic Hedgerow Theater in Media, PA. Repertoire includes Gilbert and Sullivan, Broadway and operetta.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.rvco.org/"} +{"d:Title": "Greensburg Civic Theatre", "d:Description": "Community theatre group near Pittsburgh that offers entertainment for children and adults plus musical theatre classes for elementary-age children.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.gctheatre.org/"} +{"d:Title": "Stages of Imagination", "d:Description": "Issues-based children's entertainment based in Springfield, PA. Presents fully staged productions and the KITES theatre education series for primary and pre-primary aged children.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.stagesofimagination.org/"} +{"d:Title": "Narberth Community Theatre", "d:Description": "Located at the United Methodist Church of Narberth in the heart of Philadelphia's Main Line suburbs. Has been presenting one or more B'way musical standards annually for over 40 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.narberthcommunitytheatre.org/"} +{"d:Title": "Hershey Area Playhouse", "d:Description": "Founded in 1999 and dedicated to bringing amateur musicals, drama and comedies to the Hershey area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.hersheyareaplayhouse.com/"} +{"d:Title": "Little Lake Theatre", "d:Description": "Based in Canonsburg, PA and presenting as many as 12 dramas annually for over 50 years. Dinner theater available.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.littlelaketheatre.org/"} +{"d:Title": "Old Academy Players", "d:Description": "Community theater located in the East Falls section of Philadelphia for nearly 80 years with performances in the historic Old Academy building.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.oldacademyplayers.org/"} +{"d:Title": "Playcrafters", "d:Description": "Community theater located in the center of Skippack Village, PA (near Lansdale). Mainstage productions for over 50 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.playcrafters.org/"} +{"d:Title": "The Playmasters", "d:Description": "Comedic community theatre in Bensalem, PA for 50 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.playmasters.org/"} +{"d:Title": "Players Club of Swarthmore", "d:Description": "Plays, musicals and reading performances with six or more fully staged productions each season.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.pcstheater.org/"} +{"d:Title": "The Barley Sheaf Players", "d:Description": "Lionville. Offers year-round dramas, comedies, musicals, one-act festivals, and educational programs for all ages. Directions to the theatre, calendar, youth, reservation, contact, and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.barleysheaf.org/"} +{"d:Title": "Colonial Playhouse Community Theater", "d:Description": "Aldan, Pa. A 62 year old non-profit community theater presenting plays, musicals, for local community entertainment year round. Schedules and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://colonialplayhouse.tripod.com/theater/"} +{"d:Title": "Saltworks Theatre Company", "d:Description": "Wexford, PA. Performing contemporary dramatic works which address the physical, emotional and spiritual needs of children, youth and families.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.saltworks.org/"} +{"d:Title": "Theatre Company of Port Richmond", "d:Description": "Located in Philadelphia, performing popular musicals, comedies, dramas. Schedule, history, ticket information and directions to the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.tcpr.org/"} +{"d:Title": "Pennsylvania Playhouse", "d:Description": "Bethlehem. A non-profit community theatre located in the Lehigh Valley. Photos, current and upcoming performances, audition and volunteer information, along with information on tickets, directions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.paplayhouse.org/"} +{"d:Title": "The Drama Group", "d:Description": "A community theatre group in Northwest Philadelphia. Site features, history, productions, ticket information and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.thedramagroup.org/"} +{"d:Title": "Village Players of Hatboro", "d:Description": "Village Players of Hatboro, PA. Continuously performing since the 1950s. Features auditions, show schedule and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://thevillageplayers.com/"} +{"d:Title": "Barnstormers Theater", "d:Description": "Ridley Park, PA. Features performers and staff from the Pennsylvania, New Jersey and Delaware areas. \"The oldest continually operating community theater in the country.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.barnstormerstheater.com/"} +{"d:Title": "Stone Arch Players of Lewistown", "d:Description": "Central Pennsylvania. Season information, audition opportunities, and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.stonearchplayers.com/"} +{"d:Title": "Music Box Players", "d:Description": "Buffet dinner theatre with main stage and children's musicals throughout the year. Based in Swoyersville, PA.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.musicbox.org/"} +{"d:Title": "Catasauqua Area Showcase Theatre", "d:Description": "C.A.S.T. is based in Catasauqua, offering an annual musical production in spring. Includes news, calendar, photographs, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.cattytheatre.org/"} +{"d:Title": "All An Act Productions (A3)", "d:Description": "Erie PA. Schedule, upcoming shows, other information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.allanact.net/"} +{"d:Title": "Chambersburg Community Theatre, Inc.", "d:Description": "Provides local theatre for all ages, including a youth program and summer camp. Lists audition details and upcoming shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://cctonline.org/"} +{"d:Title": "DCP Theatre", "d:Description": "Community theatre founded in 1952 and located in the Perkiomen Valley of Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.dcptheatre.com/"} +{"d:Title": "MunOpCo Music Theatre", "d:Description": "Allentown, PA (Lehigh Valley). Broadway Musicals in a community, family atmosphere. Past shows, history, and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.munopco.org/"} +{"d:Title": "Avon Grove Community Theater", "d:Description": "London Grove, PA. Provides theatrical entertainment including dinner theaters, drama camps, talent shows and summer musicals in a local venue.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.actheater.org/"} +{"d:Title": "Community Theatre League, Inc.", "d:Description": "Presenting musicals, dramas and comedies to the Williamsport area. Includes full-length musicals and plays, children's shows, student productions, and a number of concerts.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://www.ctlshows.com/"} +{"d:Title": "King of Prussia Players", "d:Description": "Community theatre in King of Prussia since 1957. Production calendar and history, audition information, tickets online.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "http://kofpplayers.com/"} +{"d:Title": "South Park Theatre", "d:Description": "Pittsburgh PA. for both adults and children in Allegheny County. Shows, tickets, calendar.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Pennsylvania", "url": "https://sites.google.com/a/southparktheatre.com/south-park-theatre/"} +{"d:Title": "Theatre Works", "d:Description": "Community theatre group in Woonsocket performing musicals, comedies, dramas, and children's productions. Schedule, location, subscription information, and other news and information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Rhode_Island", "url": "http://www.twri.org/"} +{"d:Title": "Encore Repertory Company", "d:Description": "Performs at the Stadium Performing Arts Centre in Woonsocket Rhode Island. Ticket information, behind-the-scenes talk, volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Rhode_Island", "url": "http://www.encorerepco.org/"} +{"d:Title": "Workshop Theatre of South Carolina", "d:Description": "Offers live performances of several plays each year, acting classes and opportunities for volunteers to get involved in theatre. Contains audition, volunteering, membership, and season information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://www.workshoptheatre.com/"} +{"d:Title": "Town Theatre", "d:Description": "Columbia. Existing since the early 1900's, and performing in what is the oldest community theatre building in continuous use in the United States. Includes season schedule, auditions, membership and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://www.towntheatre.com/"} +{"d:Title": "The Clemson Little Theatre", "d:Description": "Information on the current season, membership, news and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://www.clemsonlittletheatre.com/"} +{"d:Title": "Orangeburg Part-Time Players", "d:Description": "Presenting the community four regular productions, children's shows, and other special events each year. Includes season, membership and ticket information, group history, and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://www.optp.org/"} +{"d:Title": "Charleston Stage at the Dock Street Theatre", "d:Description": "Offers traditional musicals and plays, as well as original plays. Includes information about apprenticeships, auditions, acting classes, contributions, news, school matinees, special and coming events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://www.charlestonstage.com/"} +{"d:Title": "Greenville Little Theatre", "d:Description": "Stages six shows each year including drama, comedy, and musicals. Information about the current season, history, biographies, and auditions, opportunities for volunteering, and theatre classes.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://www.greenvillelittletheatre.org/"} +{"d:Title": "Aiken Community Playhouse", "d:Description": "Information about the current season, auditions and membership.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://aikenplayhouse.us/"} +{"d:Title": "Oconee Community Theatre", "d:Description": "Season schedule listing, ticket information, history, news letter, production photos and information for the director.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/South_Carolina", "url": "http://www.oconeetheatre.org/"} +{"d:Title": "Pull-Tight Players", "d:Description": "Community theatre group based in Franklin that stage plays and musicals. Show details and ticket information available online.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Tennessee", "url": "http://www.pull-tight.com/"} +{"d:Title": "Oak Ridge Playhouse", "d:Description": "East Tennessee's oldest community theatre. Includes show schedules and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Tennessee", "url": "http://www.orplayhouse.com/"} +{"d:Title": "Jackson Theatre Guild", "d:Description": "Non-professional community theatre performing plays and musicals. Production and rehearsal schedules, news, and other information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Tennessee", "url": "http://www.jtgonline.com/"} +{"d:Title": "ACT 1 - Artists' Cooperative Theatre", "d:Description": "Nashville organization specializing in theatrical gems, both classic and modern. Describes philosophy and provides information about production and audition schedules, tickets, and other topics.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Tennessee", "url": "http://www.act1online.com/"} +{"d:Title": "Jonesborough Repertory Theatre", "d:Description": "Upcoming events, ticket information, educational programs, and children's theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Tennessee", "url": "http://jonesboroughtheatre.com/"} +{"d:Title": "Circle Arts Theatre", "d:Description": "Community theatre in New Braunfels, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.circleartstheatre.org/"} +{"d:Title": "Denton Community Theater", "d:Description": "Site incorporates several area groups including theater, opera, chamber music and storytellers, all in the Denton, Texas area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.campustheatre.com/"} +{"d:Title": "Fredericksburg Theater Company", "d:Description": "Community Theatre in Fredericksburg, in the Texas Hill Country.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.fredericksburgtheater.org/"} +{"d:Title": "Company Onstage, Inc.", "d:Description": "Community theatre in Houston, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.companyonstage.org/"} +{"d:Title": "Crighton Players", "d:Description": "Community theatre in Conroe, TX, with information about tickets and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.crightonplayers.org/"} +{"d:Title": "Harbor Playhouse", "d:Description": "In Corpus Christi, TX, includes show schedules, auditions and classes.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.harborplayhouse.com/"} +{"d:Title": "Way Off Broadway Community Players", "d:Description": "Cedar Park, Texas.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.wobcp.org/"} +{"d:Title": "Rockwall Community Playhouse", "d:Description": "Amateur theatre company located in Rockwall. Contains information on current season, ticket information and directions to theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.rockwallcommunityplayhouse.org/"} +{"d:Title": "Backdoor Theatre", "d:Description": "Community theatre in Wichita Falls. Information about current and upcoming shows, on both stages, as well as helpful theater-related links, and details about the New Play competition.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.backdoortheatre.org/"} +{"d:Title": "Irving Community Theater", "d:Description": "Irving, TX", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.irvingtheatre.org/"} +{"d:Title": "Theatre Arlington", "d:Description": "Ticket information, show schedule, mailing list, and information about classes and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.theatrearlington.org/"} +{"d:Title": "The Fan Factory", "d:Description": "The Fan Factory Theatre Company, Houston TX", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.fanfactory.org/"} +{"d:Title": "Temple Civic Theatre", "d:Description": "Live Community Theatre in Central Texas since 1965", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.artstemple.com/tct.html"} +{"d:Title": "The Upstagers", "d:Description": "Del Rio's Award Winning Community Theatre group.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.upstagers.org/"} +{"d:Title": "Butterfield Stage", "d:Description": "Community theatre in Gainesville, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.butterfieldstage.org/"} +{"d:Title": "Klein Drama", "d:Description": "Serving Spring, Texas since 1972, Klein Drama has been bringing the best of Broadway to this Houston suburb with such productions as Crazy for You, Amadeus, and Hamlet.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.kleindrama.com/"} +{"d:Title": "Uptown Players", "d:Description": "Community theater for the Dallas metroplex. Upcoming shows, tickets, audition, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.uptownplayers.org/"} +{"d:Title": "La Rita Performing Arts Theatre", "d:Description": "Historic theatre in Dalhart, Texas with information about shows and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://larita.org/"} +{"d:Title": "EASY Theatre", "d:Description": "Producing shows and conducting classes. Includes the class and show schedule, ticket information, and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.easytheatre.com/"} +{"d:Title": "Waxahachie Community Theatre", "d:Description": "Upcoming shows, ticket prices, map to the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.waxahachiecommunitytheatre.com/"} +{"d:Title": "Midland Community Theatre", "d:Description": "Midland, Tx. Includes contact, show, and ticket information, with production photographs. Also information about the McLaren Comedy Playwriting Contest.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.mctmidland.org/"} +{"d:Title": "Amarillo Little Theater", "d:Description": "Amarillo, Texas. Lists the show schedule, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.amarillolittletheatre.org/"} +{"d:Title": "UpStage Theatre", "d:Description": "Family oriented community theatre in Houston, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.upstagetheatre.org/"} +{"d:Title": "The Wimberley Players", "d:Description": "Serving the Texas Hill country. Current production, board members, ticket information, and directions to the theatre are all available.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.wimberleyplayers.org/"} +{"d:Title": "Clear Creek Country Theatre", "d:Description": "Nassau Bay. Includes performance schedule, audition information, how to help, and information about classes and workshops offered.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.clearcreekcountrytheatre.org/"} +{"d:Title": "Boerne Community Theatre", "d:Description": "Boerne, TX. Season schedule, auditioning opportunities and cast lists. Performing since 1991.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.boernetheatre.org/"} +{"d:Title": "Frisco Community Theatre", "d:Description": "Show schedule, audition information, and newsletters.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.friscocommunitytheatre.com/"} +{"d:Title": "Tyler Civic Theatre Center", "d:Description": "Site of two-stage community/regional theater in Tyler, Texas", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.tylercivictheatre.com/"} +{"d:Title": "Gente de Teatro", "d:Description": "Houston, Texas. Presents plays by Latin American and Spanish authors, at Rice University and other venues. Text in Spanish or English.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.gentedeteatro.org/"} +{"d:Title": "Texas Nonprofit Theatres", "d:Description": "Promotes community theaters in the state by publishing a newsletter, offering consulting services, and posting job opportunities. Includes forum, membership information, and calendar of events.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.texastheatres.org/"} +{"d:Title": "Et Voil\u00e0 Th\u00e9\u00e2tre", "d:Description": "A volunteer-based organization whose purpose is to promote language though theatrical expression. It is located in Houston, Texas.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.etvoilatheatre.org/"} +{"d:Title": "Camille Playhouse - Brownsville, TX", "d:Description": "Current show information, the season schedule, background and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.freewebs.com/camilleplayhouse/"} +{"d:Title": "El Paso Playhouse Kiosk", "d:Description": "Two theatres in El Paso, TX combined to make one. Ticket information, performance schedules, list of shows already performed.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.elpasoplayhouse.com/"} +{"d:Title": "Sam Bass Community Theatre", "d:Description": "Live theatre venue in Round Rock. Includes a list of upcoming performances, photos, newsletter subscription information, mailing list, and reservation information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.sambasstheatre.org/"} +{"d:Title": "Music Theatre of Denton", "d:Description": "Season schedule, ticket information and volunteer opportunities. MTD produces only musical theatre and light opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.musictheatreofdenton.com/"} +{"d:Title": "Royal Theater - Archer City Texas", "d:Description": "The Royal Theater, in Archer City, is the historic theater in The Last Picture Show. Site has ticket information and scheduled performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.royaltheater.org/"} +{"d:Title": "Port Lavaca Main Street Theatre", "d:Description": "A nonprofit organization which provides live entertainment in Calhoun County. History of group, ticket and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.plmainstreet.org/theatre.html#start"} +{"d:Title": "Permian Playhouse", "d:Description": "Odessa TX. Season schedule, audition opportunities, information about the board.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.permianplayhouse.com/"} +{"d:Title": "Onstage in Bedford", "d:Description": "A community theater group in Bedford, Tx. Features current production information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.onstageinbedford.com/"} +{"d:Title": "Fort Bend Theare", "d:Description": "Presents shows in Stafford, TX. Lists audition details, education, history, and performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.fortbendtheatre.com/"} +{"d:Title": "Pasadena Little Theatre", "d:Description": "Pasadena, TX. Auditions, workshops, current show and season schedule, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://pasadenalittletheatre.org/"} +{"d:Title": "Angelo Civic Theater", "d:Description": "Community theater in San Angelo, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.angelocivictheatre.org/"} +{"d:Title": "Theatre Under The Stars (TUTS)", "d:Description": "Musical theatrical production company which performs each summer in the Miller Outdoor Theater, as well as indoors for a season of five musicals plus \"extras\". Located in Houston, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.tuts.com/"} +{"d:Title": "Stage Right", "d:Description": "A non-professional group that focuses on comedies and musicals at the historic Crighton Theatre in Conroe, TX. Provides news and audition details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.stage-right.org/"} +{"d:Title": "Studio B Performing Arts Center", "d:Description": "Highland Village, TX. Classes, upcoming shows and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Texas", "url": "http://www.studiobtheater.com/"} +{"d:Title": "Egyptian Theatre Company", "d:Description": "Based in Park City. Offering information and news on its production season, shows, tickets and special events, including youth theatre and season subscriptions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Utah", "url": "http://www.egyptiantheatrecompany.org/"} +{"d:Title": "Hale Center Theater Orem", "d:Description": "Orem. Theatre-in-the-round, providing family entertainment. Information about the current season and production, coming season, auditions, tickets, and volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Utah", "url": "http://www.haletheater.org/"} +{"d:Title": "Valley Players Theater", "d:Description": "Waitsfield, VT. Contains information about theater calendar, Playwrights Award Contest, Cabin Fever Follies, children's theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Vermont", "url": "http://www.valleyplayers.com/"} +{"d:Title": "Lyric Theatre Company", "d:Description": "Community theatre group, founded in 1973, located in Burlington. History of the group, past and future show information and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Vermont", "url": "http://www.lyrictheatrevt.org/"} +{"d:Title": "Essex Community Players", "d:Description": "Producing shows in Essex since 1959. Provides information on current and upcoming productions, tickets, show history and people to contact.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Vermont", "url": "http://www.essexplayers.com/"} +{"d:Title": "Reston Community Players", "d:Description": "Reston Community Players is committed to excellence in community theatre for Reston, Virginia and metropolitan Washington, D.C.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.restonplayers.org/"} +{"d:Title": "ShenanArts Theatre", "d:Description": "Staunton Virginia. A Community theatre strengthening fellowship locally and Globally.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.shenanarts.org/"} +{"d:Title": "Prince William Little Theatre", "d:Description": "Manassas, Virginia. Offering musicals, dramas and comedies to the people of Prince William County and northern Virginia since 1985. Reservations can be made online.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.pwlt.org/"} +{"d:Title": "Westmoreland Players", "d:Description": "Westmoreland county, Virginia. Producing quality theater on the Northern Neck of Virginia since 1979.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.westmorelandplayers.org/"} +{"d:Title": "Dominion Stage", "d:Description": "Located in Arlington.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.dominionstage.org/"} +{"d:Title": "Castaways Repertory Theatre", "d:Description": "Woodbridge, Virginia. Season schedule, ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.castawaystheatre.org/"} +{"d:Title": "Washington Area Theatre Community Honors (WATCH)", "d:Description": "An organization founded for the adjudication and presentation of annual awards recognizing artistic and technical excellence in community theatre throughout the metropolitan Washington, D.C. area.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://washingtontheater.org/"} +{"d:Title": "Oak Grove Theater", "d:Description": "A non-profit amateur community theater in Staunton. Enjoy theater under the stars every summer. A five show season running from May through August has delighted theater goers for 49 years.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://oakgrovetheater.org/"} +{"d:Title": "Fauquier Community Theatre", "d:Description": "Warrenton, Va. FCT has earned a reputation in the Northern Virginia and Washington, DC area as an innovative theatre company offering the highest quality productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.fctstage.org/"} +{"d:Title": "Little Theatre of Norfolk", "d:Description": "Founded in 1926, The Little Theatre of Norfolk has produced some of the best plays in the Hampton Roads area. Located in historical West Ghent.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.ltnonline.org/"} +{"d:Title": "McLean Community Players", "d:Description": "McLean, VA. Musical productions. Performing at the beautiful 350 seat Alden Theatre. All shows are performed with live orchestras.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.mcleanplayers.org/MCP/"} +{"d:Title": "Wakefield Thespian, Drama, and Plays Nostalgia Plays", "d:Description": "Celebrating the plays produced at Wakefield High School, Arlington, VA by Thespian Troupe 1214 from 1964 - 1968.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://members.tripod.com/~NIPWfield/thespnostal.html"} +{"d:Title": "North Street Playhouse", "d:Description": "On the eastern shore of Virginia. Show schedule and photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://northstreetplayhouse.com/"} +{"d:Title": "NextStop Theatre Company", "d:Description": "Herndon, Virginia's community theater. Challenging the artist and audience.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Virginia", "url": "http://www.nextstoptheatre.org/"} +{"d:Title": "Olympia Little Theatre", "d:Description": "A community theater in Olympia, Washington that is celebrating its 60th season for the 1999-2000 theater year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.olympialittletheater.org/"} +{"d:Title": "Little Theatre of Walla Walla", "d:Description": "Little Theatre of Walla Walla has presented approximately four shows per season since it opened in 1944.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.ltww.org/"} +{"d:Title": "Seattle Public Theater", "d:Description": "Located at the Green Lake Bathhouse, Seattle, offers a season of plays, summer youth drama camp and school touring.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.seattlepublictheater.org/"} +{"d:Title": "Woodland Productions", "d:Description": "Kettle Falls. Presents plays and concerts produced and directed by local people. Contact, ticket, and production information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://woodlandproductions.org/"} +{"d:Title": "Central Stage Theatre of County Kitsap", "d:Description": "A community-based musical and dramatic performing arts organization in Silverdale, Washington.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.cstock.org/"} +{"d:Title": "Bremerton Community Theatre", "d:Description": "Bremerton, WA. Schedules, ticket information, volunteer opportunities, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.bremertoncommunitytheatre.org/"} +{"d:Title": "Northwest Washington Theatre Group", "d:Description": "Information about schedules, tickets, auditions and the staff. Also volunteer opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.nwtg.org/"} +{"d:Title": "Jewel Box Theatre, Poulsbo", "d:Description": "Poulsbo, WA. Schedule of plays, children's events, and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.jewelboxpoulsbo.org/"} +{"d:Title": "Western Washington Center for the Arts", "d:Description": "Energetic musical and comedic theatre for the enrichment of Western Washington.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.wwca.us/"} +{"d:Title": "Rising Star Productions Community Theater", "d:Description": "Located in Longview, Washington, Rising Star Productions is a community theater dedicated to providing high-quality, wholesome family entertainment. The site contains history, season and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.risingstarproductions.org/"} +{"d:Title": "Bainbridge Performing Arts", "d:Description": "Community theatre on Bainbridge Island, Washington providing theatre school for kids, family performances, stage plays of contemporary theatre, musicals, dance, drama and comedy. Information on tickets, productions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.bainbridgeperformingarts.org/"} +{"d:Title": "ManeStage Theatre Company", "d:Description": "Creative artists in Sumner, WA producing dynamic drama and musicals. Provides audition and performance information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington", "url": "http://www.manestagetheatre.com/"} +{"d:Title": "Washington Area Theater Community Honors", "d:Description": "WATCH is an association of community theaters in the Washington, D.C. area, including Virginia and Maryland. It judges performances of member theaters and has an annual awards program.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington,_DC", "url": "http://washingtontheater.org/"} +{"d:Title": "Hexagon Home", "d:Description": "A non-profit, volunteer theater company performing original political satire. Most proceeds are given to a designated charity.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington,_DC", "url": "http://www.hexagon.org/"} +{"d:Title": "Chevy Chase Players", "d:Description": "An award-winning community theatre in NW founded in 1923. History, show schedule and ticket prices.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington,_DC", "url": "http://chevychaseplayers.org/"} +{"d:Title": "MadCap Players", "d:Description": "Capitol Hill-based group emphasizes new plays that are fun, unique, and offbeat. Has information about the group, staff, and productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington,_DC", "url": "http://www.madcapplayers.com/"} +{"d:Title": "St. Mark's Players", "d:Description": "Community theater company, founded in 1982, performs at historic St. Mark's Church on Capitol Hill.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Washington,_DC", "url": "http://www.stmarksplayers.org/"} +{"d:Title": "Children's Theatre of Charleston", "d:Description": "Providing live theater by children, for children in Charleston.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/West_Virginia", "url": "http://www.ctoc.org/"} +{"d:Title": "Morgantown Theatre Company", "d:Description": "Youth focused, non-profit community theater company based in Morgantown, West Virginia's historic Metropolitan Theater. Tickets, events, programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/West_Virginia", "url": "http://www.morgantowntheatrecompany.org/"} +{"d:Title": "Kanawha Players", "d:Description": "Charleston WV. Since 1922. Current season, tickets, workshops, auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/West_Virginia", "url": "http://www.kanawhaplayers.org/"} +{"d:Title": "West Virginia Theatre Conference", "d:Description": "Comprised of individuals, schools, performing organizations and others who actively encourage the practice and enjoyment of the art of theatre in West Virginia.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/West_Virginia", "url": "http://www.wvtheatreassociation.org/"} +{"d:Title": "Peter Quince Performing Company", "d:Description": "Manitowoc County. Provides an opportunity for young people to produce, on their own, a full scale theatrical production. Contains news, current show, events, photos bylaws, past shows, board, and member information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.pqpc.org/"} +{"d:Title": "Florentine Opera", "d:Description": "The Milwaukee Florentine Opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.florentineopera.org/"} +{"d:Title": "Sunset Playhouse", "d:Description": "Under professional direction, volunteers from throughout the greater Milwaukee Area present an eight show season at Elm Grove.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.sunsetplayhouse.com/"} +{"d:Title": "MadStage", "d:Description": "Provides listings for live theater activities anywhere near Madison, Wisconsin.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.madstage.com/"} +{"d:Title": "The John Michael Kohler Arts Center", "d:Description": "The John Michael Kohler Arts Center", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.jmkac.org/"} +{"d:Title": "Next Act Theatre", "d:Description": "A small professional theatre located in Milwaukee WI, producing four contemporary plays a year.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.nextact.org/"} +{"d:Title": "Milwaukee Repertory Theatre", "d:Description": "Wisconsin's largest and best known theatre company, producing over a dozen plays a year on four stages.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.milwaukeerep.com/"} +{"d:Title": "First Stage Children's Theater", "d:Description": "Wisconsin's largest children's theatre and the nation's second largest theatre academy for children.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.firststage.org/"} +{"d:Title": "Chamber Theatre", "d:Description": "Literary and intelligent theatre, producing five shows a year, including the annual Shaw Festival.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.chamber-theatre.com/"} +{"d:Title": "ComedySportz", "d:Description": "Competitive theatre improvisation.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.comedysportz.com/"} +{"d:Title": "Wisconsin Association of Community Theatre", "d:Description": "Information and resource site for community theatre groups in Wisconsin.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.wact.org/"} +{"d:Title": "Great River Road Theatre", "d:Description": "Upcoming shows and information about the Great River Road Theatre of Prescott, Wisconsin.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.greatriverroadtheatre.org/"} +{"d:Title": "Isadoora Theatre Company", "d:Description": "Bailey's Harbor, WI. Show schedule, rehearsal pictures, contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.isadoora.com/"} +{"d:Title": "Wisconsin Rapids Community Theatre (WRCT)", "d:Description": "Wisconsin Rapids area. Season schedule, ticket information, and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.wrctheatre.org/"} +{"d:Title": "Chequamegon Children's Theater", "d:Description": "Drummond, WI. Upcoming and past performances, information on auditioning, donating time and/or resources, a brief history or the organization.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.cctltd.org/"} +{"d:Title": "The Boulevard Ensemble", "d:Description": "Milwaukee's premiere storefront theatre. Site features the season schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.boulevardtheatre.com/"} +{"d:Title": "La Crosse Community Theatre", "d:Description": "La Crosse, WI. Listing of upcoming productions, audition and volunteer opportunities, ticket information, history, news, staff and Board members.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.lacrossecommunitytheatre.org/"} +{"d:Title": "Hartford Players Community Theater", "d:Description": "Hartford, WI. Located at the Schauer Art Center. Tickets, auditions, history, and schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.hartfordplayersltd.com/"} +{"d:Title": "Spotlight Productions West Bend", "d:Description": "West Bend, Wisconsin. Calendar, tickets, directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.wbspotlight.com/"} +{"d:Title": "Beloit Civic Theatre", "d:Description": "Beloit WI. Season, ticket reservations, auditions, directions and other information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.beloitcivictheatre.org/"} +{"d:Title": "Red Barn Theatre", "d:Description": "Community theatre in Rice Lake, WI in operation since 1961. Five productions each year performed June through August.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://redbarntheatre-ricelake.com/"} +{"d:Title": "Broom Street Theatre", "d:Description": "A non-profit, member-controlled theater located in Madison.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://bstonline.org/"} +{"d:Title": "American Players Theatre", "d:Description": "A professional, equity, classical theatre located in Spring Green, Wisconsin, 40 miles west of Madison. The 1133 comfortably cushioned theatre seats sit in a natural amphitheater on 110 acres of woods and meadow just off the Wisconsin River.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://americanplayers.org/"} +{"d:Title": "Windfall Theatre", "d:Description": "A non-profit, professional theater company with a three-production season. Current season and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wisconsin", "url": "http://www.windfalltheatre.com/"} +{"d:Title": "Cheyenne Little Theatre Players", "d:Description": "Offering plays, dramas, musicals, stage shows and live theater. Information on current productions, tickets, auditions and rehearsals, group history, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Community_Theatre/United_States/Wyoming", "url": "http://www.cheyennelittletheatre.org/"} +{"d:Title": "Unified Professional Theatre Auditions", "d:Description": "A combined audition held every February in Memphis, Tennessee for year-round professional actors, production personnel, and theatre companies.", "topic": "Top/Arts/Performing_Arts/Theatre/Conferences", "url": "http://www.upta.org/"} +{"d:Title": "Ojai Playwrights Conference", "d:Description": "Playwrights retreat based in Ojai, CA, devoted to new play development for writers from around the USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Conferences", "url": "http://www.ojaiplays.org/"} +{"d:Title": "Mid America Theatre Conference", "d:Description": "Organization hosts yearly academic conference discussing many facets of the theatre arts from a theoretical, critical and historical viewpoint. View their membership information, call for papers announcements, and mission.", "topic": "Top/Arts/Performing_Arts/Theatre/Conferences", "url": "http://www.matc.us/"} +{"d:Title": "Dixie Stampede Dinner Show", "d:Description": "Includes show schedule, menus, attraction information, and photographs. Located in Branson, Missouri, Myrtle Beach, South Carolina, and Pigeon Forge, Tennessee.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.dixiestampede.com/"} +{"d:Title": "Titanic Entertainments", "d:Description": "Restaurant that is a combination of dining and theatre to give the appearance of being aboard the RMS Titanic. Victoria, Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.titanic.com.au/"} +{"d:Title": "Great Lakes Productions", "d:Description": "Rochester and New York State's source for interactive comedy wedding, mystery dinner theatre and legendary voices shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.joeyandmaria.com/"} +{"d:Title": "New Theatre Restaurant", "d:Description": "Broadway comedies and musicals with stars from TV, film, and stage, and classic American dining year round in the Kansas City metro area.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.newtheatre.com/"} +{"d:Title": "Fireside Dinner Theatre", "d:Description": "The midwest's best combination of fine dining and professional theatre. Broadway musicals cast from New York talent.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.firesidetheatre.com/"} +{"d:Title": "The Barn Dinner Theatre", "d:Description": "Dinner and a Broadway play at the oldest dinner theater in the US in continuous operation. History, auditions, dining, and show information. Greensboro, NC.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.barndinner.com/"} +{"d:Title": "Candlelight Pavilion Dinner Theater", "d:Description": "Twentieth anniversary season of the casually elegant dinner theater in Clairmont, California. Schedules, prices, online tickets and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.candlelightpavilion.com/"} +{"d:Title": "The Newport Playhouse&Cabaret Restaurant", "d:Description": "A wonderful hearty and huge buffet - a great play and a fun cabaret - all under one roof.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.newportplayhouse.com/"} +{"d:Title": "Olde Towne Dinner Theatre", "d:Description": "A dinner theatre with fine dining, celebrating 20 years of entertainment, in Worthing, South Dakota.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://oldetownetheatre.org/"} +{"d:Title": "JM Productions&Children's Corner", "d:Description": "Produces dinner and cabaret performances at The Fox and Hound Restaurant in Quincy, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.jmproductionspresents.com/"} +{"d:Title": "Finnegan's Wake", "d:Description": "Seasonal dinner theater in Boston presenting Irish comedy dinner show.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.finnswake.com/"} +{"d:Title": "The Tivoli", "d:Description": "Venue for corporate or private functions, weddings, night of live entertainment or brunch. Brisbane, QLD.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.thetivoli.net.au/"} +{"d:Title": "Kelowna Actors Studio", "d:Description": "Community based theatre performing four main productions a year. Suitable for all ages. Kelowna, BC.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.kelownaactorsstudio.com/"} +{"d:Title": "Joey and Gina's Comedy Wedding", "d:Description": "Interactive dinner experience in Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.joeyandgina.com/"} +{"d:Title": "Roger Rocka's Dinner Theater", "d:Description": "Offers details on season, shows, menus and history with links to online ticket purchase and gift certificates. Fresno, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://rogerrockas.com/"} +{"d:Title": "Greg Frewin Dinner Theatre", "d:Description": "A 700 seat dinner theatre, presenting Las Vegas Illusion shows nightly.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.gregfrewintheatre.com/"} +{"d:Title": "Stars Theatre Restaurant and Bakersfield Music Theatre", "d:Description": "Delivers Broadway-style musicals to Bakersfield, California. Also offers a School of Fine Arts for children of all ages.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://bmtstars.com/"} +{"d:Title": "The Mill at Sonning", "d:Description": "Dinner Theatre in a restored 18th century flour mill on the River Thames at Sonning Eye near Reading on the Oxford/Berkshire border.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.millatsonning.com/"} +{"d:Title": "Arizona Broadway Theatre", "d:Description": "Operating year-round, Arizona Broadway Theatre will produce major musical theater productions, encompassing a wide spectrum of Broadway favorites", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://azbroadway.org/"} +{"d:Title": "Murry's Dinner Playhouse", "d:Description": "Located in Little Rock Arkansas, combines great dinner and great theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://murrysdp.com/"} +{"d:Title": "AKA Interactive Entertainment", "d:Description": "Italian dinner theater show from California's AKA Interactive Entertainment. Show description and ticket information for corporate and private use.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://akashows.com/"} +{"d:Title": "Rainbow's Comedy Playhouse", "d:Description": "Comedy dinner theatre. Paradise, PA.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://rainbowcomedy.com/"} +{"d:Title": "Early Bird Dinner Theater", "d:Description": "Offers live professional theater and buffet dinner. Clearwater, FL.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://earlybirddinnertheater.com/"} +{"d:Title": "Paris Cabaret - Starline Room Dinner Theatre", "d:Description": "Musical revues hosted by the Kampanelas family in Stoughton, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://starlineroom.weebly.com/"} +{"d:Title": "Off Broadway Arts Centre", "d:Description": "Information about ticket prices and performance times, theatrical productions and arts centre. Saskatoon, SK.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre", "url": "http://www.offbroadway.ca/dinner_theatre.html"} +{"d:Title": "Slixer Entertainment", "d:Description": "Mystery dinners and weekends, plus corporate mysteries, and store. Canada, the US and UK locations.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery", "url": "http://www.slixer.com/"} +{"d:Title": "Eddie May Mysteries Dinner Theatre", "d:Description": "Performing dinner theatre and private whodunnit performances. Includes reviews, breakdown of a typical evening, and list of corporate clients. Ottawa, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery", "url": "http://www.eddiemay.com/"} +{"d:Title": "Pegasus Performances", "d:Description": "Humorous interactive performances, Murder Mysteries, and Medieval Feasts. Primarily in dinner theatre format for corporate shows. Calgary, Alberta.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery", "url": "http://www.pegasus-performances.com/"} +{"d:Title": "Mysteriously Yours", "d:Description": "Interactive, murder mystery dinner theatre in Toronto and private, customized mysteries for groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery", "url": "http://www.mysteriouslyyours.ca/"} +{"d:Title": "The Mysterious Players", "d:Description": "Murder mystery dinner theatre group in Guelph, Ontario. Performing live, interactive entertainment since 1986.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery", "url": "http://www.mysteriousplayers.com/"} +{"d:Title": "Random Acts Musical Murder Mysteries", "d:Description": "Performs an interactive musical murder mystery and cabaret company in the United States.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.random-acts.net/"} +{"d:Title": "Murder Ink Productions", "d:Description": "Arizona company offers interactive murder mysteries, team building events and stage shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.murderinkproductions.com/"} +{"d:Title": "Theatre of Interactive Drama, Inc.", "d:Description": "Audience participation mystery dramas in which guests play the parts. This site also offers treasure hunts, strolling characters, Father Christmas, and other interactive events for parties, street festivals, and banquets.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://interdrama.com/"} +{"d:Title": "Murder By Design", "d:Description": "For murder mystery parties and corporate training they offer a range of scenarios all customised for the individual clients and their guests, led by professional performers. Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.murderbydesign.com.au/"} +{"d:Title": "GibsonHouse Mystery Performers", "d:Description": "Offering a murder mystery dinner theatre, mysteries and interactive plays and comedy in Napa Valley, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.gibsonhouse.com/"} +{"d:Title": "Murder on the Menu", "d:Description": "Janet Rudolph's San Francisco based Murder on the Menu specializes in customized murder mysteries. Site is also home of Mystery Reader's Journal.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.murderonthemenu.com/"} +{"d:Title": "True View Events", "d:Description": "Murder Mystery to Film days and Treasure Hunts. Unique corporate entertainment events to entertain excite and unify your company.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.trueviewevents.co.uk/"} +{"d:Title": "It's A Mystery To Me", "d:Description": "Ontario murder mystery shows for private or corporate events, and parties. Emphasis on fun and humor.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.mysterytome.com/"} +{"d:Title": "Hit and Run Productions Murder Mysteries", "d:Description": "Murder mysteries for your party or organization. They can produce anything from a fully interactive experience to a sit down dinner show.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.mysteriesforhire.com/"} +{"d:Title": "Big Time Murder", "d:Description": "Radio play dinner theatre, hillbilly wedding, murder mystery dinner theatre and customized to your group or event.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.bigtimemurder.com/"} +{"d:Title": "Terry Shane Murder Mysteries", "d:Description": "Terry Shane Murder Mysteries is a Calgary company that produces interactive murder mysteries for groups from ten people to hundreds.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.terryshanemurdermysteries.ca/"} +{"d:Title": "Red Herring Productions", "d:Description": "provider of portable entertainment and educational services for corporations, private parties, and public institutions; specialists in audience participation mystery performances and training.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.redherringproductions.com/"} +{"d:Title": "Invitation to Murder", "d:Description": "Offering in-home mystery parties in Boston, New York, Philadelphia and the state of Virginia.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.invitationtomurder.com/"} +{"d:Title": "Murder To Go", "d:Description": "Murder To Go claims to be the world's first interactive theater company and the inventors of the interactive mystery play.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://murdertogo.com/"} +{"d:Title": "Dial M Productions", "d:Description": "Complete interactive entertainment since 1984. Dial \"M\" provides professional, Los Angeles based actors, personalized scripts, live music, full scale productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.murdermysteryla.com/"} +{"d:Title": "Blitz Entertainment", "d:Description": "Scotland - corporate and public functions.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.blitzentertainment.com/"} +{"d:Title": "Murder Mystery Events", "d:Description": "Organizes murder mystery parties and weekends around the United Kingdom. Supplier of themed murder mystery venues and supporting actors.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/Corporate_Entertainment", "url": "http://www.murder-mystery.co.uk/"} +{"d:Title": "The Killing Game", "d:Description": "Murder mystery events, evenings and weekends throughout England. Private and public events. Description, mailing list and diary.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.killinggame.co.uk/"} +{"d:Title": "Murder Mystery Event Organisers", "d:Description": "Murder Mystery event organisers. Pictures, how to organise, price guide and booking form.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murderbyappointment.org.uk/"} +{"d:Title": "Dangerous Liaisons Murder Mysteries", "d:Description": "Providing private and public murder mystery dinners and weekends, medieval banquets, corporate events and other themed entertainment.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.dangerous-liaisons.co.uk/"} +{"d:Title": "UpFront Murders", "d:Description": "Evening and weekend events, corporate or private, throughout the UK. Also provides role play actors, wacky waiters, mix and mingle characters and annoying guests.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murder-party.co.uk/"} +{"d:Title": "Murder We Wrote", "d:Description": "Company based in Southern England. How it works, sample plot and testimonials.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murderwewrote.co.uk/"} +{"d:Title": "Comedy of Murders", "d:Description": "Interactive comedy murder mysteries in venues throughout North East England. Private and corporate shows available.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.comedyofmurders.co.uk/"} +{"d:Title": "Mystery Events", "d:Description": "Participation mystery events with flexible formats for team building, fun and corporate events. Includes a timetable and several storylines. Based in St Albans, Hertfordshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.mysteryevents.co.uk/"} +{"d:Title": "Cloak&Dagger", "d:Description": "Company in Hampshire covering a one hundred mile radius. Describes scenarios and lists murder events open to the public.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murderdinner.co.uk/welcome.htm"} +{"d:Title": "Murder One", "d:Description": "Organisers offer corporate events including weekends, evening and overnighters. Modus operandi and photographic evidence.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murder-one.com/"} +{"d:Title": "Murder to Measure", "d:Description": "Offering tailor-made and off the peg events. Includes FAQs, booking form, and information about formats and past events. Based in Somerset.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murdertomeasure.co.uk/"} +{"d:Title": "Murder Mystery Meals Company", "d:Description": "Murder mystery dinners and weekends for corporate and private events.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murder-meals.co.uk/"} +{"d:Title": "Katchakilla Murder Mystery", "d:Description": "Southern United Kingdom based company offering murder mystery evenings and weekends. Includes synopses of a wide variety of scripts.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murdermystery.net/"} +{"d:Title": "Murders Assured", "d:Description": "Stages murder mystery evenings and weekends in Devon and South West England. Includes a list of upcoming events, locations and dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.murdersassured.co.uk/"} +{"d:Title": "Killing Time", "d:Description": "Provides murder mystery entertainment for private and corporate groups within the United States.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.killingtime.com/"} +{"d:Title": "Art Deco Productions", "d:Description": "Murder mystery events (including parties, evenings and weekends) run by Martin Gaisford, an Agatha Christie officially endorsed Hercule Poirot lookalike, for corporate or private functions and team building. Oxford based.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.artdecoproductions.co.uk/"} +{"d:Title": "Irregular Special Players", "d:Description": "Sherlock Holmes and Inspector Morse events. Murder and mystery tours, and books. Cambridge.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://www.crime4u.com/homepage.html"} +{"d:Title": "Murder Mystery Shop", "d:Description": "Online catalogue of shared murder mystery parties and private murder mystery events and weekends held throughout the UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_Kingdom", "url": "http://murdermysteryevents-com.simpletix.com/"} +{"d:Title": "Hunt Mystery Dinner Theater", "d:Description": "Interactive murder mystery dinner theater, based in Salt Lake, Utah. Enjoy singing, dancing, comedy, and fun for your entire family.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.huntmysteries.com/"} +{"d:Title": "Cloak&Dagger", "d:Description": "This Columbus, Ohio dinner theater offers interactive mystery dinner theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.cloakdagger.com/"} +{"d:Title": "Comical Mystery Tour Theater Troupe, The", "d:Description": "Troupe available for entertaining dinner guests at restaurants, corporate events, fund raisers and private parties. Based in western Massachusetts.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.thecomicalmysterytour.com/"} +{"d:Title": "The Dinner Detective", "d:Description": "Offers four-course dinners intermixed with a hilarious murder mystery show. Located in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.thedinnerdetective.com/"} +{"d:Title": "Gourmet Detective", "d:Description": "A comical interactive murder mystery dinner show in Southern California. You will laugh, witness a crime and help solve the mystery while dinner is served to you by the suspects.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.gourmetdetective.com/"} +{"d:Title": "Keith&Margo's Murder Mystery Dinners", "d:Description": "Dinners for mystery lovers are currently scheduled in selected Los Angeles, Orange County, and Riverside, California restaurants.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.murdermystery.com/asp-bin/mm/mm.asp"} +{"d:Title": "Killer Entertainment and Dinner Theatre", "d:Description": "Comedy and murder mystery shows, cruises, and events in Southern California. Audience involvement, gourmet dinners, and professional performers.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.killerentertainment.com/"} +{"d:Title": "MurderS She Wrote", "d:Description": "Touring murder mystery dinner theatre troupe serving the Tampa, Orlando, Clearwater and St.Petersburg area in Florida.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.murdersshewrote.com/"} +{"d:Title": "Mystery Cafe", "d:Description": "Includes show and dinner theater cruise schedule, online ticket ordering, and information about their corporate team building exercises. Locations in eastern Massachusetts and Fairfax, Virginia.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.mysterycafe.com/"} +{"d:Title": "Mystery Cafe in Indianapolis", "d:Description": "A funny, interactive, 4-act whodunnit is performed during a 4-course meal. Private shows available.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.themysterycafeindy.com/"} +{"d:Title": "The Mystery Company", "d:Description": "Murder mystery theater offering a combination of a theatrical performance with the fun of an interactive whodunit. Upstate New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.mysterycompany.net/"} +{"d:Title": "The Mystery Mansion", "d:Description": "Dinner theatre in Phoenix and Tucson Arizona, featuring the show Murder At Greystone Manor.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.mysterymansion.com/"} +{"d:Title": "Mystery's Most Wanted", "d:Description": "Unique Pittsburgh based murder mystery dinner theatre. Shows with lots of improvisation and audience participation.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.mysterysmostwanted.com/"} +{"d:Title": "Theater to Go", "d:Description": "New Jersey-based dinner theater. Public performances and traveling troupe.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.theatertogo.com/"} +{"d:Title": "Without A Cue Murder Mysteries", "d:Description": "Murder mystery dinner theater performed in New Jersey. Now playing \"Homicide on the Range\".", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.withoutacue.com/"} +{"d:Title": "Comedymurdermysteries.com", "d:Description": "Available for murder mysteries, roasts, and corporate events in the mid-Michigan region. Contact and booking information included.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.comedymurdermysteries.com/"} +{"d:Title": "Entree Act", "d:Description": "A traveling troupe offers Northern VA unique murder mysteries. Includes profile, details of available plays, image gallery and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.entreeact.com/"} +{"d:Title": "Maverick Productions", "d:Description": "Stages fully interactive comedy murder mystery shows at locations in Virginia as well as on a cruise to Bermuda from Baltimore.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://maverickmurdermystery.com/"} +{"d:Title": "Riddlesbrood Touring Theatre Company", "d:Description": "Performs murder mysteries and comedy focused live entertainment for private parties, restaurants, fundraisers and other events in the Deleware Valley area, NJ, PA, and NY.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.riddlesbrood.com/"} +{"d:Title": "Sharpo Inc. Murder Mystery", "d:Description": "Stages murder mystery dinners, parties, and other events. Headquartered in Los Angeles, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.sharpo.com/"} +{"d:Title": "MO Productions", "d:Description": "Interactive Murder Mystery Comedy entertainment company based in Denver, CO. Available for public, private, and corporate shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://moproductions.net/"} +{"d:Title": "Memory Works Dinner Theater", "d:Description": "Provides murder mystery shows for banquets, holiday parties, company and club events, fundraisers and other gatherings in northern Ohio.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.memoryworksdinnershows.com/"} +{"d:Title": "Murder Mystery Players", "d:Description": "Producers of murder mystery dinner theater, parties, scavenger hunts, murder mystery weekends and corporate events all over the USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.mysteryplayers.com/"} +{"d:Title": "The Killing Kompany", "d:Description": "Performs murder mystery evenings for private events around the world as well as public shows in New York City, Long Island, and Upstate New York. Casts based in New York and Los Angeles.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.killingkompany.com/"} +{"d:Title": "Bissell Mansion Mystery Dinner Theatre", "d:Description": "Visitors help detectives attempt to solve a fiendishly funny crime while enjoying a four course dinner. Located in St.Louis, MO.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.bissellmansiontheatre.com/MysteryTheatre.htm"} +{"d:Title": "Murder Mystery Dinner Parties", "d:Description": "Offers a variety of interactive murder mystery parties for most budgets. Helps plan perfect parties from start to finish. Located in the Chicagoland area.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.murdermysterydinnerparties.com/"} +{"d:Title": "Ar Brothers Entertainment", "d:Description": "Offers original written interactive murder mysteries for corporate events and public performance in the Carolinas.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.arbrothers.net/"} +{"d:Title": "Mystery Cafe in Minneapolis", "d:Description": "Interactive comedy murder mystery dinner theater for the general public, as well as corporate clients, either at our own venues or off-site.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.themysterycafe.com/"} +{"d:Title": "Act4Murder", "d:Description": "Professional actors presenting comedic murder mysteries. Includes events calendar, scripts, and performer profiles.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.act4murder.com/"} +{"d:Title": "Murder Mystery Inc.", "d:Description": "Murdered by the Mob now playing in NYC. Corporate and traveling troupe.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.murdermysteryinc.com/"} +{"d:Title": "Mystery Cafe in San Diego", "d:Description": "Dinner theatre in San Diego. 4-act comedy play served up with a 4-course meal. Buy tickets online.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://sandiegomysterycafe.com/"} +{"d:Title": "The Murder Mystery Company", "d:Description": "Murder mystery dinner theater for public audiences and privately hosted parties and events by the experts in mystery entertainment.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "https://www.grimprov.com/"} +{"d:Title": "Mystery Dinner Theatre", "d:Description": "Upstairs at the Bistro Romano in Philadelphia", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.bistroromano.com/mystery-theatre/"} +{"d:Title": "Mystery Theatre Pittsburgh", "d:Description": "Murder mystery dinner theater company producing shows at regional Pittsburgh restaurants.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.mysterypittsburgh.com/"} +{"d:Title": "Upstage Productions", "d:Description": "Interactive comedy murder mysteries at wineries and dinner trains across the United States. Monthly e-mail newsletter containing mini-mysteries and contests.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://upstageproductions.blogspot.com/"} +{"d:Title": "Redrum Murder Mysteries", "d:Description": "Fully immersive murder mystery shows in Colorado Springs, CO.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.redrummurdermysteries.com/"} +{"d:Title": "Murder by Chocolate Dinner Theatre", "d:Description": "While being served dinner, a mystery occurs and everyone there is a suspect and will have a unique role in solving this mystery in Houston, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.murderbychocolate.com/"} +{"d:Title": "Jest Murder Mysteries", "d:Description": "Professional comedy interactive improvisational mystery shows in several locations in Missouri and Illinois.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://jestmurdermystery.com/"} +{"d:Title": "Poison Ivy Mysteries", "d:Description": "Murder Mystery Dinner Theatre that brings out your inner detective through crime solving entertainment. Headquartered in Salt Lake City, Utah.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.poisonivymysteries.com/"} +{"d:Title": "Suspects Murder Mystery Dinner Theater", "d:Description": "A fast-paced audience participation murder mystery comedy in Old Sacramento aboard the Delta King Riverboat.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.suspectstheater.com/"} +{"d:Title": "Motive For Murder", "d:Description": "Providing murder mystery entertainment for corporate functions, team building, public/private/ holiday parties, and fundraisers, be it one night, overnight or a full weekend.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://motiveformurder.com/"} +{"d:Title": "Foul Play Cafe", "d:Description": "Albuquerque's interactive mystery dinner theater. Serving up a four course meal and a four act comedic murder mystery where you get to solve the crime.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.foulplaycafe.com/"} +{"d:Title": "Without A Net Entertainment", "d:Description": "A traveling theater company known for murder mystery dinner theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://withoutanetentertainment.com/"} +{"d:Title": "Ransom Note Entertainment", "d:Description": "Custom Murder Mystery For Your Company Event.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://www.ransomnoteentertainment.com/"} +{"d:Title": "Murder Us Productions - Dinner Theater To Die For", "d:Description": "Employing the cast and crew of Murder Us Productions for entertainment in Northern California.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://murderus.com/"} +{"d:Title": "Mayhem&Murder Productions", "d:Description": "Based in New Hampshire, stages murder mystery shows for private parties, fundraising and corporate events throughout New England.", "topic": "Top/Arts/Performing_Arts/Theatre/Dinner_Theatre/Murder_Mystery/United_States", "url": "http://murdernmayhem.com/mysteryparties/"} +{"d:Title": "Directing Theatre - Article by Debra Bruch", "d:Description": "Brief article suggesting the historical timeline giving rise to the role of the director, and a suggestion of what the role of a stage director is in contemporary theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing", "url": "http://www.danillitphil.com/base.html"} +{"d:Title": "TheatreHistory.com: The Greatest Imagist of the Theatre", "d:Description": "Excerpt from 1919 Obituary of Gordon Craig, written by Gertrude Linnell, and published in Theatre Magazine, Vol 29, No. 4, 1919. The excerpt deals with Craig's early struggling years.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing", "url": "http://www.theatrehistory.com/british/craig002.html"} +{"d:Title": "Notes on Directing", "d:Description": "A classic guidebook to the director's craft.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing", "url": "http://www.notesondirecting.com/"} +{"d:Title": "Directing Discussion List - Yahoo Groups", "d:Description": "Online forum for the classes taught at the University of Alaska in Fairbanks, student-directors generally, visitors of Film-North, and all who are interested in directing.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Education", "url": "http://groups.yahoo.com/group/director2000/"} +{"d:Title": "StageMatrix: Syllabus for Directing Class", "d:Description": "Syllabus for a class teaching a scientific/theoretical model for Stage Directing as taught at the University of Alaska at Fairbanks. Includes book lists and related material.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Education", "url": "http://www.vtheatre.net/directing/title.html"} +{"d:Title": "Drama League Directors Project", "d:Description": "An award-winning development program for directors that has placed participants with world-famous working professionals.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Education", "url": "http://dramaleague.org/?page_id=95"} +{"d:Title": "Wilson, Robert", "d:Description": "Information on the avant garde visionary Robert Wilson. Archives, Biography, Original art to buy, and look into his studi", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.robertwilson.com/"} +{"d:Title": "Powers, Kathleen", "d:Description": "Stage director offers resume and portfolio, production photos, reviews and concepts.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://plainkate.com/"} +{"d:Title": "Haims, Nolan", "d:Description": "Portfolio and resume of this New York-based stage director. Includes pictures, reviews and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.nolanhaims.com/"} +{"d:Title": "Strickland, R. Andrew", "d:Description": "Resume of the director/choreographer's theatre credits as well as archives of photos, clippings and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://theatredirector.homestead.com/"} +{"d:Title": "Patton, Leland", "d:Description": "Contact information, resume, current projects, notebooks and photos of this stage director.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.lelandpatton.com/"} +{"d:Title": "Guarino, Robin", "d:Description": "Portfolio of this American opera, theatre and film director. Includes photographs, resume and biography.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.robinguarino.com/"} +{"d:Title": "Hughes, Brendan", "d:Description": "Portfolio and resume of this freelance stage director. Includes monologue coach and graphic designer services.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.brendanhughes.com/"} +{"d:Title": "Baker, Tim", "d:Description": "CV and promotional material describing the work of this Welsh stage director. Includes touring schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.tim-baker.com/"} +{"d:Title": "Danziger, Martin", "d:Description": "Offers portfolio of this Scotland-based stage director specializing in new writing, adaptations of classics and large scale physical pieces. Includes CV and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.martindanziger.com/"} +{"d:Title": "Zarco, David", "d:Description": "Resume of professional and academic directing credits, including photos and review excerpts. Also includes a list of new plays written, both produced and unproduced, with brief descriptions, cast breakdowns and sample scenes.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://mypeoplepc.com/members/davidzarko/"} +{"d:Title": "Temme, Michael", "d:Description": "Biography and repertory for opera stage director and professor at the University for Music in Vienna.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.michael-temme.com/"} +{"d:Title": "Josepher, Laura", "d:Description": "Bio, resume and portfolio of New York City-based stage director Laura Josepher. Includes photos, reviews and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.laurajosepher.com/"} +{"d:Title": "Larosa, Gary John", "d:Description": "Professional theatrical director and choreographer working in venues nationally and internationally. Explore his biography, photos, reviews and references.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.garyjohnlarosa.com/"} +{"d:Title": "Bascetta, Joseph", "d:Description": "This international stage director offers his latest contact information, biography, reviews, resume, and production photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.josephbascetta.com/"} +{"d:Title": "Hutchins, Eugene J.", "d:Description": "This director and choreographer for opera, theatre, and dance offers his resume and a photo gallery of his work.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.eugeneh.com/"} +{"d:Title": "Merchant, Nathaniel", "d:Description": "New York City-based stage and opera director specializing in re-discovering rare and neglected works from varied composers and playwrights. View contact information, biography, reviews and production videos.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.nathanielmerchant.com/"} +{"d:Title": "Ruggiero, Rob", "d:Description": "Portfolio, biography, resume and contact information for this Connecticut-based theater director.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.robruggiero.com/"} +{"d:Title": "Andresakis, Andrea", "d:Description": "Theatre director and choreographer offers resume, biography, production photos and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.andresakis.com/"} +{"d:Title": "Randolph-Wright, Charles", "d:Description": "Resume, biography and contact information for the director of theatre, television and film.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.randolph-wright.com/"} +{"d:Title": "Marvel, James", "d:Description": "Includes resumes, reviews and interviews of the international director of opera and theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.jamesmarvel.com/"} +{"d:Title": "Condemi, Josemaria", "d:Description": "Reviews, gallery and biographical information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.josemariacondemi.com/"} +{"d:Title": "Golux, Stephan", "d:Description": "Resume and portfolio for the professional and academic work of this stage director.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://director.goluxstudio.com/"} +{"d:Title": "Kazue, Mari", "d:Description": "This site presents selections of the work of the Japanese-born creator and stage director, based in France since 1992.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://marikazue.free.fr/"} +{"d:Title": "Pi\u00f1\u00f3n, Javier L\u00f3pez", "d:Description": "Information about stage director Javier L\u00f3pez Pi\u00f1\u00f3n and his theatre productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.javierlopezpinon.com/"} +{"d:Title": "Silverstein, Harry", "d:Description": "Includes lots of great links and opera information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.harrysilverstein.com/"} +{"d:Title": "Zappia, Lary", "d:Description": "Information on international freelance stage director, including a detailed resume, and photo galleries of his past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://laryzappia.tripod.com/"} +{"d:Title": "Walford, Glen", "d:Description": "Director in the UK and international theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.glenwalford.com/"} +{"d:Title": "La Bouchardi\u00e8re, John", "d:Description": "Provides information on the UK-based director who specializes in opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.johnlabouchardiere.com/"} +{"d:Title": "Brevoort, Gregg", "d:Description": "Director, Actor and Stage Manager offers resumes, background, reviews, photo galleries and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.gbrevoort.com/"} +{"d:Title": "Williams, Paula", "d:Description": "Portfolio, resume and contact information for the New York City-based stage director of opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.paulagwilliams.com/"} +{"d:Title": "Vasilevski, Valeria", "d:Description": "A stage director, dramaturg, and librettist who also works with musicians developing \"concert theatre\" productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://home.earthlink.net/~reduta/"} +{"d:Title": "vanHeerden, Gerald", "d:Description": "Director of new and traditional works of musical theater with youth, adults and professionals.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.geraldvanheerden.com/"} +{"d:Title": "Kugler, Carey", "d:Description": "Photo gallery, biography and resume for international stage director of opera and musical theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.mindspring.com/~cdkug/"} +{"d:Title": "Kononov, Nikita", "d:Description": "Personal site of Russian stage director for opera with biography, photos and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://nikkononov.narod.ru/"} +{"d:Title": "Ware, Elizabeth", "d:Description": "Portfolio of plays directed by Elizabeth Ware - includes photos and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://elizabethware.com/"} +{"d:Title": "Maugans, Wayne", "d:Description": "Director, actor, theater teacher. Lists acting and directing credits, with CV.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://waynemaugans.com/"} +{"d:Title": "Rau, Michael", "d:Description": "Freelance theater director, working in New York City and Chicago.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://michaelrau.com/"} +{"d:Title": "Souki, Juan", "d:Description": "Venezuelan experimentalist and stage director. Features his biography, current projects and photos. [English/Spanish]", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.juansouki.com/"} +{"d:Title": "Caselli, Tony", "d:Description": "Professional stage director based in Michigan. Includes resume, reviews, photos, news and journal.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.tonycaselli.com/"} +{"d:Title": "Palacios, Carlos", "d:Description": "Director of opera and theatre's personal site includes works and curriculum vitae. [Spanish/English]", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.carlospalacios.com.ar/"} +{"d:Title": "Agins, Suzanne", "d:Description": "New York based freelance stage director. Includes resume, photos, current information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.suzanneagins.com/"} +{"d:Title": "G\u00f6ttinger, P\u00e1l", "d:Description": "A young Hungarian theatre director, lists his projects, links, pictures, dates and times. [Hungarian/English]", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://gottinger.blogspot.com/"} +{"d:Title": "Baron, Richard", "d:Description": "Freelance British stage director working throughout the UK. Contains reviews, career synopsis, latest news and production photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.richardbaron.co.uk/"} +{"d:Title": "Bond, Derek", "d:Description": "Theatre director based in London UK whose work includes plays and musicals at Theatre503, Southwark Playhouse and Watford Palace.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.derekbond.co.uk/"} +{"d:Title": "Rintoul, Douglas", "d:Description": "British theatre director whose CV includes work throughout Europe and in Australia. Includes synopses of recent work.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.douglasrintoul.com/"} +{"d:Title": "Klewin, Carrie", "d:Description": "A freelance stage director and professor of theatre based in the Washington, DC area. Provides her resume, production photos and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.carrieklewin.com/"} +{"d:Title": "Adams, Hilary", "d:Description": "Professional stage director in New York, with contact, press, photos, biography and resume information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://hilaryadams.com/"} +{"d:Title": "Maggie Levin", "d:Description": "Portfolio and blog for writer/director Maggie Levin.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.maggielevin.com/"} +{"d:Title": "DeGruccio, Nick", "d:Description": "Award-winning director residing in Los Angeles/past and future productions, pictures, reviews, contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.nickdegruccio.com/"} +{"d:Title": "Unger, Michael", "d:Description": "Resume and portfolio of this stage director with additional biographical information, reviews, production process and links to acting coach services.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.ungerdirect.com/"} +{"d:Title": "Mott, Alyce", "d:Description": "Short biography of this stage director and playwright.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://ezinearticles.com/?expert=Alyce_Mott"} +{"d:Title": "Suda, Robert Balazs", "d:Description": "A London-based Hungarian theatre director, and playwright. Includes videos, stills and other materials about his works.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors", "url": "http://www.brsuda.co.uk/"} +{"d:Title": "Theater of The Oppressed Laboratory", "d:Description": "A forum for the practice, performance and dissemination of the techniques of the Theater of the Oppressed.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Boal,_Augusto", "url": "http://www.toplab.org/"} +{"d:Title": "International Theatre of the Oppressed Organisation", "d:Description": "Established by Boal for the continuation and support of the Theatre of the Oppressed form.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Boal,_Augusto", "url": "http://www.theatreoftheoppressed.org/"} +{"d:Title": "Pedagogy&Theatre of the Oppressed", "d:Description": "A global forum based on the ideologies and actions of Paulo Freire and Augusto Boal, featuring the annual conference at the University of Nebraska Omaha.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Boal,_Augusto", "url": "http://www.ptoweb.org/"} +{"d:Title": "SITI Company", "d:Description": "SITI was founded in 1992 by Anne Bogart and Tadashi Suzuki to redefine and revitalize contemporary theater in the United States through an emphasis on international cultural exchange and collaboration.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Bogart,_Anne", "url": "http://www.siti.org/"} +{"d:Title": "Peter Brook and Traditional Thought", "d:Description": "An article on Brook's directing technique by Basarab Nicolescu.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Brook,_Peter", "url": "http://www.gurdjieff.org/nicolescu3.htm"} +{"d:Title": "Does Nothing Come from Nothing?", "d:Description": "Transcript of the famous lecture given at the Edward Lewis Theatre in London.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Brook,_Peter", "url": "http://basarab.nicolescu.perso.sfr.fr/ciret/bulletin/b15/b15c1.htm"} +{"d:Title": "On Shakespeare and the Theatrical Event", "d:Description": "Brook's opinions on the weighty topics of the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Brook,_Peter", "url": "http://www.vanceholmes.com/journey/pad01.html"} +{"d:Title": "Where is the Theatre of Grotowski?", "d:Description": "Actors of the Theatre of Grotowski, Mieczyslaw Janowski and Andrzej Paluchiewicz, present documentation and work-shop information.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Grotowski,_Jerzy", "url": "http://grot-lab.com/"} +{"d:Title": "Grotowski Source Material", "d:Description": "Useful links and reference material on the late director of the Polish Lab Theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Grotowski,_Jerzy", "url": "http://owendaly.com/jeff/grotdir.htm"} +{"d:Title": "The Odd Potato", "d:Description": "Tony Award-winning cast performs 'The Odd Potato' on CD with narration by Judd Hirsch. Special appearance by director, Hal Prince.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Prince,_Hal", "url": "http://www.theoddpotato.com/"} +{"d:Title": "BroadwayWorld.com: Hal Prince to Receive Lifetime Achievement Tony Award", "d:Description": "Producer/director Harold Prince, who currently has twenty Tony Awards, will receive a Tony for Lifetime Achievement in the Theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Prince,_Hal", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=9701"} +{"d:Title": "Wikipedia: Hal Prince", "d:Description": "Provides biography, career credits and bibliography.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Prince,_Hal", "url": "http://en.wikipedia.org/wiki/Hal_Prince"} +{"d:Title": "IMDb: Harold Prince", "d:Description": "Provides filmography, trivia and discussion board.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Prince,_Hal", "url": "http://www.imdb.com/name/nm0697660/"} +{"d:Title": "Bway Under the Stars to Celebrate Hal Prince, June 26", "d:Description": "\u201cWe are thrilled and proud to be presenting songs from the productions of the legendary director and producer Harold Prince at this year\u2019s 'Broadway Under the Stars,'\u201d said The League of American Theatres and Producers, Inc. President Jed Bernstein.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Prince,_Hal", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=10159"} +{"d:Title": "University of Rochester: Currents - February 24, 1997", "d:Description": "Hal Prince spoke at the University's 147th Commencement on May 25, 1997, and received an honorary Doctor of Fine Arts degree.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Prince,_Hal", "url": "http://www.rochester.edu/currents/V25/V25N4/story2.html"} +{"d:Title": "IBDb: Harold Prince", "d:Description": "Provides official Broadway credits, biographical information, awards and nominations.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Prince,_Hal", "url": "https://www.ibdb.com/broadway-cast-staff/harold-prince-15921"} +{"d:Title": "The Lee Strasberg Theatre&Film Institute", "d:Description": "Dedicated to the ideals, values and vision of what has become known throughout the world as the Method.", "topic": "Top/Arts/Performing_Arts/Theatre/Directing/Stage_Directors/Strasberg,_Lee", "url": "http://www.strasberg.com/"} +{"d:Title": "Jack Wolcott's Theatre History", "d:Description": "Directory of websites related to theatre history.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.videoccasions-nw.com/history/jack.html"} +{"d:Title": "Internet Broadway Database, The", "d:Description": "A database of shows produced on Broadway, including all \"title page\" information about each production.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://ibdb.com/"} +{"d:Title": "Internet Theatre Database", "d:Description": "ITDb is a searchable archive of theatrical shows in the past, present, and future.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.theatredb.com/"} +{"d:Title": "Canadian Theatre Directory", "d:Description": "Directory of over 400 Canadian theatres providing season and ticket information, reviews, study resources, children's theatre, schools, workshops, and camps.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.theatrecanada.com/"} +{"d:Title": "Federation of Scottish Theatre", "d:Description": "Scottish theater portal and searchable directory, with news, and competitions.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.scottishtheatre.org/"} +{"d:Title": "Justin's Drama and Theatre Links", "d:Description": "Large collection of links including history, practitioners, companies, genres, styles, online plays, playwrights, education institutions, arts organisations, classroom resources, and set/lighting/costume designs.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.theatrelinks.com/"} +{"d:Title": "Singapore Theatre Guide, The", "d:Description": "Directory of stage management and technical theatre sites.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.singaporetheatre.com/"} +{"d:Title": "IETM On The Move", "d:Description": "Information about transborder activities, projects and their funding, in the areas of theatre, dance, and other performing arts disciplines.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.on-the-move.org/"} +{"d:Title": "Cosmopolis", "d:Description": "A directory of official websites of theatres worldwide.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.cosmopolis.ch/theater_theatre_worldwide.htm"} +{"d:Title": "SPLATworks", "d:Description": "Resources for playwrights, theatrical producers, photographers, and journalists.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.mindspring.com/~splatterson/"} +{"d:Title": "Applied and Interactive Theatre Guide", "d:Description": "A resource for those who use theatre techniques for other or more than arts or entertainment purposes, and for those whose theatre styles incorporate other than traditional presentation styles.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.tonisant.com/aitg/"} +{"d:Title": "Stagelink", "d:Description": "Links to websites about technical theatre production.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.stagelink.com/"} +{"d:Title": "UK Theatre Web", "d:Description": "What's on listings in the UK and ticket price comparison", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.uktw.co.uk/"} +{"d:Title": "Alice in Theaterland", "d:Description": "Directory of links on acting, theatre history, directors, costume design, theatre magazines, e-texts, plus other theatre directories.", "topic": "Top/Arts/Performing_Arts/Theatre/Directories", "url": "http://www.theatromathia.gr/theaterland/"} +{"d:Title": "Arka Theatre", "d:Description": "Founded and managed by the actor/director Massimo Zanasi, Arka Theatre concentrates on research involving modes of expression and on producing works which have been touring the world for several years. It is a centre for cultural and artistic proposals emphasizing linguistic and aesthetic forms in the various arts.", "topic": "Top/Arts/Performing_Arts/Theatre/Dramaturgy", "url": "http://web.tiscali.it/spazioarka/homepageenglish.htm"} +{"d:Title": "Dramaturgy Northwest", "d:Description": "Web site of the Northwest Region members of LMDA (Literary Managers and Dramaturgs of the Americas). Resources, research, and dramaturgy programs in colleges and universities.", "topic": "Top/Arts/Performing_Arts/Theatre/Dramaturgy", "url": "http://www2.ups.edu/professionalorgs/dramaturgy/"} +{"d:Title": "Playwrights Forum", "d:Description": "A Washington, DC metro area non-profit organization focused on the development of plays and playwrights through workshops, readings, and related activities.", "topic": "Top/Arts/Performing_Arts/Theatre/Dramaturgy", "url": "http://www.theplaywrightsforum.org/"} +{"d:Title": "Cohen, Harry", "d:Description": "Former theater and music critic analyzes musicals with the goal of making them producable. View articles, testimonials, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Dramaturgy/Dramaturges", "url": "http://musicalsconsultant.com/"} +{"d:Title": "Kenneth Taylor's Drama in Education", "d:Description": "Educational drama site with resources and links to playwrights, practitioners, lesson plans, arts associations, UK theatres, teacher education, and other drama directories.", "topic": "Top/Arts/Performing_Arts/Theatre/Education", "url": "http://www.kentaylor.co.uk/"} +{"d:Title": "Creative Directions", "d:Description": "Offers creative drama and arts education resources, links, lesson plans, and professional development for teachers.", "topic": "Top/Arts/Performing_Arts/Theatre/Education", "url": "http://www.creativedirections.org/"} +{"d:Title": "Spirit Productions", "d:Description": "Educational consulting firm. Offers classroom materials and services for theatre, speech and oral interpretation.", "topic": "Top/Arts/Performing_Arts/Theatre/Education", "url": "http://www.spiritproductions.biz/"} +{"d:Title": "Training An Actor Will Need", "d:Description": "Highlights the need for training for success in acting/performing arts, and provides a checklist for training resources to develop skills, an association list, and glossary.", "topic": "Top/Arts/Performing_Arts/Theatre/Education", "url": "http://www.actorschecklist.com/"} +{"d:Title": "Richard Hayes-Marshall", "d:Description": "Research articles about acting techniques.", "topic": "Top/Arts/Performing_Arts/Theatre/Education", "url": "http://hayes-marshall.8m.com/"} +{"d:Title": "The Virtual Drama Studio", "d:Description": "A site which aims to offer a collection of ideas and resources for teachers of Drama and Theatre. Including lesson plans, production photos, advice and a forum for discussion.", "topic": "Top/Arts/Performing_Arts/Theatre/Education", "url": "http://www.thevirtualdramastudio.co.uk/"} +{"d:Title": "Bhasabharathi Institute", "d:Description": "Institute of performing arts, research, and theatre training formed to organize outreach activities, conduct international theatre workshops, and teach the theories of Indian aesthetics. View the history of the institute, course offerings, theatre productions, and biography of the founder.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies", "url": "http://www.bhasabharathi.com/"} +{"d:Title": "European Association for Theatre Culture", "d:Description": "Post-graduate education and theatre research based in master-classes and workshops. Details of partners and programmes offered.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies", "url": "http://www.theatreculture.org/"} +{"d:Title": "Helen O'Grady Drama Academy - School in Africa", "d:Description": "Africa's largest drama school, developing confidence, self-esteem, communication and performance skills for children and youth aged 5-18.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies", "url": "http://www.dramaafrica.com/"} +{"d:Title": "Sydney Theatre School", "d:Description": "Production based theatre school. Details of courses, productions and aa biography of the director.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/Australia", "url": "http://www.sydneytheatreschool.com/"} +{"d:Title": "National Theatre School / \u00c9cole Nationale de th\u00e9\u00e2tre", "d:Description": "Offers professional training in interpretation, dramatic writing, staging, scenery and production. Located in Montreal. [French/English]", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/Canada", "url": "https://ent-nts.ca/"} +{"d:Title": "Methodica", "d:Description": "Film and theatre acting studio in Vancouver. Details of programs offered, admissions policy and staff biographies.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/Canada", "url": "http://www.methodica.ca/"} +{"d:Title": "Professional Actors Lab", "d:Description": "Toronto-based actor training studio. Details of classes, instructors and events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/Canada", "url": "http://www.proactorslab.com/"} +{"d:Title": "Razzamataz Theatre Schools", "d:Description": "Offer training in dance, drama and singing for 4 to18 year old youth. Locations throughout the UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_Kingdom", "url": "http://www.razzamataztheatreschools.co.uk/"} +{"d:Title": "British American Drama Academy", "d:Description": "Drama school that offers intensive classical acting programs for 16 year olds plus.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_Kingdom", "url": "http://www.badaonline.com/"} +{"d:Title": "London Academy of Music and Drama", "d:Description": "Offers courses in acting and stage management. Information about the drama school, examinations, programs, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_Kingdom", "url": "http://www.lamda.org.uk/"} +{"d:Title": "London International School Of Performing Arts", "d:Description": "Based in the pedagogy of Jacques LeCoq, this independent school offers performing artists the opportunity to participate in the creation of theatre, as performers, directors, or writers for the stage. Visit their beginning to advance courses, faculty biographies, and application requirements.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_Kingdom", "url": "http://www.lispa.co.uk/"} +{"d:Title": "Mainstream Theatre Arts", "d:Description": "Dance, singing, and musical theatre classes. Located in Manchester.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_Kingdom", "url": "http://www.mainstreamstudios.co.uk/"} +{"d:Title": "Theatrix Performing Arts", "d:Description": "Offers classes for acting for all ages. Includes information on theatre productions, visits and workshops. Located in St Albans.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_Kingdom", "url": "http://www.theatrix.co.uk/"} +{"d:Title": "Midlands Academy of Dance and Drama", "d:Description": "Offers musical theatre training in all branches of dance, singing, and drama. Includes a teacher training course for certificates with recognized professional bodies. Located inNottingham.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_Kingdom", "url": "http://www.maddcollege.co.uk/"} +{"d:Title": "The Acting School of South Florida", "d:Description": "Contains details of programmes offered, faculty and facilities with a FAQ and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://theactingschool.org/"} +{"d:Title": "CAST Academy of Acting and Showcase Theatre", "d:Description": "Full-time, professional acting school which offers a combination program of private lessons and performance experience. Based in Minneapolis.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.actortrainingcast.com/"} +{"d:Title": "Circle in the Square Theatre School", "d:Description": "Professional non-profit acting and music training. Located on Broadway in New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.circlesquare.org/"} +{"d:Title": "Deena Levy Theatre Studio", "d:Description": "Offers theatre classes and workshops. Includes past production information, staff and alumni biographies. Based in New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://deenalevy.com/"} +{"d:Title": "Joanne Baron/D.W. Brown Studio", "d:Description": "Scene study, audition technique, cold reading and technique seminars with flexible scheduling for professional and select pre-professional actors, writers, directors, and producers. Based in Santa Monica", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.baronbrown.com/"} +{"d:Title": "Livonia Public Schools Creative and Performing Arts", "d:Description": "Description of courses offered and profiles of the staff. News of events, alumni achievements and previous productions. Based in Livonia, Michigan.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.starringcapa.com/"} +{"d:Title": "Theatre Group Studio", "d:Description": "Los Angeles acting school teaching Stanislavski's principles and method acting.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.theatregroupstudio.com/"} +{"d:Title": "Kimball Acting Studio", "d:Description": "Learn to act with truth, trust, and risk, using different classic and new techniques. Located in New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.kimballstudio.com/"} +{"d:Title": "New Jersey School of Dramatic Arts", "d:Description": "Offers theatre arts training programs at al levels. Location, schedule, staff resumes and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.njactors.org/"} +{"d:Title": "The American Musical and Dramatic Academy", "d:Description": "Trains artists toward success in the world of the performing arts. Located in Broadway, New York City, New York, and Los Angeles, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://amda.edu/"} +{"d:Title": "The Promenade Playhouse", "d:Description": "A place where actors train to create, explore, and develop a truer confidence while studying the craft of acting. Information on the staff, classes, and contact details. Located in Santa Monica, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.promenadeplayhouse.com/"} +{"d:Title": "Beck Center for the Arts", "d:Description": "Offers a wide range of performing arts classes for children to adults. Includes pricing and dates. Located in Lakewood, Ohio.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.beckcenter.org/"} +{"d:Title": "William Alderson Acting Studio", "d:Description": "Details of courses offered, previous productions and staff and alumni biographies.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "http://www.aldersonstudio.com/"} +{"d:Title": "American Academy of Dramatic Arts", "d:Description": "Two-year program, summer program, and Saturday courses in New York and Hollywood. Read about auditions, programs, alumni, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Academies/United_States", "url": "https://www.aada.edu/"} +{"d:Title": "Educational Theatre Association", "d:Description": "Offerings include theatre festivals for students, professional development programs for theatre teachers, a magazine, and a quarterly journal.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Associations", "url": "http://www.edta.org/"} +{"d:Title": "Educational Drama Association in Romania", "d:Description": "Information on activities of the association, materials, contacts, drama events, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Associations", "url": "http://www.drama.freewebspace.com/"} +{"d:Title": "American Alliance for Theatre and Education", "d:Description": "Promotes standards in theatre education. With organization's activities, contact information and a membership form.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Associations", "url": "http://www.aate.com/"} +{"d:Title": "University of Haifa - Department of Theatre and Drama Studies", "d:Description": "Located in Haifa, Israel, the program offers undergraduate degrees in various aspects of theatre. Explore their resident theatre, events and activities, journal publication, and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/Asia", "url": "http://theatre.haifa.ac.il/"} +{"d:Title": "Janacek Academy of Music and Dramatic Arts, Brno", "d:Description": "One of two academies of music and dramatic arts in the Czech Republic.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/Europe", "url": "http://www.jamu.cz/"} +{"d:Title": "The Capitol Theatre", "d:Description": "Manchester Metropolitan University School of Theatre, producing seasons of plays by the graduating actors. Information about productions, courses offered, staff and students.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.theatre.mmu.ac.uk/"} +{"d:Title": "University of Warwick - The School of Theatre Studies", "d:Description": "Recognised as a leading department in the area of dance, drama and cinematics within the United Kingdom.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.warwick.ac.uk/fac/arts/Theatre_S/"} +{"d:Title": "Lancaster University Theatre Studies Department", "d:Description": "Information for undergraduates and postgraduates, as well as a staff page and information on upcoming shows. Links to relevant sites.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/Europe/United_Kingdom", "url": "http://www.lancaster.ac.uk/fass/lica/theatre_studies/"} +{"d:Title": "National Theatre School of Canada", "d:Description": "Established in Montreal, the NTS offers professional training in English and French for all the theatre arts: acting, playwriting, directing, scenography and technical production.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/Canada", "url": "https://ent-nts.ca/"} +{"d:Title": "Concordia University - Theatre Department", "d:Description": "Offers information on courses, performances, faculty and facilities. Focuses on playwriting, performance, theatre design and human development. Based in Montreal, Canada.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/Canada", "url": "http://theatre.concordia.ca/"} +{"d:Title": "University of British Colombia - Theatre Department", "d:Description": "Offers BA, BFA, MFA and PhD programs. View its current season, box office, program offerings, faculty and facilities.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/Canada", "url": "http://theatrefilm.ubc.ca/"} +{"d:Title": "Dalhousie University Theatre Department", "d:Description": "Located in Halifax, Nova Scotia, this program offers undergraduate programme in theatre studies, acting and technical scenography. In addition, it offers a diploma programme in costume. View their faculty, productions, alumni, degree requirements, and costumes resources.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/Canada", "url": "https://www.dal.ca/academics/programs/undergraduate/theatre.html"} +{"d:Title": "DePaul University - Theatre School, The", "d:Description": "Conservatory-style program in Chicago, Illinois, offers both BFA and MFA programs. Read about their productions, requirements, and alumni.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://theatreschool.depaul.edu/"} +{"d:Title": "Emory University - Theatre", "d:Description": "Take a look at the courses, calendar of events, and program requirements for the undergraduate program at this Atlanta, Georgia, school.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.emory.edu/THEATER/"} +{"d:Title": "George Mason University - Theater Department", "d:Description": "Offers a BA, stressing a liberal arts education and provides practical training and experience to prepare students for a life in the theater. Information about the faculty, department, courses, and performances. Located in Fairfax, Virginia.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.gmu.edu/departments/theatre/"} +{"d:Title": "Indiana State University - Theatre Department", "d:Description": "Offers majors and minors in the field and connections to a professional repertory theatre in the summer. Access their current season, venues, and faculty directory.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://web.indstate.edu/theatre/"} +{"d:Title": "Princeton University - Department of Theatre and Dance", "d:Description": "This private university offers a certificate in theatre. View their course options, venues, faculty, and current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.princeton.edu/~visarts/the.html"} +{"d:Title": "Rowan University - Department of Theatre and Dance", "d:Description": "From Glasboro, New Jersey, this program offers an undergraduate degree. View their requirements, faculty, facilities, and calendar of events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www2.rowan.edu/fpa/theatre_dance/"} +{"d:Title": "Towson University - Theatre Department", "d:Description": "Maryland school details its BA and MFA programs in theater. Includes course descriptions and a schedule of classes.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.towson.edu/theatre/"} +{"d:Title": "University of Alaska, Fairbanks - Theatre UAF", "d:Description": "Theatre and film department offers a look at their program, courses, shows, faculty, and student drama club.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.uaf.edu/theatre"} +{"d:Title": "University of Massachusetts - Department of Theater", "d:Description": "Graduate and undergraduate education and hands-on production in the rich arts community of Amherst, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.umass.edu/theater/"} +{"d:Title": "University of Wisconsin - La Crosse Theatre", "d:Description": "This department offers a Theatre Arts major, with concentrations in Performance, Design and Production, Management, General, and Music Theatre. View their facilities, current events, program requirements, and scholarship opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.uwlax.edu/theatre"} +{"d:Title": "Washington University Arts and Sciences - Drama Program", "d:Description": "Located in St. Louis, Missouri, this private university offers bachelors in Acting, Design, and Theatre Studies, as well as a Masters in Theatre. View their faculty, roster of guests artists, upcoming events, and course requirements.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://artsci.wustl.edu/~pad/"} +{"d:Title": "Western Michigan University - Department of Theatre", "d:Description": "Offers a BA and a BFA in several theatre fields. Explore their season catalog, programs offered, faculty, productions, academics, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.wmich.edu/theatre/"} +{"d:Title": "Drama and Theatre Schools in the USA", "d:Description": "Index to universities which offer theatre as a program.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.univsource.com/thea.htm"} +{"d:Title": "Emory&Henry College - Theatre Department", "d:Description": "In Association with Barter Theatre, this program combines broad liberal arts education with professional theatre training. View its faculty, facilities, and scholarship opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://theatre.ehc.edu/"} +{"d:Title": "University of Georgia - Department of Drama and Theatre", "d:Description": "Read about the theater department's BA, MFA and PhD programs. See their productions, spaces, and academic offerings.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.drama.uga.edu/"} +{"d:Title": "Columbia University - School of The Arts: Theatre Division", "d:Description": "Features MFA degree course and program information, faculty biographies, events, and application information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://arts.columbia.edu/theatre"} +{"d:Title": "Ohio State University - Department of Theatre", "d:Description": "Based in Columbus, Ohio, this department regularly hosts notable guests artists like the Royal Court Theatre, Marcel Marceau, Adrienne Kennedy and the SITI Company. BA program, MFAs in Acting, Design and HLC, or PhD in Theatre Studies.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://theatre.osu.edu/"} +{"d:Title": "Case Western Reserve University - Department of Theatre and Dance", "d:Description": "Located in the heavy theatre-related community of Cleveland, Ohio, this department offers both undergraduate and graduate degrees in theatre as well as internships in such esteemed programs as the Cleveland Play House or the Great Lakes Theatre Festival.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.case.edu/artsci/thtr/"} +{"d:Title": "Brigham Young University - Theatre and Media Arts", "d:Description": "Located in Provo, Utah, this program offers graduate and undergraduate degrees in several fields. Visit their course offerings, facilities, courses and current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://tma.byu.edu/"} +{"d:Title": "New Jersey Institute of Technology - Theatre Arts Program", "d:Description": "Located in Newark, New Jersey, and in conjunction with Rutgers-Newark, this program offers a minor in theatre. Access their current events, facilities, and production history.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://theatre.njit.edu/"} +{"d:Title": "Yale University School of Drama", "d:Description": "Offering Master of Fine Arts, Doctor of Fine Arts, Certificate in Drama and Technical Internship Certificate programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://drama.yale.edu/"} +{"d:Title": "Ohio University - School of Theatre", "d:Description": "Home to the annual Playwrighting Festival, this program based out of Athens, Ohio offers BFA, BA and MFA degrees in Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.finearts.ohio.edu/theater/"} +{"d:Title": "University of Alaska, Anchorage - Department of Theatre and Dance", "d:Description": "Offers a liberal arts approach covering acting, directing, stagecraft, scene design, lighting, costuming, makeup, dramatic literature, theatre history, dramatic theory and criticism, and playwriting. View their mission, faculty, current season, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.uaa.alaska.edu/theatreanddance/"} +{"d:Title": "University of Delaware - Department of Theatre", "d:Description": "Located in Newark, this program discusses the undergraduate major and minor programs and the graduate conservatory training program. Explore their current season and course offerings.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.rep.udel.edu/"} +{"d:Title": "Drew University - Theatre Arts Department", "d:Description": "Located in Madison, New Jersey, this program offers a bachelor degree in Theatre Arts. Access their admission requirements, facilities, faculty, and current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.drew.edu/theatrearts/"} +{"d:Title": "University of Texas at Austin - Theatre and Dance", "d:Description": "This public university offers bachelor degrees in several fields, including acting, directing, playwriting, and design fields. Also offers Master of Fine Arts. View their admission requirements, courses of study, faculty biography, and upcoming events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.utexas.edu/finearts/tad/"} +{"d:Title": "Brown University - Department of Theatre Arts and Performance Studies", "d:Description": "Located in Rhode Island, this program offers graduate and undergraduate degrees. View their faculty, facilities, courses, and upcoming events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.brown.edu/academics/theatre-arts-performance-studies/"} +{"d:Title": "University of Arizona - School of Theatre, Film&Television", "d:Description": "Located in Tucson, this program offers graduate and undergraduate in degress in various drama fields. Access their events and relationship with the Arizona Repertory Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://tftv.arizona.edu/"} +{"d:Title": "Stony Brook University - Department of Theatre Arts", "d:Description": "Located in New York. Offers BA, MA and MFA degrees in theatre arts and dramaturgy. Also includes faculty biographies, course offerings and current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.stonybrook.edu/theatrearts/"} +{"d:Title": "University of Washington - School of Drama", "d:Description": "Located in Seattle, this program offers MFAs in Acting, Directing and Design, Ph.D. in Theatre History, Theory and Criticism, and BA in Drama. View degree program information, faculty, and season.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://drama.washington.edu/"} +{"d:Title": "Hollins University - Theatre Department", "d:Description": "Located in Roanoke, Virginia, this program offers a BA in several aspects of theatre. Access their course offerings, major requirements, faculty listings, facilities, and production information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.hollins.edu/academics/majors-minors/theatre-major/"} +{"d:Title": "Rollins College Department of Theatre and Dance", "d:Description": "Located in Winter Park, Florida, this program offers undergraduate degrees. View their events, programs, faculty, and student groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.rollins.edu/theatre-dance/"} +{"d:Title": "California State University, Long Beach - Cal Rep", "d:Description": "Theatre wing of the Department of Theatre Arts offers MFA degrees in Acting, Design, and Management.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://web.csulb.edu/colleges/cota/theatre/on-stage-now/"} +{"d:Title": "Appalachian State University - Theatre and Dance", "d:Description": "Located in Boone, North Carolina, this school offers bachelor degrees in theatre and theatre education. Access their production calendar and details about its programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "https://theatreanddance.appstate.edu/"} +{"d:Title": "University of San Diego - The Old Globe", "d:Description": "In collaboration with the Globe Theatre, this program offers an MFA in Acting with emphasis on classical theatre. Explore their training philosophy and course offerings.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.graduateacting.com/"} +{"d:Title": "Wayne State University - Maggie Allesee Theatre and Dance", "d:Description": "A professional theatre and MFA training ground in Detroit, Michigan.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://theatreanddance.wayne.edu/"} +{"d:Title": "University of La Verne - Theatre Arts", "d:Description": "This performance-oriented academic program provides intensive studies in acting, directing, production and design, theatre history, theory and dramatic literature. Read the program, faculty, productions, academics, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://sites.laverne.edu/theatre/"} +{"d:Title": "Rutgers University , Camden - Theatre Arts Division", "d:Description": "Located in New Jersey, this program offers an undergraduate degree. View their requirements, faculty, and calendar of events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://finearts.camden.rutgers.edu/theater-program/"} +{"d:Title": "Franklin and Marshall College - Theatre, Dance and Film Department", "d:Description": "Faculty, alumni, facilities, and information on each of the theater, dance, and film undergraduate programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "https://www.fandm.edu/tdf/theatre"} +{"d:Title": "University of Alabama - Department of Theatre and Dance", "d:Description": "Offers a BA in theater and an MFA in performance, production, direction or playwriting. See what's playing this season. See their season, course offerings, faculty, picture gallery, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://theatre.ua.edu/"} +{"d:Title": "Kean University - Theatre", "d:Description": "Located in Union, NJ, this private university offers a B.A. in Theatre. View their facilities, faculty biographies, calendar of events, and admission requirements.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://kean.edu/academics/college-humanities-and-social-sciences/theatre"} +{"d:Title": "Minnesota State University, Moorhead - Theatre Arts", "d:Description": "This performance-oriented program offers undergraduate work in acting, directing, and technical theatre. Browse their course requirements, mission, events and faculty.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "https://www.mnstate.edu/theatre/"} +{"d:Title": "Mason Gross School of the Arts - Theater Arts", "d:Description": "Located in New Brunswick, New Jersey, this public university offers BFA and MFA programs in several theatre fields. View their history, admission requirements, alumni, calendar of events, and course offerings.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.masongross.rutgers.edu/theater"} +{"d:Title": "Illinois State University - School of Theatre", "d:Description": "Located in Normal, Illinois, this department offers BA and BFA degree programs, and MA and MFA programs in a variety of theatre fields. View their requirements, faculty, and calendar of events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://finearts.illinoisstate.edu/theatre-dance/"} +{"d:Title": "Hamline University - Theatre", "d:Description": "This St. Paul, Minnesota, school provides an overview of the theater program and a list of the faculty. View their facilities and current events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.hamline.edu/theatre/"} +{"d:Title": "Montclair State University - Theatre and Dance", "d:Description": "Located in Upper Montclair, New Jersey, this program offers graduate and undergraduate degrees. View their admission requirements, facilities, faculty roster, and calendar of events.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.montclair.edu/arts/theatre-and-dance/"} +{"d:Title": "Virginia Tech - Department of Theatre Arts", "d:Description": "Located in Blacksburg, Virginia, in the foothills of the Blueridge Mountains, program offers MFA and BA degrees in Theatre Arts. Read about its mission, curriculum, and production philosophy.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.performingarts.vt.edu/study-with-us/theatre"} +{"d:Title": "Loyola University - Theatre", "d:Description": "This program, located in Baltimore, Maryland, offers a theatre concentration. View its list of academic courses and information on upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.loyola.edu/academics/fine-arts/theatre"} +{"d:Title": "University of Findlay - Theatre Program", "d:Description": "Located in northwest Ohio, this program offers BA programs in Theatre Performance, Production Design Technology, Music Theatre, and Theatre Education. All majors receive scholarship assistance. The program is affiliated with SummerStock, a professional (AEA Guest, Tier II) summer theatre company.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "https://www.findlay.edu/liberal-arts/theatre/"} +{"d:Title": "University of Iowa - Department of Theatre Arts", "d:Description": "This programs offers undergraduate and graduate degrees in several fields. Found out about their students, faculty, courses, and admissions requirements.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "https://theatre.uiowa.edu/"} +{"d:Title": "Middle Tennessee State University - Theatre and Dance", "d:Description": "Located in Murfreesboro, this program offers an undergraduate degree with performance and production opportunities. View their courses, faculty and facilities.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.mtsu.edu/programs/theatre/"} +{"d:Title": "Viterbo University - Theatre Arts Department", "d:Description": "Seven distinctive theatre degree options, including professional training programs in acting, directing, design, technical production, theatre education, music theatre, and arts administration.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.viterbo.edu/theatre-music-theatre-department"} +{"d:Title": "George Washington University - Department of Theatre and Dance", "d:Description": "Washington, DC, school offers graduate and undergraduate degrees in several theatre fields. View their requirements, courses, facilities, and events calendar.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "https://theatredance.columbian.gwu.edu/"} +{"d:Title": "Drake University - Theatre Arts Department", "d:Description": "Find out about programs, courses and admissions requirements at this Des Moines, Iowa, school. Includes scholarship information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.drake.edu/theatre/"} +{"d:Title": "Coe College - Theatre Department", "d:Description": "Located in Cedar Rapids, Iowa, this department offers a theatre program in a production-oriented atmosphere. View the program highlights, requirements, facilities, and a performing arts calendar.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.coe.edu/theatrearts"} +{"d:Title": "Oklahoma City University - Theatre", "d:Description": "Includes information on applications, classes, auditions, previous shows, and upcoming performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://www.okcu.edu/theatre/"} +{"d:Title": "University of Maryland - Department of Theatre", "d:Description": "Located in College Park, this department has an international presence with study abroad programs, participation in conferences, designing shows, and research in countries from Ireland to Senegal. Dedicated to diversity and to excellence in creativity and scholarship. Visit their graduate and undergraduate programs, facilities, and faculty.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Colleges_and_Departments/North_America/United_States", "url": "http://tdps.umd.edu/"} +{"d:Title": "Bankeside Schole of Defense", "d:Description": "Historical stage combat school, located in Southern California, is dedicated to the study of European swordsmanship with an emphasis on Italian 16th century rapier fighting; members are involved with the Renaissance Pleasure Faire in Southern California each spring.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.bankeside.org/"} +{"d:Title": "International Order of the Sword and Pen", "d:Description": "Organization provides workshops and other training in stage combat; membership and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.iosp.org/"} +{"d:Title": "Samurai Action Studio", "d:Description": "Japanese stage combat and stunts school for movies, TV, and stage shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.samuraiaction.com/"} +{"d:Title": "British Academy of Stage and Screen Combat", "d:Description": "Workshops and other training for stage combat for dramatic and film presentations; photos, membership information, events schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.bassc.org/"} +{"d:Title": "The Sydney Stage Combat School", "d:Description": "A school dedicated to the study, practice and development of Stage Combat in Australia. The SSCS works with the Society of Australian Fight Directors Inc (SAFDi), to offer world standard, internationally recognised courses in modern Stage Combat for theatre and film.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.sydneystagecombat.com/"} +{"d:Title": "The Noble Blades - Stage Combat Troupe", "d:Description": "Based in Reston, Virginia, The Noble Blades is comprised of the Reston Players, who also teach stage combat techniques.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.nobleblades.org/"} +{"d:Title": "Roberta Brown", "d:Description": "Westside stage combat and swordmaster. Information on classes offered in stage combat and theatrical fencing at Westside Fencing Center in Los Angeles, as well as film, television, and theatre projects worked on by Roberta Brown.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.robertabrown.com/"} +{"d:Title": "Fight Directors, Canada", "d:Description": "Contacts, news of forthcoming events and the benefits of membership.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.fdc.ca/"} +{"d:Title": "The Academy of Theatrical Combat", "d:Description": "Contacts, photographs and description of techniques.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.theatricalcombat.com/"} +{"d:Title": "Swordplay Stage Combat", "d:Description": "Dedicated to stage combat training for actors in the New York Area.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.angelfire.com/ny3/swordplay/"} +{"d:Title": "Swordplay Fencing Studio", "d:Description": "Tim Weske's Swordplay, located in Burbank, California, provides training in theatrical combat for film and television as well as Olympic-style fencing.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.swordplayla.com/"} +{"d:Title": "The Ring of Steel", "d:Description": "Provides in-house workshops, choreographs shows, and maintains an aggressive performance schedule in a wide variety of public venues.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://ringofsteel.org/"} +{"d:Title": "British Academy of Dramatic Combat", "d:Description": "The grandfather of many modern stage combat organizations. Located in the UK, its 34 year history has been devoted to raising the standards for safety and professionalism.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Combat_Training", "url": "http://www.badc.org.uk/"} +{"d:Title": "Parkview High School Theatre Department", "d:Description": "Located in Lilburn, Georgia, features include an online box office, message board, and newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/High_School", "url": "http://www.parkviewdrama.com/"} +{"d:Title": "Reeths Puffer High School Theatre", "d:Description": "Information about upcoming shows, dates, and times for this Muskegon, Michigan high school theatre department.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/High_School", "url": "http://rptheatrearts101.tripod.com/"} +{"d:Title": "Drury Drama Team", "d:Description": "Department of Theatre at Drury High School in North Adams, Massachusetts. Includes production photos, awards won, history, schedules and details of courses offered.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/High_School", "url": "http://www.drurydrama.com/"} +{"d:Title": "Mission Viejo High School Drama", "d:Description": "Mission Viejo High School in California presents drama information, weekly rehearsal calls, upcoming events, present and past season highlights, theatre links.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/High_School", "url": "http://www.mvhsdrama.com/"} +{"d:Title": "Wichita High School East Theatre Arts", "d:Description": "Information on upcoming shows and events, photos, and archives.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/High_School", "url": "http://www.easttheatre.com/"} +{"d:Title": "Newton South Theatre Program", "d:Description": "Information on upcoming performances, previous productions, and class information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/High_School", "url": "http://www.southstage.org/"} +{"d:Title": "Edison High School Drama Department", "d:Description": "Contains videos, pictures of past productions, information on the current theatre season, and class requirements.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/High_School", "url": "http://www.edisondrama.com/"} +{"d:Title": "Connected Courseware", "d:Description": "University Theatre Arts Resources. Syllabi, lecture notes, images, books, videos and hyperlinks for theatre history, contemporary performance theory, world theatre, and sound for the Stage.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Internet_Training", "url": "http://www.connectedcourseware.com/"} +{"d:Title": "An Actor on Acting", "d:Description": "Advice, stories, links, and quotes about acting and musical theater by Chris Macke, resident of NYC.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Internet_Training", "url": "http://mackephotography.com/info_advice.html"} +{"d:Title": "Action Theatre", "d:Description": "Improvisation training that integrates movement, vocalization and speech into present expression. Located in Santa Fe, New Mexico.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Physical_Theatre", "url": "http://www.actiontheater.com/"} +{"d:Title": "The Commedia School", "d:Description": "An International Theatre School providing a two year, full time actor training program in English located in Copenhagen, Denmark.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Physical_Theatre", "url": "http://commediaschool.com/"} +{"d:Title": "Adrian Walter: Cultivating Creativity in the Arts", "d:Description": "(Houston, Texas) Includes participation in dramatic and musical areas, projects, work with Celtic bands, theatres, and dramatic troupes, teaching, schedule, booking, photographs, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.adrianwalter.com/"} +{"d:Title": "The Sanford Meisner Center", "d:Description": "Acting studies. The official site of the theatre left behind by Sanford Meisner. Information on classes, productions, faculty, and history of the founders.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.themeisnercenter.com/"} +{"d:Title": "Master Thespian", "d:Description": "Stage secrets, training, memorization tips, audition and performance schedule, and movie reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.angelfire.com/az/nativebob/drama.html"} +{"d:Title": "The Actors Theatre Workshop", "d:Description": "Offers a conservatory taught by teacher and director, Thurman E. Scott, in beginning and advanced techniques, scene study, script analysis, and character development with a public showcase of selected works. Located in New York, NY.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.actorstheatreworkshop.com/"} +{"d:Title": "Stevenson, Kate Campbell", "d:Description": "Washington, DC. Specializes in live educational theatre about historical female role models. Information about the artist, program, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.katecampbellstevenson.com/"} +{"d:Title": "Arizona Performing Arts Theatre", "d:Description": "Repertory company of professional actors who perform in a variety of productions including comedy reviews and mysteries.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.arizonaperformingarts.com/"} +{"d:Title": "Anne Fielding", "d:Description": "News, articles and class information from this New York-based actress and drama teacher, with an emphasis aesthetic realism.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.annefielding.net/"} +{"d:Title": "Acting Up Drama Classes", "d:Description": "Acting tuition in Melbourne, Australia with Sally McLean. Details of classes and productions with a coach biography and news articles.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.salmac.com/actingup/"} +{"d:Title": "Bruce Ducat's Studio ActReel", "d:Description": "Acting Coach based in Hollywood.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.studioactreel.com/"} +{"d:Title": "Glenn Alterman Studio", "d:Description": "Offers actors access to information on creating their own monologues, career consultations, and audition/monologue coaching. Alterman is a well respected teacher, lecturer, and author of many best selling theater books.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.glennalterman.com/"} +{"d:Title": "Jenny Oliver Speech and Drama", "d:Description": "Canberra based speech and drama teacher. Details of classes offered and background of the tutor, with contact and pricing information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.jennyoliver.com/"} +{"d:Title": "Alschitz, Prof. Dr. Jurij", "d:Description": "Director and acting teacher who directs projects and seminars in Europe, especially Italy. Provides his profile, recent work and upcoming workshops and projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Teachers", "url": "http://www.alschitz.de/"} +{"d:Title": "FunTrivia.com: Theatre", "d:Description": "Thousands of trivia questions in multiple quizzes.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Trivia", "url": "http://www.funtrivia.com/quizzes/humanities/theatre.html"} +{"d:Title": "International Festival of Amateur Theaters", "d:Description": "A theatre festival for amateur theaters from around the world. Includes workshops, tutorials and performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.theatertageamsee.de/"} +{"d:Title": "Roving Classical Commedia University", "d:Description": "Three master teachers offer an integrated Commedia program of masks, music, physical comedy, dance, acrobatics, rhythm, stage fighting, slapstick, history, juggling, lazzi, improvisation, and scenarii.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.commediau.com/"} +{"d:Title": "North American Cultural Laboratory (NaCl)", "d:Description": "Ensemble of New York theatre artists who create and perform original, physical-action based theater performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.nacl.org/"} +{"d:Title": "Shantigar Foundation", "d:Description": "A center where workshops are sponsored in the arts, performing arts, meditation, and healing, in a peaceful mountain setting in Western Massachusetts.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.shantigar.org/"} +{"d:Title": "ORISHA International", "d:Description": "Australia. Producing bilingual theatre performances combining dance, masks, music, and puppets, along with workshops. Information on arts in education and the community, reviews, photos, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://orishaoz.tripod.com/"} +{"d:Title": "Houses On The Moon Theater", "d:Description": "A New York City based educational theater group with original shows and workshops for audiences from high schools to corporations to trainers and educational professionals.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://housesonthemoon.org/"} +{"d:Title": "Rehearsal Room", "d:Description": "Means of using the Internet facility to promote informed communication between actors and directors.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.rehearsalroom.com/"} +{"d:Title": "Will Weigler", "d:Description": "Offers personalized workshops and in-service teacher training based on his book Strategies for Playbuilding.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.willweigler.com/"} +{"d:Title": "Act Up", "d:Description": "Offers part-time acting and voice classes to adults. Based in London.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.act-up.co.uk/"} +{"d:Title": "Stagelines", "d:Description": "Information on drama courses and summer schools for young people and adults.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://homepage.eircom.net/~stagelines/"} +{"d:Title": "Actors Workshops", "d:Description": "Weekend workshops runacross Canada. Contains staff biographies, a calendar of events and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.actorworkshops.com/"} +{"d:Title": "Wayfinder Experience", "d:Description": "Combines the energy of a sports camp with the creativity of an arts program and the strength of a safe and supportive community. Events, staff background, photos and logistics details.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.wayfinderexperience.com/"} +{"d:Title": "Duse", "d:Description": "International center of cinema and theatre based in New York. Details of productions and courses offered.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.duseinternational.com/"} +{"d:Title": "The Kelman Group", "d:Description": "Experimental performance laboratory based on the workshop techniques of Scott Kelman. Details of courses and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.kelmangroup.com/"} +{"d:Title": "CrissCross Theatre Arts Conference", "d:Description": "Annual conference of Christians in theatre arts for the prairie region with workshops and performances for all applicants.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://members.shaw.ca/jerusalem1/"} +{"d:Title": "Great Shakes", "d:Description": "Yorkshire based company offering a chance to perform a Shakespeare play in a day and a range of drama based workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Workshops", "url": "http://www.amayze.f2s.com/greatshakes/"} +{"d:Title": "T.I.E. Tours", "d:Description": "UK-based international theatre-in-education company which regularly tours schools with shows and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.tietours.com/"} +{"d:Title": "Carp Productions", "d:Description": "Educational Children's Theatre, based in Melbourne. Details services offered and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://carpproductions.com/"} +{"d:Title": "Fenestra Theatre Productions", "d:Description": "Children's theatre Events in Melbourne. Description of workshops offered with a biography of the performers.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://fenestra.alphalink.com.au/"} +{"d:Title": "DramaWorks", "d:Description": "Provides a range of drama workshops and study programmes for schools and teachers. Sample texts, teacher biography and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.dramaworks.co.uk/"} +{"d:Title": "TiC - Theatre in the Community", "d:Description": "Offering a program of drama, movement and music workshops, led by professional tutors. Workshops explore all aspects of the performing arts culminating in professionally staged productions throughout the year. View workshop schedules and past productions. Based in North Wales.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.tictheatre.co.uk/"} +{"d:Title": "Anne Pasquale's Living History Programs", "d:Description": "One-woman theatrical programs, residencies, and workshops for children K-8 that bring history to life in the New York metro area.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.livinghistoryprograms.com/"} +{"d:Title": "Weston Drama Workshop", "d:Description": "Boston based non-profit organization running summer youth theater workshops. Details of productions, staff biographies and ticketing information.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://westondramaworkshop.org/"} +{"d:Title": "Honolulu Theatre for Youth", "d:Description": "Offers theatre and drama education for young people and families throughout the state of Hawaii. Includes contact information, schedule, educational information, and images.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.htyweb.org/"} +{"d:Title": "Pennsylvania Youth Theatre", "d:Description": "Overview of classes and productions, which take place in Bethlehem, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.123pyt.org/"} +{"d:Title": "Kidz Kabaret", "d:Description": "Not-for-profit, non-auditioning children and teen's educational theater company.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.kidzkabaret.com/"} +{"d:Title": "Allegro", "d:Description": "Offers future professionals opportunities to perform in productions of classical plays. Allegro also runs a theatre school for young people - the Youngest Shakespeare Company.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.newallegro.com/"} +{"d:Title": "Shakespeare-in-the-Schools", "d:Description": "An educational outreach program of the University of Pittsburgh's Department of Theatre Arts. Features details of their current touring shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.shakespeare.pitt.edu/"} +{"d:Title": "CommercialKids Auditions&Agents", "d:Description": "Children earn millions each year appearing in television commercials and print ads. Get your child started today! Appearing in commercials is a great way to earn money for college, a first car and get noticed for television and film roles. Commercial Kids provides contact information of licensed modeling agents and kids agents. These reputable child agents earn commission. They get paid after you get paid for the job, and audition casting calls are always FREE.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.commercialkids.com/"} +{"d:Title": "Travelling Light Theatre Company", "d:Description": "Bristol-based company producing drama for young audiences. Press information, contact details, history and tour schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/Education/Youth", "url": "http://www.travellinglighttheatre.org.uk/"} +{"d:Title": "Theatre Forum", "d:Description": "Since 1992, has documented and discussed the work of innovative theatre artists in Africa, Asia, Australia, Europe, and the Americas. Focus is on new performance works that reflect contemporary sensibilities and articulate new concepts and forms. Each issue includes at least two previously unpublished scripts, dozens of photographs, and a variety of articles and interviews written by both scholars and artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental", "url": "http://www-theatre.ucsd.edu/TF/"} +{"d:Title": "Oxford Samuel Beckett Theatre Trust Award", "d:Description": "To help the development of emerging practitioners in the field of experimental theatre and to encourage a new generation of creative artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental", "url": "http://www.osbttrust.com/"} +{"d:Title": "Teatre Virtual", "d:Description": "In its different levels of realization, this is a space for the experimentation and dissemination of the Internet as a new creative platform for the scenic arts.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental", "url": "http://www.teatrevirtual.net/"} +{"d:Title": "OnOffNYC List", "d:Description": "Email based discussion group for NYC area performance makers.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Chats_and_Forums", "url": "http://groups.yahoo.com/group/onoffnyc/"} +{"d:Title": "BigCheap List", "d:Description": "Email based discussion group for Los Angeles area theater makers. Yahoo! ID and registration required.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Chats_and_Forums", "url": "http://groups.yahoo.com/group/bigcheap/"} +{"d:Title": "Hotel Pro Forma", "d:Description": "The theatre company Hotel Pro Forma is a vehicle for dramatic composition at the boundary of theatre and exhibition.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.hotelproforma.dk/"} +{"d:Title": "New World Performance Laboratory", "d:Description": "A Center for Theatre Creation and Research. Experimental theatre productions and workshops conducted by James Slowiak and Jairo Cuesta, former assistants to Jerzy Grotowski.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://nwplab.tripod.com/"} +{"d:Title": "Wooster Group, The", "d:Description": "Theatre collective in NYC", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.thewoostergroup.org/"} +{"d:Title": "Performance Space 122 - NYC", "d:Description": "a not-for-profit arts center serving the New York City dance and performance community. P.S.122 is committed to supporting the development of work by individual artists who create live art with an authentic vision. It is fully dedicated to finding, developing, and bringing to the public eye artistic creations from a diversity of cultures, points of view, ages, and sexual orientations.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.ps122.org/"} +{"d:Title": "Ontological-Hysteric Theater", "d:Description": "Information concerning current and future performances at the Ontological at St. Marks Theater, which is the permanent home of Richard Foreman's Ontological-Hysteric Theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.ontological.com/"} +{"d:Title": "Border Crossings", "d:Description": "An international theatre company specializing in combined arts performances fusing many forms of world theatre, dance and music. Works across borders, between cultures and art forms, between nations and peoples.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.bordercrossings.org.uk/"} +{"d:Title": "Frantic Theatre Co - In House Music Hall", "d:Description": "Frantic tour all over the UK performing wild and wonderful Music Hall and Variety shows in venues big or small, from living rooms to community centres from theatres to dinner parties.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.frantictheatre.com/"} +{"d:Title": "Big Art Group", "d:Description": "A New York City performance company, formed in 1998 by Caden Manson, aggressively attacking the boundaries of performance though its explorations with structure, medium and process.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.bigartgroup.com/"} +{"d:Title": "Radio Hole", "d:Description": "Performing schizophrenia for the information age.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.radiohole.com/"} +{"d:Title": "Richard Maxwell and the New York City Players", "d:Description": "The company strives to bring new forms of theater and performance to the public and to advance public awareness of live theater and further public understanding of theater practices including script-writing, actor processes and directing techniques.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://nycplayers.org/"} +{"d:Title": "Mabou Mines", "d:Description": "Mabou Mines is an avant-garde theater company emphasizing the creation of new theater pieces from original texts and the theatrical use of existing texts staged from a specific point of view. Established in 1970 and based in New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.maboumines.org/"} +{"d:Title": "LIDA Project Experimental Theatre Company, The", "d:Description": "Experimental theatre company based in Denver Colorado.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.lida.org/"} +{"d:Title": "Planet SITI", "d:Description": "SITI was founded in 1992 by Anne Bogart and Tadashi Suzuki to redefine and revitalize contemporary theater in the United States through an emphasis on international cultural exchange and collaboration.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.siti.org/"} +{"d:Title": "Dixon Place", "d:Description": "A non-profit organization providing a venue for literary and performing artists to present new works in live performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.dixonplace.org/"} +{"d:Title": "La MaMa Theatre", "d:Description": "Performance space in the East Village, NYC", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.lamama.org/"} +{"d:Title": "One Yellow Rabbit Performance Theatre", "d:Description": "An adult oriented performance theatre company based in the Arts Centre in Calgary Alberta Canada.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.oyr.org/"} +{"d:Title": "Intersection for the Arts", "d:Description": "One San Francisco's original venues for new works in Theatrical, Literary, and Performing Arts. This site showcases all of the upcoming and current happenings.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.theintersection.org/"} +{"d:Title": "Axis Company", "d:Description": "Provides virtual tour, company members, contact information, mailing list, press articles, history and archive. Greenwich Village, New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.axiscompany.org/"} +{"d:Title": "Konic Thtr", "d:Description": "Defined as a label for the production and realisation of artistic projects using interactive technology. Interactions body-sound-light and image, where the public will finish or modify the process that the authors initially proposed.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.koniclab.info/"} +{"d:Title": "Neo-Futurists, The", "d:Description": "An ensemble of artists who write, direct, and perform their own work dedicated to social, political, and personal enlightenment in the form of audience-interactive conceptual theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.neofuturists.org/"} +{"d:Title": "Via Theatre", "d:Description": "Brian Jucha shares his projects and archive", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.jucha.com/"} +{"d:Title": "Peculiar Works Project", "d:Description": "Offers \"unusual, intelligent material for site-based performance.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://members.tripod.com/peculiarworks/"} +{"d:Title": "Soho Repertory Theater", "d:Description": "producing some of the most groundbreaking theater in New York City, a \"hot house\" for daring, intrinsically theatrical excellence.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.sohorep.org/"} +{"d:Title": "Blast Theory", "d:Description": "A group of seven artists based in London who make live events for theatres, clubs, galleries and the street.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.blasttheory.co.uk/"} +{"d:Title": "EXITheatre", "d:Description": "Working in non-theatrical spaces, breaking away from expected traditional forms of theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.exitheatre.com/"} +{"d:Title": "20 Cent Fiction", "d:Description": "A student run production company of all trades based at UMass Dartmouth.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.angelfire.com/games/20centFiction/"} +{"d:Title": "Irondale Ensemble Project", "d:Description": "An experimental/research theater ensemble. Information on productions, education, library, newsletters, funding, links and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.irondale.org/"} +{"d:Title": "Reverend Billy's Church of Stop Shopping", "d:Description": "A comic political site that hopes to resist the destruction of neighborhoods by malls and chain stores.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.revbilly.com/"} +{"d:Title": "Fat House, The", "d:Description": "Based in Leicester, UK, this company produces devised performance work which avoids the constriction of a director or 'outside eye'.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.angelfire.com/celeb/fathouse/"} +{"d:Title": "Builders Association, The", "d:Description": "Creates large-scale theater projects exploring the interface between live performance and media. Work re-animates theater for a contemporary audience, using current tools to interpret old forms. Productions combine historic and new texts with performance, sound, video, and architectural sets to create a world onstage which reflects contemporary culture", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.thebuildersassociation.org/"} +{"d:Title": "Collapsable Giraffe", "d:Description": "Williamsburg performance group.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.collapsablegiraffe.org/"} +{"d:Title": "WaxFactory", "d:Description": "An international group of artist dedicated to exploring a multiplicity of theatrical visions.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.waxfactory.org/"} +{"d:Title": "Rachel Rosenthal Company", "d:Description": "Performer Rachel Rosenthal teaches her performance technique DbD (Doing by Doing) that integrates text, movement, voice, choreography, improvisation, inventive costuming, dramatic lighting and sets.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.rachelrosenthal.org/"} +{"d:Title": "Temporary Distortion", "d:Description": "presenting unique nonlinear combinations of text, image, and sound...creating strange new theatrical experiences.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.temporarydistortion.com/"} +{"d:Title": "SCIATTO", "d:Description": "As a group for stage action and a laboratory of architectural planning, Sciatto looks at social and urban borderlines as the last field for artistic performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.ecn.org/sciattoproduzie/"} +{"d:Title": "Independent Eye, The", "d:Description": "A California-based touring company producing original work for stage and radio.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.independenteye.org/"} +{"d:Title": "Lucky Pierre", "d:Description": "Chicago based performance group", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.luckypierre.org/"} +{"d:Title": "LISA D", "d:Description": "Austrian fashion designer, based in Berlin. Has been presenting numerous happenings, performances and shows, in which she tells stories in the language of fashion.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.lisad.com/"} +{"d:Title": "Stolen Chair Theatre Company", "d:Description": "Committed to discovering new relationships between text, performers, and audiences, to exploring and exploding social mythology, and to creating a theatrical space where the familiar can give rise to the unknown.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.stolenchair.org/"} +{"d:Title": "Riot Group, The", "d:Description": "Produces original performance pieces which mix absurd comedy, political satire and a unique confrontational acting style.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.theriotgroup.com/"} +{"d:Title": "Elevator Repair Service", "d:Description": "Elevator Repair Service is a theater group based in New York City. See the schedule of upcoming shows, read what the press has to say, or get on the mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://elevator.org/"} +{"d:Title": "Complicite Theatre Company", "d:Description": "This site has information on Complicite's current and forthcoming productions, education work (projects and teaching) and a complete archive of Complicite productions with photos and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.complicite.org/"} +{"d:Title": "Laboratory Theater", "d:Description": "Brooklyn-based experimental theater ensemble dedicated to collaborating on the creation of original, interdisciplinary work for the theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.laboratorytheater.org/"} +{"d:Title": "Fevered Sleep", "d:Description": "Site for Fevered Sleep, performance company that makes theatre, installation and site-specific performance", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.feveredsleep.co.uk/"} +{"d:Title": "One Year Lease", "d:Description": "Dedicated to working with classic texts. Performing in New York City and in Europe.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.oneyearlease.org/"} +{"d:Title": "Peace Theatre", "d:Description": "Official site of the Iranian theatre group.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://peacetheatre.tripod.com/"} +{"d:Title": "Target Margin Theater", "d:Description": "Founded on the principle that works of art return us to real truths most powerfully by their divergence from a strict illustration of reality. Through contemporary, as well as classic texts, we continuously seek to expand our conception of what can take place in theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.targetmargin.org/"} +{"d:Title": "Djalma Butoh Company", "d:Description": "Based out of New Mexico.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.djalma.com/"} +{"d:Title": "Manbites Dog Theater", "d:Description": "Nearly two decades of cutting edge theater in Durham and voted Best Theater in the Triangle 2003.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.manbitesdogtheater.org/"} +{"d:Title": "Xunesis", "d:Description": "Chicago based performing arts and production company that builds multidisciplinary collaborative teams centered around a specific concept to create pieces for stage, exhibits, installations for museums, educational institutions, media or film productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.xunesis.org/"} +{"d:Title": "ParaTheatrical ReSearch", "d:Description": "Ritual technology, intermedia theater, and video poetics, plus astrological insight, all from playwright and filmmaker Antero Alii.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.paratheatrical.com/"} +{"d:Title": "Off_Leash Area: Contemporary Performance Works", "d:Description": "An interdisciplinary company of mid-career artists creating new performance works in Minneapolis.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.offleasharea.org/"} +{"d:Title": "OneLight Theatre", "d:Description": "Since its inception in 1999, OneLight Theatre has been a company where every member contributes; actors, technicians, members of the production team, all have a voice.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.onelighttheatre.com/"} +{"d:Title": "Creation Production Company", "d:Description": "New York-based company that generates innovative forms of theatre through experiments with language, new music theatre, and explorations of political issues, seamlessly integrating the visual arts, music, dance, technology, and architecture.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.creationproduction.org/"} +{"d:Title": "Forced Entertainment", "d:Description": "An ensemble of artists based in Sheffield, England working together since 1984 producing work in theatre, installation, digital media and film.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.forcedentertainment.com/"} +{"d:Title": "Izumi Ashizawa Performance", "d:Description": "Izumi Ashizawa Performance seeks cultural integration through its original performance languages.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://izumiashizawa.tripod.com/"} +{"d:Title": "C&T - It Stands for More Than Theatre", "d:Description": "A United Kingdom-based theatre company working in educational and community settings, combining new technology and participation.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.candt.org/"} +{"d:Title": "Curious Theater Branch", "d:Description": "Curious Theater Branch. Original ideas. Original Theater. Changing the world, one imagination at a time.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://curioustheatrebranch.com/"} +{"d:Title": "Naked on Stage", "d:Description": "A group of creative writers from St Andrews University.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.st-andrews.ac.uk/~kl86/index.shtml"} +{"d:Title": "New World Players", "d:Description": "Non-profit, community-based experimental theatre lab dedicated to presenting works by under-represented groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.newworldarts.org/"} +{"d:Title": "Handwritten Theatre", "d:Description": "Brief dramatic pieces originally composed in a small black notebook with a fountain pen.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.handwrittentheatre.blogspot.com/"} +{"d:Title": "Garrett Fisher Ensemble", "d:Description": "Music-dramatic works by Garrett Fisher and Ensemble which seek to stretch the boundaries of theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.fisherensemble.org/"} +{"d:Title": "Undermain Theatre", "d:Description": "An ensemble of artists committed to providing our community with innovative, thought-provoking, professional theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Companies", "url": "http://www.undermain.org/"} +{"d:Title": "KunstenFestival des Arts", "d:Description": "Annual event held in Brussels. Features venues showing some twenty new works and a total of thirty-two projects that have come from all four corners of the world and from both sides of Belgium's linguistic divide.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.kfda.be/"} +{"d:Title": "St. Ambroise Montreal Fringe Festival", "d:Description": "Information, shows and venues. With 11 days and 45,000 spectators.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.montrealfringe.ca/"} +{"d:Title": "San Francisco Fringe Festival", "d:Description": "The website of EXIT Theatre, home to the San Francisco Fringe Festival.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.sffringe.org/"} +{"d:Title": "Toronto Fringe Festival", "d:Description": "Information about Toronto's largest theatre festival, its performers and volunteers.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.fringetoronto.com/"} +{"d:Title": "Vancouver Fringe Festival", "d:Description": "Large festival of independent theatre, held annually in Vancouver, British Columbia.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.vancouverfringe.com/"} +{"d:Title": "Dublin Fringe Festival", "d:Description": "Presents over 65 productions and more than 300 performances, squeezed into twenty days and nights of fun and excitement. Held annually in September - October in Dublin, Ireland.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.fringefest.com/"} +{"d:Title": "Edinburgh Festival Fringe", "d:Description": "The official site, for over 50 years Scotland's capital city has seen a unique explosion of creative energy within the Fringe, the largest arts festival in the world.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "https://www.edfringe.com/"} +{"d:Title": "FringeNYC", "d:Description": "Information about the New York International Fringe Festival.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.fringenyc.org/"} +{"d:Title": "Transeuropa", "d:Description": "Theatre festival in Hildesheim, Germany. Concept, events, artists, and services. [English and German]", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.transeuropa-festival.de/"} +{"d:Title": "Cincinnati Fringe Festival", "d:Description": "A 17-day celebration of art outside of the box that seeks to challenge perceptions as to what art is! Includes information on applying, sponsoring, and attending.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.cincyfringe.com/"} +{"d:Title": "The Free Edinburgh Fringe Festival", "d:Description": "Annual festival of free theatre performances including comedy, stand-up, theatre, drama and music.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.freefringe.com/"} +{"d:Title": "Ottawa Fringe Festival", "d:Description": "Music festival running in June.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://ottawafringe.com/"} +{"d:Title": "Adelaide Fringe", "d:Description": "Held in Australia during February and March. Includes information about the event, sponsors, jobs and volunteering, poster archive and FAQs.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.adelaidefringe.com.au/"} +{"d:Title": "Fringe Theatre Adventures (FTA)", "d:Description": "Produces the Edmonton Fringe Theatre Festival, the largest extravaganza of theatre arts and culture of its kind in North America, a year-round season of youth programming through Fringe Theatre For Young People, and a five-week Western Canadian mentoring program. FTA also runs the Fringe Theatre School, which provides classes for those aged 5 and up and manages The Arts Barns , a 45,000 square foot cultural centerpiece of Old Strathcona.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Festivals", "url": "http://www.fringetheatre.ca/"} +{"d:Title": "Franklin Furnace Archive, Inc.", "d:Description": "Avant-garde performance art institution presents The Future of the Present, 22 netcasts of temporal work by performance artists.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/News_and_Media", "url": "http://www.franklinfurnace.org/"} +{"d:Title": "Charlie in Lights - Edinburgh Festival", "d:Description": "Features show of Edinburgh Fringe 2001, Charlie in Lights by Giggling Pie Theatre Company. Details and theatre information.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Shows", "url": "http://rob-and-colin.tripod.com/charlie.html"} +{"d:Title": "Theatre in Absence: Abstract Commedy", "d:Description": "Towards the end of the second millennium the pseudoeternity of the world has (almost) been used up: here comes the absent nothing, numinous and shining, plain and complex, tragic and playful at the same time, under the form of Astratta Commedia.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Shows", "url": "http://www.in-absence.org/teatro/e_index.htm"} +{"d:Title": "Squat Theatre", "d:Description": "Archive for the Squat Theatre, founded by a group of artists, writers, designers, musicians in Budapest and expelled from Hungary in the early 1970's for their radical aesthetical views. Their experimental New York storefront theatre became a well-known place in the city.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Shows", "url": "http://squattheatre.com/"} +{"d:Title": "On The Boards", "d:Description": "On the Boards/Behnke Center for Contemporary Performance produces and presents a wide variety of events by artists who are leading the way in the evolution of the performing arts, including work by international innovators, adventurous US artists, and a wide variety of artists and companies in the Pacific Northwest region of the United States.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.ontheboards.org/"} +{"d:Title": "Kitchen, The", "d:Description": "The Kitchen's mission is to identify, support, and present artists whose art influences its medium and contemporary culture. It promotes the growth of artists, audiences, and the presenting field by pushing the accepted boundaries and definitions of contemporary culture, using artistic exploration and education as tools and its own history as a resource. A multi-disciplinary presenting organization that provides visionary artists in all stages of their careers with much needed technical, artistic, and administrative resources for performances and exhibitions, The Kitchen has helped to launch the careers of many artists who define the American avant-garde.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.thekitchen.org/"} +{"d:Title": "Performance Space 122", "d:Description": "A not-for-profit arts center serving the New York City dance and performance community since 1979. P.S.122 is committed to supporting the development of work by individual artists who create live art with an authentic vision. Finding, developing, and bringing to the public eye artistic creations from a diversity of cultures, points of view, ages, and sexual orientations", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.ps122.org/"} +{"d:Title": "Location One", "d:Description": "Arena for contemporary art rich in interdisciplinary context.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.location1.org/"} +{"d:Title": "Highways Performance Space and Gallery", "d:Description": "Southern California's center for new performance", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.highwaysperformance.org/"} +{"d:Title": "DiverseWorks", "d:Description": "a non-profit art center dedicated to presenting new visual, performing, and literary art. DiverseWorks is a place where the process of creating art is valued and where artists can test new ideas in the public arena. By encouraging the investigation of current artistic, cultural and social issues, DiverseWorks builds, educates, and sustains audiences for contemporary art", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.diverseworks.org/"} +{"d:Title": "Dixon Place", "d:Description": "Supports and nurtures the development of new work from diverse artists", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.dixonplace.org/"} +{"d:Title": "Here Arts Center", "d:Description": "Performance, Art, Cafe", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.here.org/"} +{"d:Title": "La MaMa", "d:Description": "La MaMa Experimental Theatre is a world-renowned cultural organization led by founder Ellen Stewart. For 40 years La MaMa has passionately pursued its original mission to develop, nurture, support, produce and present new and original performance work by artists of all nations and cultures. We believe that in order to flourish, art needs the company of colleagues, the spirit of collaboration, the comfort of continuation, a public forum in which to be evaluated and fiscal support.", "topic": "Top/Arts/Performing_Arts/Theatre/Experimental/Venues", "url": "http://www.lamama.org/"} +{"d:Title": "Black Broadway: A Twentieth Century Black Musical Review", "d:Description": "A virtual playhouse designed to celebrate the history and future of African-American musical theatre and dance.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.theatredance.com/mainstage.html"} +{"d:Title": "TheatreHistory.com", "d:Description": "Collections of articles and links on the origins of theatre and theatre history in various countries, cultures, and time periods.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.theatrehistory.com/"} +{"d:Title": "American Variety Stage: Vaudeville and Popular Entertainment, 1870-1920", "d:Description": "Selected materials from the popular stage and allied arts housed at the Library of Congress. Includes photographs and memorabilia of Houdini, English playscripts, Yiddish playscripts, a selection of playbills and program books, motion pictures, and sound recordings.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://lcweb2.loc.gov/ammem/vshtml/vshome.html"} +{"d:Title": "Broadway: The Golden Age", "d:Description": "Dedicated to Rick McKay's film about the rise of Broadway theatre as told by performers, writers and composers.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.broadwaythemovie.com/"} +{"d:Title": "Early Twentieth-Century Russian Drama", "d:Description": "An overview of the directors, designers, artists and playwrights in Russia who shaped modern drama during the first two decades of the twentieth century. Includes hundreds of images, multimedia, and commentary.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://max.mmlc.northwestern.edu/~mdenner/Drama/"} +{"d:Title": "Arthur Lloyd", "d:Description": "Biographical information on Arthur Lloyd, major 19th century UK music hall performer and song writer, with details on related Halls and Theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.arthurlloyd.co.uk/"} +{"d:Title": "Playbills from Edinburgh's Theatre Royal", "d:Description": "A database of 240 playbills, which were used to advertise performances and events at the start of the 19th century, from the collection of the National Library of Scotland.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://sites.scran.ac.uk/playbills/"} +{"d:Title": "History of St. John Terrell's Lambertville Music Circus", "d:Description": "Provides information, photos, stories, and facts about the establishment.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.lambertville-music-circus.org/"} +{"d:Title": "HAT- History of Australian Theatre", "d:Description": "Contains articles on Australian theatre history and a 3000 entry historical database of people associated with it.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.hat-archive.com/"} +{"d:Title": "George M. Cohan", "d:Description": "The life of George M. Cohan and his most significant plays are reviewed, along with a complete stageography, filmography and on-going discography.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.members.tripod.com/davecol8/"} +{"d:Title": "Golden Age of Theatre (1880-1920), The", "d:Description": "Illustrated biographies of major British actors during the early years of the nineteenth century, including Gertie Miller, Gabrielle Ray and Seymour Hicks.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.collectorspost.com/GoldenAge.htm"} +{"d:Title": "Padua Hills Theatre \u2014 The Mexican Players", "d:Description": "From 1932 to 1974 the Mexican Players performed at Padua Hills Theatre in Claremont, California, preserving their heritage while fighting prejudice. Includes their history and photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.loscalifornios.org/"} +{"d:Title": "The Golden Age of British Theatre 1880-1920", "d:Description": "Biographies of British actors including Adeline Gen\u00e9e, Sir John Hare and Dame Irene Vanbrugh. Illustrated with contemporary postcards and including extracts from reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://the-camerino-players.com/britishtheatre/index.html"} +{"d:Title": "Adrian Gatie Theatre Photographs", "d:Description": "Extensive collection of theatre photographs at the Stephen Joseph Theatre, the Hull Truck Theatre and others in the UK. Actors include Alan Ayckbourn and John Godber in rehearsals, as well as stage sets.", "topic": "Top/Arts/Performing_Arts/Theatre/History", "url": "http://www.ag-theatrephotographs.co.uk/"} +{"d:Title": "International Playback Theatre Network (IPTN)", "d:Description": "Supports development of playback theatre worldwide through its programs and services, membership benefits, training resources, administration, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational", "url": "http://www.playbacknet.org/"} +{"d:Title": "Improv Encyclopedia", "d:Description": "A large collection of resources related to improvisational theatre, focusing on games, references, and a glossary.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational", "url": "http://improvencyclopedia.org/"} +{"d:Title": "The Living Playbook", "d:Description": "Descriptions of hundreds of short- and long-form improv games maintained by the improv community.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational", "url": "http://www.unexpectedproductions.org/living_playbook.htm"} +{"d:Title": "Musical Hotspot", "d:Description": "Explores musical accompaniment for improvised theatre, including musical- and non-musical games, workshop exercises, and song construction.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational", "url": "http://www.musicalhotspot.com/"} +{"d:Title": "Transactors Improv Co.", "d:Description": "Professional touring theater based in Chapel Hill, N.C. Schedule and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://www.transactors.org/"} +{"d:Title": "Hudson River Playback Theatre", "d:Description": "Audience members' true stories are brought to life from this Mid-Hudson area company. Information on show schedule, information on using playback for schools or business, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://www.hudsonriverplayback.org/"} +{"d:Title": "Canadian Improv Games", "d:Description": "Canada's national festival of improvisation for youth. Regional information, explanation of how to get involved and event details.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://www.improv.ca/"} +{"d:Title": "Lunatic Players, The", "d:Description": "The Lunatic Players is an improv troupe dedicated to provide entertainment for everyone in communities around the NYC and Long island areas.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://www.angelfire.com/games4/thelunaticplayers/"} +{"d:Title": "Pocket Full of Tales Children Theater", "d:Description": "Improvised shows where kids supply the characters and locations for original musicals, or scripted shows for parties and schools. Cast information, shows, classes, tickets, calendar, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://www.pocketfulloftales.com/"} +{"d:Title": "Open Door Playback Theatre Company", "d:Description": "Performs shows and holds workshops with hospital patients as well as staff and community groups from the campus of North Texas State Hospital in Vernon, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://www.opendoorplayback.com/"} +{"d:Title": "Bay Area Playback Theatre Company", "d:Description": "Uses improvisational theatre to transform personal stories told by audience members into theatre pieces by using movement, music and spoken word. Based in Marin, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://bayareaplayback.com/"} +{"d:Title": "INDYPROV Sketchy Comedy", "d:Description": "Improvisational comedy troupe based in Indianapolis", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Groups", "url": "http://www.indyprov.com/"} +{"d:Title": "Daena Giardella", "d:Description": "Improvisational theater performer and teacher. Information on classes and organizational communications training programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Individuals", "url": "http://www.daenagiardella.com/"} +{"d:Title": "Jonathan Browning", "d:Description": "Improvisational theater performer, teacher and director. Currently offering improv workshops, private sessions and corporate support in Los Angeles, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Individuals", "url": "http://www.jonathanbrowning.com/"} +{"d:Title": "Neil Muscott", "d:Description": "Information on Torontonian improviser and instructor, Neil Muscott. Updates include descriptions of classes offered, student testimonials and information on his production \"The Comedy Debate.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Individuals", "url": "http://www.neilmuscott.com/"} +{"d:Title": "Leslie McManus", "d:Description": "Improv instructor and actor in Los Angeles. Continuous updates on classes, theater and comedy projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Individuals", "url": "http://www.lesliemcmanus.com/"} +{"d:Title": "Fuzzy Gerdes", "d:Description": "A Chicago improviser and administartor of online improv resources, Fuzzy's site includes a calendar of his upcoming performances, biographical information as well as links to the improv pages he maintains.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Individuals", "url": "http://www.fuzzyco.com/"} +{"d:Title": "Lauren Hobbs", "d:Description": "Improvisational actress based in New York City", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Individuals", "url": "http://laurenhobbs.com/"} +{"d:Title": "Spolin Center, The", "d:Description": "Dedicated to promoting Viola Spolin. News, articles, links and audios.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Workshops", "url": "http://www.spolin.com/"} +{"d:Title": "Staircase, The", "d:Description": "Improv explanations and details about structure. Information about performances, workshops, and bookings.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Workshops", "url": "http://www.staircase.org/"} +{"d:Title": "Joya Cory Workshops", "d:Description": "Beyond comedy improv, this training activates full emotional and physical range to create tmmediate theatre of authenticity and substance.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Workshops", "url": "http://www.joyacory.com/"} +{"d:Title": "Angel City Improv", "d:Description": "Quality professional interactive improvisational theater and instruction to folks of all ages in the Twin Cities area of Minnesota.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Workshops", "url": "http://www.katiescabaret.freeservers.com/"} +{"d:Title": "ImprovWorks", "d:Description": "A nonprofit theater arts organization. Information on performances, classes, and workshops. San Francisco.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Workshops", "url": "http://www.improvworks.org/"} +{"d:Title": "Centre for Playback Theatre", "d:Description": "International school for Playback Theatre; information on instructors, training schedules, and playback resources.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Workshops", "url": "http://www.playbackschool.org/"} +{"d:Title": "Paul Sills\u2019 Wisconsin Theater Game Center", "d:Description": "Teaches theater improv based on the work of Viola Spolin. Located in Door County Wisconsin.", "topic": "Top/Arts/Performing_Arts/Theatre/Improvisational/Workshops", "url": "http://www.sillsspolintheaterworks.com/"} +{"d:Title": "Africans in America", "d:Description": "Information on the origins of the minstrel character, Jim Crow.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://www.pbs.org/wgbh/aia/part3/3h489.html"} +{"d:Title": "American Minstrel Show Collection", "d:Description": "Information about the archive held at Princeton University.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://libweb.princeton.edu/libraries/firestone/rbsc/aids/tc050.html"} +{"d:Title": "Black-Face Minstrelsy", "d:Description": "Includes images, background and a script sample.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://etext.lib.virginia.edu/railton/huckfinn/minstrl.html"} +{"d:Title": "Minstrel Show, The", "d:Description": "Page from a site on the Jacksonian era in America provides a short history of the minstrel genre and an exploration of the archetypes it created.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://chnm.gmu.edu/courses/jackson/minstrel/minstrel.html"} +{"d:Title": "Minstrel Shows", "d:Description": "Explores the musical tradition. Includes an article by John Kenrick and a typical minstrel program.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://www.musicals101.com/minstrel.htm"} +{"d:Title": "Stephen Foster | Blackface Minstrelsy", "d:Description": "From the website for the PBS American Experience documentary \"Stephen Foster\" a page of information on the Minstrel Show in the 19th century.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://www.pbs.org/wgbh/amex/foster/sfeature/sf_minstrelsy.html"} +{"d:Title": "Minstrelsy Homepage 1830-1852", "d:Description": "Includes image gallery, songs, texts, notices and reviews, articles, and essays and interpretation of the minstrel show tradition.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://utc.iath.virginia.edu/minstrel/mihp.html"} +{"d:Title": "Jim Crow Museum of Racist Memorabilia at Ferris State University", "d:Description": "Racism and racial stereotypes in the Jim Crow Era. Includes information on minstrel shows, the stock characters originated in them such as Jim Crow, Zip Coon and their impact on American life.", "topic": "Top/Arts/Performing_Arts/Theatre/Minstrel_Shows", "url": "http://www.ferris.edu/news/jimcrow/"} +{"d:Title": "110 In the Shade @ The Broadway Musical Home", "d:Description": "Production information, synopsis, list of musical numbers, and links to merchandise and tickets for the musical 110 In the Shade.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/1", "url": "http://www.broadwaymusicalhome.com/shows/110.htm"} +{"d:Title": "One and One", "d:Description": "By Paul-Unko Rieter. Find the synopsis of the scenes and songs of this musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/1", "url": "http://www.musical-one-and-one.com/"} +{"d:Title": "One The Musical", "d:Description": "Wade McCollum blogs about his work in progress.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/1", "url": "http://onethemusical.blogspot.com/"} +{"d:Title": "1776", "d:Description": "Synopsis and brief history of the Broadway musical, plus links to cast albums, sheet music, and official show merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/1/1776", "url": "http://www.imagi-nation.com/moonstruck/albm81.html"} +{"d:Title": "1776 @ The Broadway Musical Home", "d:Description": "Production information, synopsis, list of musical numbers, links to merchandise and lyrics, and rights holders for the musical 1776.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/1/1776", "url": "http://broadwaymusicalhome.com/shows/1776.htm"} +{"d:Title": "Stage 212's Production", "d:Description": "La Salle, Illinois.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/1/1776/Productions", "url": "http://members.tripod.com/stage212/1776/1776.html"} +{"d:Title": "The 25th Annual Putnam County Spelling Bee @ The Broadway Musical Home", "d:Description": "Production information; synopsis; list of musical numbers; links to merchandise ,tickets, and lyrics.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/2", "url": "http://broadwaymusicalhome.com/shows/bee.htm"} +{"d:Title": "Moonstruck Drama Bookstore: 42nd Street", "d:Description": "Brief history and synopsis of the musical by Harry Warren, Al Dubin, Michael Stewart and Mark Bramble, plus links to cast albums, sheet music, and videos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/4/42nd_Street", "url": "http://www.imagi-nation.com/moonstruck/albm72.html"} +{"d:Title": "42nd Street European Tour Survivor's Journal", "d:Description": "Photographs of the 1999-2000 production and travels.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/4/42nd_Street", "url": "http://fourty-second-street.tripod.com/"} +{"d:Title": "The Broadway Musical Home: 42nd Street", "d:Description": "Production information; synopsis; list of musical numbers; links to merchandise and lyrics; and rights holders.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/4/42nd_Street", "url": "http://www.broadwaymusicalhome.com/shows/42nd.htm"} +{"d:Title": "All 4 One - The Musical", "d:Description": "Official site of this musical from Kevin Heuston and John Trent Wallace, which combines the stories of The Three Musketeers and The Man in the Iron Mask.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A", "url": "http://www.all4onethemusical.com/"} +{"d:Title": "Anne and Gilbert", "d:Description": "Anne of Green of Gables in love. Site includes cast recording, reviews, and current and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A", "url": "http://www.anneandgilbert.com/"} +{"d:Title": "Altar Boyz", "d:Description": "Official site for the musical about a struggling Christian boy band (with one nice Jewish boy) looking for their big break in the Big Apple. Site includes information about the long-running Off-Broadway production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A", "url": "http://www.altarboyz.com/"} +{"d:Title": "Alice in Wonderland", "d:Description": "Theatrical production with song and incidental music, inspired by Lewis Carroll's original dream adventure, suitable for children's or professional theater productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A", "url": "http://aliceinwonderland.sirmuse.com/"} +{"d:Title": "Abelard and Heloise: The Love Affair", "d:Description": "Provides a synopsis of the literature classic.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Abelard_and_Heloise", "url": "http://classiclit.about.com/cs/articles/a/aa_abelard.htm"} +{"d:Title": "Abelard and Heloise", "d:Description": "Offers synopsis, audio clips, biographies and contact information. Based upon a true love story. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Abelard_and_Heloise", "url": "http://www.abelardandheloise.com/"} +{"d:Title": "Medieval Sourcebook: Heloise: Letter to Abelard", "d:Description": "An essay and text passages address the authenticity of the letters, known as the best documents of early romantic love.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Abelard_and_Heloise", "url": "http://www.fordham.edu/halsall/source/heloise1.asp"} +{"d:Title": "Not What the Doctor Ordered", "d:Description": "Critical review, focusing on the negative effects of the \"Disneyfication\" of Verdi's opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Aida", "url": "http://www.frugalfun.com/disneyaida.html"} +{"d:Title": "BBC News: Disney's Aida Resurfaces", "d:Description": "Discusses Chicago pre-Broadway run of the musical, and changes made from the Atlanta production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Aida", "url": "http://news.bbc.co.uk/1/hi/special_report/1999/03/99/tom_brook/548233.stm"} +{"d:Title": "Aida @ AllMusicals.com", "d:Description": "Review of the 2000 Broadway production, plus synopsis and song lyrics.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Aida", "url": "http://www.allmusicals.com/a/aida.htm"} +{"d:Title": "The Broadway Musical Home: Aida", "d:Description": "Production information; synopsis; list of musical numbers; links to merchandise and lyrics; and rights holders.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Aida", "url": "http://broadwaymusicalhome.com/shows/aida.htm"} +{"d:Title": "Moonstruck Drama Bookstore: Annie", "d:Description": "Brief history of the musical by Charles Strouse, Martin Charnin and Thomas Meehan, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Annie", "url": "http://www.imagi-nation.com/moonstruck/albm1.htm"} +{"d:Title": "Annieorphans.com Forum", "d:Description": "Forum for the cast members and fans of the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Annie", "url": "http://www.network54.com/Forum/82384/"} +{"d:Title": "Annie WebRing", "d:Description": "Sites related to the Broadway musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Annie", "url": "http://www.webring.org/hub?ring=BroadwayANNIE"} +{"d:Title": "Annie Get Your Gun", "d:Description": "Brief history of the musical by Irving Berlin and Herbert and Dorothy Fields, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Annie_Get_Your_Gun", "url": "http://www.imagi-nation.com/moonstruck/albm2.htm"} +{"d:Title": "TheatreHistory.com: Annie Get Your Gun", "d:Description": "A synopsis of the musical by Irving Berlin and Herbert and Dorothy Fields.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Annie_Get_Your_Gun", "url": "http://www.theatrehistory.com/american/musical009.html"} +{"d:Title": "Talkin' Broadway's Broadway Reviews: Annie Get Your Gun", "d:Description": "Theatre review by Fergus Mcgillicuddy.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Annie_Get_Your_Gun", "url": "http://www.talkinbroadway.com/page/world/agyg.html"} +{"d:Title": "TheatreHistory.com: Anything Goes", "d:Description": "A synopsis and brief history of the musical by Cole Porter.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Anything_Goes", "url": "http://www.theatrehistory.com/american/musical020.html"} +{"d:Title": "Anything Goes", "d:Description": "Brief history of the musical by Cole Porter, Guy Bolton, P.G. Wodehouse, Howard Lindsay and Russel Crouse, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Anything_Goes", "url": "http://www.imagi-nation.com/moonstruck/albm13.htm"} +{"d:Title": "Classical Net Review - Cole Porter - Anything Goes", "d:Description": "Steve Schwartz reviews the London Symphony Orchestra's recording.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Anything_Goes", "url": "http://www.classical.net/music/recs/reviews/e/emi49848a.html"} +{"d:Title": "StageAgent.com: Anything Goes", "d:Description": "Includes synopsis, audition information, and character descriptions for the musical by Cole Porter, including voice part, dancing difficulty and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Anything_Goes", "url": "http://stageagent.com/shows/musical/707/anything-goes"} +{"d:Title": "Assassins", "d:Description": "Brief history and synopsis of the Broadway musical by Stephen Sondheim, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Assassins", "url": "http://www.imagi-nation.com/moonstruck/albm80.html"} +{"d:Title": "Stephen Sondheim Stage: Assassins", "d:Description": "Information on the original Off-Broadway production of Stephen Sondheim's \"Assassins.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Assassins", "url": "http://www.sondheim.com/shows/assassins/"} +{"d:Title": "Amazing Journey", "d:Description": "News, photos, articles, and multimedia about the 2004 Broadway production and Michael Cerveris, one of its stars.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Assassins", "url": "http://www.amazing-journey.com/assassins_main.htm"} +{"d:Title": "Avenue Q @ Allmusicals.com", "d:Description": "Lyrics for all songs, and a synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Avenue_Q", "url": "http://www.allmusicals.com/a/avenueq.htm"} +{"d:Title": "Avenue Q", "d:Description": "Official site includes information on puppets, cast, creative team, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/A/Avenue_Q", "url": "http://www.avenueq.com/"} +{"d:Title": "Black Sunrise", "d:Description": "A new musical by Brian Byrne which premiered at the National Concert Hall in Dublin. Information on the show, tickets, venues, and cast, along with audio samples.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://homepage.eircom.net/~blacksunrise/index.htm"} +{"d:Title": "The Beautiful Game", "d:Description": "Includes news, information, photographs, reviews, and sound samples.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://users.skynet.be/salembier/main.htm"} +{"d:Title": "Below Stairs", "d:Description": "The story revolves around the life of Lucy Ambleside, a housemaid aspiring to be a lady's maid.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://www.belowstairsshow.com/"} +{"d:Title": "Babes In Arms @ LorenzHart.org", "d:Description": "Synopsis, production history, and critical appraisal.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://www.lorenzhart.org/babes.htm"} +{"d:Title": "Bugsy Malone", "d:Description": "Beginning site of the hit Youth Musical: Bugsy Malone", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://www.angelfire.com/musicals/BugsyMalone/"} +{"d:Title": "Batman: The Musical", "d:Description": "Dark Knight Of The Soul, The Un-official Memorial of The Musical by Jim Steinman and David Ives", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://www.freewebs.com/batman_themusical/"} +{"d:Title": "Buddy: The Buddy Holly Story", "d:Description": "Music, tour dates, and licensing information for the rock-and-roll musical, which had its London premiere in 1989.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://www.buddythemusical.com/"} +{"d:Title": "Bark!", "d:Description": "Told and sung from the point of view of dogs in a doggie day care. Synopsis, reviews, merchandise, and schedule of past and future productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B", "url": "http://www.barkthemusical.com/"} +{"d:Title": "TheatreHistory.com: Babes in Toyland", "d:Description": "A synopsis of the stage musical by Victor Herbert and Glen MacDonough, plus links to purchase the cast album and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Babes_in_Toyland", "url": "http://www.theatrehistory.com/american/musical028.html"} +{"d:Title": "Moonstruck Drama Bookstore: Beauty and the Beast", "d:Description": "Brief history of the musical by Alan Menken, Howard Ashman, Tim Rice and Linda Wolverton, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Beauty_and_the_Beast", "url": "http://www.imagi-nation.com/moonstruck/albm19.htm"} +{"d:Title": "The Best Little Whorehouse in Texas", "d:Description": "A detailed synopsis of the musical based on a legendary Texas brothel.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Best_Little_Whorehouse_in_Texas,_The", "url": "http://www.theatrehistory.com/american/best_little_whorehouse_001.html"} +{"d:Title": "Billy Elliot The Musical", "d:Description": "Official site includes information on the London, Sydney, and New York productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Billy_Elliot", "url": "http://www.billyelliotthemusical.com/"} +{"d:Title": "Bombay Dreams", "d:Description": "Really Useful Group site for the show. Includes video and pictures, information about the show, and synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Bombay_Dreams", "url": "http://www.reallyuseful.com/shows/bombay-dreams"} +{"d:Title": "Brigadoon", "d:Description": "Brief history of the musical by Frederick Loewe and Alan Jay Lerner, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Brigadoon", "url": "http://www.imagi-nation.com/moonstruck/albm9.htm"} +{"d:Title": "TheatreHistory.com: Brigadoon", "d:Description": "A synopsis of the musical by Alan Jay Lerner and Frederick Loewe.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Brigadoon", "url": "http://www.theatrehistory.com/american/musical007.html"} +{"d:Title": "Bright Lights, Big City", "d:Description": "A site devoted to the new musical currently playing at New York Theatre Workshop.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Bright_Lights,_Big_City", "url": "http://members.tripod.com/~blbc/"} +{"d:Title": "From Disneyland to the Great White Way", "d:Description": "Review of May, 2005 performance from CNN.com focusing on Eden Espinosa in the title role.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Brooklyn", "url": "http://www.cnn.com/2005/US/05/20/otr.broadway/"} +{"d:Title": "Brooklyn the Musical @ AllMusicals.com", "d:Description": "Song lyrics and synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Brooklyn", "url": "http://www.allmusicals.com/b/brooklyn.htm"} +{"d:Title": "Brooklyn: The Musical @ TheBroadwayMusicals.com", "d:Description": "Song lyrics and synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Brooklyn", "url": "http://www.thebroadwaymusicals.com/b/brooklyn.htm"} +{"d:Title": "TheatreHistory.com: Bye Bye Birdie", "d:Description": "A synopsis and brief history of the musical by Michael Stewart, Lee Adams and Charles Strouse.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Bye_Bye_Birdie", "url": "http://www.theatrehistory.com/american/musical006.html"} +{"d:Title": "Bye Bye Birdie", "d:Description": "A synopsis of the Broadway musical, plus links to purchase cast albums, and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/B/Bye_Bye_Birdie", "url": "http://www.imagi-nation.com/moonstruck/albm10.htm"} +{"d:Title": "The Curse of the Bambino Musical", "d:Description": "The only musical that celebrates the Boston Red Sox and their 86-year quest for a world championship. Script, link to ordering for original cast recording, and baseball links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://www.bambinomusical.com/"} +{"d:Title": "Cumberland Blues", "d:Description": "Official site of the new musical by Michael Norman Mann with songs by Jerry Garcia and Robert Hunter. Using such classic songs as \"Deal\", \"Touch of Gray\", and \"Box of Rain\" to tell a family tale of greed, redemption, and love.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://www.cumberlandblues.net/"} +{"d:Title": "Chitty Chitty Bang Bang", "d:Description": "Stage musical, directed by Adrian Noble, produced by the Broccoli family with songs from the Sherman brothers. At the London Palladium, from 19 March 2002. Brief details, with box office links and mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://www.chittythemusical.co.uk/"} +{"d:Title": "MadElf Productions", "d:Description": "Responsible for the rock opera, The Children of Children. Samples and lyrics.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://www.madelf.com/"} +{"d:Title": "A Closer Walk with Patsy Cline", "d:Description": "The musical by Dean Regan follows Patsy Cline's climb to stardom from her hometown in Virginia to The Grand Ole Opry, Las Vegas and Carnegie Hall. Cast, crew, and scenery requirements; a biography of the singer; and licensing information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://www.walkwithpatsycline.com/"} +{"d:Title": "Crazy For You @ AllMusicals.com", "d:Description": "Synopsis and lyrics of all songs from the musical, based on the book by Ken Ludwig. Music and script written by George and Ira Gershwin.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://www.allmusicals.com/c/crazyforyou.htm"} +{"d:Title": "Chutzpah a Go-Go", "d:Description": "An off-center account of growing up Jewish in America by Toronto artists David Gale and Randy Vancourt. Show history and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://chutzpah.homestead.com/"} +{"d:Title": "Cruisin': The Musical", "d:Description": "A 1950s musical set in Australia, which can be adapted for any country. Includes music and video for preview.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C", "url": "http://www.cruisinthemusical.com/"} +{"d:Title": "Don't Tell Mama", "d:Description": "A page that contains information on the musical Cabaret.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cabaret", "url": "http://www.angelfire.com/ny/DontTellMama/"} +{"d:Title": "Cabaret", "d:Description": "Brief history of the Broadway musical by John Kander and Fred Ebb, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cabaret", "url": "http://www.imagi-nation.com/moonstruck/albm11.htm"} +{"d:Title": "Cabaret - A Fans Site", "d:Description": "Site is dedicated to cabaret past and present.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cabaret", "url": "http://www.cabaret.8m.com/"} +{"d:Title": "StageAgent.com: Cabaret", "d:Description": "Plot synopsis, audition information and character descriptions for the musical by John Kander and Fred Ebb, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cabaret", "url": "http://stageagent.com/shows/musical/323/cabaret"} +{"d:Title": "Moonstruck Drama Bookstore: La Cage Aux Folles", "d:Description": "Brief history of the musical by Jerry Herman and Harvey Fierstein, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cage_Aux_Folles,_La", "url": "http://www.imagi-nation.com/moonstruck/albm48.html"} +{"d:Title": "StageAgent.com: La Cage aux Folles", "d:Description": "Plot synopsis and character descriptions for the Jerry Herman musical, including voice part, dancing difficulty and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cage_Aux_Folles,_La", "url": "http://stageagent.com/shows/musical/902/la-cage-aux-folles"} +{"d:Title": "Call Me Madam", "d:Description": "A synopsis of the Broadway musical, plus links to purchase cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Call_Me_Madam", "url": "http://www.imagi-nation.com/moonstruck/albm92.html"} +{"d:Title": "Moonstruck Drama Bookstore: Camelot", "d:Description": "Brief history of the musical by Frederick Loewe and Alan Jay Lerner, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Camelot", "url": "http://www.imagi-nation.com/moonstruck/albm12.htm"} +{"d:Title": "TheatreHistory.com: Camelot", "d:Description": "A synopsis of the musical by Alan Jay Lerner and Frederick Loewe.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Camelot", "url": "http://www.theatrehistory.com/american/musical008.html"} +{"d:Title": "Camelot", "d:Description": "Plot synopsis and character descriptions for the musical by Frederick Loewe and Alan Jay Lerner, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Camelot", "url": "http://stageagent.com/shows/musical/1292/camelot"} +{"d:Title": "Sondheim.com: Candide", "d:Description": "Information on the 1974 Broadway revival.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Candide", "url": "http://www.sondheim.com/shows/candide/"} +{"d:Title": "Candide: The Musical", "d:Description": "A synopsis and information on the musical by Lillian Hellman, Richard Wilbur, and Leonard Bernstein (revised by Hugh Wheeler and Stephen Sondheim.)", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Candide", "url": "http://www.theatrehistory.com/american/candide_001.html"} +{"d:Title": "Candide", "d:Description": "A brief history and synopsis of the musical by Lillian Hellman, Richard Wilbur, Leonard Bernstein, Hugh Wheeler, and Stephen Sondheim, plus links to purchase cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Candide", "url": "http://www.imagi-nation.com/moonstruck/albm95.html"} +{"d:Title": "The Capeman", "d:Description": "Synopsis and brief history of the Broadway musical by Paul Simon and Derek Walcott, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Capeman,_The", "url": "http://www.imagi-nation.com/moonstruck/albm85.html"} +{"d:Title": "The Smoking Gun: The \"Capeman\" Files", "d:Description": "A variety of documents from the Agron case on which Paul Simon's $11 Million musical is based. Documents include confessions, crime scene photos and child welfare reports.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Capeman,_The", "url": "http://www.thesmokinggun.com/capeman/capeman.html"} +{"d:Title": "Carousel", "d:Description": "Brief history of the musical by Richard Rodgers and Oscar Hammerstein II, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Carousel", "url": "http://www.imagi-nation.com/moonstruck/albm21.htm"} +{"d:Title": "Musicals.Net - Carousel", "d:Description": "Includes a 'Carousel' forum, links, songlist&synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Carousel", "url": "http://www.musicals.net/cgi-bin/musicals?sn=90&show=Carousel"} +{"d:Title": "TheatreHistory.com: Carousel", "d:Description": "A synopsis and analysis of the musical by Richard Rodgers and Oscar Hammerstein II.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Carousel", "url": "http://www.theatrehistory.com/american/musical013.html"} +{"d:Title": "StageAgent.com: Carousel", "d:Description": "Plot synopsis and character descriptions for the musical by Oscar Hammerstein and Richard Rodgers, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Carousel", "url": "http://stageagent.com/shows/musical/769/carousel"} +{"d:Title": "World of Jellicle Cats", "d:Description": "Cats traders, fan corner, meet with other fans, Jellicle greeting cards, Cats fan clique, links, games and downloads, cats encounters, cats sightings, characters, discography, fan reviews, FAQ, lyrics, history, and photo album.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://members.tripod.com/~jellylorum/index.html"} +{"d:Title": "Miss Munkustrap's Masterpiece: A \"Cats\" Experience.", "d:Description": "Contains fan fiction, fan art, and character descriptions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/MMMCats/index.html"} +{"d:Title": "Dewdrop's Jellicle Junkyard", "d:Description": "Includes pictures, lyrics, a message board, a Jellicle adoption center, a chat room, and bloopers.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/al/dewdrop/index.html"} +{"d:Title": "The Mystical Felinity", "d:Description": "Lyrics, character descriptions, photos, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/my/etceterina/home.html"} +{"d:Title": "Taline's Jellicle Hangout", "d:Description": "Includes an interview with Jo Gibb (video and West End Rumpleteazer,) links, and fan fiction.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/JellicleCAT/index.html"} +{"d:Title": "Matropolis&Travisina's Li'l Shack", "d:Description": "Polls, webrings, character profiles, skits, and fan fiction.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/on2/JellicleJunk/"} +{"d:Title": "Munkustrap.de", "d:Description": "Cats online community with theatre history, archives, news stories, and information on the musical available in English and German.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://ffm.junetz.de/members/vlado/cats/eindex.htm"} +{"d:Title": "Munkustrap Kitty's Cats Layer", "d:Description": "Categories of fun and games, history and casts, fanworks, and image galleries. The home of the JBGA (Jacob Brent Guardian Angels).", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/md/munkustrapkitty/main.html"} +{"d:Title": "CatAnna's Jellicle Jungle", "d:Description": "Cats Astrology, photos, who's who, and cast lists, backstage photos, along with indepth views of the characters: Munkustrap, Grizabella, Old Deutronomy, and the Rum Tum Tugger.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.catanna.com/catsthemusical.htm"} +{"d:Title": "Lasseter's Cats", "d:Description": "Contains information on London, Video, and Finnish productions of the show as well as character descriptions, reviews and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/de2/lasseter/"} +{"d:Title": "Trifika's Moonlight Caf\u00e9 - Dancin' Under the Jellicle Moon", "d:Description": "A Cats fan site that contains fanworks, RPG, a picture gallery, character descriptions, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/ns/trifika/"} +{"d:Title": "Mistohunny's Domicile", "d:Description": "Cat profiles, poems/lyrics, the naming of cats, illusions, fan fiction, reviews, the video transcript, types of cats, Jellicle help, junkyard sets, and Jellicle games for Jellicle cats.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/mi/Diner2/CATS.html"} +{"d:Title": "Moonstruck Drama Bookstore: Cats", "d:Description": "Brief history of the musical by Andrew Lloyd Webber, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.imagi-nation.com/moonstruck/albm14.htm"} +{"d:Title": "Victoria's Dwelling", "d:Description": "Fan fiction, RPG, and poll.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/ak2/viccy/mail.html"} +{"d:Title": "Victoria and RumpleTeaser's Junkyard Fortress", "d:Description": "Character descriptions, links, fan fiction, RPG's, and awards.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/va2/junkyardfortress/"} +{"d:Title": "Etcetera's Lair", "d:Description": "Sounds, fan art, fan fiction, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/nv/rumpleteazers/"} +{"d:Title": "Demeter's Repose", "d:Description": "Pictures, links, and lyrics.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/ct2/demeterslair/"} +{"d:Title": "Fleckenfell's Giant Tire", "d:Description": "German and English lyrics. [hard to read with an improper use of background image]", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/fleckenfellstire/"} +{"d:Title": "Clepsida's Jellicle Junkyard", "d:Description": "A fan site with RPGs, fan fiction, trivia, award, and forum.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/il2/clepsidascatspage/"} +{"d:Title": "Cadamine's Cats Fanatics", "d:Description": "Information on characters from the show, a brief history of the show, along with photo galleries, fan fiction, behind the scenes, and actors out of costume.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/ga/musicalcats/index.html"} +{"d:Title": "Centrylynna's Cranny of the Junkyard", "d:Description": "Fan fiction, fan art, RPG, character descriptions, dreams, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/ab4/ritickity/"} +{"d:Title": "Munkustrap Kitty's Cats Layer", "d:Description": "Information of history, the creative team, productions, music, characters, as well virtual Cats auditions, trivia, and fan fiction. This site hosts the Munkustrap Awards which are fan voted awards honoring Cats web authors.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/md/munkustrapkitty/index.html"} +{"d:Title": "Moongewl and Lightshadow's Sewerpipe", "d:Description": "Fan site with several RPGs and fan fiction. This site also includes a small section on Jellylorum.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/music2/Moongewl/"} +{"d:Title": "Sootaput's Cats Page", "d:Description": "Lyrics, \"thwups\", and fan fiction.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/mi/JellicleBall/"} +{"d:Title": "Jenny's Jellicle Heaven", "d:Description": "Casts, information about the show, facts, and reviews of the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://cats4ever.tripod.com/info/index.html"} +{"d:Title": "Mevima's CATS Insanity", "d:Description": "Fan art, fan fiction, lyrics, message board, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://fanaticalcat.iwarp.com/"} +{"d:Title": "Jellicle Looney Bin", "d:Description": "View games, contests, pictures, RPG, Jellicle Adoptions, and fan fiction surrounding this musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/pa5/mistomania/cats1.html"} +{"d:Title": "Jemima's Jellicle Moon", "d:Description": "Norwegian and English lyrics, character profiles, actor information, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://angelina_0678.tripod.com/jemimamoon/index.html"} +{"d:Title": "The Jellicle Mayhem", "d:Description": "Contains fan fiction and descriptions of the characters.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/jelliclemayhem/index.html"} +{"d:Title": "Bombidalees' Cats Character", "d:Description": "Musings on the sexualty of the character \"Plato,\" pictures of the Video cast in other productions, cast lists, a Jason Gardiner tribute, as well as information on the West End version.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/catshomepage/"} +{"d:Title": "Forgotten Jellicle Cats", "d:Description": "All about the forgotten cats. These are simple character sketches of each Forgotten Cat including names, coat markings, background and relationships.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/gclef/Index.html"} +{"d:Title": "The Feline Muse", "d:Description": "Picture galleries, stage door photos, cast information, RPG, and trip reports. Also contains information on London swing Stori James.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/magentakitten/"} +{"d:Title": "Cats Forum", "d:Description": "A place to discuss the musical with other fans of the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.network54.com/Hide/Forum/186778"} +{"d:Title": "Musicals.Net : Cats Forum", "d:Description": "Online meeting place of fans and actors of the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://musicals.net/forums/viewforum.php?f=16"} +{"d:Title": "Growltiger's Ship", "d:Description": "A site for Growltiger. View the cast list, bloopers, polls, pictures, cat couples, webrings, and a Growltiger and Griddlebone Scrapbook.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/the_heaviside_layer/"} +{"d:Title": "Demeta's Wickerbasket", "d:Description": "Information, pictures, and bloopers.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.angelfire.com/musicals/wickerbasket/"} +{"d:Title": "Teazer's Jellicle Junkyard", "d:Description": "Fan site includes tour dates, quiz, rpgs and pictures.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://rumpleteazer21.tripod.com/"} +{"d:Title": "Cats - Really Useful Group", "d:Description": "The official website for the UK tour.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://www.reallyuseful.com/shows/cats"} +{"d:Title": "CATS - The Unofficial Site", "d:Description": "Contains general information about the musical, lyrics, video photos, and Cats ASCII art.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats", "url": "http://cats-musical.w.interiowo.pl/"} +{"d:Title": "Bombalurina's Page", "d:Description": "A shrine to Bombalurina with international pictures and actress galleries.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Characters", "url": "http://www.angelfire.com/la/bombalurinaspage/"} +{"d:Title": "A Shrine to Mungojerrie", "d:Description": "Contains character descriptions, images, fan art, and fan fiction.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Characters", "url": "http://www.angelfire.com/tx2/catsmusical/"} +{"d:Title": "Macavity's Lair", "d:Description": "Character profiles, sounds, images, and links. Dedicated to Macavity.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Characters", "url": "http://www.angelfire.com/wv/nephthys/macavity.html"} +{"d:Title": "Jemima's Territory", "d:Description": "This site is mostly dedicated to Jemima / Sillabub and includes pictures from different productions, performer info, and quotes.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Characters", "url": "http://www.angelfire.com/musicals/jemimaterritory/"} +{"d:Title": "The Great Rumpus Cat's Small Basement Flat", "d:Description": "A shrine dedicated to the Great Rumpus Cat.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Characters", "url": "http://www.angelfire.com/musicals/greatzoekitten/"} +{"d:Title": "Jemima's Moonlight Dreams", "d:Description": "A CATS fan page honoring the beautiful tabby kitten, Jemima.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Characters", "url": "http://jemimadream.awardspace.com/"} +{"d:Title": "Cats Art by Michaela Olofsson", "d:Description": "A gallery of fan artwork based on the show Cats.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.patsy.nu/michaela/"} +{"d:Title": "Dema and Starrla's Jellicle Junkyard", "d:Description": "Fan art, fan fiction, and costume images.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.angelfire.com/musicals/demastarrla/"} +{"d:Title": "Lady Grizabella's Little Piece of Heaviside", "d:Description": "Lady Grizabella's home in the Heaviside, where she spends time with Skimbleshanks, Mistoffelees, and Griddlebone. An interactive continuing story where you decide what happens next.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.angelfire.com/musicals/ladygrizabella/"} +{"d:Title": "Munkustrap&Ditto's Wondrous Site", "d:Description": "Offers information on site updates, photo gallery, costumes, Canadian-based stores for theatrical supplies, fan fiction and artwork.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.angelfire.com/musicals/MDWS/"} +{"d:Title": "Veronikitty's Cats Fan Fiction Central", "d:Description": "Includes spoofs, literature, bloopers, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.angelfire.com/biz4/veronispoofs/"} +{"d:Title": "Star Blazer's Jellicle Moon", "d:Description": "Includes fan art, fan fiction, photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.angelfire.com/nv/Blaze1/"} +{"d:Title": "Poledra's Skins", "d:Description": "Cats ICQ skins.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://gydia.www1.50megs.com/skins/index.html"} +{"d:Title": "Rio's Realm", "d:Description": "Home of the \"Rio Series,\" \"Together Again,\" and other works of fan fiction. Besides fan fiction, this site contains fan art, links, and information on the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.angelfire.com/ri/macavitysgirl/"} +{"d:Title": "The Jellicle Chronicles", "d:Description": "Illustrated fan fiction about events that might have taken place after the Jellicle Ball.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://jelliclechronicles.org/"} +{"d:Title": "Jellicle Zone", "d:Description": "Humor site with many crossover situations, including cartoons, 1960's culture, and theme park themes.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://www.angelfire.com/fl4/jelliclezone/Index.html"} +{"d:Title": "The Older Crowd", "d:Description": "A fan fiction site dedicated to the older cats.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works", "url": "http://theoldercrowd.iwarp.com/index.html"} +{"d:Title": "The Lair of Demeter and Bombalurina", "d:Description": "Photo gallery and costume-making tips.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works/Costumes_and_Make-up", "url": "http://www.angelfire.com/ca/jelliclemoon/"} +{"d:Title": "Jellicle Transformation", "d:Description": "A costuming webpage with tips on makingwigs, tails, painting unitards, and doing cats make-up.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works/Costumes_and_Make-up", "url": "http://www.angelfire.com/il2/costumes/"} +{"d:Title": "People in the Dark", "d:Description": "A multi-show costume archive with costumes from Cats and Cirque du Soleil.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Fan_Works/Costumes_and_Make-up", "url": "http://www.angelfire.com/ego2/cirquefans/"} +{"d:Title": "Langford, Bonnie", "d:Description": "The official site of the stage and screen actress who originated the role of Rumpleteazer in the original London production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.bonnielangford.co.uk/"} +{"d:Title": "Brent, Jacob: a Fan Page", "d:Description": "A fan club for the videos Mr. Mistoffelees with information and images.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.angelfire.com/md2/jacobbrent/"} +{"d:Title": "Abbott, Geoff", "d:Description": "Geoff Abbott's homepage outlining the career highlights of a London based actor and singer. Information on his career including his work on shows such as Cats, Starlight Express, Aspects Of Love.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://geoffabbott.freeservers.com/"} +{"d:Title": "Beechman, Laurie: a Celebration of a Life", "d:Description": "Website dedicated to remembering Broadway star Laurie Beechman", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.theatrefest.com/LaurieBeechman"} +{"d:Title": "Indrani, Seeta", "d:Description": "An official site dedicated to the actress who originated the role of Cassandra in the West End production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.seetaindrani.com/"} +{"d:Title": "Paige, Elaine: The official website.", "d:Description": "The First Lady of British Musical Theatre. Star of Evita, Cats, Sunset Boulevard, Chess, and many other shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.elainepaige.com/"} +{"d:Title": "De St. Germain, Guy Paul: A Personal Website", "d:Description": "From the actor who portrayed Mistoffelees on stages in Paris, Amsterdam, and London.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://gruolt.free.fr/"} +{"d:Title": "O'Kelley, McCree: The Unofficial Website", "d:Description": "A dedication to the dancer who played Mistoffelees on the non-equity 2001-2002 tour.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.angelfire.com/musicals/mccreeokelley/"} +{"d:Title": "Houghton, Steven", "d:Description": "Stage actor who played Munkustrap in the West End version of Cats.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.actuallyactors.com/shoughton/"} +{"d:Title": "Frasca, Jye: The Official Website", "d:Description": "A dedication to the final London Cast member Jye Frasca who played Carbucketty.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Performers", "url": "http://www.jyefrasca.com/"} +{"d:Title": "Ozabub's Jellicle CATS Haven", "d:Description": "Lyrics to the broadway version of cats with a translation of the Growltiger's \"In una tepida notte\" aria.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Poems_and_Lyrics", "url": "http://www.ozabub.freeservers.com/home.html"} +{"d:Title": "TheBroadwayMusicals.com: Cats", "d:Description": "Synopsis, song list, and lyrics.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Poems_and_Lyrics", "url": "http://www.thebroadwaymusicals.com/c/cats.htm"} +{"d:Title": "Etcetera's Lair and Bombalurina's Alley", "d:Description": "E-mail", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/nv/rumpleteazerss/RPG.html"} +{"d:Title": "Tugger's RPG", "d:Description": "E-mail", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/mi/tuggersflat/RPG.html"} +{"d:Title": "Jumbletat's CATS RPG", "d:Description": "Message Board", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/ca2/kitty2/RPGcats.html"} +{"d:Title": "Jellicle Cathedral RPG", "d:Description": "E-mail", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://members.tripod.com/~JellicleCathedral/rpg.html"} +{"d:Title": "The Jellicle Junkyard", "d:Description": "Message Board", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://network54.com/Hide/Forum/101056"} +{"d:Title": "BlueBell's RPGs", "d:Description": "Message Boards", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/fl2/jelliclebb/rpg.html"} +{"d:Title": "Bombalurina's Alley", "d:Description": "RPG and fan fiction.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/ny/BombalurinaC/index.html"} +{"d:Title": "Luna's Lair", "d:Description": "Message Boards.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/musicals/LunasLair/"} +{"d:Title": "Vyktorea's Jellicle Role-Play", "d:Description": "Message Board", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/ca3/vyktorea/rpg.html"} +{"d:Title": "Goldenjaguar's RPG", "d:Description": "Message Board", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/nd/Goldenjaguar/RPG.html"} +{"d:Title": "The HeavySide Layer", "d:Description": "Message Board", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/nj2/imagine/enter.html"} +{"d:Title": "The Kittens' Alley", "d:Description": "Message boards - Junkyard and Actors.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://www.angelfire.com/musicals/kittensalley/"} +{"d:Title": "Jools' Eyes", "d:Description": "Message Board", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://joolsykitty.tripod.com/joolseyes"} +{"d:Title": "Fanatical Cats", "d:Description": "Yahoo Group", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Roleplaying", "url": "http://groups.yahoo.com/group/Fanaticalcats/"} +{"d:Title": "CATS Costumes Ring", "d:Description": "For people who enjoy the costuming aspect of the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Web_Rings", "url": "http://www.webring.org/hub?ring=beajelli"} +{"d:Title": "CATS Fanfiction", "d:Description": "List of sites containing fan fiction about the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Web_Rings", "url": "http://www.webring.org/hub?ring=catsficring"} +{"d:Title": "Jellicle Hidout", "d:Description": "Lists sites focused on Andrew Lloyd Webber's musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Web_Rings", "url": "http://www.webring.org/hub?ring=jhideout"} +{"d:Title": "Jellicle Moonlight", "d:Description": "For sites devoted to any aspect of the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Web_Rings", "url": "http://www.webring.org/hub?ring=jelliclering"} +{"d:Title": "Jellicle Websites", "d:Description": "A ring for all of Rumpleteazer's Jellicle friends.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Web_Rings", "url": "http://www.webring.org/hub?ring=rumpleteazer"} +{"d:Title": "Cats Webring", "d:Description": "Lists over 200 sites about the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Cats/Web_Rings", "url": "http://www.webring.org/hub?ring=musiccats"} +{"d:Title": "Chess", "d:Description": "Brief history of the musical by Benny Andersson, Bjorn Ulvaeus, Tim Rice and Richard Nelson, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chess", "url": "http://www.imagi-nation.com/moonstruck/albm61.html"} +{"d:Title": "Sir Tim Rice: Chess", "d:Description": "History of the musical 'Chess,' plot summary, song list, and bibliography of articles and reviews from lyricist Tim Rice.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chess", "url": "http://www.timrice.co.uk/chess.html"} +{"d:Title": "Chess", "d:Description": "Plot summary and character descriptions for Chess the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chess", "url": "http://stageagent.com/shows/musical/1477/chess"} +{"d:Title": "Chicago, The Musical", "d:Description": "Official site of the Broadway revival.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chicago", "url": "http://www.chicagothemusical.com/"} +{"d:Title": "Chicago", "d:Description": "Brief history of the musical by John Kander, Fred Ebb and Bob Fosse, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chicago", "url": "http://www.imagi-nation.com/moonstruck/albm55.html"} +{"d:Title": "Musicals 101: A Chorus Line", "d:Description": "History of the Broadway musical and the life of its creator, choreographer/director Michael Bennett.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chorus_Line,_A", "url": "http://www.musicals101.com/chorus.htm"} +{"d:Title": "Moonstruck Drama Bookstore: A Chorus Line", "d:Description": "Brief history of the Broadway musical, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chorus_Line,_A", "url": "http://www.imagi-nation.com/moonstruck/albm56.html"} +{"d:Title": "The Line Online", "d:Description": "A large fansite containing lyrics, character guides, monologues from the show and other features.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Chorus_Line,_A", "url": "http://www.angelfire.com/musicals/acl/"} +{"d:Title": "City of Angels", "d:Description": "Brief history of the musical by Cy Coleman, David Zippel and Larry Gelbart, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/City_of_Angels", "url": "http://www.imagi-nation.com/moonstruck/albm23.htm"} +{"d:Title": "The Civil War: a Musical", "d:Description": "Brief history and synopsis of the Broadway musical by Frank Wildhorn, Gregory Boyd and Jack Murphy, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Civil_War", "url": "http://www.imagi-nation.com/moonstruck/albm86.html"} +{"d:Title": "Moonstruck Drama Bookstore: Company", "d:Description": "Synopsis and brief history of the Broadway musical by Stephen Sondheim and George Furth, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Company", "url": "http://www.imagi-nation.com/moonstruck/albm84.html"} +{"d:Title": "Company: The best musical ever!", "d:Description": "Fan website includes synopsis, song list, and lyrics.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Company", "url": "http://www.angelfire.com/musicals/company/"} +{"d:Title": "Stephen Sondheim Stage: Company", "d:Description": "Information on the original Broadway production of Stephen Sondheim's \"Company\".", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Company", "url": "http://www.sondheim.com/shows/company/"} +{"d:Title": "StageAgent.com: Company", "d:Description": "Plot synopsis and character descriptions for the musical by Stephen Sondheim and George Furth, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Company", "url": "http://stageagent.com/shows/musical/1472/company"} +{"d:Title": "Moonstruck Drama Bookstore: Contact", "d:Description": "Synopsis and brief history of the musical by Susan Stroman and John Weidman, plus links to purchase cast albums and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Contact", "url": "http://www.imagi-nation.com/moonstruck/albm89.html"} +{"d:Title": "Count Dracula - The Musical", "d:Description": "Joerg Witzsch presents a surprisingly unique view on Bram Stoker's original Vampire Novel.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/C/Count_Dracula", "url": "http://www.draculathemusical.com/"} +{"d:Title": "Music Theatre", "d:Description": "A forum to talk about musicals (on Broadway, tours, London), including reviews and recordings.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Chats_and_Forums", "url": "http://groups.yahoo.com/group/music_theatre/"} +{"d:Title": "Dark Musical Forums", "d:Description": "Forum focussed on musicals involving vampires, witches, and the occult.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Chats_and_Forums", "url": "http://chianaq.conforums3.com/index.cgi"} +{"d:Title": "Obscurit\u00e9 Musicale: A Cross-Over RPG", "d:Description": "Role-playing game cross-over of three musicals: Les Mis\u00e9rables, Phantom of the Opera, and Sweeney Todd. We're looking for mature role-players who'd love to play out their favourite characters!", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Chats_and_Forums", "url": "http://s1.zetaboards.com/obscurite_musicale"} +{"d:Title": "Wayakka Musical Theatre Forum", "d:Description": "Australian based forum covering musicals, performers, news and events.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Chats_and_Forums", "url": "http://wayakka.proboards.com/"} +{"d:Title": "Musical Theatre Studies", "d:Description": "An online portal for the academic study of musical theatre. The site regularly posts calls for papers, job announcements, and links of interest to the scholarly musical theatre community.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Chats_and_Forums", "url": "http://mith.umd.edu/musical-theatre/"} +{"d:Title": "Cohen, Frumi", "d:Description": "Writes musicals about young heroes, both original scripts and adaptations, for all audiences.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers", "url": "http://www.frumicohen.com/"} +{"d:Title": "Mitnick, Michael", "d:Description": "Official website of the playwriter and songwriter. Includes biography and listing of publications and interviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers", "url": "http://www.michaelmitnick.com/"} +{"d:Title": "Captivation Musicals", "d:Description": "A company founded by Drew Lane dedicated to writing new and original musical theatre. News, storylines, samples to download.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers", "url": "http://www.captivationmusicals.net/"} +{"d:Title": "Strouse, Charles", "d:Description": "Composer of Annie, Bye Bye Birdie, Rags, and other well-known musicals. Interview, photos, biography, list of collaborators, shows, and recordings.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers", "url": "http://www.charlesstrouse.com/"} +{"d:Title": "Herman, Jerry", "d:Description": "Multi Tony award-winning composer and lyricist of musicals including \"Hello, Dolly!\", \"Mame\", \"La Cage Aux Folles\", \"Dear World\" and \"Mack&Mabel\". Official site.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers", "url": "http://www.jerryherman.com/"} +{"d:Title": "George M. Cohan", "d:Description": "Tribute site includes biography, play summaries and reviews, and a list of stage productions, films, and recordings.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Cohan,_George_M.", "url": "http://www.members.tripod.com/davecol8/"} +{"d:Title": "TheatreHistory.com: Musical Comedy Is Born", "d:Description": "Examines the early development of American musical comedy, specifically the contributions of George M. Cohan.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Cohan,_George_M.", "url": "http://www.theatrehistory.com/american/cohan001.html"} +{"d:Title": "Wikipedia: George M. Cohan", "d:Description": "Covers the composer's life, career, and musical legacy.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Cohan,_George_M.", "url": "http://en.wikipedia.org/wiki/George_Cohan"} +{"d:Title": "Musicals 101: George M. Cohan", "d:Description": "Includes biography and photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Cohan,_George_M.", "url": "http://www.musicals101.com/cohan.htm"} +{"d:Title": "Stephen Schwartz", "d:Description": "Official website includes discussion forum.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Schwartz,_Stephen", "url": "http://stephenschwartz.com/"} +{"d:Title": "The Schwartz Scene", "d:Description": "Quarterly email newsletter, weblog, and podcasts.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Schwartz,_Stephen", "url": "http://www.theschwartzscene.com/"} +{"d:Title": "Find a Grave: Meredith \"The Music Man\" Willson", "d:Description": "Photographs of the composer and his tombstone, biographical sketch, and interactive visitor comments.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Willson,_Meredith", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=gr&GRid=5218"} +{"d:Title": "Wikipedia: Meredith Willson", "d:Description": "A biography of the composer and overview of his work.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Composers/Willson,_Meredith", "url": "http://en.wikipedia.org/wiki/Meredith_Willson"} +{"d:Title": "Discovering Magenta", "d:Description": "Information about the show and its creators, James Corey Kaufman and Michael Bitterman; a basic plot and character synopsis, and information on ordering the CD.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D", "url": "http://www.midmod.com/magenta.html"} +{"d:Title": "Dirty Rotten Scoundrels @ TheBroadwayMusicals.com", "d:Description": "Site includes song lyrics and story for the 2005 Broadway production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D", "url": "http://www.thebroadwaymusicals.com/d/dirtyrottenscoundrels.htm"} +{"d:Title": "Dorian Gray", "d:Description": "Based on the Oscar Wilde novel. Site includes background, biographies, and information on the 2002 production in Denver, Colorado.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D", "url": "http://doriangraythemusical.org/"} +{"d:Title": "Dracula: The Musical", "d:Description": "WIth music by Christopher J. Orton and book and lyrics by Gareth Evans. Show details, album cast information, creative team, song titles, news and forum.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D", "url": "http://www.dracula-uk.com/"} +{"d:Title": "Death - The Musical", "d:Description": "The story of Will and his travels while he is dead - but doesn't know it. Musical history, CD-ROM information, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D", "url": "http://www.deaththemusical.com/"} +{"d:Title": "Devilishly Good Jerry Lewis in 'Damn Yankees'", "d:Description": "Washington Post review of the Jerry Lewis production of 'Damn Yankees.'", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D/Damn_Yankees", "url": "http://www.washingtonpost.com/wp-srv/local/longterm/theater/reviews/damnyankees.htm"} +{"d:Title": "TheatreHistory.com: Damn Yankees", "d:Description": "A synopsis of the Broadway musical by Richard Adler and Jerry Ross.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D/Damn_Yankees", "url": "http://www.theatrehistory.com/american/musical017.html"} +{"d:Title": "Wikipedia: Damn Yankees", "d:Description": "Information on this musical, including writers, stage productions, list of characters, awards. Plot and list of songs based on 1994 revival.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D/Damn_Yankees", "url": "http://en.wikipedia.org/wiki/Damn_Yankees"} +{"d:Title": "Jim Steinman's Dance of the Vampires", "d:Description": "Includes reviews of the Stuttgart and Vienna productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D/Dance_of_the_Vampires", "url": "http://www.jimsteinman.com/vdance.htm"} +{"d:Title": "Disney Musical Theatre", "d:Description": "Official web site for the creators of The Lion King and Beauty and the Beast.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D/Disney", "url": "http://www.disney.co.uk/MusicalTheatre/"} +{"d:Title": "Dracul", "d:Description": "Pictures and music from the new Broadway style musical based on Bram Stoker's Dracula.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D/Dracul", "url": "http://www.dracul.com/"} +{"d:Title": "Drakula the Rock Opera", "d:Description": "Rock musical based on Bram Stoker's Dracula, providing an eclectic mix of rock, ballad and orchestral compositions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/D/Drakula", "url": "http://www.donlinke.com/drakula/"} +{"d:Title": "Musicals101.com", "d:Description": "Encyclopedic coverage of musical theatre, film, and television by John Kenrick.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Directories", "url": "http://www.musicals101.com/"} +{"d:Title": "Musicals On Line", "d:Description": "Directory of various musicals, with additional information on characters, story, and merchandise. Access to forums on the shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Directories", "url": "http://www.musicalsonline.com/"} +{"d:Title": "NewMusicals.com", "d:Description": "An international web site created to promote and provide exposure for new musicals and their creative team to producers, directors, agents, community theatre groups, educational institutions and producing organizations.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Directories", "url": "http://www.newmusicals.com/"} +{"d:Title": "Original Rock Musicals", "d:Description": "Directory of original rock musicals on the Internet, with description, comment, and music samples provided.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Directories", "url": "http://www.donlinke.com/musicals/"} +{"d:Title": "All Musicals", "d:Description": "Provides song lyrics, videos, photos, review, scripts and synopses for most of Broadway musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Directories", "url": "http://www.allmusicals.com/"} +{"d:Title": "The Broadway Musical Home", "d:Description": "Production information, synopsis, musical numbers, sheet music, CDs, videos, books, sound clips, tickets, lyrics, and official website for more than 150 musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Directories", "url": "http://broadwaymusicalhome.com/"} +{"d:Title": "Evil Dead the Musical", "d:Description": "Adapted from the low-budget horror film. Synopsis, email list, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/E", "url": "http://www.evildeadthemusical.com/"} +{"d:Title": "The EFX Scrapbook", "d:Description": "The site that captured the various incarnations of the Las Vegas show featuring the unsung heroes.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/E/EFX", "url": "http://www.angelfire.com/ne/efx/"} +{"d:Title": "Moonstruck Drama Bookstore: Evita", "d:Description": "Brief history of the musical by Andrew Lloyd Webber and Tim Rice, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/E/Evita", "url": "http://www.imagi-nation.com/moonstruck/albm17.htm"} +{"d:Title": "StageAgent.com: Evita", "d:Description": "Plot synopsis and character descriptions for the musical by Andrew Lloyd Webber and Tim Rice, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/E/Evita", "url": "http://stageagent.com/shows/musical/1592/evita"} +{"d:Title": "Frankenstein the Musical", "d:Description": "Includes photographs, song samples, message board, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F", "url": "http://www.frankensteinthemusical.com/"} +{"d:Title": "Fame Becomes Me", "d:Description": "Comedy musical co-created for the actor Martin Short by Marc Shaiman and Scott Wittman. Site includes information on the 2006 Broadway production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F", "url": "http://www.martinshortthemusical.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Falsettos", "d:Description": "Brief history of the musical by William Finn and James Lapine, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Falsettos", "url": "http://www.imagi-nation.com/moonstruck/albm62.html"} +{"d:Title": "StageAgent.com: Falsettos", "d:Description": "Plot synopsis and character descriptions for the musical by William Finn, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Falsettos", "url": "http://stageagent.com/shows/musical/1688/falsettos"} +{"d:Title": "Fame Forever", "d:Description": "Includes news, photographs, discussion forum, and polls.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fame", "url": "http://www.fameforever.com/"} +{"d:Title": "Fame Network", "d:Description": "Official homepage for all professional and amateur productions of Fame.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fame", "url": "http://famenetwork.com/"} +{"d:Title": "Moonstruck Drama Bookstore: The Fantasticks", "d:Description": "Brief history of the musical by Harvey Schmidt and Tom Jones, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fantasticks,_The", "url": "http://www.imagi-nation.com/moonstruck/albm44.html"} +{"d:Title": "The Fantasticks, The World's Longest Running Musical", "d:Description": "The official The Fantasticks Fifth Decade! website, commemorating the musical by Tom Jones and Harvey Schmidt which opened off-Broadway on May 3, 1960 and is still delighting audiences. Contains history, photos, and memorabilia, as well as ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fantasticks,_The", "url": "http://thefantasticks.com/"} +{"d:Title": "StageAgent.com: Fantasticks", "d:Description": "Plot synopsis and character descriptions for the musical by Tom Jones and Harvey Schmidt, including voice part, dancing difficulty and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fantasticks,_The", "url": "http://stageagent.com/shows/musical/1377/the-fantasticks"} +{"d:Title": "Fascinating Aida", "d:Description": "A British female comedy singing trio. Includes blog, audio and video samples.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fascinating_Aida", "url": "http://www.fascinatingaida.co.uk/"} +{"d:Title": "Moonstruck Drama Bookstore: Fiddler on the Roof", "d:Description": "Brief history of the musical by Jerry Bock, Sheldon Harnick and Joseph Stein, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fiddler_on_the_Roof", "url": "http://www.imagi-nation.com/moonstruck/albm5.htm"} +{"d:Title": "StageAgent.com: Fiddler on the Roof", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fiddler_on_the_Roof", "url": "http://stageagent.com/shows/musical/869/fiddler-on-the-roof"} +{"d:Title": "The Fix", "d:Description": "The Fix - another fan site dedicated to the London production, and focusing on Philip Quast.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fix,_The", "url": "http://www.empirenet.com/~wildcard/quast/thefix.htm"} +{"d:Title": "Aria-database: Die Fledermaus", "d:Description": "Complete libretto of the Strauss operetta.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fledermaus,_Die", "url": "http://www.aria-database.com/translations/fledermaus.txt"} +{"d:Title": "Die Fledermaus (The Bat)", "d:Description": "Synopsis of the operetta by Carl Haffner, Richard Genee and Johann Strauss, plus links to purchase cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fledermaus,_Die", "url": "http://www.theatrehistory.com/misc/diefledermaus.html"} +{"d:Title": "TheatreHistory.com: Flower Drum Song", "d:Description": "A synopsis of the musical, plus links to purchase cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Flower_Drum_Song", "url": "http://www.theatrehistory.com/american/musical025.html"} +{"d:Title": "Flower Drum Song: Broadway Musical Review", "d:Description": "About.com review of the 2002 Broadway revival, for visitors to New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Flower_Drum_Song", "url": "http://gonyc.about.com/cs/broadwayshows/gr/flowerdrumsong.htm"} +{"d:Title": "'Flower Drum' blooms in staging and lead voices", "d:Description": "Seattle Post-Intelligencer review of the limited tour of the 2002 revival.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Flower_Drum_Song", "url": "http://www.seattlepi.com/ae/article/Flower-Drum-blooms-in-staging-and-lead-voices-1126679.php"} +{"d:Title": "Bay Tripper", "d:Description": "Review of the 2002 Broadway revival by John Simon for New York Magazine.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Flower_Drum_Song", "url": "http://nymag.com/nymetro/arts/theater/reviews/n_7880/"} +{"d:Title": "StageAgent.com: Flower Drum Song", "d:Description": "Plot synopsis and character descriptions for the musical by Oscar Hammerstein and Richard Rodgers, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Flower_Drum_Song", "url": "http://stageagent.com/shows/musical/1872/flower-drum-song"} +{"d:Title": "Talkin' Broadway Review: Flower Drum Song", "d:Description": "A review of the 2002 Broadway revival by Matthew Murray.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Flower_Drum_Song", "url": "http://www.talkinbroadway.com/page/world/FlowerDrumSong.html"} +{"d:Title": "Dancing is NOT a Crime", "d:Description": "A tribute to the Footloose touring company. Offers pictures, information, and sounds.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Footloose", "url": "http://www.angelfire.com/ct/cutlooseRB/index.html"} +{"d:Title": "Everybody Cut Loose!", "d:Description": "A site dedicated to the touring company. Pictures, information, and tour dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Footloose", "url": "http://www.angelfire.com/ny3/footloose/"} +{"d:Title": "Fosse", "d:Description": "Brief overview of the Broadway musical, plus links to cast albums and official show merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Fosse", "url": "http://www.imagi-nation.com/moonstruck/albm79.html"} +{"d:Title": "Moonstruck Drama Bookstore: Funny Girl", "d:Description": "Brief history of the musical by Jule Styne, Bob Merrill and Isobel Lennart, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Funny_Girl", "url": "http://www.imagi-nation.com/moonstruck/albm16.htm"} +{"d:Title": "StageAgent.com: Funny Girl", "d:Description": "Plot synopsis and character descriptions for the musical by Jule Styne and Bob Merrill, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Funny_Girl", "url": "http://stageagent.com/shows/musical/1429/funny-girl"} +{"d:Title": "Talkin' Broadway Regional News&Reviews: Funny Girl", "d:Description": "Review of the San Francisco-based Willows Theatre's 1999 production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/F/Funny_Girl", "url": "http://www.talkinbroadway.com/page/regional/sanfran/s26.html"} +{"d:Title": "Broadway Wallpaper", "d:Description": "Free wallpapers based on Broadway musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://www.angelfire.com/md2/ZipFiles/Broadway.html"} +{"d:Title": "Broadway*Hits", "d:Description": "Collection of Broadway hits synopses, with pictures, polls and quizzes.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://broadwayhits.tripod.com/"} +{"d:Title": "Bursting with Song", "d:Description": "Reviews and commentary by Aviva Rothschild, enthusiastic and opinionated devotee of Broadway musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://www.rationalmagic.com/Bursting/Bursting.html"} +{"d:Title": "Canzonetta Sull'Aria", "d:Description": "In-depth reviews (by Laura) of opera and Broadway recordings. Search reviews by title, artist or composer.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://www.angelfire.com/musicals/chauvelin/"} +{"d:Title": "Broadway Cafe Society", "d:Description": "Musical theatre fan site features columns and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://www.broadwaycafesociety.com/"} +{"d:Title": "42nd Street Moon Blog", "d:Description": "Dedicated to the American Musical theater, the site offers a backstage glimpse at theatre and all of its activities.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://42ndstmoon.blogspot.com/"} +{"d:Title": "Applied and Interactive Theatre Blog", "d:Description": "Offers commentary on how theater is used for social justice purposes.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://www.tonisant.com/aitg/blog/"} +{"d:Title": "Musicals and Lyrics", "d:Description": "Provides lyrics to several recently-produced musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Fan_Pages", "url": "http://www.musicalsandlyrics.com/"} +{"d:Title": "The Glory Road", "d:Description": "Includes sound files and ticket and performance information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G", "url": "http://thegloryroad.com/"} +{"d:Title": "The Golden Dream", "d:Description": "Official site for the musical set in medieval times, with book and lyrics by Joe Syiek and music by Erik Przytulski.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G", "url": "http://www.thegoldendream.com/"} +{"d:Title": "Grail: The Musical", "d:Description": "Official site with MP3 and Real Audio clips from the show, information on the composer and cast, and link to ordering the CD.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G", "url": "http://www.grailthemusical.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Godspell", "d:Description": "Brief history of the musical by Stephen Schwartz and John-Michael Tebelak, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Godspell", "url": "http://www.imagi-nation.com/moonstruck/albm47.html"} +{"d:Title": "Off-Broadway Godspell Revival", "d:Description": "Unofficial site for the 2000 off-Broadway revival of Godspell includes pictures, cast biographies, links, articles and reviews, show information, and a message board.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Godspell", "url": "http://www.angelfire.com/musicals/godspell2000/"} +{"d:Title": "Moonstruck Drama Bookstore: Grease", "d:Description": "Brief history of the musical by Jim Jacobs and Warren Casey, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Grease", "url": "http://www.imagi-nation.com/moonstruck/albm41.html"} +{"d:Title": "Grease", "d:Description": "Official site of the British touring production includes ticket information, schedule, cast and crew, and games.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Grease", "url": "http://www.greasethemusical.co.uk/"} +{"d:Title": "StageAgent.com: Grease", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Grease", "url": "http://stageagent.com/shows/musical/1094/grease"} +{"d:Title": "Wikipedia: Grey Gardens", "d:Description": "Includes production history, casts of the 2006 Off-Broadway and Broadway productions, and song list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Grey_Gardens", "url": "http://en.wikipedia.org/wiki/Grey_Gardens_(musical)"} +{"d:Title": "Grey Gardens The Musical", "d:Description": "Official site for the 2006 Broadway production includes cast, creative team, video, audio excerpts from the cast recording, and links.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Grey_Gardens", "url": "http://greygardensthemusical.com/"} +{"d:Title": "Grey Gardens", "d:Description": "Fan site featuring interviews, memorabilia, photos, essays, and news. Covers both the film and the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Grey_Gardens", "url": "http://greygardensonline.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Guys and Dolls", "d:Description": "Brief history of the musical by Frank Loesser and Abe Burrows, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Guys_and_Dolls", "url": "http://www.imagi-nation.com/moonstruck/albm39.html"} +{"d:Title": "TheatreHistory.com: Guys and Dolls", "d:Description": "A synopsis of the musical by Frank Loesser, Jo Swerling and Abe Burrows.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Guys_and_Dolls", "url": "http://www.theatrehistory.com/american/musical003.html"} +{"d:Title": "StageAgent.com: Guys and Dolls", "d:Description": "Plot synopsis and character descriptions for the musical with music by Frank Loesser, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Guys_and_Dolls", "url": "http://stageagent.com/shows/musical/1263/guys-and-dolls"} +{"d:Title": "TheatreHistory.com: Gypsy", "d:Description": "A synopsis of the musical by Arthur Laurents, Stephen Sondheim and Jule Styne.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Gypsy", "url": "http://www.theatrehistory.com/american/musical015.html"} +{"d:Title": "Moonstruck Drama Bookstore: Gypsy", "d:Description": "Brief history of the musical by Jule Styne, Stephen Sondheim and Arthur Laurents, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Gypsy", "url": "http://www.imagi-nation.com/moonstruck/albm54.html"} +{"d:Title": "Sondheim.com: Gypsy", "d:Description": "Brief overview of the musical, original Broadway production information, cast list and song list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/G/Gypsy", "url": "http://www.sondheim.com/shows/gypsy/"} +{"d:Title": "Heavens Cafe'", "d:Description": "John Miner's artsy rock opera recently broke new ground in Las Vegas with critically acclaimed shows at the Flamingo and Charleston Art Performing Arts Theaters.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H", "url": "http://www.tributarymusic.com/index.htm?page=4"} +{"d:Title": "Hypothesis", "d:Description": "A sci-fi rock opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H", "url": "http://www.hypothesis-rock-opera.com/"} +{"d:Title": "High Fidelity", "d:Description": "Site for the 2006 Broadway production includes list of cast members and creative team, reviews, wallpapers, icons, and \"top 5\" lists.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H", "url": "http://www.newlinetheatre.com/hifipage.html"} +{"d:Title": "Hairspray Lyrics", "d:Description": "Lyrics to the Hairspray musical tracks.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H", "url": "http://www.musicalsandlyrics.com/hairspray.html"} +{"d:Title": "HAIR Productions - Production Archive and Historical Background", "d:Description": "In 1968 HAIR rocked the world, it is happening again. This site includes production archives of original producer Michael Butler's 1996 production of HAIR, plus historical background information on 1968, a pivotal year in politics, peace and Rock musicals. Watch this site for information on Michael's upcoming tour.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hair", "url": "http://www.michaelbutler.com/chihair/"} +{"d:Title": "Pages from Michael Butler's Journal", "d:Description": "From the producer of HAIR, these journal entries include some remarkable stories surrounding the original production.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hair", "url": "http://www.michaelbutler.com/orlok/michael/"} +{"d:Title": "Hair: The American Tribal Love Rock Musical", "d:Description": "Brief history of the musical by Galt MacDermot, Gerome Ragni and James Rado, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hair", "url": "http://www.imagi-nation.com/moonstruck/albm26.html"} +{"d:Title": "The Hair Online Archives", "d:Description": "An archive of over 300 articles, over 800 photos, memorabilia about the musical 'Hair' and the careers of its creators.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hair", "url": "http://www.michaelbutler.com/hair/holding/Hair.html"} +{"d:Title": "Moonstruck Drama Bookstore - Hedwig and the Angry Inch", "d:Description": "A bookstore's listing for the musical. Includes description and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hedwig_and_the_Angry_Inch", "url": "http://www.imagi-nation.com/moonstruck/albm51.html"} +{"d:Title": "Rainbow Carnage", "d:Description": "Enthusiasm group that hosts karaoke and sing-alongs based in Los Angeles. Includes photos, message board, links, and chat.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hedwig_and_the_Angry_Inch", "url": "http://www.rainbowcarnage.org/"} +{"d:Title": "CurtainUp Review", "d:Description": "Les Gutman reviews the original off-Broadway production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hedwig_and_the_Angry_Inch", "url": "http://www.curtainup.com/hedwig.html"} +{"d:Title": "Hedwig Invades South Florida", "d:Description": "Cast and crew, photos, and press about the production in Naples, Florida, USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hedwig_and_the_Angry_Inch", "url": "http://hedwigflorida.tripod.com/"} +{"d:Title": "The Stranger Review", "d:Description": "Article by Josh Feit from Seattle's The Stranger in which he discusses the plot and the genre of \"glitter rock\".", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hedwig_and_the_Angry_Inch", "url": "http://www.thestranger.com/seattle/Content?oid=5527"} +{"d:Title": "Hedwig&the Angry Inch Live in the UK", "d:Description": "Information on the 2004 production, including cast list and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hedwig_and_the_Angry_Inch", "url": "http://www.hedwigandtheangryinch.co.uk/"} +{"d:Title": "Musicals.Net - Hello, Dolly!", "d:Description": "Includes a forum for discussion of the musical \"Hello, Dolly,\" plus songlist and synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hello,_Dolly", "url": "http://www.musicals.net/cgi-bin/musicals?sn=360&show=Hello+Dolly"} +{"d:Title": "Hello, Dolly!", "d:Description": "History and synopsis of the musical by Jerry Herman and Michael Stewart, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/Hello,_Dolly", "url": "http://www.imagi-nation.com/moonstruck/albm69.html"} +{"d:Title": "HMS Pinafore", "d:Description": "Brief history of the musical by Arthur Sullivan and William S. Gilbert, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/HMS_Pinafore", "url": "http://www.imagi-nation.com/moonstruck/albm67.html"} +{"d:Title": "HMS Pinafore", "d:Description": "Plot summary and history for HMS Pinafore.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/HMS_Pinafore", "url": "http://homepages.ihug.co.nz/~melbear/pinafore.htm"} +{"d:Title": "H.M.S. Pinafore", "d:Description": "A synopsis of the musical by Gilbert and Sullivan, plus links to purchase the cast album and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/HMS_Pinafore", "url": "http://www.theatrehistory.com/british/musical003.html"} +{"d:Title": "How to Succeed in Business Without Really Trying", "d:Description": "Original cast list, brief history and song lyrics from the Broadway musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/How_to_Succeed_in_Business_Without_Really_Trying", "url": "http://www.bestweb.net/~foosie/howto.htm"} +{"d:Title": "How to Succeed in Business Without Really Trying", "d:Description": "A synopsis of the Broadway musical by Frank Loesser, Abe Burrows, Jack Weinstock and Willie Gilbert.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/How_to_Succeed_in_Business_Without_Really_Trying", "url": "http://www.theatrehistory.com/american/musical018.html"} +{"d:Title": "How to Succeed in Business Without Really Trying", "d:Description": "Fan site devoted to the 1995 Broadway revival starring Matthew Broderick.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/How_to_Succeed_in_Business_Without_Really_Trying", "url": "http://members.tripod.com/~POTOpera/howtoo.html"} +{"d:Title": "How to Succeed in Business Without Really Trying", "d:Description": "Synopsis and brief history of the Broadway musical, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/H/How_to_Succeed_in_Business_Without_Really_Trying", "url": "http://www.imagi-nation.com/moonstruck/albm82.html"} +{"d:Title": "In Spite of Reason", "d:Description": "A Pop Musical Drama based on the life of Abraham Lincoln during the Civil War featuring a sung through lyric over a tapestry of modern music by Doucette and Cavallo", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/I", "url": "http://www.sparxecho.com/"} +{"d:Title": "I Love You Because", "d:Description": "A modern-day telling of Jane Austen's Pride and Prejudice. Production history, profiles of creative team, and song samples.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/I", "url": "http://www.iloveyoubecausethemusical.com/"} +{"d:Title": "In The Mood Live - 1940's Musical Revue", "d:Description": "Site includes booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/I", "url": "http://www.inthemoodlive.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Into the Woods", "d:Description": "Brief history of the musical by Stephen Sondheim and James Lapine, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/I/Into_the_Woods", "url": "http://www.imagi-nation.com/moonstruck/albm29.html"} +{"d:Title": "Stephen Sondheim Stage: Into the Woods", "d:Description": "Offers articles, show data, original Broadway production and cast information, original London production, cast and musical additions information, and awards.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/I/Into_the_Woods", "url": "http://www.sondheim.com/shows/into_the_woods/"} +{"d:Title": "AllMusicals.com: Into the Woods Lyrics", "d:Description": "Site includes song lyrics and synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/I/Into_the_Woods", "url": "http://www.allmusicals.com/i/intothewoods.htm"} +{"d:Title": "StageAgent.com: Into the Woods", "d:Description": "Plot synopsis and character descriptions for the Stephen Sondheim musical, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/I/Into_the_Woods", "url": "http://stageagent.com/shows/musical/1284/into-the-woods"} +{"d:Title": "Judy Garland --The Musical", "d:Description": "New musical about the life and times of Judy Garland.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J", "url": "http://musicals.itgo.com/"} +{"d:Title": "Joan - The Musical!", "d:Description": "Details of original musical, based on the life of Joan of Arc, including synopsis, orchestration, casting information and performance rights.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J", "url": "http://kierinfmurphy.tripod.com/joanthemusical/"} +{"d:Title": "John Paul Jones", "d:Description": "Official website of the musical, based on the life of the American revolutionary hero, by Scottish composer Julian Wagstaff. Includes production history CD sales and MP3 download.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J", "url": "http://www.julianwagstaff.com/jpj/jpj/"} +{"d:Title": "A Jew Grows in Brooklyn", "d:Description": "A \"true story\" musical starring Jake Ehrenreich. Official site includes ticket sales, reviews, press information, and Bar / Bat Mitzvah photo contest.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J", "url": "http://www.jakeehrenreich.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Jane Eyre", "d:Description": "Synopsis and history of the musical by John Caird and Paul Gordon, plus links to purchase cast albums and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jane_Eyre", "url": "http://www.imagi-nation.com/moonstruck/albm88.html"} +{"d:Title": "Sirens: A Jane Eyre Site", "d:Description": "Fan site includes synopsis, pictures, lyrics, sound clips, links to reviews, and a personal appreciation of the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jane_Eyre", "url": "http://www.angelfire.com/musicals/eyre/"} +{"d:Title": "StageAgent.com: Jane Eyre", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and character descriptions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jane_Eyre", "url": "http://stageagent.com/shows/musical/2410/jane-eyre"} +{"d:Title": "Jekyll&Hyde", "d:Description": "Brief history of the musical by Frank Wildhorn and Leslie Bricusse, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jekyll_and_Hyde", "url": "http://www.imagi-nation.com/moonstruck/albm49.html"} +{"d:Title": "Jersey Boys", "d:Description": "Official site for the 2005 Broadway \"jukebox musical,\" the story of Frankie Valli and the Four Seasons.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jersey_Boys", "url": "http://www.jerseyboysbroadway.com/"} +{"d:Title": "Jersey Boys Blog", "d:Description": "Dedicated to the award-winning Broadway musical of Frankie Valli and the Four Seasons.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jersey_Boys", "url": "http://jerseyboysblog.com/"} +{"d:Title": "Jersey Boys London", "d:Description": "Official UK website includes news, synopsis, and ticket sales.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jersey_Boys", "url": "http://www.jerseyboyslondon.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Jesus Christ Superstar", "d:Description": "Brief history and summary of the musical by Andrew Lloyd Webber and Tim Rice, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jesus_Christ_Superstar", "url": "http://www.imagi-nation.com/moonstruck/albm68.html"} +{"d:Title": "Jesus Christ Superstar at the Workshop Theatre", "d:Description": "Site for a 2004 production of the musical in Columbia, South Carolina. Site includes photographs, cast list, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Jesus_Christ_Superstar", "url": "http://jcsuperstar.faithweb.com/"} +{"d:Title": "Gwinn High School Drama Club:", "d:Description": "MIDI'S and links. A page on the GHS production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Joseph_and_the_Amazing_Technicolor_Dreamcoat", "url": "http://www.angelfire.com/mi2/ghsdramaclub/index.html"} +{"d:Title": "Information on Joseph and His Amazing Technicolor Dreamcoat", "d:Description": "The definitive research site with links to the other sites and provides background information on the show itself.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Joseph_and_the_Amazing_Technicolor_Dreamcoat", "url": "http://jacob-and-sons.tripod.com/dreamcoat.html"} +{"d:Title": "Moonstruck Drama Bookstore: Joseph and the Amazing Technicolor Dreamcoat", "d:Description": "Brief history and synopsis of the musical by Andrew Lloyd Webber and Tim Rice, plus links to cast albums, sheet music, and videos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Joseph_and_the_Amazing_Technicolor_Dreamcoat", "url": "http://www.imagi-nation.com/moonstruck/albm71.html"} +{"d:Title": "Joseph and the Amazing Technicolor Dreamcoat at the Royal George Theatre-Chicago, IL", "d:Description": "This is the official site for a production of the show, in October, 2006 at the Royal George Theatre in Chicago, IL.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Joseph_and_the_Amazing_Technicolor_Dreamcoat", "url": "http://gogogojoseph.tripod.com/JosephDreamcoat/"} +{"d:Title": "StageAgent.com: Joseph and the Amazing Technicolor Dreamcoat", "d:Description": "Plot synopsis and character descriptions for the show, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/J/Joseph_and_the_Amazing_Technicolor_Dreamcoat", "url": "http://stageagent.com/shows/musical/714/joseph-and-the-amazing-technicolor-dreamcoat"} +{"d:Title": "Moonstruck Drama Bookstore: The King and I", "d:Description": "Brief history of the musical by Richard Rodgers and Oscar Hammerstein II, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/King_and_I,_The", "url": "http://www.imagi-nation.com/moonstruck/albm38.html"} +{"d:Title": "TheatreHistory.com: The King and I", "d:Description": "A synopsis of the musical by Richard Rodgers and Oscar Hammerstein II.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/King_and_I,_The", "url": "http://www.theatrehistory.com/american/musical011.html"} +{"d:Title": "StageAgent.com: The King and I", "d:Description": "Plot synopsis and character descriptions for The King and I, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/King_and_I,_The", "url": "http://stageagent.com/shows/musical/836/the-king-and-i"} +{"d:Title": "TheatreHistory.com: Kiss Me Kate", "d:Description": "A synopsis and brief history of the musical by Cole Porter and Sam and Bella Spewack.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/Kiss_Me,_Kate", "url": "http://www.theatrehistory.com/american/musical019.html"} +{"d:Title": "Moonstruck Drama Bookstore: Kiss Me, Kate", "d:Description": "Brief history of the musical, links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/Kiss_Me,_Kate", "url": "http://www.imagi-nation.com/moonstruck/albm60.html"} +{"d:Title": "StageAgent.com: Kiss Me Kate", "d:Description": "Plot synopsis and character descriptions for the Cole Porter musical, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/Kiss_Me,_Kate", "url": "http://stageagent.com/shows/musical/1645/kiss-me-kate"} +{"d:Title": "Kiss of the Spider Woman", "d:Description": "Brief history of the musical by John Kander, Fred Ebb and Terrence McNally, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/Kiss_of_the_Spider_Woman", "url": "http://www.imagi-nation.com/moonstruck/albm57.html"} +{"d:Title": "Kiss of the Spider Woman: Over The Wall", "d:Description": "Multimedia, pictures, and a full synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/K/Kiss_of_the_Spider_Woman", "url": "http://theatre_chick.tripod.com/kiss.html"} +{"d:Title": "The Lord of the Rings Musical", "d:Description": "Official website for the 2007 London production of the stage musical adaptation of J.R.R. Tolkien's classic trilogy. With trailer, press, cast and creative team bios and mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L", "url": "http://www.lordoftheringsmusical.com/"} +{"d:Title": "Little Women the Musical", "d:Description": "Site includes cast of the 2005 Broadway production and subsequent national tour; reviews and video; merchandise; production diary and weblog.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L", "url": "http://www.littlewomenonbroadway.com/"} +{"d:Title": "The Little Mermaid", "d:Description": "Ticket sales, rehearsal photos, and cast and creative team for the 2007 stage adaptation of the Disney film.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L", "url": "http://disney.go.com/theatre/thelittlemermaid/"} +{"d:Title": "Living in the Bonus Round -- Steve Schalchlin's Online Diary", "d:Description": "The Online Diary of The Last Session's creator, Steve Schalchlin.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Last_Session,_The", "url": "http://www.bonusround.com/"} +{"d:Title": "TLS Fan Club on Yahoo!", "d:Description": "One of the many internet homes for the fans of the show \"The Last Session\".", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Last_Session,_The", "url": "http://groups.yahoo.com/group/tlsfans/"} +{"d:Title": "Elizabeth Glaser Pediatric AIDS Foundation", "d:Description": "The Elizabeth Glaser Pediatric AIDS Foundation is the leading U.S. national non-profit organization dedicated to identifying, funding and conducting basic pediatric HIV/AIDS research.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Last_Session,_The/Kids_4_Kids", "url": "http://www.pedaids.org/"} +{"d:Title": "The Steve Schalchlin Fan Club", "d:Description": "Pictures of Schalchlin as a child and backstage.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Last_Session,_The/Sessionauts", "url": "http://www.youth-guard.org/gabi/steves_page.html"} +{"d:Title": "'Legally Blonde' To Open On Broadway", "d:Description": "Previews begin on March 30, 2007 for the musical based on the novel by Amanda Brown and the Metro-Goldwyn-Mayer motion picture. Opening night is set for April 26, 2007.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Legally_Blonde", "url": "http://chicago.broadwayworld.com/viewcolumn.cfm?colid=9206"} +{"d:Title": "AllMusicals.com: Legally Blonde", "d:Description": "Song lyrics from the musical with a book by Heather Hach and music and lyrics by Laurence O'Keefe and Nell Benjamin.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Legally_Blonde", "url": "http://www.thebroadwaymusicals.com/l/legallyblonde.htm"} +{"d:Title": "Theage.com.au: Elton's Vampire Musical Seeks New Blood", "d:Description": "The play cancels its first two weeks of preview performances in New York as it looks to restructure the production after receiving poor off-Broadway reviews. Includes photo.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.theage.com.au/news/music/eltons-vampire-musical-seeks-new-blood/2006/02/08/1139074263645.html"} +{"d:Title": "The Vampire Lestat has Settled in San Francisco. And He's Singing in a New Musical. Quick! Someone Fetch the Garlic and a Wooden Stake!", "d:Description": "San Francisco Chronicle theater critic Robert Hurwitt analyses the many problems he sees in Elton John and Bernie Taupin's latest musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2006/01/10/DDGCQGKMFA1.DTL&hw=lestat&sn=001&sc=1000"} +{"d:Title": "BroadwayWorld.com: Photo Flash: Pre-Broadway Engagement of Lestat", "d:Description": "Offers publicity photos from the pre-Broadway tryout production of \"Lestat\".", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6498"} +{"d:Title": "Taking the First Bite", "d:Description": "Freelance writer Ron Dicker offers his opinion on the vampire musical \"Lestat\", the creative team behind it and its chances for success.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2005/12/18/PKGQ1G4VV41.DTL&feed=rss.entertainment"} +{"d:Title": "BroadwayWorld.com: Photo Flash: Cast Announced for Lestat, Show to Tryout in San Francisco in December", "d:Description": "An article offering biographies on the creative team for the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=4512"} +{"d:Title": "BroadwayWorld.com: Photo Flash: Lestat, Set for Broadway Previews", "d:Description": "Elton John and Bernie Taupin's vampire musical Lestat, based on books by Anne Rice, is now set to begin Broadway previews on March 25th. Includes photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://boston.broadwayworld.com/viewcolumn.cfm?colid=8224"} +{"d:Title": "Lestat Lines Up Events in New York, Including Benefit", "d:Description": "With the opening of Lestat, a multitude of events will be held all around Manhattan throughout the month of April, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://dc.broadwayworld.com/viewcolumn.cfm?colid=8744"} +{"d:Title": "Photo Flash: Lestat in Previews", "d:Description": "Lestat, the inaugural production of Warner Brothers Theatre Ventures, is currently in previews at the Palace Theatre where it will open on Tuesday, April 25, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://westend.broadwayworld.com/viewcolumn.cfm?colid=9051"} +{"d:Title": "Elton's Vampire Musical Sucks", "d:Description": "Critics say Elton John's vampire musical was 'bloody awful,' 'sucked of life' and was the 'kiss of death'. By Claudia Parsons.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.theage.com.au/news/national/eltons-vampire-musical-sucks-critics/2006/04/27/1145861488362.html"} +{"d:Title": "Photo Coverage: Opening Night of Lestat", "d:Description": "Lestat, the Elton John-Bernie Taupin musical based upon Anne Rice's The Vampires Chronicles, opened on April 25th.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://westend.broadwayworld.com/viewcolumn.cfm?colid=9228"} +{"d:Title": "New York Times: Lestat Review", "d:Description": "Joining the ranks of Ambien, Lunesta, Sonata and other prescription lullaby drugs is \"Lestat,\" a musical sleeping pill.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://theater2.nytimes.com/2006/04/26/theater/reviews/26lest.html"} +{"d:Title": "BroadwayWorld.com: Lestat Cast to Head Into Recording Studios", "d:Description": "Performers from Lestat will record a cast album at Mercury Records Studio on May 22, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=9786"} +{"d:Title": "Lestat: Die Young, Live Forever, Make Bad Musicals", "d:Description": "Lestat, based on Anne Rice\u2019s The Vampire Chronicles, is one of those unfortunate occurrences where a talented group of artists make fine contributions to material that is just too underwritten and dull to stand a chance. By Michael Dale.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://chicago.broadwayworld.com/viewcolumn.cfm?colid=9382"} +{"d:Title": "BBC News: Critics Lay Into Elton's Musical", "d:Description": "Sir Elton John's vampire musical Lestat has had its Broadway premiere, but has been savaged by critics. Includes video clips from the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/4941488.stm"} +{"d:Title": "Vampires, The Musical Kiss Of Death", "d:Description": "Dear Broadway: I'm not sure how to put this, but, well, the fixation with singing vampires? It has to stop. I mean, give the bloodsucker a ballad, and it's his show that joins the walking dead. By Peter Marks. May require free registration.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2006/04/25/AR2006042502251.html"} +{"d:Title": "USA Today.com: Lestat Proves Syrup Is Thicker Than Blood", "d:Description": "There's no love like a mother's love, especially if your mom happens to be a vampire. By Elysa Gardner.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.usatoday.com/life/theater/reviews/2006-04-25-lestat_x.htm"} +{"d:Title": "Photo Coverage: 'Lestat' Cast in the Studio", "d:Description": "The cast of Lestat recorded their original Broadway cast album at Sony Studios. Based on Anne Rice's The Vampire Chronicles, Lestat is the first theatrical score from the songwriting team of Elton John and Bernie Taupin.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=9866"} +{"d:Title": "USAToday.com: Vampire Musical 'Lestat,' Closing Saturday", "d:Description": "They're driving a stake through the heart of Lestat. The $10 million Elton John-Bernie Taupin musical inspired by Anne Rice's The Vampire Chronicles will close Sunday after a disappointing run of 39 performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.usatoday.com/life/theater/news/2006-05-23-lestat-closing_x.htm"} +{"d:Title": "FT.com: Lestat, Palace Theatre, New York", "d:Description": "If Lestat is regrettably inconsistent as a standard entertainment, it retains a certain pop-cultural resonance. By Brendan Lemon.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://news.ft.com/cms/s/9134e034-d483-11da-a357-0000779e2340.html"} +{"d:Title": "The New Yorker: Vampires In Tights", "d:Description": "After three years of writing, casting, and rehearsing, \u201cLestat\u201d had a Christmastime tryout in San Francisco, where, by almost unanimous critical consensus, it was a disaster.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lestat/Articles_and_Interviews", "url": "http://www.newyorker.com/archive/2006/05/01/060501ta_talk_seabrook"} +{"d:Title": "Moonstruck Drama Bookstore: The Life", "d:Description": "Synopsis and brief history of the Broadway musical, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Life,_The", "url": "http://www.imagi-nation.com/moonstruck/albm83.html"} +{"d:Title": "Broadway.com: The Light in the Piazza", "d:Description": "Offers synopsis, current cast list, video preview, news and theater address.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Light_in_the_Piazza,_The", "url": "http://www.broadway.com/gen/Show.aspx?si=505167"} +{"d:Title": "NPR : 'The Light in the Piazza': Partnering on the Road", "d:Description": "Not-for-profit theaters are developing productions hoping for commercial success. Includes photos and audio clip.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Light_in_the_Piazza,_The", "url": "http://www.npr.org/templates/story/story.php?storyId=4518817"} +{"d:Title": "Curtain Call: Leaving 'The Piazza'", "d:Description": "Slideshow of photographs from various Piazza productions, narrated by Victoria Clark.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Light_in_the_Piazza,_The", "url": "http://www.nytimes.com/packages/html/arts/20060629_CLARK_FEATURE/blocker.html"} +{"d:Title": "Wikipedia: The Light in the Piazza", "d:Description": "Show history, synopsis, song list, cast list for 2005 Broadway production and 2006-07 U.S. national tour, and awards.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Light_in_the_Piazza,_The", "url": "http://en.wikipedia.org/wiki/Light_in_the_Piazza"} +{"d:Title": "Lincoln Center Theater: The Light in the Piazza", "d:Description": "Official site for the 2005 Broadway production includes synopsis and photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Light_in_the_Piazza,_The", "url": "http://www.lct.org/showMain.htm?id=169"} +{"d:Title": "StageAgent.com: The Light in the Piazza", "d:Description": "Plot summary and character descriptions for the musical by Craig Lucas and Adam Guettel, including voice part, dancing requirements, and age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Light_in_the_Piazza,_The", "url": "http://stageagent.com/shows/musical/1436/the-light-in-the-piazza"} +{"d:Title": "The Lion King", "d:Description": "Brief history of the musical by Elton John, Tim Rice, Roger Allers, Irene Mecchi and Julie Taymor, plus links to cast albums, sheet music, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lion_King,_The", "url": "http://www.imagi-nation.com/moonstruck/albm50.html"} +{"d:Title": "AllMusicals.com: The Lion King", "d:Description": "Song lyrics from the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lion_King,_The", "url": "http://www.allmusicals.com/l/lionking.htm"} +{"d:Title": "The Lion King", "d:Description": "Official site of the musical features information about Broadway and touring productions.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lion_King,_The", "url": "http://www.lionking.com/"} +{"d:Title": "Moonstruck Drama Bookstore: A Little Night Music", "d:Description": "Brief history and synopsis of the musical by Stephen Sondheim and Hugh Wheeler, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Little_Night_Music,_A", "url": "http://www.imagi-nation.com/moonstruck/albm74.html"} +{"d:Title": "Stephen Sondheim Stage: A Little Night Music", "d:Description": "Information on the original Broadway production of Stephen Sondheim's 'A Little Night Music.'", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Little_Night_Music,_A", "url": "http://www.sondheim.com/shows/a_little_night_music/"} +{"d:Title": "TheatreHistory.com: A Little Night Music", "d:Description": "A synopsis of the Broadway musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Little_Night_Music,_A", "url": "http://www.theatrehistory.com/american/a_little_night_music_001.html"} +{"d:Title": "StageAgent.com: A Little Night Music", "d:Description": "Characters and synopsis for Sondheim's musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Little_Night_Music,_A", "url": "http://stageagent.com/shows/musical/203/a-little-night-music"} +{"d:Title": "Little Shop of Horrors", "d:Description": "Brief history of the musical by Alan Menken and Howard Ashman, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Little_Shop_of_Horrors", "url": "http://www.imagi-nation.com/moonstruck/albm42.html"} +{"d:Title": "StageAgent.com: Little Shop of Horrors", "d:Description": "Plot synopsis and character descriptions for the musical by Howard Ashman and Alan Menken, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Little_Shop_of_Horrors", "url": "http://stageagent.com/shows/musical/228/little-shop-of-horrors"} +{"d:Title": "TheatreHistory.com: Lost in the Stars", "d:Description": "A synopsis of the musical by Kurt Weill and Maxwell Anderson, plus links to purchase the cast album and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lost_in_the_Stars", "url": "http://www.theatrehistory.com/american/musical026.html"} +{"d:Title": "StageAgent.com: Lost in the Stars", "d:Description": "Plot summary and character descriptions for the musical based on Alan Paton's novel \"Cry, the Beloved Country,\" including voice part, dancing requirements, and age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Lost_in_the_Stars", "url": "http://stageagent.com/shows/musical/2039/lost-in-the-stars"} +{"d:Title": "Gerard Butler To Star In Phantom Sequel?", "d:Description": "Scottish actor Gerard Butler is the favourite to star in the West End sequel to The Phantom Of The Opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Love_Never_Dies", "url": "http://www.femalefirst.co.uk/entertainment/Gerard+Butler-52459.html"} +{"d:Title": "First Act of 'Phantom' Sequel to Have Private Reading", "d:Description": "The first act of the new musical sequel to Phantom of the Opera, Phantom: Once Upon Another Time, is about to be performed privately for friends of Andrew Lloyd Webber.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Love_Never_Dies", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=29189"} +{"d:Title": "Andrew Lloyd Webber Reveals Title of 'Phantom' Sequel", "d:Description": "In an interview with BBC online while talking about the talent search competition 'I'd Do Anything', Andrew Lloyd Webber revealed the title of the much talked about upcoming Phantom of the Opera sequel currently in the works.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Love_Never_Dies", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=28462"} +{"d:Title": "Love Never Dies", "d:Description": "Official site for Andrew Lloyd Webber's sequel to The Phantom of the Opera. Features news, ticket information, email subscription, Phantom's scrapbook and video clips.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Love_Never_Dies", "url": "http://www.loveneverdies.com/"} +{"d:Title": "Broadway World - Photo Flash: Love Never Dies", "d:Description": "Small image gallery features cast and costumes.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/L/Love_Never_Dies", "url": "http://www.broadwayworld.com/article/Photo_Flash_LOVE_NEVER_DIES_OPENS_TONIGHT_20100309"} +{"d:Title": "The Machine", "d:Description": "Electronic-Techno Musical in 3 ACTS.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.angelfire.com/music/mikezee/themachine.htm"} +{"d:Title": "Miss Gulch Returns", "d:Description": "Homepage of the internationally acclaimed musical show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.fredbarton.com/"} +{"d:Title": "Marathon", "d:Description": "Stage performance by Branko Brezovec. Music by Antun Toni Blazinovic, Only Bass And Drum, Ivan Koprivcevic.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://scena.hgu.hr/marathon"} +{"d:Title": "The Musical of Musicals (The Musical!)", "d:Description": "Official site for the satire of musical theater genres includes information on the award-winning 2004 Off-Broadway production, shop, reviews, and video.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.musicalofmusicals.com/"} +{"d:Title": "Menopause: The Musical", "d:Description": "A humorous celebration of women and the Change. Includes a short synopsis of the show, reviews, tickets and showtimes across USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://menopausethemusical.com/"} +{"d:Title": "Me&Caesar Lee", "d:Description": "The musical journey of a former recording star and songwriter approaching her 43rd birthday. Music, lyrics and book by Pat Holley. Song samples and production history.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.meandcaesarlee.com/"} +{"d:Title": "Monsters the Musical", "d:Description": "A musical comedy about facing the demons of middle age. Synopsis, songs, creative team, and cast of the 2006 Boston production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.monstersthemusical.com/"} +{"d:Title": "The Masters of The House", "d:Description": "A tribute to the world of musical theatre performed by former stars of Les Miserables and other West End Musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://mastersofthehouse.co.uk/"} +{"d:Title": "Mama, I Want to Sing: The Groundbreaking Black Musical", "d:Description": "Gospel musical by Vy Higginsen and Ken Wydro. Site includes photographs, show history, performers in major productions, sequels, and mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.mamaiwanttosing.com/"} +{"d:Title": "Medora Musical", "d:Description": "Musical located in and about Medora, North Dakota. Includes ticket information and seating chart.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.medora.org/musical/"} +{"d:Title": "Monkey Business", "d:Description": "A family oriented musical based on the tale of the Country Mouse and the CityMouse. Describes the plot, characters, and songs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://www.monkeybusinessthemusical.com/"} +{"d:Title": "Mary Poppins", "d:Description": "Official site of the 2006 Broadway production includes audio and video, background on show, cast and creative team, reviews, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M", "url": "http://movies.disney.com/mary-poppins"} +{"d:Title": "Mamma Mia!", "d:Description": "Official site of the musical based on the songs of Abba.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Mamma_Mia", "url": "http://www.mamma-mia.com/"} +{"d:Title": "First Fan Site For Mamma Mia", "d:Description": "Features photo gallery, performer biographies, sound clips, tour dates and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Mamma_Mia", "url": "http://www.angelfire.com/musicals/miafan/"} +{"d:Title": "Man of La Mancha", "d:Description": "History and synopsis of the Broadway musical, plus links to cast albums, sheet music, and official show merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Man_of_La_Mancha", "url": "http://www.imagi-nation.com/moonstruck/albm77.html"} +{"d:Title": "StageAgent.com: Man of La Mancha", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Man_of_La_Mancha", "url": "http://stageagent.com/shows/musical/773/man-of-la-mancha"} +{"d:Title": "The Mikado", "d:Description": "Brief history of the musical by W.S. Gilbert and Arthur Sullivan, plus links to cast albums, sheet music, and the script.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Mikado,_The", "url": "http://www.imagi-nation.com/moonstruck/albm64.html"} +{"d:Title": "TheatreHistory.com: The Mikado", "d:Description": "A synopsis of the classic musical by Gilbert and Sullivan.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Mikado,_The", "url": "http://www.theatrehistory.com/british/musical001.html"} +{"d:Title": "Les Mis\u00e9rables - La barricade de l'Allemagne", "d:Description": "Unofficial fansite about the German production, in English and German. Also includes information about various movies.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.lesmis.de/"} +{"d:Title": "Les Mis\u00e9rables", "d:Description": "The official site for the world-famous musical, with pictures, RealAudio/Video clips, and information on the worldwide productions.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.lesmis.com/"} +{"d:Title": "Le Cafe Musain", "d:Description": "Fansite including profiles of the various revolutionary characters, fan fiction, essays, reviews, and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://ami.feuilly.tripod.com/les-amis/lesamis.html"} +{"d:Title": "Mara's Miserable Miz Page", "d:Description": "Les Miserables and Javert pictures, humor, links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.angelfire.com/ny4/lesmis/"} +{"d:Title": "Mme Bahorel's Little Corner of the Web", "d:Description": "Fan site features fiction, detailed reviews, and translation of the original French libretto.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.angelfire.com/mb2/mmebahorel/"} +{"d:Title": "One Day More!", "d:Description": "A tribute to Les Miserables -- fan fiction, images, sounds, and the \"Feature of the Month.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://quirky.frenchboys.net/"} +{"d:Title": "Les Mis\u00e9rables", "d:Description": "Brief history of the musical by Claude-Michel Schonberg and Herbert Kretzmer, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.imagi-nation.com/moonstruck/albm22.htm"} +{"d:Title": "Rue de Mondetour", "d:Description": "Features a large fanart gallery, parodies, a page about \"modern Mizzies\", and last but not least, \"got miz?\".", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://philosophical.frenchboys.net/"} +{"d:Title": "Les Miserables...and Other Things", "d:Description": "A page for fans of musical theatre, especially fans of Les Miserables. Featuring clips and pictures from many world famous shows and information on some of the world's best performers.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.angelfire.com/pq/lesmis/"} +{"d:Title": "Les Miserables at Times Square", "d:Description": "Facts, synopsis, lyrics, and multimedia. Features a \"Les Miserables Scrapbook\" with 700+ images sorted by character and performer.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://members.tripod.com/Point11/"} +{"d:Title": "L'Aigle de Meaux", "d:Description": "Focuses on the character of Bossuet, and features various activities (Les Miz lightbulb jokes?).", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.angelfire.com/gundam/otto/bossuet.html"} +{"d:Title": "Madame La Baronne Pontmercy's Les Miserables Site", "d:Description": "Contains fan fiction, artwork, reviews, and a synopsis of the story composed by the webmistress.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.angelfire.com/musicals/labaronne/"} +{"d:Title": "Les Miserables Ends Broadway Performance", "d:Description": "Excerpts from two May, 2003 Playbill articles.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.gavroche.org/vhugo/lesmizends.shtml"} +{"d:Title": "Les Miserables Fan Fiction Index", "d:Description": "Directory and archive of fan fiction; also features polls, interviews, and articles.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.lmffi.com/"} +{"d:Title": "Les Miserables", "d:Description": "Discussion forum on Musicals.net, covering a wide variety of topics related to Les Miserables.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://musicals.net/forums/viewforum.php?f=50"} +{"d:Title": "Les Mis\u00e9rables Fan Fiction Ring", "d:Description": "Directory of sites devoted to fan fiction based upon the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://www.webring.org/hub?ring=lmfic"} +{"d:Title": "StageAgent.com: Les Miserables", "d:Description": "Synopsis and character descriptions for the musical by Alain Boubil and Claude Michel Schonberg, including voice part, dancing difficulty, and character summaries.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les", "url": "http://stageagent.com/shows/musical/774/les-miserables"} +{"d:Title": "Stephen Scarpulla in Les Miserables", "d:Description": "Includes photographs of the 14th Anniversary party.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les/Performers", "url": "http://www.stephenscarpulla.com/"} +{"d:Title": "RuthieHenshall.com", "d:Description": "An archive and fan community dedicated to the West End and Broadway star Ruthie Henshall.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les/Performers", "url": "http://www.ruthiehenshall.com/"} +{"d:Title": "Sun and Moon--The Unofficial Rona Figueroa Site", "d:Description": "Broadway and film actress/singer Rona Figueroa played Eponine in Les Miserables. Site has sounds, pictures, a mailing list, and a compilation of bios, reviews, and opinions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miserables,_Les/Performers", "url": "http://ronafigueroa.deep-ice.com/"} +{"d:Title": "Miss Saigon", "d:Description": "Brief history of the musical by Claude-Michel Schonberg, Richard Maltby, Jr. and Alain Boublil, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Miss_Saigon", "url": "http://www.imagi-nation.com/moonstruck/albm33.html"} +{"d:Title": "Jerry Livingston - Molly, The 1973 Broadway Musical", "d:Description": "Essay by Dennis Livingston. Production information, playlist, discography, audio clip.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Molly", "url": "http://www.dennislivingston.com/jl_molly.htm"} +{"d:Title": "TheatreHistory.com: The Most Happy Fella", "d:Description": "A synopsis of the musical by Frank Loesser.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Most_Happy_Fella,_The", "url": "http://www.theatrehistory.com/american/musical021.html"} +{"d:Title": "Moonstruck Drama Bookstore: The Most Happy Fella", "d:Description": "Brief history of the musical by Frank Loesser, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Most_Happy_Fella,_The", "url": "http://www.imagi-nation.com/moonstruck/albm63.html"} +{"d:Title": "Love is in the Air (Mail)", "d:Description": "Review of the Theatreworks production of 'She Loves Me' and the Foothill Music Theatre's production of 'The Most Happy Fella.'", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Most_Happy_Fella,_The", "url": "http://www.paloaltoonline.com/weekly/morgue/cover/1995_Jul_28.REVIEW28.html"} +{"d:Title": "StageAgent.com: The Most Happy Fella", "d:Description": "Plot synopsis and character descriptions for the musical by Frank Loesser, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Most_Happy_Fella,_The", "url": "http://stageagent.com/shows/musical/1775/the-most-happy-fella"} +{"d:Title": "Moonstruck Drama Bookstore: The Music Man", "d:Description": "Brief history of the musical by Meredith Willson, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Music_Man,_The", "url": "http://www.imagi-nation.com/moonstruck/albm40.html"} +{"d:Title": "TheatreHistory.com: The Music Man", "d:Description": "A synopsis of the musical by Meredith Willson.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/Music_Man,_The", "url": "http://www.theatrehistory.com/american/musical004.html"} +{"d:Title": "TheatreHistory.com: My Fair Lady", "d:Description": "A synopsis and brief history of the musical by Alan Jay Lerner and Frederick Loewe.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/My_Fair_Lady", "url": "http://www.theatrehistory.com/american/musical002.html"} +{"d:Title": "My Fair Lady", "d:Description": "Brief history of the musical by Frederick Loewe and Alan Jay Lerner, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/My_Fair_Lady", "url": "http://www.imagi-nation.com/moonstruck/albm25.html"} +{"d:Title": "My Fair Lady Lyrics", "d:Description": "Script of 'My Fair Lady', with a brief history of the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/My_Fair_Lady", "url": "http://www.allmusicals.com/m/myfairlady.htm"} +{"d:Title": "My Fair Lady", "d:Description": "Official site for the Cameron Macintosh/National Theatre touring production includes production history, cast and creative team, and news and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/M/My_Fair_Lady", "url": "http://www.myfairladythemusical.com/"} +{"d:Title": "Night of the Singing Dead", "d:Description": "A long-running musical spectacular featuring those great performers who have gone to the \"other\" side.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/N", "url": "http://www.nightofthesingingdead.com/"} +{"d:Title": "Next to Normal", "d:Description": "Official site for the 2009 Broadway production of the rock musical about a family coping with mental illness. Site includes news and reviews, video, tickets, and merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/N", "url": "http://www.nexttonormal.com/"} +{"d:Title": "Over Here!", "d:Description": "Script, information, mailing list for the lost broadway show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O", "url": "http://www.angelfire.com/musicals/OverHere/"} +{"d:Title": "The Odd Potato", "d:Description": "The children's Hanukkah story set to music. Information on the Broadway album, performers, and song samples.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O", "url": "http://www.theoddpotato.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Oklahoma!", "d:Description": "Brief history of the classic musical by Richard Rodgers and Oscar Hammerstein II, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/Oklahoma", "url": "http://www.imagi-nation.com/moonstruck/albm36.html"} +{"d:Title": "TheatreHistory.com: Oklahoma!", "d:Description": "A synopsis and history of the groundbreaking musical by Richard Rodgers and Oscar Hammerstein II.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/Oklahoma", "url": "http://www.theatrehistory.com/american/musical014.html"} +{"d:Title": "AllMusicals.com - Oklahoma", "d:Description": "Song lyrics and synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/Oklahoma", "url": "http://www.allmusicals.com/o/oklahoma.htm"} +{"d:Title": "Oliver! The Musical", "d:Description": "Information about the production at The Regent Theatre, Melbourne Australia. Includes article by author Lionel Bart, cast and creative, and downloads.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/Oliver", "url": "http://www.oliverthemusical.com/"} +{"d:Title": "Once Upon a Mattress", "d:Description": "Brief history of the musical by Mary Rodgers, Marshall Barer, Jay Thompson and Dean Fuller, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/Once_Upon_a_Mattress", "url": "http://www.imagi-nation.com/moonstruck/albm34.html"} +{"d:Title": "Once Upon a Mattress", "d:Description": "Credits, cast list and review of the 1997 Broadway production of 'Once Upon a Mattress' starring Sarah Jessica Parker.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/Once_Upon_a_Mattress", "url": "http://www.infoplease.com/ipea/A0196132.html"} +{"d:Title": "Once Upon a Mattress", "d:Description": "Plot synopsis and character descriptions for Once Upon a Mattress, including voice part, dancing difficulty and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/Once_Upon_a_Mattress", "url": "http://stageagent.com/shows/musical/1390/once-upon-a-mattress"} +{"d:Title": "TheatreHistory.com: On the Town", "d:Description": "A synopsis and brief history of the musical by Leonard Bernstein, plus links to purchase the cast album and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/O/On_the_Town", "url": "http://www.theatrehistory.com/american/musical027.html"} +{"d:Title": "Pilgrim", "d:Description": "Contemporary theatre work by John Stothers. Includes story, imagry and music information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P", "url": "http://whatispilgrim.com/"} +{"d:Title": "Pete and Wayne Show", "d:Description": "The official web site live from Key West. Read about their history, check their calendar and look at merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P", "url": "http://www.peteandwayne.com/"} +{"d:Title": "The Paisley Sisters' Christmas Special", "d:Description": "Musical comedy about a girl group and their 1964 Christmas TV special. Includes reviews, production notes, and licensing information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P", "url": "http://www.paisleysisters.com/"} +{"d:Title": "Pageant The Musical", "d:Description": "Detailed history of the 2001 Baltimore production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P", "url": "http://www.angelfire.com/musicals/Glamouresse/"} +{"d:Title": "Papa Panov", "d:Description": "A new Christmas musical for children, based on a traditional Tolstoy tale. It combines moving dialogue with catchy choruses and gentle solo melodies. Features 12 original songs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P", "url": "http://www.papapanov.com/"} +{"d:Title": "Pacific Overtures", "d:Description": "Brief history of the musical by Stephen Sondheim and John Weidman, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pacific_Overtures", "url": "http://www.imagi-nation.com/moonstruck/albm65.html"} +{"d:Title": "Stephen Sondheim Stage: Pacific Overtures", "d:Description": "Information on the original Broadway production of \"Pacific Overtures.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pacific_Overtures", "url": "http://www.sondheim.com/shows/pacific_overtures/"} +{"d:Title": "Moonstruck Drama Bookstore: The Pajama Game", "d:Description": "An in-depth synopsis of the musical by Richard Adler and Jerry Ross, plus links to purchase cast albums, and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pajama_Game,_The", "url": "http://www.imagi-nation.com/moonstruck/albm90.html"} +{"d:Title": "StageAgent.com: Pajama Game", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and suggested age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pajama_Game,_The", "url": "http://stageagent.com/shows/musical/1422/the-pajama-game"} +{"d:Title": "Moonstruck Drama Bookstore: Pal Joey", "d:Description": "Brief history and synopsis of the musical by Richard Rodgers, Lorenz Hart and John O'Hara, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pal_Joey", "url": "http://www.imagi-nation.com/moonstruck/albm76.html"} +{"d:Title": "TheatreHistory.com: Pal Joey", "d:Description": "A synopsis and brief history of the Broadway musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pal_Joey", "url": "http://www.theatrehistory.com/american/musical023.html"} +{"d:Title": "LorenzHart.org: Pal Joey", "d:Description": "Discography, plot, overview, reviews and related information on the 1940 musical by Richard Rodgers, Lorenz Hart and John O'Hara and starring Gene Kelly and Vivienne Segal.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pal_Joey", "url": "http://www.lorenzhart.org/joey.htm"} +{"d:Title": "StageAgent.com: Pal Joey", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and suggested age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pal_Joey", "url": "http://stageagent.com/shows/musical/2295/pal-joey"} +{"d:Title": "Parade - The Old Red Hills of Home", "d:Description": "Fan site that includes cast information, links, reviews, and tour information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Parade", "url": "http://members.tripod.com/OldRedHills/paradetitle.html"} +{"d:Title": "Parade: This Is Not Over Yet", "d:Description": "Personal dedication includes pictures, sounds, and information on the true story that inspired the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Parade", "url": "http://theatre_chick.tripod.com/parade.html"} +{"d:Title": "Ever Never Land", "d:Description": "Boston Phoenix review of the Cathy Rigby production of 'Peter Pan.'", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Peter_Pan", "url": "http://www.bostonphoenix.com/archive/theater/98/02/26/PETER_PAN.html"} +{"d:Title": "Moonstruck Drama Bookstore: Peter Pan", "d:Description": "Brief history of the musical by Mark Charlap, Jule Styne, Carolyn Leigh, Betty Comden, Adolph Green and James M. Barrie, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Peter_Pan", "url": "http://www.imagi-nation.com/moonstruck/albm45.html"} +{"d:Title": "Talkin' Broadway: Never Never Land", "d:Description": "Brief history of the Broadway musical 'Peter Pan.'", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Peter_Pan", "url": "http://www.talkinbroadway.com/page/rialto/past/1999/4_4_99.html"} +{"d:Title": "Phantom of the Opera, The", "d:Description": "A brief history of the musical by Andrew Lloyd Webber, Charles Hart and Richard Stilgoe, plus links to all cast albums and sheet music currently in print.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.imagi-nation.com/moonstruck/albm4.htm"} +{"d:Title": "The Phantom's Domain: Be Enchanted by the Phantom's Music of the Night", "d:Description": "Includes information, images, cast, news, links, and production pages.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://members.tripod.com/~phantoms_domain_2/index.html"} +{"d:Title": "TheatreHistory.com: Phantom of the Opera", "d:Description": "Lengthy synopsis of the musical by Andrew Lloyd Webber plus links to purchase cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.theatrehistory.com/british/musical005.html"} +{"d:Title": "Boxkeeper of the Opera, The", "d:Description": "Dedicated to the character of Madame Giry. Includes images, sound clips, news, links, quotes, essays and actor list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.angelfire.com/ky/EquineArt/mmegiry.html"} +{"d:Title": "Phantom-Phans: Created by The Phans for The Phans", "d:Description": "Message board for Phantom fans to trade stories, pictures, and take part in polls and chat.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://uk.groups.yahoo.com/group/Phantom-Phans/"} +{"d:Title": "Phantom of the Opera", "d:Description": "Photos, reviews, links and web ring.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.lacarlotta.com/pindex.html"} +{"d:Title": "Ken Hill's Phantom Of The Opera", "d:Description": "Articles, history, photos, libretto, and cast lists from the musical adaptation of Gaston Leroux's book.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.kenhillsphantomoftheopera.co.uk/"} +{"d:Title": "Angel of Music: Angel of Darkness", "d:Description": "Includes summary of the original novel, lyrics, guestbook, stage play cast lists and history, favorite quotes and information on movie adaptations from 1916 to 2004.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.angelfire.com/music6/amad/"} +{"d:Title": "Phantom of the Opera: Official Show Site, The", "d:Description": "News, reviews, cast member list, photos, wallpapers, videos and musical clips. Free registration required for some areas.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.thephantomoftheopera.com/"} +{"d:Title": "TheBroadwayMusicals.com: The Phantom of the Opera", "d:Description": "Site features song list and lyrics from the 1986 production starring Michael Crawford and Sarah Brightman.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.thebroadwaymusicals.com/p/phantomoftheoperathe.htm"} +{"d:Title": "Wikipedia: The Phantom of the Opera", "d:Description": "Features production origins, commercial success, major characters, trivia, synopsis, list of musical numbers and logo graphic.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://en.wikipedia.org/wiki/The_Phantom_of_the_Opera_(1986_musical)"} +{"d:Title": "Phantom Books", "d:Description": "Offers lists of books relating to 'The Phantom of the Opera'. Includes reviews and ranking system.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://members.trivergent.net/~on.erikog/poto/index.html"} +{"d:Title": "BroadwayWorld: Andrew Lloyd Webber Confirms 'Phantom' Sequel", "d:Description": "The Tony Award-winning composer and impresario, has confirmed that he will indeed be going ahead with a previously announced sequel to his blockbuster hit The Phantom of the Opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=16517"} +{"d:Title": "Photo Coverage: Phantom of the Opera's 8000th Performance", "d:Description": "On April 4, 2007 The Phantom of the Opera set the unprecedented milestone of becoming the first Broadway show to reach 8,000 performances. Includes photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://lasvegas.broadwayworld.com/viewcolumn.cfm?colid=17260"} +{"d:Title": "Le Domaine D'Erik", "d:Description": "Offers information about the Phantom of the Opera references in books, movies, musicals, children's adaptations and other mediums.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://operaghost.scriptmania.com/"} +{"d:Title": "'Phantom' Tour Celebrates 15th Anniversary", "d:Description": "The Phantom of the Opera, directed by Harold Prince, celebrates its 15th anniversary on December 13, 2007 when it reaches performance number 6,139 during its 5th Chicago engagement at the Cadillac Palace Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://chicago.broadwayworld.com/article/Phantom_Tour_Celebrates_15th_Anniversary_Dec13_20071212"} +{"d:Title": "Broadway.com: The Phantom of the Opera Photos", "d:Description": "Gallery featuring images from the show's 15th anniversary in 2003 through to \"Backstage, Onstage, Below Stage: A Thrilling Day in the Life of Phantom\" in February, 2010.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.broadway.com/shows/the-phantom-of-the-opera/photos/"} +{"d:Title": "The Phantom of the Opera", "d:Description": "Official facebook page for \"The Phantom of the Opera\" productions around the world. Offers information, photos, discussions and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.facebook.com/ThePhantomOfTheOpera"} +{"d:Title": "Andrew Lloyd Webber Wants Brightman Back In Phantom Follow-up", "d:Description": "The musical impresario is interested in Brightman reprising her role as Christine in his upcoming Phantom of the Opera sequel.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera", "url": "http://www.femalefirst.co.uk/music/musicnews/Andrew+Lloyd+Webber-35193.html"} +{"d:Title": "BroadwayWorld.com: Original Phantom Michael Crawford to Participate", "d:Description": "Michael Crawford announces his involvement with the show's record-breaking celebration as \"The Phantom of the Opera\" becomes Broadway's longest running production in history.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=6585"} +{"d:Title": "BroadwayWorld.com: Photo Flash: The Phantom of the Opera Nears Record Performance", "d:Description": "Short article with photos, links to principal performers and reader feedback.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6607"} +{"d:Title": "The Phantom of the Opera: A CurtainUp Review", "d:Description": "Review, cast listing, musical numbers and theater location.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.curtainup.com/phantom.html"} +{"d:Title": "BBC News: Entertainment: Phantom Musical Surpasses Record", "d:Description": "Sir Andrew Lloyd Webber talks about the most successful entertainment venture of all time, \"The Phantom of the Opera\". News story includes photos, video clip and links to related articles.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/entertainment/4594084.stm"} +{"d:Title": "NPR : 'The Phantom' Sets All-Time Mark on Broadway", "d:Description": "Jeffrey Lunden speaks with Andrew Lloyd Webber, Hal Prince, Michael Crawford and Howard McGillin in this 7 minute audio clip which offers amusing anecdotes and insight. Musical selections from the original cast recording and links to related stories are also featured.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=5146471"} +{"d:Title": "Broadway's Cats Slip", "d:Description": "Feature article on \"The Phantom of the Opera\" surpassing \"Cats\" as the longest running play on Broadway.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.theage.com.au/news/arts/broadways-cats-slip/2006/01/10/1136863239103.html"} +{"d:Title": "BroadwayWorld.com: Photo Coverage: Phantastic Phantom Breaks Broadway Record", "d:Description": "On January 9, 2006, The Phantom of the Opera made Broadway history by becoming the longest-running production ever.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6742"} +{"d:Title": "Broadway's The Phantom of the Opera to Welcome Michael Shawn Lewis and Roland Rusinek", "d:Description": "Michael Shawn Lewis will join the musical as its new Raoul on April 3rd, while Roland Rusinek will step into the role of Piangi on March 31, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://baltimore.broadwayworld.com/viewcolumn.cfm?colid=8654"} +{"d:Title": "Patricia Phillips Steps Into Phantom as Carlotta", "d:Description": "Patricia Phillips will replace Anne Runolfsson in the Broadway company of The Phantom of the Opera on May 15, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://baltimore.broadwayworld.com/viewcolumn.cfm?colid=9427"} +{"d:Title": "Photo Coverage: Phantom of the Opera Gala at the Waldorf Astoria", "d:Description": "Photo gallery includes images of Michael Crawford, Howard McGillin, Sandra Joseph and Maria Friedman.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://baltimore.broadwayworld.com/viewcolumn.cfm?colid=6744"} +{"d:Title": "Bruce Winant Joins Touring Company of Phantom", "d:Description": "On April 14, 2006, Bruce Winant will join the touring company of The Phantom of the Opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://baltimore.broadwayworld.com/viewcolumn.cfm?colid=8044"} +{"d:Title": "Phantom to Toast 20th London Anniversary", "d:Description": "The London production of The Phantom of the Opera will celebrate its 20th anniversary on October 9th, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://baltimore.broadwayworld.com/viewcolumn.cfm?colid=11751"} +{"d:Title": "BroadwayWorld.com: Warlow and Marina to Star in Australian 'Phantom'", "d:Description": "Anthony Warlow and Ana Marina will headline the cast of the upcoming Australian production of The Phantom of the Opera, which will open at the Princess Theatre in Melbourne on July 19, 2007.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=17849"} +{"d:Title": "Bloom, Flowers, Bloom: Phantom of the Opera Musical", "d:Description": "Brief review of the musical in Singapore. Includes photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://primroses.blogspot.com/2007/04/phantom-of-opera-musical.html"} +{"d:Title": "'Phantom' Swindle Gets Ex-D.A.'s Wife 4 Years", "d:Description": "Debbi Fitzpatrick was indicted for a scheme to raise money from as many as 10 investors for a version of the musical \"Phantom of the Opera\" that was supposed to be staged at the Paris Hotel and Casino in Las Vegas.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.phillyist.com/archives/2006/06/05/yo_philly_in_th_86.php"} +{"d:Title": "Warlow and Marina to Star in Australian 'Phantom'", "d:Description": "Anthony Warlow and Ana Marina will headline the cast of the upcoming Australian production of The Phantom of the Opera, which will open at the Princess Theatre in Melbourne on July 19, 2007.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://lasvegas.broadwayworld.com/viewcolumn.cfm?colid=17849"} +{"d:Title": "David Zippel Joins Webber for 'Phantom' Follow-Up Musical", "d:Description": "Andrew Lloyd Webber will once again collaborate with David Zippel on his follow-up to The Phantom of the Opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=19014"} +{"d:Title": "Phantom 2 Kitten-Caused Score Damage Called 'Insignficant'", "d:Description": "Feline aficionado Andrew Lloyd Webber's kitten destroyed a little, but by no means all, of the composer's in-progress score to his The Phantom of the Opera follow-up.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=19275"} +{"d:Title": "'Phantom' Celebrates 20th Year with TV Segments", "d:Description": "The Phantom of the Opera, directed by Harold Prince, will be featured this week with television segments on both NBC's Weekend Today and FOX-5's Good Day, New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=24564"} +{"d:Title": "NPR Music: 'Phantom Of The Opera': 20 Years In The Pit", "d:Description": "Andrew Lloyd Webber's show, about a disfigured genius who operates from the bowels of an opera house, still plays eight times a week at the Majestic Theatre, on West 44th Street, where it opened in 1988. The pit orchestra is still playing the same notes, night after night. Includes audio file. By David Schulman.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=93419533"} +{"d:Title": "Bailey and Beck Join UK's 'Phantom' Cast As It Approaches 22nd Birthday", "d:Description": "Gina Beck, who has previously played the role of 'Cosette' in \"Les Mis\u00e9rables\" will star as 'Christine', and Simon Bailey, a member of Teatro, will play 'Raoul'.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=31345"} +{"d:Title": "BroadwayWorld.com: Review: Phantom Bedazzles Broward", "d:Description": "Positive review of the play by Beau Higgins.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://florida.broadwayworld.com/article/REVIEW_Phantom_Bedazzles_Broward_20051001"} +{"d:Title": "Canoe Jam: Wills Creates A Scene In 'Phantom'", "d:Description": "Theatre is not just in Jennifer Hope Wills' blood. It's in every fibre of her being. By Louis B. Hobson.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://jam.canoe.com/Theatre/2006/07/03/1665607.html"} +{"d:Title": "Phantom Set to Outlive Cats", "d:Description": "Information on box office receipts, long-term cast members, awards and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.today.com/id/10739059"} +{"d:Title": "Talkin' Broadway Review: The Phantom of the Opera", "d:Description": "Features review, cast list, theater location and telecharge link.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Articles_and_Interviews", "url": "http://www.talkinbroadway.com/page/world/PhantomOpera.html"} +{"d:Title": "Davis Gaines Online", "d:Description": "Biography, reviews, appearance information, merchandise, photos and guest book.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Performers", "url": "http://www.davisgaines.com/"} +{"d:Title": "Pitcher, Rebecca", "d:Description": "Official website of the current Christine Daae in the US Tour. Includes photos, reviews, fan club, tidbits, and message board.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Performers", "url": "http://www.angelfire.com/ma2/phant/rebecca.html"} +{"d:Title": "JHO - Julie Hanson Online", "d:Description": "Official site for the actress who played the role of \"Christine Daa\u00e9\" in national tour performances offers photos, resume, reviews, biography, interviews and guestbook.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Performers", "url": "http://juliehansonline.tripod.com/"} +{"d:Title": "BroadwayWorld.com: An Interview with Michael Crawford", "d:Description": "The star, who originated the role of the \"Phantom\" in London's West End production of \"The Phantom of the Opera\", talks about the message and tenderness of the story, the show's appeal and success, his health concerns and his hopes for the future.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Performers", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6671"} +{"d:Title": "SanFrancisco.BroadwayWorld.com: Gypsy of the Month: Kara Klein", "d:Description": "A performer who went straight from a real ballet company into the fictional one in 'Phantom of the Opera,' she is now a 'Phantom' veteran at age 23.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Performers", "url": "http://sanfrancisco.broadwayworld.com/viewcolumn.cfm?colid=7857"} +{"d:Title": "Cousens, Peter", "d:Description": "Official site offers appearance information, biography, photos, sound files and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Performers", "url": "http://www.petercousens.com/"} +{"d:Title": "David Cryer '58 and Phantom of the Opera Celebrate a Broadway Milestone", "d:Description": "Cryer, a 1958 DePauw University graduate, portrays Monsieur Firmin in Broadway's Phantom.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Performers", "url": "http://www.depauw.edu/news/index.asp?id=20778"} +{"d:Title": "BroadwayWorld.com: A Shorter Phantom of the Opera Haunts Las Vegas in Spring", "d:Description": "Article offers information on performance dates, working from the original designs of the late Maria Bjornson, an Equity cast, live orchestra and ticket prices.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6775"} +{"d:Title": "BroadwayWorld.com: Casting Announced for Vegas Phantom, Barrett and Crivello Play Title Role", "d:Description": "Donning the infamous mask for the role of \u201cThe Phantom\u201d are veteran stage and opera performer Brent Barrett and Tony Award-winner Anthony Crivello.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=8596"} +{"d:Title": "ValCom Inc. Welcomed the Highly Anticipated Musical 'The Phantom of the Opera' to Their Las Vegas Studios for Pre-Production Rehearsals", "d:Description": "\"The Phantom of the Opera\" held pre-production rehearsals for the two months at ValCom Inc. studios to rehearse the staging and production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://home.businesswire.com/portal/site/google/index.jsp?ndmViewId=news_view&newsId=20060522006081&newsLang=en"} +{"d:Title": "Las Vegas Phantom Previews Moved to June 12", "d:Description": "Construction delays on the new theatre have caused previews to take place later than originally scheduled.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=10103"} +{"d:Title": "Phantom Opening Night Gala in Vegas", "d:Description": "No expense has been spared on this production, which has been efficiently pared down to 95 minutes by its creators and masterful director, the legendary Harold Prince.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=10563"} +{"d:Title": "Phantom: The Las Vegas Spectacular Opens at the Venetian Hotel in Las Vegas", "d:Description": "The 95-minute production combines the classic story and music with technological advances of the last two decades, including pyrotechnics. Includes 5 minute Windows Media file.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.prnewswire.com/broadcast/24677/consumer.shtml"} +{"d:Title": "Anthony Crivello: Creating and Re-Creating", "d:Description": "Tony winner Anthony Crivello talks about playing the title role in Phantom, The Las Vegas Spectacular. By Jena Tesse Fox.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=10703"} +{"d:Title": "BroadwayWorld.com: Phantom - The Las Vegas Spectacular Opening Night", "d:Description": "The Venetian Hotel in Las Vegas was the site of the grand premier of Phantom - The Las Vegas Spectacular on Saturday, June 24th, 2006. Includes video clip.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=10608"} +{"d:Title": "An Interview with Brent Barrett", "d:Description": "Brent Barrett, known for his romantic presence and ravishing tenor has played many roles, but never before has he brought down the world's most famous chandelier. By Maya Cantu.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=10564"} +{"d:Title": "USAToday.com - 'Phantom' Is Here, In A $40M Haunt", "d:Description": "The real star of the 95-minute version may turn out to be the theater itself: a $40 million edifice that adds an only-in-Vegas thrill-ride element. Includes audio interviews with director Hal Prince and composer Andrew Lloyd Webber. By Steve Friess.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.usatoday.com/life/theater/news/2006-06-18-phantom_x.htm"} +{"d:Title": "Vegas Phantom Combats Lagging Sales", "d:Description": "To combat lagging ticket sales, the Vegas production of The Phantom of the Opera has moved up some of its late night show times.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=11793"} +{"d:Title": "BroadwayWorld.com: Las Vegas Phantom Still Stunning and Spectacular", "d:Description": "Phantom: The Las Vegas Spectacular celebrated its first anniversary, and 501st performance, at the Venetian Resort and Casino in Las Vegas. By Ellen Sterling.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular", "url": "http://lasvegas.broadwayworld.com/article/Las_Vegas_Phantom_Still_Stunning_and_Spectacular_20070620"} +{"d:Title": "Rebecca Spencer Online", "d:Description": "Official site offers appearance schedule, theatre photo gallery, cabaret reviews, recordings, press releases, biography, contact details and resume in PDF format.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Phantom_-_The_Las_Vegas_Spectacular/Performers_and_Creative_Team", "url": "http://www.rebeccaspencer.com/"} +{"d:Title": "Erik's Lair", "d:Description": "Dedicated to Phantom themed sites, particularly sites about Erik.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Web_Rings", "url": "http://members.tripod.com/~hujan21/webring.html"} +{"d:Title": "Phantom Phiction Ring", "d:Description": "For sites with Phantom literature.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Web_Rings", "url": "http://www.webring.org/hub?ring=phanphicring"} +{"d:Title": "Phantom Ring", "d:Description": "For fan sites with Phantom related content or theme.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Web_Rings", "url": "http://www.webring.org/hub?ring=phantom"} +{"d:Title": "Phantom of the Opera WebRing", "d:Description": "A webring for sites about the musical, book or movies.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Web_Rings", "url": "http://www.webring.org/hub?ring=absolutephantom"} +{"d:Title": "The Phantom of the Opera", "d:Description": "Consist of hompepages related to the Broadway musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Phantom_of_the_Opera/Web_Rings", "url": "http://www.webring.org/hub?ring=hujan21"} +{"d:Title": "Wikipedia: Pippin", "d:Description": "Includes synopsis, song list, and original Broadway and London casts.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pippin", "url": "http://en.wikipedia.org/wiki/Pippin_(musical)"} +{"d:Title": "StageAgent.com: Pippin", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and suggested age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pippin", "url": "http://stageagent.com/shows/musical/841/pippin"} +{"d:Title": "The Pirates of Penzance: Learning Guide", "d:Description": "Teachers guide to \"The Pirates of Penzance\" includes helpful background information and discussion questions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pirates_of_Penzance,_The", "url": "http://www.teachwithmovies.org/guides/pirates-of-penzance.html"} +{"d:Title": "The Pirates of Penzance", "d:Description": "Brief history of the musical by Arthur Sullivan and William S. Gilbert, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pirates_of_Penzance,_The", "url": "http://www.imagi-nation.com/moonstruck/albm66.html"} +{"d:Title": "The Pirates of Penzance", "d:Description": "A synopsis of the musical by Gilbert and Sullivan.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Pirates_of_Penzance,_The", "url": "http://www.theatrehistory.com/british/musical002.html"} +{"d:Title": "Moonstruck Drama Bookstore: The Producers", "d:Description": "Information on the Broadway musical by Mel Brooks, plus links to purchase cast albums, sheet music, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Producers,_The", "url": "http://www.imagi-nation.com/moonstruck/albm93.html"} +{"d:Title": "StageAgent.com: The Producers", "d:Description": "Plot synopsis and character descriptions for the Mel Brooks musical, including voice part, dancing difficulty, and suggested age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/P/Producers,_The", "url": "http://stageagent.com/shows/musical/1290/the-producers"} +{"d:Title": "Hayden, Michael", "d:Description": "Contains news, appearances, photographs, press clippings, and related links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.thinkinglightly.com/"} +{"d:Title": "Henshall, Ruthie", "d:Description": "Definitive web site for the West End and Broadway star, Ruthie Henshall. Extensive archive of lyrics and pictures, plus news, shop, trading area and fan community.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.ruthiehenshall.com/"} +{"d:Title": "Batt, Bryan", "d:Description": "Official website of Broadway veteran Bryan Batt.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.bryanbatt.com/"} +{"d:Title": "Piech, Jennifer", "d:Description": "The Broadway actress of Titanic, the musical, and After the Fair fame.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.angelfire.com/mo2/JenniferPiech/"} +{"d:Title": "Ambler, Jim", "d:Description": "Offers news, biography, photographs, articles and interviews, and a forum.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://jimambler.tripod.com/"} +{"d:Title": "Nussle, Karri", "d:Description": "A rising actress and singer. She also writes poetry, newsletters, and leads Angel workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://karrinussle.com/"} +{"d:Title": "Criswell, Kim", "d:Description": "Includes discography, biography, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.angelfire.com/ia/kimcriswell/"} +{"d:Title": "McLaren, Morag", "d:Description": "Includes biography, photographs, reviews, recordings, audio samples and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.moragmclaren.com/"} +{"d:Title": "Palmer, Sean", "d:Description": "Pictures, news, message board.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.angelfire.com/stars2/SeanPalmerPage/"} +{"d:Title": "Wayne, Carl", "d:Description": "Official dedication focuses on all aspects of his career, with a large discography and section on Blood Brothers.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.carlwayne.co.uk/"} +{"d:Title": "Cea, Kim", "d:Description": "Biography, news, photos, links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.kimcea.com/"} +{"d:Title": "Sandler, Tony", "d:Description": "Offers biography, news, reviews, performance history, and photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.tonysandler.com/"} +{"d:Title": "Nikolov, Bojidar", "d:Description": "This is oficial home page of tenor Bojidar Nikolov", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.bojidarnikolov.com/"} +{"d:Title": "Hughes, Annie", "d:Description": "Information on the cabaret artist, including upcoming performance dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.anniehughes.com/"} +{"d:Title": "Kaye, Ruth", "d:Description": "Profile, descriptions of the singer-actress's three one-woman shows, and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://ruthkaye.com/"} +{"d:Title": "Pohlhammer, Donald and Marilyn", "d:Description": "Information about the Illinois-based performers and their productions, including shows depicting the lives and loves of Ludwig van Beethoven and of Nannerl Mozart, sister of Wolfgang Mozart.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://musicanddrama.com/"} +{"d:Title": "Adedokun, Titilayo", "d:Description": "Official website of the Munich-based singer with opera, musicals, and jazz resumes, bios, audio clips; photos, schedule, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://titilayoadedokun.com/"} +{"d:Title": "Mills, Florence", "d:Description": "An overview of life and career of Florence Mills (nee Winfrey), the first female African-American international superstar of musical theatre, and an important figure of Harlem Renaissance. Graphical and music content.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.florencemills.com/"} +{"d:Title": "Jensen, Kimberly", "d:Description": "San Francisco-based actress. Resume (PDF), photographs, biography, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.kimberlyjensen.org/"} +{"d:Title": "Marino, Maggie", "d:Description": "Audio and video clips, resume, photos, and current performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.maggiemarino.com/"} +{"d:Title": "Strallen, Scarlett", "d:Description": "Fan site for the London-based performer includes news, photos, profile, fan reviews, and guestbook.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://scarlettstrallen.awardspace.co.uk/"} +{"d:Title": "Clow, James", "d:Description": "Includes career information, photos, appearance dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://jamesclow.njrod.com/"} +{"d:Title": "Harvey, Alasdair", "d:Description": "London West End actor, includes acting and commercial work.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.alasdairharvey.com/"} +{"d:Title": "Brazil, Nicola", "d:Description": "English actress whose credits include Sandy in the musical Grease at the Piccadilly Theatre, London.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Performers", "url": "http://www.nicolabrazil.com/"} +{"d:Title": "Revelations - The Musical", "d:Description": "Based on the Biblical book of Revelations. See the photos, hear the music, and read the reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R", "url": "http://angelfire.com/al/revelationsmusical"} +{"d:Title": "Reunion, A Musical Epic in Miniature", "d:Description": "REUNION weaves period music and the words of key Civil War figures into an intimate celebration of struggle, inspiration and freedom.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R", "url": "http://www.civilwarmusical.com/"} +{"d:Title": "Rasputin The Musical", "d:Description": "The story of Russia's last tsar and his family's relationship with its legendary advisor. Information on the three-volume studio recording, including performer biographies, photographs, and ordering.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R", "url": "http://www.rasputinthemusical.com/"} +{"d:Title": "Reefer Madness ...The Musical!", "d:Description": "A Hi-Camp Musical Comedy based on the 1937 scare film \"Reefer Madness\"", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R", "url": "http://www.reefermadness.org/"} +{"d:Title": "Respect - A Musical Journey of Women", "d:Description": "The journey of women, told through Top-40 music. Show history, photographs of touring productions, reviews, and merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R", "url": "http://www.respectamusicaljourney.com/"} +{"d:Title": "The Really BIG Pirate Show!", "d:Description": "A family-oriented musical adventure by Kellie Johnson and Michael Menger. Samples of music selections and scenes.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R", "url": "http://www.thereallybigpirateshow.com/"} +{"d:Title": "Ragtime @ Moonstruck Drama Bookstore", "d:Description": "Brief history of the musical by Stephen Flaherty, Lynn Ahrens and Terrence McNally, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ragtime", "url": "http://www.imagi-nation.com/moonstruck/albm53.html"} +{"d:Title": "The Broadway Musical Home: Ragtime", "d:Description": "Includes cast list of the Broadway production, synopsis, list of musical numbers, and links to sheet music and recordings.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ragtime", "url": "http://broadwaymusicalhome.com/shows/ragtime.htm"} +{"d:Title": "The Lot", "d:Description": "Information about the London production, Jonathan, links, constantly being updated!", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://members.tripod.com/Melissa_Umlor/rentcover.html"} +{"d:Title": "My Obsession", "d:Description": "Includes pictures of the cast and some sample lyrics.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/nj2/ama/rent.html"} +{"d:Title": "UKBohemian's Rent", "d:Description": "General information with some emphasis on the final London cast. Includes synopsis and surveys.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/musicals/ukbohemian/"} +{"d:Title": "Jean and Mary's RENT Page", "d:Description": "A diversion created by two highly bored highly obsessed people.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/oh/hiafter7months/"} +{"d:Title": "Rent References", "d:Description": "An attempt to explain some of the references in Rent's song \"La Vie Boheme.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.quuxuum.org/~pirmann/rent.html"} +{"d:Title": "Rent For Life", "d:Description": "Rent by the hard core fan.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/ny2/Rentforlife/index.html"} +{"d:Title": "Anna's Little Tribute to Rent", "d:Description": "Anna's site about her experiences seeing the show. Reviews, cast lists, pictures and many links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/de/myrentpage/"} +{"d:Title": "Long Live Bohemia!", "d:Description": "A fan site for the musical with a synopsis, lyrics, list of awards, and tour schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/ny4/carolemily/frames.html"} +{"d:Title": "RENT", "d:Description": "Brief history of the musical by Jonathan Larson, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.imagi-nation.com/moonstruck/albm43.html"} +{"d:Title": "The Rent Shack", "d:Description": "Features updated tour listing, photos from various shows, biographies of each character, audio samples, a quiz, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/ca3/wespage/"} +{"d:Title": "Tango:Maureen", "d:Description": "A site dedicated to the actresses who have played Maureen in companies from around the world. Includes pictures, information pages, and tour dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/musicals/tangomaureen/index.html"} +{"d:Title": "I Wanna Put On A Tight Skirt...", "d:Description": "Personal dedication includes pictures, polls, news and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/ny2/AntRappRules/openpage.html"} +{"d:Title": "Rent: A Great American musical", "d:Description": "Offers some picture and related links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/musicals/MattRent/RENT2.html"} +{"d:Title": "Everything is Rent", "d:Description": "Offers pictures, sounds, links, articles, and multiple librettos, as well as fun things such as chat, surveys, games, humor, and a quiz.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/in2/everythingisrent/"} +{"d:Title": "Rent", "d:Description": "Contains synopsis and character profiles.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://www.angelfire.com/musicals/allaboutclaire/rentpage.html"} +{"d:Title": "The Music Ignites The Night", "d:Description": "Includes sounds from the New York Theatre Workshop, Broadway Cast, Original Broadway Cast, Angel Tour, Benny Tour, Canadian Tour, London Cast.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent", "url": "http://members.tripod.com/~HearRent/"} +{"d:Title": "RENT: The Angel Touring Company", "d:Description": "The place to find information on the first national tour of Rent. Cast news, cast lists, links, and the Rentheads section.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Angel_Tour", "url": "http://www.angelfire.com/mn/angeltour/"} +{"d:Title": "Random RENT", "d:Description": "Over 120 sound clips from the current Broadway production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Broadway_Production", "url": "http://www.lifeaskew.com/randomrent/index.html"} +{"d:Title": "Musetta's Glory", "d:Description": "Well laid out site devoted to the Canadian cast of RENT, including pictures, sounds and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Canadian_Production", "url": "http://members.tripod.com/~musettas_glory/index.html"} +{"d:Title": "Danica's RENT page", "d:Description": "This page is a picture gallery of the different casts of RENT I have seen. Currently, the page contains pictures of the Canadian Cast of RENT and the London Cast of RENT including the four original Broadway cast member, Anthony Rapp, Adam Pascal, Wilson Jermaine Heredia and Jesse L. Martin.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Canadian_Production", "url": "http://members.tripod.com/Danica_33/index.html"} +{"d:Title": "Unofficial Jonathan Larson Site", "d:Description": "Information and pictures on the creator of Rent, Jonathan Larson, from birth to death.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Jonathan_Larson", "url": "http://swirsky.tripod.com/jonathan.html"} +{"d:Title": "A Tribute to Rent London", "d:Description": "Created at the closure of the production in London. Includes pages on various cast-members, crew, and rentheads. Photographs, biographies, sounds.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/London_Production", "url": "http://www.users.globalnet.co.uk/~selina/"} +{"d:Title": "Melissa's Rent Site", "d:Description": "Links and Rent in the West End!", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/London_Production", "url": "http://members.tripod.com/Melissa_Umlor/rentcover.html"} +{"d:Title": "The Music Ignites the Night", "d:Description": "This site contains the World Wide Web's largest collection of RENT sounds. The site is made of '.wav's and '.ra's of almost every RENT cast on the planet.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Multimedia", "url": "http://members.tripod.com/~HearRent/"} +{"d:Title": "Another Official Karen Olivo Web Page", "d:Description": "Pictures and sound files of Karen, reviews of her performances, and a time line.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/ab2/karen1/"} +{"d:Title": "A Tribute to Richard H. Blake", "d:Description": "A tribute site to the muli-talented actor and singer, Richard H. Blake. Best known for his Roger swing role in the Broadway production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/ny/richardblake/"} +{"d:Title": "A Splash of Sas", "d:Description": "A look at the Canadian cast's Mimi, Saskia Garel. As an actress, dancer and of course singer, she has many credits in her toolbelt. She is currently staring in NightMan.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/~saskicksass/"} +{"d:Title": "The First Official Karen Olivo Page", "d:Description": "Provides pictures of her with fans and from benefit shows, an interview, and a fan club mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/ms/karenolivo/"} +{"d:Title": "UNofficial Kristen Lee Kelly site", "d:Description": "The first Kristen Lee Kelly site, includes a message board, lots pictures and information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/~Swirsky/klk.html"} +{"d:Title": "Andy Senor Online", "d:Description": "A site dedicated to the actor Andy Senor. Includes pictures, and background information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/ny4/AndySenor/"} +{"d:Title": "Da Butz/Anthony Alley", "d:Description": "The best place for information on Norbert Leo Butz and Anthony Rapp. Both pages include sounds, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/NoDayBut2Day/index.html"} +{"d:Title": "An Angel of the First Degree", "d:Description": "Opell Ross fan site offering news archives, photos, lyrics, and interviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/rent_aus/opell.html"} +{"d:Title": "So You're A Creek Phreeek??", "d:Description": "A site about Luther Creek. Has a message board, mailing list, chatroom, pictures, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/de/creeek/"} +{"d:Title": "Joe McFadden", "d:Description": "Site dedicated to Joe McFadden, Mark of the London cast.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/Melissa_Umlor/rentjoe.html"} +{"d:Title": "Official Erica Munoz Webpage", "d:Description": "this is the official erica munoz webpage. erica has been a swing in both the broadway and angel casts of rent. she understudies mimi and maureen and has performed alexi, marks mom, mrs. jefferson, and mimi.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/~seminafodee/index.html"} +{"d:Title": "Owen Rocks!", "d:Description": "Unofficial page on actor Owen Johnston II with news and pictures.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/de/owenrocks/index.html"} +{"d:Title": "Dean Balkwill", "d:Description": "Has performed in the Canadian, Broadway and Angel casts. Includes pictures, sounds, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/deanbalkwill/"} +{"d:Title": "The First Official Norbert Leo Butz Fan Club and Webpage", "d:Description": "The First official fan club and webpage for Norbert Leo Butz. Made by Shannie and Sammy.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/ny3/NorbertLeoButz/index.html"} +{"d:Title": "Maggie Benjamin Website", "d:Description": "A site dedicated to Maggie Benjamin formerly of the Canadian and Benny tours of rent. Includes sounds, pictures, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://members.tripod.com/~mbwebsite/"} +{"d:Title": "The Official Darryl Ordell Website", "d:Description": "Official website dedicated to Darryl Ordell, an ensemble member of the Broadway show RENT. Explore his biography, pictures, news, and fan clubs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers", "url": "http://www.angelfire.com/ny4/SuprStar/frames.html"} +{"d:Title": "Tamara Podemski", "d:Description": "Information about the performer, now with a lead role on Broadway.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers/Tamara_Podemski", "url": "http://members.tripod.com/~tshell88/"} +{"d:Title": "Tamara Podemski: Over the Moon", "d:Description": "A growing site dedicated to the talented actress Tamara Podemski. Formerly of the Canadian touring RENT cast, currently in the Broadway RENT cast.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/Performers/Tamara_Podemski", "url": "http://www.angelfire.com/ny/tamarapodemski/"} +{"d:Title": "underground rent book: online", "d:Description": "The story of the New York RENT fans. If we don't tell the story, who will?", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/RentHeads", "url": "http://members.tripod.com/~urbonline/"} +{"d:Title": "You might be a Renthead if . . .", "d:Description": "signs that you're addicted to RENT", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rent/RentHeads", "url": "http://members.tripod.com/Melissa_Umlor/renthead.html"} +{"d:Title": "New Line Theatre: Return to the Forbidden Planet", "d:Description": "Features details about the show, tour dates, cast and gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Return_to_the_Forbidden_Planet", "url": "http://www.newlinetheatre.com/rttfppage.html"} +{"d:Title": "Return to the Forbidden Planet", "d:Description": "Fan site for the musical. Features production and cast information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Return_to_the_Forbidden_Planet", "url": "http://www.angelfire.com/pa2/forbiddenplanet/"} +{"d:Title": "Broadway.com: Ring of Fire", "d:Description": "Features synopsis and videos with cast members Beth Malone, Jarrod Emick, Cass Morgan and Lari White.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ring_of_Fire", "url": "http://www.broadway.com/gen/show.aspx?SI=521278"} +{"d:Title": "BroadwayWorld.co: Photo Coverage: Ring of Fire in Rehearsal", "d:Description": "Photo gallery features members of the cast in rehearsals for the Richard Maltby, Jr. musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ring_of_Fire", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6794"} +{"d:Title": "BroadwayWorld.com Photo Coverage: Ring of Fire Opening Night", "d:Description": "On March 12th, 2006 stars turned out for the opening night of Ring of Fire at the Ethel Barrymore Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ring_of_Fire", "url": "http://westend.broadwayworld.com/viewcolumn.cfm?colid=8190"} +{"d:Title": "New York Times Review: Ring of Fire", "d:Description": "This latest entry in the jukebox musical sweepstakes of Broadway has little to do with the dark, troubled and excitingly dangerous presence that most people remember as Johnny Cash.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ring_of_Fire", "url": "http://theater2.nytimes.com/2006/03/13/theater/reviews/13ring.html?adxnnl=1&8hpib=&adxnnlx=1142254887-RWqMTRzCduexcw3nGsTIXg"} +{"d:Title": "Riverdance", "d:Description": "The official web site for the Riverdance company. Information on all three touring company's with photos, biographies, tour dates, message board, cast and crew interviews, and family news.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Riverdance_the_Show", "url": "http://www.riverdance.com/"} +{"d:Title": "Official Bill Whelan Composer", "d:Description": "Details about the man who wrote the music for Riverdance.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Riverdance_the_Show", "url": "http://www.billwhelan.com/"} +{"d:Title": "Scenes From Riverdance", "d:Description": "Pictures and information on Riverdance, Scene by Scene.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Riverdance_the_Show", "url": "http://www.angelfire.com/oh/riverdance/riverdance.html"} +{"d:Title": "Official Morgan Crowley", "d:Description": "webpage for the former Riverdance singer, Morgan Crowley. Information for Morgan's current projects, and background information for the singer highlight this site.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Riverdance_the_Show", "url": "http://www.morgancrowley.com/"} +{"d:Title": "AACC's Rocky Horror Picture Show", "d:Description": "Maryland cast of Rocky Horror Picture Show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rocky_Horror_Show,_The", "url": "http://www.angelfire.com/scifi/RockyHorror/index.html"} +{"d:Title": "Lucy's Rocky Horror Show Pictures", "d:Description": "Images of the show and the participants from a fan who follows the show all around UK and Europe.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rocky_Horror_Show,_The", "url": "http://www.angelfire.com/il2/lucyrocky/"} +{"d:Title": "Official Rocky Horror Show Company", "d:Description": "News, European tour dates and cast details. Offers gallery of images, press releases, merchandise and the audience participation guide.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Rocky_Horror_Show,_The", "url": "http://www.rockyhorror.co.uk/"} +{"d:Title": "Ruddigore, or The Witch's Curse", "d:Description": "Plot, history, first night reviews and photos of the original 1887 cast.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ruddigore", "url": "http://homepages.ihug.co.nz/~melbear/ruddigore.htm"} +{"d:Title": "Ruddigore", "d:Description": "Synopsis of the Gilbert and Sullivan operetta, plus links to purchase cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/R/Ruddigore", "url": "http://www.theatrehistory.com/british/musical004.html"} +{"d:Title": "American Musical Theatre: An Introduction", "d:Description": "Overview of the development of American musical theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.theatrehistory.com/american/musical030.html"} +{"d:Title": "Infography about American Musical Theater", "d:Description": "Bibliography recommended by a professor who specializes in the study of American musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.infography.com/content/438627639524.html"} +{"d:Title": "Chantelle Music", "d:Description": "Representation for several musicals including Wild Wild Women, Lady or the Tiger, and Love Match.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.chantellemusic.co.uk/"} +{"d:Title": "Musicals Australia", "d:Description": "The home of Australian Musical Theatre. Includes \"Henry Sachwald's Theatretrack\". The longest running musical theatre radio show in the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.musicalsaustralia.com/"} +{"d:Title": "Music Theater International", "d:Description": "Official Site of the licensor for musicals and plays. License a show online, see what's new, and take a virtual tour.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.mtishows.com/"} +{"d:Title": "The Musicals Collection", "d:Description": "Includes behind the scenes information, synopsis, MIDI files, song lists, links, and pictures for various musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.angelfire.com/ms/shows/"} +{"d:Title": "Musicals Dot Net", "d:Description": "Lyrics, song list, synopsys, and other various information about musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.musicals.net/"} +{"d:Title": "Kjartan Poskitt's Stageshows", "d:Description": "Details of shows ideal for amateur or semi-pro casts, including the rock and roll historical \"Henry The Tudor Dude\".", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.kjartan.co.uk/showbiz/"} +{"d:Title": "Stage Door Connections", "d:Description": "A resource site for and by performers. Includes dance auditions and singing auditions, vocal exercise, and performer resumes builder.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Resources", "url": "http://www.stagedoorconnections.com/"} +{"d:Title": "Sesame Street Live", "d:Description": "Find out about tour dates, characters, biographical and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S", "url": "http://www.sesamestreetlive.com/"} +{"d:Title": "Songs for a New World", "d:Description": "Pictures, sounds, cast and crew lists, album and production information on this revue by Jason Robert Brown.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S", "url": "http://members.tripod.com/OldRedHills/songs.html"} +{"d:Title": "StarrPlay", "d:Description": "The official multi-media website for Eric Zaccar's bright new social satire for the stage.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S", "url": "http://www.starrplay.com/"} +{"d:Title": "Suds", "d:Description": "Official site of the rocking 60's musical soap opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S", "url": "http://www.sudsthemusical.com/"} +{"d:Title": "The Sorceress", "d:Description": "The Sorceress, book and lyrics by Lorraine Swanson, world premiere July 7 - 16, 2000, Fringe of Toronto Festival.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S", "url": "http://whybuythecow.tripod.com/"} +{"d:Title": "Stephen Foster the Musical", "d:Description": "Located in at My Old Kentucky Home, in Historic Bardstown, Kentucky.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S", "url": "http://www.stephenfoster.com/"} +{"d:Title": "Spirit - The Seventh Fire", "d:Description": "Find out about this music, dance and percussion spectacle that combines the power of contemporary music with the songs, chants and dances of Native American culture.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S", "url": "http://www.spirit7thfire.com/"} +{"d:Title": "Official Orfeh Site", "d:Description": "Currently starring in Saturday Night Fever on Broadway as Annette.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Saturday_Night_Fever/Performers", "url": "http://www.orfeh.com/"} +{"d:Title": "The Scarlet Pimpernel", "d:Description": "The official site for the new Broadway Musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Scarlet_Pimpernel,_The", "url": "http://www.thepimpernel.com/"} +{"d:Title": "Esther's SP Site", "d:Description": "About The Scarlet Pimpernel. Mostly the musical but has information on the books and movies. There are pictures, information, sound clips, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Scarlet_Pimpernel,_The", "url": "http://scarletpimpernel.8m.com/"} +{"d:Title": "The Scarlet Pimpernel", "d:Description": "History and synopsis of the Broadway musical, plus links to cast albums, sheet music, and official show merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Scarlet_Pimpernel,_The", "url": "http://www.imagi-nation.com/moonstruck/albm78.html"} +{"d:Title": "Storybook - The Official Christine Andreas Web Site", "d:Description": "Dedicated to the veteran and award-winning Broadway musical theatre performer:' Marguerite' in The Scarlet Pimpernel. Other Broadway revivals: My Fair Lady,Oklahoma!, On Your Toes. Debut recording: 'Love is Good'.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Scarlet_Pimpernel,_The/Performers", "url": "http://www.christineandreas.com/"} +{"d:Title": "The Violet Guild", "d:Description": "This is a roleplaying/fan fiction game based on The Scarlet Pimpernel by Baroness Emmuska Orczy. To participate in this, you must have read the book, seen one of the movies or seen the Broadway show. Done any or all of these? Then read on!", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Scarlet_Pimpernel,_The/Role_Playing", "url": "http://violetguild.tripod.com/"} +{"d:Title": "Theatre Mirror Reviews: 'The Screams of Kitty Genovese'", "d:Description": "Cast and crew listing and review of the 2001 production by the Boston Conservatory. Also includes the reviewer's thoughts on social apathy and those who work to combat it.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Screams_of_Kitty_Genovese,_The", "url": "http://www.theatermirror.com/tsokgjc.htm"} +{"d:Title": "The Screams of Kitty Genovese", "d:Description": "From the publisher of composer Will Todd. Production history, detailed synopsis with song titles, and reprinted review from The New Republic.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Screams_of_Kitty_Genovese,_The", "url": "http://www.willtodd.com/workdetail.php?workID=25"} +{"d:Title": "Moonstruck Drama Bookstore: The Secret Garden", "d:Description": "Brief history and synopsis of the musical by Lucy Simon and Marsha Norman, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Secret_Garden,_The", "url": "http://www.imagi-nation.com/moonstruck/albm70.html"} +{"d:Title": "Wikipedia: The Secret Garden", "d:Description": "Includes production history, synopsis, song lists, and cast lists for original productions around the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Secret_Garden,_The", "url": "http://en.wikipedia.org/wiki/The_Secret_Garden_(musical)"} +{"d:Title": "StageAgent.com: The Secret Garden", "d:Description": "Plot synopsis and character descriptions for the musical by Marsha Norman and Lucy Simon, including voice part, dancing difficulty, and character summaries.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Secret_Garden,_The", "url": "http://stageagent.com/shows/musical/1435/the-secret-garden"} +{"d:Title": "Moonstruck Drama Bookstore: Seussical the Musical", "d:Description": "Synopsis of the Broadway musical, plus links to purchase cast albums, tickets, and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Seussical", "url": "http://www.imagi-nation.com/moonstruck/albm87.html"} +{"d:Title": "Can't Help Lovin' That Man", "d:Description": "Lyrics to 'Can't Help Lovin' That Man' from 'Show Boat.'", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Show_Boat", "url": "http://www.heptune.com/canthelp.html"} +{"d:Title": "Moonstruck Drama Bookstore: Show Boat", "d:Description": "Brief history of the musical by Jerome Kern and Oscar Hammerstein II, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Show_Boat", "url": "http://www.imagi-nation.com/moonstruck/albm32.html"} +{"d:Title": "TheatreHistory.com: Show Boat", "d:Description": "A synopsis, history and analysis of the groundbreaking musical by Jerome Kern and Oscar Hammerstein II.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Show_Boat", "url": "http://www.theatrehistory.com/american/musical005.html"} +{"d:Title": "Wikipedia: Side Show", "d:Description": "Article includes awards history, original cast, and song list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Side_Show", "url": "http://en.wikipedia.org/wiki/Side_Show"} +{"d:Title": "Side Show @ The Broadway Musical Home", "d:Description": "Cast list for original Broadway production, synopsis, and list of songs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Side_Show", "url": "http://broadwaymusicalhome.com/shows/sideshow.htm"} +{"d:Title": "Moonstruck Drama Bookstore: The Sound of Music", "d:Description": "Brief history of the musical by Richard Rodgers and Oscar Hammerstein II, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sound_of_Music,_The", "url": "http://www.imagi-nation.com/moonstruck/albm30.html"} +{"d:Title": "TheatreHistory.com: The Sound of Music", "d:Description": "A synopsis of the musical by Richard Rodgers and Oscar Hammerstein II.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sound_of_Music,_The", "url": "http://www.theatrehistory.com/american/musical010.html"} +{"d:Title": "The von Trapp Children", "d:Description": "Great grand children of the original von Trapp family perform music and dance to carry on the tradition. Includes concert and tour dates and MP3s.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sound_of_Music,_The", "url": "http://www.vontrappchildren.com/"} +{"d:Title": "StageAgent.com: The Sound of Music", "d:Description": "Plot synopsis and character descriptions for the musical by Oscar Hammerstein and Richard Rodgers, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sound_of_Music,_The", "url": "http://stageagent.com/shows/musical/579/the-sound-of-music"} +{"d:Title": "South Pacific", "d:Description": "Brief history of the musical by Richard Rodgers and Oscar Hammerstein II, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/South_Pacific", "url": "http://www.imagi-nation.com/moonstruck/albm46.html"} +{"d:Title": "TheatreHistory.com: South Pacific", "d:Description": "A synopsis and brief history of the musical by Richard Rodgers and Oscar Hammerstein II.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/South_Pacific", "url": "http://www.theatrehistory.com/american/musical012.html"} +{"d:Title": "StageAgent.com: South Pacific", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/South_Pacific", "url": "http://stageagent.com/shows/musical/898/south-pacific"} +{"d:Title": "Wikipedia: Spamalot", "d:Description": "Article includes detailed synopsis, production history, and awards.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Spamalot", "url": "http://en.wikipedia.org/wiki/Spamalot"} +{"d:Title": "The Broadway Musicals: Spamalot", "d:Description": "Complete song lyrics and cast for the 2005 Monty Python show.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Spamalot", "url": "http://www.thebroadwaymusicals.com/s/spamalot.htm"} +{"d:Title": "TheBroadwayMusicals.com: Spring Awakening", "d:Description": "Site includes lyrics and plot summary.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Spring_Awakening", "url": "http://www.thebroadwaymusicals.com/s/springawakening.htm"} +{"d:Title": "Greaseball's Starlight", "d:Description": "Song and character lists, synopsis, and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Starlight_Express", "url": "http://www.angelfire.com/mi2/Greaseball/"} +{"d:Title": "CB's Wall Next To The Tracks", "d:Description": "CB the Red Caboose and his friends Flat Top and Dustin try to survive life as misunderstood freight cars. Continuing adventures, fan art, CB sound wavs, and Caboose Therapy.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Starlight_Express", "url": "http://www.angelfire.com/musicals/redcaboose/"} +{"d:Title": "Moonstruck Drama Bookstore: Starlight Express", "d:Description": "Brief history of the musical, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Starlight_Express", "url": "http://www.imagi-nation.com/moonstruck/albm52.html"} +{"d:Title": "The Stage Door", "d:Description": "Literally hundreds of photos of the London cast over the last few years, some at the stage door, some in costume.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Starlight_Express", "url": "http://www.angelfire.com/musicals/stagedoor/"} +{"d:Title": "The Really Useful Group: Starlight Express", "d:Description": "Production histories, music, downloads,information on booking tickets and photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Starlight_Express", "url": "http://www.reallyuseful.com/rug/shows/starlight/"} +{"d:Title": "Belle's Domain", "d:Description": "Photos of many productions of the show, as well as some of Cats and other musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Starlight_Express", "url": "http://www.bellesdomain.co.uk/"} +{"d:Title": "StageAgent.com: Starlight Express", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and suggested age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Starlight_Express", "url": "http://stageagent.com/shows/musical/2411/starlight-express"} +{"d:Title": "The Student Prince", "d:Description": "A synopsis of the operetta by Sigmund Romberg and Dorothy Donnelly, plus links to purchase cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Student_Prince,_The", "url": "http://www.theatrehistory.com/american/musical024.html"} +{"d:Title": "Moonstruck Drama Bookstore: Sunday in the Park With George", "d:Description": "Brief history and synopsis of the musical by Stephen Sondheim and James Lapine, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sunday_in_the_Park_With_George", "url": "http://www.imagi-nation.com/moonstruck/albm75.html"} +{"d:Title": "Sondheim.com: Sunday in the Park with George", "d:Description": "Information on the original Broadway production, including cast lists and production staff.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sunday_in_the_Park_With_George", "url": "http://www.sondheim.com/shows/sunday_in_the_park_with_george/"} +{"d:Title": "StageAgent.com: Sunday in the Park with George", "d:Description": "Brief synopsis and character listing with age ranges.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sunday_in_the_Park_With_George", "url": "http://stageagent.com/shows/musical/1249/sunday-in-the-park-with-george"} +{"d:Title": "Sunset Boulevard", "d:Description": "Brief history of the musical by Andrew Lloyd Webber, Don Black and Christopher Hampton, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sunset_Boulevard", "url": "http://www.imagi-nation.com/moonstruck/albm31.html"} +{"d:Title": "The Sunset Boulevard. Photo Album", "d:Description": "A site offering photographs of various Sunset Boulevard productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sunset_Boulevard", "url": "http://www.sunsetblvd.freeservers.com/"} +{"d:Title": "Moonstruck Drama Bookstore: Sweeney Todd", "d:Description": "Brief history of the musical by Stephen Sondheim and Hugh Wheeler, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sweeney_Todd", "url": "http://www.imagi-nation.com/moonstruck/albm58.html"} +{"d:Title": "Sondheim.com: Sweeney Todd", "d:Description": "Production information, cast lists, musical numbers, and cut songs from the major productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sweeney_Todd", "url": "http://www.sondheim.com/shows/sweeney_todd/"} +{"d:Title": "Sweet Charity", "d:Description": "A brief history and synopsis of the musical by Neil Simon, Dorothy Fields, and Cy Coleman, plus links to purchase cast albums, sheet music, and posters.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sweet_Charity", "url": "http://www.imagi-nation.com/moonstruck/albm96.html"} +{"d:Title": "Sweet Charity", "d:Description": "A detailed synopsis of the Broadway musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Sweet_Charity", "url": "http://www.theatrehistory.com/american/sweet_charity_001.html"} +{"d:Title": "The Unofficial Swing! Website", "d:Description": "News and events, cast information, song list, photos, articles, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/S/Swing", "url": "http://www.broadwayswing.50megs.com/"} +{"d:Title": "Romeo Loves Juliet", "d:Description": "Two act comedy which requires one simple set, easy costumes, eight females, and four males, with plenty of bad puns, mayhem, corny jokes, poisonings, lovely songs, silly feuds and a happy ending.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Scripts", "url": "http://romeolovesjuliet.com/"} +{"d:Title": "Kill the Messenger", "d:Description": "Musical about what would happen if all Shakespeare's messengers worked out of a central location and interacted with each other.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Scripts", "url": "http://paulkruger38.tripod.com/"} +{"d:Title": "Select Entertainment Productions", "d:Description": "Musical revues by Rick Lewis and Shirley Haviland Pohl. Show descriptions, licensing information, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Scripts", "url": "http://select-shows.com/"} +{"d:Title": "School Musicals", "d:Description": "Musical productions designed for teachers and students. Information on musical production kits as well as shorter skits available for download.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Scripts", "url": "http://www.schoolmusicals.com.au/"} +{"d:Title": "School Musicals No Dramas", "d:Description": "Musicals for large casts in schools and theatre groups. Scripts free to download.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Scripts", "url": "http://www.visageentertainment.com/"} +{"d:Title": "That's What Kids Do!", "d:Description": "Family musical. Includes lyrics, photographs, upcoming appearances, and audio samples.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T", "url": "http://www.thatswhatkidsdo.com/"} +{"d:Title": "Treasure Island", "d:Description": "Written for large casts. Includes lyrics, music samples, ordering information, and photographs of past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T", "url": "http://www.visageentertainment.com/treasure.htm"} +{"d:Title": "That's Life", "d:Description": "Review including cast and crew information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T", "url": "http://thatslifethemusical.tripod.com/"} +{"d:Title": "Treasure Island", "d:Description": "Stage musical for schools and amateur groups. Site contains reviews, lyrics, cast information, audio clips and synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T", "url": "http://www.kingsburycreations.com/"} +{"d:Title": "[title of show]", "d:Description": "Official site of the musical about two friends who write a musical. Site includes blog, cast profiles, news and reviews, video, and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T", "url": "http://www.titleofshow.com/"} +{"d:Title": "Wikipedia: Taboo", "d:Description": "Production history, cast, and list of songs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T/Taboo", "url": "http://en.wikipedia.org/wiki/Taboo_(musical)"} +{"d:Title": "Townshend's Tommy returns to Boston with fine staging", "d:Description": "Review of Boston engagement of the Musical. The Tech is MIT's newspaper.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T/Tommy", "url": "http://tech.mit.edu/V114/N56/tommy.56a.html"} +{"d:Title": "StageAgent.com: The Who's Tommy", "d:Description": "Plot synopsis and character description for the musical, including voice part, dancing difficulty, and summaries for each character.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/T/Tommy", "url": "http://stageagent.com/shows/musical/2392/the-whos-tommy"} +{"d:Title": "The Ugly Duckling", "d:Description": "New musical adaptation of beloved fable traces the journey of a poor baby swan, born in a duck yard, as he struggles to discover where he belongs.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/U", "url": "http://literallyalive.com/shows/uglyduck.html"} +{"d:Title": "Moonstruck Drama Bookstore: The Unsinkable Molly Brown", "d:Description": "A synopsis of the Broadway musical, plus links to purchase cast albums, and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/U/Unsinkable_Molly_Brown,_The", "url": "http://www.imagi-nation.com/moonstruck/albm91.html"} +{"d:Title": "StageAgent.com: The Unsinkable Molly Brown", "d:Description": "Plot synopsis and character descriptions for the musical, including voice part, dancing difficulty, and suggested age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/U/Unsinkable_Molly_Brown,_The", "url": "http://stageagent.com/shows/musical/1792/the-unsinkable-molly-brown"} +{"d:Title": "Urinetown, a CurtainUp Review", "d:Description": "Includes Off-Broadway and Broadway reviews of this musical comedy that began in 1999. Includes casts, musical numbers and show dates.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/U/Urinetown", "url": "http://www.curtainup.com/urinetown.html"} +{"d:Title": "Valentino The Musical", "d:Description": "Includes reviews, show history, photographs, fan club, and CD information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/V", "url": "http://www.valentinothemusical.com/"} +{"d:Title": "White Christmas: The Musical", "d:Description": "The official website for Irving Berlin's White Christmas: The Musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W", "url": "http://www.whitechristmasthemusical.com/"} +{"d:Title": "Witch Haunt", "d:Description": "Official site includes synopsis, song samples, character profiles, and information on its creatiion.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W", "url": "http://witchhaunt.worldbreak.com/witch.html"} +{"d:Title": "Whiskey in the Jar", "d:Description": "A Celtic rock musical story of love, humour, betrayal and rock 'n roll.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W", "url": "http://www.whiskeyinthejarthemusical.com/"} +{"d:Title": "West Side Story (1961)", "d:Description": "Review of the 1961 film adaptation of the classic Broadway musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://www.filmsite.org/wests.html"} +{"d:Title": "The Official West Side Story Web Site", "d:Description": "The official web site for fans of the musical, West Side Story.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://www.westsidestory.com/"} +{"d:Title": "West Side Story", "d:Description": "Brief history of the Broadway musical by Leonard Bernstein, Stephen Sondheim and Arthur Laurents, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://www.imagi-nation.com/moonstruck/albm28.html"} +{"d:Title": "West Side Story Study Guide", "d:Description": "Study Guide for West Side Story.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://www.wsu.edu/~brians/love-in-the-arts/west.html"} +{"d:Title": "TheatreHistory.com: West Side Story", "d:Description": "A synopsis and review of the musical by Leonard Bernstein and Stephen Sondheim.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://www.theatrehistory.com/american/musical001.html"} +{"d:Title": "Stephen Sondheim Stage: West Side Story", "d:Description": "Information on the original Broadway production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://www.sondheim.com/shows/west_side_story/"} +{"d:Title": "All Musicals - West Side Story", "d:Description": "Song lyrics and review of the musical West Side Story.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://www.allmusicals.com/w/westsidestory.htm"} +{"d:Title": "StageAgent.com: West Side Story", "d:Description": "Synopsis and character descriptions for musical by Leonard Bernstein and Stephen Sondheim, including voice part and dancing difficulty. Also including links to auditions and current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/West_Side_Story", "url": "http://stageagent.com/shows/musical/993/west-side-story"} +{"d:Title": "Unofficial We Will Rock You London Site", "d:Description": "Contains full show script, cast profiles and pictures, and links to other fan sites.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/We_Will_Rock_You", "url": "http://www.wwry-london.co.uk/"} +{"d:Title": "Answers.com: Whistle Down the Wind", "d:Description": "Hyperlinked synopsis of the musical with full song list.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Whistle_Down_the_Wind", "url": "http://www.answers.com/topic/whistle-down-the-wind"} +{"d:Title": "Whistle Down The Wind '96", "d:Description": "Offers background information for the original 1996 stage production.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Whistle_Down_the_Wind", "url": "http://www.jimsteinman.com/wdfact.htm"} +{"d:Title": "Wicked - A Broadway Musical", "d:Description": "Official site of the Broadway musical with ticket information, photos, sounds, and official merchandise.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.wickedthemusical.com/"} +{"d:Title": "Wicked The Musical", "d:Description": "Wicked overview from the composer / lyricist, Stephen Schwartz.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.musicalschwartz.com/wicked.htm"} +{"d:Title": "All Musicals - Wicked", "d:Description": "Song lyrics and review of the Broadway musical Wicked.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.allmusicals.com/w/wicked.htm"} +{"d:Title": "Wikipedia: Wicked", "d:Description": "Encyclopedia entry with synopsis and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://en.wikipedia.org/wiki/Wicked_(musical)"} +{"d:Title": "Wicked Message Board", "d:Description": "A place for fans to share thoughts and opinions of the show and its actors.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.network54.com/Hide/Forum/275938"} +{"d:Title": "StageAgent.com: Wicked", "d:Description": "Plot summary and character descriptions for the musical, including voice part, dancing requirements, and age range.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://stageagent.com/shows/musical/1289/wicked"} +{"d:Title": "Playbill News: Joey McIntyre Confirmed as New Fiyero in Wicked", "d:Description": "Wicked will mark the Broadway debut for former pop star Joey McIntyre, who will assume the role of Fiyero on July 20, the same day Jennifer Laura Thompson is set to get witchy in the hit musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.playbill.com/news/article/joey-mcintyre-confirmed-as-new-fiyero-in-wicked-120335"} +{"d:Title": "Playbill News: Variety Spotlights Schwartz's Wicked", "d:Description": "The April 26-May 2 issue of Variety spotlights the hit Stephen Schwartz musical Wicked with a \"Profile in Excellence\" section.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.playbill.com/news/article/variety-spotlights-schwartzs-wicked-119371"} +{"d:Title": "Playbill News: Kristin Chenoweth to Leave Wicked in July", "d:Description": "Tony Award winner Kristin Chenoweth, who stars as Glinda in the hit new musical Wicked, will leave that role this summer.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.playbill.com/news/article/kristin-chenoweth-to-leave-wicked-in-july-119092"} +{"d:Title": "Playbill News: Wicked to Celebrate 1,000th Performance on Broadway", "d:Description": "Wicked, the Stephen Schwartz musical that opened at Broadway's Gershwin Theatre October, 30, 2003, will celebrate its 1,000th performance there March 23, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.playbill.com/news/article/wicked-to-celebrate-1000th-performance-on-broadway-march-23-131418"} +{"d:Title": "Playbill News: Wicked National Tour to Launch Spring 2005", "d:Description": "Wicked, the hit Stephen Schwartz musical at the Gershwin Theatre, will launch its North American tour in Toronto in spring 2005.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.playbill.com/news/article/wicked-national-tour-to-launch-spring-2005-118555"} +{"d:Title": "Stephen Schwartz Takes Home Grammy for Wicked Cast Recording", "d:Description": "Broadway.com article on Stephen Schwartz winning a Grammy for the Wicked cast recording.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.broadway.com/buzz/94143/stephen-schwartz-takes-home-grammy-for-wicked-cast-recording/"} +{"d:Title": "Playbill News: Shoshana Bean to Replace Idina Menzel in Wicked Jan. 11", "d:Description": "Shoshana Bean, currently Idina Menzel's standby in the hit musical Wicked, will replace Menzel when the Tony-winning actress leaves the musical Jan. 9, 2005. Bean's first performance as Elphaba is scheduled for Jan. 11.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.playbill.com/news/article/shoshana-bean-to-replace-idina-menzel-in-wicked-jan.-11-123067"} +{"d:Title": "Wicked Lyrics", "d:Description": "Provides lyrics to songs from the musical Wicked.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.musicalsandlyrics.com/wicked.html"} +{"d:Title": "Broadway.com: Wicked", "d:Description": "Synopsis of the musical along with cast details, news, and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://www.broadway.com/shows/wicked/"} +{"d:Title": "Verdigris: A Wicked Fanboard", "d:Description": "Wicked fan site.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wicked", "url": "http://verdigris.proboards.com/"} +{"d:Title": "The Wiz", "d:Description": "Brief history of the musical by Charlie Smalls and William F. Brown, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wiz,_The", "url": "http://www.imagi-nation.com/moonstruck/albm59.html"} +{"d:Title": "Musicals.Net - The Wiz", "d:Description": "Includes a forum devoted to the musical by Charlie Smalls and William F. Brown, plus a songlist&synopsis.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wiz,_The", "url": "http://www.musicals.net/cgi-bin/musicals?sn=720&show=The+Wiz"} +{"d:Title": "TheatreHistory.com: Wizard of Oz", "d:Description": "A synopsis of the classic stage musical by L. Frank Baum, A. Baldwin Sloane and Paul Tiejens.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wizard_of_Oz,_The", "url": "http://www.theatrehistory.com/american/musical016.html"} +{"d:Title": "The Wizard of Oz", "d:Description": "A brief history of the classic stage musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wizard_of_Oz,_The", "url": "http://www.imagi-nation.com/moonstruck/albm6.htm"} +{"d:Title": "The Wizard of Oz: An American Fairy Tale", "d:Description": "A brief history of 'The Wizard of Oz' from the original 1902 stage version to the 1939 Metro-Goldwyn-Mayer film.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wizard_of_Oz,_The", "url": "http://www.loc.gov/exhibits/oz/ozsect2.html"} +{"d:Title": "The Wizard of Aus: Our Totos", "d:Description": "Profiles, press releases, and pictures of the cast from an Australian production. Also includes information on the two terriers that played Toto on stage.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wizard_of_Oz,_The", "url": "http://topdogs1.tripod.com/TOTOS/totosfiles/totohome.htm"} +{"d:Title": "Bibliomania: Free Online Literature and Study Guides", "d:Description": "E-text and plot summary for \"The Woman in White\" by Wilkie Collins.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Woman_In_White,_The/Articles_and_Interviews", "url": "http://www.bibliomania.com/0/0/12/21/frameset.html"} +{"d:Title": "BroadwayWorld.com: The Woman in White: Broadway's First Zoetrope Musical", "d:Description": "Andrew Lloyd Webber's new musical is seen through the workings of a Victorian moving picture viewer.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Woman_In_White,_The/Articles_and_Interviews", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6541"} +{"d:Title": "BBC News: Woman in White to End on Broadway", "d:Description": "Andrew Lloyd-Webber's The Woman in White is to close on Broadway after a run of only three months. The London show, adapted from the Wilkie Collins novel, will begin a year-long national UK tour.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Woman_In_White,_The/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/4686910.stm"} +{"d:Title": "Wikipedia: Wonderful Town", "d:Description": "Encyclopedia entry with synopsis and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wonderful_Town", "url": "http://en.wikipedia.org/wiki/Wonderful_Town"} +{"d:Title": "All Musicals - Wonderful Town", "d:Description": "Song lyrics, synopsis, cast and review of Broadway musical Wonderful Town.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wonderful_Town", "url": "http://www.allmusicals.com/w/wonderfultown.htm"} +{"d:Title": "Broadway.com: Wonderful Town", "d:Description": "Synopsis of the musical along with cast information, news, and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/W/Wonderful_Town", "url": "http://www.broadway.com/gen/show.aspx?SI=31346"} +{"d:Title": "Happiness is... Another You're a Good Man Charlie Brown Site", "d:Description": "Pictures and cast list from a fan of the musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Y/You're_A_Good_Man,_Charlie_Brown", "url": "http://www.angelfire.com/de/yagmcb/"} +{"d:Title": "Melissa's Little Tribute to You're A Good Man Charlie Brown", "d:Description": "Fan site.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Y/You're_A_Good_Man,_Charlie_Brown", "url": "http://members.tripod.com/mel_yagmcb/cb1.html"} +{"d:Title": "You're a Good Man, Charlie Brown", "d:Description": "Brief history of the musical by Clark Gesner, plus links to cast albums and sheet music.", "topic": "Top/Arts/Performing_Arts/Theatre/Musicals/Y/You're_A_Good_Man,_Charlie_Brown", "url": "http://www.imagi-nation.com/moonstruck/albm73.html"} +{"d:Title": "Playbill On-Line", "d:Description": "A magazine for theatre buffs.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.playbill.com/"} +{"d:Title": "Theatre Reviews", "d:Description": "Reviews of Theatre performances in North West England by Brenda Kean.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.theatre-reviews.co.uk/"} +{"d:Title": "Play Review, The", "d:Description": "A site devoted to promote theater in Southern California. Features include current listings, reviews, and spotlights on actors and coming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.reviewplays.com/"} +{"d:Title": "Concert Reviews", "d:Description": "Reviews of Concert performances in North West England by Brenda Kean.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.concert-reviews.co.uk/"} +{"d:Title": "Readio on Broadway", "d:Description": "Reviews and listings of New York City's Broadway Theater. Daily photos, entertainment, culture, travel, and tourism.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.readio.com/broadway/broadway1.html"} +{"d:Title": "CurtainUp", "d:Description": "Internet magazine containing theater reviews and features.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.curtainup.com/"} +{"d:Title": "Theater Mania", "d:Description": "Tickets, news, reviews, children's theater, and the best of Broadway and Off Broadway shows.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.theatermania.com/"} +{"d:Title": "Footlight Notes", "d:Description": "An electronic magazine about the history of popular entertainment in the theatre from the 1850s to the 1920s.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://members.tripod.com/FootlightNotes/index.html"} +{"d:Title": "Aisle Say", "d:Description": "Internet magazine of stage reviews and opinion.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.aislesay.com/"} +{"d:Title": "Broadway.com", "d:Description": "Features theater listings and showtimes for over 1900 separate venues.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.broadway.com/"} +{"d:Title": "1501 Broadway", "d:Description": "Monthly e-zine of theatre news, opinion, humor, rumor and trivia.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://1501broadway.com/"} +{"d:Title": "ArtSavant", "d:Description": "An eclectic arts site devoted to writers, thinkers, performers, and their impressions, and expressions of things artistic.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://artsavant.com/"} +{"d:Title": "TheatreNet.com", "d:Description": "United Kingdom theatre site with news, information, and special offers.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.theatrenet.co.uk/"} +{"d:Title": "BroadwayStars.com", "d:Description": "Daily digest and commentary about what is going on in the world of theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.broadwaystars.com/"} +{"d:Title": "Talkin' Broadway", "d:Description": "News, interactive discussion, and information about Broadway, and the current theatre scene in Los Angeles, Boston, Chicago, Las Vegas and other cities across the United States.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.talkinbroadway.com/"} +{"d:Title": "Stage Directions Magazine", "d:Description": "Serves the strategic, practical and technical information needs of small theaters. Resources includes those for customing, training, renovations, and lighting. Subscription information, back issues, and message boards are featured.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.stage-directions.com/"} +{"d:Title": "Theatre Guide London", "d:Description": "Reviews of London and other British theatre by professional critics, with no compromising ties to ticket brokers or producers. Includes category listings, archives, and a ticket guide.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.theatreguidelondon.co.uk/"} +{"d:Title": "Theatre People", "d:Description": "All the latest news, reviews and gossip on Theatre in Australia. Post comments in the gossip forum or check out feature articles.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.theatrepeople.com.au/"} +{"d:Title": "Backstage West", "d:Description": "Online site for the Backstage West/Dramalogue publication. Casting notices and actor resume and photos. Resources and articles.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.backstage.com/"} +{"d:Title": "London Theatre Guide", "d:Description": "Latest news, reviews, and listings from London's Theatreland. Including Seating plans, maps, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.londontheatre.co.uk/"} +{"d:Title": "David Mamet Review, The", "d:Description": "The journal of the David Mamet Society, publishing reviews of Mamet performances, books, and films.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://mamet.eserver.org/"} +{"d:Title": "Toronto Theatre Previews and Reviews", "d:Description": "Theatre previews and reviews covering Toronto, Stratford, and Shaw Festivals, along with special coverage on theatre related events.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.scenechanges.com/"} +{"d:Title": "On The Boards and In The Wings", "d:Description": "Janine Fawcett's column on legitimate theatre and the performing arts on Broadway, London's West End, and around the world.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.ontheboards.com/"} +{"d:Title": "TheaterPro", "d:Description": "Regularly updated news, articles, and reviews of the theater scene in London and New York.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.theaterpro.com/"} +{"d:Title": "Stage Photo", "d:Description": "London theatre photographs and listings. All productions will remain indefinitely on site to build into an illustrated historical document of London theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.stagephoto.co.uk/"} +{"d:Title": "ColoradoDrama.com", "d:Description": "Devoted to Denver metro area theatre reviews, performing arts reviews, Colorado theatre festivals, and theatrical criticism. View links to other theatre and performing arts sites in the area.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://coloradodrama.com/"} +{"d:Title": "TorontoStage.com", "d:Description": "Online magazine celebrating theatrical culture with reviews, interviews and news. Features a kid's corner, events calendar, and archives.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://torontostage.com/"} +{"d:Title": "Webb, Jennie", "d:Description": "An independent writer, playwright, humorist, critic, and columnist whose works have been published nationally, and recognized by theatrical organizations around the world.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://home.earthlink.net/~jenniewebb/"} +{"d:Title": "Show Business Weekly", "d:Description": "Show Business is a casting weekly for the performing artist, emphasizing job opportunities and trade resources for New York City's up-and-coming talent.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.showbusinessweekly.com/"} +{"d:Title": "London Theatre Guide", "d:Description": "The official site for what's on in London theatre, including online ticketing, news, listings and information on events run by The Society of London Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.officiallondontheatre.co.uk/"} +{"d:Title": "Scene4 Magazine", "d:Description": "International monthly online magazine of theatre, film and media that features articles and interviews with writers, composers, actors, directors, musicians, designers, and filmmakers.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.scene4.com/"} +{"d:Title": "New York Theater Scene", "d:Description": "Theatre critic Irene Backalenick covers theatre for national and regional publications.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://nytheaterscene.com/"} +{"d:Title": "Vancouverplays.com", "d:Description": "Provides arts information, theatre reviews and previews, and commentary from the West Coast of Canada, by Jerry Wasserman.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.vancouverplays.com/"} +{"d:Title": "Stage Online, The", "d:Description": "Online version of The Stage, the world's longest-running publication for the performing arts industry. News and features cover all aspects of the UK's theatre, film and broadcasting industries.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.thestage.co.uk/"} +{"d:Title": "Colorado Theatre Guild", "d:Description": "Provides information on theatre events, news, jobs and auditions in Colorado.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.coloradotheatreguild.org/"} +{"d:Title": "Broadway World", "d:Description": "A theatre resource featuring news, message boards, video previews, show information, photos and biographies.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://broadwayworld.com/"} +{"d:Title": "ShowBiz Radio", "d:Description": "Offers a calendar of upcoming shows, reviews and information about different theater groups in Virginia, Maryland, and Washington DC.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.showbizradio.net/"} +{"d:Title": "BroadwayWorld.com: Broadway Photo IQ Game", "d:Description": "Test your knowledge of Broadway stars and personalities by identifying your favorites in this online visual IQ game. Registered users are able to have their results tallied and ranked against other members playing along.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.broadwayworld.com/photogame.cfm"} +{"d:Title": "The Wicked Stage", "d:Description": "Weblog by Back Stage West founding editor Rob Kendt. Postings include news, reviews and reflections on things involving theater", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://thewickedstage.blogspot.com/"} +{"d:Title": "The Ensemble: Steppenwolf Theatre Company", "d:Description": "Offers postings about upcoming events and reflections by different Steppenwolf Company members.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.steppenwolf.org/ensemble/"} +{"d:Title": "Topix: Theater", "d:Description": "News about theater, collected from various sources on the web.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.topix.com/rss/arts/theater.xml"} +{"d:Title": "Yahoo! Broadway", "d:Description": "Watch Broadway videos, purchase tickets, read reviews, theater news, cast information, and browse Broadway photos.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://broadway.yahoo.com/"} +{"d:Title": "Australian Stage Online", "d:Description": "News, reviews and comprehensive theatre listings for all major Australian cities.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.australianstage.com.au/"} +{"d:Title": "Minnesota Playlist", "d:Description": "Provides information and inspiration for Minnesota\u2019s performing arts. Includes auditions, classified ads, news and performance schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://minnesotaplaylist.com/"} +{"d:Title": "New York Theatre Guide", "d:Description": "Theatre news, reviews and listings from Broadway.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://www.newyorktheatreguide.com/"} +{"d:Title": "Let The Show Begin", "d:Description": "Notices from Tucson area theatre companies. These notices include auditions, openings, and other announcements of interest to actors, directors, techies, and theatre lovers in our community.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "https://sites.google.com/site/lettheshowbegin/"} +{"d:Title": "Theatre Topics", "d:Description": "Academic journal from Johns Hopkins University Press on performance studies, dramaturgy, and theatre pedagogy.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media", "url": "http://muse.jhu.edu/journal/200"} +{"d:Title": "Off-Broadway's Playhouse 91 to Close, May 31", "d:Description": "As of May 31, 2006 the Upper East Side's 299-seat off-Broadway theatre Playhouse 91, will close.", "topic": "Top/Arts/Performing_Arts/Theatre/News_and_Media/Articles", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=10034"} +{"d:Title": "TheaterScene", "d:Description": "Source for independent professional reviews of everything from the latest Broadway musicals to off-broadway shakespearean revivals, plus cabaret, opera, and jazz reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway", "url": "http://www.theaterscene.net/"} +{"d:Title": "Off Off Broadway", "d:Description": "Information on off-off-Broadway shows.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway", "url": "http://www.offoffbway.com/"} +{"d:Title": "Theater Mania", "d:Description": "New York City theatre tickets, news, and reviews for off Broadway and off-off Broadway theater shows.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway", "url": "http://www.theatermania.com/index.cfm"} +{"d:Title": "This Week Off Broadway", "d:Description": "A weekly roundup of the NYC Off-Broadway theater scene from Entertainment Link.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway", "url": "http://www.entertainment-link.com/other-theater/off-broadway-shows.asp"} +{"d:Title": "American Place Theatre, The", "d:Description": "Not-for-profit, off-Broadway theatre committed to producing new plays by American playwrights and bringing theatre to a diverse audience.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.americanplacetheatre.org/"} +{"d:Title": "29th Street Repertory Theatre", "d:Description": "Long running off-Broadway theatre troupe known for gritty, edgy work.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.29thstreetrep.com/"} +{"d:Title": "Prosper Arts", "d:Description": "Not-for Profit theatre company in NYC focusing on new works for the theatre. Programs include free monthly reading series, workshops, and full-scale productions.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.prosperarts.com/"} +{"d:Title": "Aquila Theatre Company", "d:Description": "Latest news, performance schedules, production information, and artist biographies.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.aquilatheatre.com/"} +{"d:Title": "Playwrights Horizons", "d:Description": "Long running 42nd Street theatre devoted to the creation and production of new works.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.playwrightshorizons.org/"} +{"d:Title": "52nd Street Project", "d:Description": "Matches inner city kids with professional theatre artists to create original theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.52project.org/"} +{"d:Title": "AMAS Musical Theatre, Inc", "d:Description": "Company devoted to discovering new musicals. Founded by TVs Rosetta LeNoire from Family Matters.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.amasmusical.org/"} +{"d:Title": "American Globe Theatre", "d:Description": "Theatre troupe that produces both contemporary works and revivals. Brings Shakespeare into the schools via an active education program.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.americanglobe.org/"} +{"d:Title": "Wooster Group", "d:Description": "Ensemble of artists who have collaborated on the development and production of theater and media pieces since the early 1970's.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.thewoostergroup.org/"} +{"d:Title": "Lincoln Center Theater", "d:Description": "Not-for-profit theater company offering information on productions, box office, membership, and a gallery. Performances mainly at the Vivian Beaumont Theater.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.lct.org/"} +{"d:Title": "13th Street Repertory Company", "d:Description": "A non-profit company which hosts the longest running play in New York Off-Off Broadway history, Israel Horovitz's Line. Information on production schedule, children's theatre, archives and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.13thstreetrep.org/"} +{"d:Title": "Performance Space 122", "d:Description": "Features a calendar of events, directions, tickets, and information on upcoming shows.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.ps122.org/"} +{"d:Title": "Cosmosis Productions", "d:Description": "Videos, music, and information from past and future shows.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.cosmosisproductions.com/"} +{"d:Title": "Billie Holiday Theatre", "d:Description": "The non-profit independent theatre has offered a wide range of Black theater for over three decades. A schedule of the current season is available.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://thebillieholiday.org/"} +{"d:Title": "Ontological-Hysteric Theater", "d:Description": "Information concerning current and future performances at the Ontological at St. Marks Theater.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.ontological.com/"} +{"d:Title": "Pearl Theatre Company", "d:Description": "Press releases, current season schedules, performance calendars, special events, and current opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.pearltheatre.org/"} +{"d:Title": "Vineyard Theatre, The", "d:Description": "Theatre company dedicated to creating new work, taking artistic risks, and collaborating with gifted artists on passionate and provocative new plays and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.vineyardtheatre.org/"} +{"d:Title": "Yara Arts Group", "d:Description": "Combining drama, poetry, song, historical materials, and movement to create original theatre pieces that explore timely issues rooted in the East.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://brama.com/yara/"} +{"d:Title": "Theater For A New Generation", "d:Description": "Young and old professionals performing off-broadway.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://tfang.org/"} +{"d:Title": "Castillo Theatre", "d:Description": "Produces a wide variety of socially conscious, and experimental theatre, and cultural enrichment program for children and teens.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.castillo.org/"} +{"d:Title": "Chicago City Limits", "d:Description": "New York's longest running comedy revue. Online tickets and information on classes.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.chicagocitylimits.com/"} +{"d:Title": "The Queen's Company", "d:Description": "All-female classical theatre company. Current production information as well as production archives and general information.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.queenscompany.org/"} +{"d:Title": "Round about Theatre", "d:Description": "Non-profit organization now playing at the American Airlines Theatre on NYC's West 42nd Street.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.roundabouttheatre.org/"} +{"d:Title": "Adhesive Theater Project", "d:Description": "Not-for-profit off-Broadway theatrical company dedicated to the marriage of visual arts, dance, image, and avant-garde style with the traditional narrative.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.adhesivetheater.com/"} +{"d:Title": "Sundog Theatre", "d:Description": "Resident theater on Staten Island's North Shore that embraces a creative and professional experience for artists to develop their talents through the unique power of live theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.sundogtheatre.org/"} +{"d:Title": "Break A Leg Productions", "d:Description": "When actress Teri Black broke her leg, she couldn't even audition for \"The Man Who Came to Dinner\". To keep her creative juices flowing, she began readings of comedies in her apartment and Break A Leg Productions was born.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.breakalegproductions.com/"} +{"d:Title": "Jewish Theater of New York", "d:Description": "Past, present, and future productions of the JTNY in USA, Europe, and Middle East, plus samples of reviews and short descriptions of productions.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://jewishtheater.org/"} +{"d:Title": "Urban Stages", "d:Description": "Non-profit organization that develops and nurtures plays by playwrights from diverse cultural backgrounds.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.urbanstages.org/"} +{"d:Title": "Abingdon Theatre Company", "d:Description": "NYC theatre company devoted to nurturing new works.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.abingdontheatre.org/"} +{"d:Title": "The WorkShop Theater Company", "d:Description": "Off-off Broadway theater company located near Penn Station, specializing in developing new scripts.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.workshoptheater.org/"} +{"d:Title": "Open Door Theater", "d:Description": "NYC non-profit theater producing original plays by authors who are more or less unknown.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.opendoorarts.org/"} +{"d:Title": "Duo Theatre", "d:Description": "NYC based Latino theatre company.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.duotheater.org/"} +{"d:Title": "Imua! Theatre Company", "d:Description": "Founded in 1994 to invest, mentor, and support multicultural theatre artists and their work.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.imuatheatre.org/"} +{"d:Title": "Sonnet Repertory Theatre", "d:Description": "Not-for-profit theatre focusing regular season on the classics and summer season of new works.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.sonnetrepertorytheatre.org/"} +{"d:Title": "Artistic New Directions", "d:Description": "Not-for-profit theatre company dedicated to the development of new works, new artists, and new ideas in the theatrical community.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.artisticnewdirections.org/"} +{"d:Title": "MCC Theater: Manhattan Class Company", "d:Description": "A celebrated off-Broadway theater company that works to create a vibrant future for theater by revealing luminous and compelling voices.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.mcctheater.org/"} +{"d:Title": "Steps Theatre Production Company", "d:Description": "New York NY. Multicultural theatre founded in 1997 by Slava Stepnov. Productions include plays by Chekhov, Pirandello, Nabokov, Pushkin, Lord Byron, performed in English, Russian and Spanish.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.stepstheatre.com/"} +{"d:Title": "Signature Theatre", "d:Description": "A non-profit Off-Broadway theatre company located on 42nd Street in Manhattan. Making an extended commitment to a playwright\u2019s body of work, Signature engages playwrights in all aspects of the creative process.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.signaturetheatre.org/"} +{"d:Title": "Women's Project Theater", "d:Description": "Nation's largest and oldest theatre company devoted to producing the work of female playwrights.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://wptheater.org/"} +{"d:Title": "Manhattan Theatre Club", "d:Description": "Off-off Broadway production company with plays and readings by new and established artists. Performances at Stages I and II at the City Center.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.manhattantheatreclub.com/"} +{"d:Title": "Lucille Lortel Theatre", "d:Description": "Provides current and historical information about the Lucille Lortel Theatre and Playwrights' Sidewalk.", "topic": "Top/Arts/Performing_Arts/Theatre/NYC_Off_Broadway/Companies", "url": "http://www.lortel.org/"} +{"d:Title": "Society of British Theatre Designers - SBTD", "d:Description": "UK. Registry of set, costume, and lighting designers, including agent information and selected images of past design work. Membership, list of school and college programmes, publications, industry links, news and awards.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.theatredesign.org.uk/"} +{"d:Title": "Saskatchewan Playwrights Centre", "d:Description": "A unique playwright-driven organization fostering the development of plays and playwrights in a risk-friendly environment. Based in Saskatoon, SK, Canada.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.saskplaywrights.ca/"} +{"d:Title": "NYCPlaywrights", "d:Description": "Collective of theatre artists. The purpose of the group is to develop new work through weekly, informal, script-in-hand readings in Manhattan.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.nycplaywrights.org/"} +{"d:Title": "American Society of Theatre Consultants", "d:Description": "Consulting on the planning, design and construction of performing arts architecture worldwide.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.theatreconsultants.org/"} +{"d:Title": "Informal European Theatre Meeting", "d:Description": "IETM exists to facilitate among its members the information exchange on the production and presentation of contemporary performing arts. This is achieved through meetings, publications and other forms of communication.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.ietm.org/"} +{"d:Title": "United Stage, The", "d:Description": "International consortium of twenty-five thousand theatre professionals who believe playwrights must direct the first production of their plays to fully realize their vision.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://unitedstage.com/"} +{"d:Title": "Theatre Communications Group", "d:Description": "The national organization for the American theatre, offers a wide array of services in line with its mission: to strengthen, nurture and promote the not-for-profit American theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.tcg.org/"} +{"d:Title": "Theatre Development Fund", "d:Description": "Non-profit service organization for the performing arts. Discount ticket sales, marketing strategies, novice producer training programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.tdf.org/"} +{"d:Title": "Institute of Outdoor Drama", "d:Description": "A public service agency of the University of North Carolina at Chapel Hill, dedicated to promoting and preserving outdoor drama in the United States.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.unc.edu/depts/outdoor/"} +{"d:Title": "New England Theatre Conference, Inc.", "d:Description": "Non-profit organization focused on providing its members with professional services, career development, and recognition awards in the live theater arts.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.netconline.org/"} +{"d:Title": "Theater Musicians Association", "d:Description": "Lobby and support group for American Federation of Musicians members who play in and tour with Musical theater pits.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.afm-tma.org/"} +{"d:Title": "Rodgers and Hammerstein Organization, The", "d:Description": "Administration and promotion of entertainment copyrights, representing more than one hundred songwriters and authors, dozens of state musicals and concert works, and over 3000 songs. Search site by writer, song or show title.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.rnh.com/"} +{"d:Title": "Drama League of New York, The", "d:Description": "National organization dedicated to building theater audiences and supporting artists. Includes information on membership benefits, discounts, awards, links and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.dramaleague.org/"} +{"d:Title": "International Federation for Theatre Research", "d:Description": "The world's largest organization for theatre researchers, the IFTR has not only been responsible for many publications about theatre research, but they also hold an annual theatre research conference and a world congress every four years.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.firt-iftr.org/"} +{"d:Title": "Western Ontario Drama League", "d:Description": "Includes support and networking for member groups. Offers members listing, newsletters, guestbook, links, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.wodl.on.ca/"} +{"d:Title": "RAT - Regional Alternative Theatre", "d:Description": "A non-organization focused on representing ideas that are something simultaneously owned by no one, and belonging to all. Features a dictionary of terms, quotes, articles, journals along with a mailing list, and conference.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.ratconference.com/"} +{"d:Title": "Theatre Library Association", "d:Description": "Non-profit, educational organization established to promote the collection, preservation, and use of theatrical and performing arts materials.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://tla.library.unt.edu/"} +{"d:Title": "StrawHat Auditions", "d:Description": "Organization that supports the careers of non-equity actors and technical artists looking to start and continue their professional careers in the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.strawhat-auditions.com/"} +{"d:Title": "Wille Bobo Production Group", "d:Description": "A not-for-profit organization offering management services to artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://members.tripod.com/wbpg/"} +{"d:Title": "Wyoming Area Drama Club", "d:Description": "Includes calendar, history, and members list.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://lightingguy77.tripod.com/"} +{"d:Title": "Hippodrome Foundation, Inc., The", "d:Description": "Nonprofit foundation that seeks to bring national/Broadway-quality theater performances to Baltimore.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.hippodromefoundation.org/"} +{"d:Title": "National Operatic and Dramatic Association", "d:Description": "NODA provides assistance and advice to amateur groups and societies in the United Kingdom. Includes details of membership benefits, regional breakdown, and training.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.noda.org.uk/"} +{"d:Title": "Armenian Dramatic Arts Alliance", "d:Description": "An American organization working to bring the Armenian voice to the world stage and screen. Provides information about playwrights and screenwriters, a directory of theatrical productions and online texts.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.armeniandrama.org/"} +{"d:Title": "Real Circumstance Theatre Company", "d:Description": "Produces politically-aware theatre that prioritizes the acting process.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.realcircumstance.com/"} +{"d:Title": "Schools Theatre Support Group", "d:Description": "A network of professionally employed theatre technicians and managers working in UK schools and colleges. Includes membership information and resources.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.stsg.org.uk/"} +{"d:Title": "Illinois Theatre Association (ITA)", "d:Description": "Provides support and focus towards theatre involvement in children's theatre, creative drama, community theatre, professional, secondary school, and college and university programs. Includes events calendar, lesson plans, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.illinoistheatre.org/"} +{"d:Title": "Actors&Writers London", "d:Description": "Offers regular rehearsed professional readings of new plays. View biographies of members, schedule of readings, and newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations", "url": "http://www.actorsandwriters.org/"} +{"d:Title": "Scottish Youth Theatre", "d:Description": "Organization provides drama workshops in Edinburgh and Glasgow for people aged 3-25. Includes class schedules, productions and online registration.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations/Youth", "url": "http://www.scottishyouththeatre.org/"} +{"d:Title": "International Association of Theatre for Young People and Children", "d:Description": "Background information, minutes of meetings, links to national centres in all parts of the world, and diary of international festivals.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations/Youth", "url": "http://www.assitej-international.org/"} +{"d:Title": "National Association of Youth Drama", "d:Description": "NAYD is the umbrella organisation for youth drama and youth theatre in Ireland. Features news, resources and their publications.", "topic": "Top/Arts/Performing_Arts/Theatre/Organizations/Youth", "url": "http://www.nayd.ie/"} +{"d:Title": "Ariel, Pablo", "d:Description": "Outlines Concerts for Children with Stage Performance. Also CV, press clippings, and letters of recommendation for this Israel-based stage director, actor, and scriptwriter.", "topic": "Top/Arts/Performing_Arts/Theatre/People/A", "url": "http://www.pabloariel.com/"} +{"d:Title": "Brevoort, Gregg W.", "d:Description": "Theatre director and stage manager offers his resumes, background, and photo galleries, as well as contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/People/B", "url": "http://www.gbrevoort.com/"} +{"d:Title": "Barrie-Wilson, Wendy", "d:Description": "Official site of actress; AEA, SAG, AFTRA.", "topic": "Top/Arts/Performing_Arts/Theatre/People/B", "url": "http://wbw1.home.mindspring.com/"} +{"d:Title": "Breeze, Michele", "d:Description": "Biography of performer, including photos and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/People/B", "url": "http://www.michelebreeze.co.uk/"} +{"d:Title": "Berner, Regina", "d:Description": "International mezzosoprano offers resume information, MP3 music, stage photos, press notes, and repertoire.", "topic": "Top/Arts/Performing_Arts/Theatre/People/B", "url": "http://regina-berner.com/"} +{"d:Title": "Block, Stephanie J.", "d:Description": "The official site for actress and musical performer.", "topic": "Top/Arts/Performing_Arts/Theatre/People/B", "url": "http://www.stephaniejblock.com/"} +{"d:Title": "Belge, Brian", "d:Description": "Theatre arts professional specializing in historical and literary recreations, teaching of improvisational skills, production and direction and shows for schools, festivals, and dinner theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/People/B", "url": "http://www.brianbelge.com/"} +{"d:Title": "Cohen, Sharleen Cooper", "d:Description": "Descriptions and reviews of novels, musicals and plays by this writer and producer.", "topic": "Top/Arts/Performing_Arts/Theatre/People/C", "url": "http://www.sharleencoopercohen.com/"} +{"d:Title": "Cantone, Mario", "d:Description": "Biography and current shows for this actor and stand-up comedian.", "topic": "Top/Arts/Performing_Arts/Theatre/People/C", "url": "http://www.mariocantone.com/"} +{"d:Title": "Cahoon, Kevin", "d:Description": "Actor and musician offers biography, pictures, and information on recordings.", "topic": "Top/Arts/Performing_Arts/Theatre/People/C", "url": "http://www.angelfire.com/stars/kevincahoon/"} +{"d:Title": "Chevallier, Jim", "d:Description": "View monologue collection from this actor and playwright. Access his resume, photos, and journal.", "topic": "Top/Arts/Performing_Arts/Theatre/People/C", "url": "http://www.chezjim.com/"} +{"d:Title": "DeStefano, Ron", "d:Description": "An informational website for the musical theatre performer Ron DeStefano. Features include current news, on- and offstage pictures, and theatre links.", "topic": "Top/Arts/Performing_Arts/Theatre/People/D", "url": "http://www.rondestefano.com/"} +{"d:Title": "Duse, Eleonora", "d:Description": "Some random notes on a casual meeting with Italian actress Eleonora Duse.", "topic": "Top/Arts/Performing_Arts/Theatre/People/D", "url": "http://www.theatrehistory.com/italian/duse002.html"} +{"d:Title": "Deburgo, Jason J.", "d:Description": "View the resumes and biography of this actor, model, and singer. Includes modeling photos and song sample.", "topic": "Top/Arts/Performing_Arts/Theatre/People/D", "url": "http://www.jasonj.biz/"} +{"d:Title": "Ebersole, Christine", "d:Description": "This Tony Award winning actress offers her biography, a gallery of pictures, news of upcoming productions, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/People/E", "url": "http://christineebersole.com/"} +{"d:Title": "Heriot, Nicole", "d:Description": "Actor, dancer, singer based in New York City. With news, media samples and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/People/H", "url": "http://www.nicoleheriot.com/"} +{"d:Title": "Helnwein, Gottfried", "d:Description": "Texts, reviews and essays about Gottfried Helnwein's work for theater, ballet and opera. Stage design, costumes, make-up and maskes.", "topic": "Top/Arts/Performing_Arts/Theatre/People/H", "url": "http://www.helnwein-theater.com/"} +{"d:Title": "Hayward, Richard", "d:Description": "Offers information on the theatre expert, entertainment writer and broadcaster. Based in London, UK.", "topic": "Top/Arts/Performing_Arts/Theatre/People/H", "url": "http://www.richardhayward.com/"} +{"d:Title": "Jeremiah (Jerry) Kissel", "d:Description": "Boston-based actor and trade showman offers his headshots, an interactive resume, audio demos, a picture gallery, past reviews and letters of recommendation.", "topic": "Top/Arts/Performing_Arts/Theatre/People/K", "url": "http://jerrykissel.com/"} +{"d:Title": "Kelting, David Lee", "d:Description": "Biography, personal information and portfolio for Los Angeles-based director-designer.", "topic": "Top/Arts/Performing_Arts/Theatre/People/K", "url": "http://kelting.net/"} +{"d:Title": "Leddy , David", "d:Description": "Credits, photo gallery, current and future productions, and reviews for this writer, director, and performer based in Glasgow, Scotland.", "topic": "Top/Arts/Performing_Arts/Theatre/People/L", "url": "http://www.davidleddy.com/"} +{"d:Title": "Mong, Lydia", "d:Description": "Acting credits and theatre information for Lydia Mong, Clarksburg, WV, USA.", "topic": "Top/Arts/Performing_Arts/Theatre/People/M", "url": "http://lydiamong.tripod.com/theatrebio/"} +{"d:Title": "Mullich, Jon", "d:Description": "News, show galleries, biography and related links for this actor/playwright.", "topic": "Top/Arts/Performing_Arts/Theatre/People/M", "url": "http://madbeast.com/"} +{"d:Title": "Michnewicz, Paul-Douglas", "d:Description": "Portfolio of this Washington D.C.-based stage director and stage manager working in theater and opera.", "topic": "Top/Arts/Performing_Arts/Theatre/People/M", "url": "http://pdm100.com/"} +{"d:Title": "McCabe, Michael", "d:Description": "Theatre marketing strategist and creative consultant.", "topic": "Top/Arts/Performing_Arts/Theatre/People/M", "url": "http://www.michaelmccabe.net/"} +{"d:Title": "Nelson, Sarah Jane", "d:Description": "Biography, Broadway credits, acting history, reviews, press releases, photo gallery, and contacts for this actress, singer, dancer, and writer.", "topic": "Top/Arts/Performing_Arts/Theatre/People/N", "url": "http://www.sarahjanenelson.com/"} +{"d:Title": "Narita, Jude", "d:Description": "Actor/writer of award-winning one-woman plays about Asian and Asian American women offers her biography, play synopses, booking information, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/People/N", "url": "http://www.judenarita.com/"} +{"d:Title": "Ostwald, David F.", "d:Description": "This stage manager and teacher introduces his resume, teaching credentials and publications.", "topic": "Top/Arts/Performing_Arts/Theatre/People/O", "url": "http://davidostwald.com/"} +{"d:Title": "Post, Robert", "d:Description": "Performer specializing in rapid-fire character changes offers bio, contact information, and schedule of upcoming shows.", "topic": "Top/Arts/Performing_Arts/Theatre/People/P", "url": "http://www.robertpost.org/"} +{"d:Title": "Russell, Bill", "d:Description": "Tony-nominated lyricist/librettist. View his newest musicals, show locations , pictures, articles, news and poetry.", "topic": "Top/Arts/Performing_Arts/Theatre/People/R", "url": "http://www.billrussell.net/"} +{"d:Title": "Rozelle, Robbie", "d:Description": "Musical director, actor, and singer offers a view at his past work and future projects. Visit his unofficial websites for \"Carrie, the musical\" and \"Diva Dish.\"", "topic": "Top/Arts/Performing_Arts/Theatre/People/R", "url": "http://www.robbierozelle.com/"} +{"d:Title": "Ruiz, Pilar", "d:Description": "An actress who has worked in Spain and London on stage, television and theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/People/R", "url": "http://www.iainfisher.com/pilar"} +{"d:Title": "Siegert, Arila", "d:Description": "Dancer and performer offers descriptions of current and past projects, her resume, and pictures of productions.", "topic": "Top/Arts/Performing_Arts/Theatre/People/S", "url": "http://www.arila-siegert.de/"} +{"d:Title": "Sweezy, Charles Otis", "d:Description": "Portfolio of set, lights and costumes designer. Includes links to historical costumes resources and to the \"International Theatre Design Archives.\"", "topic": "Top/Arts/Performing_Arts/Theatre/People/S", "url": "http://www.siue.edu/~osweeze/"} +{"d:Title": "Silva-Marin, Guillermo", "d:Description": "This stage director and producer offers insights into his career, his resume, contact information, and links to a variety of venues at which he has worked.", "topic": "Top/Arts/Performing_Arts/Theatre/People/S", "url": "http://www.silva-marin.com/"} +{"d:Title": "Schieffer, Andrea", "d:Description": "Professional voice for film, TV, video and radio. Speaks German, English and French, based in Paris.", "topic": "Top/Arts/Performing_Arts/Theatre/People/S", "url": "http://www.andreaschieffer.com/index_schieffer.html"} +{"d:Title": "Teitler, Jeffrey", "d:Description": "This playwright and Artistic Director of Theatre and Video at Lawrence Academy offers his professional resume and a look at his video projects for at-risk students, and institutions.", "topic": "Top/Arts/Performing_Arts/Theatre/People/T", "url": "http://www.angelfire.com/art2/jefft99/"} +{"d:Title": "Uggams, Leslie", "d:Description": "Biography, news, chatroom for the actress and singer.", "topic": "Top/Arts/Performing_Arts/Theatre/People/U", "url": "http://www.leslieuggams.com/"} +{"d:Title": "Vivona, Jerome", "d:Description": "Professional director, choreographer, actor, dancer, and singer offers resumes and past production history.", "topic": "Top/Arts/Performing_Arts/Theatre/People/V", "url": "http://jeromevivona.freeservers.com/"} +{"d:Title": "Van Zandt, Billy and Jane Milmore", "d:Description": "This couple of playwrights, actors, and producers offer pictures and notes on their current and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/People/V", "url": "http://www.vanzandtmilmore.com/"} +{"d:Title": "Valdez, Leo Tovarro", "d:Description": "Filipino actor and singer known for playing the Engineer in Miss Saigon. Biography, pictures, sound samples, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/People/V", "url": "http://javert4.tripod.com/Leo/leo.html"} +{"d:Title": "Wicks, Joseph", "d:Description": "Biography, CV, and pictures for this London-based actor/singer/dancer.", "topic": "Top/Arts/Performing_Arts/Theatre/People/W", "url": "http://www.josephwicks.com/"} +{"d:Title": "Wooldridge, Hugh", "d:Description": "Theatre director, lighting designer and gala event producer based in London and working worldwide offers a look at his background, credits, photos of his work and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/People/W", "url": "http://www.hughwooldridge.com/"} +{"d:Title": "Wren, Steven", "d:Description": "Offers career credits, voiceover and training, images, reviews and mp3 voice tracks for the Scottish actor.", "topic": "Top/Arts/Performing_Arts/Theatre/People/W", "url": "http://www.stevenwren.com/"} +{"d:Title": "DerevoTheatre", "d:Description": "News, articles, history, performances, films, special projects and general information about the company. In Russian, German, and English. Includes tour information, awards, and performance descriptions.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://derevo.org/"} +{"d:Title": "Michael Menes and Company's International Variety Theater", "d:Description": "A unique brand of physical theater and comedy around the world since 1982.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.michaelmenes.com/"} +{"d:Title": "DV8 Physical Theatre", "d:Description": "All about DV8, information on the current production and tour, and bulletin board interaction.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.dv8.co.uk/"} +{"d:Title": "Loka Maer", "d:Description": "Multimedia theater manifesting interpretations of Nordic playwrights in the Lecoq tradition.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.lokamaer.org/"} +{"d:Title": "First Physical Theatre Company", "d:Description": "South African physical theatre and contemporary dance. Overview and photographs of performances, tours, dance education, research, and shopping.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.ru.ac.za/firstphysical/"} +{"d:Title": "Frantic Assembly", "d:Description": "Frantic Assembly Physical Theatre, exciting contemporary theatre for a new audience.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.franticassembly.co.uk/"} +{"d:Title": "Legs On The Wall", "d:Description": "Performing aerial theatre off freeways and skyscrapers, integrating acrobatics, dance, aerial, and circus skills into theatre. Information about the group, tours, workshops, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.legsonthewall.com.au/"} +{"d:Title": "Poorboy", "d:Description": "A Scottish company specializing in children's theatre, site specific theatre, corporate training, and venue management. Includes information on the company, workshops, and youth theatre, corporate training, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.poorboy.co.uk/"} +{"d:Title": "Silent Partners Movement Theater", "d:Description": "Combines story telling, dance, mime, and physical comedy with inventive costuming, props, and sound. Information on tours, repertory, residencies, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.silent-partners.org/"} +{"d:Title": "Harvey Homepage", "d:Description": "Physical comedian Seth Reichgott's slapstick comedy \"Man Plans\", and other comedy events. Information about the actor, shows, production staff, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.angelfire.com/hi/manplans/"} +{"d:Title": "Warwick Arts Centre", "d:Description": "Based in the midlands, Warwick Arts Centre provides platforms for new work as well as programming some of the best of new and established performance groups in Britain.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.warwickartscentre.co.uk/"} +{"d:Title": "Bond Street Theatre", "d:Description": "Founded to create innovative theatre works that are relevant and accessible to diverse audiences.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.bondst.org/"} +{"d:Title": "Les M\u00e9tissages", "d:Description": "Brings together artists from various cultures for an exchange in a host country. Uses theatre as its main forum for sharing and exploring various culture.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.angelfire.com/poetry/lesmetissages/English/start-eng.html"} +{"d:Title": "Plasticene", "d:Description": "A physical theater company based in Chicago. History, shows, classes, and touring information.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.plasticene.com/"} +{"d:Title": "Firefly Theatre", "d:Description": "Merges circus, theatre, and spectacle to create original physical theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.fireflytheatre.com/"} +{"d:Title": "New World Performance Laboratory", "d:Description": "A Center for Theatre Performance and Research directed by James Slowiak and Jairo Cuesta, former assistants of Jerzy Grotowski. Multi-cultural company is located in Akron, Ohio. Tours extensively.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://nwplab.tripod.com/"} +{"d:Title": "Stretch People", "d:Description": "International comedy double act. Performing music, chair balancing and superb slapstick in true vaudeville style.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Companies", "url": "http://www.stretchpeople.com/"} +{"d:Title": "TheatreHistory.com - The Old English Pantomime", "d:Description": "Article on the history of the development of pantomime in eighteenth century England.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime", "url": "http://www.theatrehistory.com/british/bates002.html"} +{"d:Title": "Wikipedia - Pantomime (theatre)", "d:Description": "Hyperlinked encyclopedia article about the history and traditions of the Christmas season performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime", "url": "http://en.wikipedia.org/wiki/Pantomime_(theatre)"} +{"d:Title": "It's Behind You!", "d:Description": "Information on panto past and present. Current news and performance diary, history, picture archive of stars and posters, and information on The Magic of Theatre Roadshow.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime", "url": "http://www.its-behind-you.com/"} +{"d:Title": "The D&B Panto Group", "d:Description": "Small group putting on pantomimes for the community.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Production_Companies", "url": "http://www.angelfire.com/journal2/d_b_panto_group/"} +{"d:Title": "Pantoni Pantomime", "d:Description": "Production company staging shows for floorshows, cruises and holiday centres. Reviews, gallery and services. East Sussex.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Production_Companies", "url": "http://www.pantoni.com/"} +{"d:Title": "The Panto Company", "d:Description": "Traditional-style pantomime and other theatrical entertainment and activities for children and adults.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Production_Companies", "url": "http://www.thepantocompany.com/"} +{"d:Title": "Wayfarers Online", "d:Description": "Contains information and pictures of a traditional British Pantomime theatre company nearly 40 years old.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Production_Companies", "url": "http://www.wayfarers-pantomime.co.uk/"} +{"d:Title": "Weald Theatre Group", "d:Description": "Have produced the annual charity pantomimes in Haywards Heath, West Sussex, UK for over 60 years, with shows every January.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Production_Companies", "url": "http://www.wealdtheatre.co.uk/"} +{"d:Title": "G. Wizz Promotions", "d:Description": "Pantomime scripts with traditional storylines, lots of comedy, and a mix of songs and action. Suitable for production by both large and small amateur dramatic groups and societies. Contact information and fees.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Scripts", "url": "http://www.gwizzpromotions.co.uk/"} +{"d:Title": "Panto Scripts", "d:Description": "Quality scripts for pantomimes, tested in NODA award winning productions. Fully adaptable for local amateur dramatic societies.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Scripts", "url": "http://www.pantoscripts.me.uk/"} +{"d:Title": "Buy Pantomime scripts online", "d:Description": "Scripts for pantomimes and musical theatre plays which come with soundtrack CDs.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Scripts", "url": "http://www.freewebs.com/carpentermoore/index.htm"} +{"d:Title": "Lazy Bee Scripts - What Is Pantomime, Anyway?", "d:Description": "Explanation of pantomime with popular titles and their roots in folk culture.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/English_Pantomime/Scripts", "url": "http://www.lazybeescripts.co.uk/Pantomimes/WhatIsAPantomimeAnyway.aspx"} +{"d:Title": "Kompani Komedi", "d:Description": "Kompani komedi is Sweden's only Commedia dell'Arte company.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask", "url": "http://home.swipnet.se/kompanikomedi/"} +{"d:Title": "Rainwalker Gallery", "d:Description": "Storyteller Masks for Myth and Magic.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask", "url": "http://www.rainewalker.com/"} +{"d:Title": "Peter Jarvis", "d:Description": "Specializing in large and small scale mask, movement and puppetry imagery.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask", "url": "http://www.silverelvis.com/"} +{"d:Title": "Imago", "d:Description": "The Theatre Mask Ensemble.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask", "url": "http://www.imagotheatre.com/"} +{"d:Title": "Mask Arts Company", "d:Description": "Leather and neoprene custom masks and theatre masks, for Commedia dell\u2019Arte, pro-wrestling, opera, dance, and clowns. Specializes in molding leather, odd projects, art and judaica.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask", "url": "http://www.maskarts.com/"} +{"d:Title": "Chris Vervain", "d:Description": "A mask maker, theatre practitioner and researcher concentrating on ancient Greek drama and the use of masks inspired by the evidence on Greek tragic and comic masks.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask", "url": "http://home.btconnect.com/chrisvervain.org.uk/"} +{"d:Title": "Obscure Stages", "d:Description": "An annotated bibliography of commedia dell'arte and other classic theater genres, including British music hall and pantomime, vaudeville, and burlesque.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "http://www.214b.com/"} +{"d:Title": "Dell'Arte International School", "d:Description": "A full-time, professional training program specializing in Physical Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "http://www.dellarte.com/"} +{"d:Title": "Judith Chaffee's Commedia Website", "d:Description": "Resources, bibliography, links, and live discussion.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "http://www.commedia-dell-arte.com/"} +{"d:Title": "Carnival of Venice - Commedia dell'Arte", "d:Description": "Brief history and details of Harlequin, Pantalone, Pulcinella, and Dottore.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "http://www.delpiano.com/carnival/html/commedia.html"} +{"d:Title": "Commedia dell'arte", "d:Description": "Theatrehistory.com's overview of the Italian improvised drama and its stock characters.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "http://www.theatrehistory.com/italian/commedia_dell_arte_001.html"} +{"d:Title": "Roving Classical Commedia University", "d:Description": "An integrated Commedia program of masks, music, physical comedy, dance, acrobatics, rhythm, stage fighting, slapstick, history, juggling, lazzi, improvisation, and scenarii.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "http://www.commediau.com/"} +{"d:Title": "Ophaboom", "d:Description": "London-based internationally touring theatre company that has a background in commedia dell'arte.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "http://ophaboom.blogspot.com/"} +{"d:Title": "Yahoo! Groups Commedia dell'Arte", "d:Description": "Billboard, chat, pictures and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte", "url": "https://groups.yahoo.com/neo/groups/commediadellarte/info"} +{"d:Title": "The Golden Stag Players", "d:Description": "The 'Players' are a group of actors in the SCA (Society for Creative Anachronism) that produce annual commedia shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte/Troupes", "url": "http://www.goldenstag.net/players/"} +{"d:Title": "Kompani Komedi", "d:Description": "Sweden's only Commedia dell'Arte group. Learn about who they are, order performances and workshops, or follow the news.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte/Troupes", "url": "http://home.swipnet.se/kompanikomedi/"} +{"d:Title": "Commedia dell'Carte Home Page", "d:Description": "A performance ensemble that utilizes the historical, improvisational and theatrical art form, commedia dell'arte, to facilitate a variety of spontaneous, entertaining and educational plots.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte/Troupes", "url": "http://shane-arts.com/commedia.htm"} +{"d:Title": "I Sebastiani", "d:Description": "Site contains troupe objectives, history and development, and helpful links, some fun, and scenarios available for new troupes to try. Since 1990.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte/Troupes", "url": "http://www.isebastiani.com/"} +{"d:Title": "The Rude Mechanical Theatre Co - UK", "d:Description": "Commedia dell'arte touring musical drama company based in south east England. Provides outdoor tour dates, school tours, workshops, news and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mask/Commedia_Dell'Arte/Troupes", "url": "http://www.therudemechanicaltheatre.co.uk/"} +{"d:Title": "The World of Mime Theatre", "d:Description": "International mime theatre information, including a library, resources, performer contacts, and events calendar.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime", "url": "http://www.mime.info/"} +{"d:Title": "Yanci", "d:Description": "One-man shows and company productions are fusion of acting, pantomime, slapstick comedy, movement dance theatre, puppetry and magic illusions.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.yanci.com/"} +{"d:Title": "Hooded Mimes Kickn Faygo", "d:Description": "Group of four, who perform little comedy acts in public and at some local shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://hoodedmimeskcknfaygo.tripod.com/hmskf1.html"} +{"d:Title": "La Frenie, Stephen", "d:Description": "World of mime, physical theatre, mask, visual art and teaching techniques.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.mimeguy.com/"} +{"d:Title": "Mark Wenzel", "d:Description": "Maniacal mischiefmaker on the prowl. Whether before an audience of ten or ten thousand, everyone is included in his topsy-turvy world.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.markwenzel.com/"} +{"d:Title": "Dr. Tom's Semi-Silent Theatre", "d:Description": "In an innovative blend of European style clowning, illusionary technique, and standup comedy, Dr. Tom presents mime as an accessible and vital art form.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.drtomisi.com/"} +{"d:Title": "Rob Reider", "d:Description": "Clean family entertainment using time honored techniques offering universal understanding.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.mimerobreider.com/"} +{"d:Title": "Rock Mime World - Carleton the Mime", "d:Description": "A performer for over 30 years. Includes a photo gallery, list of many past performances, a description of types of concerts available, booking and availability. Based in Illinois, USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.rockmimeworld.com/"} +{"d:Title": "Deanna", "d:Description": "Professional mime in Florida available for trade shows, conventions, parties and festivals with a variety of mime and speaking characters.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.deannathemime.com/"} +{"d:Title": "Roberts, Peter", "d:Description": "UK artist, provides a brief background and current projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.teatromimo.com/"} +{"d:Title": "Bowers, Bill", "d:Description": "Actor, mime and arts educator in the USA. Includes biography, resume, news and events.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.bill-bowers.com/"} +{"d:Title": "Boo, Eric", "d:Description": "Comedy performer based on the language of the mime. Offers show details, gallery and biography. [Spanish/English/French]", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.ericboo.com/"} +{"d:Title": "Rocha, Antonio", "d:Description": "Actor, mime and storyteller based in Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://www.storyinmotion.com/"} +{"d:Title": "Leabhart, Thomas", "d:Description": "Professor of Theatre and Resident Artist at Pomona College in California. Presents his CV, performance photos and projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Performers", "url": "http://research.pomona.edu/thomas-leabhart/"} +{"d:Title": "Companyia Katraska", "d:Description": "A company in Barcelona featuring AcidClown, combining a mime and DJ exploring movement and sound.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://katraskacia.com/"} +{"d:Title": "American Academy of Mime", "d:Description": "Travels to your location. The Academy abandons the outmoded mime stereotype, and introduces a fresh, true-to-life style that is relevant, funny, and touches the heart, while exemplifying the highest standards of performance techniques and precision.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://www.carytrivanovich.com/"} +{"d:Title": "Pontine Movement Theatre", "d:Description": "Offers current performance series, tour schedule, educational program listings, artistic statement, ticket prices, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://www.pontine.org/"} +{"d:Title": "Celebration Barn Theatre", "d:Description": "Founded in 1972 by mime Tony Montanaro in South Paris, Maine, the Celebration Barn Theatre teaches mime, mask, movement, fight co-ordination, juggling, voice, acrobatics, clown and other aspects of theatrical performance.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://www.chebucto.ns.ca/Culture/BluenoseJugglers/celebration.html"} +{"d:Title": "Portable Mime Theatre", "d:Description": "In 1982 jugglers Michael Hirschbach and Christopher Majka joined with dancer/artist Kathy MacGillivray and mime Sherry-Lee Hunter, a former member of the Deaf Gypsy Mime Company to found Portable Mime Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://www.chebucto.ns.ca/Culture/BluenoseJugglers/mime.html"} +{"d:Title": "Axis Theatre Company", "d:Description": "Physical theatre entertainment for young people and family audiences in Canada and the US.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://www.axistheatre.com/"} +{"d:Title": "Nowak's Theater", "d:Description": "Waldemar Nowak from Poland is a top mime artist. He took a part in many festivals on the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://www.mime-comic.com/"} +{"d:Title": "Magic Circle Mime Company", "d:Description": "Duo that unites the concert orchestra with visual theater, performing with major orchestras across North America and internationally.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://www.magiccirclemime.com/"} +{"d:Title": "High Hat Theatre", "d:Description": "A movement-based theatre company specialising in outdoor performances and workshop projects, based in Hebden Bridge, West Yorkshire, UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Theater_Companies", "url": "http://highhat.co.uk/"} +{"d:Title": "Goldston Mime Foundation", "d:Description": "An organization dedicated to the art of Classic and Modern Mime.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Training", "url": "http://www.goldmime.com/"} +{"d:Title": "Le Centre Du Silence", "d:Description": "Workshops and literature in Boulder Colorado.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Training", "url": "http://www.indranet.com/lcds.html"} +{"d:Title": "Anna Dresdon", "d:Description": "Quarterly 8 week mime workshop series taught in Los Angeles by Anna Dresdon.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Training", "url": "http://www.annadresdon.com/"} +{"d:Title": "Trident School of Mime", "d:Description": "Year-round school in Antwerp, Belgium, offering training in Corporal Mime, the technique and repertoire of Etienne Decroux.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Training", "url": "http://www.trident-school.be/"} +{"d:Title": "Mime Theatre Studio", "d:Description": "Mime classes, workshops, and coaching and consulting for theatre, film, television, and digital media, based in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Training", "url": "http://www.mime.info/MTS/"} +{"d:Title": "MOVEO", "d:Description": "A mime training and creation centre in Barcelona, the training axis of the organization MOVEO. [Catalan/Spanish/English]", "topic": "Top/Arts/Performing_Arts/Theatre/Physical_Theatre/Mime/Training", "url": "http://www.moveo.cat/"} +{"d:Title": "Dodger Endemol Productions", "d:Description": "Official site; producers of such hits as \"Tommy\" \"Titanic\" and \"King and I\"; includes bios, chats and merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.dodger.com/"} +{"d:Title": "The Birchmore Group, Inc.", "d:Description": "Award winning special event production company which specializes in audio/visual, entertainment, theme parties and other exciting programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.birchmore.com/"} +{"d:Title": "Golux Studio, Inc.", "d:Description": "A research and production company dedicated to generating and developing live performance and investigating techniques to assist in that development. Of particular interest are theater, dance, multi-media installation and performance art.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.goluxstudio.com/"} +{"d:Title": "DreamCast Entertainment", "d:Description": "Producer of several theatrical stage spectacles that perform for special events, corporate galas and public appearances world-wide.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.dreamcastent.com/"} +{"d:Title": "PW Productions", "d:Description": "Theatre and entertainment producer in the United Kingdom presenting hit shows in the West End and on Broadway.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.pwprods.co.uk/"} +{"d:Title": "Tiny Hero Productions", "d:Description": "Michael Chekhov acting technique, inspired acting, workshops on clowning and acting.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.tinyhero.nl/"} +{"d:Title": "JeRM Productions", "d:Description": "JeRM Productions is a full service theatrical and industrial show production company specializing in the casting and production of live stage shows, scenic and lighting designs, and theatrical consultation.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.jermprod.com/"} +{"d:Title": "Premier Productions", "d:Description": "UK based production company, specializing in children's theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.premier-productions.co.uk/"} +{"d:Title": "The Ambassador Theatre Group", "d:Description": "West End and regional theatres. Lists the group's theatres with current productions and links for online booking, as well as background information on the organisation.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.theambassadors.com/"} +{"d:Title": "Really Useful Group", "d:Description": "Solely owned by Andrew Lloyd Webber, whose management, in addition to producing his own work, is active independently from him in developing and producing the works of other writers. Features music, tickets, forum, quiz, news, and films.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.reallyuseful.com/"} +{"d:Title": "AP Productions", "d:Description": "Providing southern New England with theatrical production services such as lighting design, equipment rentals and sales, sound design, scenic artists, electricians and show crews.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.angelfire.com/ma4/approductions/"} +{"d:Title": "UK Productions", "d:Description": "A UK-based production company specialising in musicals from the West End and Broadway stage, pantomime, drama, plays, outdoor events, and costume and set hire.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.ukproductions.co.uk/"} +{"d:Title": "BigRedFunBus", "d:Description": "A Bedfordshire based, theatre production company. Performing new and existing plays all over the UK. They commission new writing and are committed to producing quality theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.bigredfunbus.com/"} +{"d:Title": "Delstar Engineering Ltd", "d:Description": "Specialise in design, manufacture, and installation of stage engineering and rigging equipment.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.delstar.co.uk/"} +{"d:Title": "PMB Projects", "d:Description": "Theatrical agents, entertainment industry consultants and independent producers specializing in travelling opera and ballet companies. Located in the UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.pmbprojects.com/"} +{"d:Title": "Blue Box Entertainment", "d:Description": "London, England-based general managers in commercial theatre. Projects include Jerry Springer the Opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.blue-box.biz/"} +{"d:Title": "Allied Productions", "d:Description": "Specializes in event planning and production including, graphic design, audiovisual, staging, tours, entertainment and multimedia.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Companies", "url": "http://www.alliedproductions.com/"} +{"d:Title": "Laser Innovations", "d:Description": "Manufacturer of entertainment laser systems. All systems are available for sale or hire.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Laser_Effects", "url": "http://www.laser-innovations.co.uk/"} +{"d:Title": "Arcstream", "d:Description": "London company provides the sales, installation and hire of lasers, specialising in water screens.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Laser_Effects", "url": "http://www.arcstreamav.com/"} +{"d:Title": "CMT Events", "d:Description": "Laser and video projection for the event industry, based in Catford. Pictures, staff profiles, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Production/Laser_Effects", "url": "http://www.cmtevents.co.uk/"} +{"d:Title": "Folk Play Research", "d:Description": "Large indexed collection of folk play scripts, research databases, and classified links to performers', and related academic websites.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://www.folkplay.info/"} +{"d:Title": "The Internet Broadway Database", "d:Description": "A database of shows produced on Broadway, including all \"title page\" information about each production.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://ibdb.com/"} +{"d:Title": "Aaron Shepard's RT Page", "d:Description": "Resources for reader's theater, including the acclaimed multicultural script series for young people, Reader's Theater Editions.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://www.aaronshep.com/rt/"} +{"d:Title": "Kico Gonzalez Risso's Opera Translations and Plays", "d:Description": "English versions of popular operas, The Magic Flute, and Don Pasquale, plus selected plays by Canadian playwright and director Kico Gonzalez-Risso, are described on this site. Audio samples provided.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://www3.telus.net/kigori/"} +{"d:Title": "Library of Congress: Variety Stage", "d:Description": "Features over 140 theater playbills and programs that provide information about variety theater productions, including names of performers, productions, the different acts that comprised an evening's entertainment, and advertisements.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://lcweb2.loc.gov/ammem/vshtml/vsprgbl.html"} +{"d:Title": "Doollee's Database", "d:Description": "Database of plays and playwrights, whose aim is to list all playwrights and their plays, written, adapted or translated into English. With over 20,000 entries.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://www.doollee.com/"} +{"d:Title": "Internet Off-Broadway Database", "d:Description": "Lists productions playing Off-Broadway from the beginning to the present.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://www.lortel.org/LLA_archive/index.cfm"} +{"d:Title": "Playdatabase.com", "d:Description": "Searchable database of plays and monologues.", "topic": "Top/Arts/Performing_Arts/Theatre/Reference", "url": "http://www.playdatabase.com/"} +{"d:Title": "UK Theatre Network", "d:Description": "Includes areas to post requirements for characters, to find suitable parts. Offers training resources, and free websites.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.uktheatre.net/"} +{"d:Title": "Rogues and Vagabonds", "d:Description": "Concentrating primarily on British theatre for professional actors and theatre lovers. Includes a picture gallery, quotes and anecdotes, reviews, news and email first night cards.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.roguesandvagabonds.co.uk/"} +{"d:Title": "StageAgent.com", "d:Description": "Resource portal to the performers and producers of musicals, dramas, and operas. Includes auditions, current shows, help for producers, and information about musicals, dramas, and operas of shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.stageagent.com/"} +{"d:Title": "AmericanTheaterWeb.com", "d:Description": "Guide and directory to theatres and shows throughout the United States. Offers users the choice to create personalized settings, talk in a chat forum, read announcements, and view listings.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.americantheaterweb.com/"} +{"d:Title": "Theatre Forum", "d:Description": "International theatre journal which includes at least two previously unpublished scripts, dozens of photographs, and a variety of articles and interviews written by both scholars and artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www-theatre.ucsd.edu/TF/"} +{"d:Title": "Realm of Theatre", "d:Description": "A site dedicated to theatre persons in search of monologues, solos, duet acting scenes, or duet musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.angelfire.com/realm/theatre/index.html"} +{"d:Title": "Pioneer Drama Service", "d:Description": "Provides acting editions and licensing to theatrical productions written for the middle-high school, college, church and community theatre markets.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.pioneerdrama.com/"} +{"d:Title": "The British Theatre Guide", "d:Description": "A guide to all aspects of British Theatre for both the amateur and professional. Includes directors, history, theatres, and theatre companies.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.britishtheatreguide.info/"} +{"d:Title": "Dramatiques", "d:Description": "Message board for help and advice on anything theatre related.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.voy.com/117042/"} +{"d:Title": "Louis E. Catron's Web Site", "d:Description": "Portal for creative writers, authors of non-fiction, playwrights, actors, directors, designers, technicians, and all who are permanent students of the arts. Features such as job information, copyright law, stage directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://lecatr.people.wm.edu/"} +{"d:Title": "Regional and Off-BroadwayTheatre Guides", "d:Description": "Lists important dates, directors and audition information for productions planned throughout the USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.aclbooks.net/"} +{"d:Title": "Medieval Drama Links", "d:Description": "A list of over 250 reviewed sites related to Medieval Drama, covering aspects including texts, articles, performance, music and dance.", "topic": "Top/Arts/Performing_Arts/Theatre/Resources", "url": "http://www.the-camerino-players.com/medievaldrama/"} +{"d:Title": "Heuer Publishing's HitPlays.com", "d:Description": "Offers over 200 plays and musicals especially for schools and community theatre, with Show Finder tool to browse by type and genre. Includes posters, t-shirts and theatrical resources.", "topic": "Top/Arts/Performing_Arts/Theatre/Scripts", "url": "http://www.hitplays.com/"} +{"d:Title": "TLC Creative", "d:Description": "Writers of bespoke and off-the-peg scripts for pantomimes, short plays and sketches.", "topic": "Top/Arts/Performing_Arts/Theatre/Scripts", "url": "http://www.tlc-creative.co.uk/"} +{"d:Title": "A Play in Progress", "d:Description": "Billed as a play \"Not for people who want heroes, villains and easy answers.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Scripts", "url": "http://www.angelfire.com/home/talespinner2/play.html"} +{"d:Title": "WriteOn", "d:Description": "A group of writers, actors and directors producing new dramatic writing in Cambridge, UK. Includes a series of newsletters about their performances and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Scripts", "url": "http://www.writeon.org.uk/"} +{"d:Title": "Ye Olde Catalogue of Boy Scout Skits", "d:Description": "Dozens of campfire skits to be performed and witnessed by Boy Scouts.", "topic": "Top/Arts/Performing_Arts/Theatre/Scripts", "url": "http://burtleburtle.net/bob/scout/"} +{"d:Title": "Atlanta Shakespeare Tavern", "d:Description": "An experience centered on the passion and poetry of the spoken word, with live music, hand-crafted period costumes, and outrageous sword fights.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare", "url": "http://www.shakespearetavern.com/"} +{"d:Title": "Shakespeare's Globe Center", "d:Description": "Promoting activities that encourage people to see Shakespeare's work as works of theatre to be experienced, not simply as works of dramatic literature to be read.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare", "url": "http://www.sgc.umd.edu/"} +{"d:Title": "European Shakespeare Research Association (ESRA)", "d:Description": "Facilitates research into Shakespeare as a European phenomenon, as well as the afterlives of the man and his work on the European Continent and in Britain.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare", "url": "http://www.um.es/shakespeare/esra/"} +{"d:Title": "Time Out London - Shakespeare Plays in London", "d:Description": "A guide to Shakespeare productions in London, UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare", "url": "http://www.timeout.com/london/theatre/shakespeare-plays-in-london"} +{"d:Title": "Old Globe Theatre", "d:Description": "Built in 1935, as part of the California Pacific International Exposition, for the presentation of abridged versions of Shakespeare's plays, the Globe is San Diego's largest arts institution and among the nation's top-ranked regional theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare", "url": "https://www.theoldglobe.org/"} +{"d:Title": "Shakespeare Under The Stars", "d:Description": "An annual two-week season of Shakespeare in Townsville, North Queensland, Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals", "url": "http://www.shakespeare-under-the-stars.com/"} +{"d:Title": "Bard on the Beach", "d:Description": "Striving to bring the works of the playwright to life as intended: raw and outside, on Sydney\u2019s foreshores and beyond.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals", "url": "http://www.bardonthebeach.net/"} +{"d:Title": "Shakespeare in the Streets", "d:Description": "A Shakespeare festival in Brazil.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals", "url": "http://www.butoh.com.br/shake/ingles/index.html"} +{"d:Title": "York Shakespeare Festival", "d:Description": "A professional, non-profit theatre company performing in a tent at Fairy Lake Park, Newmarket.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://www.resurgence.on.ca/"} +{"d:Title": "The Stratford Festival of Canada", "d:Description": "North America's largest classical repertory theatre, presenting the works of William Shakespeare and other great writers.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://www.stratfordfestival.ca/"} +{"d:Title": "Shakespeare on the Saskatchewan Festival", "d:Description": "Bursts into life each year in its tents on the beautiful banks of the South Saskatchewan River in Saskatoon, with a contemporary approach to Shakespeare.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://www.shakespeareonthesaskatchewan.com/"} +{"d:Title": "Bard on the Beach", "d:Description": "A professional summer festival in Vancouver. Information on past and present seasons, the gift shop, and out-of-season activities.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://www.bardonthebeach.org/"} +{"d:Title": "Shakespeare by the Sea Festival", "d:Description": "Home of Newfoundland's longest running outdoor Shakespeare festival.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://www.shakespearebytheseafestival.com/"} +{"d:Title": "Free Will Shakespeare Festival", "d:Description": "Produced by Free Will Players, a not-for-profit, professional theatre company in Edmonton.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://freewillshakespeare.com/"} +{"d:Title": "Greater Victoria Shakespeare Festival", "d:Description": "takes place on the grounds of Camosun College's Lansdowne Campus. Upcoming shows, ticket prices and parking information. Victoria, BC, Canada.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://www.vicshakespeare.com/"} +{"d:Title": "Vancouver Island Shakespeare Arts Society", "d:Description": "An outdoor annual Shakespeare festival. Performing in Victoria, Nanaimo and the Saanich Peninsula", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/Canada", "url": "http://www.vancouverislandshakespearearts.com/"} +{"d:Title": "Shakespeare in the Park", "d:Description": "Performed in the Italian Garden of Maple Hayes School in Lichfield, Staffordshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_Kingdom", "url": "http://www.sitp.org.uk/"} +{"d:Title": "Stamford Shakespeare Festival", "d:Description": "The summer season of plays is performed at Rutland Open Air Theatre in the grounds of an historic Elizabethan manor house, Tolethorpe Hall.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_Kingdom", "url": "http://www.stamfordshakespeare.co.uk/"} +{"d:Title": "Shakespeare at Traquair", "d:Description": "Productions take place outdoors in the grounds of Traquair, Scotland's oldest inhabited house.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_Kingdom", "url": "http://www.shakespeare-at-traquair.co.uk/"} +{"d:Title": "Bard in the Botanics", "d:Description": "Shakespeare in the open air at the Botanic Gardens, Glasgow.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_Kingdom", "url": "http://www.bardinthebotanics.co.uk/"} +{"d:Title": "Shakespeare in Central Park", "d:Description": "Free performances in Central Park during the summer, including at least one Shakespeare production each season, have become one of New York's most beloved cultural traditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.publictheater.org/"} +{"d:Title": "The South Carolina Shakespeare Company", "d:Description": "Performs to audiences throughout the state. Includes season schedule and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespearesc.org/"} +{"d:Title": "Alabama Shakespeare Festival", "d:Description": "Attracting more than 300,000 visitors a year, ASF produces 14 world-class productions annually, including classical works, contemporary plays, lavish musicals, and new works commissioned by the Festival's Southern Writers' Project.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.asf.net/"} +{"d:Title": "Grand Valley Shakespeare Festival", "d:Description": "One of west Michigan's unique annual cultural events.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.gvsu.edu/shakes/"} +{"d:Title": "California Shakespeare Festival", "d:Description": "Central California's premier Shakespeare Festival, located in the Oakland Hills.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.calshakes.org/"} +{"d:Title": "Cincinnati Shakespeare Festival", "d:Description": "Southwest Ohio's professional classical theatre, offering nine productions annually. A resident company of 10-15 actors from around the country performs all roles in a year-round season of the best of Shakespeare and the classics.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.cincyshakes.com/"} +{"d:Title": "Colorado Shakespeare Festival", "d:Description": "One of the top three Shakespeare festivals in the nation.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.coloradoshakes.org/"} +{"d:Title": "Florida Shakespeare Theatre", "d:Description": "Providing the South Florida community with classical and contemporary theatrical productions of artistic excellence.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.afn.org/~theatre/"} +{"d:Title": "Utah Shakespearean Festival", "d:Description": "Performs a diverse range of material and offers education programs each summer and fall in Cedar City, Utah. Schedules, ticket information, and other details.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.bard.org/"} +{"d:Title": "Shakespeare in the Park", "d:Description": "Presented by GreenStage, a non-profit, non-professional theatre organization in Seattle.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.greenstage.org/"} +{"d:Title": "San Francisco Shakespeare Festival", "d:Description": "Free Shakespeare in Golden Gate Park.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.sfshakes.org/"} +{"d:Title": "Pennsylvania Shakespeare Festival", "d:Description": "Experience the passion, magic, and comedy of the Bard's masterpieces in Pennsylvania's scenic Lehigh Valley, halfway between Philadelphia and New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.pashakespeare.org/"} +{"d:Title": "Shakespeare at Benbow Lake", "d:Description": "The 1999 season saw an exclusive engagement for the Anglian Open Air Shakespeare Company, direct from England.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.asis.com/bard/"} +{"d:Title": "Heart of America Shakespeare Festival", "d:Description": "A much-anticipated annual event in the intimate setting of Kansas City's Southmoreland Park.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.kcshakes.org/"} +{"d:Title": "Shakespeare Festival of Dallas", "d:Description": "A tradition in Dallas since 1972, and the second oldest free Shakespeare festival in the country.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespearedallas.org/"} +{"d:Title": "Shakespeare under the Stars", "d:Description": "Productions in the outdoor amphitheatre at The EmilyAnn Theatre, Wimberley, Texas.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.emilyann.org/"} +{"d:Title": "Idaho Shakespeare Festival", "d:Description": "Idaho's premier professional repertory theatre company performs Shakespeare in a world-class, outdoor amphitheatre beside the Boise River.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.idahoshakespeare.org/"} +{"d:Title": "The Pasadena Shakespeare Company", "d:Description": "A non-profit professional theatre company dedicated to presenting high-quality, accessible productions of Shakespeare's plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.pasadenashakespeare.com/"} +{"d:Title": "Shakespeare by the Sea", "d:Description": "Performed at Point Fermin Park, San Pedro, and on tour along the West Coast. All performances are free.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespearebythesea.org/"} +{"d:Title": "Texas Shakespeare Festival", "d:Description": "In residence on the campus of Kilgore College.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.texasshakespeare.com/"} +{"d:Title": "Lake Tahoe Shakespeare Festival", "d:Description": "Shakespeare reigns on the banks of Lake Tahoe's Sand Harbor.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.laketahoeshakespeare.com/"} +{"d:Title": "Hudson Valley Shakespeare Festival", "d:Description": "A well-known and respected regional theatre. Shakespeare scholars, critics and enthusiastic fans have lauded its spare, clear and compelling productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.hvshakespeare.org/"} +{"d:Title": "The Theater At Monmouth", "d:Description": "Professional productions of the world's greatest classical plays in rotating repertory. Shakespeare is the touchstone and standard. Performances are held in beautiful Cumston Hall, Monmouth, Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.theateratmonmouth.org/"} +{"d:Title": "Marin Shakespeare Company", "d:Description": "Achieving excellence in the staging and study of Shakespearean plays, in Marin County, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.marinshakespeare.org/"} +{"d:Title": "Shakespeare&Company", "d:Description": "Shakespeare productions, in-depth classical training and performance methods, in Lenox, Massachusetts.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespeare.org/"} +{"d:Title": "First Folio Theatre", "d:Description": "Equity, not-for-profit theatre specializing in Shakespeare and other classic works at three performance venues based at Mayslake Peabody Estate Forest Preserve in Oak Brook, IL.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.firstfolio.org/"} +{"d:Title": "Austin Shakespeare Festival", "d:Description": "Shakespeare under the stars in central Texas. Free admission.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.austinshakespeare.org/"} +{"d:Title": "Shakespeare in Delaware Park", "d:Description": "A summer tradition in Buffalo, NY, since 1976 - attracting more than 50,000 patrons each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespeareindelawarepark.org/"} +{"d:Title": "The North Carolina Shakespeare Festival", "d:Description": "One of the state's largest professional theatres, performing in North Carolina and surrounding states for more than 26 weeks each year.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.ncshakes.org/"} +{"d:Title": "Delaware Shakespeare Festival", "d:Description": "Provides an opportunity to enjoy professional productions of Shakespeare while casually dining picnic-style under the stars.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.delshakes.org/"} +{"d:Title": "Southwest Shakespeare Company", "d:Description": "Non-profit, professional theatre company based in Mesa, Arizona, dedicated to performing Shakespeare and other classics.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.swshakespeare.org/"} +{"d:Title": "Great River Shakespeare Festival", "d:Description": "Professional Shakespearean artists from across the United States perform in southeastern Minnesota.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.grsf.org/"} +{"d:Title": "Coronado Playhouse Outdoor Shakespeare Festival", "d:Description": "San Diego's oldest community theatre presents an annual outdoor Shakespeare Festival in August and September.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.coronadoplayhouse.com/"} +{"d:Title": "Oregon Shakespeare Festival", "d:Description": "The largest non-profit theatre in the nation.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.osfashland.org/"} +{"d:Title": "St. Louis Shakespeare", "d:Description": "Summer and winter seasons devoted to the Bard.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.stlshakespeare.org/"} +{"d:Title": "Nashville Shakespeare Festival", "d:Description": "Performing Shakespeare in Centennial Park, Nashville.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.nashvilleshakes.org/"} +{"d:Title": "Los Gatos Shakespeare Festival", "d:Description": "Produced by Festival Theatre Ensemble in Oak Meadow Park, Los Gatos, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.lgshakes.org/"} +{"d:Title": "Nebraska Shakespeare Festival", "d:Description": "A non-profit, professional theatre company based in Omaha, and a founding member of the Shakespeare Theatre Association of America.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.nebraskashakespeare.com/"} +{"d:Title": "Shakespeare on the Square", "d:Description": "The Tennessee Stage Company, located in Knoxville, is a professional theatre company offering a summer season of Shakespeare and year-round plays, readings and acting classes.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://tennesseestage.com/"} +{"d:Title": "American Shakespeare Center (ASC)", "d:Description": "Two touring theater troupes that criss-cross the country, performing to over 60,000 people annually at colleges, high schools, festivals, and performing arts centers.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.americanshakespearecenter.com/"} +{"d:Title": "Shakespeare&Company", "d:Description": "Minnesota's longest-running outdoor classical repertory theatre. Enjoy performances of Shakespeare and other classical plays in an informal picnic atmosphere.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://shakespeareandcompany.org/"} +{"d:Title": "Shakespeare Orange County", "d:Description": "Presents Shakespearean productions at The Festival Amphitheatre in Garden Grove, southern California.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespeareoc.org/"} +{"d:Title": "Houston Shakespeare Festival", "d:Description": "Performances are held at the Miller Outdoor Theatre, Hermann Park.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.houstonfestivalscompany.com/hsf/"} +{"d:Title": "Fairbanks Shakespeare Theatre", "d:Description": "The world's northernmost company, set amidst the boreal splendor of Alaska's birch forest, presenting Shakespeare with artistic integrity, innovative design and quality production values.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://fstalaska.org/"} +{"d:Title": "Hamptons Shakespeare Festival", "d:Description": "Critically acclaimed free outdoor theater entering its fifth anniversary season on eastern Long Island.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.hamptons-shakespeare.org/"} +{"d:Title": "Seattle Shakespeare Festival", "d:Description": "The only professional theatre in Washington State dedicated to the work of the world's greatest dramatist.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.seattleshakespeare.org/"} +{"d:Title": "Kentucky Shakespeare Festival", "d:Description": "A non-profit theatre company founded in 1960 and the oldest of the six, free, professional, independently-operated Shakespeare festivals in the United States.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.kyshakespeare.com/"} +{"d:Title": "Michigan Shakespeare Festival", "d:Description": "Productions presented at the Baughman Theatre at Jackson College and at the Village Theatre. Jackson.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.michiganshakespearefestival.com/"} +{"d:Title": "Shakespeare Center of Los Angeles", "d:Description": "Integrates a spring fund-raising event featuring notable Hollywood actors, with free union-contracted outdoor summer theatrical productions, and highly acclaimed arts-in-education and community outreach programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespearecenter.org/"} +{"d:Title": "Young Shakespeare Players", "d:Description": "A children's theatre program in which young people perform full-length works of Shakespeare.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://youngshakespeareplayers.org/"} +{"d:Title": "Virginia Shakespeare Festival", "d:Description": "In 1753, Williamsburg was home to the first professional Shakespeare production in the New World. Today, the annual summer festival continues the tradition on the campus of the College of William and Mary.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.wm.edu/as/vsf/"} +{"d:Title": "Montana Shakespeare in the Parks", "d:Description": "A non-profit theatrical touring company from Bozeman, providing free Shakespeare performances to communities in Montana, Wyoming, and Idaho.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespeareintheparks.org/"} +{"d:Title": "American Players Theatre", "d:Description": "Shakespeare and fine classical productions in a beautiful hilltop amphitheatre close to Spring Green, Wisconsin.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://americanplayers.org/"} +{"d:Title": "New Jersey Shakespeare Festival", "d:Description": "The state's only professional theatre company dedicated to the presentation of Shakespeare's canon and other classic dramatic entertainments for the cultural enrichment of the community.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.shakespearenj.org/"} +{"d:Title": "The Cleveland Shakespeare Festival", "d:Description": "Producing accessible Shakespearian Theater for the people of North-eastern Ohio since 1998.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.cleveshakes.com/"} +{"d:Title": "Santa Cruz Shakespeare", "d:Description": "A festival known for its daring interpretations and outdoor performances in the Festival Glen on the UCSC campus.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "https://www.santacruzshakespeare.org/"} +{"d:Title": "Illinois Shakespeare Festival", "d:Description": "Plays are performed on the grounds of Ewing Manor in Bloomington. History, box office information, touring company, and membership levels.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://illinoisshakes.com/"} +{"d:Title": "Door Shakespeare", "d:Description": "A not-for-profit professional theatre company based in Door County, Wisconsin.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.doorshakespeare.com/"} +{"d:Title": "Harrisburg Shakespeare Company", "d:Description": "Presenting innovative, accessible, and professional theatrical productions for the cultural enrichment of Pennsylvania's Capital Region.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://www.gamuttheatre.org/hsc/"} +{"d:Title": "No Holds Bard Shakespeare Festival", "d:Description": "Performs Shakespeare in general light, with minimal sets, energetic acting and lots of audience interaction. Ouray, Colorado.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Festivals/United_States", "url": "http://noholdsbard.rocks/"} +{"d:Title": "Proper Elizabethan Accents", "d:Description": "Pronunciation, vocabulary, grammar, forms of address, insults and cursing, and songs of the times.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.renfaire.com/Language/index.html"} +{"d:Title": "Bardolatry", "d:Description": "Devoted to story telling, and particularly to the plays of Shakespeare as adapted on the modern stage and screen.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.bardolatry.com/"} +{"d:Title": "Shakespeare 4 Kidz", "d:Description": "An innovative Web site for children, with interactive features and everything you need to know about putting on your very own Shakespearean extravaganza.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.shakespeare4kidz.com/"} +{"d:Title": "The First Folio", "d:Description": "Provides information and pictures relating to the compilation of the First Folio of 1623 containing the plays attributed to William Shakespeare.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.william-shakespeare.info/william-shakespeare-first-folio.htm"} +{"d:Title": "Shakespeare in Costume", "d:Description": "Creates costumes for many of Shakespeare's characters in authentic, period detail for school and family use.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.shakespeareincostume.com/"} +{"d:Title": "Easy Plays from Shakespeare", "d:Description": "Ten complete scripts.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.aizawa.2y.net/sp/English.htm"} +{"d:Title": "PlayShakespeare.com", "d:Description": "Free Shakespeare resources, including all plays, a discussion forum, document repository and reviews of Shakespearean productions around the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.playshakespeare.com/"} +{"d:Title": "ShakeFest", "d:Description": "A Blog relating to a miniature Shakespeare festival designed to be performed by kids or adults who know nothing about Shakespeare.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://shakefest.blogspot.com/"} +{"d:Title": "Kids Love Shakespare", "d:Description": "The plays of Shakespeare adapted into 40-minute scripts that are perfect for elementary students. Many free resources for producing children's plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.kidsloveshakespeare.com/"} +{"d:Title": "Shaksper - Global Shakespeare Conference", "d:Description": "Provides reference materials, new papers, a listserv, and scholarly criticism on new works.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.shaksper.net/"} +{"d:Title": "How to Perform Shakespeare", "d:Description": "A look at understanding the text, character development, emotional action, and some performance hints and tips.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "https://www.theatrefolk.com/spotlights/performing-shakespeare-monologues"} +{"d:Title": "Shakespeare Sonnets", "d:Description": "Quick links to Shakespeare's sonnets.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://shakespeare.mit.edu/Poetry/sonnets.html"} +{"d:Title": "The Shakespeare Standard", "d:Description": "Discussion, news and articles covering Shakespeare education, theater, events, media, and pop culture.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.theshakespearestandard.com/"} +{"d:Title": "Project Hamlet", "d:Description": "Introduction, history, analysis and interpretation of Shakespeare's tragedy 'Hamlet' and its major screen adaptations.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "https://thehamletproject.com/"} +{"d:Title": "Shakespeare Globe Centre New Zealand", "d:Description": "Promotes, encourages and facilitates the performance, appreciation and study of the works of William Shakespeare and his contemporaries.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Resources", "url": "http://www.sgcnz.org.nz/"} +{"d:Title": "The Shakespeare Theatre", "d:Description": "Critically acclaimed, award-winning company based in Washington DC. Season includes three Shakespearean productions and two classics.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakespearetheatre.org/"} +{"d:Title": "Southwest Shakespeare Company", "d:Description": "Non-profit, professional theatre group in Mesa, Arizona, dedicated to performing the classics, and especially the works of William Shakespeare.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.swshakespeare.org/"} +{"d:Title": "Shakespeare 4 Kidz", "d:Description": "The National Shakespeare Company for children and young people in the United Kingdom.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakespeare4kidz.com/"} +{"d:Title": "The Royal Shakespeare Company", "d:Description": "Performs at its own theatres in Straford-upon-Avon, as well as in London, Newcastle and overseas. Plays: cast, locations and schedule. History, profile and news of the company. Online archive of photographs, paintings, costumes, designs, prompt books, and records from past productions.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.rsc.org.uk/"} +{"d:Title": "Judith Shakespeare Company", "d:Description": "Off-Off Broadway theatre ensemble in New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.judithshakespeare.org/"} +{"d:Title": "Chicago Shakespeare Theatre", "d:Description": "A professional theater venue/company located on Chicago's Navy Pier and features 510 seats on three levels around the courtyard theater's thrust stage. Also features a flexible 180-seat theater, a teacher resource center, an English pub, a Shakespearean bookstall, and a special events room.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.chicagoshakes.com/"} +{"d:Title": "Shakesperience Productions", "d:Description": "Non-profit educational theatre for youth, based in Waterbury, Connecticut, dedicated to bringing literature to life on stage and in the classroom.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakesperienceproductions.org/"} +{"d:Title": "Hudson Shakespeare Company", "d:Description": "Community theater in Hudson County and Jersey City, New Jersey. The site lists upcoming performances and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://hudsonshakespeare.org/"} +{"d:Title": "Australian Shakespeare Company", "d:Description": "Presenting Shakespeare's plays outdoors in outback, regional and metropolitan Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.australianshakespearecompany.com.au/"} +{"d:Title": "Theatre Nomad", "d:Description": "A London-based international touring company of innovative performers that has appeared at Shakespeare festivals around the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.theatrenomad.com/"} +{"d:Title": "Festival Theatre Ensemble", "d:Description": "Produces the Los Gatos Shakespeare Festival and tours California with a three-show package.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.festivaltheatreensemble.org/"} +{"d:Title": "Kings County Shakespeare Company", "d:Description": "Presenting productions of Shakespeare's plays in Brooklyn, New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.kingscountyshakespeare.org/"} +{"d:Title": "Queensland Shakespeare Ensemble", "d:Description": "Professional theatre company based in Brisbane, Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.qldshakespeare.org/"} +{"d:Title": "The Reduced Shakespeare Company", "d:Description": "One of the world's best touring comedy troupes, known for their fast, funny, and physical condensations of things serious.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.reducedshakespeare.com/"} +{"d:Title": "The Shakespeare Institute Players", "d:Description": "News, upcoming productions and archive productions information as well as the history of the student theatre group, based in Stratford-upon-Avon, Warwickshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakespeareinstituteplayers.co.uk/"} +{"d:Title": "A Fluid Movement", "d:Description": "Theatre and performing arts cultural collective in the Coachella Valley, California. Includes details of upcoming productions and events as well as involvement and casting opportunities.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.freewebs.com/afluidmovement/"} +{"d:Title": "Capital Classics Theatre Company", "d:Description": "Outdoor Shakespeare Festival in Hartford, Connecticut since 1991. Includes a program for school performances, as well as upcoming production schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.capitalclassics.org/"} +{"d:Title": "Artfarm Theater and Sustainable Living", "d:Description": "Cultivates quality theater with a commitment to simple living, environmental sustainability and social justice in Middletown, Connecticut. Features Shakespeare Everywhere!", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.art-farm.org/"} +{"d:Title": "Commonwealth Shakespeare Company", "d:Description": "Presenting free performances of Shakespeare's plays every summer on Boston Common.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.commshakes.org/"} +{"d:Title": "Bird-On-A-Cliff Theatre Company", "d:Description": "Bird-On-A-Cliff Theatre Company presents outdoor Shakespeare with no admission fees on the Comeau Property in Woodstock, New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.birdonacliff.org/"} +{"d:Title": "Orlando Shakespeare Theater", "d:Description": "Produces professional theater, develops new plays, and provides educational experiences for the community.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://orlandoshakes.org/"} +{"d:Title": "Evergreen Shakespeare", "d:Description": "A student group at the Evergreen State College, producing Shakespeare for the Olympia, WA, community.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://academic.evergreen.edu/groups/shakespeare"} +{"d:Title": "Brentwood Shakespeare Company", "d:Description": "Performing Shakespeare at the Brentwood Theatre, Brentwood, Essex.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://bsco.blogspot.com/"} +{"d:Title": "Bournemouth Shakespeare Players", "d:Description": "Performing open-air Shakespeare and indoor plays in Dorset, England, in association with St. Peter's Players. The company's president is Dame Judi Dench.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.bshakespearep.org/"} +{"d:Title": "Harborside Shakespeare Company", "d:Description": "A community driven, nonprofit theatre company which seeks to keep the works of William Shakespeare relevant and accessible to contemporary audiences throughout Downeast Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.harborsideshakespeare.org/"} +{"d:Title": "Shapespeare In Action", "d:Description": "A multi-racial classical repertory company with a strong commitment to arts in education. Describes the program, master classes and teacher resources. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakespeareinaction.org/"} +{"d:Title": "Brussels Shakespeare Society", "d:Description": "Performs a range of plays as well as Skakespeare. Past and future productions, membership information, and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://shaksoc.theatreinbrussels.com/"} +{"d:Title": "Shakespeare at the Tobacco Factory", "d:Description": "An acclaimed professional theatre company based in Bristol, England.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://stf-theatre.org.uk/"} +{"d:Title": "Shakespeare Theatre Association", "d:Description": "A forum for the artistic, managerial, educational leadership for theatres primarily involved with the production of the works of William Shakespeare.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.stahome.org/"} +{"d:Title": "The Shakespeare Company", "d:Description": "Classical theatre group. Calgary, Alberta.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakespearecompany.com/"} +{"d:Title": "Shakespeare Kelowna Theatre Company", "d:Description": "Presenting unique interpretations of the Bards works'. Kelowna, BC, Canada.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakespearekelowna.org/"} +{"d:Title": "Richmond Shakespeare Society", "d:Description": "An amateur dramatic society based in Twickenham, South West London.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.richmondshakespeare.org.uk/"} +{"d:Title": "The Shakespeare Players", "d:Description": "A school company regularly performing Shakespeare's plays in English in Berlin, Germany.", "topic": "Top/Arts/Performing_Arts/Theatre/Shakespeare/Theatre_Companies", "url": "http://www.shakespeare-players.com/"} +{"d:Title": "Kjartan Poskitt's Shows", "d:Description": "Details of published shows with music demos including \"Henry The Tudor Dude\" and \"The Rumpelstiltskin Racket\". As performed by both amateur and professional groups in the UK and around the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.kjartan.co.uk/"} +{"d:Title": "Ulalena", "d:Description": "A spectacular stage production based on the historical legends of Hawaii.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.mauitheatre.com/"} +{"d:Title": "Triple Espresso", "d:Description": "A highly caffeinated comedy. The hit show that has charmed audiences around the country.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.tripleespresso.com/"} +{"d:Title": "Spiders", "d:Description": "New play explores the tension-fraught friendship between a gay and a straight man who work together at a Los Angeles clothing store.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://elprods.tripod.com/"} +{"d:Title": "Shear Madness", "d:Description": "A comedy whodunit where the audience gets to catch the killer. The longest running off-broadway play in American Theatre History.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.shearmadness.com/"} +{"d:Title": "Two on the Aisle, Three in a Van", "d:Description": "The website for the backstage comedy by Mary Lynn Dobson.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.2ontheaisle3inavan.com/"} +{"d:Title": "Putz", "d:Description": "A comedy in two acts about a father and son.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://pw1.netcom.com/~druxy/Putz.htm"} +{"d:Title": "2 Pianos, 4 Hands", "d:Description": "Dykstra and Greenblatt's comedy is about music, coming of age, and two characters' curious obsessions with the piano.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.2pianos4hands.com/"} +{"d:Title": "Out of the Cubicle", "d:Description": "Original play written and directed by Mickey Blaine.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.717productions.net/"} +{"d:Title": "The Pumpkin Pie Show", "d:Description": "An all-points artistic hodgepodge of music, theatre, and literature that is entertaining and truly original.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.pumpkinpieshow.com/"} +{"d:Title": "The Most Fabulous Story Ever Told", "d:Description": "Baltimore's production of Rudnick's gay Bible story.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.angelfire.com/home/MostFab/"} +{"d:Title": "Life is Rhythm", "d:Description": "A show which mixes African percussion, tap dance, voice, and sand dance.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.camutband.com/"} +{"d:Title": "Robert Burns Live", "d:Description": "Christopher Tait performs as the world's most famous poet, Robert Burns, in full period costume and wig.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.robertburnslive.co.uk/"} +{"d:Title": "A Celtic Christmas", "d:Description": "Native Irish storyteller, Tomaseen Foley, lovingly recreates a Christmas night in 1940's west Ireland, featuring traditional Celtic music, singing, and world champion step dancing.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.tomaseenfoley.com/"} +{"d:Title": "Les Juifs De Salonique", "d:Description": "The official site of the show containing all the information such as venue, dates, and tickets prices.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.lesjuifs.i8.com/"} +{"d:Title": "Icarus", "d:Description": "A tale of philosophy that sets the foundation of civilization. A battle is to take place between good and evil; between free will and enslavement.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://icaruspharo.tripod.com/"} +{"d:Title": "Stone Crabs", "d:Description": "A physical theatre performance about domestic violence.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.stonecrabs.co.uk/"} +{"d:Title": "Asylum", "d:Description": "Brief review of Brett Rickaby's play. Includes pictures.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.jamesdenton.com/filmography-Asylum.html"} +{"d:Title": "Paper Son", "d:Description": "Paper Son is Byron Yee's critically acclaimed solo show about growing up in Oklahoma, moving to California, becoming a stand-up comedian, then finding his heritage.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.paperson.com/"} +{"d:Title": "Tonight's the Night", "d:Description": "Fan site for a musical by Ben Elton about Rod Stewart, with pictures, news, message board, and cast information. Playing in London's West End.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.tonightsthenight.4t.com/"} +{"d:Title": "Rebel Without A Cause (1955 - 2005)", "d:Description": "Based on the screenplay by Stewart Stern, the drama is in limited engagement and runs through October 30, 2005.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.rebelwithoutacause.net/"} +{"d:Title": "Mahler: The Man Who Was Never Born", "d:Description": "An existentialist play that tells of the invasion of a man's soul, his will and the relinquishing of his soul to nonexistence. Written by Gerard Denza, showing in New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.angelfire.com/ny5/mahler/"} +{"d:Title": "Glasgow Gang Show", "d:Description": "Musical review performed by the boys and girls of Glasgow Scouts and Guides. Longest running show of its kind in the world (since 1936).", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.angelfire.com/hi2/glasgowgangshow/"} +{"d:Title": "Elvis People", "d:Description": "The story of Elvis Presley's impact on American culture. Includes a show history, press releases, and merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.elvispeople.com/"} +{"d:Title": "The Woman In Black", "d:Description": "Features biographies of the writers, current cast and director, tour dates, news, and the history of the play.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.thewomaninblack.com/"} +{"d:Title": "Bigger Than Jesus", "d:Description": "Explores Christianity through conflicting viewpoints, each embodied by a character obsessed with Jesus Christ.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.biggerthanj.com/"} +{"d:Title": "Shag With a Twist", "d:Description": "Review of a play from set in the \"Atomic Age\", where characters are involved in a murder at a Tupperware party.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.igoshows.com/reviews/review159.html"} +{"d:Title": "The Seagull", "d:Description": "Synopsis and review of a free performance of the Chekhov play starring Meryl Streep, Kevin Kline and Philip Seymour Hoffman.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://tiger_bythe_toe.tripod.com/"} +{"d:Title": "Under Milk Wood", "d:Description": "The NYC company of Under Milk Wood by Dylan Thomas performs on college and university campuses and selected commercial venues.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.arch.org/milkwood.htm"} +{"d:Title": "George M. Cohan, in his own words", "d:Description": "Biographical play about Cohan. Includes biographies of the playwright and star, with photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.chipdeffaa.com/cohaninhisownwords.html"} +{"d:Title": "The Mousetrap", "d:Description": "The longest running show in the world. Includes booking details, merchandise, show history, and information on the St. Martin's Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.the-mousetrap.co.uk/"} +{"d:Title": "Cat on a Hot Tin Roof", "d:Description": "Official website for the 2008 Broadway revival. Includes cast and creative team biographies, press, and merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.cat2008onbroadway.com/"} +{"d:Title": "Rock of Ages", "d:Description": "Broadway musical featuring the music of Journey, Whitesnake, Styx, Bon Jovi. Official website offers tickets, biographies, news, and merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.rockofagesmusical.com/"} +{"d:Title": "The 39 Steps", "d:Description": "Official site for the stage production of the Alfred Hitchcock film The 39 Steps. Features ticketing information, news, reviews, and biographies.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.love39steps.com/"} +{"d:Title": "The Law Of Java", "d:Description": "History and resources on 19th century playwright George Coleman and his play 'The Law Of Java' including a modern production performed by Royal Holloway University students in 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows", "url": "http://www.freewebs.com/thelawofjava/"} +{"d:Title": "August: Osage County - Wikipedia, the free encyclopedia", "d:Description": "Includes information on the Chicago, Broadway, National Tour, and London productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/August_Osage_County", "url": "http://en.wikipedia.org/wiki/August:_Osage_County"} +{"d:Title": "Washington Post: \"'August: Osage County: A Soapy Drama With No Guiding Light\"", "d:Description": "Review by Peter Marks. \"I am in total sympathy with my colleagues in the desire to find and crown the next great American play. Regrettably, the ersatz characters of \"August\" point in the direction of something that earns laughs but nothing more significant.\"", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/August_Osage_County", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2007/12/12/AR2007121202670.html"} +{"d:Title": "NPR: \"Family Discord a Hit in 'August: Osage County'\"", "d:Description": "Audio interview with Tracy Letts by Robert Siegel.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/August_Osage_County", "url": "http://www.npr.org/templates/story/story.php?storyId=17680929"} +{"d:Title": "BroadwayWorld.com: Photo Coverage: Barefoot in the Park's Opening Night", "d:Description": "Features photos of Patrick Wilson, Amanda Peet, Jill Clayburgh and Tony Roberts as well as other opening night attendees.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Barefoot_in_the_Park", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=7632"} +{"d:Title": "BroadwayWorld.com: Photo Coverage: Barefoot in the Park Meet and Greet", "d:Description": "The stars of the upcoming Barefoot in the Park revival gathered to pose for pictures.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Barefoot_in_the_Park", "url": "http://www.broadwayworld.com/viewcolumn.cfm?colid=6814"} +{"d:Title": "BWW.com 'Barefoot in the Park' and 'Jacques Brel'", "d:Description": "Richard Ridge of Broadway Beat presents a 30 minute video clip featuring the cast of 'Barefoot in the Park' and 'Jacques Brel is Alive and Well and Living in Paris'.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Barefoot_in_the_Park", "url": "http://broadwayworld.com/viewcolumn.cfm?colid=7779"} +{"d:Title": "New York Times Theater RevieW: Barefoot in the Park - Review", "d:Description": "Reviewer Ben Brantley describes the play as a 'torturous new revival'.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Barefoot_in_the_Park", "url": "http://theater2.nytimes.com/2006/02/17/theater/reviews/17park.html?ex=1297832400&en=10b8713c7ecabeef&ei=5088&partner=rssnyt&emc=rss"} +{"d:Title": "BroadwayWorld.com: Barefoot in the Park Revival to Close", "d:Description": "The revival of Barefoot in the Park, starring Amanda Peet, Patrick Wilson, Jill Clayburgh and Tony Roberts, will close at the Cort Theatre on Broadway on May 21, 2006.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Barefoot_in_the_Park", "url": "http://losangeles.broadwayworld.com/viewcolumn.cfm?colid=8899"} +{"d:Title": "New York Times: Great Minds Talk Volumes as Mortality Intervenes", "d:Description": "Review by Ben Brantley.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Coast_of_Utopia,_The/Royal_National_Theatre_Production_-_2002", "url": "http://www.racematters.org/tomstoppardstrilogyutopia.htm"} +{"d:Title": "BBC Newsnight: The Coast of Utopia", "d:Description": "Review by the Newsnight panel.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Coast_of_Utopia,_The/Royal_National_Theatre_Production_-_2002", "url": "http://news.bbc.co.uk/1/hi/programmes/newsnight/review/2190519.stm"} +{"d:Title": "Guardian Unlimited: The Coast of Utopia, National Theatre, London", "d:Description": "Review by Michael Billington.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Coast_of_Utopia,_The/Royal_National_Theatre_Production_-_2002", "url": "http://www.theguardian.com/stage/2002/aug/05/theatre.artsfeatures"} +{"d:Title": "For 'Doubt,' A Certain Magic", "d:Description": "A positive review by Washington Post staff writer Peter Marks. May require free registration.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Doubt", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2005/07/08/AR2005070800313.html"} +{"d:Title": "Talkin' Broadway Review: Doubt", "d:Description": "Theater reviewer Matthew Murray describes the play as thoughtful, well-crafted, passionate and hard to resist.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Doubt", "url": "http://www.talkinbroadway.com/page/world/Doubt.html"} +{"d:Title": "CurtainUp: Frost/Nixon", "d:Description": "Review by Elyse Sommer.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Frost_Nixon", "url": "http://www.curtainup.com/frostnixon.html"} +{"d:Title": "New York Times: Frost/Nixon", "d:Description": "\"This briskly entertaining new play by Peter Morgan has been staged with the momentum of a ticking-bomb thriller and the zing of a boulevard comedy.\" Review by Ben Brantley.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Frost_Nixon", "url": "http://theater2.nytimes.com/2007/04/23/theater/reviews/23fros.html"} +{"d:Title": "Washington Post: Frost/Nixon: When Needy Met Seedy", "d:Description": "\"To experience \"Frost/Nixon\" without Michael Sheen would be unfortunate. To imagine it without Frank Langella is impossible.\" Review by Peter Marks.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Frost_Nixon", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2007/04/22/AR2007042201450.html"} +{"d:Title": "The Monologue Database", "d:Description": "An alphabetical list of monologues from both classic and contemporary plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://www.notmyshoes.net/monologues/"} +{"d:Title": "Monologue Archive", "d:Description": "An assortment of public domain monologues taken from classic plays organized by gender and type.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://www.monologuearchive.com/"} +{"d:Title": "Shakespeare's Monologues", "d:Description": "A list of Shakespearean monologues categorized alphabetically by comedy, history and tragedy.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://www.shakespeare-monologues.org/"} +{"d:Title": "Naranja", "d:Description": "Offers dozens of women's monologues for auditions and theater classes.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://members.tripod.com/denmark01/naranja/"} +{"d:Title": "Monoblogue", "d:Description": "Monologues from the mind of playwright Cybele May.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://monoblogue.blogspot.com/"} +{"d:Title": "Horton's Free Monologues", "d:Description": "Free monologues for use by actors and students in auditions and classroom exercises.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://www.stagepage.info/monologs/_monologs.html"} +{"d:Title": "Ambermarie-Approved Monologues", "d:Description": "A collection of monologues from classical and contemporary plays and books.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://epicwords.tripod.com/monologues.html"} +{"d:Title": "ActorPoint.com: Free Monologues for Actors", "d:Description": "Offers audition monologues for men and women.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Monologues/Collections", "url": "http://www.actorpoint.com/monologue.html"} +{"d:Title": "Edgar Allan Poe: Once Upon A Midnight", "d:Description": "One-man play starring John Astin as author Edgar Allan Poe.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.astin-poe.com/"} +{"d:Title": "Tesla", "d:Description": "Original one-man play based on the life of Nikola Tesla, co-written by J. Michael Newlight and Frank Tabbita, featuring Frank Tabbita as a brilliant Serbian scientist Nikola Tesla.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.teslaplay.com/"} +{"d:Title": "Hey Gringo!", "d:Description": "A stand up trilogy of one man's adventures in Latin America. Synopsis, reviews, and biographies of the writer.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.petersearles.com/"} +{"d:Title": "The Hollywood Legends", "d:Description": "A catalogue of one-person and multiple character plays and musicals for the professional, college, and amateur theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://pw1.netcom.com/~druxy/"} +{"d:Title": "Brady Of Broadway", "d:Description": "A one-man play about Civil War photographer Mathew Brady as it was performed at the Smithsonian Institution. Information available on bookings for upcoming national tour.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.photographymuseum.com/Bradypg.html"} +{"d:Title": "Ennio Marchetto", "d:Description": "Ennio, the living cartoon. A mixture of origami, quick-change, mime, dance, music, over 45 different characters and lots of humor all by one person in one hour. Read the reviews and the show.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.enniomarchetto.com/"} +{"d:Title": "My Mother's Italian, My Father's Jewish and I'm in Therapy!", "d:Description": "A one-man show about blended families. Includes tour dates, press releases, audio and video clips, and merchandise.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.italianjewishtherapy.com/"} +{"d:Title": "PUB the play", "d:Description": "A one-man show written and performed by Joe Lazenby. Offers trailers for the piece, general show information, and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.pubtheplay.com/"} +{"d:Title": "Anomal: A Supernatural Play", "d:Description": "Show about a supernatural boy written and produced by mentalist Ehud Segev the Mentalizer.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.anomal.com/"} +{"d:Title": "Etty", "d:Description": "A touring one-woman play based on the diaries and letters of Etty Hillesum, adapted and performed by Susan Stein.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.ettyplay.org/"} +{"d:Title": "Bubbles Show - Jose Luis Lago.com", "d:Description": "Official website [English version] of Jose Luis Lago who does dramatic creations with bubbles as performance art.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.joseluislago.com/"} +{"d:Title": "Bob Bergen: So Here's The Deal! - Home", "d:Description": "Official site for the one-man show written by and starring voice-over actor Bob Bergen.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/One-Person", "url": "http://www.bobbergen.com/onemanshow/"} +{"d:Title": "USAToday.com: Roberts Steps Out for Broadway Debut", "d:Description": "If you've been praying for Three Days of Rain, the wait is over: Julia Roberts begins previews in director Joe Mantello's production of the Richard Greenberg play Tuesday at the Bernard B. Jacobs Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Three_Days_of_Rain", "url": "http://www.usatoday.com/life/theater/news/2006-03-27-roberts_x.htm"} +{"d:Title": "NBC Today: Roberts' Star Power Dims on Broadway Stage", "d:Description": "The star power that is Julia Roberts doesn't shine as bright on Broadway as it does on the big screen. By Michael Kuchwara.", "topic": "Top/Arts/Performing_Arts/Theatre/Shows/Three_Days_of_Rain", "url": "http://www.today.com/id/12391557"} +{"d:Title": "Makeup Artist Network", "d:Description": "Information about the makeup industry.", "topic": "Top/Arts/Performing_Arts/Theatre/Special_Effects_Makeup", "url": "http://www.makeupartistnetwork.com/"} +{"d:Title": "Actresses in Character", "d:Description": "Before and after images of actresses transformed into fat, old, alien, disguised, disfigured characters and many images of the make-up process involved.", "topic": "Top/Arts/Performing_Arts/Theatre/Special_Effects_Makeup", "url": "http://members.tripod.com/~llwyd/index.htm"} +{"d:Title": "Makeup Mentors", "d:Description": "Networking site for makeup artists and assistants.", "topic": "Top/Arts/Performing_Arts/Theatre/Special_Effects_Makeup", "url": "http://www.makeupmentors.com/"} +{"d:Title": "Canadian Institute Of Theatre Technology", "d:Description": "Representing the Canadian live performance community.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://www.citt.org/"} +{"d:Title": "Professional Lighting and Sound Association", "d:Description": "Trade association within the entertainment technology industry.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://www.plasa.org/"} +{"d:Title": "U.S.Institute for Theatre Technology", "d:Description": "A union of theatre technology professionals.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://www.usitt.org/"} +{"d:Title": "Stagecraft Mailing List", "d:Description": "Mailing list which covers all aspects of stage work including design, construction, and production.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://stagecraft.theprices.net/"} +{"d:Title": "Technical Theatre Handbook", "d:Description": "Online book about stagecraft.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://www.gweep.net/~prefect/pubs/iqp/"} +{"d:Title": "Special Effects: A History", "d:Description": "A history of special effects and stagecraft in the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://www.tabula-rasa.info/DarkAges/SpecialEffects.html"} +{"d:Title": "Rigger's Page", "d:Description": "Ratings charts and usage information for a variety of theatrical rigging hardware.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://www.rigging.net/"} +{"d:Title": "Stage Seminars", "d:Description": "Technical theatre information aimed at high school teachers and students.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://www.hstech.org/"} +{"d:Title": "Southampton University Backstage Society", "d:Description": "Provides technical support to internal and external performing arts societies.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft", "url": "http://stagesoc.org.uk/"} +{"d:Title": "Broadway Press", "d:Description": "Publisher of many technical theatre books.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Books", "url": "http://www.broadwaypress.com/"} +{"d:Title": "Natya Shastra", "d:Description": "The Natya Shastra is the oldest surviving text on stagecraft in the world. This work lays down the fundamental Hindu concepts of art in general and music and dance in specific.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Books", "url": "http://www.chandrakantha.com/articles/indian_music/natyashastra.html"} +{"d:Title": "Moving-Lights.com", "d:Description": "Detailed information and specifications on nearly all of the moving lights and moving light controllers available.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics", "url": "http://www.moving-lights.com/"} +{"d:Title": "Association of Lighting Designers", "d:Description": "An association for stage lighting designers.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics", "url": "http://www.ald.org.uk/"} +{"d:Title": "Strand Archive", "d:Description": "An unofficial history of Strand Stage Lighting.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics", "url": "http://www.strandarchive.co.uk/"} +{"d:Title": "Lighting.com", "d:Description": "Resource for professionals in the field of lighting. Features a list of websites about lighting.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics", "url": "http://www.lighting.com/"} +{"d:Title": "Stage Lighting 101", "d:Description": "An introduction to stage lighting design, in several indexed chapters.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics", "url": "http://www.mts.net/~william5/sld.htm"} +{"d:Title": "The Lighting Resource", "d:Description": "Many articles about techniques and technology used in the lighting industry.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics", "url": "http://www.lightresource.com/index.html"} +{"d:Title": "Live Design", "d:Description": "Current and past articles from the magazine about lighting.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics", "url": "http://livedesignonline.com/"} +{"d:Title": "Ansell, Flick", "d:Description": "Freelance Lighting Designer based in the UK available for all performance and events projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.flickansell.com/"} +{"d:Title": "Hillmar, Gregg", "d:Description": "Designer for corporate and theatrical productions. Includes portfolio of designs.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.hillmardesign.com/"} +{"d:Title": "Bembridge, Brian", "d:Description": "Chicago based scenic and lighting designer. Includes portfolio and resumes.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://briansidneybembridge.com/"} +{"d:Title": "Conacher, Hugh", "d:Description": "Twenty years of lighting design and project management for the performing arts, film and video. A specialist in lighting for dance, theatre and music.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://hughconacher.com/"} +{"d:Title": "Thompson, Barbara E.", "d:Description": "Professional lighting designer for dance.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.lightingdance.com/"} +{"d:Title": "Lander, David", "d:Description": "Offers design services for theater, architectural, themes and special events. Includes production and location lighting information and photos, along with contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.landerlighting.com/"} +{"d:Title": "Luxious Lighting", "d:Description": "Provides design, programming, and consulting services for live events from theater to rock concerts to trade shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.luxious.com/"} +{"d:Title": "DreamVisible Lighting Design", "d:Description": "Lighting design for concerts, corporate shows, and touring. Information includes reviews, portfolio, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.dreamvisible.com/"} +{"d:Title": "Jkld Inc.", "d:Description": "Lighting design and production for fashion shows, special events, trade shows, retail display, architecture, television and theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.jkld.com/"} +{"d:Title": "Wilder, Andrew", "d:Description": "Lighting design for theatre, dance, concerts, trade-shows, corporate, and industrial events.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.andrewwilder.com/lighting.html"} +{"d:Title": "Primrose, Jon", "d:Description": "The lighting design work of UK based designer Jon Primrose.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.lightheart.co.uk/"} +{"d:Title": "Blue Hill Design", "d:Description": "Designs lighting for corporate events and theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.bluehilldesign.com/"} +{"d:Title": "Salzberg, Jeffrey E.", "d:Description": "A lighting designer specializing in dance. Includes portfolio, photos, reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.jeffsalzberg.com/"} +{"d:Title": "Couture, Alain", "d:Description": "Lighting Designer within the school of creators who fuses the many facets of up-to-date technologies.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.webdrifters.com/light/"} +{"d:Title": "Cooke, Nick", "d:Description": "Provides lighting design, operation, and technical services for shows and events. UK based. Includes personal information, photo galleries, and a links page.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.lightnix.dsl.pipex.com/"} +{"d:Title": "Hartley, Bryan", "d:Description": "Designs lighting for concerts and theatrical shows. Specializes in moving light programming and integrating special effects into a show.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.bryanhartley.com/"} +{"d:Title": "Woods, Steve", "d:Description": "Lighting designer of opera, dance, and theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.stevenwoods.org/"} +{"d:Title": "Welch, Jacob M.", "d:Description": "Freelance lighting designer working in theatre, dance, corporate, and opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.jacobwelch.com/"} +{"d:Title": "Mongold, Mark", "d:Description": "Lighting designer specializing in dance.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.mongold.org/"} +{"d:Title": "Hamburger, Susan", "d:Description": "Portfolio and Credits for New York based Theatre, Dance, Opera, and Corporate Event Lighting Designer Susan Hamburger.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.susanhamburger.com/"} +{"d:Title": "Farncombe-Fischer, Markus", "d:Description": "Includes pictures, press releases, and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.farncombe-fischer.com/"} +{"d:Title": "Jonathan, Mark", "d:Description": "Biography, picture gallery and press quotes of recent lighting designs for theatre, opera, ballet, dance and arena.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.markjonathan.co.uk/"} +{"d:Title": "Fischerm, Kai", "d:Description": "Theatre lighting and set designer based in Glasgow, UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.kaifischer.co.uk/"} +{"d:Title": "Ambrosone, John", "d:Description": "Lawrence Massachusetts based Lighting Designer working in Theater, Opera and Dance.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.johnambrosone.com/"} +{"d:Title": "Stiller, Michael", "d:Description": "Lighting Design is the on-line presence of Michael Stiller, Inc., a full service lighting design company specializing in television and live theatrical events.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.michaelstiller.com/"} +{"d:Title": "Tevelow, Benjamin C.", "d:Description": "This site contains portfolio photos, resume and references for Benjamin C. Tevelow, freelance designer, director and technician.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.angelfire.com/clone/shuttercut/"} +{"d:Title": "Veitch, Matthew", "d:Description": "Online portfolio, resume and contact resource. Includes photographs from recent productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://mattveitch.com/"} +{"d:Title": "Habeck, Michelle", "d:Description": "Chicago based Lighting, scenic and multimedia designer for the theater. Portfolio and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://habeckportfolio.com/"} +{"d:Title": "Miller, David", "d:Description": "UK based freelance lighting designer and moving light programmer David Miller. Site features CV and recent shows, as well as pictures.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.davidmillerlx.com/"} +{"d:Title": "Eagland, Matthew", "d:Description": "Biography and gallery of previous theatre lighting design work.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.matteagland.co.uk/"} +{"d:Title": "Fuller, Thom", "d:Description": "Includes personal scenic/lighting designs. Based in Missouri.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.freewebs.com/thom_fuller/"} +{"d:Title": "Hennessy, Sean", "d:Description": "A portfolio of a NYC based lighting designer. Includes documentation of past and present projects. Also featured are photographs, slideshows, a resume and online designer tools.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://portfolio.seanwich.com/"} +{"d:Title": "Weiner, David", "d:Description": "Lighting design for Theater, Film, Architecture, and Special Events. Includes project photographs and video.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.davidweinerdesign.com/"} +{"d:Title": "Becker, Tim - Fluid Lighting", "d:Description": "Founded by Tim Becker, Fluid Lighting is a lighting design firm that specializes in theatrical and architectural lighting designs that create environments that move and touch audiences.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.fluidlighting.com/"} +{"d:Title": "Beyer, Michael J.", "d:Description": "An online portfolio of theatrical designs - lighting and scenic.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.mjblightdesign.com/"} +{"d:Title": "chaoscenter", "d:Description": "Lighting and production design site for touring, corporate and television. Rolf Wenzel, designer based in Germany works euro- and worldwide for the entertainment industry.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.chaoscenter.net/"} +{"d:Title": "Mendelowitz, Kade", "d:Description": "Lighting Designer and Technical Director for the University of Alaska Fairbanks Theatre Department.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.alaskasbest.com/kade/"} +{"d:Title": "Bonner, Jon", "d:Description": "Theatrical Lighting and Set Designs. Also doing technical consultations and lighting system designs/installations.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.jonbonnerdesigns.com/"} +{"d:Title": "Greeson, Timothy", "d:Description": "New York City based Event Lighting Designer. Portfolio, client list and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.tlgld.com/"} +{"d:Title": "Tsutsui, Hideaki", "d:Description": "Lighting Designer: Hideaki Tsutsui's resume and pictures of his work.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.hideakitsutsui.com/"} +{"d:Title": "Pearson Lighting", "d:Description": "A lighting Design firm.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.pearsonlighting.com/"} +{"d:Title": "Sonia Pasqual", "d:Description": "Online lighting design portfolio and resume for theatre, dance, and opera. Includes photographs from recent productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.soniapasqual.net/"} +{"d:Title": "Lasiter, John", "d:Description": "Online portfolio of John Lasiter, New York City based Lighting and Scenic Designer for Theater, Opera and Dance. Portfolio, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.johnlasiter.com/"} +{"d:Title": "Klainer, Traci", "d:Description": "Online portfolio of Traci Klainer, New York City based Theater Lighting Designer. Portfolio, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.traciklainer.com/"} +{"d:Title": "Gallegos, William", "d:Description": "London based freelance lighting designer and lighting technician providing lighting and production services for theatre, corporate, and special occasions.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.willgallegos.co.uk/"} +{"d:Title": "Cooper, Charles", "d:Description": "Online portfolio of Charles Cooper, Oak Park Illinois based Theater Lighting Designer. Portfolio, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.cooperportfolio.com/"} +{"d:Title": "Clotfelter, James", "d:Description": "Professional portfolio of international lighting designer James Clotfelter. Images, CV, and links to relevant collaborators.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.jcld.net/"} +{"d:Title": "Mehta, Prema", "d:Description": "Lighting Design for theatre", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.premamehta.com/"} +{"d:Title": "dalton design ltd", "d:Description": "lighting and production design. television, concert, exhibit, event.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.mdaltondesign.com/"} +{"d:Title": "Keenan, Lee", "d:Description": "Digital portfolio of lighting and scenic designer Lee Keenan.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.leekeenan.com/"} +{"d:Title": "Hungerford, Andrew J.", "d:Description": "Lighting designer from MSU, site has production history with an abundance of images.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://lighting.ofdoom.com/"} +{"d:Title": "Rubinstein, Uri", "d:Description": "American/Israeli designer and teacher with 23 years experience; presently living in Paris, working around the world. Well-organized site with portfolio of impressive production photos, resume, and resources for designers and students.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://uri_rubinstein.tripod.com/"} +{"d:Title": "Rubenstahl, Ruby", "d:Description": "Website and Portfolio for lighting designer and technician Ruby Rubenstahl", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.ruby-lighting.com/"} +{"d:Title": "Feldman Designs LLC", "d:Description": "A full service design firm specializing in entertainment lighting.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.feldmandesigns.com/"} +{"d:Title": "Clyve, Scott", "d:Description": "Design and Consultaion the Entertainment Industry", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://sclightingdesigns.com/"} +{"d:Title": "Iannacone, Ernest W.", "d:Description": "Lighting, Set, Sound Design, Video and Production Services.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://iewilighting.com/"} +{"d:Title": "Poulis, Randy - Lightworkz", "d:Description": "Randy Poulis, MFA, is a professional lighting designer for theatre and film as well as an instructor in the discipline.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.lightworkz.ca/"} +{"d:Title": "Moity, Gilbert", "d:Description": "Based in Paris, Lighting Designer for Architectural Lighting and Events.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.gilbertmoity.com/"} +{"d:Title": "Wrightson, Ann", "d:Description": "Online portfolio of Ann Wrightson, New York City based Theater Lighting Designer. Portfolio, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.annwrightson.com/"} +{"d:Title": "Schlick, John", "d:Description": "John Schlick is a concert lighting designer. Photos of his work, articles that he has written about touring, and lighting related information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://exotic-lighting.com/"} +{"d:Title": "Mollner, David", "d:Description": "A freelance lighting designer with over 10 years of experience working on tradeshows, product launches, meetings, special events, concerts, and theater. Includes photos, resume, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.dmldc.com/index.htm"} +{"d:Title": "Meadow, Aaron", "d:Description": "Lighting Design Portfolio", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.meadowlight.com/"} +{"d:Title": "Sadler, David L.", "d:Description": "Contains production photos, news, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.ozlight.com/"} +{"d:Title": "Crick, Steve", "d:Description": "Professional lighting design for theatre, dance, opera and commercial projects. Images, credits and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.stevecrick.com/"} +{"d:Title": "Kongshaug, Jesper", "d:Description": "Lighting designer born in Denmark, now working internationally for theatre, opera, dance, events and television.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.jesperkongshaug.com/"} +{"d:Title": "Palma, Kim", "d:Description": "Photos of Kim Palma's theatrical and dance lighting designs with a link for email.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://performingarts.net/palma/"} +{"d:Title": "Harper, Jon", "d:Description": "Freelance designer of over 60 shows, based in Buffalo, NY. Includes design portfolio and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.jonharper.org/"} +{"d:Title": "Morrison, Charlie", "d:Description": "Lighting design for theatre, touring, live events, architecture, and themed environments across the USA and abroad.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Lighting_and_Electrics/Designers", "url": "http://www.cmlighting.com/"} +{"d:Title": "Prop Hire UK", "d:Description": "An information resource for student filmmakers and those unfamiliar with hiring props in the UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Props", "url": "http://www.prophireuk.com/"} +{"d:Title": "Stage-Setting and Scenery", "d:Description": "Sources recommended by a professor who specializes in the study of theatre set design.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design", "url": "http://www.infography.com/content/276051694190.html"} +{"d:Title": "ScenoGraphics", "d:Description": "Provides detailed set plans for over 125 popular Broadway plays and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design", "url": "http://www.scenographics.com/"} +{"d:Title": "Kay, Barry (1931-1985)", "d:Description": "Features designs and biographic data.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design", "url": "http://www.barry-kay-archive.org/"} +{"d:Title": "Eigsti, Karl", "d:Description": "Online portfolio of Karl Eigsti, New York City based Scenic Designer. Portfolio, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design", "url": "http://www.karleigsti.com/"} +{"d:Title": "Designing with Technology", "d:Description": "Blog focused on tips, tricks and tutorials for theatre design professionals using applications such as Photoshop, Vectorworks, AutoCAD and 3D Studio MAX.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design", "url": "http://theacad.blogspot.com/"} +{"d:Title": "Altax S.R.L.", "d:Description": "Supplier of theatre and movie scenery, located in Romania. Includes photo and video galleries. [Romanian/English]", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design", "url": "http://www.altaxromania.ro/"} +{"d:Title": "Gr\u00fcnberg, Klaus", "d:Description": "Portfolio of the international theatre designer Klaus Grunberg, image galleries illustrating his work in a wide range of theatrical genres.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.klausgruenberg.de/"} +{"d:Title": "Prague Quadrennial", "d:Description": "Quadrennial international theatre design exhibition. Details of exhibitors and image galleries.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.pq.cz/"} +{"d:Title": "Bower, Alan", "d:Description": "Design work by Alan Bower, UK theatrical designer and scenic artist for theatre, television and corporate events.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.members.tripod.com/alan_b2"} +{"d:Title": "Welch, Douglas", "d:Description": "Theatre consultants providing lighting design, audio systems design, and audio/visual systems design.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.d-w-d.com/"} +{"d:Title": "Heyse, Nicolaus-Johannes", "d:Description": "Portfolio of stage design.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.chyx.de/"} +{"d:Title": "Hammer, Andrew G", "d:Description": "USA based theater designer, Image galleries illustrating conceptual and realised performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.members.tripod.com/andrewhammer/"} +{"d:Title": "Stone, Kris", "d:Description": "International theatrical designer. Site a wide range of Kris Stone's varied and acclaimed work.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://kristonedesign.com/"} +{"d:Title": "Finkelstein, Richard", "d:Description": "Extensive portfolio of American theater designer and academic, displaying work from a variety of productions including a Broadway show that toured to the then USSR in the late 80's.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.rfdesigns.org/"} +{"d:Title": "Patel, Roma", "d:Description": "Digital theatrical designer in the UK and Europe. Includes examples of work in theatre, film and television examples of working techniques and tutorials.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.digitalsetdesign.com/"} +{"d:Title": "Huber, Patrick", "d:Description": "Teacher and designer with examples of scenic and lighting designs for theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://home.earthlink.net/~pnehuber/"} +{"d:Title": "Walsh, Frank", "d:Description": "Provides design services for exhibitions, live events, museum displays, theater, and interior design.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.frankwalsh.co.uk/"} +{"d:Title": "Hillmar, Gregg", "d:Description": "Scenic and lighting designer based in the USA", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.hillmardesign.com/"} +{"d:Title": "Soroko, April", "d:Description": "Theatrical designers site including many images of costume designs for a wide range of academic and some professional productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.aprilsoroko.com/"} +{"d:Title": "Schweikardt, Michael", "d:Description": "New York based American theatrical designer. Resume, biography and photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.msportfolio.com/"} +{"d:Title": "Zieglerova, Klara", "d:Description": "Internationally recognized theatre designer from Prague who is based in New York. Designs have been featured in plays on and off Broadway, and also in films.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.klarazieglerova.com/"} +{"d:Title": "United Scenic Artists", "d:Description": "Designers and artists for the entertainment industry.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.usa829.org/"} +{"d:Title": "Boik, Jeramy", "d:Description": "Technical director's resume with examples of theatrical and lighting designs.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.jeramyboik.50megs.com/"} +{"d:Title": "Bradley, Scott", "d:Description": "Scenic designer based in Richmond, Virginia, USA. Working in theater, opera, film and television. Images of various designs.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://bradleyportfolio.com/"} +{"d:Title": "Rosenthal, Todd", "d:Description": "American theatrical designer working in opera and theater. Production images and sketch work.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://toddar.com/"} +{"d:Title": "Garcia, Regina", "d:Description": "Portfolio of New York City based theater designer, with experience in opera, theater, musicals and corporate events.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.garciaportfolio.com/"} +{"d:Title": "Umfrid, Thomas C.", "d:Description": "Designer of theatrical sets for drama, musicals and opera. Site features gallery of work, resume, design history, vitae and contact information. Based in Cincinnati.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.theumfridstudio.com/"} +{"d:Title": "Fisher, Mark", "d:Description": "UK based architects and production designers for music concerts, theatre and television.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.stufish.com/"} +{"d:Title": "LeFevre, Ted", "d:Description": "Theatrical designer with experience in theater, opera and television. Based in New York, USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.tedlefevre.freeservers.com/"} +{"d:Title": "Rogue, Lili.", "d:Description": "French theatre designer working in the UK. Includes examples of theatre design, community outreach and costume construction.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.lilirogue.com/"} +{"d:Title": "Helpern, Lauren", "d:Description": "Portfolio of New York based designer of theatre and musical productions. Production images and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.laurenhelpern.com/"} +{"d:Title": "Rizzotti, Patrick J.", "d:Description": "Portfolio of Toms River New Jersey based Scenic Painter. Images of productions and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.pjrizzotti.com/"} +{"d:Title": "Daw, Simon", "d:Description": "Portfolio of international theatre designer and artist. Image gallery and production details.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.simondaw.com/"} +{"d:Title": "Diaz, Erik", "d:Description": "Theater designer based in Boston, U.S.A. Production photos and sketch work.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.designbydiaz.com/"} +{"d:Title": "Antaky, Matthew", "d:Description": "San Francisco based scenic and lighting designer. Image galleries and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://matthewantakydesign.com/"} +{"d:Title": "Bembridge, Brian", "d:Description": "Award Winning Chicago, U.S.A. based Scenic and Lighting Designer. Image galleries and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://briansidneybembridge.com/"} +{"d:Title": "McMath, Tim", "d:Description": "Theatrical design portfolio. Production photos and resume.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.timmcmath.com/"} +{"d:Title": "Wilkerson, Megan", "d:Description": "Portfolio of Milwaukee based Scenic Designer. Image gallery, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.meganwilkerson.com/"} +{"d:Title": "Neeman, Ruth.", "d:Description": "Site displaying photo galleries of American set designer's work, including design work for the premiere of The Laramie Project.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.setbyruthneeman.com/"} +{"d:Title": "Naylor, Diana.", "d:Description": "Diana Naylor's online theatrical portfolio includes images of set and costume design, AutoCAD drawings and production photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://members.shaw.ca/dnaylor/"} +{"d:Title": "Conway, Daniel", "d:Description": "Online portfolio of Daniel Conway, Washington D.C. based Scenic Designer. Portfolio, biography and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.conwaydesign.com/"} +{"d:Title": "Hatley, Tim", "d:Description": "Award-winning Production Designer for Film and Theatre. Images from Spamalot, Closer and Notes on A Scandal.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://timhatley.com/"} +{"d:Title": "Helnwein, Gottfried", "d:Description": "German theatre designer with a rich, performance art visual image. Site contains examples of work in theatre, ballet and opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.helnwein.com/werke/theater/tafel_1.html"} +{"d:Title": "Marcos, Claude", "d:Description": "Australian theatre and costume designer. Image galleries, c.v. and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.claudemarcos.com/"} +{"d:Title": "Newman-Brown, Rhiannon", "d:Description": "UK based opera and theatre designer. Reviews, production images and curriculum vitae.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.rhiannonnewmanbrown.com/"} +{"d:Title": "Gallo, David", "d:Description": "Tony award winning theater and musical theater designer. Production image galleries, biography and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.davidgallo.com/"} +{"d:Title": "Vassiliev, Alexandre", "d:Description": "Designer for opera, theatre and ballet. Examples of costume and set design and biographical details about the artists' academic work in fashion and costume history.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.vassiliev.com/"} +{"d:Title": "Burrows, David", "d:Description": "International theatre designer and lecturer. Costume and set renderings, production images, theatre research area and cv.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.davidburrows.com/"} +{"d:Title": "Aronow, Scott", "d:Description": "Portfolio of New York City based scenic designer Scott Aronow. Resume and Photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.scottportfolio.com/"} +{"d:Title": "Klingelhoefer, Robert", "d:Description": "Resident designer at Fulton Opera House, Pennsylvania, and the Contemporary American Theatre Festival. Resume and design images.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://robertklingelhoefer.com/"} +{"d:Title": "Marker, Alex", "d:Description": "British theatrical designer with experience in a wide range of theatrical and television productions. Includes photo galleries, resume and press clippings.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.alexmarker.com/"} +{"d:Title": "Bosy, Pavlo", "d:Description": "Conceptual theatrical design examples, resume and writings from the Ukrainian theatre academic and practitioner Pavlo Bosy.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://stage.dela.kr.ua/"} +{"d:Title": "Fahrer, Martin", "d:Description": "Design work by Emmy Award winning television and theatre designer. Production photos and sketch work.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.entertainment-design.com/"} +{"d:Title": "Kobak, Mark", "d:Description": "Freelance theatrical and opera designer and production manager based in New York, NY, USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.markkobak.com/"} +{"d:Title": "Neil, Sophie", "d:Description": "London based scenographer, offering production design for opera, dance, theatre, installation, film and live events.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.sophieneil.co.uk/"} +{"d:Title": "Kronzer, James", "d:Description": "Scenic designer based in Washington, DC. Includes portfolio, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.jameskronzer.com/"} +{"d:Title": "Burgess, Paul", "d:Description": "London-based set, costume and projections designer and experimental theatre director. Includes news, biography, portfolio of designs, information on projects, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.paul-burgess.yolasite.com/"} +{"d:Title": "Ardizzone-West, Jason", "d:Description": "Portfolio of theater design. Includes images of lighting design, architecture, and photography.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.ardizzonewest.com/"} +{"d:Title": "The Cheshire Kat Design Studio", "d:Description": "Design examples and resume of USA based theater designer Katrina Kalatzis.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.thecheshirekat.com/"} +{"d:Title": "Magaw, Jack", "d:Description": "Online portfolio of Jack Magaw, Chicago based scenic and lighting designer. portfolio, resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://www.jackmagawdesign.com/"} +{"d:Title": "Homchick, Kent", "d:Description": "Stage and scenic designer in Colorado. Includes examples of stage design techniques using digital and traditional tools.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Set_Design/Designers", "url": "http://homchickproddesign.org/"} +{"d:Title": "Grounding for Electronic Systems", "d:Description": "Lays out in detail the principles of proper grounding and shielding for audio systems, video systems, and data networks. Explains how to troubleshoot and correct problems such as ground loops and other electric faults.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound", "url": "http://www.epanorama.net/documents/groundloop/index.html"} +{"d:Title": "Harada-Sound", "d:Description": "Broadway sound designer's page dedicated to the art and science of sound. Offers sound design software, show descriptions, and a sound handbook.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound", "url": "http://harada-sound.com/"} +{"d:Title": "Zircon Designs", "d:Description": "Show control systems information from John Huntington.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound", "url": "http://www.zircondesigns.com/"} +{"d:Title": "Shaffer, Walter E.", "d:Description": "Sound Designer, Engineer, Technician for the Live Theatre/Entertainment business.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound/Designers", "url": "http://www.thesoundguy.cc/"} +{"d:Title": "Rat and Kabel Sound Studio", "d:Description": "Compositions, soundscapes and soundtracks for theater and film. Based in Aalst, Belgium.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound/Designers", "url": "http://www.ratenkabel.be/"} +{"d:Title": "Abe Gabor Theatrical", "d:Description": "Offers information, equipment list and resume. Wisconsin based sound engineer.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound/Designers", "url": "http://www.abegabor.com/"} +{"d:Title": "Sounddogs.com", "d:Description": "Online commercial sound effects and music library.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound/Effects", "url": "http://www.sounddogs.com/"} +{"d:Title": "Sound Effects", "d:Description": "Links to websites containing sound effects.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound/Effects", "url": "http://www.stonewashed.net/sfx.html"} +{"d:Title": "Jetsound", "d:Description": "Provides sound effects for theatre productions in wave, MP3 and audio CD format.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound/Effects", "url": "http://www.jetsound.plus.com/"} +{"d:Title": "Custom Broadway Midi", "d:Description": "Provides digital accompaniment tracks to the musical theatre community. Based in New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Stagecraft/Sound/Effects", "url": "http://www.custombroadwaymidi.com/"} +{"d:Title": "Stage Managers' Association", "d:Description": "U.S. based membership organization for stage managers. There are qualification requirements to join. Paid members have access to job listings, forum and membership directory.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://www.stagemanagers.org/"} +{"d:Title": "Stage Management Association", "d:Description": "UK based membership organization for stage managers. There are qualification requirements to join. Site provides paid members details on its programs and services, workshops, publications, links and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://www.stagemanagementassociation.co.uk/"} +{"d:Title": "Stage Jobs Pro", "d:Description": "Online networking site for stage managers and other theatre production professionals. This membership site provides forums, job listings, resources and networking tools. Basic membership is free for individuals with professional theatre experience.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://www.stagejobspro.com/"} +{"d:Title": "A Stage Manager's Duties", "d:Description": "A Stage Manager's guide created by Emporia State University Theatre Dept. to outline duties for stage managers at this Kansas University. Site also contains download links for some entertaining, related PDF files.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://www.emporia.edu/~bartruff/Theatre%20handbook/SMduties.htm"} +{"d:Title": "Virtual Stage Management", "d:Description": "Handles theatre management tasks. An online application that performs production scheduling, artist management, and your showbible.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://stagemanagement.com/"} +{"d:Title": "SMNetwork.org", "d:Description": "An open forum for stage managers to discuss their craft. Discuss theatrical venues at all levels of experience, employment possibilities as well as offstage matters.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://smnetwork.org/forum/"} +{"d:Title": "Stage Management Software", "d:Description": "Easy online rehearsal scheduling software for stage managers. Free Trial Available.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://stagemanagementsoftware.com/"} +{"d:Title": "The 10 Commandments of Stage Management", "d:Description": "An entertaining article containing \"holy commandments\" on how a good stage manager can become even better.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://performingarts.about.com/od/Stage_management_tips/tp/The-10-Commandments-Of-Stage-Management.htm"} +{"d:Title": "Stage Management Company", "d:Description": "Based in the UK. Offers technical and production services. Includes job vacancies.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://www.stagemanagementcompany.com/"} +{"d:Title": "Stage Managers WebRing", "d:Description": "For stage managers all over the world working in plays, musicals and opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://www.webring.org/hub?ring=stagemanagers"} +{"d:Title": "How the Professionals Stage Manage - @ This Stage Magazine", "d:Description": "A feature on stage management techniques featuring interviews with professionals", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management", "url": "http://thisstage.la/2011/12/how-the-professionals-stage-manage/"} +{"d:Title": "Tryfan, Sarah", "d:Description": "Personal pages of a full-time theatre stage manager (and part-time web designer) based in the UK. Contents include CV, recent stage management work and some recent web design work.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.sarahtryfan.com/"} +{"d:Title": "Rodgers, Michael Andrew", "d:Description": "Personal pages of a young, professional stage manager in the New York City area. Biography, resume, contact information, multimedia of some of his work and links to related theatre sites.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.mikear.com/"} +{"d:Title": "Roach, Eugene", "d:Description": "Includes introduction, resume, list of credits, and contact information for a professional stage manager,technical director and production manager in theatre. Includes links to related sites and tools.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.a-stage-manager.com/"} +{"d:Title": "Osherow, Mia D.", "d:Description": "Personal pages of a stage manager and student currently based in Southern California. Resume and education, current projects, and links to several useful resources.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://webfiles.uci.edu/mosherow/wwwmia/index.htm"} +{"d:Title": "Meer, Caroline", "d:Description": "CV, contact information and a portfolio of props for UK based stage manager.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.carolinemeer.co.uk/"} +{"d:Title": "Taylor, Ian", "d:Description": "Trained at the Guildhall School of Music and Drama. Includes curriculum vitae, diary and productions information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.irt.me.uk/"} +{"d:Title": "Roskell, Gary", "d:Description": "Curriculum vitae for Production and Stage Manager.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.garyroskell.co.uk/"} +{"d:Title": "Van Nostrand, Samara", "d:Description": "Personal Page a Stage Manager based in Vancouver, BC, Canada. Site includes resume and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.stagemanager.ca/"} +{"d:Title": "Shayle, Mark", "d:Description": "Personal site of a professional stage and production manager based in the UK. Includes CV, links, blog, plus resource downloads and templates for students.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.shayley.co.uk/"} +{"d:Title": "Hatcher, BrandyLee", "d:Description": "Personal pages of BrandyLee Hatcher. A stage manager currently attending Stephens College in Missouri. Site includes resume, contact information and blog.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://sites.google.com/site/brandyleehatcher/"} +{"d:Title": "Smith, Callum", "d:Description": "Personal page of a Glasgow based stage manager experienced in international work, fringe theatre, student theatre, festival work, amateur and professional productions. Site contains his educational and work experience, references and contact information", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://www.callum-smith.co.uk/"} +{"d:Title": "Polly Rowe, Polly", "d:Description": "Personal pages of a professionally trained Stage Manager, currently based in London. Site contains CV, Testimonials, Gallery, Portfolio and Contact Information", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Management/Stage_Managers", "url": "http://pollyrowe.biz/"} +{"d:Title": "TheatreDance", "d:Description": "A celebration of dance in the American musical theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement", "url": "http://www.theatredance.com/"} +{"d:Title": "LIMS Online", "d:Description": "Institution for the study of movement analysis and the functional and expressive aspects of movement.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement", "url": "http://www.limsonline.org/"} +{"d:Title": "Babes With Blades", "d:Description": "Group provides women in Chicago with an opportunity to showcase their training and talent in Stage Combat. Events schedule, contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://www.babeswithblades.org/"} +{"d:Title": "Blackfriars' Journal", "d:Description": "Journal for Stage-Combat and Historical Swordfighting. Including an online mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://pw1.netcom.com/~cecilymc/index.html"} +{"d:Title": "Palpable Hit Productions", "d:Description": "Profile of Anthony De Longis, performer and choreographer of fencing, stage combat and character movement.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://www.delongis.com/PalpableHit/"} +{"d:Title": "Fight Director", "d:Description": "Advice on the subject of stage combat to theatre, film, and television professionals. Independent information on weapons, laws, and rules.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://www.fightdirector.com/"} +{"d:Title": "Forte Stage Combat", "d:Description": "Choreographers for sword and hand to hand fights, they also offer stage combat weapon rentals and hold small workshops. Based in Wheaton, Illinois.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://members.tripod.com/~ForteStage/"} +{"d:Title": "Journal of Theatrical Combatives", "d:Description": "Features articles and research publications.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://www.ejmas.com/jtc/"} +{"d:Title": "Preferred Arms", "d:Description": "Provides quality stage combat weapons for theatre and film. Established by Robb Hunter, an experienced fight director and certified teacher with the Society of American Fight Directors. Located in Fairfax, VA.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://www.preferredarms.com/"} +{"d:Title": "Ryan, Richard", "d:Description": "Professional fight director. Includes resume, credits and details on workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Stage_Movement/Stage_Combat", "url": "http://www.stagefight.com/"} +{"d:Title": "Careers in Technical Theatre", "d:Description": "Brief descriptions of work that may be carried out by the various theatre departments. Includes directors, designers, wardrobe, stage management, set construction, and lighting.", "topic": "Top/Arts/Performing_Arts/Theatre/Technical", "url": "http://www.abtt.org.uk/"} +{"d:Title": "ControlBooth.com", "d:Description": "Community for entertainment technology with a specific focus on lighting, sound, and other technical theater skills.", "topic": "Top/Arts/Performing_Arts/Theatre/Technical", "url": "http://www.controlbooth.com/"} +{"d:Title": "Theatre Crafts", "d:Description": "Offers practical information and advice about technical theatre techniques. Includes glossary of technical theatre terms.", "priority": "1", "topic": "Top/Arts/Performing_Arts/Theatre/Technical", "url": "http://www.theatrecrafts.com/"} +{"d:Title": "Blue Room Technical Chat", "d:Description": "Theatre forums with large archive of technical questions. Many different categories, stagecraft, technical, props.", "topic": "Top/Arts/Performing_Arts/Theatre/Technical", "url": "http://www.blue-room.org.uk/"} +{"d:Title": "Techie Talk", "d:Description": "Information about the world of technical theatre for technicians, with backstage news, reviews and forums.", "topic": "Top/Arts/Performing_Arts/Theatre/Technical", "url": "http://www.techietalk.co.uk/"} +{"d:Title": "Brian S. Davis Lighting Designer", "d:Description": "Theatrical lighting designer working in Dance, Theater, and music.", "topic": "Top/Arts/Performing_Arts/Theatre/Technical/Resumes", "url": "http://www.briansdavis.com/"} +{"d:Title": "Grassroots Theatre Company", "d:Description": "A performing arts organisation from Bulawayo, Zimbabwe, established in 1990 and specialising in theatre for development. GTC is involved in national and international programmes, with a strong emphasis on community development.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Africa", "url": "http://www.grassrootstheatre.com/"} +{"d:Title": "Takarazuka Revue", "d:Description": "Info and images of the Japanese all-female theatre company that perform musicals and revues. Some are based on manga and others are adaptions of western favorites.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Asia", "url": "http://shoujo.tripod.com/takara.html"} +{"d:Title": "Tibetan Liberation Theatre", "d:Description": "Grassroots performance organization supporting Tibetan freedom using theatre, dance, and music programs, community festivals and bazaars. Offers information including articles on Tibet, photos of political actions, music, and plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Asia", "url": "http://www.tibetanliberation.org/"} +{"d:Title": "The Necessary Stage", "d:Description": "Singapore based company. Flash-based site, information on productions, location of activities, ticketing information online.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Asia", "url": "http://necessary.org/"} +{"d:Title": "Stage Pals", "d:Description": "Includes theater company history, portfolio, and an interesting Relax Abode.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Asia", "url": "http://members.tripod.com/stagepals/home.htm"} +{"d:Title": "Jazz-Hot Cabaret Entertainment Group", "d:Description": "Cabaret and entertainment group based in Thailand providing a programme of entertainment. Photos and details of shows performed.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Asia", "url": "http://www.jazzhot.20m.com/index.html"} +{"d:Title": "Cultural Center of the Philippines", "d:Description": "This institution for Filipino culture and the arts houses several resident companies. Read about its facilities, rental information, and current events.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Asia", "url": "http://www.culturalcenter.gov.ph/"} +{"d:Title": "Teatrul Anton Pann", "d:Description": "Presents quality educational and entertaining productions, as well as original and innovative staging ideas. Supported by The Valcea County Council, in Romania. (English, Romanian)", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe", "url": "http://www.pann.ro/"} +{"d:Title": "Footsbarn Travelling Theatre", "d:Description": "An international company that produced nearly 60 plays and travelled to the six continents, with roots in popular theatre. Features news, information about past productions and current tour, and company member profiles.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe", "url": "http://footsbarn.com/"} +{"d:Title": "English Lovers", "d:Description": "Vienna group performing in English. History, upcoming shows, members and influences.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Austria", "url": "http://www.english-lovers.com/"} +{"d:Title": "Head - Langue Theatre", "d:Description": "International theatre company based in Paris. The company use physicality, mask, sound and puppetry to create and explore. Creations, company and gallery. In French and English.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/France", "url": "http://headlanguetheatre.free.fr/"} +{"d:Title": "Hebbel Theater Berlin", "d:Description": "Theatre Company based in Berlin.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Germany", "url": "http://www.hebbel-theater.de/"} +{"d:Title": "Kolpingtheater Bad Waldsee", "d:Description": "Homepage of the Kolpingtheater Bad Waldsee theater group. You can add comments, buy offered cards or sign in a guestbook.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Germany", "url": "http://www.kolping-theater.de/"} +{"d:Title": "The Flying Fish Theatre", "d:Description": "Touring theatre company providing English language drama, workshops and CDs for schools. Programme, shows, references and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Germany", "url": "http://www.tfft.de/"} +{"d:Title": "Schedia", "d:Description": "Theatrical group based in Athens, Greece.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Greece", "url": "http://www.schedia.gr/"} +{"d:Title": "Roda Theater", "d:Description": "Group exploring the connection with the inner self. Aims, plays, biographies, photographs and archive.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Greece", "url": "http://www.rodatheater.gr/"} +{"d:Title": "Train Theatre", "d:Description": "\"To Treno sto Rouf\" is a company who perform musicals and dramas on a train, in Greece. The music wagon is the original wagon restaurant from the Orient Express train.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Greece", "url": "http://www.totrenostorouf.gr/"} +{"d:Title": "COWS Theatre", "d:Description": "A play being staged in Athens. Includes a video clip. [English/Greek]", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Greece", "url": "http://www.cows.gr/"} +{"d:Title": "Inis Theatre", "d:Description": "Founded by actors Iseult Golden and Carmel Stephens in 2000. Tour the country with productions. Information on productions, reviews and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Ireland", "url": "http://www.inistheatre.com/"} +{"d:Title": "Fishamble Theatre Company", "d:Description": "Dublin, Ireland. Commissions, develops and produces new work for the local, national and international theatre. Information on past, current, and upcoming performances, playwright and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Ireland", "url": "http://www.fishamble.com/"} +{"d:Title": "Crooked House Theatre Company", "d:Description": "A professional theatre company based in Newbridge, Co Kildare. Information on training, community projects and productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Ireland", "url": "http://kildare.ie/community/crookedhouse/"} +{"d:Title": "Daft Productions", "d:Description": "An Irish drama group who mainly work in the genre of comedy. Current and past projects, group history, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Ireland", "url": "http://www.daft.8k.com/"} +{"d:Title": "Donal O'Kelly Productions", "d:Description": "Produces new plays, touring in Ireland and internationally. Provides a production history with photo gallery and profiles of its directors.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Ireland", "url": "http://www.donalokellyproductions.com/"} +{"d:Title": "Teatro Stabile", "d:Description": "Theatre company based in Torino. Information about their productions and guest productipons and the venues where they perform. Site in English and Italian", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Italy", "url": "http://www.teatrostabiletorino.it/"} +{"d:Title": "Miracle Players", "d:Description": "An English speaking theatre troupe based in Rome, primarily known for performing original texts and comic adaptations of classics at the Roman Forum.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Italy", "url": "http://www.miracleplayers.org/"} +{"d:Title": "Compagnia dei Folli", "d:Description": "Street theatre group, who do not use words, but stilts, fire, suspended artists, fireworks and music. Slide show of photographs. Description of thematic shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Italy", "url": "http://www.compagniafolli.it/"} +{"d:Title": "Sicilian Puppet Theatre", "d:Description": "History, productions and information about traditional Sicilian marionette theatre performed by the touring Pasqualino Brothers.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Italy", "url": "http://pupisiciliani.com/"} +{"d:Title": "Teatro Nucleo", "d:Description": "Independent theatre with twenty years of experience and a laboratory for the research of the actor's art. Information on history, current productions and projects, photos and news.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Italy", "url": "http://www.teatronucleo.org/"} +{"d:Title": "Modena Theatre Workshop - Feel the Theatre", "d:Description": "A brilliant company of Italian actors performing in English only for pleasure and entertainment. Information on the cast and upcoming plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Italy", "url": "http://www.mtwnet.it/"} +{"d:Title": "Close Act", "d:Description": "Street theatre company including stiltwalkers, musicians, acrobats and dancers. The site offers information about the acts, the players, videos and a playlist.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Netherlands", "url": "http://www.closeact.nl/"} +{"d:Title": "Vis \u00e0 Vis", "d:Description": "This company creates large-scale outdoor theatre presentations. An archive, the crew, their current project and press releases.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Netherlands", "url": "http://www.visavis.nl/"} +{"d:Title": "Transversal Theater Company", "d:Description": "International nonprofit organization with Californian performers living in Los Angeles and Amsterdam.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Netherlands", "url": "http://transversaltheater.com/"} +{"d:Title": "Odin Teatret", "d:Description": "Describes the company's performances, pedagogical work, its history and funding.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Norway", "url": "http://www.odinteatret.dk/"} +{"d:Title": "Theatre Shkidy", "d:Description": "Theatre rooted in rich theatre traditions, a renowned Russian ensemble pioneering a new \"nonverbal drama\" theatre form. [Russian/English]", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Russia", "url": "http://www.shkidy.spb.ru/"} +{"d:Title": "Deabru Beltzak", "d:Description": "Street theatre company based in Bilbao, Spain. Site features news, shows, press and contact information. Content is available in Spanish, English, and French.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.deabrubeltzak.com/"} +{"d:Title": "Yllana", "d:Description": "A physical, and language-free comedy troupe that has been performing since 1991. Extensive information about yllana can be found on their web site, in Spanish and English.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.yllana.com/"} +{"d:Title": "C\u00e1mara Negra Teatro", "d:Description": "Spanish theatre company based in Seville that presents classical texts using contemporary theatre forms. Includes information about the company, their productions and director. [English, Spanish and Catalan]", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.camaranegra.org/"} +{"d:Title": "Madrid Players Theatre Group", "d:Description": "An English speaking amateur theatre group based in Madrid, producing plays and drama workshops for English speaking people. Info on past and future plays and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.madridplayers.org/"} +{"d:Title": "La Fura dels Baus", "d:Description": "Cutting edge theatre company with a 25 year history. Information about past and upcoming work. [English, Spanish and Catalan]", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.lafura.com/"} +{"d:Title": "Face 2 Face Theatre Company", "d:Description": "Professional company of English and American performers specializing in interactive theatre for students of English. Booking, contact, and general information is available in Spanish and English.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.face2facetheatre.com/"} +{"d:Title": "ETC English Theatre Company", "d:Description": "English language theatre company that serves all ages and levels of English language students.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.englishtheatrecompany.com/"} +{"d:Title": "The 21 Yellow Anorexic Elephants", "d:Description": "Catalan street theatre company known for their surrealistic touches and their ability of playing with music, dresses and nonsense elements.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://subcat.rescat.net/21elephants/indexENG.htm"} +{"d:Title": "Custom Circus", "d:Description": "A nomad theatre company working mostly in southern Europe, presenting musical satire and physical theatrical performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Spain", "url": "http://www.customcircus.com/"} +{"d:Title": "Kesselofski and Fiske", "d:Description": "Uppsala duo consisting of two English expatriate actors. Information about their repertoire, tour schedules and details about current and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/Sweden", "url": "http://www.kesselofski-and-fiske.nu/"} +{"d:Title": "Amateur Theatre Network", "d:Description": "The intention behind the site is to help publicise amateur theatre to the world in general.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom", "url": "http://www.amdram.co.uk/"} +{"d:Title": "Theatre Without Walls", "d:Description": "Offers touring productions, education, outreach, and corporate training, and includes information about current productions and principals of the company.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom", "url": "http://www.theatrewithoutwalls.org.uk/"} +{"d:Title": "Usanu Theatre", "d:Description": "Experimental Theatre Company presents fringe theatre events. Includes show information and booking details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom", "url": "http://www.usanu.co.uk/"} +{"d:Title": "Hampstead Theatre", "d:Description": "London theatre company that develops and commissions new work from up-and-coming playwrights.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.hampsteadtheatre.com/"} +{"d:Title": "The Rude Mechanical Theatre Company", "d:Description": "Commedia dell'arte touring musical drama company based in south east England. Provides outdoor tour dates, school tours, workshops, news and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.therudemechanicaltheatre.co.uk/"} +{"d:Title": "The Halfmoon Young People's Theatre", "d:Description": "Produces youth theatre productions, specialist training programs and participatory theatre in education for schools.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.halfmoon.org.uk/"} +{"d:Title": "Iroko Theatre Company", "d:Description": "Traditional African theatre company, that uses storytelling, drama, music and dance to educate children and young people: profile, news, projects, FAQ and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.irokotheatre.org.uk/"} +{"d:Title": "Common Ground Theatre Company", "d:Description": "Amateur drama group based in Lincoln. Productions, news, press reviews and photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.commongroundtheatre.co.uk/"} +{"d:Title": "Big Wooden Horse", "d:Description": "Theatre company presenting to young audiences across the country and abroad. Background, productions and information for bookers.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.bigwoodenhorse.com/"} +{"d:Title": "Big Wheel Theatre in Education", "d:Description": "Specialises in presenting interactive language and literature workshops to students learning to speak English. Information on the company, their shows and Teacher's pack.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.bigwheel.org.uk/"} +{"d:Title": "Border Crossings", "d:Description": "International company that creates dynamic performances by fusing many forms of world theatre, dance and music. Reviews, production details and workshop details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.bordercrossings.org.uk/"} +{"d:Title": "Bread and Butter Theatre Company", "d:Description": "Colourful street theatre and walkabout characters for all your events. Information on all the different acts and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.breadandbuttertheatre.co.uk/"} +{"d:Title": "Calverton Youth Theatre", "d:Description": "An amateur youth theatre group for children aged 6-16, based in Calverton village, Nottinghamshire. Information and photographs for past and future productions and details for joining and contacting the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.telinco.co.uk/throup/cyt/home.html"} +{"d:Title": "CATS (Children's Amateur Theatre Society)", "d:Description": "A theatre company for children aged between 8 and 16 years based in Bolton, Lancashire. Information on productions, contacts and information about the society.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.c-a-t-s.net/"} +{"d:Title": "The Company of Players", "d:Description": "Balfour Street, Hertford, UK. A private theatre club. Information on previous productions, the performers, committee and the youth group workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.cops.org.uk/"} +{"d:Title": "Cornish Theatre Collective", "d:Description": "Theatre company who tour the South West and play in London. Information on the company, their projects and their history.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.cornish-theatre-collective.co.uk/"} +{"d:Title": "Crew of Patches Theatre Company", "d:Description": "Specialising in performing at British Castles and other places of historic interest. Information on productions, historical theatre, work in progress and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://crew_of_patches.tripod.com/COPHome2.html"} +{"d:Title": "Dorking Dramatic&Operatic Society", "d:Description": "Aiming to promote live theatre in Dorking, Surrey. Information on how to join, current and past productions and box office.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.ddos.org.uk/"} +{"d:Title": "Dual Theatre Company", "d:Description": "A small English theatre company touring \"An Elegant Madness\". Information about the company, the venues and the production.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.dualtheatre.com/Index.htm"} +{"d:Title": "Emergency Exit Arts", "d:Description": "A leading celebratory arts company based in Greenwich. Information on forthcoming events, contacts and a gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.eea.org.uk/"} +{"d:Title": "Epsom Light Opera Company", "d:Description": "Amateur theatre company producing two musicals a year and concentrating on the quality of music. Information about the group, their history, past and current shows and how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.eloc.org.uk/"} +{"d:Title": "Fifth Column Theatre Company", "d:Description": "Details of past and future productions, as well as information about this touring theatre company.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.fifthcolumn.org.uk/"} +{"d:Title": "Frantic Theatre Co", "d:Description": "Touring company based in South West England, performing Music Hall in living rooms to theatres. Info on reviews, costs, tours and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.frantictheatre.com/"} +{"d:Title": "Frontroom", "d:Description": "Professional theatre company based in Mansfield. Information about current and past productions, reviews, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.frontroom.f9.co.uk/"} +{"d:Title": "Galleon Theatre Company", "d:Description": "Company based in Greenwich, performing classic and contemporary productions. Profile of the company, production, theatre, and box office information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.galleontheatre.co.uk/"} +{"d:Title": "The Guild Players", "d:Description": "An amateur drama group based at Finchley Methodist Church Hall in London. Details of current and past productions, membership and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.guildplayers.org.uk/"} +{"d:Title": "History at Large", "d:Description": "Theatre company based in Salisbury, Wiltshire, presenting history as entertainment. Information on future events and past productions and a history quiz!", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://historyatlarge.org.uk/"} +{"d:Title": "Improbable Theatre", "d:Description": "Professional theatre company bringing together the diverse and acclaimed talents of some of Britain's most highly imaginative theatre practitioners. Information about the company and their productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.improbable.co.uk/"} +{"d:Title": "Kennet Amateur Theatrical Society", "d:Description": "An active amateur dramatics group based in Thatcham near Newbury, Berkshire who produce 3 shows each year. Information on productions, membership and ticket bookings.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.kats.org.uk/"} +{"d:Title": "Leeds Children's Theatre", "d:Description": "Amateur dramatics for young people, producing two shows a year. Information on previous and current shows and a diary of events.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.leeds-childrens-theatre.co.uk/"} +{"d:Title": "Leicester Operatic Players", "d:Description": "Amateur singing group performing operetta/light opera and musicals annually. Information about previous and future productions, how to join and how to get tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://website.lineone.net/~philip.de.voil/lopindex.htm"} +{"d:Title": "Lewes Operatic society", "d:Description": "Amateur organisation promoting musical theatre in the local community. Information about the group and forthcoming show.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://lewesoperatic.co.uk/"} +{"d:Title": "London Bubble", "d:Description": "Touring theatre company. Events diary, box office and information on youth theatre and adult drama group. Also produce workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.londonbubble.org.uk/"} +{"d:Title": "Maidenhead Operatic Society", "d:Description": "Amateur music theatre company based in Maidenhead, Berks, specialising in English comic opera, operetta and musical comedy. Information on previous and forthcoming shows, how to join and how to get tickets for shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.mos-uk.org/"} +{"d:Title": "National Youth Music Theatre", "d:Description": "Music theatre company for young people, providing acting auditions, workshops and drama courses throughout the UK, and tour productions nationally and internationally. Contains information about current productions, auditions, workshops, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.nymt.org.uk/"} +{"d:Title": "NatWest Theatre Company", "d:Description": "Theatre company based in Central London. Information on past and upcoming plays and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.amdram.co.uk/natwest/index.html"} +{"d:Title": "Northern Broadsides Theatre Company", "d:Description": "Touring company performing classic texts. Information about current, future and past productions, message board and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.northern-broadsides.co.uk/"} +{"d:Title": "Nunthorpe Players", "d:Description": "Based in Middlesbrough. An amateur dramatic society performing one or two full length plays and a pantomime every year. Current, past, and coming productions, along with news and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://nunthorpeplayers.org.uk/"} +{"d:Title": "Out of Joint", "d:Description": "London-based touring theatre company which specialises in new plays. Contains information about forthcoming shows, and educational resources for theatre/drama students.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.outofjoint.co.uk/"} +{"d:Title": "The Players of St Peter", "d:Description": "A London amateur drama group specialising in medieval mystery plays, performed annually in December. Includes contact information and photographs from the 2000 production.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.theplayersofstpeter.org.uk/"} +{"d:Title": "Prime Cut Productions", "d:Description": "Theatre company which produces, promotes and tours work by international playwrights. Includes details and photos of previous productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.primecutproductions.co.uk/"} +{"d:Title": "The Progressive Players", "d:Description": "Based at the Little Theatre, Gateshead. An amateur drama group promoting live theatre in the North East of England. Future current, and past productions, membership, and contact information is included.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.littletheatregateshead.com/"} +{"d:Title": "Redditch Operatic Society", "d:Description": "News, information, photos and reviews of production of the society performing in Redditch, Worcestershire.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.redditch-operatic.co.uk/"} +{"d:Title": "Rocket Theatre Company", "d:Description": "Award winning fringe Theatre Company based in Manchester. Information on productions, latest news and booking tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.rockettheatre.co.uk/"} +{"d:Title": "Romiley Little Theatre", "d:Description": "Small amateur drama society producing three main shows a year. Information about forthcoming productions, the company's history and how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.romileylittletheatre.org.uk/"} +{"d:Title": "Ruislip Operatic Society", "d:Description": "Performing two shows a year at the Winston Churchill Theatre in Ruislip. Information on past, present and furutre shows, the committee and tickets details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.ruislipos.org/"} +{"d:Title": "Show of Strength Theatre Company", "d:Description": "Professional company based in South Bristol, performing new writing and \"undiscovered\" plays - contact details, past and future productions and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.showofstrength.org.uk/"} +{"d:Title": "South Manchester Amateur Operatic Society", "d:Description": "An established amateur operatic society performing two big musical productions a year, based in the Didsbury area of Manchester. Information on the shows, the society and how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.smaos.org.uk/"} +{"d:Title": "Sphinx Theatre Company", "d:Description": "Exists to develop and promote the artistic interests of women in theatre, as writers, directors, and performers. Based in London. Information on production history, conference details and current material.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.sphinxtheatre.co.uk/"} +{"d:Title": "Spin Off Theatre Company", "d:Description": "A young professional company based in East Anglia. Information about productions, their programme and how to contact them.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.spinofftheatre.co.uk/"} +{"d:Title": "Timsbury Theatre Group", "d:Description": "Amateur group working in rural Somerset. Contains production information, contacts, news and a few pictures.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://thettg.org/"} +{"d:Title": "Waveney Light Opera Group", "d:Description": "Light opera and musical performance society based in Beccles, Suffolk. Information on current and previous productions, social events and a picture gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.wlog.org.uk/"} +{"d:Title": "Mahwaff Theatre Company", "d:Description": "London based theatre company specialising in new writing. Previous and upcoming work, tours and appearances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.mahwaff.com/"} +{"d:Title": "Tight Fit Theatre", "d:Description": "Professional theatre company resident at the Wycombe Swan in High Wycombe and based in Beaconsfield, Buckinghamshire. Outreach, theatre services, script submission, auditions, workshops, work experience opportunities, newsletter and forthcoming events.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.tightfittheatre.co.uk/"} +{"d:Title": "Fiery Angel", "d:Description": "Theatrical production company in London's West End. History, plays currently on tour, press and technical.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.fiery-angel.com/"} +{"d:Title": "Groundlings Theatre Company", "d:Description": "Professional theatre company presenting a variety of shows including an annual Shakespeare Summer season and Children's History Shows. Ticket and pricing information provided. Located in London.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.groundlings.co.uk/"} +{"d:Title": "York Light Opera Society", "d:Description": "Amateur group performing musical theatre and concerts for York audiences. Information on past and present shows, the society itself and how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.yorklight.com/"} +{"d:Title": "Dead Earnest", "d:Description": "A touring company based in Sheffield which presents theatre with a social conscience and delivers drama projects and training for a variety of organisations and community groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.deadearnest.co.uk/"} +{"d:Title": "WestEnders Musical Cabaret", "d:Description": "Six entertainers performing the best of the West End musicals in a semi-staged concert performance accompanied by a live band. Includes press releases and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.thewestenders.com/"} +{"d:Title": "Loddon Players", "d:Description": "Amateur group in Loddon, Norfolk. Also Pantomime Players and Joan Gisborne's School of Dance. Images from past performances, upcoming plays, group profiles, club news, links collection, map and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.loddonplayersatsherfield.co.uk/"} +{"d:Title": "Absolute Banana Theatre Company", "d:Description": "Birmingham based amateur theatre company. Information on productions, latest news and mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.absolutebanana.talktalk.net/"} +{"d:Title": "Fuel", "d:Description": "Producing organisation working with artists to develop, create and present new theatre work for all ages, particularly in the field of live performance. Lists regular artists, projects, education, and information for supporters.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.fueltheatre.com/"} +{"d:Title": "Hard Graft Theatre Company", "d:Description": "Performing in unconventional spaces such as shops and people's living rooms. Details of productions, tour dates and contact information. Manchester.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.hardgraft.co.uk/"} +{"d:Title": "Bash Street Theatre Company", "d:Description": "Inventive and fast-moving comic-strip shows featuring a fusion of live music, physical theatre and circus skills. Information on street shows, walkabouts and tent hire.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.bashstreet.co.uk/"} +{"d:Title": "Havant Light Opera", "d:Description": "Located in Havant, Hampshire, this company performs the works of Gilbert and Sullivan. With details of upcoming productions and a guestbook.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.havantlightopera.co.uk/"} +{"d:Title": "Burgess Hill Musical Theatre Society (BHMTS)", "d:Description": "Amateur group in Sussex. Information about shows, diary dates and a history of the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.bhmts.org.uk/"} +{"d:Title": "Strangeface Mask Theatre Company", "d:Description": "Kent. Offers mask and puppet plays, and provides workshops and mask sets. Includes profile, reviews, photographs, workshop information, and mask catalogue.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.strangeface.co.uk/"} +{"d:Title": "Wimborne Musical Theatre Society", "d:Description": "Based in Dorset. Includes latest news and forthcoming productions of the society.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.wimbornemusical.co.uk/"} +{"d:Title": "Brentwood Operatic Society", "d:Description": "Entertaining the people of Essex with musical theatre since 1904. Information on forthcoming shows, history and membership information", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.brentwood-operatic.com/"} +{"d:Title": "Christchurch Theatre Club", "d:Description": "Theatre group based in Shepshed, Leicestershire, performing popular stage musicals. Information on current and past shows and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://christchurchtheatreclub.co.uk/"} +{"d:Title": "Imperial Productions", "d:Description": "A dynamic musical theatre group based in central London who perform a range of material in an innovative and creative style.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.imperialproductions.org/"} +{"d:Title": "Three's Company", "d:Description": "An award-winning three-man fringe theatre company based in Buxton. Includes news, photo galleries, show archive and videos.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://threescompany.co.uk/"} +{"d:Title": "Wolf and Water", "d:Description": "Creative and therapeutic drama for education, conferences and workshops. Mission, members and client groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.wolfandwater.org/"} +{"d:Title": "Ockley Dramatic Society", "d:Description": "Based in Surrey. Information on previous and current productions, biographies of all members and how to find them.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.ockleydramaticsociety.org.uk/"} +{"d:Title": "Pentabus Theatre Company", "d:Description": "West Midlands touring company, committed to new writing, creating several contemporary productions every year. Tour information, booking and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.pentabus.co.uk/"} +{"d:Title": "Eclipse Productions", "d:Description": "Sussex based producer of music halls, musicals, shows and plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.eclipseproductions.co.uk/"} +{"d:Title": "Vibe Theatre", "d:Description": "A company in Sheffield; features details of their current production, with photos, TV trailers and soundtrack samples.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.vibetheatre.com/"} +{"d:Title": "Rain or Shine", "d:Description": "Specialises in outdoor summer tours of classical theatre to castles, stately homes and gardens throughout the UK. Lists information about the company's history and performances. Based in Gloucester.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.rainorshine.co.uk/"} +{"d:Title": "Spaniel In The Works Theatre Company", "d:Description": "Theatre company based in Stroud, specialising in educational theatre for LSCBs, local authorities, charities and others on issues such as sexual abuse and domestic violence. Also produces theatre in museums.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.spanielworks.co.uk/"} +{"d:Title": "Holybourne Theatre", "d:Description": "Group based in Hampshire who perform pantomime and 2 other productions plus a Youth Theatre play per year. Details of productions, history and friends.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.holybournetheatre.co.uk/"} +{"d:Title": "Proteus Theatre Company", "d:Description": "Professional touring theatre company offering adventurous theatre performed in novel locations. Located in Basingstoke, Hampshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.proteustheatre.com/"} +{"d:Title": "Headlong Theatre", "d:Description": "Latest information from one of the UK's leading touring theatre companies.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://headlong.co.uk/"} +{"d:Title": "Theatre at Baddow", "d:Description": "A group based in Chelmsford, Essex. Performing plays throughout the year.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://theatreatbaddow.wix.com/theatreatbaddow"} +{"d:Title": "London Irish Theatre Company", "d:Description": "Delivers a range of plays, projects and productions aimed at the Irish community in London and beyond. Contains background information, performance details and synopses.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.irish-theatre.com/"} +{"d:Title": "Dunstable 'Rep' Theatre Club", "d:Description": "Information on previous shows and how you can become involved with the club. Also, committee information and newsletters.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.littletheatre.org.uk/"} +{"d:Title": "Seabright Productions", "d:Description": "Arts production company that produces and manages shows of its own and on behalf of other companies. Information on previous ventures.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://www.seabrights.com/"} +{"d:Title": "ZU-UK", "d:Description": "Multi national and multi cultural contemporary theatre company. Photos and information about current and past productions. Brazil and London.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://zu-uk.com/"} +{"d:Title": "Pilot Theatre", "d:Description": "A national touring theatre company based in Castleford, Yorkshire. News of current programme, productions and tour dates. Also information on youth theatre workshops in Wakefield and Glasshoughton and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/England", "url": "http://pilot-theatre.net/"} +{"d:Title": "Compulsion Theatre Company", "d:Description": "A group of theatre studies students from the University of Ulster. Information about previous productions, profiles of the players and how to book them.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Northern_Ireland", "url": "http://members.tripod.com/~Josh_Campbell_/index-2.html"} +{"d:Title": "East Kilbride Rep Theatre Club", "d:Description": "Scottish Theatre Club. Information on productions, book tickets and season tickets on-line. Archive and contacts for joining.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://www.ek-rep.org.uk/"} +{"d:Title": "Pantheon", "d:Description": "Theatre company based in Glasgow, Scotland. Information about past shows, concert party and mailing list.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://www.pantheontheatre.co.uk/"} +{"d:Title": "Baldy Bane Theatre", "d:Description": "Scottish touring company based in Glasgow who focus on Scots language and culture.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://www.baldybane.org.uk/"} +{"d:Title": "Cryptic", "d:Description": "A Glasgow based producing art house. Multi-media performances that fuse music, sonic and visual art.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://www.cryptic.org.uk/"} +{"d:Title": "Cutting Edge Theatre Productions", "d:Description": "A musical theatre company contributing to the spiritual, social and economic vitality of the community by producing works of high quality to be seen by a wide variety of audiences. Based in Musselburgh, East Lothian.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://www.cuttingedgetheatre.co.uk/"} +{"d:Title": "The Walking Theatre Company", "d:Description": "Innovative, interactive walking theatre in any environment: rural or urban, indoor or out. Specialises in heritage, classics, children's and corporate entertainment. Based in Argyll.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://thewalkingtheatrecompany.com/"} +{"d:Title": "Cecilian Society", "d:Description": "University of Glasgow musicals society, open to all. Site contains information about rehearsals and pictures from past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://www.ceciliansociety.co.uk/"} +{"d:Title": "Louna Productions", "d:Description": "A small Glasgow based theatre company who specialise in taking folk tales to the stage in order to revive the century old tradition of sharing stories.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://lounaproductions.weebly.com/"} +{"d:Title": "The Orpheus Club", "d:Description": "Amateur musical society has been performing an annual stage production since October 1892. View its history and its current and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Scotland", "url": "http://orpheusclub.wordpress.com/"} +{"d:Title": "Theatr Clwyd", "d:Description": "Theatre with own company productions in Mold, North Wales. [English/Cymraeg]", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Wales", "url": "https://www.theatrclwyd.com/"} +{"d:Title": "Everyman Theatre Cardiff", "d:Description": "Based in Cardiff, this company specialises in producing innovative theatre including modern and contemporary classics. Visit future productions, news and company archives.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Wales", "url": "http://www.everymantheatre.co.uk/"} +{"d:Title": "Cwmbran Woodland Players", "d:Description": "Theatre company who perform musical and pantomime. Current and past show information. Information on the committee members and how to join the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Europe/United_Kingdom/Wales", "url": "http://woodlandplayers.com/"} +{"d:Title": "Gilbert&Sullivan Society of Bermuda", "d:Description": "An amateur society, creating professional quality theatre for Bermuda audiences, featuring musical shows from Gilbert and Sullivan and others, as well as choral works.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America", "url": "http://www.gands.bm/"} +{"d:Title": "First Light Productions Theatre Company", "d:Description": "A Canadian theatre company based in St. John's, Newfoundland., known for its \"theatrical tour\" of Bell Island, as well as its theatre performances 650 ft below the ground, in a restored mine.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada", "url": "http://www.heritage.nf.ca/arts/firstlight.html"} +{"d:Title": "Dancing Sky Theatre", "d:Description": "A company committed to Saskatchewan stories and Canadian scripts. Pricing information, past and current event listings. Located in Meacham, Saskatchewan.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada", "url": "http://www.dancingskytheatre.com/"} +{"d:Title": "Dark Forest Theatre Company", "d:Description": "One of Calgary's newest professional theatre companies. Includes production histories, actor biographies, and upcoming events and productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Alberta", "url": "http://www.darkforesttheatre.com/"} +{"d:Title": "Sun Ergos", "d:Description": "A two-man company of theatre and dance. Offers performances and residencies, workshops and lecture-demonstrations for community presenters, festivals, schools, and for corporate events.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Alberta", "url": "http://www.sunergos.com/"} +{"d:Title": "Third Street Theatre", "d:Description": "Calgary theatre company working to dramatize the history, current realities and aspirations reflected in Calgary\u2019s gay, lesbian and two-spirited community.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Alberta", "url": "http://thirdstreet.ca/"} +{"d:Title": "Rock-Paper-Scissors", "d:Description": "Professional comedy theatre company which has produced several popular theater events in the city of Vancouver.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.rpsinc.ca/"} +{"d:Title": "Arts Club Theatre Company", "d:Description": "Theatre company which operates the Granville Island Stage and the Stanley Theatre, in Vancouver. Press information, event calendar, ticket details, and donor information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.artsclub.com/"} +{"d:Title": "Carousel Theatre Company", "d:Description": "Targets youth and family audiences, both on tour and on Granville Island. Includes performance and tour calendar, special event information, and ticket and patron details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.carouseltheatre.ca/"} +{"d:Title": "First Impressions Theatre Company", "d:Description": "A non-profit theatre company located in the Deep Cove Shaw Theatre in North Vancouver, British Columbia.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.firstimpressionstheatre.com/"} +{"d:Title": "House of Pi", "d:Description": "A professional independent theatre company known for design innovation and French Canadian works in English translation. Located in Vancouver, British Columbia.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.pitheatre.com/"} +{"d:Title": "Project X Theatre Productions", "d:Description": "An innovative theatre company in Kamloops, BC. Includes the company's history, upcoming shows and offers email notification of announcements.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.projectxtheatre.ca/"} +{"d:Title": "Radix Theatre Society", "d:Description": "An interdisciplinary theatre company offering site-specific performances in Vancouver. Features descriptions of current and past projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.radixtheatre.org/"} +{"d:Title": "Royal City Musical Theatre", "d:Description": "Locally produced musical theatre in the community of New Westminster, BC.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.royalcitymusicaltheatre.com/"} +{"d:Title": "Rumble Theatre", "d:Description": "Creates risky, thought-provoking work, and collaborates with artists from Vancouver and beyond to produce and present for the theatre and other media.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://rumble.org/"} +{"d:Title": "Theatre Replacement", "d:Description": "A company in Vancouver, founded in 2003 to produce, build, tour and present innovative and daring one and two-person performances. Features current projects and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.theatrereplacement.org/"} +{"d:Title": "Theatrix Youtheatre Society", "d:Description": "A non-profit organization offering performing arts programs and theatre experience in British Columbia. Audition, ticket, production, contact and membership information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.theatrixyoutheatre.com/"} +{"d:Title": "The Vancouver Playhouse Theatre Company", "d:Description": "The Playhouse, BC's regional theatre, produces classical and contemporary theatrical productions for the residents of British Columbia.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.vancouverplayhouse.com/"} +{"d:Title": "Headlines Theatre", "d:Description": "Community-specific, issue-oriented theatre company, directed by David Diamond in Vancouver, and is based on Augusto Boal's 'Theatre of the Oppressed'.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.headlinestheatre.com/"} +{"d:Title": "The Royal Canadian Theatre Company", "d:Description": "A not-for-profit company who stage comedies, thrillers and British Pantomime, directed by Ellie King. Based in Langley.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://rctheatreco.com/"} +{"d:Title": "Full Circle", "d:Description": "A theatre troupe in Vancouver which works in harmony with Native traditions using modern theatrical technique. Information about the troupe, productions, and projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.fullcircleperformance.ca/"} +{"d:Title": "The Bard To Broadway Theatre Society", "d:Description": "Dedicated to the boosting of the performing arts on Vancouver Island.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.b2btheatre.com/"} +{"d:Title": "Monster Theatre", "d:Description": "A company presenting old myths and history in a new package for today\u2019s audiences. Based in Vancouver, and touring Canada regularly. Provides show information and media packages.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.monstertheatre.com/"} +{"d:Title": "Theatre Under the Stars", "d:Description": "Every year during July and August T.U.T.S. presents musical productions at Stanley Park's Malkin Bowl.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.tuts.ca/"} +{"d:Title": "The Peninsula Players", "d:Description": "Stages performances of the latest drama and comedy. Located in Sidney, British Columbia, established in 1952.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.thepeninsulaplayers.ca/"} +{"d:Title": "Theatre In the Raw", "d:Description": "Radio and one act plays. Vancouver, BC.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.theatreintheraw.ca/home.html"} +{"d:Title": "Western Edge Theatre", "d:Description": "Company in Nanaimo, B.C., producing new and contemporary plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://westernedge.org/"} +{"d:Title": "Presentation House Theatre", "d:Description": "North Vancouver's professional theatre company produces a subscription season of plays under the banner of the Third Street Theatre Series", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/British_Columbia", "url": "http://www.phtheatre.org/"} +{"d:Title": "Two Planks and a Passion Theatre Company", "d:Description": "A touring company bringing theatre to rural communities nation-wide, based in Canning, NS. Lists plays, future tours, company information, reviews and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Nova_Scotia", "url": "http://www.twoplanks.ca/"} +{"d:Title": "Mermaid Theatre", "d:Description": "Stages theatrical adaptations of children's literature, from Windsor, Nova Scotia.. Includes production descriptions, information about their production studio and Youtheatre program, staff list, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Nova_Scotia", "url": "http://mermaidtheatre.ca/"} +{"d:Title": "Ship's Company Theatre", "d:Description": "Innovative theatrical experiences in Parrsboro. Performance details, company and venue background, actor biographies, ticket information, news, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Nova_Scotia", "url": "http://shipscompanytheatre.com/"} +{"d:Title": "Alumnae Theatre Company", "d:Description": "A Toronto theatre company presenting classic and contemporary plays and provide opportunities for women in theatre. Lists coming presentations, membership information and audition schedules.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.alumnaetheatre.com/"} +{"d:Title": "b.Current", "d:Description": "A small not-for-profit performance arts company in Toronto which presents and supports performance works emanating from the Canadian and international Black Diaspora.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.bcurrent.ca/"} +{"d:Title": "The Canadia dell'Arte Theatre Troupe", "d:Description": "A Toronto based theatre company. Lists previous and current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://webhome.idirect.com/~canadia/"} +{"d:Title": "Centre Stage Productions", "d:Description": "Theatre organization based in Burlington, Ontario, producing quality, affordable musical theatre entertainment for the community. Features upcoming production and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.centre-stage-productions.com/"} +{"d:Title": "DVxT Theatre Company", "d:Description": "Independent Toronto theatre company. Information about the company, their productions and calendar.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://dvxt.com.c9.previewyoursite.com/"} +{"d:Title": "Legendary Encounters", "d:Description": "Dinner shows in Niagara Falls, Ontario, featuring impersonators of famous performers. Includes photos and information about dates, prices and venue.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.legendaryencounters.com/"} +{"d:Title": "Odyssey Theatre", "d:Description": "An open-air theatre company in Ottawa. Includes programs and season information, as well as a history of the company.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.odysseytheatre.ca/"} +{"d:Title": "Resurgence Theatre Company", "d:Description": "A professional theatre company presenting Shakespearean productions, staged under a tent setup in Newmarket, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.resurgence.on.ca/"} +{"d:Title": "Ross Petty Productions", "d:Description": "Annual family musicals presented at the Elgin Theatre in Toronto. View past season, current events, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.rosspetty.com/"} +{"d:Title": "The Scarborough Gilbert&Sullivan Society", "d:Description": "Information on \"Gondoliers\" production, history archive, news, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.gilbertandsullivan.ca/"} +{"d:Title": "Shaw Festival Theatre", "d:Description": "Repertory theatre company performing plays about the beginning of the modern world by Bernard Shaw and his contemporaries (1856-1950) in historic Niagara-on-the-Lake, Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.shawfest.com/"} +{"d:Title": "Soulpepper Theatre Company", "d:Description": "Toronto-based, classical repertory theatre company dedicated to presenting vital interpretations of history's greatest plays, and providing classical training, outreach and internship opportunities for young people.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.soulpepper.ca/"} +{"d:Title": "Springboard Productions", "d:Description": "Produces theatre by new artists to provide the opportunity to be seen by Torontonians. Includes profiles of its principals and upcoming show information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://springboardprod.tripod.com/"} +{"d:Title": "Suitcase in Point Theatre Company", "d:Description": "An independent theatre company in St.Catharines Ontario who collaborate with a variety of artistic people to create new, original productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.suitcaseinpoint.com/"} +{"d:Title": "Theatre Aquarius", "d:Description": "A theatre company founded in 1973 based in Hamilton, Ontario. Information on the company and their venue.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.theatreaquarius.org/"} +{"d:Title": "Theatre Kingston", "d:Description": "Ontario. Company offering a full season of four or more productions a year. Current and previous seasons, history, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.theatrekingston.com/"} +{"d:Title": "Third Wall Theatre Co.", "d:Description": "An Ottawa-based theatre company dedicated to telling the great stories of the world repertory. Information on the company, the current season and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.thirdwall.com/"} +{"d:Title": "Why Buy The Cow? Entertainment Company", "d:Description": "Formed to develop new plays for the Fringe of Toronto Festival, their mandate is to produce original and innovative plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.members.tripod.com/whybuythecow/"} +{"d:Title": "Factory Theatre", "d:Description": "The first company in the nation to devote itself exclusively to producing Canadian plays. Lists information about the theatre and schedule of shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.factorytheatre.ca/"} +{"d:Title": "The Broken Lightbulb Theatre Troupe", "d:Description": "A traveling group of performers in eastern Ontario, based in Smiths Falls.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.freewebs.com/broken_lightbulb_theatre/"} +{"d:Title": "RHSS Entertainment", "d:Description": "Touring group performing interactive theatre at client's locations, offering vaudeville, murder mysteries, historical characterization, clowning and traditional themes. Includes profile, information on current productions, cast and crew, testimonials and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.rhssentertainment.com/"} +{"d:Title": "Theatreworks Productions", "d:Description": "A small theatre company of local actors and directors in Toronto who present classical theatre productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.theatreworksproductions.com/"} +{"d:Title": "Theatre by the Bay", "d:Description": "Professional Shakespeare company in Barrie, ON, who also conduct a company for young people and offer a school visit program.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.theatrebythebay.com/"} +{"d:Title": "Whitby Courthouse Theatre", "d:Description": "A non-profit community organization located in the heart of \"Olde Whitby\". Serving the community at large for over fifty years, bringing quality entertainment to Durham Region.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://whitbytheatre.org/"} +{"d:Title": "Peel Panto Players", "d:Description": "Offers British style pantomime along with a comedy, mystery, family show, teenage production and two murder mystery dinner theatre shows each year. Includes information about the group, auditions, directions, membership, current season, tickets, photos, links, and their mail list. Located in Brampton, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.peelplayers.com/"} +{"d:Title": "Platypus Theatre", "d:Description": "Presents symphony concerts for young audiences as the recipe to reach children with the magic of music, offering family and children's series, education concerts, in-school concerts and workshops. Ottawa, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.platypustheatre.com/"} +{"d:Title": "Eldritch Theatre", "d:Description": "A Toronto based theatre company producing works of the creepy and uncanny. Information about the shows and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.eldritchtheatre.ca/"} +{"d:Title": "Globus Theatre Inc.", "d:Description": "Provides a platform for theatre professionals from around the world. Located in Lindsay, ON.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://lakeviewartsbarn.com/globus-current-season"} +{"d:Title": "Sault Youth Theatre", "d:Description": "Summer camp and theatre training for students at all stages of development, since 1988. Sault Ste. Marie, ON.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.saultyouththeatre.com/"} +{"d:Title": "Port Stanley Festival Theatre", "d:Description": "On the shores of Lake Erie, presenting a summer season of plays for the whole family, and a winter music series.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://portstanleytheatre.ca/"} +{"d:Title": "B2C - Bound to Create Theatre", "d:Description": "Theatre exploring dark themes, rich texts and bold visual imagery through a fusion of artistic forms. Toronto, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.boundtocreate.com/"} +{"d:Title": "Carousel Players", "d:Description": "Inspiring and creative plays for children aged 5 to 15 in schools, theatres and other venues. St. Catharines, Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://carouselplayers.com/"} +{"d:Title": "Classical Theatre Project", "d:Description": "An education initiative which performs classic works of dramatic literature for high school students in Ontario. Lists current season productions and packages available for interested schools.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Ontario", "url": "http://www.thectp.ca/"} +{"d:Title": "Theatre Lac Brome", "d:Description": "One of Canada's finest summer stock theatres, repertory companies and theatre training school. Located in the town of Lac-Brome in the Eastern Townships.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Quebec", "url": "http://www.theatrelacbrome.ca/"} +{"d:Title": "Th\u00e9\u00e2tre Parminou", "d:Description": "A touring cooperative theatre committed the social issues of its time. Theatre workshops too. Victoriaville, Quebec.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Quebec", "url": "http://www.parminou.com/en"} +{"d:Title": "Th\u00e9\u00e2tre Saint-Bruno Players", "d:Description": "A non-profit amateur theatre troupe giving members the opportunity to acquire experience in all aspects of the theatre. Information about the company, history, current, past and coming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Quebec", "url": "http://www.theatresbp.ca/english/english.html"} +{"d:Title": "Les Productions \u00c9pisode", "d:Description": "Interactive theatre, dinner theatre, murder mysteries, theme events, historical shows and other theatrical entertainment. Quebec City.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/Canada/Quebec", "url": "http://www.productionsepisode.com/index_en.html"} +{"d:Title": "Gerald Charles Dickens", "d:Description": "Great-great-grandson of Charles Dickens: 4th annual Holiday Tour.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States", "url": "http://www.jackprises.com/"} +{"d:Title": "Sail Productions: Storybook Musical Theatre on Tour", "d:Description": "Ranks among the top touring musical theatre companies for children and family audiences covering the entire US. Schools, groups, and individuals can book productions for their area.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States", "url": "http://www.sailproductions.com/"} +{"d:Title": "The Acting Company", "d:Description": "The only non-profit touring classical theater company in America.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States", "url": "http://www.theactingcompany.org/"} +{"d:Title": "The Coming of the Hallams", "d:Description": "History of the Hallams, one of the earliest professional theatrical troupes to perform in America.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States", "url": "http://www.theatrehistory.com/american/hornblow03.html"} +{"d:Title": "National New Play Network", "d:Description": "Coalition of theatres across the US committed to developing new work.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States", "url": "http://www.nnpn.org/"} +{"d:Title": "Celebrity Attractions", "d:Description": "Presents and produces theatrical, musical and family entertainment in Oklahoma, Arkansas, Texas and Missouri.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States", "url": "http://celebrityattractions.com/"} +{"d:Title": "Speak Theater Arts", "d:Description": "Innovative performance group producing original works, touring the USA.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States", "url": "http://www.speaktheaterarts.moonfruit.com/"} +{"d:Title": "Alabama Shakespeare Festival", "d:Description": "Montgomery, Alabama.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Alabama", "url": "http://www.asf.net/"} +{"d:Title": "Mobile Saenger Theatre", "d:Description": "Functions as a multi-disciplinary arts center, home of the Mobile Symphony Orchestra, Alabama Lyric Theatre, the Saenger Series, the Summer Movie Series, concerts, lectures, and special events. Schedule of events, history, tickets, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Alabama", "url": "http://www.mobilesaenger.com/"} +{"d:Title": "Perseverance Theatre", "d:Description": "Alaska's largest professional theatre, based in Juneau.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Alaska", "url": "http://www.ptalaska.org/"} +{"d:Title": "Mat Bevel Institute", "d:Description": "A theater and educational company that produces and sponsors entertainment and informative events for public and private functions and is home to the Surrealistic Pop Science Theater, Available Resource Technology Educational Center (ARTEC) and the Museum of Moving Objects.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Arizona", "url": "http://www.matbevel.com/"} +{"d:Title": "Stray Cat Theatre", "d:Description": "A non-profit theatre company located in Phoenix, dedicated to cultivating the next generation of theatre artists by producing vital contemporary and classic plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Arizona", "url": "http://www.straycattheatre.org/"} +{"d:Title": "Witness Productions", "d:Description": "An outdoor musical passion play presented each summer in Hot Springs, Arkansas; life and ministry of Jesus Christ from the viewpoint of the Apostle Peter, as a witness to the events.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Arkansas", "url": "http://www.witnessproductions.com/"} +{"d:Title": "The Pocket Theatre", "d:Description": "Offers year round live performing theatre in Hot Springs. News, performance dates, past shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Arkansas", "url": "http://www.pockettheatre.com/"} +{"d:Title": "Arkansas Repertory Theatre", "d:Description": "Box office information, reviews, audition information, and newsletter about the largest performing arts organization in the state.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Arkansas", "url": "http://www.therep.org/"} +{"d:Title": "Berkeley Rep", "d:Description": "1997 Tony Award winner for Outstanding Regional Theatre. Berkeley, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.berkeleyrep.org/"} +{"d:Title": "City Lights Theatre Company", "d:Description": "Living Theatre for Thinking People.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.cltc.org/"} +{"d:Title": "South Coast Rep", "d:Description": "Tony Award winning regional theatre company in Orange County.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.scr.org/"} +{"d:Title": "The Cabrillo Stage", "d:Description": "Summer musical theater company producing on the campus of Cabrillo College in Santa Cruz County, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.cabrillostage.com/"} +{"d:Title": "Ensemble Theatre Company", "d:Description": "A professional resident theatre company in Santa Barbara.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.ensembletheatre.com/"} +{"d:Title": "Sacramento Theatre Company", "d:Description": "Professional theatre company providing live productions and educational outreach. Information on productions, tickets, venues, staff and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.sactheatre.org/"} +{"d:Title": "Actors Art Theatre", "d:Description": "The award-winning company at the edge of Miracle Mile on Museum Row in Los Angeles. High-quality, professional theatre in an intimate setting - a 32 seat loft style space. Producers of the long running hits \"Boiler Room\" and \"Adam and Eva Marie\", featuring some of Hollywood's most recognized faces.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.actorsart.com/"} +{"d:Title": "Marin Theatre Company", "d:Description": "Producing classics and contemporary plays that examine and interpret the world in which we live. A five-play season runs from September to June, with eight performances a week for a five-week run.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.marintheatre.org/"} +{"d:Title": "PacificResident Theatre", "d:Description": "Located in a three theatre complex in Venice, California, Pacific Resident Theatre produces a Mainstage season as well as workshop productions created by its actors' coop. PRT has won 23 Los Angeles Drama Critics Circle Awards.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.pacificresidenttheatre.com/"} +{"d:Title": "Aurora Theatre", "d:Description": "Berkeley, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://auroratheatre.org/"} +{"d:Title": "Musical Theatre West", "d:Description": "Resident theatre company at the Richard and Karen Carpenter Center for the Performing Arts in Long Beach, California. One of Southern California's oldest professional musical theatre companies. Tickets, auditions and general information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.musical.org/"} +{"d:Title": "Redwood Curtain", "d:Description": "Professional theatre on the North Coast of California. Current season information and discounts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.redwoodcurtain.com/"} +{"d:Title": "Theatre of NOTE", "d:Description": "The New One-act Theatre Ensemble, founded in 1981, is a non-profit, democratic company dedicated primarily to exploring the theatrical event through original short-form work.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.theatreofnote.com/"} +{"d:Title": "Pacific Repertory Theatre", "d:Description": "A twenty-year-old company on the beautiful Monterey Peninsula dedicated to staging exciting contemporary and classical productions in three diverse venues.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.pacrep.org/"} +{"d:Title": "Redondo Beach Performing Arts Center Online!", "d:Description": "South Bay's Premier Performing Arts Center. Home to Ovation Award-winning Civic Light Opera of South Bay Cities and Distinguished Speaker Series as well as concerts, athletic competitions and multi-cultural events.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.rbpac.com/"} +{"d:Title": "Phantom Projects Educational Theatre", "d:Description": "Performs plays for and by young people addressing drug and alcohol prevention, prejudice, and promotes teen abstinence. Available to perform throughout California.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.phantomprojects.com/"} +{"d:Title": "Off Broadstreet", "d:Description": "Live cabaret \"dessert\" theater in Nevada City, CA featuring high-energy musical comedy", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.offbroadstreet.com/"} +{"d:Title": "Knightsbridge Theatre", "d:Description": "Provides five different plays running concurrently in two locations at any given time. Buy tickets online.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.knightsbridgetheatre.com/"} +{"d:Title": "Covenant Players Repertory Theater", "d:Description": "Performs plays as communication in many venues supporting the leadership by designing a program around a given theme.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.covenantplayers.org/"} +{"d:Title": "Geffen Playhouse: Where Theater Lives in LA", "d:Description": "The Geffen offers Los Angeles theater goers a season of five plays, as well as a variety of outreach and educational programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.geffenplayhouse.com/"} +{"d:Title": "Conejo Players Theatre", "d:Description": "188 seat community theatre in Thousand Oaks, CA doing plays, musicals and children's theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.conejoplayers.org/"} +{"d:Title": "Theatre Bay Area", "d:Description": "Theatre Bay Area serves more than 300 member theatre companies and 2,900 individual members in the San Francisco Bay Area and Northern California.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.theatrebayarea.org/"} +{"d:Title": "Contra Costa Musical Theatre", "d:Description": "Providing musical theatre at the Walnut Creek community theater for musicals in Contra Costa County.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.ccmt.org/"} +{"d:Title": "The Victoria Theatre", "d:Description": "A website for San Francisco's oldest operating theatre. The site contains information about the history of it, events showing at the theatre, pictures and rental information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.victoriatheatre.org/"} +{"d:Title": "The Sanford Meisner Center", "d:Description": "The Sanford Meisner Center is a working theater and school which teaches acting (the meisner technique), screenwriting and movement. The theater also has a full season of productions every year.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.themeisnercenter.com/"} +{"d:Title": "California Musical Theatre", "d:Description": "Music Circus and The Broadway Series. Sacramento-based producer/presenter offering two seasons of musical theatre in California's capital city.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.californiamusicaltheatre.com/"} +{"d:Title": "Palm Canyon Theatre", "d:Description": "Palm Springs. Features area talent performing Broadway productions. Includes show schedule, ticket information, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.palmcanyontheatre.org/"} +{"d:Title": "Starlight Theatre Company", "d:Description": "Where performers can learn their craft and the audience enjoys live entertainment. Located in Costa Mesa, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.starlightshowcase.com/"} +{"d:Title": "Performance Riverside", "d:Description": "The Inland Empire's own nationally recognized (non-profit) professional theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.performanceriverside.org/"} +{"d:Title": "Theatre J'Nerique", "d:Description": "Based in central California. Producing lesser-known works.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.theatrejnerique.com/"} +{"d:Title": "El Teatro Campesino", "d:Description": "A bilingual (Spanish/English) theater group based in the historic Mission San Juan Bautista, near San Jose. Produces alternating Christmas productions, \"La Pastorela\" and \"Virgin of Guadalupe\".", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.elteatrocampesino.com/"} +{"d:Title": "Renegade Theatre Experiment", "d:Description": "Unites a group of artists with a shared desire to express their identities through a variety of performance techniques. Provides profiles of its members, coming shows and merchandise. Located in San Jose.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.renegadetheatre.com/"} +{"d:Title": "Lucid by Proxy Theater", "d:Description": "A community of actors, directors, writers and technicians who produce theater, readings, and other art in Los Angeles.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.lucidbyproxy.com/"} +{"d:Title": "Cornerstone Theater Company", "d:Description": "A multi-ethnic, ensemble-based theater company in Los Angeles, who commission and produce new plays locally and nationwide.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.cornerstonetheater.org/"} +{"d:Title": "Theatre in the Woods", "d:Description": "A theatre troupe sharing the joy of acting in the beauty of nature, with a theatre site near Woodside.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.theatreinthewoods.com/"} +{"d:Title": "Low Moan Spectacular", "d:Description": "A company in North Hollywood whose repertoire consists of spoofs and comedies written by Ron House and Alan Shearman.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://lowmoan.com/"} +{"d:Title": "Son of Semele Ensemble", "d:Description": "A non-profit theatre company in Silver Lake that produces highly theatrical, provocative, ensemble-driven original and underexposed plays for the Los Angeles community.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.sonofsemele.org/"} +{"d:Title": "Mo'olelo Performing Arts Company", "d:Description": "San Diego company who produce original and lesser-known plays, and educates youths in technical theatre and design. Lists upcoming events, education programs and donation information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.moolelo.net/"} +{"d:Title": "The Chance Theater", "d:Description": "Home of original works in Orange County, CA. Provides details about auditions, yearly calendar, and membership.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.chancetheater.com/"} +{"d:Title": "Lorraine Hansberry Theatre", "d:Description": "Founded in 1981 in San Francisco to present professionally directed plays by America's foremost black playwrights, and to provide opportunities for local actors, directors, designers, and technicians of color.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.lhtsf.org/"} +{"d:Title": "Lamb's Players Theatre", "d:Description": "Offers year-round plays and musicals. Site contains information about the company, its productions, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.lambsplayers.org/"} +{"d:Title": "Mark Taper Forum and Ahmanson Theatre", "d:Description": "Tony Award-winning Center Theatre Group performs year round in the Mark Taper Forum and Ahmanson Theatre at the Music Center in downtown Los Angeles. California's most popular theatre company.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.centertheatregroup.org/"} +{"d:Title": "Hooked on Theater", "d:Description": "Providing a professional, educational and positive environment for young people to learn all aspects of theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://www.hookedontheater.com/"} +{"d:Title": "LA Stage Alliance", "d:Description": "Theatre LA represents over 250 theatre companies in Southern California.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://lastagealliance.com/"} +{"d:Title": "California Repertory Company", "d:Description": "Multicultural, professional theater company and MFA professional theatre training program established in order to create unique, truthful, and culturally diverse theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://web.csulb.edu/colleges/cota/theatre/on-stage-now/"} +{"d:Title": "The Christmas Revels", "d:Description": "The California Revels presents the Christmas Revels, a theatrical celebration of the Winter Soltice", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://californiarevels.org/"} +{"d:Title": "Southland Sings", "d:Description": "An ambitious community outreach opera company in Southern California; breathing new life into opera.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/California", "url": "http://southlandsings.org/"} +{"d:Title": "Denver TheatreSports", "d:Description": "Short-Form improvisational theatre in the finest tradition of Keith Johnstone.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Colorado", "url": "http://home.earthlink.net/~bova/dts.html"} +{"d:Title": "The LIDA Project", "d:Description": "A multi-media art collective with a strong emphasis on live performance. Presents works that experiment and challenge the structure and presentation of performance. (Requires Internet Explorer 4.0 or higher with the Flash Plugin).", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Colorado", "url": "http://www.lida.org/"} +{"d:Title": "Germinal Stage Denver", "d:Description": "A non-profit theatre committed to the expression of non-institutional attitudes and goals. One of the longest-lived and most respected small theatres in the Rocky Mountain region.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Colorado", "url": "http://www2.privatei.com/~gsden/"} +{"d:Title": "The Upstart Crow Theatre Company", "d:Description": "Guided by a philosophy of fidelity to the original text and presenting classical works with a true passion for the playwright's words. Community theatre located in Boulder, Colorado.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Colorado", "url": "http://www.theupstartcrow.org/"} +{"d:Title": "Empire Lyric Players", "d:Description": "Community theatre company specializing in presenting works by Gilbert and Sullivan. Located in Denver.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Colorado", "url": "http://www.elps.org/"} +{"d:Title": "Victorian Magic Lantern Shows", "d:Description": "International touring theater company recreates the 1890s shows from which the movies developed.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.magiclanternshows.com/"} +{"d:Title": "Musicals at Richter", "d:Description": "Outdoor summer theatre group offers its current season's schedule, photos and information on auditions and directions to the Richter Arts Center.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.musicalsatrichter.org/"} +{"d:Title": "Warner Theatre", "d:Description": "Stages musicals, dinner theatre, cabaret, dance, and children's theater. Based in Torrington, CT.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.warnertheatre.org/"} +{"d:Title": "Hartford Stage", "d:Description": "Founded in 1963, the theater stages world drama, from classics, to provocative new works. Includes general and box-office information, artists, schedule, and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.hartfordstage.org/"} +{"d:Title": "Goodspeed Musicals", "d:Description": "Goodspeed Opera House, and the Goodspeed at Chester Norma Terris Theatre information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.goodspeed.org/"} +{"d:Title": "Downtown Cabaret", "d:Description": "Professional non-profit organization produces quality musical and other theatre for children and adults in a cabaret setting.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.dtcab.com/"} +{"d:Title": "Shakespeare on the Sound", "d:Description": "Free, outdoor, professional summer theater in Pinkney Park on the banks of the Five Mile River in Rowayton.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.shakespeareonthesound.org/"} +{"d:Title": "Long Wharf Theatre", "d:Description": "Performance and ticket-ordering information, season subscriptions and history of organization.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.longwharf.org/"} +{"d:Title": "National Theatre of the Deaf", "d:Description": "A touring theater group composed of deaf and hearing actors who entertain audiences worldwide through music, sign language, and the spoken word.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.ntd.org/"} +{"d:Title": "Yale Repertory Theatre", "d:Description": "Season information, calendar, tickets, staff, history and information on the cabaret performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.yalerep.org/"} +{"d:Title": "TheatreWorks New Milford", "d:Description": "Season schedule, company newsletter, audition notes, gallery, box office and press releases.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.theatreworks.us/"} +{"d:Title": "Connecticut Repertory Theatre", "d:Description": "Professional producing arm of the Department of Dramatic Arts, provides overview of the organization and information on performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.crt.uconn.edu/"} +{"d:Title": "Hartbeat Ensemble", "d:Description": "An activist-based theater for social change, creating new works that challenge the status quo. Features profiles of members of the company and upcoming show details. Based in Hartford.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.hartbeatensemble.org/"} +{"d:Title": "Emerson Theater Collaborative", "d:Description": "Serves youth, underrepresented communities and artists with an emphasis on diversity by producing innovative theater in southeastern Connecticut.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.emersontheatercollaborative.org/"} +{"d:Title": "Theatre Artists Workshop", "d:Description": "A professional theatre workshop for actors, playwrights and directors located in Norwalk, CT.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Connecticut", "url": "http://www.taworkshop.org/"} +{"d:Title": "Avenue Players Theatre", "d:Description": "All season schedule, box office, audition, story of the theatre, and photos of past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Florida", "url": "http://www.angelfire.com/fl3/avenueplayers/index.html"} +{"d:Title": "Florida Repertory Theatre", "d:Description": "Southwest Florida's professional Equity Theatre company, producing live theatre and a wide variety of plays and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Florida", "url": "http://www.floridarep.org/"} +{"d:Title": "Hippodrome State Theatre", "d:Description": "Professional theatre company since 1973, producing works of Broadway, off-Broadway and classics year-round. Also an art film house, featuring foreign and independent cinema. Located in Gainesville.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Florida", "url": "http://thehipp.org/"} +{"d:Title": "Riverside Theatre", "d:Description": "A professional regional theater for Florida's Treasure Coast presenting family theater, acting classes, plays, and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Florida", "url": "http://www.riversidetheatre.com/"} +{"d:Title": "Richey Suncoast Theatre", "d:Description": "All season schedule, box office and membership information, and story of theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Florida", "url": "http://www.richeysuncoasttheatre.com/"} +{"d:Title": "Asolo Repertory Theatre", "d:Description": "Professional repertory theatre, also offers workshops and other events for students and teachers. Sarasota, FL.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Florida", "url": "http://www.asolorep.org/"} +{"d:Title": "Horizon Theatre Company", "d:Description": "Atlanta, GA, based company offers general and ticket information, actors' photo gallery and resumes, and theater links.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Georgia", "url": "http://www.horizontheatre.com/"} +{"d:Title": "Hardaway High School Drama Department", "d:Description": "The official web site of the Hardaway High School Drama Department.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Georgia", "url": "http://hardawaydrama.tripod.com/"} +{"d:Title": "Parkview Drama and Theater", "d:Description": "Includes information on upcoming performances, drama club, thespian society, and theatre guild for Parkview Performing Arts of Gwinnett County, Lilburn, Georgia.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Georgia", "url": "http://www.parkviewdrama.com/"} +{"d:Title": "Theatre Life", "d:Description": "Photo album, cast and crew of the current production.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Georgia", "url": "http://arcb.tripod.com/TheatreLife/"} +{"d:Title": "Seven Stages", "d:Description": "This Atlanta-based performing arts theater serves up its current season, history, ticket information, cast and crew, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Georgia", "url": "http://www.7stages.org/"} +{"d:Title": "Coeur d'Alene Summer Theatre", "d:Description": "CST produces four professional broadway musicals every summer.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Idaho", "url": "http://www.cdasummertheatre.com/"} +{"d:Title": "Company of Fools", "d:Description": "Stages productions in the Wood River Valley, and includes details of coming shows and workshops. Located in Hailey.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Idaho", "url": "http://sunvalleycenter.org/companyoffools/current-season/"} +{"d:Title": "Imagination Theater, Inc.", "d:Description": "Chicago's award-winning, touring, educational theater company that provides custom designed social issues programming to children, adolescents, adults, seniors, and persons with disabilities.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.imaginationtheater.org/"} +{"d:Title": "Steppenwolf Theatre Company", "d:Description": "3 decades of ensemble, collaboration, and artistic risk, advancing the vitality and diversity of American theatre. Winner of a 1998 National Medal of Arts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.steppenwolf.org/"} +{"d:Title": "Environmental Encroachment", "d:Description": "Take a dozen Chicago percussionists, add trombones, theramin, and an electronic wall of sound, and sprinkle with shadow puppetry, dancing, and antics. \"EE\" (as the group is known locally) includes spontaneous marching events, stage shows, musical interludes, and the occasional romp in the woods.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://encroach.net/"} +{"d:Title": "Northlight Theatre, Skokie, IL", "d:Description": "Home page of Northlight Theatre, the third largest non-profit theatre in the Chicago area.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.northlight.org/"} +{"d:Title": "Straw Dog Theatre Company", "d:Description": "Exists to inspire and provoke through ensemble based works. Site include information on history, show schedule and archive, reviews, and theatre rental information. Based in Chicago.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.strawdog.org/"} +{"d:Title": "ShawChicago Theater Company", "d:Description": "Non-profit theater company devoted to performing the works of George Bernard Shaw and his contemporaries.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.shawchicago.org/"} +{"d:Title": "The Hypocrites", "d:Description": "Chicago-based award winning theater company presents its staff resumes, upcoming season, and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.the-hypocrites.com/"} +{"d:Title": "Broadway in Chicago", "d:Description": "As presented at the Shubert Theatre, Cadillac Palace Theatre and the Ford Center. Group and ticket ordering and information, show schedule, and directions and parking.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.broadwayinchicago.com/"} +{"d:Title": "Genesius Guild Classical Theatre", "d:Description": "Rock Island. A group that performs Greek plays in mask, Shakespearean comedies and tragedies, Greek comedy in contemporary re-writes, and professional ballet. Includes season schedule, history, auditions, and rehearsal information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.genesius.org/"} +{"d:Title": "Profiles Theatre Company", "d:Description": "An award winning, not-for-profit professional non-Equity theatre company. Founded in 1988, features productions of national and city premieres that illuminate the determination and resiliency of the human spirit. Show schedule is featured. Based in Chicago.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.profilestheatre.org/"} +{"d:Title": "Bohemian Theatre Ensemble", "d:Description": "Produces a variety of pieces, from new works by up-and-coming playwrights, to classics retold. Provides information about coming performances, located in Chicago.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.bohotheatre.com/"} +{"d:Title": "Speaking Ring Theatre", "d:Description": "Theatre company that aspires to inspire community interaction through ensemble-based performance.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.speakingringtheatre.blogspot.com/"} +{"d:Title": "LiveWire Chicago Theatre", "d:Description": "Exposes honesty in modern theatre of new and established playwrights.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.livewirechicago.com/"} +{"d:Title": "With Lincoln Productions", "d:Description": "WLP is a repertory acting company dedicated to producing dramatic works concerning the lives of President Abraham Lincoln and his wife, Mary Todd Lincoln.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.abrahamlincolnperformance.com/"} +{"d:Title": "Piccolo Theatre Company", "d:Description": "Evanston, IL company that embodies and realizes the human comedy through physical theatre, eloquence and humor.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.piccolotheatre.com/"} +{"d:Title": "CSZ Theater Chicago", "d:Description": "Improv team comedy With a competitive edge performing in Chicago.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Illinois", "url": "http://www.cszchicago.com/"} +{"d:Title": "The Phoenix Theatre", "d:Description": "The Phoenix Theatre is a professional theatre company in Indianapolis presenting new, diverse, and challenging theatrical productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Indiana", "url": "http://www.phoenixtheatre.org/"} +{"d:Title": "Green Room Online Northeast Indiana Theatre Guide", "d:Description": "Auditions, production calendars, articles, interviews, and photos for northeastern Indiana community and professional theatre organizations.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Indiana", "url": "http://www.greenroomonline.org/"} +{"d:Title": "Shawnee Summer Theatre", "d:Description": "Information on the Shawnee Summer Theatre, a professional summer theatre company in Bloomfield, Indiana.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Indiana", "url": "http://www.shawneetheatre.org/"} +{"d:Title": "Indiana Repertory Theatre", "d:Description": "Professional theatre company in Indianapolis, IN. Indiana's largest equity theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Indiana", "url": "http://www.irtlive.com/"} +{"d:Title": "All for One Productions, Inc.", "d:Description": "A professional repertory theatre company presenting original works with a Christian worldview, based in Fort Wayne.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Indiana", "url": "http://allforonefw.wordpress.com/"} +{"d:Title": "Cardinal Stage Company", "d:Description": "Produces a range of shows including drama and musicals. Lists upcoming productions and details about the company. Based in Bloomington, IN.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Indiana", "url": "http://www.cardinalstage.org/"} +{"d:Title": "Memorial Opera House", "d:Description": "Valparaiso. This historic building hosts theatre, dance and music performances, community events, business meetings, church services, and tours throughout the year. Information about events, rentals, and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Indiana", "url": "http://www.memorialoperahouse.com/"} +{"d:Title": "Riverside Theatre in Iowa City", "d:Description": "Iowa City's resident professional theatre: Riverside Theatre presents plays, readings, and other performances in an intimate setting, staged in an affordable, accessible and dynamic manner.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Iowa", "url": "http://riversidetheatre.org/"} +{"d:Title": "Clinton Area Showboat Theatre Home Page", "d:Description": "A non-profit, professional, summer stock, theatre on the riverfront in Clinton, whose season runs June through August. Information on current production, tickets, children's theatre, show times, internship program, volunteering, history, location, and contact data.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Iowa", "url": "http://www.clintonshowboat.org/"} +{"d:Title": "The Old Creamery Theatre Company", "d:Description": "Performs seasonally on the Price Creek Stage in the Amana Colonies Visitors Center. Includes schedule, performer profiles, and ticket information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Iowa", "url": "http://www.oldcreamery.com/"} +{"d:Title": "Tallgrass Theatre Company", "d:Description": "A nonprofit theatre organization located in Des Moines. Features their current production and outreach programs including theatre-based educational programs for children and adults.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Iowa", "url": "http://www.tallgrasstheatre.org/"} +{"d:Title": "Theatre Louisiane", "d:Description": "Official website showcases current, future and recent projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Louisiana", "url": "http://www.theatrelouisiane.com/"} +{"d:Title": "Maine Summer Theater", "d:Description": "A wonderful learning experience for children and youths is available through the Lakewood Children's Theater and Lakewood Young Performers Camp. East Madison, Maine.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maine", "url": "http://www.lakewoodtheater.org/"} +{"d:Title": "The Maine Masque Theatre Company", "d:Description": "Company working with the School of Performing Arts of the University of Maine at Orono.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maine", "url": "http://www.umaine.edu/mainemasque/"} +{"d:Title": "Olney Theatre Center for the Arts", "d:Description": "A theatre with an emphasis on 20th century American classics, new works, area premieres, reinterpretation of classics, and musical theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://www.olneytheatre.org/"} +{"d:Title": "Annapolis Summer Garden Theatre", "d:Description": "Outdoor theatre located in the heart of Maryland's capital city's Historic District.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://www.summergarden.com/"} +{"d:Title": "Curtain Call Productions, LLC", "d:Description": "Baltimore company dedicated to the development of new music theatre, the reconception of classic musicals, and providing opportunities for emerging theatre composers, writers, and artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://curtaincallprod.tripod.com/"} +{"d:Title": "Lyric Opera House", "d:Description": "Events, shows, plays and theatre performances near the Inner Harbor, Baltimore.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://www.lyricoperahouse.com/"} +{"d:Title": "Baltimore's Center Stage Online", "d:Description": "Home of Center Stage, honored as The State Theater of Maryland. Includes live ticketing, performance information, dramaturgy, internship and job applications, staff directory, history, mission, and links to other Baltimore arts organizations.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://www.centerstage.org/"} +{"d:Title": "Young Victorian Theatre Company", "d:Description": "Baltimore's home for Gilbert and Sullivan. Information on tickets, current production, history, as well as Gilbert and Sullivan links.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://yvtc.org/"} +{"d:Title": "BAPA Imagination Stage", "d:Description": "BAPA is a 20-year-old community arts organization whose mission is to integrate the arts into the lives of children.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://www.imaginationstage.org/"} +{"d:Title": "Rep Stage", "d:Description": "This Columbia based professional equity theatre features diverse programming and challenging literature. Season schedule, performance history, special events, ticket information and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://www.repstage.org/"} +{"d:Title": "New Old Theater", "d:Description": "Baltimore Theatre troupe dedicated to reviving a dynamic style of acting that suits old lost plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Maryland", "url": "http://www.newoldtheater.org/"} +{"d:Title": "Shear Madness", "d:Description": "Boston's comedy whodunit that lets the audience solve the crime.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://www.shearmadness.com/"} +{"d:Title": "The Massachusetts High School Drama Guild, Inc.", "d:Description": "Promoting and strengthening excellence, access, and education in the theatrical arts for secondary school students and teachers.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://www.mhsdg.com/"} +{"d:Title": "Playwrights' Platform", "d:Description": "A Boston-based cooperative developmental theatre for new plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://www.playwrightsplatform.org/"} +{"d:Title": "New Century Theatre", "d:Description": "Find out what's playing at New Century Theatre, Northampton's professional theatre company since 1991.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://www.newcenturytheatre.org/"} +{"d:Title": "Huntington Theatre Company", "d:Description": "Boston's professional theatre company in residence at Boston University.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://www.huntingtontheatre.org/"} +{"d:Title": "Barrington Stage Company", "d:Description": "Professional company offers education programs and a diverse variety of performances in Sheffield, Pittsfield, and Great Barrington. Season schedule, reviews, awards, photos and information on programs and volunteerism.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://www.barringtonstageco.org/"} +{"d:Title": "The Millis Theatre Group", "d:Description": "Interactive site listing productions, scheduled showtimes, group background, with reviews and commentary of and about the Millis Theater Group.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://www.millistheatre.org/"} +{"d:Title": "Masquerade", "d:Description": "Provides customized live performances for events, including performers, lights, sound, and content. Information about the different services and customization available, details about the troupe, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://masqueradetroupe.com/"} +{"d:Title": "American Repertory Theater", "d:Description": "Not-for-profit resident theatre, founded by Robert Brustein, which has been active since 1966 as a professional producing organization and a theatrical training conservatory.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Massachusetts", "url": "http://americanrepertorytheater.org/"} +{"d:Title": "Broadway Onstage Live Theatre", "d:Description": "Eastpointe's non-Equity professional theatre. Home of Dennis Wickline Productions, E-scripts(TM)-a new way to license plays for production, and the patented Videostage(TM) presentation system.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Michigan", "url": "http://www.broadwayonstage.com/"} +{"d:Title": "Icarus Falling Theatre Ensemble", "d:Description": "Past and upcoming performances, actors' photo gallery and resumes, scripts submissions, and links. Based in Lansing, MI.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Michigan", "url": "http://www.icarusfalling.com/"} +{"d:Title": "The Break-In Players: Renegade Theatre Group", "d:Description": "Homepage of the original alternative theatre concept-in-the-making.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Michigan", "url": "http://www.angelfire.com/mi3/breakinplayers/"} +{"d:Title": "Jungle Theater", "d:Description": "Current season information, on-line box office, photos, reviews and articles.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.jungletheater.com/"} +{"d:Title": "Theatre in the Round", "d:Description": "Theatre in the Round produces year-round, with ten main stage productions of comedy and drama each season.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.theatreintheround.org/"} +{"d:Title": "Park Square Theatre", "d:Description": "Presenting professional productions in an intimate setting, Park Square Theatre offers a unique mix of popular classics and the finest new plays from Broadway and Off-Broadway.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.parksquaretheatre.org/"} +{"d:Title": "Stages Theatre Company", "d:Description": "Formerly known as Childs Play Theatre Company, Stages is committed to the enrichment and education of children and youth in a professional theatre environment that stimulates artistic excellence and personal growth.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.stagestheatre.org/"} +{"d:Title": "National Theatre for Children", "d:Description": "Use NTC to teach important societal issues to children ages 6-14 on your behalf by employing interactive, educational theatre techniques.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.nationaltheatre.com/"} +{"d:Title": "EPHS' Theatre", "d:Description": "Shows from past and current seasons which include a song from \"CATS\", \"The Music Man\" and \"A Piece of My Heart\".", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.angelfire.com/mn/epdrama/index.html"} +{"d:Title": "Nimbus", "d:Description": "Company specializing in the creation of new and original theatre and performance works, based in Lowertown Saint Paul. Features details of their current season schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.nimbustheatre.com/"} +{"d:Title": "It's About Time Theater", "d:Description": "Live professional theater in Rochester, MN, working to inspire, showcase, educate and support women. Includes information about workshops and memberships.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://www.itsabouttimetheater.org/"} +{"d:Title": "University Theatre", "d:Description": "University Theatre produces six productions annually that cover a vast range of performance styles and periods, typically including a mixture of classic and contemporary plays, as well as a musical.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Minnesota", "url": "http://theatre.umn.edu/"} +{"d:Title": "TheatrGROUP", "d:Description": "St. Louis based theatre company with on-site method acting workshop recommended by Shelley Winters, Martin Landau, Ray Walston and Barry Primus.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Missouri", "url": "http://www.theatrgroup.com/"} +{"d:Title": "New Line Theatre", "d:Description": "The nationally respected alternative musical theatre company based in St. Louis, producing provocative, daring, edgy, issue-oriented musicals and revues, including world premieres, regional premieres, and important off-Broadway and Broadway musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Missouri", "url": "http://www.newlinetheatre.com/"} +{"d:Title": "Myrna Loy Center", "d:Description": "A non-profit organization located in Helena, Montana, that brings to the Northern Rockies the finest in music, theater, dance, performance art, literature, film and video.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Montana", "url": "http://www.myrnaloycenter.com/"} +{"d:Title": "Missoula Children's Theatre", "d:Description": "Quality education, entertainment and enrichment for all ages through the performing arts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Montana", "url": "http://www.mctinc.org/"} +{"d:Title": "Armstrong&Aichele", "d:Description": "Performing duo combine storytelling, mask, movement and music on traditional instruments from all around the world to animate timeless stories for all ages.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Hampshire", "url": "http://www.armstrongandaichele.com/"} +{"d:Title": "Weathervane Theatre", "d:Description": "New England's only alternating repertory summer stock theatre. A different show every night Monday through Saturday,July and August. In its 35th season of bringing Equity caliber music and drama to New Hampshire's White Mountains.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Hampshire", "url": "http://wvtheatreplayersnh.org/"} +{"d:Title": "Cabaret Theatre Alumni Website", "d:Description": "Alumni website and archives for Cabaret Theatre, the New Brunswick, NJ theater located on the Douglass campus of Rutgers University.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.cabaret-theatre.org/"} +{"d:Title": "New Jersey Repertory Company", "d:Description": "NJ Rep is a professional non-profit theater located in Long Branch, New Jersey, whose primary focus is in premiering new plays and revisiting forgotten classics.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.njrep.org/"} +{"d:Title": "Attic Ensemble", "d:Description": "Jersey City's Resident Theatre Company.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.atticensemble.org/"} +{"d:Title": "Cranford Repertory Theatre", "d:Description": "The official site for news and information about the Cranford Repertory Theatre in Cranford, New Jersey.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://crt.iwarp.com/"} +{"d:Title": "Pax Amicus Castle Theatre", "d:Description": "Produces a full year-round season of Broadway and off-Broadway revivals, professional productions of Shakespeare's plays for students, and a season of theatre for children.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.paxamicus.com/"} +{"d:Title": "Princeton South Asian Theatrics", "d:Description": "Princeton South Asian Theatrics (P-SAT), the nation's first South Asian student theatre group, writes, performs, directs, and produces plays, which are characterized by creative, cross-cultural humor.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.princeton.edu/~psat"} +{"d:Title": "Playwrights Theatre of New Jersey", "d:Description": "Offers productions, reading series, and festivals of new plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.ptnj.org/"} +{"d:Title": "Tri-State Actors Theater", "d:Description": "An Equity professional acting company located at the historic Crescent Theater in Sussex, NJ. Includes current season, mission statement and complete archives of past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.tristateactorstheater.org/"} +{"d:Title": "Alliance Repertory Theatre Company", "d:Description": "Presents distinctive, provocative and challenging plays by known and emerging playwrights, and creates a forum for local actors, directors, and writers.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://alliancerep.org/"} +{"d:Title": "New York Performing Artist Company", "d:Description": "Full service theatrical production company with shows, biographies, and calendar.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.nyperformingartistco.org/"} +{"d:Title": "Children's Theatre of New Jersey", "d:Description": "A professional member theatre of the Association of Actor's Equity that is dedicated to producing quality theatre for young people and their families. Located in Netcong, NJ.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.growingstage.com/"} +{"d:Title": "Two River Theatre", "d:Description": "A professional theatre company in east-central New Jersey, producing plays from the classical to the contemporary and offers special performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_Jersey", "url": "http://www.tworivertheater.org/"} +{"d:Title": "29th St. Rep", "d:Description": "Off Broadway Theater based in NYC, in the past has featured work from or by Edward Norton and Charles Bukowski.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.29thstreetrep.com/"} +{"d:Title": "Prosper Arts", "d:Description": "NEW works for the theatre. Free monthly reading series, workshops, and full-scale productions. Not-for-profit company based in NYC.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.prosperarts.com/"} +{"d:Title": "Aquila Theatre Company", "d:Description": "Latest news, performance schedules, production information and artist biographies.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.aquilatheatre.com/"} +{"d:Title": "Playwrights Horizons", "d:Description": "A writer's theater, and the only theater in New York City dedicated solely to the creation and production of new American plays and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.playwrightshorizons.org/"} +{"d:Title": "The Wooster Group", "d:Description": "Experimental theatre collective in New York City", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.thewoostergroup.org/"} +{"d:Title": "Ontological+Richard.Foreman", "d:Description": "Information concerning current and future performances at the Ontological at St. Marks Theater, 131 East 10th Street, which is the perminant home of Richard Foreman's Ontological-Hysteric Theater", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.ontological.com/"} +{"d:Title": "Yara Arts - Ukraine and Ukrainians at Brama", "d:Description": "theatre of the Ukraine and Ukrainians in NYC", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://brama.com/yara/"} +{"d:Title": "Sundog Theatre", "d:Description": "Resident theater on Staten Island's North Shore that embraces a creative and professional experience for artists to develop their talents through the unique power of live theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.sundogtheatre.org/"} +{"d:Title": "Jewish Theater of New York", "d:Description": "Past, present and future productions of the JTNY in USA, Europe, and Middle East, plus sample of reviews and short descriptions of productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://jewishtheater.org/"} +{"d:Title": "Big Art Group", "d:Description": "engaged in inspiriring collaboration, experimentation, and an adventurous spirit in the arts. big art group aggressively attacks the boundaries of theatre through its experimentation with structure, medium, and process: producing queer, minority, and underground content.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.bigartgroup.com/"} +{"d:Title": "Geva Theatre", "d:Description": "Regional Theatre in Rochester NY", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.gevatheatre.org/"} +{"d:Title": "Shea's Performing Arts Center", "d:Description": "Buffalo. Performing arts center featuring off-broadway and first-run plays, musicals, concerts. Contains information about the theatre, current productions, educational programs, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.sheas.org/"} +{"d:Title": "Radio Hole", "d:Description": "Performing schizophrenia for the information age.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.radiohole.com/"} +{"d:Title": "Bamboo and Bone", "d:Description": "Bamboo and Bone provides a unique fusion of dramatized mono-poems and music of the Shakuhachi, a Japanese bamboo flute. Incorporates original, modern, and traditional Zen meditation music.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.bambooandbone.com/"} +{"d:Title": "The Ensemble Studio Theatre", "d:Description": "The Ensemble Studio Theatre is a not-for-profit development theatre founded in 1969 with two primary goals: to nurture individual theatre artists, and to develop new American plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.ensemblestudiotheatre.org/"} +{"d:Title": "Bogie's Mystery Tours", "d:Description": "The original participatory mystery events company and creators of Mystery Dinner Theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.bogies.net/bmt.html"} +{"d:Title": "Literally Alive Children's Theatre", "d:Description": "Produces live theatrical performances in NYC which are based on classic children's literature. Shows include The Ugly Duckling, Little Mermaid, Beauty and the Beast, and The Velveteen Rabbit.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.literallyalive.com/"} +{"d:Title": "Ma-Yi Theatre Company", "d:Description": "Performs original works by Filipino and Asian American playwrights, actors, directors and theatre artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.ma-yitheatre.org/"} +{"d:Title": "Young Playwrights Inc.", "d:Description": "Founded by Stephen Sondheim, Young Playwrights Inc. is America's only professional theater devoted solely to writers aged 18 or younger and to facilitating the study of playwriting for students and teachers.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://youngplaywrights.org/"} +{"d:Title": "Little Artistic Value", "d:Description": "The domain for Little Artistic Value Productions, the Manhattan based theater company. Featuring New York actors Shon Little and Jodi Shilling.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.littlearts.com/"} +{"d:Title": "Axial Theatre", "d:Description": "Current year's productions, history, company information. Artistic Director, Howard Meyer.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.axialtheatre.org/"} +{"d:Title": "PaperBagPlayers", "d:Description": "Company of adults who create and perform original theater for children ages 4 through 9.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://paperbagplayers.org/"} +{"d:Title": "The Shadow Box Theatre", "d:Description": "A children's theatre company serving New York City for 30 years, featuring puppetry and live performers, song and dance, full productions as well as storytellings, workshops, books, tapes.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.shadowboxtheatre.org/"} +{"d:Title": "Mint Theater Company", "d:Description": "In the heart of the theatre district of New York City, a non-profit theatre company dedicated to bringing worthy but neglected plays back to the stage.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.minttheater.org/"} +{"d:Title": "Alleyway Theatre", "d:Description": "Western New York company dedicated to the development and production of new plays and musicals with four subsidiary enterprises. Information on the groups, their productions, personnel, and purposes.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://alleyway.com/"} +{"d:Title": "Galinsky Place", "d:Description": "Web/theatre productions with live performances, text, photos and audience reviews. Home of New York Digi-Theatre artists, Galinsky and friends.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://galinskyplace.com/"} +{"d:Title": "Riverside Opera Ensemble", "d:Description": "Not-for-profit, Manhattan based company which was founded in 1984 and is dedicated to the development of new works and talent in music theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.riversideopera.org/"} +{"d:Title": "Blue Roses Productions", "d:Description": "Dedicated to presenting the works of Tennessee Williams, and nurturing and producing works by new American playwrights that champion the human spirit.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.blueroses.org/"} +{"d:Title": "Mind The Gap Theatre", "d:Description": "Dedicated to producing/developing new British plays for NYC audiences, and in fostering a play exchange between the US, and UK.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.mindthegaptheatre.com/"} +{"d:Title": "New York City Players", "d:Description": "Aims to bring new forms of theater and performance to the public, increasing awareness of live theater, and understanding of theater practices. Information about shows, press releases, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://nycplayers.org/"} +{"d:Title": "Ubu Repertory Theater", "d:Description": "Ubu Repertory Theater 1999 schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.nytheatre-wire.com/ubu.htm"} +{"d:Title": "Mabou Mines", "d:Description": "An avant-garde theater company emphasizing the creation of new theater pieces from original texts and the theatrical use of existing texts staged from a specific point of view. Established in 1970 and based in New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.maboumines.org/"} +{"d:Title": "TheaterSounds", "d:Description": "This playreading series brings both classic and new scripts, performed by professional actors, to the Hudson Valley.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://theatersounds.com/"} +{"d:Title": "Naked Angels Theater Company", "d:Description": "A nonprofit New York City theater company of actors, writers, directors, designers, and producers developing and collaborating on new works for the stage.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.nakedangels.com/"} +{"d:Title": "The Looking Glass Theatre", "d:Description": "A theatrical organization dedicated to reflecting life on the stage with truth and theatricality while exploring a female vision.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://thelookingglasstheatre.homestead.com/"} +{"d:Title": "Partial Comfort Productions", "d:Description": "Manhattan based theater company dedicated to showcasing the work of talented young actors, writers, and directors.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.partialcomfort.org/"} +{"d:Title": "Syracuse Stage", "d:Description": "A Central New York professional theater company. Listed are schedules, details on performances, online ticket purchasing, brochure and e-news sign-up.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.syracusestage.org/"} +{"d:Title": "Hangar Theatre", "d:Description": "A professional regional theatre with a diverse summer mainstage season, a children's theatre series, and year-round education programs. Based in Ithaca.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.hangartheatre.org/"} +{"d:Title": "MusicalFare Musical Theatre Company", "d:Description": "A year round professional musical theatre company in Amherst presenting world premiere musicals, area premiere musicals, and traditional musicals presented in an original style.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.musicalfare.com/"} +{"d:Title": "International WOW Company", "d:Description": "Founded in 1996 and produces original plays in New York City and Thailand, with a focus on international and intercultural collaboration.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.internationalwow.com/"} +{"d:Title": "Wow Cafe Theatre", "d:Description": "A women's performance collective in New York City.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.wowcafe.org/"} +{"d:Title": "Theatre Of Youth", "d:Description": "Part of a network of professional American theatres dedicated to producing meaningful plays for young people.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.theatreofyouth.org/"} +{"d:Title": "Act 2 Productions", "d:Description": "New York City-based theater and film company. Includes recent shows, cast, past productions, and current schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.act2inc.net/"} +{"d:Title": "Horse Trade Theater Group", "d:Description": "A theater development group in New York City that encompasses the Kraine Theater, St. Marks Theater, and Red Room Theater. Includes calendar, upcoming season, reservation and rental information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://www.horsetrade.info/"} +{"d:Title": "Women's Project Theater", "d:Description": "Nation's largest and oldest theatre company devoted to producing the work of female playwrights.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://wptheater.org/"} +{"d:Title": "The Altruistic Theatre Company", "d:Description": "New York City company producing shows that are experimental without being artsy. Includes profiles of the company's principal players, current and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/New_York", "url": "http://altruistictheater.com/"} +{"d:Title": "Attic Salt Theatre Company", "d:Description": "Arts in education, off-Broadway, improvisation, plays, charity, and children's theater and offered by the Asheville, NC, based theatre company.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.atticsalt.org/"} +{"d:Title": "Flat Rock Playhouse", "d:Description": "Professional equity theatre company producing comedies, musicals, and dramas. Contains show details, along with ticket, educational, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.flatrockplayhouse.org/"} +{"d:Title": "Burning Coal Theatre Company", "d:Description": "Raleigh. Professional Equity theatre company producing overlooked classic and modern plays, as well as new plays. Includes information about the current season and past productions, tickets, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.burningcoal.org/"} +{"d:Title": "Matthews Playhouse", "d:Description": "A non-profit theatre that seeks to provide young people with theatrical experience, educational opportunities, and quality entertainment. Current and upcoming productions, group history, photos, and contact information are all included.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.matthewsplayhouse.com/"} +{"d:Title": "North Carolina Theatre", "d:Description": "Professional musical theater produced at Raleigh Memorial Auditorium. Contains information about the current season, tickets, seating and directions, auditions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.nctheatre.com/"} +{"d:Title": "BareBones Theatre Group", "d:Description": "Charlotte. A minimalist performing arts organization relying on the simplicity of good stories and characters, the bare bones of any successful staged work. Information about the current season, past shows, the troupe, and contact data.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.barebones.org/"} +{"d:Title": "Cape Fear Regional Theatre", "d:Description": "Originally the Fayetteville Little Theatre. History, special event, current production, ticket, and audition information is included.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.cfrt.org/"} +{"d:Title": "Children's Theatre of Charlotte", "d:Description": "An organization serving children 3-18 and their families with a variety of theatrical and educational programming. Current season, educational programs, auditions, community involvement, staff, and employment information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.ctcharlotte.org/"} +{"d:Title": "Moving Poets Theatre of Dance", "d:Description": "Charlotte. A professional performing arts company producing stage works employing original contemporary dance, theater, music, video, and visual arts. Includes current season, photos, reviews, history, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.movingpoets.org/"} +{"d:Title": "Actor's Theatre of Charlotte", "d:Description": "Presents new works by contemporary playwrights from off-broadway and regional theatres across the country. Information on the current show and season, along with ticket and membership details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/North_Carolina", "url": "http://www.atcharlotte.org/"} +{"d:Title": "The 34west Theater Co.", "d:Description": "Professional theater that exists to create and perform original, accessible, authentic and optimistic dramatic works.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Ohio", "url": "http://www.34west.org/"} +{"d:Title": "Actors' Summit", "d:Description": "Professional theater based in Hudson, Ohio, that produces classical and contemporary plays, using Ohio artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Ohio", "url": "http://www.actorssummit.org/"} +{"d:Title": "Random Acts", "d:Description": "An interactive musical murder mystery and cabaret company that performs available in several Midwestern and northeastern states.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Ohio", "url": "http://www.random-acts.net/"} +{"d:Title": "Cleveland Shakespeare Festival", "d:Description": "A young professional company dedicated to performing Shakespeare the way that the author intended: fun, at the speed of thought, and in the midst of a vibrant community.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Ohio", "url": "http://www.cleveshakes.com/"} +{"d:Title": "Oregon Children's Theatre", "d:Description": "Oregon's premiere theater for children, presenting three full-scale productions a season, plus Acting Academy classes for children, and outreach programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Oregon", "url": "http://www.octc.org/"} +{"d:Title": "Forrest Theatre, Philadelphia", "d:Description": "Named after famous American actor Edwin Forrest. The theater opened May 1, 1928 as the \"New\" Forrest Theatre. Build, owned and operated by The Shubert Organization, Inc. NY, NY Broadway Subscription Series.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.forrest-theatre.com/"} +{"d:Title": "Dry Bones Productions", "d:Description": "Pittsburgh's traveling, G-rated entertainment troupe. All of our productions are chosen to appeal to all ages. Plays, Improvisational comedy, stand up and various special programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.angelfire.com/pa2/drybones/"} +{"d:Title": "Bristol Riverside Theatre", "d:Description": "Located on the scenic Delaware in historic Bristol Borough is Bucks County's only award-winning professional regional theatre, offering world premieres, dramas, comedies, musicals, and year-round children's theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.brtstage.org/"} +{"d:Title": "Prime Stage Theatre", "d:Description": "Committed to engaging and exposing young people and families in the discovery of live theatre with commissioned works, adaptations of literature and historical events. Prime Stage partners with many organizations and features a Teen Advisory Board.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.primestage.com/"} +{"d:Title": "Arden Theatre Company", "d:Description": "Philadelphia's Arden Theatre is a full-service professional regional theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.ardentheatre.org/"} +{"d:Title": "Kelly-Strayhorn Community Performing Arts Theater", "d:Description": "East Liberty area, Pittsburgh, PA. Current events listings, photos, and contact staff.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.kelly-strayhorn.org/"} +{"d:Title": "The American Historical Theatre", "d:Description": "World-class historical characters and interpretations from American history, including the official George Washington of Mount Vernon.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.americanhistoricaltheatre.org/"} +{"d:Title": "Mountain Playhouse", "d:Description": "Information about the Mountain Playhouse and Green Gables Restaurant in Jennerstown.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Pennsylvania", "url": "http://www.mountainplayhouse.org/"} +{"d:Title": "Trinity Repertory Company", "d:Description": "Tony Award-winning resident professional theater in Providence produces classic and contemporary plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Rhode_Island", "url": "http://www.trinityrep.com/"} +{"d:Title": "Kaleidoscope Children's Theatre", "d:Description": "Professional touring theatre company based in Cranston, specializing in school tours and performing locally in area communities. Information about productions and schedule of performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Rhode_Island", "url": "http://www.kaleidoscopetheatre.com/"} +{"d:Title": "Trustus Theatre", "d:Description": "Professional theatre offering a wide range of classic, eclectic and new works in a casual, intimate space located in Columbia, SC. Includes current production overview, subscription season details, staff and company bios, contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "http://www.trustus.org/"} +{"d:Title": "Workshop Theatre of South Carolina", "d:Description": "A 200-seat volunteer-based subscription theater located in Columbia, SC. Includes current production information, season, contact information, archives, drama class information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "http://www.workshoptheatre.com/"} +{"d:Title": "Town Theatre", "d:Description": "Volunteer-based, 300-seat theater located in Columbia, SC. Oldest community theater building in continuous existence in the United States. Includes subscription season details, guest directors, history, drama classes, contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "http://www.towntheatre.com/"} +{"d:Title": "Columbia Children's Theatre", "d:Description": "Professional theater company for young audiences and families located in Columbia, SC. Includes current production information, season, directions, ticket prices, contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "http://www.columbiachildrenstheatre.com/"} +{"d:Title": "Centre Stage, Greenville's Professional Theater", "d:Description": "Professional theater company located in Greenville, SC offering eight mainstage productions each year. Features current show information, archives, history, directions, promotional videos and press kits.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "http://www.centrestage.org/"} +{"d:Title": "The NarroWay Theatre", "d:Description": "Biblical-based theater company located in Fort Mill, SC. Current show information, directions, calendar, company history, volunteer opportunities, etc.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "http://www.narroway.net/"} +{"d:Title": "South Carolina Shakespeare Company", "d:Description": "Columbia based theatre troupe performing throughout the state; details on shows, tickets, and a photo gallery.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "http://www.shakespearesc.org/"} +{"d:Title": "The Baillie Players", "d:Description": "A professional touring theater company based in Inman, SC. Includes current production overview, outreach programs, staff bios, contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Carolina", "url": "https://www.facebook.com/baillieplayers"} +{"d:Title": "Comfort Theatre Company", "d:Description": "Producers of \"Always... Patsy Cline\".", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/South_Dakota", "url": "http://www.comforttheatre.com/"} +{"d:Title": "Oak Street Playhouse", "d:Description": "Intimate theatre in the heart of downtown Chattanooga, Tennessee inside the facilities of First-Centenary UMC. Wholesome family entertainment and cultural enrichment. Top plays, musicals and puppet theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Tennessee", "url": "http://www.oakstreetplayhouse.com/"} +{"d:Title": "The Orpheum Theatre", "d:Description": "Current production calendar, behind the scenes, coming attractions, online ticket sales.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Tennessee", "url": "http://www.orpheum-memphis.com/"} +{"d:Title": "Actors Bridge Ensemble", "d:Description": "A professional non-profit theatre company dedicated to bringing provocative theatre to Nashville.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Tennessee", "url": "http://www.actorsbridge.org/"} +{"d:Title": "Rude Mechanicals (Austin, TX)", "d:Description": "Austin, Texas' innovative and multi-disciplinary collective of theatre artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Texas", "url": "http://www.rudemechs.com/"} +{"d:Title": "St. Cyril Theatre Guild", "d:Description": "Regular musical productions of \"Anything Goes\" performed at Rice University's Hamman Hall.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Texas", "url": "http://www.angelfire.com/tx2/stcyrils/"} +{"d:Title": "Austin Script Works", "d:Description": "Supports playwrights by providing opportunities at all stages in the writing process, from inception through production. Includes calendar of events and a forum.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Texas", "url": "http://www.scriptworks.org/"} +{"d:Title": "Core Performance Manufactory", "d:Description": "Past productions and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Texas", "url": "http://www.coreperformancemanufactory.com/"} +{"d:Title": "The Vortex Repertory Company", "d:Description": "Live performances, original work, and alternative/experimental pieces from the Planet Theater. Includes calendar of showings and limited details on past shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Texas", "url": "http://www.vortexrep.org/"} +{"d:Title": "Tutto Theatre Company", "d:Description": "A collaborative, multidisciplinary theatre company in Austin, TX.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Texas", "url": "http://www.tuttotheatre.org/"} +{"d:Title": "Salt Lake Acting Company", "d:Description": "Dedicated to producing unconventional, highly charged new plays.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Utah", "url": "http://www.saltlakeactingcompany.org/"} +{"d:Title": "Hunt Mysteries", "d:Description": "Interactive murder mystery dinner theater at various locations in the state. Description of shows, special events, company and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Utah", "url": "http://www.huntmysteries.com/"} +{"d:Title": "Pickleville Playhouse Summer Theatre", "d:Description": "Summer Theatre located on Bear Lake in Northern Utah, near Garden City. Offers quality family entertainment including old-time melodramas and musicals.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Utah", "url": "http://www.picklevilleplayhouse.com/"} +{"d:Title": "Pioneer Theatre Company Online", "d:Description": "Professional theatre producing classics, large-scale musicals, contemporary dramas and comedies.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Utah", "url": "http://www.pioneertheatre.org/"} +{"d:Title": "Vermont Stage Company", "d:Description": "The resident professional theater at Bulington's Flynn Center for the Performing Arts combines national talent with regional and Vermont-based actors. Current season, tickets, education, and information about the group.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Vermont", "url": "http://www.vtstage.org/"} +{"d:Title": "Firefly Productions", "d:Description": "A touring theatre company based out of northern Vermont as well as travelling to the Edinburgh Festival Fringe every August. Features reviews, upcoming events and company history.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Vermont", "url": "http://fireflyprod.com/"} +{"d:Title": "Oldcastle Theatre Company", "d:Description": "A professional Equity theatre company in residence at the Bennington Center for the Natural and Cultural Arts in Bennington, VT presenting live performances from May to October.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Vermont", "url": "http://www.oldcastletheatre.org/"} +{"d:Title": "The Keegan Theatre", "d:Description": "To develop high-quality, diverse productions and theatrical experiences in the Performing Arts for the benefit of the local community which includes presenting three to four productions per year including at least one work by an Irish playwright each season.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Virginia", "url": "http://www.keegantheatre.com/"} +{"d:Title": "The American Century Theater (TACT)", "d:Description": "Dedicated to great, important and neglected American plays and playwrights of the 20th century.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Virginia", "url": "http://www.americancentury.org/"} +{"d:Title": "Classika Theatre", "d:Description": "A professional theater for all ages in the renowned Russian theatrical tradition. A unique combination of professional American actors and distinguished theater professionals from Russia and other Eastern European countries.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Virginia", "url": "http://www.classika.org/"} +{"d:Title": "Foolery", "d:Description": "ensemble based in Charlottesville, VA, devoted to creating visually compelling theater that explores the possibilities of physical storytelling.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Virginia", "url": "http://www.foolery.org/"} +{"d:Title": "Theatre IV", "d:Description": "Second largest theatre for young audiences in America. Headquartered in Richmond, Virginia and Cincinnati, Ohio.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Virginia", "url": "http://www.theatreiv.org/"} +{"d:Title": "Roadside Theater", "d:Description": "Professional touring company making original plays from its Appalachian culture. Also works collaboratively with other culturally-based artists. Based in Norton, VA.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Virginia", "url": "http://roadside.org/"} +{"d:Title": "On the Boards - Contemporary Performance", "d:Description": "A Seattle, WA center for contemporary performance formed by a group of artists for the creation and presentation of non-traditional dance, music, theatre and multi-media performances. Find out more about the artists who have performed here.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington", "url": "http://www.ontheboards.org/"} +{"d:Title": "Azzizz Theatre", "d:Description": "Dedicated to the creation and presentation of original, thought provoking theater. A fusion of acting, movement, dance and playwrighting.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington", "url": "http://w3.gorge.net/azzizz/"} +{"d:Title": "Krying Sky Productions", "d:Description": "Seattle. Current show information, archives, articles, and links concerning theatre history.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington", "url": "http://www.kryingsky.com/"} +{"d:Title": "Youth Theatre Northwest", "d:Description": "Committed to giving children the opportunity to learn and express themselves through the dramatic art.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington", "url": "http://www.youththeatre.org/"} +{"d:Title": "The Repertory Actors Theatre (ReAct)", "d:Description": "Seattle's non-profit multi-ethnic and philanthropic theatre company established in 1983. David Hsieh, Artistic Director.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington", "url": "http://www.reacttheatre.org/"} +{"d:Title": "A Contemporary Theatre (ACT)", "d:Description": "A professional, resident theatre company that produces a season of contemporary plays, special events, and solo performances from its spectacular four-venue facility in downtown Seattle.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington", "url": "http://www.acttheatre.org/"} +{"d:Title": "Seattle Repertory Theatre", "d:Description": "Largest nonprofit resident theatre in the Pacific Northwest.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington", "url": "http://www.seattlerep.org/"} +{"d:Title": "Woolly Mammoth Theatre Company", "d:Description": "Specializes in presenting new plays. Includes information on current season productions and online ticket ordering.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.woollymammoth.net/"} +{"d:Title": "The Studio Theatre", "d:Description": "Specializes in contemporary theater, performed on both its main stage and Second Stage theater. Includes online ticket ordering, description of current season, and information about the theater and its Acting Conservatory.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.studiotheatre.org/"} +{"d:Title": "Capitol Steps", "d:Description": "This troupe, composed of current and former Congressional staffers, specializes in political satire set to music. Includes calendar of appearances, audio clips, and information on ordering recordings.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.capsteps.com/"} +{"d:Title": "Rorschach Theatre", "d:Description": "Aims to present \"fierce performances\" of challenging works. Includes information on upcoming and past productions. Online ticket ordering.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.rorschachtheatre.com/"} +{"d:Title": "Cherry Red Productions", "d:Description": "Produces adult-oriented theater that approaches serious themes in an irreverent manner (\"Think John Waters, only not as tasteful\") Includes online ticket ordering and information on productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.cherryredproductions.com/"} +{"d:Title": "Discovery Theater", "d:Description": "Smithsonian theater for young people. Includes season schedule.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://discoverytheater.org/"} +{"d:Title": "Washington Stage Guild", "d:Description": "Presents new plays, neglected classics, and foreign plays that are unfamiliar in America. Has information on the current season and past productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.stageguild.org/"} +{"d:Title": "Theater Alliance", "d:Description": "Resident company at the Anacostia Playhouse in Southeast DC. Producing socially conscious work to engage the community.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.theateralliance.com/"} +{"d:Title": "Arena Stage", "d:Description": "One of America's major regional theaters, specializing in the production of new and classic American plays. Includes season calendar and online ticket ordering.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.arenastage.org/"} +{"d:Title": "The Washington Revels", "d:Description": "This performing arts organization produces the Christmas Revels and a May Festival annually, using theater, music and dance to celebrate cyclical traditions of renewal. Includes information about the organization and performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.revelsdc.org/"} +{"d:Title": "Gross National Product", "d:Description": "Sketch comedy group specializing in political humor. Includes booking information for customized shows, political and news impersonators, and guided Scandal Tours of notorious local sites.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.gnpcomedy.com/"} +{"d:Title": "Shakespeare Theatre Company", "d:Description": "A major national theatre for the production of Shakespeare's plays and other classics. Has season schedule, online ticket sales, information about the theatre and about its educational programs and actor training program.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.shakespearetheatre.org/"} +{"d:Title": "Landless Theatre Company", "d:Description": "Has schedule of productions and online ticket ordering.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.landlesstheatrecompany.org/"} +{"d:Title": "Catalyst Theater Company", "d:Description": "Produces \"catalytic\" plays that reflect a shift in world view of the culture from which they emerged. Includes online ticket ordering and information about productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://catalysttheater.wordpress.com/"} +{"d:Title": "Ford's Theatre", "d:Description": "Produces musicals and plays reflecting family values and American life in the historic theater in which Abraham Lincoln was assassinated. Includes information on productions, online ticket ordering and Ford's Theatre history.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://www.fords.org/"} +{"d:Title": "The National Theatre", "d:Description": "Historic \"Theatre of the Presidents,\" it first rang up the curtain in 1835 and now presents touring productions. Includes information on the theater, upcoming and past productions, and online ticket ordering.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Washington,_DC", "url": "http://thenationaldc.org/"} +{"d:Title": "Art Effects Productions", "d:Description": "Previous productions, schedule, reviews, tickets, and photo album. Based in Sutton, West Virginia.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/West_Virginia", "url": "http://arteffectsproduction.tripod.com/"} +{"d:Title": "West Virginia Public Theatre", "d:Description": "Morgantown. Professional theatre troupe presenting Broadway-style musicals. Provides information on the current season, individual and group tickets, educational programs, photos, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/West_Virginia", "url": "http://www.wvpublictheatre.org/"} +{"d:Title": "The Old Opera House Theatre Company", "d:Description": "Schedule of theater plays, musicals, comedies, concerts, and dramas performed in the 1910 facility on the National Historical Register.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/West_Virginia", "url": "http://www.oldoperahouse.org/"} +{"d:Title": "Mystery Theatre of Wheeling", "d:Description": "West Virginia's only original comedy troupe performs outrageous comic mysteries in the Wheeling area. It is the funniest stuff you'll find in restaurants.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/West_Virginia", "url": "http://www.mysterytheatreunlimited.com/index.html"} +{"d:Title": "Sunset Playhouse", "d:Description": "Under professional direction, volunteers from throughout the greater Milwaukee Area present an eight show season at Elm Grove.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Wisconsin", "url": "http://www.sunsetplayhouse.com/"} +{"d:Title": "St. Croix Festival Theatre", "d:Description": "A professional theatre bringing contemporary and lost plays to Wisconsin's St. Croix Valley, producing seven plays each season, in addition to a music series.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Wisconsin", "url": "http://www.festivaltheatre.org/"} +{"d:Title": "Grand Opera House", "d:Description": "Presents information on its history, current and upcoming season, tickets, and guest services. Located in Oshkosh, WI.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/North_America/United_States/Wisconsin", "url": "http://www.grandoperahouse.org/"} +{"d:Title": "Class Act Theatre Inc.", "d:Description": "Theatre company in education for primary and secondary schools covering issues such as bullying, health, nutrition, drug awareness and head lice.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.classact.com.au/"} +{"d:Title": "Showtune Productions", "d:Description": "Sydney theatre company dedicated to the advancement of musical theatre in cabaret style shows. Information on current and future events.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.showtune.com.au/"} +{"d:Title": "Gift Horse Productions", "d:Description": "A Sydney-based Christian creative arts company. Information on the current season and the company itself", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.angelfire.com/ego/gifthorse/"} +{"d:Title": "Yirra Yaakin Noongar Theatre", "d:Description": "From world class theatre company to hands-on community development leader, serving as Western Australia's only Aboriginal performing arts company. Includes theatre, dance and music productions and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.yirrayaakin.asn.au/"} +{"d:Title": "Horizon Theatre Co. Ltd.", "d:Description": "A Sydney-based theatre company that encourages creative development through the use of visual performing arts and puppetry.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://members.ozemail.com.au/~horizonall/"} +{"d:Title": "Harlos Productions", "d:Description": "Theatre company producing contemporary performances of mostly Shakespeare plays. Information on the current production including cast, crew and ticket details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.kinglear.sitesuite.com.au/"} +{"d:Title": "Theatre Kantanka", "d:Description": "Company who produce performances for sites that do not traditionally stage theatre productions. Information on performances and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.kantanka.com.au/"} +{"d:Title": "Melbourne French Theatre", "d:Description": "Theatre group that mounts one to two productions each year. Season information, subscriptions, news and auditions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.mftinc.org/"} +{"d:Title": "Shadow House Pits", "d:Description": "Theatre from the shadows and cracks in contemporary culture. The work is mostly of an extreme and confrontational nature. Workshops mostly held in Dickson or Downer.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.shadowhousepits.com.au/"} +{"d:Title": "Sydney Theatre Company", "d:Description": "Established in 1978. The Company presents an annual season of diverse productions at its own venues at The Wharf and nearby Roslyn Packer Theatre Walsh Bay, and as the resident theatre company of the Sydney Opera House.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.sydneytheatre.com.au/"} +{"d:Title": "Taking Liberties Theatre Company", "d:Description": "A radical theatre company incorporating social commentary, stand up and popular theatre in its research, bringing theatre to non-theatregoing audiences.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.takingliberties.org/"} +{"d:Title": "Black Swan State Theatre Company", "d:Description": "Established in 1991 and is regarded as one of Australia's leading theatre companies.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.bsstc.com.au/"} +{"d:Title": "Play With Your Food", "d:Description": "Produces plays in partnership with restaurants, providing evenings of fine dining and theatre in Queensland. Includes descriptions of plays, upcoming dates and actors.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://playwithyourfood.homestead.com/"} +{"d:Title": "Tasmanian Theatre Company", "d:Description": "Professional adult theatre company based in the Backspace Theatre, Hobart. Features shows of the coming season and ticket details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.tastheatre.com/"} +{"d:Title": "Full Throttle Theatre Company", "d:Description": "Features new and cultural diverse productions. Located in Townsville, QLD.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Oceania/Australia", "url": "http://www.fullthrottletheatre.com/"} +{"d:Title": "El Rayo Misterioso", "d:Description": "Its activity comprises: the dramatic experimentation, the edition of a magazine of Theatre Research, a Theatre Hall and an Alternative Meeting of Theatre. Site in English, Spanish, French and Italian.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/South_America/Argentina", "url": "http://www.elrayomisterioso.org.ar/"} +{"d:Title": "Canberra Youth Theatre Company", "d:Description": "Australian company serving young people and giving voice to their performance aspirations and creativity. Information about the group, current productions, photos, workshops, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Australia", "url": "http://www.cytc.net/"} +{"d:Title": "Arts Umbrella", "d:Description": "A not-for-profit society for visual and performing arts for children based in Vancouver. Offers programs, events and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Canada", "url": "http://www.artsumbrella.com/"} +{"d:Title": "Children's Arts Theatre School", "d:Description": "Details the programs offered and educational philosophy of this Toronto-based Arts theatre school.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Canada", "url": "http://catsdrama.com/"} +{"d:Title": "The Original Kids Theatre", "d:Description": "Theatre for young people in London, Ontario. Lists details of upcoming shows, school performances and how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Canada", "url": "http://oktc.ca/"} +{"d:Title": "Arbor Theatre Peterborough", "d:Description": "A professional, not-for-profit school tour children\u2019s and youth theatre company, staging plays about Canadian history and current events. Includes information about current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Canada", "url": "http://www.arbortheatre.com/"} +{"d:Title": "Razzamataz Kids Shows", "d:Description": "A non-profit group of volunteers who offer dance, music and puppetry from various cultural traditions to the children of Haliburton County.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Canada", "url": "http://razzamataz.ca/"} +{"d:Title": "Great Big Theatre Company", "d:Description": "A non-profit theater company specializing in providing theater, acting, drama, musical programs for young people ages 6 to 17; summer acting camps; and mainstage / traveling productions for kids and families. Serves Toronto, the GTA and southwestern Ontario.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Canada", "url": "http://www.gbtc.com/"} +{"d:Title": "Calico Young People's Theatre", "d:Description": "Details of current and previous productions from this professional company specializing in theatre for young audiences and workshops for young people.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/New_Zealand", "url": "http://www.calicotheatre.org.nz/"} +{"d:Title": "Center Stage School of the Arts", "d:Description": "Offers drama, art and creative programmes for students from 18 months to adult. View faculty, facilities and newsletter.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/Singapore", "url": "http://www.centre-stage.com/"} +{"d:Title": "ACT One", "d:Description": "An independent youth theatre specializing in musicals for 10-18 year olds. Based in Shepshed, Leicestershire. Details of productions, history and members are included.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.act-one.co.uk/"} +{"d:Title": "Biz Theatre School, The", "d:Description": "Musical theatre arts school for ages 8-16 with classes in drama, singing and movement. Information about classes, the principal, contact and enquiry details. Based inWoking, Surrey.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.thebizgroup.co.uk/"} +{"d:Title": "The Centre Stage School of Performing Arts", "d:Description": "Training and stage performance including singing, dance, and drama for 4 to 18 year olds. Located in Southgate, London.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.centrestageuk.com/"} +{"d:Title": "The Halfmoon Young People's Theatre", "d:Description": "Contact and production details for this organization based in East London, which produces youth theatre productions, specialist training programs, and participatory theatre in education for schools.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.halfmoon.org.uk/"} +{"d:Title": "Lafour Dance and Theatre Schools", "d:Description": "Chain of schools throughout the South of England, teaching children into their late teens a variety of dance and drama disciplines. With class descriptions and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.lafour.co.uk/"} +{"d:Title": "Lights, Music, Action", "d:Description": "Suffolk stage school offering classes in audio-visual, band, dance, drama, music theatre, and a residential summer school for youth 10-19 years. Includes information on courses, tutors, fees, location, community, and links.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.lma-online.co.uk/"} +{"d:Title": "UK Theatre School", "d:Description": "Glasgow based theatre school training young performers in the art of acting, singing, and dance. Location, contacts and audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://uktheatreschool.com/"} +{"d:Title": "Rabble Youth Theatre", "d:Description": "Based in Nuneaton, West Midlands, the group meets regularly and caters for the 8-16 age group. Membership information, rehearsal schedules and details of performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://rabbletheatre.tripod.com/"} +{"d:Title": "Bradford Youth Players", "d:Description": "A mainly youth performing arts group in Bradord, West Yorkshire. Includes information of past and future productions, a message board and joining details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.angelfire.com/yt3/byp/"} +{"d:Title": "Class Act Theatre School", "d:Description": "Children's theatre group located in Harlow, Essex. Details of past and future productions, reviews and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.classacttheatreschool.co.uk/"} +{"d:Title": "Kidz R Us", "d:Description": "Youth theatre company, based in St. Ives, Cornwall. Details of current and planned productions. Box office and ticket details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.kidzrus.net/"} +{"d:Title": "Rare Productions", "d:Description": "Theatre group specialising in musical theatre for young people aged from 8 to 18 and above. Lists past and current productions, with photo gallery. Located in Hatfield, Hertford.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.rareproductions.co.uk/"} +{"d:Title": "Centre Stage Theatre Group", "d:Description": "Professional teachers and actors teach all aspects of drama to help build confidence in their students. Located at The Players Theatre, Hurstpierpoint, East Sussex.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.centrestagetheatregroup.net/"} +{"d:Title": "Theatre 4 Kids", "d:Description": "Children's theatre school in Walton-on-Thames, Surrey, providing drama lessons and also workshops in song, dance, speech and drama. Profile and how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.theatre4kids.co.uk/"} +{"d:Title": "The Drama Studio", "d:Description": "Offers drama, singing and dancing classes at several locations in Edinburgh Scotland for children aged 4 to 16 years. Lists regular performances and casting directory.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://thedramastudio.com/"} +{"d:Title": "Forth Children's Theatre", "d:Description": "An amateur group based in Edinburgh, offering young people from Scotland's capital city the opportunity to perform on the Edinburgh Festival Fringe.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://forthchildrenstheatre.wordpress.com/"} +{"d:Title": "The Drama Factory", "d:Description": "Offers after school classes and youth theatre workshops. Class details, staff biographies and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.dramafactory.co.uk/"} +{"d:Title": "Starlight Youth Theatre", "d:Description": "Runs established drama, dance and singing groups on the Wirral, Deeside&Ellesmere Port. Outlines classes offered, past productions and how to apply.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.starlightyouththeatre.co.uk/"} +{"d:Title": "A Cuerpo", "d:Description": "A theatre company based in Leicestershire specialising in theatre in Spanish for children aged six to eleven. Includes photos and describes their plays with samples of educational material,", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.acuerpo.co.uk/"} +{"d:Title": "Jollywood", "d:Description": "Offers Saturday and holiday terms youth theatre training and stage school in Essex. Provides program details and profiles of its principals.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.jollywood.tv/"} +{"d:Title": "The Barking Dog Theatre Company", "d:Description": "Presents children's shows and drama throughout UK. Show and company details, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.barkingdog.co.uk/"} +{"d:Title": "The Company Music Theatre", "d:Description": "A national musical youth theatre company based in the Manchester area. Provides specialized training for teens and young adults, and includes information about its various programs and performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_Kingdom", "url": "http://www.thecompanymusictheatre.org.uk/"} +{"d:Title": "The 52nd Street Project", "d:Description": "A not-for-profit organization dedicated to matching the inner-city children of Hell's Kitchen with professional theater artists to create original theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.52project.org/"} +{"d:Title": "Arts Connection", "d:Description": "Information about this not-for-profit, arts-in-education organization based in New York, and the programs offered.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.artsconnection.org/"} +{"d:Title": "California Theatre Center", "d:Description": "Contact information and descriptions of plays and workshops offered by this company of adult actors providing children's theatre", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.ctcinc.org/"} +{"d:Title": "HITS Theatre in Houston Texas", "d:Description": "Class details, characters and contacts for this professional musical theatre company offering workshops for children in grades 1 - 12.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.hitstheatre.org/"} +{"d:Title": "Kelsey E. Collie", "d:Description": "Children's theatre group based Washington, DC. Information includes group history, staff, current productions, and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.kelseyecollie.com/"} +{"d:Title": "Living Voices", "d:Description": "New York-based performance arts organization using theatre, video, and live interaction for high impact storytelling that allows audiences of all ages to learn about their place in history. View current shows and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.livingvoices.org/"} +{"d:Title": "Metropolitan Educational Theatre", "d:Description": "Non-profit educational organization based in California providing young people with an opportunity to be participants in the dramatic process as actors, singers, dancers and good members of an audience. Staff information, locations, registration and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.met2.org/"} +{"d:Title": "Musical Theatre Works", "d:Description": "An after-school academy and performance company for children in grades 1-12 with classes in musical theatre, voice, acting, and dance. Group details included along with information on upcoming and past productions, classes, and contact data. Located in San Francisco.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.musicaltheatreworks.org/"} +{"d:Title": "Walden Theatre", "d:Description": "A theatre conservatory and producing organization for young people in Louisville, Kentucky. History, curricula and past production information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.waldentheatre.org/"} +{"d:Title": "The Young Artists Ensemble Youth Theatre Company", "d:Description": "Dedicated to providing the highest quality performing arts experiences for the youth of the Conejo Valley and beyond.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.youngartistsensemble.com/"} +{"d:Title": "Youth Performance Company", "d:Description": "Minneapolis, MN based performing arts company dedicated to the empowerment of young people in the community, the development of their leadership and responsibility, and excellence in theatre performance and arts education.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.youthperformanceco.com/"} +{"d:Title": "Northwest Youth Theatre", "d:Description": "Oregon based not-for-profit children's musical theatre company featuring classes in music, drama, dance, voice, and the performing arts. Includes location, class schedule, staff biographies, news articles and photographs.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.nwyouththeatre.org/"} +{"d:Title": "Peninsula Youth Theatre", "d:Description": "Class information and contacts, with a press archive, photo gallery, performance and ticketing information. Based in the San Francisco Bay Area", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.pytnet.org/"} +{"d:Title": "Play Group Theatre", "d:Description": "Actor training and performances for children 3-17 from this group based in Westchester, New York. Program information, summer theatre, scripts, box office, class details, and location and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.playgroup.org/"} +{"d:Title": "TADA Children's Theater", "d:Description": "Offers original musicals and plays performed by kids for family audiences, as well as musical theater and drama classes for kids. Based in New York.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.tadatheater.com/"} +{"d:Title": "Traveling Lantern Theatre Company", "d:Description": "Offers interactive theatre to grades K-8 with versions of classical stories by great authors as well as plays on the subject of history, legend, and myth. Contains current tour information, contacts and reviews.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://travelinglantern.com/"} +{"d:Title": "Vittum Theater", "d:Description": "Hosts performances for young audiences and offers interactive workshops. Class details, calendar and contact information. Based in Chicago", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.vittumtheater.org/"} +{"d:Title": "Youth Stages", "d:Description": "Provides creative drama and theatre classes for youth at various locations throughout in New Jersey. Details of programs offered and history of the program.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.youthstages.com/"} +{"d:Title": "Longwood Youth Theater Experience", "d:Description": "Long Island area theater workshops for children. Registration information for current sessions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.lyte.us/"} +{"d:Title": "Children's Castle Theater", "d:Description": "A youth theater for Farmington, Montana and surrounding areas. Contains information on auditions, performances and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.angelfire.com/mn3/cctheater/"} +{"d:Title": "Child's Play Touring Theatre", "d:Description": "Staffed by theatre arts educators who promote literacy and are dedicated exclusively to performing plays written from the stories and poetry of children.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.cptt.org/"} +{"d:Title": "The Theater Project", "d:Description": "Not-for-profit children's theatre in Brunswick, Maine. History and location of the project, with information about current productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.theaterproject.com/"} +{"d:Title": "Oklahoma Children's Acting Guild", "d:Description": "Upcoming shows, past performances, ticket information and FAQs.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.oklahomachildrensactingguild.com/"} +{"d:Title": "Music West, Incorporated", "d:Description": "Gives young people an opportunity to perform and experience large-scale musical theater. Includes a calendar of current and future productions, ticket information, and answers frequent questions. Located in Westchester, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.musicwest.org/"} +{"d:Title": "Oregon Coast Children\u2019s Theatre", "d:Description": "A not-for-profit educational theatre which tours to bring fine art and performance programs to schools, libraries, museums and festivals in the Pacific Northwest.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.oregoncoastchildrenstheatre.com/"} +{"d:Title": "Ariel Theatrical, Inc.", "d:Description": "Children's theatre company. The program schedule and summer camp calendar, volunteer opportunities, ticket information, and map are listed.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.arieltheatrical.org/"} +{"d:Title": "Yellow Springs Kids Playhouse", "d:Description": "Theater by and for youth located in Yellow Springs, Ohio. Founded by artistic director John Fleming and is in residence at the Antioch Area Theater.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.yskp.org/"} +{"d:Title": "Students Live", "d:Description": "Create effective and inclusive education programs for Broadway shows, in partnership with Broadway producers and educators nationwide. Includes information on current and upcoming productions, testimonials, press releases, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.studentslive.net/"} +{"d:Title": "Willow Glen Children's Theatre", "d:Description": "Theatre program in San Jose, California, for children up to age 18. Offers summer theatre camps, theatre connection, fairy tale and puppet theatre programs.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.wgct.org/"} +{"d:Title": "West Seneca Youth Theatre", "d:Description": "A youth theatre company in the area of Buffalo, NY providing opportunities for children of ages 7 to 17. Includes news, photos, and information about how to join.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.freewebs.com/wsyt/"} +{"d:Title": "The Hampstead Stage Company", "d:Description": "National educational touring company performs over two thousand shows a year. Provides history, reviews, show schedule, and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.hampsteadstage.org/"} +{"d:Title": "Improv 4 Kids", "d:Description": "Improvisational theatre troupe from New York City providing entertainment for younger audiences through creative comedy arts. Provides show descriptions, pictures, cast, booking and contact details.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.improv4kids.com/"} +{"d:Title": "Broadway Theatre Arts Academy", "d:Description": "Youth theatre activities for the North County San Diego area. Includes show history and upcoming productions, with audition information.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.btaakids.org/"} +{"d:Title": "Flying Monkey Productions", "d:Description": "Non-profit theatre organization where students are given the opportunity to run the artistic duties of a theatre production as well as perform onstage. Sacramento, CA.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://flyingmonkeyproductions.org/"} +{"d:Title": "The Rainbow Company", "d:Description": "Interactive children's theatre in the greater Washington DC area. Lists performances, classes, and awards. Burke, VA.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.reciact.com/"} +{"d:Title": "Broadway Bound Theatrical Assemblies", "d:Description": "A traveling group that inspires young people through theatre. Includes cast biographies, program details and rates.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.broadwayboundbiz.com/"} +{"d:Title": "Rocky Mountain Theatre For Kids", "d:Description": "Offers children's theatre, creative arts classes, summer camp, mime, scene study, story telling, directing, improvisation, and dramatic performance. Details of courses offered and a registration form.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://theaterforkids.net/"} +{"d:Title": "The Children's Theatre of Maine", "d:Description": "A professional, non-profit theatre providing educational opportunities for children. Location, contacts and details of programmes and productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.kitetails.org/"} +{"d:Title": "Corbett Children's Theater", "d:Description": "Non-profit providing acting, dance classes and productions for children. Includes calendar, volunteer opportunities, ticket information, images of past shows and map. Located in Corbett, OR.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.corbettchildrenstheater.com/"} +{"d:Title": "Children's Theatre of Annapolis", "d:Description": "Location, contacts and news from this non-profit organization based in Maryland.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.childrenstheatreofannapolis.com/"} +{"d:Title": "Act!vated Story Theatre", "d:Description": "Bringing multicultural folktales and classics to schools, theatres and libraries in the USA since 1988. Stories are sung, danced, flipped, mimed and signed.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://activatedstorytheatre.com/"} +{"d:Title": "Columbus Children's Theatre", "d:Description": "Contacts, class schedule, staff biographies, reviews and list of future events from this Ohio theatre school.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://www.columbuschildrenstheatre.org/"} +{"d:Title": "CATCO", "d:Description": "Nonprofit professional theater performs adaptations of classic children's theater for young audiences. Includes schedule and box office. Based in Columbus, Ohio.", "topic": "Top/Arts/Performing_Arts/Theatre/Troupes_and_Companies/Youth/United_States", "url": "http://catco.org/"} +{"d:Title": "Arthur Lloyd", "d:Description": "Biographical information on Arthur Lloyd, major 19th century UK music hall performer and song writer, with details on related Halls and Theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://www.arthurlloyd.co.uk/"} +{"d:Title": "Jeff Gardner", "d:Description": "Home page for veteran Broadway actor, and vaudeville comedian.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://www.jeffgardner.net/"} +{"d:Title": "American Vaudeville Museum", "d:Description": "Site devoted to biographies of famous Vaudeville performers.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://vaudeville.org/"} +{"d:Title": "Vintage Vaudeville and Ragtime Show", "d:Description": "Site recreates a vaudeville show with authentic audio and video clips of actual Vaudeville performers.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://www.bestwebs.com/vaudeville/"} +{"d:Title": "American Variety Stage", "d:Description": "Vaudeville and popular entertainment from 1870-1920. Site from the Library of Congress.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://memory.loc.gov/ammem/vshtml/"} +{"d:Title": "Juggler and Comedian Mark Nizer", "d:Description": "Won the International Juggling Championships and has taken his one man show to thousands of venues around the world.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://active-media.com/nizer"} +{"d:Title": "Betsy's Backyard Follies", "d:Description": "Vaudeville entertainment from the 30's and 40's.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://www.backyardfollies.com/"} +{"d:Title": "Windyridge Music Hall CDs", "d:Description": "Issues CDs of old songs of the British music halls taken from material originally recorded on 78rpm gramophone discs and phonograph cylinders.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://www.musichallcds.com/"} +{"d:Title": "Mini-Chekhov", "d:Description": "Offers Chekhov's one-act comedies: The Bear, Marriage Proposal, Wedding, and Tobacco.", "topic": "Top/Arts/Performing_Arts/Theatre/Vaudeville", "url": "http://method.vtheatre.net/doc/intro.html"} +{"d:Title": "Baxter Theatre Centre", "d:Description": "Located in Cape Town, South Africa. Full details of current and future performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Africa", "url": "http://www.baxter.co.za/"} +{"d:Title": "Pieter Toerien Productions", "d:Description": "Theatre on the Bay and Montecasino Theatre&Studio. Information about facilities including the restaurant, conference venue, and cocktail bar.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Africa", "url": "http://www.pietertoerien.co.za/"} +{"d:Title": "Prithvi Theatre", "d:Description": "An intimate, 200 seater, thrust stage performing arts venue for Hindi, English, Marathi, children's theatre in Juhu, Mumbai, India.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Asia", "url": "http://www.prithvitheatre.org/"} +{"d:Title": "Bali Theatre", "d:Description": "An indoor theater with a capacity of 1200, fully equipped with advanced multimedia technology and state of the art sound and lighting systems. Located in Bali Safari&Marine Park in Gianyar.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Asia", "url": "http://www.balitheatre.com/"} +{"d:Title": "Perspectiv \u2013 Association of Historic Theatres in Europe", "d:Description": "An association of theatres built between 1550 and about 1900 in Europe, as well as people who support them. Includes links to a selection of theatre sites. [English/French/German]", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe", "url": "http://www.perspectiv-online.org/"} +{"d:Title": "International University Global Theatre Experience (IUGTE)", "d:Description": "IUGTE offers international educational and creative programmes in the field of professional performing arts: seminars, conferences, festivals, collaborative projects.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe", "url": "http://www.iugte.com/"} +{"d:Title": "Gaiety Theatre, Isle of Man", "d:Description": "History and restoration of the building including the only known installation of the Corsican Trap or Ghost Glide.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe", "url": "http://www.iom.com/gaietytheatre/"} +{"d:Title": "Gaiety Theatre", "d:Description": "Restored Victorian playhouse in the Isle of Man designed by Frank Matcham. Booking and seating plan, technical specification and what's on guide. Includes links to other Matcham theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe", "url": "http://www.villagaiety.com/"} +{"d:Title": "Archa Theatre", "d:Description": "Monthly program, tips, on-line ticket reservations, archive of past projects, photos, interesting links, contests.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Czech_Republic", "url": "http://www.divadloarcha.cz/"} +{"d:Title": "The English Theatre of Hamburg", "d:Description": "Presenting plays in the original English on a professional basis. Information on current season, actors and how to book tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Germany", "url": "http://www.englishtheatre.de/"} +{"d:Title": "T. Vogels Theater", "d:Description": "The theater offers puppetry ranging from classical performances to experimental. Information about the shows performed. In German and English.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Germany", "url": "http://www.cyberpunch.com/theater/"} +{"d:Title": "English Theatre, Frankfurt", "d:Description": "Brings productions from Broadway and London's West End to Frankfurt. Information on current season.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Germany", "url": "http://www.english-theatre.org/"} +{"d:Title": "Everyman Palace Theatre", "d:Description": "A 630-seat Victorian theatre with a programme of plays, operas, musicals, and concerts. Based in Cork City.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Ireland", "url": "http://www.everymanpalace.com/"} +{"d:Title": "Backstage Theatre", "d:Description": "Established in 1995 in Longford. Includes events, booking information, technical details and directions.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Ireland", "url": "http://www.backstage.ie/"} +{"d:Title": "National Concert Hall (NCH)", "d:Description": "One of Ireland's principal music venues, showcasing many musical types but especially classical. Provides schedules, an online booking facility and a range of background information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Ireland", "url": "http://www.nch.ie/"} +{"d:Title": "Birr Theatre and Arts Centre", "d:Description": "Purpose-built facility at Oxmanstown Hall in Birr, County Offaly, dating from 1888. Lists details of what's on, technical features, history and a film club.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Ireland", "url": "http://www.birrtheatre.com/"} +{"d:Title": "Ramor Theatre", "d:Description": "Restored theatre building in County Cavan, Ireland which was originally a Catholic Church. Lists a calendar of events, booking and seating information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Ireland", "url": "http://www.ramortheatre.ie/"} +{"d:Title": "St. John's Theatre and Arts Centre", "d:Description": "Arts venue in a converted church, located in Listowel, County Kerry.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Ireland", "url": "http://www.stjohnstheatrelistowel.com/"} +{"d:Title": "Roscommon Arts Centre", "d:Description": "Purpose-built arts space presenting a year-round programme of events including theatre, music, comedy, dance, visual arts, workshops and classes.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Ireland", "url": "http://www.roscommonartscentre.ie/"} +{"d:Title": "Hungarian Theatre Cluj-Napoca", "d:Description": "Programme, shows, pictures, awards and technical details of this theatre in Romania.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/Romania", "url": "http://www.huntheater.ro/"} +{"d:Title": "The Little Theatre Guild of Great Britain", "d:Description": "Information about the members of the Little Theatre Guild of Great Britain, all small amateur societies who own their own theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom", "url": "http://www.littletheatreguild.org/"} +{"d:Title": "Pleasance Theatre in London and Edinburgh", "d:Description": "The Pleasance is an independent theatre in north London, and during the Edinburgh Fringe Festival, it becomes the biggest venue of the fringe with 16 venues. Information on both venues.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom", "url": "http://www.pleasance.co.uk/"} +{"d:Title": "The Theatres Trust", "d:Description": "A society established to promote the protection of theatres within the United Kingdom", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom", "url": "http://www.theatrestrust.org.uk/"} +{"d:Title": "Whatsonstage.com", "d:Description": "Guide to what's on for theatres in London and in the regions. Listings, reviews, news, ticket sales and seating plans.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom", "url": "http://www.whatsonstage.com/"} +{"d:Title": "Theatres Online", "d:Description": "Theatre guide with links to theatres and local amenities. Requires Flash.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom", "url": "http://www.theatresonline.com/"} +{"d:Title": "Altrincham Garrick Theatre", "d:Description": "The Garrick has a long history from its initial stirrings in November 1913. Synopsis, history, contact points and information on the upcoming season and previous seasons. Manchester area.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.altrinchamgarrick.co.uk/"} +{"d:Title": "Chorley Little Theatre", "d:Description": "Based in Lancashire this theatre hosts 7 shows a year including musicals. Information on current and past productions, map and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.chorleylittletheatre.com/"} +{"d:Title": "Concordia Theatre Online", "d:Description": "Independently run amateur theatre in Hinckley, Leicestershire. Hosts pantomime, opera, plays, musicals, dance and professional and corporate events. Details of bookings, future presentations, parking, and a map.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.concordiatheatre.co.uk/"} +{"d:Title": "The Deptford Albany", "d:Description": "Home to sixteen different community and arts organisations. Activities include: musical theatre for people with learning disabilities, community drug education, creche facilities, black dance theatre and citizens advocacy. London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.thealbany.org.uk/"} +{"d:Title": "Liverpool Everyman and Playhouse", "d:Description": "Details of current season, how to book tickets and how to find the theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.everymanplayhouse.com/"} +{"d:Title": "New Venture Theatre, Brighton", "d:Description": "Not-for-profit theatre based in Brighton. Information on all the upcoming events and how to become a member.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.newventure.org.uk/"} +{"d:Title": "Royal National Theatre", "d:Description": "Plays and performances at all three theatres at the Royal National Theatre. Information on locations, history, events, and activities. London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.nationaltheatre.org.uk/"} +{"d:Title": "North Devon Theatres' Trust", "d:Description": "The Queen's Theatre in Barnstaple and the Landmark Theatre in Ilfracombe. Information about both venues, their productions, locations, and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.northdevontheatres.org.uk/"} +{"d:Title": "The Queen's Theatre", "d:Description": "Current and upcoming productions in the main auditorium and smaller scale attractions in the foyer. Hornchurch, London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.queens-theatre.co.uk/"} +{"d:Title": "Newcastle Theatre Royal", "d:Description": "Listings and educational information for Newcastle's theatre in the heart of Grainger Town.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.theatreroyal.co.uk/"} +{"d:Title": "Salisbury Playhouse", "d:Description": "Details of what's on, special offers and buying tickets online. Wiltshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.salisburyplayhouse.com/"} +{"d:Title": "Nuffield Theatre", "d:Description": "Southampton. Producing theatre in the South of England. Programme, prices, facilities and details of other activities.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.nuffieldtheatre.co.uk/"} +{"d:Title": "Priory Theatre", "d:Description": "Forthcoming shows and auditions. Includes youth theatre details, history, and fundraising for charity. Kenilworth, Warwickshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.priorytheatre.co.uk/"} +{"d:Title": "Pegasus Theatre, Oxford", "d:Description": "Presenting contemporary drama and dance as well as comedy. Information on what's on, the Oxford Youth Theatre and Oxford Youth Dance, courses and workshops and book tickets online.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.pegasustheatre.org.uk/"} +{"d:Title": "Theatre Royal Bath", "d:Description": "The official site for all up to date information on shows and events in the main house and Ustinov Studio.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.theatreroyal.org.uk/"} +{"d:Title": "The Royal Court Theatre", "d:Description": "Producer of new plays. Submission guidelines, and archive of past productions. London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.royalcourttheatre.com/"} +{"d:Title": "Stephen Joseph Theatre", "d:Description": "Two auditoria, one in-the-round, one end stage with restaurant and bars. Scarborough, North Yorkshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.sjt.uk.com/"} +{"d:Title": "Tricycle Theatre", "d:Description": "Theatrical works reflecting cultural diversity, an art gallery, and cinema. Information on current offerings, box office, children's events, educational opportunities, and contact details. Kilburn, London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.tricycle.co.uk/"} +{"d:Title": "Fringe Theatre Network", "d:Description": "Fringe theatre association providing information on thirty member theatres in London. What's on, hiring a venue and background.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.fringetheatre.org.uk/"} +{"d:Title": "The Bush Theatre", "d:Description": "Producer of new plays by both British and international authors. Background, history, writers list, productions and box office. Shepherds Bush Green, London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.bushtheatre.co.uk/"} +{"d:Title": "Maddermarket Theatre", "d:Description": "What's on and how to get involved at the Maddermarket Theatre, Norwich.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.maddermarket.co.uk/"} +{"d:Title": "Eastbourne Theatres", "d:Description": "Lists shows and performances scheduled for the Congress Theatre, Devonshire Park Theatre, Winter Garden and Royal Hippodrome, in Eastborurne.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.eastbournetheatres.co.uk/"} +{"d:Title": "Babbacombe Theatre", "d:Description": "Theatre facility in South Devon. Provides details of upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.babbacombe-theatre.com/"} +{"d:Title": "Dukes Theatre and Cinema News", "d:Description": "A theatre in Lancaster, Lancashire producing a variety of professional live theatre and also showing mainstream and cultural cinema screenings.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.dukes-lancaster.org/"} +{"d:Title": "Britannia Pier Theatre", "d:Description": "Situated on the Great Yarmouth coastline in East Anglia, with online per-seat booking facilities.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.britannia-pier.co.uk/"} +{"d:Title": "Selby Town Hall Arts Centre", "d:Description": "An arts centre in Selby, North Yorkshire with a programme of music, comedy, dance, theatre and cabaret.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.selbytownhall.co.uk/"} +{"d:Title": "Empire Theatre, Halstead", "d:Description": "A 300-seat theatre in Halstead, Essex, offering film, live shows and theatre performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.empire-theatre.co.uk/"} +{"d:Title": "The Palace Theatre", "d:Description": "Located in Westcliff-on-Sea, Essex. Provides technical information, photos and upcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.teaup.me.uk/"} +{"d:Title": "Wellington Pier, Great Yarmouth", "d:Description": "Includes an overview of facilities, map of the pier and job vacancies.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.wellington-pier.co.uk/"} +{"d:Title": "Underground Venues", "d:Description": "Venue management company offers application, space information and contact information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://undergroundvenues.co.uk/"} +{"d:Title": "Barnfield Theatre, Exeter", "d:Description": "Exeter's Little Theatre in the city centre, home to Exeter Little Theatre Company and performances by amateur and professional companies. Information on upcoming events, seating plan and box office.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.barnfieldtheatre.org.uk/"} +{"d:Title": "Delfont Mackintosh Theatres", "d:Description": "Theatre operator owning The Prince Edward, The Prince of Wales, The Queens and theatres in London. Includes seating plans, ticket sales, news and recruitment.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.delfontmackintosh.co.uk/"} +{"d:Title": "Broadway Theatre, Barking", "d:Description": "What's on, how to book and contact information for the major theatre in Barking, Essex.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.thebroadwaybarking.com/"} +{"d:Title": "Watermill Theatre", "d:Description": "A West Berkshire playhouse near Lambourn. Provides upcoming productions, directions and supporter information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.watermill.org.uk/"} +{"d:Title": "Oxford Playhouse", "d:Description": "Theatre location for classic drama, comedy, children's theatre, and music. Tickets can be booked online.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.oxfordplayhouse.com/"} +{"d:Title": "The Barbican Theatre, Plymouth", "d:Description": "140 seat theatre. Information on all the facilities and upcoming performances, exhibitions and workshops.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.barbicantheatre.co.uk/"} +{"d:Title": "The Mayflower, Southampton", "d:Description": "Information, contact details, location, online booking, news, events.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.mayflower.org.uk/"} +{"d:Title": "Hampstead Theatre", "d:Description": "Internationally respected new writing producing theatre, which holds six to eight new plays a year in a 174 seat auditorium. London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.hampsteadtheatre.com/"} +{"d:Title": "Regent Centre", "d:Description": "Theatre, cinema, studio and art gallery in Christchurch, Dorset. Includes events guide and booking information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.regentcentre.co.uk/"} +{"d:Title": "Gladstone Theatre", "d:Description": "Run by the Gladstone Theatre Trust and presenting both professional and amateur shows. Includes information about current and coming productions, theatre hire, and the trust. Port Sunlight.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.gladstonetheatre.org.uk/"} +{"d:Title": "Bristol Old Vic", "d:Description": "Producing theatre company based at the Theatre Royal: information about the performance spaces, history, booking information and forthcoming productions.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.bristololdvic.org.uk/"} +{"d:Title": "Brentwood Theatre", "d:Description": "Technical information, how to book tickets, current season, workshops and directions all included. Brentwood, Essex.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.brentwood-theatre.co.uk/"} +{"d:Title": "Theatre Severn", "d:Description": "Shrewsbury's performing arts venue. Provides a What's On guide to future performances and general information about the venue, including booking tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.theatresevern.co.uk/"} +{"d:Title": "Arcola Theatre", "d:Description": "Arts venue and dramatic company in Hackney. Describes the theatre with photos, with information about upcoming performances and programs on offer.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.arcolatheatre.com/"} +{"d:Title": "Playhouse Whitley Bay", "d:Description": "A redeveloped, modern theatre for the entertainment of North Tyneside area.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.playhousewhitleybay.co.uk/"} +{"d:Title": "Tynemouth Priory Theatre", "d:Description": "North Tyneside. Presents classic and new stage productions in its own 200 seat venue. Show and contact information along with photos, audition announcements, and membership details.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.tynemouth-priory-theatre.com/"} +{"d:Title": "Unity Theatre", "d:Description": "Includes information about current productions, and a location map. Liverpool.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.unitytheatreliverpool.co.uk/"} +{"d:Title": "Miniature Opera", "d:Description": "An elaborate model theatre, a meticulous recreation of the Royal Opera House, Covent Garden. Describes how it has been designed and built, with photos.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.miniature-opera.com/"} +{"d:Title": "The Nomad Theatre", "d:Description": "Theatre in East Horsley, Surrey, venue for eight shows annually.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.nomadtheatre.com/"} +{"d:Title": "Laban, Bonnie Bird Theatre", "d:Description": "Based at the RIBA Building of the Year 2003, the Bonnie Bird Theatre is a 300-seat theatre, purpose built for contemporary dance. South East London.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.trinitylaban.ac.uk/"} +{"d:Title": "The Arts Theatre", "d:Description": "Theatre on Great Newport Street, London reopened after an extensive refurbishment, in the heart of the West End.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.artstheatrewestend.co.uk/"} +{"d:Title": "The SandPit Theatre", "d:Description": "268 seat theatre venue. Forthcoming season of events and online ticket booking available. St Albans, Hertfordshire.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.sandpittheatre.co.uk/"} +{"d:Title": "Under Ground Theatre", "d:Description": "Home of Eastbourne Arts Centre. Details of shows and events plus general information about the theatre, arts centre and charitable trust.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://undergroundtheatre.co.uk/"} +{"d:Title": "Gulbenkian Theatre", "d:Description": "Includes full programme listings, with advance news of future shows, full technical information, booking details and contact information. Caterbury, Kent.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.thegulbenkian.co.uk/"} +{"d:Title": "The Playhouse Theatre", "d:Description": "Events, information, booking details and the history of this North Somerset Council run venue.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://www.parkwoodtheatres.co.uk/theatre/Default.aspx?zid=3"} +{"d:Title": "Oakengates Theatre", "d:Description": "Shows and events based in Telford, Shropshire. Information on what's on, seating plan and how to book.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/England", "url": "http://theplacetelford.com/"} +{"d:Title": "The Lyric Theatre Belfast", "d:Description": "Producing theatre with news, reviews and current shows.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.lyrictheatre.co.uk/"} +{"d:Title": "Millennium Forum - Theatre and Conference Centre", "d:Description": "Guide to the venue including what's on, booking information, an overview of the conference facilities, news and contact details. Londonderry.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.millenniumforum.co.uk/"} +{"d:Title": "Market Place Theatre", "d:Description": "Includes information from drama to comedy nights, from children's shows to variety entertainment. Armagh.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Northern_Ireland", "url": "http://www.marketplacearmagh.com/"} +{"d:Title": "Ardhowen Theatre", "d:Description": "Contact, booking information and reviews of forthcoming events.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Northern_Ireland", "url": "http://enniskillen.com/the-ardhowen/"} +{"d:Title": "Cumbernauld Theatre", "d:Description": "Productions, what's on, box office, news, technical information and access details.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Scotland", "url": "http://www.cumbernauldtheatre.co.uk/"} +{"d:Title": "The Tron Theatre", "d:Description": "Programme of events plus information on the theatre and how to book tickets. Bar and restaurant details given. Based in the old Tron church, Trongate.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Scotland", "url": "http://www.tron.co.uk/"} +{"d:Title": "The Royal Lyceum Theatre", "d:Description": "Shows, events, tickets and resources, including educational activities for young people, at Edinburgh's Royal Lyceum Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Scotland", "url": "http://www.lyceum.org.uk/"} +{"d:Title": "The King's, Glasgow", "d:Description": "Full season listings, travel details and on-line booking.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Scotland", "url": "http://www.atgtickets.com/venues/kings-theatre/"} +{"d:Title": "Dundee Rep Theatre", "d:Description": "Home to Scotland's only full-time resident company of actors and contemporary dancers. Information about the theatre, what's on and on-line booking form.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Scotland", "url": "http://www.dundeerep.co.uk/"} +{"d:Title": "Theatr Mwldan", "d:Description": "Hosting a wide range of professional and amateur theatre, cinema, music and dance productions. Cardigan.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "http://www.mwldan.co.uk/"} +{"d:Title": "New Theatre Cardiff", "d:Description": "This theatre house offers a variety of drama, opera, musicals, dance, children's shows and pantomime. Information on productions, show schedule, mailing lists, facilities, and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "http://www.newtheatrecardiff.co.uk/"} +{"d:Title": "Clwyd Theatr Cymru", "d:Description": "Theatre complex and arts centre in Mold, North Wales. Includes a diary of upcoming productions. [English/Cymraeg]", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "https://www.theatrclwyd.com/"} +{"d:Title": "Harlequin Puppet Theatre", "d:Description": "Marionette theatre with details and pictures of productions and puppets. Britain's only permament theatre designed and built for puppets. Established 1958.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "http://www.bimbamboozle.com/harlequin.htm"} +{"d:Title": "North Wales Theatre", "d:Description": "What's on including booking information, on-line booking, a seating plan, a mailing list, press and corporate information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "http://www.venuecymru.co.uk/"} +{"d:Title": "Congress Theatre", "d:Description": "Includes details of the theatre and its user groups.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "http://www.congresstheatrecwmbran.co.uk/"} +{"d:Title": "Pavilion Theatre", "d:Description": "Details of the current events and shows. Rhyl.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "http://www.rhylpavilion.co.uk/"} +{"d:Title": "The Sherman Theatre", "d:Description": "What's on, contact and booking details.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Europe/United_Kingdom/Wales", "url": "http://www.shermantheatre.co.uk/"} +{"d:Title": "Mirvish Productions", "d:Description": "Company who own theatres in Toronto. Information about all their theatres including the Royal Alexandra and Princess of Wales Theatres.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.mirvish.com/"} +{"d:Title": "Regina Globe Theatre", "d:Description": "Saskatchewan's live theatre in-the-round. Information about the playbill, tickets and rental information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.globetheatrelive.com/"} +{"d:Title": "Pacific Theatre", "d:Description": "Scheduled plays and a tour of the theatre, located in Vancouver, BC.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.pacifictheatre.org/"} +{"d:Title": "Rosebud Theatre", "d:Description": "Professional theatre located near Calgary, Alberta, with a 75-seat Studio Stage and a 220-seat Opera House.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.rosebudtheatre.com/"} +{"d:Title": "Guelph River Run Centre", "d:Description": "The City of Guelph's Performing Arts Centre. Performance and events series schedules, ticket prices and Box Office information.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://riverrun.ca/"} +{"d:Title": "Sagebrush Theatre Online", "d:Description": "A 706 seat proscenium theatre in British Columbia, jointly owned by the City of Kamloops and the Kamloops/Thompson School District. Includes rental rates, technical information and maps.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.sagebrushtheatre.ca/"} +{"d:Title": "Algonquin Theatre", "d:Description": "A 408 seat performing arts theatre in Huntsville, Ontario, which hosts a variety of performances ranging from elementary school productions to concerts by world renowned musical artists.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.algonquintheatre.ca/"} +{"d:Title": "Maple Ridge Arts Centre&Theatre", "d:Description": "Brand new full facility Arts Centre with: 500 seat theatre, studio theatre, conference and meeting and workshop rooms.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.theactmapleridge.org/"} +{"d:Title": "The Astor Theatre", "d:Description": "Venue in Liverpool, Nova Scotia with varied live performances and weekly cinema screenings.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.astortheatre.ns.ca/"} +{"d:Title": "Gateway Theatre", "d:Description": "Richmond, BC live, professional theatre featuring Canadian artists, educational programs, and community concerts, only minutes.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "https://www.gatewaytheatre.com/"} +{"d:Title": "MiST Theatre (MultiMedia Studio Theatre)", "d:Description": "Located on the University of Toronto at Mississauga campus in Ontario, MiST was designed as a modern, flexible theatre space used by the university community and by the area community.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.utm.utoronto.ca/academic/theatre/mist/"} +{"d:Title": "TCU Place - Saskatoon's Arts&Convention Centre", "d:Description": "Saskatoon's Arts and Convention Centre, located downtown. Provides a calendar of coming performances and details about the theatre including seating and technical details.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.tcuplace.com/"} +{"d:Title": "Sudbury Theatre Centre", "d:Description": "Thirty years of professional live theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.sudburytheatre.ca/"} +{"d:Title": "The Rose Theatre", "d:Description": "A multi-purpose theatre venue in Brampton, ON, with seating for 800. Describes its facilities and includes information about upcoming events.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.brampton.ca/sites/rose-theatre/"} +{"d:Title": "Abbotsford Arts Centre", "d:Description": "A centre for performing arts in Abbotsford, British Columbia, with over 700 seats and a full lighting, fly and sound system.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/Canada", "url": "http://www.abbotsfordartscentre.ca/"} +{"d:Title": "Starlight Theatre", "d:Description": "Kansas City's premier performing arts organization and second largest theatre in the United States. Features performance calendar, season ticket holder information and contacts.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.kcstarlight.com/"} +{"d:Title": "Unicorn Theatre - Theatre on the Edge", "d:Description": "Produces professional, contemporary theatre productions for Kansas City. Features information on production schedule, show times and tickets.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.unicorntheatre.org/"} +{"d:Title": "Arie Crown Theater", "d:Description": "Located near Chicago's McCormick Place, the theater has been acoustically and architecturally rebuilt. Offers information services, seating charts, ticket and scheduling, contacts and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.ariecrown.com/"} +{"d:Title": "Barter Theatre", "d:Description": "Season schedule, box office, history, and programs offered by the state theatre of Virginia.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.bartertheatre.com/"} +{"d:Title": "Attic Playhouse", "d:Description": "A not-for-profit, 94-seat theatre located in Highwood, 25 miles north of Chicago. A variety of classic plays, musicals, new works and special events.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.atticplayhouse.com/"} +{"d:Title": "Pine Knob Theatre", "d:Description": "This 500 seat amphitheater is home to four different summer shows June through September each year. Dock Brown, Down In Hoodoo Holler, Lucy And Ruth's Diner, and Daddy Took The T-Bird Away. Performed Friday and Saturday nights.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.pineknob.com/"} +{"d:Title": "The Shepherd of the Hills", "d:Description": "Live outdoor drama production, based on the 1907 book by Harold Bell Wright, portraying the lives of Old Matt, Aunt Mollie, and their Ozark Mountains neighbors. Observation tower and tours of the original homestead also available.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://theshepherdofthehills.com/"} +{"d:Title": "Wheeler Opera House", "d:Description": "Built in 1889; hosting community theater, children's theater, Broadway shows, opera, jazz, country and rock performances, lecture series, private and community events.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.wheeleroperahouse.com/"} +{"d:Title": "Music Hall at Fair Park, Dallas, Texas", "d:Description": "Booking information and show details, directions, seating chart and history.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.liveatthemusichall.com/"} +{"d:Title": "McMorran Place Theatre", "d:Description": "1,150 seat theatre located in the heart of downtown Port Huron, Michigan. Home to national, regional, and community theatre shows, concerts, dance and concerts.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.mcmorran.com/"} +{"d:Title": "Boston Court Theatre", "d:Description": "Performing arts theater and entertainment center located in Pasadena, California.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.bostoncourt.com/"} +{"d:Title": "Eichelberger Performing Arts Center", "d:Description": "Offers musicals and a variety of concerts featuring bluegrass, country, and oldies music. Features a calendar of events and online tickets sales, as well as press releases. Located in York County - Hanover, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.theeich.org/"} +{"d:Title": "Clark State Performing Arts Center", "d:Description": "1500-seat Kuss Auditorium and 200-seat black box Turner Studio Theatre.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://pac.clarkstate.edu/"} +{"d:Title": "The DuPont Theatre", "d:Description": "Current show information, online ticket ordering, directions, dining, history. Located in Wilmington, Delaware.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.duponttheatre.com/"} +{"d:Title": "Phoenix Theatre", "d:Description": "City theatre in Phoenix, AZ, with a mainstage, Little Theatre, programs for young people and theatre education in general.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://phoenixtheatre.com/"} +{"d:Title": "The Strand Theater", "d:Description": "Historic theater in Lakewood NJ providing shows, holiday concerts, kid\u2019s theater camps and other events. Includes calendar and ticket details.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://strand.org/"} +{"d:Title": "Sautee Nacoochee Center", "d:Description": "Located in Sautee-Nacoochee, Georgia, home of the annual Echota Performing Arts Festival, Echota Fringe Festival, and Dramatic Spectacle Readers Theatre Series.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.snca.org/"} +{"d:Title": "The Shea Theater", "d:Description": "An historic restored 350 seat vaudeville theater located in Turners Falls, Massachusetts. Provides details of its resident companies, Shea-produced events and rentals.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.theshea.org/"} +{"d:Title": "The France-Merrick Performing Arts Center", "d:Description": "In Baltimore, Maryland. Designed to fulfill the cultural, educational, and entertainment needs of Baltimore and the mid-Atlantic region. View events, ticket information, seating charts, and renting.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.france-merrickpac.com/"} +{"d:Title": "Ephrata Playhouse in the Park", "d:Description": "Information on upcoming shows, show dates and the various programs created by the Ephrata Performing Arts Center in Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.ephrataperformingartscenter.com/"} +{"d:Title": "The Majestic Theatre, Dallas, Texas", "d:Description": "Including current shows, booking, directions, rental information and a seat chart.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.dallasculture.org/majestictheatre/"} +{"d:Title": "Kumble Theater for the Performing Arts", "d:Description": "A dynamic, state-of-the art performing arts venue serving one of the most diverse campuses and communities in the country. Located at Long Island University\u2019s Brooklyn Campus.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://kumbletheater.org/"} +{"d:Title": "Largo Cultural Center", "d:Description": "Offers affordable family entertainment in Largo, Florida. Includes upcoming shows and a virtual tour of the facility.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://www.largo.com/department/?fDD=15-0"} +{"d:Title": "San Diego Civic Theatre", "d:Description": "Information about upcoming theatre performances and calendar of events including dates, times and ticket information for performances.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://sandiegotheatres.org/"} +{"d:Title": "Brook Arts Center", "d:Description": "A performing arts center and arts incubator at the Brook Theatre in Bound Brook, NJ.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States", "url": "http://brookarts.org/"} +{"d:Title": "The Kitchen", "d:Description": "A non-profit black box theatre space and art gallery that features interdisciplinary performances, also available to rent.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States/New_York", "url": "http://www.thekitchen.org/"} +{"d:Title": "The Public Theater / NY Shakespeare Festival", "d:Description": "Founded by Joseph Papp in 1954 as the Shakespeare Workshop, productions continue to include new plays and musicals as well as Shakespeare and other classics.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States/New_York", "url": "http://www.publictheater.org/"} +{"d:Title": "Gershwin Theater", "d:Description": "Includes current and future productions, seating chart, theater history and local dining tips.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States/New_York", "url": "http://www.gershwin-theater.com/"} +{"d:Title": "Chashama Theater, Times Square", "d:Description": "Nonprofit group of theaters, gallery spaces, and studios. Programs, calendar and archives available.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/North_America/United_States/New_York", "url": "http://www.chashama.org/"} +{"d:Title": "Glen Street Theatre", "d:Description": "Presenting, producing, supporting and encouraging a broad range of performing arts, theatre and cabaret. Based in Frenchs Forest (near Sydney).", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Oceania/Australia", "url": "http://www.glenstreet.com.au/"} +{"d:Title": "The Darlinghurst Theatre", "d:Description": "The Darlinghurst Theatre located in Kings Cross, Sydney - Australia provide professional theatre in an intimate and comfortable venue. Our website contains information on classes as well as booking tickets online.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Oceania/Australia", "url": "http://www.darlinghursttheatre.com/"} +{"d:Title": "Ensemble Theatre", "d:Description": "Located on Sydney Harbour, produces 8-9 plays a year. A mixture of Australian and international, classic and contemporary, comedy and drama. Information on coming season, how to book and the venues.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Oceania/Australia", "url": "http://www.ensemble.com.au/"} +{"d:Title": "Belvoir St Theatre", "d:Description": "Located in Surry Hills. Producers of award winning classical and contemporary theatre. Information on current and future productions, ticket bookings and venue hire (2 theatres available).", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Oceania/Australia", "url": "http://www.belvoir.com.au/"} +{"d:Title": "Her Majesty's Theatre, Melbourne", "d:Description": "A heritage-listed theatre in Melbourne, which hosts Australian and international plays and musicals. Provides current and upcoming productions, how to book tickets, seating plans and virtual tours.", "topic": "Top/Arts/Performing_Arts/Theatre/Venues/Oceania/Australia", "url": "http://www.hmt.com.au/"} +{"d:Title": "Malabar", "d:Description": "Theatrical costume house and dancewear supplier.", "topic": "Top/Arts/Performing_Arts/Theatre/Wardrobe_and_Costume", "url": "http://www.malabar.net/"} +{"d:Title": "Banff Centre Costume Shop", "d:Description": "Images, rentals, facilities, contact information, and school's theatre history. Located in Banff, Alberta, Canada.", "topic": "Top/Arts/Performing_Arts/Theatre/Wardrobe_and_Costume", "url": "http://www.banffcentre.ca/Theatre/services/rentals.asp"} +{"d:Title": "Fnomna Concepts", "d:Description": "Made-to-order or custom designed theatrical and fashion headpieces, headdresses, and costumes. Located in Sydney, Australia.", "topic": "Top/Arts/Performing_Arts/Theatre/Wardrobe_and_Costume", "url": "http://home.iprimus.com.au/deltasoft/"} +{"d:Title": "National Arts Centre", "d:Description": "National Orchestra, English and French Theatres, Dance, variety and special events. Ottawa, Ontario, Canada. [English and French]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.nac-cna.ca/"} +{"d:Title": "The Garde Arts Center", "d:Description": "Performing arts theater presenting Broadway shows, opera, film and other events. New London, Connecticut.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.gardearts.org/"} +{"d:Title": "Prague National Theatre", "d:Description": "Opera, Ballet and Drama on the stages of the National Theatre, Theatre of the Estates and Kolowrat Theatre. Czech Republic.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.nationaltheatre.cz/"} +{"d:Title": "John F. Kennedy Center for the Performing Arts", "d:Description": "Information on musical, ballet and theatrical productions, cultural programs and events. Online ticket purchase provided. Located in Washington, D.C.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.kennedy-center.org/"} +{"d:Title": "State Theater", "d:Description": "Renovated vaudeville/silent-film house featuring Classical, Broadway, Irish, Opera, family events and Pop concerts. New Brunswick, New Jersey.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.statetheatrenj.org/"} +{"d:Title": "The Bushnell", "d:Description": "Live performance venue for Broadway theatre, music, dance, travel films, opera, children's theatre and special events. Hartford, Connecticut.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.bushnell.org/"} +{"d:Title": "Jorgensen Auditorium", "d:Description": "Performing arts venue located on the University of Connecticut campus at Storrs. Information on season schedule, subscriptions, ticket ordering and special events.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://jorgensen.uconn.edu/"} +{"d:Title": "Lincoln Center for the Performing Arts", "d:Description": "Home of The Metropolitan Opera, The New York City Ballet, The New York Philharmonic Orchestra and several other resident performing arts companies.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.lincolncenter.org/"} +{"d:Title": "Civic Hall Performing Arts Center", "d:Description": "Presenting ballet, orchestra, opera and music performances. Information on schedule, resident performance groups and tickets. Richmond, Indiana.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.civichall.com/"} +{"d:Title": "Starlight Theatre", "d:Description": "Offering Broadway productions and musical concerts. Information on performance calendar, season ticket holder information and contacts. Kansas City, Missouri.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.kcstarlight.com/"} +{"d:Title": "Barbara B. Mann Performing Arts Hall", "d:Description": "Information on Broadway Series and dance productions. Fort Myers, Florida.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.bbmannpah.com/"} +{"d:Title": "Carnegie Hall", "d:Description": "Features include history, sound clips, online ticket purchasing, a virtual tour of the Hall, an interactive timeline, and a Photobubble view of the Hall. New York City.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.carnegiehall.org/"} +{"d:Title": "Cerritos Center for the Performing Arts", "d:Description": "Season schedule plus ticket and seating information. Cerritos, California.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.cerritoscenter.com/"} +{"d:Title": "Clemens Center", "d:Description": "Hosting events from Barbershop to Broadway. Elmira, New York.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.clemenscenter.com/"} +{"d:Title": "The Denver Center for the Performing Arts", "d:Description": "News, events calendar, bulletin board and information on facility, upcoming productions and subscriptions. Denver, Colorado.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.denvercenter.org/"} +{"d:Title": "The Grand 1894 Opera House", "d:Description": "Presenting touring music, dance, and theatrical performances. Galveston, Texas.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.thegrand.com/"} +{"d:Title": "Hult Center for the Performing Arts", "d:Description": "Host to eight resident companies; Dance Theatre of Oregon, Eugene Ballet Company, Eugene Concert Choir, Eugene Opera, Eugene Symphony Orchestra, Oregon Bach Festival, Oregon Festival of American Music, and Oregon Mozart Players. Eugene, Oregon.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.hultcenter.org/"} +{"d:Title": "Indiana University Auditorium", "d:Description": "News and information on current season, facility, seating and box office. Bloomington, Indiana.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://iuauditorium.com/"} +{"d:Title": "The Jones Theater", "d:Description": "Converted 1880's saloon featuring live drama, comedy and musicals. Westcliffe, Colorado.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.jonestheater.com/"} +{"d:Title": "Krannert Center for the Performing Arts", "d:Description": "Theater, music and dance performances. Part of the University of Illinois at Urbana-Champaign.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "https://krannertcenter.com/"} +{"d:Title": "The Morris Performing Arts Center", "d:Description": "Home of the Broadway Theatre League, the South Bend Symphony Orchestra, and the Southold Dance Theater. South Bend, Indiana.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.morriscenter.org/"} +{"d:Title": "On the Boards/Behnke Center for Contemporary Performance", "d:Description": "Produces and presents a variety of events by artists who are leading the way in the evolution of the performing arts. Seattle, Washington.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.ontheboards.org/"} +{"d:Title": "Ordway Center for the Performing Arts", "d:Description": "Broadway-style shows, music and dance. Saint Paul, Minnesota.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.ordway.org/"} +{"d:Title": "The Peace Center for the Performing Arts", "d:Description": "Presenting Broadway shows, music, theater and special events. Greenville, South Carolina.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.peacecenter.org/"} +{"d:Title": "Raymond F. Kravis Center for the Performing Arts", "d:Description": "Events calendar, ticket ordering and a virtual tour of the facilities. West Palm Beach, Florida.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.kravis.org/"} +{"d:Title": "The Robert and Margrit Mondavi Center for the Performing Arts", "d:Description": "Virtual tour, biography of the Mondavis and information on season schedule, special events, tickets and membership. Davis, California.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.mondaviarts.org/"} +{"d:Title": "Ruth Eckerd Hall - Center for the Performing Arts", "d:Description": "News and information on events, season schedule, outreach programs and membership. Clearwater, Florida.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.rutheckerdhall.com/"} +{"d:Title": "Saratoga Performing Arts Center", "d:Description": "Summer host of The New York City Ballet, The Philadelphia Orchestra, Saratoga Chamber Music Festival, Freihofer's Jazz Festival and Lake George Opera Festival. Saratoga, New York.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.spac.org/"} +{"d:Title": "Shubert Performing Arts Center", "d:Description": "Season calendar, subscription information and online purchase of tickets. New Haven, Connecticut.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.shubert.com/"} +{"d:Title": "St. Lawrence Centre for the Performing Arts", "d:Description": "Located in downtown Toronto and featuring online ticket ordering for current productions.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.stlc.com/"} +{"d:Title": "Tennessee Performing Arts Center", "d:Description": "Nashville's primary venue for theatrical and musical productions. Schedule for the upcoming season and ticket information available.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.tpac.org/"} +{"d:Title": "Tilles Center for the Performing Arts", "d:Description": "Featuring music, dance and theater. Located on the C.W. Post campus of Long Island University in Brookville, New York.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.tillescenter.org/"} +{"d:Title": "University of Albany Performing Arts Center", "d:Description": "Calendars for venues, box office information, history, and additional links. Albany, New York.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.albany.edu/pac/"} +{"d:Title": "Van Wezel Performing Arts Hall", "d:Description": "Calendar of events, seating charts, and directions to the hall. Online ticketing available. Sarasota, Florida.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.vanwezel.org/"} +{"d:Title": "The Washington Center for the Performing Arts", "d:Description": "Information on current season, box office, theater rental, technical specifications and membership. Olympia, Washington.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.washingtoncenter.org/"} +{"d:Title": "Arc Theatre", "d:Description": "Providing theatre, dance and musical performances. Trowbridge, Wiltshire, England.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.arctheatre.org.uk/"} +{"d:Title": "Tribeca Performing Arts Center", "d:Description": "Presenting culturally diverse theatre, dance, and music for an intergenerational audience.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.tribecapac.org/"} +{"d:Title": "Athens Concert Hall, The", "d:Description": "Cultural and conference centre provides details and news about events, sponsors and exhibitions. Located in Athens, Greece.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.megaron.gr/"} +{"d:Title": "South Jackson Civic Center", "d:Description": "Offering rehearsal facilities, conference and meeting rooms, a 400-seat auditorium, full stage with proscenium arch and a local history museum. Tullahoma, Tennessee.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.southjackson.org/"} +{"d:Title": "The Hobby Center", "d:Description": "Performance arts venue provides news, fact sheets, and map to location in Houston, Texas.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.thehobbycenter.org/"} +{"d:Title": "Artpark", "d:Description": "Hosting musical concerts and theatre productions in a park overlooking the Niagara River gorge, on the international border between the United States and Canada.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.artpark.net/"} +{"d:Title": "Flynn Center for the Performing Arts", "d:Description": "Information on performances, history, seating, facility rental, special events and membership. Burlington, Vermont.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://flynncenter.org/"} +{"d:Title": "McCain Auditorium", "d:Description": "Performing arts center at Kansas State University.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.k-state.edu/mccain/"} +{"d:Title": "Henegar Center for the Performing Arts", "d:Description": "A community arts center in Melbourne, Florida that provides quality cultural and educational programs, and opportunities for community use.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.henegar.org/"} +{"d:Title": "Carver Community Cultural Center", "d:Description": "Celebrates the diverse cultures of the world by providing challenging artistic presentations, community outreach activities,and educational programs. Lists schedule, box office, listing of sponsors and supporters, and history. Located in San Antonio, Texas.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.thecarver.org/"} +{"d:Title": "Ross Ragland Theater Performing Arts Center", "d:Description": "Presents all forms of performing arts including youth and adult local productions, country and rock music performers, ballet, opera, Broadway plays and comedy. Located in Klamath Falls, Oregon.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.rrtheater.org/"} +{"d:Title": "Kentucky Center for the Arts", "d:Description": "Offering music, dance and theater performances.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.kentuckycenter.org/"} +{"d:Title": "Living Arts Centre", "d:Description": "Offers performing, studio, and digital arts in addition to fine dining and catered meeting facilities. Mississauga, Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.livingartscentre.ca/"} +{"d:Title": "Salisbury Arts Centre", "d:Description": "Presents music, theatre, dance, visual arts and family shows to the people of Wiltshire, UK.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.salisburyartscentre.co.uk/"} +{"d:Title": "The Roundhouse", "d:Description": "Performing arts venue with a creative centre for young people featuring music, dance, theatre, film and circus. Camden, London, UK.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.roundhouse.org.uk/"} +{"d:Title": "Sadler's Wells", "d:Description": "Presenting international dance, opera and music theatre. Details of forthcoming performances, on-line booking, news and features. London, England.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.sadlerswells.com/"} +{"d:Title": "The Kimmel Center for the Performing Arts", "d:Description": "Includes Verizon Hall, home of the Philadelphia Orchestra, Perelman Theater and the Academy of Music. Philadelphia, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.kimmelcenter.org/"} +{"d:Title": "Queensland Performing Arts Centre", "d:Description": "Providing a concert hall, playhouse, theatre, studios, event spaces and a performing arts museum. Brisbane, Australia.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.qpac.com.au/"} +{"d:Title": "Popejoy Hall", "d:Description": "Calendar, tickets and general information provided. Located on the campus of the University of New Mexico in Albuquerque.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.popejoypresents.com/"} +{"d:Title": "Barbican", "d:Description": "Offers visitor information, details of events, ticket purchase, and contacts. [London, UK]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.barbican.org.uk/"} +{"d:Title": "Schauer Center", "d:Description": "The Kettle Moraine\u2019s regional center for the arts. Facility informmation, box office information, opportunities for involvement. [Hartford, Wisconsin, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.schauercenter.org/"} +{"d:Title": "Cherry Lane Theatre", "d:Description": "Off Broadway theater, with 3 spaces to rent; Site offers program and booking information, history and mission of the venue, rental details and charges, education and support opportunities and contact details. [New York, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.cherrylanetheatre.org/"} +{"d:Title": "Adrienne Arsht Center", "d:Description": "Offers booking facility, program information, education and outreach information, dining and parking information. [Miami, Florida, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.arshtcenter.org/"} +{"d:Title": "Bethel Woods Center for the Arts", "d:Description": "Offers concert listings, full location and accessibility information, seating chart, press information, contact details and museum information. [New York, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.bethelwoodscenter.org/"} +{"d:Title": "Amazing Things Art Center", "d:Description": "Includes details of performing and visual arts program, location details of main and associated venues, opportunities for workshop participation and donors. [MA, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://amazingthings.org/"} +{"d:Title": "Waialua Bandstand Concerts", "d:Description": "Provides concert schedule, location details and history, and contact information. [Honolulu, Hawaii]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://waialuabandstand.com/"} +{"d:Title": "Whiting Auditorium", "d:Description": "Includes program information, link to online ticket purchase, facility hire information, outreach information, location details and press releases. [Flint, Michigan, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.thewhiting.com/"} +{"d:Title": "One Longfellow Square", "d:Description": "Portland Arts venue with full program details and online booking, plus hire details, location and contact details.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.onelongfellowsquare.com/"} +{"d:Title": "Rich Mix", "d:Description": "Rich Mix offering programme details, online booking, cafe information, rental information, and its education programme.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.richmix.org.uk/"} +{"d:Title": "The Center For The Arts", "d:Description": "Information about musical, theatre and visual arts performances and classes, and about membership and volunteering.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.thecenterforthearts.org/"} +{"d:Title": "The Side Door Coffee House", "d:Description": "Offers details of monthly music gigs, photos of previous events, organisation contact details. (Quebec, Canada)", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.sidedoorcoffeehouse.com/"} +{"d:Title": "St. David's Hall", "d:Description": "Programme details of Music, children's events, dance, comedy and special events. Booking information, information sign-up. Cardiff, Wales.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.stdavidshallcardiff.co.uk/"} +{"d:Title": "New Theatre Cardiff", "d:Description": "Programme information on drama, opera, musicals, dance, children's shows and pantomime. Cardiff, Wales.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.newtheatrecardiff.co.uk/"} +{"d:Title": "Wharton Center for Performing Arts", "d:Description": "Featuring Broadway, theatre, dance, classical, jazz and pop music performances. On the campus of Michigan State University in East Lansing.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.whartoncenter.com/"} +{"d:Title": "Niswonger Performing Arts Center", "d:Description": "Venue website includes calendar of events, booking information, venue and hire details of 3 auditoria, opportunities for involvement and news features. (Ohio, USA)", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://npacvw.org/"} +{"d:Title": "Segerstrom Center for the Arts", "d:Description": "Information on Broadway, Dance, Concert Series, Cabaret Series, Jazz Club and special events. Costa Mesa, California.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.scfta.org/"} +{"d:Title": "Edinburgh Festival and King's Theatres", "d:Description": "Providing performances of ballet, comedy, dance, drama, opera, music and variety entertainment. Edinburgh, Scotland.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.edtheatres.com/"} +{"d:Title": "The Provincetown Theater", "d:Description": "200 seat performing arts rental venue at the tip of Cape Cod (USA) Site offers program and online booking, venue rental information, information for playwrights and contact details. (USA Cape Cod)", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://provincetowntheater.org/"} +{"d:Title": "Fulton Theatre", "d:Description": "Historic 1852 theatre, presenting music, dance and theatrical performances. Lancaster, Pennsylvania.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.thefulton.org/"} +{"d:Title": "Hall For Cornwall", "d:Description": "Dance, music, drama, comedy and pantomime. Cornwall, England.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "https://www.hallforcornwall.org/"} +{"d:Title": "George Mason University Center for the Arts", "d:Description": "Presenting community events, student and faculty productions as well as the Great Performances at Mason series. Fairfax, Virginia.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://cfa.gmu.edu/"} +{"d:Title": "Berkeley Playhouse", "d:Description": "Performing arts and community center in Berkeley, California.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.berkeleyplayhouse.org/"} +{"d:Title": "Playhouse Square", "d:Description": "Broadway shows, plays, musicals, opera, ballet and contemporary performing arts. Cleveland, Ohio.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.playhousesquare.org/"} +{"d:Title": "Charles W. Stockey Centre for the Performing Arts", "d:Description": "Home to Festival of Sound, and also accommodates variety of regional and touring performances. Located in Parry Sound, Ontario, Canada.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.stockeycentre.com/"} +{"d:Title": "The Cliffs Pavilion and Palace Theatre", "d:Description": "Exhibition and conference venue in Southend on Sea, Essex, England. Includes location, booking details, current productions, services available and history.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "https://southendtheatres.org.uk/"} +{"d:Title": "Zoellner Arts Center", "d:Description": "Lehigh University arts center. Offers events and season information, how to buy tickets, venue and contact information. [Bethlehem, PA, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://zoellner.cas2.lehigh.edu/"} +{"d:Title": "The Clarion at Brazosport College", "d:Description": "Theatre of Brazosport College. Offers programme details, ticket information, education program, contact details and volunteering details. [Lake Jackson, Texas, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.brazosport.edu/Clarion"} +{"d:Title": "Center for the Art of Performance at UCLA", "d:Description": "Features the program of Royce Hall, UCLA, with event details, online booking, connection and support opportunities and location, rental, press and contact details.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://cap.ucla.edu/"} +{"d:Title": "Arts Centre Melbourne", "d:Description": "Host to theater, ballet, opera, and concerts. Melbourne, Australia.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "https://www.artscentremelbourne.com.au/"} +{"d:Title": "Clarice Smith Performing Arts Center", "d:Description": "Performance calendar plus information on special events and tickets. Located on the campus of the University of Maryland.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://theclarice.umd.edu/"} +{"d:Title": "The Hawth", "d:Description": "Offers news, details of events, ticket purchase, and contacts. [Crawley, West Sussex, UK]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.parkwoodtheatres.co.uk/"} +{"d:Title": "The Palace Theatre", "d:Description": "Presenting live theatre, concerts, comedy and dance. Stamford, Connecticut.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://palacestamford.org/"} +{"d:Title": "Brooklyn Center for the Performing Arts", "d:Description": "Presenting classical music, opera, ballet, modern dance, popular artists in concert, Yiddish Theatre, Caribbean concerts, cinema and children's programming.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.brooklyncenter.org/"} +{"d:Title": "Arts Center of the Ozarks", "d:Description": "Enhances cultural arts opportunities in Northwest Arkansas through theatre productions, musical performances and visual arts education. Located in Springdale, Arkansas.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.acozarks.org/"} +{"d:Title": "George Washington University Lisner Auditorium", "d:Description": "Hosting music, dance and cultural events. Washington, DC.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://lisner.gwu.edu/"} +{"d:Title": "Stockton Performing Arts Center", "d:Description": "Live theatre, concerts and children's programs. New Jersey.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://stocktonpac.org/"} +{"d:Title": "Opera di Firenze", "d:Description": "Offering music and theatre. Information on international tours, seating and tickets. Florence, Italy.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.operadifirenze.it/"} +{"d:Title": "River Arts Center", "d:Description": "Offers event and booking information, history and opportunities for involvement. [Prairie du Sac, Wisconsin, USA]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.saukprairieschools.org/community/river-arts-center.cfm"} +{"d:Title": "The Old Town Hall Theatre", "d:Description": "Offers prgram and booking information (including link to online booking), education and private hire information and contact details [Hemel Hempstead, UK]", "topic": "Top/Arts/Performing_Arts/Venues", "url": "https://toth.dacorum.gov.uk/"} +{"d:Title": "The Gordon Center for Performing Arts", "d:Description": "Music, dance, theatre, comedy, and family entertainment. Baltimore, Maryland.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.jcc.org/gordon-center"} +{"d:Title": "Historic Claremont Opera House", "d:Description": "Offers live music, concerts, and entertainment in an historic building in Claremont, New Hampshire. Provides schedules, seating chart, ticket and membership information, directions and nearby restaurants.", "topic": "Top/Arts/Performing_Arts/Venues", "url": "http://www.claremontoperahouse.info/"} +{"d:Title": "Art Nouveau World Wide Server", "d:Description": "Resource site for information on the period and examples of how the style was used.", "topic": "Top/Arts/Periods_and_Movements/Art_Nouveau", "url": "http://artnouveau.pagesperso-orange.fr/"} +{"d:Title": "Islamic Arts and Architecture", "d:Description": "From Mesopotamian coins to Moorish palaces, this educational website offers a fascinating introduction to the Muslim world.", "topic": "Top/Arts/Periods_and_Movements/Islamic", "url": "http://www.islamicart.com/"} +{"d:Title": "Arts of the Islamic World", "d:Description": "A guide to a permanent exhibition presented by the Smithsonian Institution, covering calligraphy, books, and abstract design.", "topic": "Top/Arts/Periods_and_Movements/Islamic", "url": "http://www.asia.si.edu/collections/islamic.asp"} +{"d:Title": "Michel Polizzi", "d:Description": "Art photography, radio playlists for Radio Libertaire and Divergence-FM, travel stories and photos. Underground music, culture, and art reviews and stories.", "topic": "Top/Arts/Personal_Pages", "url": "http://mpol1.free.fr/"} +{"d:Title": "Martin Balmer", "d:Description": "Featuring artwork in the form of paintings, illustrations, cartoons, scale models and short stories. Herne Bay, Kent, England.", "topic": "Top/Arts/Personal_Pages", "url": "http://www.martinbalmer.com/"} +{"d:Title": "Kivett Bednar", "d:Description": "Guitarist in the psych-country-blues band Land of the Living. Painter, sculptor, designer. Portland, Oregon.", "topic": "Top/Arts/Personal_Pages", "url": "http://www.kivettbednar.com/"} +{"d:Title": "With Five Questions", "d:Description": "Five question interviews with artists, authors, musicians, and other creative professionals.", "topic": "Top/Arts/Personal_Pages", "url": "http://withfivequestions.blogspot.com/"} +{"d:Title": "Charlotte Aberg", "d:Description": "This Swedish artist, who is currently living in Dublin, shows ceramic installations, photos, paintings, and drawings. Abstract.", "topic": "Top/Arts/Personal_Pages", "url": "http://charlotteaberg.se/"} +{"d:Title": "Stavros Panagiotakis", "d:Description": "Berlin, Thessaloniki, Istanbul, Greece. Sculpture, painting, performance, visual arts.", "topic": "Top/Arts/Personal_Pages", "url": "http://www.stavros-panagiotakis.net/"} +{"d:Title": "Galerie Johannes Faber", "d:Description": "Includes exhibition schedules, photographs from current exhibitions, and contact information. Located in Vienna, Austria.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.jmcfaber.at/"} +{"d:Title": "Photography West Gallery", "d:Description": "Fine art photography of the 20th century. Featuring well known photographers of national and international stature. Located in Carmel, CA.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.photographywest.com/"} +{"d:Title": "Robert Koch Gallery", "d:Description": "Exhibits 19th and 20th century as well as contemporary photography. Located in San Francisco.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.kochgallery.com/"} +{"d:Title": "Soho Photo Gallery", "d:Description": "Dedicated to promoting the work of emerging and notable artists, with monthly exhibits and national photographic competitions. Located in New York.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.sohophoto.com/"} +{"d:Title": "Images of the Past Gallery", "d:Description": "The Thom Hindle Collection; more than 100,000 glass plate and early acetate negatives including New England and Old America. Located in Dover, NH.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.imagesofthepastgallery.com/"} +{"d:Title": "Robert Klein Gallery", "d:Description": "Classic and contemporary photographs. Includes images from current and past exhibitions. Located in Boston, Massachusetts.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.robertkleingallery.com/"} +{"d:Title": "Laurence Miller Gallery", "d:Description": "Modern and contemporary photographs from the United States, Europe, and Asia. Located in New York.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.laurencemillergallery.com/"} +{"d:Title": "G. Gibson Gallery", "d:Description": "Photographs from the 19th Century until today and contemporary mixed-media works. Includes movies of current exhibitions. Located in Seattle.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.ggibsongallery.com/"} +{"d:Title": "Photographs Do Not Bend Gallery", "d:Description": "Fine art photography from the early 20th century to the present. Site has information and images on represented artists, current exhibitions and publications. Located in Dallas.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.photographsdonotbend.com/"} +{"d:Title": "Etherton Gallery", "d:Description": "Specializes in 19th, 20th Century, and contemporary photographic artists. Selected works are shown online. Located in Tucson, Arizona.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.artnet.com/etherton.html"} +{"d:Title": "Rose Gallery", "d:Description": "Vintage and contemporary photographs by renowned artists. Located in Santa Monica, California.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.rosegallery.net/"} +{"d:Title": "Julie Saul Gallery", "d:Description": "Contemporary and vintage photographs. Located in Chelsea, New York.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.saulgallery.com/"} +{"d:Title": "Paul Kopeikin Gallery", "d:Description": "Exhibitions, list of artists, recent acquisitions, and a mailing list. Located in Los Angeles.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.paulkopeikingallery.com/"} +{"d:Title": "Benham Gallery", "d:Description": "Exhibit section of photographs by local and international artists and professional portrait studio. Includes information on submission guidelines and studio details. Located in Seattle.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.benhamgallery.com/"} +{"d:Title": "Howard Greenberg Gallery", "d:Description": "Photographs from the twentieth century. Includes the works of photographic masters, archive, and news. Located in New York City.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.howardgreenberg.com/"} +{"d:Title": "Fifty One Fine Art Photography", "d:Description": "Based in Antwerp, Belgium. Specializes in vintage, classic, fashion, African, and contemporary photography.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.gallery51.com/"} +{"d:Title": "PhotoZone Gallery", "d:Description": "Pictures and contact details from a group of photographers staging exhibitions in Eugene, Oregon.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.efn.org/~fotozone/"} +{"d:Title": "Gallery Sink", "d:Description": "Gallery located in Denver, Colorado. Features descriptions of current and previous shows.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.gallerysink.com/"} +{"d:Title": "Jackson Fine Art", "d:Description": "Specializing in 20th Century and contemporary photographs. Includes images from past exhibitions. Based in Atlanta, Georgia.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.jacksonfineart.com/"} +{"d:Title": "Photography at Oregon", "d:Description": "Fine art photography exhibitions and events in Eugene and Lane County, Oregon, since 1966. Affiliated with the University of Oregon Museum of Art.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://photographyatoregon.org/"} +{"d:Title": "Marianne Boesky Gallery", "d:Description": "Individual personalized art advisory. Collection Appraisal. Located in New York CIty", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.marianneboeskygallery.com/"} +{"d:Title": "Northlight Gallery", "d:Description": "Contemporary and historical photographs. Includes selected works of the students at the Herberger College of Fine Arts. Located in Tempe, Arizona.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://herbergercollege.asu.edu/art/gallery/northlight/"} +{"d:Title": "Minnesota Center for Photography", "d:Description": "Supports and promotes the creation and appreciation of photographic arts. Exhibitions, resources for artists and education, and membership information. Located in Minneapolis.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.mncp.org/"} +{"d:Title": "Yossi Milo Gallery", "d:Description": "Contemporary photography. Selected work by represented photographers shown online. Located in New York.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.yossimilogallery.com/"} +{"d:Title": "Afterimage Gallery", "d:Description": "Exhibition information, work by artists, and other photography related information. Located in Dallas.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.afterimage.com/"} +{"d:Title": "June Bateman Gallery", "d:Description": "Exhibits a wide variety of modern art photography from the twentieth and twenty-first centuries. Located in New York.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.junebateman.com/"} +{"d:Title": "Vision | Neil Folberg", "d:Description": "Only gallery in Israel exclusively devoted to fine art photography", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.visiongallery.com/"} +{"d:Title": "The Jack Robinson Archive&Gallery", "d:Description": "Featuring limited edition black and white photographs of celebrities and musicians by 1960's Vogue photographer Jack Robinson. Located in Memphis,TN.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.robinsonarchive.com/"} +{"d:Title": "ArteF Fine Art Photography Gallery", "d:Description": "Dedicated to fine art photography, featuring vintage prints by 20th century and contemporary artists. Located in the center of Zurich, Switzerland.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.artef.com/"} +{"d:Title": "Peter Fetterman Gallery", "d:Description": "Represents 19th and 20th century photography. Specializing in black and white photography with an emphasis on humanist imagery. Located Santa Monica, California.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://peterfetterman.com/"} +{"d:Title": "Edelman Gallery", "d:Description": "Recent work for over 40 modern artists represented by the gallery. Mostly black and white but also color and alternative process photographs.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.edelmangallery.com/"} +{"d:Title": "Alfredo's Photographic Gallery", "d:Description": "Black and white as well as color photographs from a number of different artists, with a variety of subject matter. The gallery is located in historic Amherst, Massachusetts.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.alfredosphoto.com/"} +{"d:Title": "Bonni Benrubi Gallery", "d:Description": "Specializing in 20th century, and contemporary photography. Located in New York City.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.bonnibenrubi.com/"} +{"d:Title": "A Gallery for Fine Photography", "d:Description": "Founded in 1973, an inventory of over 5,000 original photographs spanning the history of photography from its origins to the present day.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.agallery.com/"} +{"d:Title": "Bruce Silverstein Gallery", "d:Description": "Represents many well known photographers. Located in New York.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://www.silversteinphotography.com/"} +{"d:Title": "Art photo asia", "d:Description": "Photography and Southeast Asia, a weblog photo gallery with high quality pictures and images shotting traveling and living in Southeast Asia and Italy.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://artphotoasia.net/"} +{"d:Title": "Photospace", "d:Description": "Photographs from past and current exhibitions. Also, darkroom and studio for hire. Located in Wellington, New Zealand.", "topic": "Top/Arts/Photography/Art_Galleries", "url": "http://photospacenz.weebly.com/"} +{"d:Title": "All-Photo.ru", "d:Description": "The project represents impressions of Russia and Central Asia. It is the collection of historic and contemporary views from multiple artists. [Russian, English]", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://all-photo.ru/"} +{"d:Title": "Voodoochilli.net", "d:Description": "Free service offering artists using various mediums to publish their art online.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://www.voodoochilli.net/"} +{"d:Title": "Photoarts", "d:Description": "Photographers' portfolios, curated exhibits, and discussion forum. Focus is on fine art and documentary photography.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://www.photoarts.com/"} +{"d:Title": "Govinda Gallery", "d:Description": "Representing and exhibiting the largest collection of music related photography in the world, and exclusive distributor of Genesis Publications.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://www.govindagallery.com/"} +{"d:Title": "Photomic Gallery", "d:Description": "Photographs by several photographers, primarily from Germany. Focus is on commercial still-life, people, and fashion. [German and English]", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://www.photomic.de/"} +{"d:Title": "States of Art", "d:Description": "Photography from all over the world.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://www.states-of-art.com/"} +{"d:Title": "thesight", "d:Description": "Curated by Mark Sink, this online exhibition features the work from fine-art photographers from around the world. Call-for-entries occur once a year. Includes a discussion forum.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://thesight.com/"} +{"d:Title": "DD Gallery", "d:Description": "DD Gallery presents Swedish photographers to a wide international public.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://www.aim.se/photo/DD_Gallery/index.htm"} +{"d:Title": "PDN's 20 Anniversary Project", "d:Description": "Photo District News's online essay with six photo galleries: advertising, editorial, fashion, innovations, photojournalism, and sports.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://www.pdngallery.com/20years/"} +{"d:Title": "Jerusalem Shots", "d:Description": "Features photographs of Jerusalem sorted according to different categories. Including city map, downloads and uploads section, news and information in English, Hebrew and Russian.", "topic": "Top/Arts/Photography/Art_Galleries/Virtual", "url": "http://jerusalemshots.com/en"} +{"d:Title": "PhotoForum", "d:Description": "English version of Russian site for posting, commenting on and rating photographs of different genres.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.photoforum.ru/"} +{"d:Title": "Family Portraiture", "d:Description": "A forum for portrait photographers to give advice and venue dates, upload pictures, and share links.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://groups.yahoo.com/group/family-portraiture/"} +{"d:Title": "Photo Critique Forum", "d:Description": "Users may post works for critique, or be a photo critic. Organized by genre of photography.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.photocritique.net/"} +{"d:Title": "Foto Forum", "d:Description": "For amateur photographers to share tips, questions, and their photos. Users may upload their images for others to view and vote for photo of the month.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.fotoforum.com/"} +{"d:Title": "Photo News Network", "d:Description": "Hosts forums for professional photographers, covering wedding, portrait, stock, and digital issues. Also contains articles and images.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.photonews.net/"} +{"d:Title": "ClubSnap", "d:Description": "Photography articles, news, reviews, tips, discussion forums and photo of the month competition. List of members and invitation to join.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.clubsnap.org/"} +{"d:Title": "Fallen1.com", "d:Description": "Portal with forums, photo critiques, articles, links, and reviews arranged in directory format.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.fallen1.com/"} +{"d:Title": "The Analog Photography Users Group", "d:Description": "Devoted to traditional (non-digital) photographic processes; includes discussion forum, gallery, and invitation to submit work.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.apug.org/"} +{"d:Title": "Aperture", "d:Description": "Gallery and weekly chatroom for an online club.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://aperture0.tripod.com/"} +{"d:Title": "Canine Photographers", "d:Description": "Discussion list for issues related to photographing dogs.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CaninePhotographers/"} +{"d:Title": "Pro4um", "d:Description": "Photography related chat rooms and bulletin boards, as well as posted images. Registration fee required for the professional discussion area.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.pro4um.com/"} +{"d:Title": "The Photo Forum", "d:Description": "A place to discuss photography and get advice on equipment, techniques, and general questions. Includes link directory with an emphasis on career resources and publications.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.thephotoforum.com/"} +{"d:Title": "PhotographyCorner", "d:Description": "Features articles and forums that range from beginner to professional. Includes news, photograph of the month, and quote of the day.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.photographycorner.com/"} +{"d:Title": "Professional Wedding Photographers' Forum", "d:Description": "Encourages discussion on the profession and the sharing images for critique and review.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.pwpforums.com/"} +{"d:Title": "Ultimate Photography", "d:Description": "An active online message board for professional and amateur photographers. The forum is attached to the Ultimate Photography web ring.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.webring.com/forum?forum=ultphoto"} +{"d:Title": "PhotoBanter.com", "d:Description": "A forum covering traditional 35mm, medium and large format, and digital photography. Acts as a gateway with the rec.photo newsgroups.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://photobanter.com/"} +{"d:Title": "Mississauga Camera Club", "d:Description": "Shows club activities and competitions, newsletter, membership fees and opportunity of free entry to the online section and post to image galleries and discussion forums. [MSN Group]", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.mississaugacameraclub.ca/"} +{"d:Title": "Camera-info", "d:Description": "Features forums for digital and analog cameras sorted by manufacturer as well as darkrooms. Includes photo galleries, technical data, reviews and newsletters.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.camera-info.com/"} +{"d:Title": "PhotoCamel", "d:Description": "Discuss camera bodies, equipment, selling photography, workflow, and for-sale items. Geared toward professional photographers.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.photocamel.com/"} +{"d:Title": "Photo Malaysia", "d:Description": "Community for photographers interested in Malaysia, with invitation to join, calendar of events, news, and discussion forum.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.photomalaysia.com/"} +{"d:Title": "Fuji Forum", "d:Description": "For users of Fuji FinePix cameras to share knowledge and techniques.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.fuji-forum.co.uk/"} +{"d:Title": "Photo Forum", "d:Description": "A place for people who love photography. Features a knowledge exchange forum, galleries divided by subjects, and contests.", "topic": "Top/Arts/Photography/Chats_and_Forums", "url": "http://www.photoforum.com/"} +{"d:Title": "Austrian Super Circuit", "d:Description": "Competition based in Hasselblad, Austria. Includes a list of previous contest winners, photos of previous top winners and contact information.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.supercircuit.at/"} +{"d:Title": "Digital Photography Challenge", "d:Description": "Weekly digital photography challenges with photograph feedback, forums, tutorials, and many other community features for all skill levels.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.dpchallenge.com/"} +{"d:Title": "Togher International Festival of Amateur Photography", "d:Description": "Contest held annually in Cork, Ireland. Shows categories, entry form, prizes and awards, past entries and a note on the team behind the event.", "topic": "Top/Arts/Photography/Contests", "url": "http://homepage.eircom.net/~togherfest/"} +{"d:Title": "London Salon of Photography", "d:Description": "Contains medal winners, acceptances for future Salons, portfolios of Salon members, entry details and a note on the history.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.londonsalon.org/"} +{"d:Title": "Scottish International Salon of Photography", "d:Description": "Open to all, accepts color, monochrome and slides in all categories. Prestigious international competition.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.scottish-photographic-salon.org/"} +{"d:Title": "International Photography Awards", "d:Description": "Annual competition open to professional and amateurs. Includes information about the judges, rules, online entry form and previous winners.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.photoawards.com/"} +{"d:Title": "Shifting Baselines Ocean Media Project", "d:Description": "The project is running a short and sweet photography contest for images that display changes within our ocean and coastal environment.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.shiftingbaselines.org/news/photocont.html"} +{"d:Title": "PhoTournament", "d:Description": "Allows members to participate in free photography and photo-art tournaments as judges or challengers. Includes archive, latest winners, FAQ and application.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.photournament.com/"} +{"d:Title": "The Every Man Photo Contest", "d:Description": "Features competition philosophy, award categories, rules and archive of previous winners.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.theeveryman.com/"} +{"d:Title": "Semaine Photo de Riedisheim", "d:Description": "International Contest with FPF, FIAP and PSA approvement. FAQ, entry form to download, previous winners.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.spr-photo.fr/"} +{"d:Title": "Shaker Workshops Photography Competitions", "d:Description": "Competition in two categories: interior shots, exterior shots. Photographs must highlight Shaker architecture, Shaker furniture and accessories in real life.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.shakerworkshops.com/shaker-workshops-photography-competition.htm"} +{"d:Title": "CDS Awards", "d:Description": "Information on the Honickman First Book Prize in Photography, Dorothea Lange\u2013Paul Taylor Prize, CDS Filmmaker Award, and the John Hope Franklin Student Documentary Awards.", "topic": "Top/Arts/Photography/Contests", "url": "http://firstbookprizephoto.com/"} +{"d:Title": "Photographer's Forum: Photography Contest", "d:Description": "Open to amateurs around the world. Winners have their entries published and are awarded cash prizes and cameras.", "topic": "Top/Arts/Photography/Contests", "url": "http://pfmagazine.com/photography-contests/"} +{"d:Title": "South Australian Museum: ANZANG Nature Photographer of the Year", "d:Description": "Winners typically win cash prizes. Features a gallery of past entries and a list of their winner exhibitions.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.anzang.samuseum.sa.gov.au/"} +{"d:Title": "EarthShots.org", "d:Description": "Has a 'photo of the day' competition. Includes archives of previous winners.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.earthshots.org/"} +{"d:Title": "Head On Photo Festival", "d:Description": "Annual competition. Displays past winners and exhibitions and offers a blog.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.headon.com.au/"} +{"d:Title": "Jones Soda: Photo Gallery", "d:Description": "Uploaded photographs may be selected to be used on a label for their soft drink. Submitted pictures can be viewed along with the photographer's name, location and submission date.", "topic": "Top/Arts/Photography/Contests", "url": "http://gallery.jonessoda.com/gallery/"} +{"d:Title": "PDN Photo Annual", "d:Description": "Photo District News professional photography magazine's competition, offering a number of categories for entrants including corporate work, sports and photo journalism. FAQs explaining the categories and prizes. Rules.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.pdnphotoannual.com/"} +{"d:Title": "Smithtown Township Arts Council", "d:Description": "Themed competition with winning entries being exhibited and entrants winning a cash prize.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.stacarts.org/"} +{"d:Title": "Travel Photographer of the Year", "d:Description": "International amateur and professional competition. Lists the competition rules, categories, sponsors and prizes. Gives advice on picture selection. Also includes the winners' gallery and details about exhibitions and workshops.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.tpoty.com/"} +{"d:Title": "Photo Competitions", "d:Description": "Lists competitions from around the world along with information such as the entry deadline, prizes and entry requirements. Arranged in such categories as nature, travel and photojournalism. Provides a guide to their top 10 competitions and tips on entry.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.photocompetitions.com/"} +{"d:Title": "Appalachian Mountain Photography Competition", "d:Description": "Open to amateurs and professionals. Photographs must depict an interpretation of people, places or activities of the Southern Appalachians. Provides galleries, a list of awards, rules and press releases.", "topic": "Top/Arts/Photography/Contests", "url": "https://www.appmtnphotocomp.org/"} +{"d:Title": "PX3", "d:Description": "Open to amateurs and professionals. Winners are awarded cash prizes and may be published in their annual book and have their winning entry shown in a Paris exhibition. Provides a gallery of previous winning entries and a directory of photographers.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.px3.fr/"} +{"d:Title": "Epson International Pano Awards", "d:Description": "Competition has an open, amateur and VR/360 category. There are tips on preparing the image, rules, prizes, profiles of the judges and galleries of past winning entries.", "topic": "Top/Arts/Photography/Contests", "url": "https://thepanoawards.com/"} +{"d:Title": "Photocompete", "d:Description": "Lists competitions ongoing, with a 'soon to close' category. Provides entry information, such as rules and requirements, and prizes available.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.photocompete.com/"} +{"d:Title": "ViewBug", "d:Description": "Features a collection of competitions. Also offers a photograph gallery. Includes peer photograph challenges. Membership required for entry.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.viewbug.com/"} +{"d:Title": "Darkroom Gallery", "d:Description": "Hosts juried exhibits in Vermont USA. Shows a photographic gallery of exhibits and has an online submission form for exhibition entries.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.vermontphotospace.com/"} +{"d:Title": "FMoPA: International Photography Competition", "d:Description": "Run by Florida Museum of Photographic Arts. Rules, categories and prizes.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.mulabula.com/splash/fmopa.html"} +{"d:Title": "FanArtReview", "d:Description": "Peer judged competitions. Community featuring a forum.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.fanartreview.com/"} +{"d:Title": "TeraBella Media", "d:Description": "Awards cash prizes and publicity for the winners. Photographs and company information.", "topic": "Top/Arts/Photography/Contests", "url": "http://terabellamedia.com/"} +{"d:Title": "International Photographic Salon Varna", "d:Description": "Has PDF files displaying the results for each category and a gallery of winners. Archived results are available going back to 2007, the first year of the competition.", "topic": "Top/Arts/Photography/Contests", "url": "http://fotosalonvarna.org/"} +{"d:Title": "Santa Fe Photographic Workshops: Contests", "d:Description": "Includes a description of the competition theme, a list of fees, prizes and jurors.", "topic": "Top/Arts/Photography/Contests", "url": "https://santafeworkshops.com/contests/"} +{"d:Title": "Shoot the Frame", "d:Description": "Runs three competitions a month using people, land and wildlife as the themes. Photography related prizes are awarded. Has a gallery of previous winners' pictures and rules for entry.", "topic": "Top/Arts/Photography/Contests", "url": "http://shoottheframe.com/"} +{"d:Title": "Photo Scramble", "d:Description": "Allows individuals, businesses and organisations to host their own competitions. Prizes, themes and entry fees vary for entrants. Includes a blog.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.photoscramble.com/"} +{"d:Title": "Ozone Zone", "d:Description": "Competition open to all, on the theme of world beauty. Winners are awarded cash prizes. Details of the jury, rules and a list of previous winners.", "topic": "Top/Arts/Photography/Contests", "url": "http://internationalphotocompetition.com/"} +{"d:Title": "Danubius International Photo Art Salon", "d:Description": "Offering four themes, with the competition open to all. Explains the rules and lists the entries so far.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.photoclub.voltin.ro/"} +{"d:Title": "Child Photo Competition", "d:Description": "International, open to amateur and professionals. Offers free monthly themed competitions with winners getting exposure on their blog. Also offers an annual competition on a black and white theme. Includes a winners' gallery and FAQs.", "topic": "Top/Arts/Photography/Contests", "url": "http://childphotocompetition.com/"} +{"d:Title": "ND Awards", "d:Description": "Open to both amateurs and professionals though the two are separated with their own themes. Offers information about entering including rulles and FAQs, and displays previous winners' galleries. Winners are awarded titles and cash prizes.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.ndawards.net/"} +{"d:Title": "Fine Art Photography Awards", "d:Description": "Open to international contestants, both amateur and professional. Themes are different according to skill level. Includes jury and prize information and FAQs.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.fineartphotoawards.com/"} +{"d:Title": "Proify", "d:Description": "Hosts an international all-skill-levels competition. Shows past winners, judges and includes a blog.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.proify.com/"} +{"d:Title": "Monochrome Awards", "d:Description": "Professional and amateur black and white photograph competition. Presents the jury and winners' gallery. Publishes a book featuring the winners.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.monoawards.com/"} +{"d:Title": "MontPhoto", "d:Description": "International nature photograph competition. Presents winners' galleries and awards.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.montphoto.com/"} +{"d:Title": "35Awards", "d:Description": "Annual competition open globally to both amateurs and professionals, with the top 100 entries being published in their album. Mini-competitions are also held. Provides rules and reviews.", "topic": "Top/Arts/Photography/Contests", "url": "http://35awards.com/"} +{"d:Title": "One Day in Venezia", "d:Description": "Monthly competition for amateurs aged 18 years or older. Shows the previous winning entries and provides rules, FAQs and submission form.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.onedayinvenezia.com/"} +{"d:Title": "The Independent Photographer", "d:Description": "Features a community of photographers and their pictures. The competition is based on a theme with the winner getting a cash prize and exposure.", "topic": "Top/Arts/Photography/Contests", "url": "http://independent-photo.com/"} +{"d:Title": "Life Framer", "d:Description": "Holds regular creative contemporary competitions. Provides prize information, current theme and past winners.", "topic": "Top/Arts/Photography/Contests", "url": "http://www.life-framer.com/"} +{"d:Title": "Photolinks.ch", "d:Description": "A collection of selected photography links, orgainized by cameras, techniques, magazines, and organizations. International with a focus on European sites.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photolinks.ch/"} +{"d:Title": "Photography Homepages", "d:Description": "Human edited directory of high quality photography related websites.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photographyhomepages.com/"} +{"d:Title": "Photographic Libraries", "d:Description": "Links to galleries, media services, advertising and other photo related collections.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photographiclibraries.com/"} +{"d:Title": "Photographers Index", "d:Description": "Links to photographers worldwide, with various search methods available.", "topic": "Top/Arts/Photography/Directories", "url": "http://photographersindex.com/"} +{"d:Title": "Some Great Photographers", "d:Description": "Alphabetical listing of links to recognized photographers' images and biographies.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.harrysproshop.com/Links/Great_Photographers/great_photographers.html"} +{"d:Title": "European Photography", "d:Description": "A directory accepting submissions for European photographers' sites.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.dreamdivision.com/europhoto/"} +{"d:Title": "Refdesk: Pictures and Photography", "d:Description": "Links organized alphabetically, mainly to picture galleries and technical resources.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.refdesk.com/photo.html"} +{"d:Title": "Webphoto.com", "d:Description": "Links to photographers' websites, galleries, and stock photography. Also contains tips.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.webphoto.com/frame.html"} +{"d:Title": "PhotoLinks", "d:Description": "Member submitted listings in many photographic categories.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photolinks.com/"} +{"d:Title": "Photography Resources from Leslie O'Shaughnessy Studios", "d:Description": "Links to stock photography, organizations, mail-order suppliers, manufacturers, and mailing lists.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photography-resources.com/"} +{"d:Title": "ProsPhoto.com", "d:Description": "Includes links for nature, travel, night, and other related categories. By subject and by date sorting.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.prosphotos.com/"} +{"d:Title": "Picturehouse Image Sources", "d:Description": "International directory of picture libraries, photographers, and product and service suppliers. Contains detailed information including contact details and publications.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.imagesources.org/"} +{"d:Title": "PhotoNet.nl", "d:Description": "An international directory which contains artist portfolios. Photography, digital and graphic arts.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photonet.nl/"} +{"d:Title": "FreePhotoGallery", "d:Description": "Searchable directory of photography and professional photographers with free link submissions.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.freephotogallery.info/"} +{"d:Title": "Photokaboom", "d:Description": "Jim Beecher provides digital camera and Photoshop tutorials, many links to education and display sites, and information about his classes in New York city.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photokaboom.com/"} +{"d:Title": "Atget Photography", "d:Description": "Fine photography links to museums, galleries, individual artists, and other cultural and web art resources.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.atgetphotography.com/"} +{"d:Title": "3D Images Gallery", "d:Description": "Search engine for 3D images and photos (anaglyphs.) Hundreds of images from many galleries.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.3d-images-gallery.com/"} +{"d:Title": "Philadelphia Photo Dot Net", "d:Description": "Directory of non-commercial Philadelphia-area photographers; links to regional galleries, organizations, camera clubs, photo labs and other resources.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.philadelphiaphoto.net/"} +{"d:Title": "HQ Photography Directory", "d:Description": "A directory of quality websites in which each entry includes an image thumbnail of the site and a description. Categories include black and white, digital, night, landscape, fine art, and travel.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.hqphotography.com/directory/"} +{"d:Title": "Photo 200", "d:Description": "Traffic-ranked directory organized by categories such as digital, nature, fine art, black and white, and resources.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photo200.com/"} +{"d:Title": "The Photography Directory", "d:Description": "Links to galleries, portfolio building sites, schools, organizations, and equipment reviews. Includes a recent addition category.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photographydirectory.org/"} +{"d:Title": "The Photography Directory Project", "d:Description": "Directory of photography and resource sites.", "topic": "Top/Arts/Photography/Directories", "url": "http://www.photographydirectoryproject.com/"} +{"d:Title": "New York Institute of Photography", "d:Description": "Offering a home study program in professional photography for all skill levels.", "topic": "Top/Arts/Photography/Education", "url": "http://www.nyip.com/"} +{"d:Title": "ProudPhotography", "d:Description": "Fee-based online school of photography. The student learns how to take photographs with any type of camera.", "topic": "Top/Arts/Photography/Education", "url": "http://www.proudphotography.com/"} +{"d:Title": "BetterPhoto.com", "d:Description": "Offers online photo education, hosting services and community photograph sharing.", "topic": "Top/Arts/Photography/Education", "url": "http://www.betterphoto.com/"} +{"d:Title": "Steele Training", "d:Description": "Free tutorials and fee-based courses on photography, equipment, and photo retouching. Free tutorials require registration with permanent email address and acceptance of future mailings.", "topic": "Top/Arts/Photography/Education", "url": "http://www.steeletraining.com/"} +{"d:Title": "Brooks Institute of Photography", "d:Description": "Offers Bachelors of Arts, Associate of Arts and Master of Science degrees, and diploma program in photography. Santa Barbara, California.", "topic": "Top/Arts/Photography/Education/Colleges_and_Departments", "url": "http://www.brooks.edu/"} +{"d:Title": "Moorpark College Photography", "d:Description": "Information on program, faculty and facilities, with online gallery of student work.", "topic": "Top/Arts/Photography/Education/Colleges_and_Departments", "url": "http://sunny.moorparkcollege.edu/~mcphoto/"} +{"d:Title": "Barry University, School of Arts and Sciences", "d:Description": "Offering Bachelor of Fine Arts and Bachelor of Arts degree programs in photography. Miami, Florida.", "topic": "Top/Arts/Photography/Education/Colleges_and_Departments", "url": "http://www.barry.edu/finearts/photography/"} +{"d:Title": "City College of San Francisco", "d:Description": "Includes information on courses, class schedules, department facilities, a student gallery, an instructor's gallery and links to photo and art sites,", "topic": "Top/Arts/Photography/Education/Colleges_and_Departments", "url": "http://www.ccsf.edu/Departments/Photography/"} +{"d:Title": "De Anza College", "d:Description": "Offers A.A. degrees in fine art photography, commercial photography and digital imaging. Features information on programs, faculty and a gallery.", "topic": "Top/Arts/Photography/Education/Colleges_and_Departments", "url": "http://www.deanza.fhda.edu/photo/"} +{"d:Title": "Santa Monica College", "d:Description": "Offer a 2 years AA program in photography and digital imaging. Features course schedule, information on facilities, faculty and admission requirements as well as a virtual reality tour and student portfolios.", "topic": "Top/Arts/Photography/Education/Colleges_and_Departments", "url": "http://www.smc.edu/photo/"} +{"d:Title": "School of Photography at RIT", "d:Description": "Offering BFA and MFA programs in photography as well as BS programs in Biomedical Photographic Communications, Imaging Systems Management, and Imaging and Photographic Technology.", "topic": "Top/Arts/Photography/Education/Colleges_and_Departments", "url": "http://cias.rit.edu/schools/photographic-arts-sciences"} +{"d:Title": "Studio Marangoni", "d:Description": "School of photography in Florence, Italy. Site available in English and Italian.", "topic": "Top/Arts/Photography/Education/Schools_and_Academies", "url": "http://www.studiomarangoni.it/"} +{"d:Title": "Speos Photography School", "d:Description": "Offers full-time, part time and summer programs for transferable credit taught by professionals.", "topic": "Top/Arts/Photography/Education/Schools_and_Academies", "url": "http://www.photography-education.com/"} +{"d:Title": "Norwich School of Photography", "d:Description": "Professional wedding and portrait photography training to achieve a Licentiateship Qualification with the British Institute of Professional Photographers.", "topic": "Top/Arts/Photography/Education/Schools_and_Academies", "url": "http://www.barrettandcoe.co.uk/"} +{"d:Title": "Brooks Institute of Photography", "d:Description": "Provides information on admissions, the Santa Barbara, California campus, majors, an image gallery, and photography-related links.", "topic": "Top/Arts/Photography/Education/Schools_and_Academies", "url": "http://www.brooks.edu/"} +{"d:Title": "Hallmark Institute of Photography", "d:Description": "Offering a ten month resident program that prepares the student for a career in professional photography. Located Turners Falls, Massachusetts, United States.", "topic": "Top/Arts/Photography/Education/Schools_and_Academies", "url": "http://www.hallmark.edu/"} +{"d:Title": "New England School of Photography", "d:Description": "Provides a 2 year professional course and evening workshops. Features schedule, information about admissions, tuition fees and facilities. Located in Boston, USA.", "topic": "Top/Arts/Photography/Education/Schools_and_Academies", "url": "http://www.nesop.edu/"} +{"d:Title": "Douglas Willliams Photography", "d:Description": "Professional photographer, experienced teacher offers photo tours and workshops in Vancouver, BC area. Also tour in Barcelona and Costa Brava,Spain.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.dwphotography.com/"} +{"d:Title": "Robert Farber Interactive Photography Workshop", "d:Description": "Interactive online workshops in darkroom processing, digital editing, and artificial and natural lighting techniques.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.photoworkshop.com/"} +{"d:Title": "School of Photography.com", "d:Description": "Tutor assisted online courses for beginners and intermediate photographers. Contents include basic skills, landscape, glamour, digital, and black and white processing.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.schoolofphotography.com/"} +{"d:Title": "Santa Fe Photographic Workshops", "d:Description": "Offers a year-round educational center, with photo workshops in Santa Fe, San Miguel de Allende, Cuba, and On The Road workshops.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.sfworkshops.com/"} +{"d:Title": "Photography workshops in Paris, Provence and Brittany", "d:Description": "Outdoor, on location photography workshops on the streets of Paris, in the Provence landscape and the Seaside of Brittany.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.paintingfrance.com/"} +{"d:Title": "Len Bernstein - The Wonder of the Everyday", "d:Description": "Photographic education using the Aesthetic Realism of Eli Siegel as its critical basis. Includes articles, and a collection of black and white photographs.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.lenbernstein.com/"} +{"d:Title": "Sky Island Tours", "d:Description": "Offering photography trips and workshops to Mexico's Copper Canyon. Small groups can learn to improve their photographic skills.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.angelfire.com/az/skyisland/photwrkshp.html"} +{"d:Title": "Noella Ballenger's Natural Wonders", "d:Description": "Nature photography workshops in California, and Washington.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.noellaballenger.com/"} +{"d:Title": "Inversnaid Photography Centre", "d:Description": "Workshops, including accommodation. Find biographies of the teachers, and dates of the courses. Scotland.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.inversnaidphoto.com/"} +{"d:Title": "Rod Planck Photography", "d:Description": "Nature photography workshops from Rod Planck: seminars, photo tours, books and blinds, plus stock shots in Michigan and South Dakota.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.rodplanck.com/"} +{"d:Title": "Stephen Johnson Photography", "d:Description": "Fine art photographer and digital pioneer Stephen Johnson is offering 2 day digital workshops at beginning and intermediate levels as well as 4 day digital field workshops in California.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.sjphoto.com/"} +{"d:Title": "The New Mexico Photography Field School", "d:Description": "Field photography workshops based on the history, character and beauty of New Mexico.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.photofieldschool.com/"} +{"d:Title": "Denver Darkroom", "d:Description": "Basic darkroom classes, workshops from landscape to figure and narrative. Instructors are widely exhibited fine artists.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.denverdarkroom.com/"} +{"d:Title": "Point Light Gallery and School of Photography", "d:Description": "Exhibitions and workshops specialising in the craft and art of natural light black and white photography. Sydney, Australia.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.pointlight.com.au/"} +{"d:Title": "Bob Adkins Photography&Photo Tours", "d:Description": "Offers photo tours and workshops in Southeast Alaska and Canada's Yukon Territory, specializing in photographing Dall sheep and the largest congregation of Bald Eagles in the world.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.bobadkinsphotography.com/"} +{"d:Title": "Garry Black Photography - Photo Workshops", "d:Description": "Information about upcoming photographic workshops in Greece, Prince Edward Island, Grand Manan Island - New Brunswick, Ottawa - Canada and the White Mountains of New Hampshire.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.garryblack.com/workshops.htm"} +{"d:Title": "The Guide to Photography, Film&Video Workshops&Schools", "d:Description": "Detailed descriptions of hundreds of photography, film and video workshops, tours and schools worldwide. Searchable by state, country, specialty, keyword. Includes calendar of upcoming programs.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://photoworkshops.shawguides.com/"} +{"d:Title": "Focus 10 Photography", "d:Description": "Sydney and worldwide photography courses and photography workshops, travel photography, camera handling,", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.focus10.com.au/"} +{"d:Title": "ColdSnap Photography and Photographic Adventures", "d:Description": "Natural history photography and photography workshops of the north central plains and forests.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.coldsnap.com/"} +{"d:Title": "Baldini&Vandersluys Photographers", "d:Description": "Sponsored 3 day annual workshop for amateurs and professionals, taking place on St. Lucia, West Indies, in January. Focus is on working with natural light for portrait photography.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://photo_workshops.homestead.com/"} +{"d:Title": "Santa Fe Workshops", "d:Description": "Photography, and digital imaging workshops. Winter, summer, and fall workshops covering a wide range of topics in black-and-white and color photography for imagemakers of all levels.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.santafeworkshops.com/"} +{"d:Title": "Nigel Turner Landscape Photography", "d:Description": "Landscape photography workshops led by renowned fine art photographer Nigel Turner to locations throughout the western USA.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.nigelturnerphotography.com/"} +{"d:Title": "Golden Gate School of Professional Photography", "d:Description": "Offering 1 to 6 day workshops in northern California for established, and aspiring professional photographers.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.goldengateschool.com/"} +{"d:Title": "Rocky Mountain School of Photography", "d:Description": "RMSP offers workshops throughout the US and Europe, including a Summer Intensive series for those wishing to become professional photographers.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.rmsp.com/"} +{"d:Title": "Superior/Gunflint Adventure Photography Workshops", "d:Description": "Renowned photographer Layne Kennedy takes particpants on exciting adventure trips from dogsledding in winter to kayaking. Editorial approach with emphasis on the final images. Professional guides.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.laynekennedy.com/"} +{"d:Title": "Trina Koster Photographer", "d:Description": "Trina Koster is a photographer in Guelph, Ontario, Canada who offers photography workshops for all levels. As a photographer she specialises in black and white, candid wedding and portrait photography. Gallery of Trina's images.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.trinakoster.com/"} +{"d:Title": "Travel Photo Workshop", "d:Description": "A course designed specifically for travelers. The workshop is offered at art centers throughout Colorado or at home via a CD-ROM ebook.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://travelphotoworkshop.com/"} +{"d:Title": "First Light Photography", "d:Description": "Bill Rudock, offering workshops, safaris, wedding photography, aerial photography, and portraits.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.firstlightphotography.com/"} +{"d:Title": "Photo Opportunity Ltd", "d:Description": "Offering short photography courses and workshops in central London for the amateur or would-be professional.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.photoopportunity.co.uk/"} +{"d:Title": "Mark Lissick/Wildlight Nature Photography", "d:Description": "Intensive nature photography workshops, expeditions and trips with professional instruction by nature photographer Mark Lissick emphasizing field work/critique-based learning for all levels in spectacular U.S. and international locations.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.wildlightnaturephotography.com/"} +{"d:Title": "Barry Haynes Photography", "d:Description": "Offers digital imaging and printmaking workshops as well as instructional books and videos. Includes pricing, schedule, galleries and tips. Based in Gibsons, British Columbia, Canada.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.maxart.com/"} +{"d:Title": "ZoomIn", "d:Description": "Photography school offering darkroom facilities, equipment hire and short and courses, evenings and weekends.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.zoom-in.org/"} +{"d:Title": "Adventure Photo Workshops", "d:Description": "Specializing in adventure workshops in the south of France and adventure assignments to various destinations worldwide. Open to all levels of photographers from amateur to professional.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.worldphotoadventure.com/"} +{"d:Title": "Kenneth Wajda's Saturday Morning Photo Shoot", "d:Description": "Nature/Wildlife Photo Shoot in Colorado with professional photographer Kenneth Wajda. Weekly (Saturday) photo shoot that gives amateur photographers the chance to shoot nature and wildlife photographs alongside a professional.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://kennethwajda.com/naturewildlife"} +{"d:Title": "Photo Explorer Tours", "d:Description": "Package and custom tours for photographers to destinations in Asia, Africa, South America, and Europe.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.photoexplorertours.com/"} +{"d:Title": "Light&Land", "d:Description": "Photographic holidays and workshops. Information provided on holidays and tutors.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.lightandland.co.uk/"} +{"d:Title": "VisionQuest", "d:Description": "Offers workshops that emphasize content and creativity over the mechanics of camera use. Student gallery, workshop schedule, book order form, and registration form. Workshops based near the Twin Cities, but many held throughout the United States, Guatemala, Ireland, and Peru.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.vqphoto.com/"} +{"d:Title": "The Night Skye", "d:Description": "Photography workshops and tours to various locations in Europe and the United States with emphasis on night photography and landscapes. Image gallery and prints for sale.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.thenightskye.com/"} +{"d:Title": "New York Institute of Photography", "d:Description": "Advanced photography training over the Internet featuring @-Photo, the monthly magazine for serious photographers.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.nyip.com/"} +{"d:Title": "ETPA Toulouse", "d:Description": "Digital photography training center based in Toulouse. Design and advertising, multimedia, web design and sound processing European school. (English/French)", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.etpa.fr/"} +{"d:Title": "Skye in Focus", "d:Description": "Workshops and courses in landscape photography on the Isle of Skye, Scotland. Transportation, lodging, skilled instruction.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.skyeinfocus.co.uk/"} +{"d:Title": "Imaging in Italy", "d:Description": "Visit Rome with a National Geographic photographer for on-site photography, visiting beautiful places and famous monuments while enjoying Italian culture. Daily walking photo tours and extended packages available.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.imaging-in-italy.com/"} +{"d:Title": "Elizabeth Opalenik - Photographic Artist", "d:Description": "Includes techniques in infrared, polaroid manipulation, intimate portrait, handmade print, toning, black and white creations. Workshops held in California, Provence, Tuscany, Burgundy.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://opalenik.com/workshops/"} +{"d:Title": "Paris Photo Workshops", "d:Description": "For all photographers. Course description, and instructor biography and portfolio.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.parisphotoworkshops.com/"} +{"d:Title": "Singing Sands Photography Workshops", "d:Description": "Multi-day photo workshops emphasizing the essentials of approach and principles of design. Held near Tobermory, Ontario Canada. Accommodations available.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.wincom.net/~martel/sands/"} +{"d:Title": "Cory Photography Workshops", "d:Description": "Tom and Pat Cory have offered nature and travel photography workshops since 1989. Locations are in the US and international. The workshops are kept small to assure individual attention.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://tomandpatcory.com/"} +{"d:Title": "Gecko Workshops", "d:Description": "Offers photography oriented tours and courses. Based in UK, tours mostly in India.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.geckoworkshops.co.uk/"} +{"d:Title": "Light and Life Academy", "d:Description": "Workshops and a two year professional course, located in India.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.llacademy.org/"} +{"d:Title": "Grant Collier's Photography Workshops&Tours", "d:Description": "Nature photography workshops in Colorado and Moab, Utah by Grant Collier.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.collierworkshops.com/"} +{"d:Title": "Unshaken Photography Training", "d:Description": "Unshaken Photography is a specialist provider of digital photography training courses for beginners and professionals. Courses include wedding, landscape, sport and portrait photography", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.unshaken-photography.co.uk/"} +{"d:Title": "Iceland Photography Tours", "d:Description": "Flexible tours for small groups including advice and guidance regarding photography, Icelandic history and geography", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://icelandaurora.com/tours/"} +{"d:Title": "The Compelling Image", "d:Description": "Online and interactive 4- and 6-week courses in photography geared to beginner through advanced / aspiring professional skill levels.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.thecompellingimage.com/"} +{"d:Title": "Learn Photography with Andy Piggott", "d:Description": "Classes and workshops in New South Wales. Includes course information and testimonials.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.andypiggott.com/"} +{"d:Title": "RIT School of Photographic Arts and Sciences", "d:Description": "School of photography at the Rochester Institute of technology", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://cias.rit.edu/schools/photographic-arts-sciences"} +{"d:Title": "Matthew Eric Lit", "d:Description": "Photography education in Colorado, United States. Also offers photography of dogs and weddings.", "topic": "Top/Arts/Photography/Education/Workshops_and_Tours", "url": "http://www.mattlitphoto.com/"} +{"d:Title": "HowStuffWorks: Autofocus Camera", "d:Description": "Illustrated descriptions of active and passive autofocus technology used in cameras, with related links.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras", "url": "http://www.howstuffworks.com/autofocus.htm"} +{"d:Title": "Classic Camera Profiles", "d:Description": "Advice on camera selection and profiles of collectible cameras, focusing on Nikon and Leica rangefinders.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras", "url": "http://cameraquest.com/classics.htm"} +{"d:Title": "HowStuffWorks: How Cameras Work", "d:Description": "Illustrated tutorial in everyday language. Includes information on single reflex (SLR) and pinhole cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras", "url": "http://www.howstuffworks.com/camera.htm"} +{"d:Title": "Camera Hacker", "d:Description": "Thoughts and procedures on hacking camera equipment, accompanied by product reviews.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras", "url": "http://www.camerahacker.com/"} +{"d:Title": "Advanced Photo System", "d:Description": "A freelance photographer describes the advantages of APS cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras", "url": "http://aantequera.tripod.com/aps.html"} +{"d:Title": "Photo.net: Camera Equipment Section", "d:Description": "Reader's comments, product information, reviews and articles on a range of cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras", "url": "http://photo.net/equipment/"} +{"d:Title": "Miranda Camera Home Page", "d:Description": "History and descriptions of the defunct camera company's products.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://www.mirandacamera.com/"} +{"d:Title": "Chinon, Ricoh and Sears K-mount cameras", "d:Description": "Information on Chinon, Ricoh and Sears K-mount cameras. On-line manuals, links, personal information on how they work and accessories.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://www.butkus.org/chinon/"} +{"d:Title": "View-Master Personal Stereo Camera", "d:Description": "Specifications, owner's manual, and techniques for the 1950s-era stereoscopic camera used to produce View-Master reels.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://www.vmresource.com/"} +{"d:Title": "PhotoZone", "d:Description": "SLR system guides, camera technology and handling, photo technique, news, links, and comments.", "priority": "1", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://www.photozone.de/"} +{"d:Title": "Screw Mount (M42) Cameras", "d:Description": "Specifications for cameras from various manufacturers, plus relevant hyperlinks.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://anusf.anu.edu.au/~aab900/photography/cameras/cameras.htm"} +{"d:Title": "Praktica B Camera Pages", "d:Description": "Specifications and history of Praktica's bayonet mount camera system.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://praktica.planetaclix.pt/"} +{"d:Title": "Manual Cameras", "d:Description": "reviews of cameras from various manufacturers", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://www.ne.jp/asahi/japan/manual-camera/index_e.htm"} +{"d:Title": "Praktica - M42 Camera", "d:Description": "Detailed history of and technical information on the 42 mm screw-mount single lens reflex cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://praktica.planetaclix.pt/M42.html"} +{"d:Title": "Argus C3 Instruction Manual", "d:Description": "Illustrated guide to using cameras of the C3 line.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://www.arguscg.org/manuals/c3/"} +{"d:Title": "Contax G1&G2 -Flickr", "d:Description": "Product reviews, competitions, forums, hall of fame, and photo critique for enthusiasts of this rangefinder camera system.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "https://www.flickr.com/groups/g1g2/"} +{"d:Title": "Mike's Praktica Collection", "d:Description": "A collection of Praktica cameras as well as their precursors. History of the company.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://www.praktica-collector.de/"} +{"d:Title": "Cees de Groot's Contax SLR Page", "d:Description": "Specifications of Contax SLRs from the 1970s to the 1990s, plus information about the Contax/ Yashica mailing list.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://cdegroot.com/photo-contax/"} +{"d:Title": "Yashica - Cees de Groot", "d:Description": "Features a Yashica SLR FAQ and information on Yashica-related mailing lists.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://cdegroot.com/photo-yashica/"} +{"d:Title": "The Unofficial Homepage for Mamiya NC Series Cameras", "d:Description": "Information on the NC1000 and NC1000S cameras, Mamiya-Sekor Auto CS lenses, and affiliated accessories.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm", "url": "http://mamiya-nc-m42.mflenses.com/index.htm"} +{"d:Title": "Canon World Wide Gateway", "d:Description": "Network Headquarters for Canon websites around the world. available in English and Japanese.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon", "url": "http://www.canon.com/"} +{"d:Title": "Canon T90 Online Resources", "d:Description": "An online resource for Canon T90 including links to T90 websites.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon", "url": "http://t90.tripod.com/"} +{"d:Title": "Canonet QL 17", "d:Description": "Technical information, the French-language owner's manual, and sample photographs for the compact 35 mm rangefinder camera from the 1960s.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon", "url": "http://canonet.free.fr/"} +{"d:Title": "Canon Camera Museum", "d:Description": "A virtual museum of Canon Camera History from the first camera to the latest one.", "priority": "1", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon", "url": "http://www.canon.com/camera-museum/"} +{"d:Title": "EOS Magazine", "d:Description": "Online edition of the UK magazine for Canon EOS users. Offers news, event listings, system information, and related links.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://www.eos-magazine.com/"} +{"d:Title": "Photography with EOS", "d:Description": "FAQs, photography tutorial, and lenses review by Eric Lim Chan Hin.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://members.tripod.com/~Photography_2/"} +{"d:Title": "Inside the EF 28-105 USM", "d:Description": "A guided tour through the inner workings of the EF 28-105 USM.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://www.photoscene.com/sw/tour/inside.htm"} +{"d:Title": "Canon EOS Mailing List", "d:Description": "Subscription instructions, links to list rules and FAQs, and a searchable archive of messages posted to this high-volume discussion list.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://groups.yahoo.com/group/eos/"} +{"d:Title": "Flash Photography with Canon EOS Cameras", "d:Description": "Instructions on how to use Speedlite electronic flash units with Canon EOS cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://photonotes.org/articles/eos-flash/"} +{"d:Title": "Infrared film and EOS cameras.", "d:Description": "Shooting high-speed infrared film with Canon EOS cameras - how to do it and compatibility lists.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://photonotes.org/articles/eos-ir/"} +{"d:Title": "Manual lenses and EOS cameras", "d:Description": "How to use manual lenses (Spotmatic M42, Leica R, and Nikon) and telescopes with Canon EOS autofocus cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://photonotes.org/articles/eos-manual-lenses/"} +{"d:Title": "PhotoNotes.org", "d:Description": "Reviews, manuals and other information concerning a number of Canon EOS cameras, lenses and accessories.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://photonotes.org/"} +{"d:Title": "PhotoNotes.org: Canon EOS Beginners' FAQ", "d:Description": "Extensive FAQ containing answers to questions that novice photographers actually ask.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://photonotes.org/articles/beginner-faq/"} +{"d:Title": "Digital Photography Review: Canon EOS-1Ds", "d:Description": "Comprehensive review of the 11-megapixel camera, featuring a list of features, specifications, and example photos.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://www.dpreview.com/reviews/canoneos1ds/"} +{"d:Title": "Photo.net: Canon EOS Forum", "d:Description": "Offers advice and answers to questions on the camera line. Categories includes bodies, lenses, and Speedlites.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://www.photo.net/bboard/forum?topic_id=1545"} +{"d:Title": "Canon EOS FAQs", "d:Description": "Versions 3.0 and 2.4 of the Canon EOS FAQ, with related links.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://www.bobatkins.com/photography/eosfaq/"} +{"d:Title": "Canon EOS SLR", "d:Description": "Official website with comprehensive details on Canon's digital and film SLR cameras. Provides a comparison chart and an archive of past products.", "priority": "1", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Canon/EOS", "url": "http://consumer.usa.canon.com/ir/controller?act=ProductCatIndexAct&fcategoryid=111"} +{"d:Title": "Leica Historical Society of America", "d:Description": "Features collection of newsletter articles.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Leica", "url": "http://www.lhsa.org/"} +{"d:Title": "Leica FAQ", "d:Description": "Frequently asked questions about Leica equipment and the Leica mailing list.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Leica", "url": "http://nemeng.com/leica/"} +{"d:Title": "Duane's Leica M-Series Page", "d:Description": "Personal views on using cameras and lenses as well as some recommendations on buying equipment.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Leica", "url": "http://ecuadorphotos.tripod.com/leica/leica.html"} +{"d:Title": "Leica M3", "d:Description": "History and technical details of Leica's 1954 rangefinder camera.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Leica", "url": "http://www.jck.net/leica/index_e.html"} +{"d:Title": "La Vida Leica!", "d:Description": "A site dedicated to photographers with the Leica M (or compatible) system.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Leica", "url": "http://lavidaleica.com/"} +{"d:Title": "Yahoo! Groups: Manual Minolta", "d:Description": "A discussion group for Minolta cameras, limited to manual focusing models.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Minolta", "url": "http://tech.groups.yahoo.com/group/manualminolta/"} +{"d:Title": "Yahoo! Groups: minolta", "d:Description": "Archive for a Minolta users' mailing list.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Minolta", "url": "http://tech.groups.yahoo.com/group/minolta/"} +{"d:Title": "Nikon Field Guide", "d:Description": "Reviews, gadgets, travel, opinions, and essays by author Thom Hogan. Also, eBooks about Nikon equipment for sale.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Nikon", "url": "http://www.bythom.com/"} +{"d:Title": "Stahlbrandt.com", "d:Description": "Detailed Nikon F5 review, photos, examples, F-401 review, importing gear to Europe, and leaves portfolio.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Nikon", "url": "http://www.stahlbrandt.com/html/camera/f5.html"} +{"d:Title": "Nikon Historical Society", "d:Description": "Chicago, USA, organization, news, articles, history, links, restoration, and collecting publications.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Nikon", "url": "http://www.nikonhistoricalsociety.com/"} +{"d:Title": "Joe Cross' Pen FT Information Site", "d:Description": "Features details and photographs of Olympus' half-frame SLR system.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Olympus", "url": "http://amigadude_2.tripod.com/"} +{"d:Title": "Olympus WebRing", "d:Description": "Dedicated to and is a collection of Olympus photography.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Olympus", "url": "http://www.webring.org/hub?ring=olympusom"} +{"d:Title": "Pentax Users Gallery", "d:Description": "Photo gallery of images created using Pentax equipment. Updated monthly.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Pentax", "url": "http://pug.komkon.org/"} +{"d:Title": "Yoshihiko's Photo Site", "d:Description": "K-mount lens resolution tests, plus gallery of photos shot with Pentax lenses.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Pentax", "url": "http://www.takinami.com/yoshihiko/photo/"} +{"d:Title": "Bojidar Dimitrov's Pentax K-Mount Equipment Page", "d:Description": "Specifications and photographs of Pentax's K-mount cameras, lenses, and accessories, plus tutorials and essays.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Pentax", "url": "http://www.bdimitrov.de/kmp/"} +{"d:Title": "Stan's Pentax Photography", "d:Description": "Collects users' opinions of Pentax-brand K-mount lenses.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Pentax", "url": "http://stans-photography.info/"} +{"d:Title": "Pentax Users Ring", "d:Description": "Web Ring for and of people using this camera system.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Pentax", "url": "http://www.webring.org/hub?ring=pur"} +{"d:Title": "Pentax User Magazine", "d:Description": "Table of contents and subscription details. Includes galleries, news, forums, classifieds, and a price list.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/35mm/Pentax", "url": "http://www.pentaxuser.com/"} +{"d:Title": "Photo Porst", "d:Description": "Extensive company history and camera models descriptions.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.kamera-geschichte.de/"} +{"d:Title": "Collecting Mamiya 35mm Cameras", "d:Description": "Information and photo reference on Mamiya 35mm cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://herron.50megs.com/"} +{"d:Title": "The history of the Ihagee Co., Dresden, Germany", "d:Description": "Multi language site of the history, patents, and products of the Ihagee Kamerawerk Steenbergen and Co., Dresden, Germany.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.peterlanczak.de/ihagee.htm"} +{"d:Title": "Favorite Classics", "d:Description": "A classic camera repair resource with tips, camera information, manuals, and a forum.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.kyphoto.com/classics/"} +{"d:Title": "Ihagee&Exakta Products and History", "d:Description": "Ihagee and Exakta collecting information.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.ihagee.org/"} +{"d:Title": "Yashica Range-finder Cameras User Home Page", "d:Description": "35mm Yashica range-finder cameras, illustrated chronology, Yashica Electro history, user guide, repair, tech support, battery adapter.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.yashica-guy.com/"} +{"d:Title": "Entertaining Exakta Stuff", "d:Description": "A series of interesting photo galleries relating to the history and preservation of Ihagee Exakta equipment.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.exaktaphile.com/todo.html"} +{"d:Title": "The Ihagee Exakta Pages", "d:Description": "Source of information on Exakta cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.exakta.org/"} +{"d:Title": "Ensign Cameras", "d:Description": "Site for collectors of ensign cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.ensign.demon.co.uk/ensigncamerapage.htm"} +{"d:Title": "Classic Cameras", "d:Description": "Classic cameras and history. Discussion forum, classified ads, related links and addresses in both English and German.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.ukcamera.com/classic_cameras/camindexe.html"} +{"d:Title": "Classic Cameras Collection by 3106", "d:Description": "Review, and history of many classic cameras including TLR, Large Format, 35mm, Modern Classic, etc.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.3106.net/photo/cameras.htm"} +{"d:Title": "Hasselblad Historical", "d:Description": "Descriptions of Hasselblad company and its cameras, serial numbers, manuals. Timeline history.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.hasselbladhistorical.eu/"} +{"d:Title": "The Classic Camera", "d:Description": "Showing over 100 old cameras. Information on folding, SLR, TLR, Subminiature cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.cosmonet.org/camera/index_e.html"} +{"d:Title": "The Brownie Camera Page", "d:Description": "Information compiled by Chuck Baker, featuring history, technical information, where to buy, how to articles, images, and related links.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.brownie-camera.com/"} +{"d:Title": "Club Hasselblad", "d:Description": "Club Hasselblad: forums, links, classifieds, museum, photo galleries.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.clubhasselblad.com/"} +{"d:Title": "USSRPhoto", "d:Description": "Russian Camera Equipment Collector's Resource.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://ussrphoto.com/"} +{"d:Title": "Photographica Guide", "d:Description": "Reference index with descriptions of many cameras and camera manufacturers, by Pacific Rim Camera Store.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.pacificrimcamera.com/pp/rindex.htm"} +{"d:Title": "Antique and Classic Camera Web Site", "d:Description": "Collector specializing in 19th century American wood and brass cameras, lenses, shutters and related ephemera. Appraisal and identification services, and many related links.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.antiquecameras.net/"} +{"d:Title": "Historical Cameras", "d:Description": "Most important cameras in the history of photography. New standards, first implementations, breakthrough changes.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://historical-cameras.blogspot.com/"} +{"d:Title": "SovietCams", "d:Description": "Soviet and Russian Cameras: information, descriptions, photos.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.sovietcams.com/"} +{"d:Title": "Antique and Collectible Cameras Price Guide", "d:Description": "Free price guide for more than 22,000 classic cameras and 3300 lenses from 2000 manufacturers. Featuring 16000 photos. Completed auction prices, general cameras information.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://collectiblend.com/"} +{"d:Title": "Early Photography", "d:Description": "Description and information on Early Cameras and Equipment from the Daguerreotype and Wet-Plate era, their history and how they work.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://earlyphotography.co.uk/"} +{"d:Title": "Photo Manuals", "d:Description": "Copies of film and digital camera manuals.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.photo-manuals.com/"} +{"d:Title": "Field Cameras of the United States: 1879-1930", "d:Description": "Photos, information, specification of classic American cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://piercevaubel.com/cam/"} +{"d:Title": "Argus Collectors Group", "d:Description": "Mailing list forum for enthusiasts of Argus's antique 35mm cameras. Features mailing list highlights and photos of obscure models and accessories.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://arguscg.tripod.com/"} +{"d:Title": "Camera-Wiki", "d:Description": "A comprehensive wiki of many world's cameras. Spin-off from ex-camerapedia.org.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://camera-wiki.org/"} +{"d:Title": "Camerapedia", "d:Description": "Free-content encyclopedia of camera information.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://camerapedia.wikia.com/wiki/Camerapedia"} +{"d:Title": "Matt's Classic Camera Collection", "d:Description": "Detailed cameras description, close up views, history, repair tips.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://mattsclassiccameras.com/"} +{"d:Title": "The Dutch Camera", "d:Description": "Cameras manufactured in Netherlands", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://www.nederlandsecamera.nl/"} +{"d:Title": "Mamiya TLR System Summary", "d:Description": "Detailed information about the Mamiya TLR System for users and collectors.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://grahamp.dotinthelandscape.org/mfaq/index.html"} +{"d:Title": "Jo Lommen", "d:Description": "Collecting, using, and repairing classic press cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://lommen9.home.xs4all.nl/"} +{"d:Title": "Digital cameras Collection", "d:Description": "Collection of 600+ old digital cameras, original images, user manuals, drivers", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting", "url": "http://old-digitalcameras.com/"} +{"d:Title": "RangefinderForum", "d:Description": "Forum for rangefinder camera enthusiasts.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Chats_and_Forums", "url": "http://rangefinderforum.com/"} +{"d:Title": "Exakta Enthusiast's E-mail List", "d:Description": "An Ihagee Exakta e-mail list for all people interested in the collecting, history and use of Ihagee and Exakta camera's and related equipment.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Chats_and_Forums", "url": "http://lists.topica.com/lists/Exakta/?cid=107"} +{"d:Title": "The Camera Collector", "d:Description": "Forum for camera collectors.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Chats_and_Forums", "url": "http://cameracollector.proboards.com/"} +{"d:Title": "Chicago Photographic Collectors Society", "d:Description": "Non-profit society of camera and image collectors of antique, classic and contemporary photographic items. Over 200 members with 2 Camera and Image Shows a year.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Organizations", "url": "http://www.chicagophotographic.org/"} +{"d:Title": "Historic Camera", "d:Description": "Contains collectible and classic camera references, photographic history, a photography related only links web search engine, bulletin board, shows and events calendar, camera and photo galleries.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Organizations", "url": "http://www.historiccamera.com/"} +{"d:Title": "International Photographic Historical Society", "d:Description": "Home page for David Silver's International Photographic Historical Society (IPHO)", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Organizations", "url": "http://www.well.com/user/silver/"} +{"d:Title": "Nikon Historical Society", "d:Description": "Organization of Nikon users. Articles, History, Links, Restoration.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Organizations", "url": "http://www.nikonhistoricalsociety.com/"} +{"d:Title": "The Exakta Circle", "d:Description": "Ihagee dedicated resource. History of the company, camera descriptions, members forum.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Organizations", "url": "http://www.exaktacircle.org/"} +{"d:Title": "Photographic Collectors Club of Great Britain", "d:Description": "News items, publications, how to join, and competitions.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Organizations", "url": "http://www.pccgb.net/"} +{"d:Title": "Antique&19th Century Cameras", "d:Description": "Displays and describes wood and brass cameras made before 1900. Includes related history and 19th-century advertisements.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.antiquewoodcameras.com/"} +{"d:Title": "An Antique and Classic Camera Collection", "d:Description": "Antique and classic camera collection, with wooden view cameras from the 19th century. Information on camera fairs, and links for antique and classic camera collectors.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://vintage-cameras.com/"} +{"d:Title": "Bret Culp Camera Collection", "d:Description": "Technical information on cameras ranging from a 1926 Kodak #1 pocket camera to a Canon S40. Includes specifications and example photos.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://cameras.bretculp.com/"} +{"d:Title": "Camera Collection by Karen Nakamura", "d:Description": "Camera descriptions, photos, unknown facts.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.photoethnography.com/ClassicCameras/index-body.html"} +{"d:Title": "The Camera Museum", "d:Description": "Cameras from 1900-1960, with galleries, boxes, and manuals.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.thecameramuseum.com/collection/cameras/cameras.htm"} +{"d:Title": "C@mer@ Collection", "d:Description": "A private collector site of all kinds of cameras, old or new, serious or funny. Bilingual site, French and English.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://cameracollection.tripod.com/Page02.html"} +{"d:Title": "Collecting Movie Cameras", "d:Description": "Antique movie cameras: history, photos, descriptions.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.movie-camera.it/indexe.html"} +{"d:Title": "Collecting Photographica", "d:Description": "Victorian images, tintypes, ambrotypes, daguerotypes; subminiature cameras, Kodaks, and brass and mahogany cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://members.tripod.com/Nigel_Richards/"} +{"d:Title": "Colorful Kodaks", "d:Description": "Various colored Kodak cameras listed with photos and descriptions.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://kodak.digitalfx.tv/"} +{"d:Title": "George Eastman House", "d:Description": "Historical cameras museum. Large Brownies collection.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.geh.org/technology.html"} +{"d:Title": "Jim's Polaroid Camera Collection", "d:Description": "A collection and technical information of Polaroid cameras that have a bellows.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://polaroids.theskeltons.org/"} +{"d:Title": "Leitz Collection and Optical Museum", "d:Description": "Collection of photos and descriptions of cameras, microscopes and optical instruments.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.leitzmuseum.org/"} +{"d:Title": "Living Image Camera Museum", "d:Description": "Featuring cameras from private collection, not the exotica but the ordinary, humble, and everyday cameras of the past.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://licm.org.uk/"} +{"d:Title": "Moshe Bar-el: Old/Antique Cameras", "d:Description": "Features photos of pre-1950s cameras collection.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://webspace.webring.com/people/dm/mbarel/"} +{"d:Title": "The North Star Camera Collection", "d:Description": "Photographs of a collection of (mostly Argus, Ansco, and Kodak) cameras from the 1930s, 1940s, and 1950s.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://aligator222.tripod.com/camera/camera.html"} +{"d:Title": "Rick's camera collecting page", "d:Description": "Personal camera collection; reviews and articles about older cameras and photography.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://rick_oleson.tripod.com/index-15.html"} +{"d:Title": "RolleiOnly", "d:Description": "Canadian collector of Rollei Two-Eye cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://johnsrolleionlypage.homestead.com/"} +{"d:Title": "Scott's Photographica Collection", "d:Description": "Images of, and commentary on, a personal collection of antique and collectable cameras, photographic images, and ephemera.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.vintagephoto.tv/"} +{"d:Title": "Stereo Photographs and Descriptions of Classic Cameras", "d:Description": "Short descriptions and photos of more than 75 classic cameras. Photos of the cameras are in black and white and 3D stereo black and white (stereo can be viewed using red and green or blue filters).", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.pibweb.com/ross/classics.htm"} +{"d:Title": "Vitalspirit", "d:Description": "Private collection of historic photographic cameras, photos, and accessories.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.vitalspirit.be/e-vsfotograficamenu1.html"} +{"d:Title": "The Tomei Classic Camera Collection", "d:Description": "Detailed images of more than 50 vintage and classic cameras produced between 1892 and 1957 are featured including comments on their technological and historical significance.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://sites.google.com/site/ldtomei/home"} +{"d:Title": "Graflex Camera Collection", "d:Description": "Graflex, Speed Graphic, Crown Graphic, Military cameras. Photos and camera descriptions.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://graflex.coffsbiz.com/"} +{"d:Title": "Cameras Downunder", "d:Description": "One of the largest private collections in Australia by Holger Schult", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.camerasdownunder.com/"} +{"d:Title": "Chris's Camera Pages", "d:Description": "Website dedicated to collecting and repair of classic cameras focusing especially on the Kodak Retina and Retinette models.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://retinarescue.com/"} +{"d:Title": "Kodak Brownie Cameras", "d:Description": "The overview of Kodak Brownie Box cameras and their value. Collection by Remy Steller.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.brownie.camera/"} +{"d:Title": "Cinematographica", "d:Description": "A vintage movie camera collection of 8mm&16mm cine cameras", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://cinematographica.com/"} +{"d:Title": "35mm cameras collection", "d:Description": "A personal collection of 35mm film cameras, with tips on buying and repair, as well as hints on various topics.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.camera.portraits.srv2.com/"} +{"d:Title": "CJs Classic Camera Collection", "d:Description": "Information, photographs and repair tips of vintage classic cameras such as Retina, Altix, Regula, Iloca, Minolta, Agfa Karat and many others.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.cjs-classic-cameras.co.uk/"} +{"d:Title": "Joops camera collection", "d:Description": "Subminiature cameras, detailed photos and descriptions.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Collecting/Personal_Collections", "url": "http://www.joopscameracollection.nl/"} +{"d:Title": "Modified Firmware for Canon EOS 300D/Digital Rebel", "d:Description": "Contains usage and installation instruction for modified firmware.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Digital", "url": "http://satinfo.narod.ru/"} +{"d:Title": "Hacker's Guide to The SX-70", "d:Description": "Features technical specifications, usage advice, and modifications for Polaroid's 1970s camera system.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Instant", "url": "http://www.chemie.unibas.ch/~holder/SX70.html"} +{"d:Title": "Large Format Photography. Info", "d:Description": "Technical advice and links.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Large_Format", "url": "http://www.largeformatphotography.info/"} +{"d:Title": "World Field Photographers Association", "d:Description": "Organization of professionals and amateurs promoting the use of large format field cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Large_Format", "url": "http://www.johndesq.com/"} +{"d:Title": "Graflex.Org", "d:Description": "Features Graflex history, technical information, and the Graflex Speed Graphic FAQ.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Large_Format", "url": "http://www.graflex.org/"} +{"d:Title": "Joe's Bender FAQ", "d:Description": "Unofficial FAQ for the Bender large-format wood kit camera.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Large_Format", "url": "http://www.start.ca/users/joneil/bender.html"} +{"d:Title": "Large Format FAQ", "d:Description": "Draft Frequently Asked Questions list for rec.photo.equipment.large-format", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Large_Format", "url": "http://www.seanborman.com/personal/photo/LF_faq.html"} +{"d:Title": "Lubitel 166 Resource Page", "d:Description": "Features a copy of the manual, photography advice, a gallery, and link list.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Medium_Format", "url": "http://lubitel-resource.tripod.com/"} +{"d:Title": "MPP Users' Club", "d:Description": "Specializing in the cameras made by Micro Precision Products Ltd., formerly of London, England. Includes technical and sales information.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Medium_Format", "url": "http://www.mppusers.freeuk.com/"} +{"d:Title": "Alpa vision", "d:Description": "Meeting point for users and aficionados of the new ALPA 12 cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Medium_Format", "url": "http://www.alpavision.ch/"} +{"d:Title": "Submin.com", "d:Description": "Information, Manuals and advice relating to Minox 8x11, 16mm, and Tessina 14x21mm cameras.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://www.submin.com/"} +{"d:Title": "The Classic Camera MEC 16SB", "d:Description": "Information, and photos of this camera.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://cosmonet.org/camera/mec16_e.htm"} +{"d:Title": "Sub Club", "d:Description": "Home for all submini camera users. Resources for the professional or the first time shooter. Home of Submini-L, the Subminiature Roundtable Mailing List.", "priority": "1", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://www.subclub.org/"} +{"d:Title": "Goat Hill Photo", "d:Description": "Catering exclusively to submini shooters. Pre-slit films in a variety of formats and emulsions.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://www.subclub.org/sponsors/goathil2.htm"} +{"d:Title": "Marcus Brooks: Subminiature Camera Stuff", "d:Description": "A listing of subminis in movies, a flash from a disposable camera, and several tips and tricks.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://www.mwbrooks.com/submini/index.html"} +{"d:Title": "Ron Pedelty's Submini Home Page", "d:Description": "Photos of submini cameras, a poll, and a film slitter design.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://ronp.freeyellow.com/submini.htm"} +{"d:Title": "Collecting Photographica: Subminiature Cameras", "d:Description": "Photos and descriptions of a large number of subminiature camera models.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://members.tripod.com/Nigel_Richards/Submin/SubminFrame.htm"} +{"d:Title": "The Subminiature Photography Mailing List", "d:Description": "A worldwide group of subminiature camera enthusiasts since 1996.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://www.submini.org/"} +{"d:Title": "Yahoo! Groups: Subminiature Photography", "d:Description": "Features message board and chat room.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://groups.yahoo.com/group/subminiaturephotography2/"} +{"d:Title": "Submini Webring", "d:Description": "Keeping submini users connected.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature", "url": "http://www.webring.org/navcgi?ring=submini;list"} +{"d:Title": "The Classic Camera Minolta 16", "d:Description": "Information on some cameras, film slitting, sample shots, and a short history.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minolta_16", "url": "http://cosmonet.org/camera/minol16e.htm"} +{"d:Title": "Minox GmbH", "d:Description": "Corporate site for Minox in Germany. Information about new Minox Cameras and other equipment made by the company. Site is in English and German.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://www.minox-web.de/"} +{"d:Title": "Minox Historical Society", "d:Description": "This independent group is chartered with preserving and promoting everything to do with Minox, its cameras and other products, and its inventor Walter Zapp.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://www.minox.org/index.html"} +{"d:Title": "SWS Security", "d:Description": "Information for new users, and a large catalog of professionally serviced Minox 8x11 cameras.", "priority": "1", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://www.swssec.com/minox.html"} +{"d:Title": "Wonko's Minox Pages", "d:Description": "A site of Minox art, practical use, and experimentation.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://www.wonko.net/index.html"} +{"d:Title": "VEF RIGA Minox Forum", "d:Description": "Discussion group devoted to Riga cameras. Includes references, photos, and assistance.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://groups.yahoo.com/group/VEFRIGAMINOX/"} +{"d:Title": "Collecting Photographica: Minox", "d:Description": "Photos, cameras, accessories of anything Minox.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://members.tripod.com/Nigel_Richards/Minox/MinoxFrame.htm"} +{"d:Title": "The Classic Camera Minox", "d:Description": "Technical and historical information; descriptions and photos of Minox accessories.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://cosmonet.org/camera/minox_e.htm"} +{"d:Title": "h2g2 : Minox Spy Cameras", "d:Description": "What the Hitchhikers Guide to the Galaxy has to say on the topic.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox", "url": "http://www.h2g2.com/approved_entry/A538049"} +{"d:Title": "Tony Rowlett and Mimi Tran", "d:Description": "A page of Black and White photographs taken with a Minox LX.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/Exhibits", "url": "http://www.alaska.net/~rowlett/minox.htm"} +{"d:Title": "Clark, Larry J.", "d:Description": "Discussion on the practical use of Minox cameras, including pictures. Also, panoramic travel and black and white urban photography.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/Exhibits", "url": "http://www.twodimes.org/"} +{"d:Title": "Photographs from the Enrico Fermi School", "d:Description": "Photos taken with a Minox B", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/Exhibits", "url": "http://hep-neutrino.colorado.edu/edz/varenna/"} +{"d:Title": "Mark Stephens: Cave Surveying at Lost Johns", "d:Description": "Some words and pictures about a cave surveying exercise in the Lost Johns system with the BCRA cave surveying special interest group.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/Exhibits", "url": "http://www.cottonwool.plus.com/CaveSurveying/"} +{"d:Title": "Rick Oleson: Minox Disassembly&Repair", "d:Description": "Sketches and photos of how to disassemble a Minox B.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/FAQs,_Help,_and_Tutorials", "url": "http://rick_oleson.tripod.com/index-32.html"} +{"d:Title": "Repairing the Minox B", "d:Description": "Six scanned pages of a technical walk-through for disassembling, servicing, and reassembling the Minox B. (ftp)", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/FAQs,_Help,_and_Tutorials", "url": "ftp://ftp.darkroom.com/MiscDocs/"} +{"d:Title": "Minox Servicing and Repairs", "d:Description": "Average costs, and contact information.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/FAQs,_Help,_and_Tutorials", "url": "http://www.angelfire.com/biz/Leica/page2.html"} +{"d:Title": "Minox FAQ", "d:Description": "The new FAQ board for Minox cameras. Registration is required.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/FAQs,_Help,_and_Tutorials", "url": "http://groups.yahoo.com/group/Minox-FAQ/"} +{"d:Title": "Minox Photography Forum", "d:Description": "Resource for Minox users. Useful for both beginners and veterans.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/FAQs,_Help,_and_Tutorials", "url": "http://www.photo.net/bboard/forum?topic_id=1561"} +{"d:Title": "F. and S. Marriott: Minox", "d:Description": "A brief introduction to Minox, from the Riga to the model C.", "topic": "Top/Arts/Photography/Equipment_and_Services/Cameras/Subminiature/Minox/History", "url": "http://www.marriottworld.com/pieces/pieces07.htm"} +{"d:Title": "Noorderlicht", "d:Description": "[Groningen, Netherlands] International Photofestival featuring many exhibitions which takes place in September-October.", "topic": "Top/Arts/Photography/Events", "url": "http://www.noorderlicht.com/"} +{"d:Title": "International Festival of Photography in Lodz", "d:Description": "[Lodz, Poland] The International Festival of Photography in Lodz takes place in May, and features exhibitions, lectures, workshops, meetings with authors, movie projections, and slide-shows. Flash Site.", "topic": "Top/Arts/Photography/Events", "url": "http://www.fotofestiwal.com/"} +{"d:Title": "Le Mois de la Photo \u00e0 Montr\u00e9al", "d:Description": "[Montr\u00e9al, Canada] International biennale that investigates issues relating to contemporary photography. History of the event, themes, call for submissions, and archive. Site in English and French.", "topic": "Top/Arts/Photography/Events", "url": "http://www.moisdelaphoto.com/"} +{"d:Title": "FotoFest Biennial", "d:Description": "[Houston, Texas, USA] A non-profit international arts and education organization. Its purpose is to create an international forum in March and April for the exchange of photography and ideas and to promote public appreciation of this art.", "topic": "Top/Arts/Photography/Events", "url": "http://www.fotofest.org/"} +{"d:Title": "Visa pour l'Image", "d:Description": "[Perpignan, France] The International Photojournalism Festival of Perpignan takes place in September over 2 weeks, the first week for the press and the second for the public. The Visa d'Or is awarded for outstanding work, as well as other prizes for young photojournalists, women photojournalists, and humanitarian reporting.", "topic": "Top/Arts/Photography/Events", "url": "http://www.visapourlimage.com/"} +{"d:Title": "Eddie Adams Workshop", "d:Description": "[New York, USA] Yearly 4 day October event with 100 hand-picked students along with 100 photojournalism veterans meeting in New York state.", "topic": "Top/Arts/Photography/Events", "url": "http://www.eddieadamsworkshop.com/"} +{"d:Title": "Sotiabank Contact", "d:Description": "The Toronto photography festival that occurs during May each year, providing a forum for education, exhibition and dialogue. Features a searchable database of past exhibitions and registration details.", "topic": "Top/Arts/Photography/Events", "url": "http://www.scotiabankcontactphoto.com/"} +{"d:Title": "Lightra", "d:Description": "Contemporary photography magazine with interviews and portfolio links.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://lightra.com/"} +{"d:Title": "Digital Photo Pro", "d:Description": "Magazine for professional photographers. Technique, business tips and galleries.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.digitalphotopro.com/"} +{"d:Title": "Tips From The Top Floor", "d:Description": "Podcast on digital photography, for amateur and professional photographers.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://tipsfromthetopfloor.com/"} +{"d:Title": "D-Photo", "d:Description": "Digital photography guide with camera reviews and articles, comprehensive technique features, news and photography competitions.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.dphoto.co.nz/"} +{"d:Title": "Digital Photographer", "d:Description": "On-line version of the British magazine. It offers some article teasers and tutorial image downloads. Users can also upload their own portfolios.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.dphotographer.co.uk/"} +{"d:Title": "BlindSpot", "d:Description": "Art photography magazine published three times a year. Subscription information as well as artist information and print editions for sale.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.blindspot.com/"} +{"d:Title": "Photo Trip USA", "d:Description": "Features landscape photographers with an interest in the American West. [English, German, French, and Japanese]", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.phototripusa.com/"} +{"d:Title": "Photomag", "d:Description": "Includes equipment reviews, techniques, photo assessments, competitions, news and information.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.photomag.co.uk/"} +{"d:Title": "Popular Photography", "d:Description": "Offers product reviews, forums, how to's, and features on photography. Also includes subscription information.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.popphoto.com/"} +{"d:Title": "Professional Photographer", "d:Description": "Photographic and digital imaging equipment reviews, company updates, business management information, and profiles of photographers.", "priority": "1", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.ppmag.com/"} +{"d:Title": "Photo District News", "d:Description": "A resource for professional photographers. Includes subscription information, photography news, a gallery, classifieds, contests, and a directory.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.pdnonline.com/"} +{"d:Title": "Zoom", "d:Description": "European photography magazine. Full portfolios, national focus articles, international exhibition calendar.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.zoom-net.com/"} +{"d:Title": "European Photography", "d:Description": "Brief introduction to the magazine and the publisher. Subscriptions, prints for sale, forums, and links.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.equivalence.com/"} +{"d:Title": "Outdoor Photographer", "d:Description": "Offers tips, camera and equipment reviews, and articles from the print magazine.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.outdoorphotographer.com/"} +{"d:Title": "Photo Life", "d:Description": "Online presence of Canadian magazine, with industry news, events, techniques and tips. Bi-monthly", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "https://www.photolife.com/"} +{"d:Title": "Source", "d:Description": "Contemporary photography in Britain and Ireland. Includes the editorial page and contents of the current issue. Also includes an archive of articles, subscription information, a gallery of artists and links.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.source.ie/"} +{"d:Title": "Photography Now", "d:Description": "Quarterly publication and international online guide for photography and Video art.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.photography-now.com/"} +{"d:Title": "LensWork", "d:Description": "Focuses on photography and the creative process, with articles, interviews and portfolios.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.lenswork.com/"} +{"d:Title": "Shots", "d:Description": "Member-supported journal of black and white photography. Current issue, submission information and subscripition information.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.shotsmag.com/"} +{"d:Title": "Gomma", "d:Description": "Showcases international photographers.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.gommamag.com/"} +{"d:Title": "Lens Culture", "d:Description": "International contemporary photography: documentary, fine art, photojournalism, poetic, abstract, and street photography. Essays, criticism, photo book reviews, audio interviews with photographers. Extensive archives.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "https://www.lensculture.com/"} +{"d:Title": "Amateur Photographer", "d:Description": "For buying and selling cameras, dealer listings, exhibition previews, book reviews, photo competitions, questions and answers, top photographers, readers' pictures and digital imaging.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.amateurphotographer.co.uk/"} +{"d:Title": "Photo Answers", "d:Description": "Combined site of UK based Practical Photography and Digital Photo magazines. Contains technique guides, camera reviews and a forum.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.photoanswers.co.uk/"} +{"d:Title": "SeeSaw", "d:Description": "Fine art photography articles with photo-related essays, and interviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://seesawmagazine.com/"} +{"d:Title": "At-Edge", "d:Description": "Fine art photography site, with content from the offline publication.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.at-edge.com/"} +{"d:Title": "Digital Photography Live", "d:Description": "Provides news, articles, reviews, tips and tutorials related to digital photography.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://digitalphotographylive.com/"} +{"d:Title": "SLR Lounge", "d:Description": "Photography tips, tutorials and resources.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.slrlounge.com/"} +{"d:Title": "Digital Photo Magazine", "d:Description": "Online presence of the print magazine. Tips, techniques and gear review", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.dpmag.com/"} +{"d:Title": "JPG Magazine", "d:Description": "Upload work and have it reviewed by their peers, and submit it to the magazine. Publishes six times a year.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://jpgmag.com/"} +{"d:Title": "Fotoflock", "d:Description": "Indian photography community", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://fotoflock.com/"} +{"d:Title": "Private", "d:Description": "Photography reviews and showcase", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.privatephotoreview.com/"} +{"d:Title": "Photofocus", "d:Description": "A website aimed at helping photographers get better at photography.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.photofocus.com/"} +{"d:Title": "Urbanautica", "d:Description": "Contemporary landscape photography - urban", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.urbanautica.com/"} +{"d:Title": "Shutterbug", "d:Description": "For photo enthusiasts and working professionals. Provides classifieds, industry updates, product previews, test reports, tips, links, how-to projects, and highlights of featured articles.", "priority": "1", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.shutterbug.com/"} +{"d:Title": "Landscape Photography", "d:Description": "Landscape and outdoor photography magazine, Educational tutorials, tips, articles and inspiring photos.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "https://landscapephotographymagazine.com/"} +{"d:Title": "Digital Camera World", "d:Description": "News and gear reviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.techradar.com/digitalcameraworld"} +{"d:Title": "Agnostica", "d:Description": "A daily selection about the best worldwide photography.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.agonistica.com/"} +{"d:Title": "Click", "d:Description": "A bimonthly digital and print publications curated by and created for women photographers", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.clickinmoms.com/click"} +{"d:Title": "Photography News", "d:Description": "Coverage of industry news, photography festival and exhibition reports, photo essays, photography contests and camera reviews", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.photography-news.com/"} +{"d:Title": "Dodho Magazine", "d:Description": "Contemporary photography, bringing together diverse bodies of work by established and emerging artists from around the globe.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.dodho.com/"} +{"d:Title": "Photographist", "d:Description": "Articles and information on digital and film photography, as well as inspirational photos and pieces from around the world.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "https://photogrist.com/"} +{"d:Title": "Super Massive Black Hole", "d:Description": "An online magazine dedicated to contemporary photography.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.smbhmag.com/"} +{"d:Title": "Photo Vide", "d:Description": "World Photography, Art, Design, Visual Culture for Inspiration", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://photovide.com/"} +{"d:Title": "Outdoor Photography", "d:Description": "magazine dedicated to landscape, wildlife, nature and adventure photography", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.outdoorphotographymagazine.co.uk/"} +{"d:Title": "#Photography", "d:Description": "UK quarterly online and print photography magazine curated by Genea Bailey and Daisy Ware-Jarrett", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.hashtagphotographymagazine.co.uk/"} +{"d:Title": "OnLandscape", "d:Description": "Subscription based bi-weekly magazine dedicated to landscape photography from romantic to contemporary", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "https://www.onlandscape.co.uk/"} +{"d:Title": "Australian Photography", "d:Description": "Australian photography magazine. Photography competitions, photo tips, reviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.australianphotography.com/"} +{"d:Title": "PhotoNews", "d:Description": "Site for Canadain photography magazine. Provides photographic concepts, tips, news, tutorial and equipment info for photographers", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.photonews.ca/"} +{"d:Title": "Outdoor Photography Canada", "d:Description": "Quarterly Canadian photography magazine for outdoor photographers, covering nature, landscape, wildlife and wilderness photography", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "https://www.outdoorphotographycanada.com/"} +{"d:Title": "Canadian Camera Magazine", "d:Description": "Canadian Association for Photographic Art's in-house magazine. Published quarterly and free to members", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://capacanada.ca/category/canadian-camera-magazine/"} +{"d:Title": "Asian Photography India", "d:Description": "News, views and reviews on photography from Asia. Also contains links to reader letters and profiles of professional photographers", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.asianphotographyindia.com/"} +{"d:Title": "News Photographer", "d:Description": "Association magazine of the National Press Photographers Association which provides news, identifies trends adn issues and providing information for photojournalists", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "https://nppa.org/magazine"} +{"d:Title": "Analog", "d:Description": "Online presence of Swiss-based analogue photography magazine with gallery of curated images.", "topic": "Top/Arts/Photography/Magazines_and_E-zines", "url": "http://www.analogmagazine.ch/"} +{"d:Title": "Lets Go Digital", "d:Description": "Digital camera reviews with in-depth analysis of everything from single lens reflex to mobile phone cameras", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.letsgodigital.org/"} +{"d:Title": "FotoTapeta", "d:Description": "About the art of photography in Central Europe. In Polish, some stories translated to English.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://fototapeta.art.pl/"} +{"d:Title": "Zone Zero", "d:Description": "Online photography magazine with articles, work from featured photographers, a forum for discussions and a selection of international portfolios.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://zonezero.com/"} +{"d:Title": "Nature Photographers", "d:Description": "Monthly publication featuring articles, equipment reviews, discussion forums and image critique galleries.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.naturephotographers.net/"} +{"d:Title": "Basic Digital Photography", "d:Description": "Introduction to digital photography with tips on selecting equipment and advice on getting the most out of your camera.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.basic-digital-photography.com/"} +{"d:Title": "EyesCoffee", "d:Description": "From Hong Kong, includes photo essays, book reviews, and featured photographer websites.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.eyescoffee.com/"} +{"d:Title": "Apogee Photo", "d:Description": "Themed photography articles and details of workshops, tours and expeditions, plus a live chat room, forum, and a bi-monthly contest.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.apogeephoto.com/"} +{"d:Title": "Black&White World", "d:Description": "Dedicated to black and white photography. Monthly photo competition, how-to resources, and discussion forums.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.photogs.com/bwworld"} +{"d:Title": "Silver Paper", "d:Description": "Anyone can contribute to this online magazine. Features collections of photos of a specific location, organized into \"walks\". Some articles in Dutch or French.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://users.skynet.be/silverpaper/"} +{"d:Title": "UK Photographics", "d:Description": "Subscription online club and magazine; includes In Focus, equipment, book shops, space for members to post images, and publisher photo wants.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.ukphotographics.co.uk/"} +{"d:Title": "Ephotozine", "d:Description": "Information, links and resources, including reviews and galleries.", "priority": "1", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.ephotozine.com/"} +{"d:Title": "Black and White 101", "d:Description": "Archives specific how-to articles, links, and photographs.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://youthenics.tripod.com/blackwhite101"} +{"d:Title": "Urban75", "d:Description": "Photography guide with information about what camera to buy, digital versus film, optimizing images for the web, and photo techniques.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.urban75.org/photos/photos.html"} +{"d:Title": "F-Stop Magazine", "d:Description": "Fine art e-zine featuring works of individual artists as well as group exhibitions, usually devoted to a single theme.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.fstopmagazine.com/"} +{"d:Title": "Travel Photographers", "d:Description": "Dedicated to the art and technique of travel, adventure and vacation photography. Includes instructional articles, photo critique galleries and photography discussion forums.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.travelphotographers.net/"} +{"d:Title": "The Nikonian", "d:Description": "For Nikon photographers. Includes user product reviews, tips, hints, workshops and exhibitions. (PDF format)", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.nikonian.org/"} +{"d:Title": "Fotophile", "d:Description": "Community for photographers worldwide. Features galleries, reviews, and links.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://fotophile.com/"} +{"d:Title": "PixiPort", "d:Description": "Photographers' galleries, image of the month, electronic postcards, and e-zine.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.pixiport.com/"} +{"d:Title": "Photojojo", "d:Description": "Photography newsletter where users can sign up for regular tips and general photography chatter. Includes a forum.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.photojojo.com/"} +{"d:Title": "Black Star Rising", "d:Description": "Advice and opinion on the art and business of photography, written by and for pro photographers and photography buyers.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://rising.blackstar.com/"} +{"d:Title": "Art Photo", "d:Description": "Collection of artistic photos of cultural events in Montreal and Ottawa. Music, cinema, fashion.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.art-photo.ca/"} +{"d:Title": "LensCulture", "d:Description": "Discover and share contemporary photography", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "https://www.lensculture.com/"} +{"d:Title": "New York Institute of Photography", "d:Description": "Monthly online publication with photography tips, articles and contests.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.nyip.edu/"} +{"d:Title": "Artcentron", "d:Description": "Digital newspaper dedicated to the promotion of art, designs, film, fashion, architecture and other art related issues", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://artcentron.com/"} +{"d:Title": "All Things Photography", "d:Description": "Camera equipment reviews and free tutorials on subjects such as wedding photography, stock photography, property photography, starting a photography business and digital imaging.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.all-things-photography.com/"} +{"d:Title": "Photography News", "d:Description": "Photography.News for industry reviews and opinions, with a UK bias. This includes photography exhibitions, galleries and interviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://photography.news/"} +{"d:Title": "Happy Photon", "d:Description": "Online contemporary photography e-zine, including interviews with photographers.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.happyphoton.com/"} +{"d:Title": "DSLR.co.uk", "d:Description": "Online magazine with digital SLR news and reviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.dslr.co.uk/"} +{"d:Title": "Photo Apps", "d:Description": "Describes photo apps for iPhone, iPad, iPod Touch, and Android.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://photoapps.info/"} +{"d:Title": "Serious Photo Stuff", "d:Description": "Online photography magazine that tries hard to take itself seriously but usually fails. It's free (as in beer). Issues are in PDF format that are ready to view on your tablet or mobile.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://seriousphotostuff.blogspot.com/"} +{"d:Title": "Dyxum", "d:Description": "Offers the opportunity to share various experiences between users of a-mount (Sony and Minolta AF) DSLR cameras.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.dyxum.com/"} +{"d:Title": "Photography Magazine Extra", "d:Description": "Travel hot spots and locations tips. Photography techniques and tips for the amateur to the professional.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.photomagazineextra.com/"} +{"d:Title": "The Mansurovs Photography", "d:Description": "The Mansurovs provides various digital photography tips, camera and software reviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://mansurovs.com/"} +{"d:Title": "Get Inspired", "d:Description": "Monthly e-magazine for artists, designers and photographers.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://getinspiredmagazine.com/"} +{"d:Title": "Absolute Photo", "d:Description": "Home of the Advanced Photographer, Photo Professional and Photography News magazines. Photo techniques; camera, lens and accessory reviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.absolutephoto.com/"} +{"d:Title": "PetaPixel", "d:Description": "Photography and camera news, reviews, and inspiration.", "priority": "1", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://petapixel.com/"} +{"d:Title": "Clarity", "d:Description": "Digital, paid-for magazine delivered to mobile devices.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.photographybb.com/magazine/"} +{"d:Title": "Ph.Art Gallery", "d:Description": "Photographic art gallery and community that allows members to display, share, sell and store their images.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "https://photoartgallery.me/"} +{"d:Title": "Camera Jabber", "d:Description": "Photography equipment reviews and industry news, with style-specific tips", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://www.camerajabber.com/"} +{"d:Title": "PhotoShelter Blog", "d:Description": "Photo industry news resources and opinion.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/E-zines", "url": "http://blog.photoshelter.com/"} +{"d:Title": "Workbook", "d:Description": "Journal for a visual creative community, with listed, curated photographers", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Journals", "url": "http://www.workbook.com/"} +{"d:Title": "British Journal of Photography", "d:Description": "Presents news, galleries, job listings, and a discussion forum.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Journals", "url": "http://www.bjp-online.com/"} +{"d:Title": "Photoworks", "d:Description": "Journal for British and International photography, showcasing established artists and emerging talents alongside reviews and critical writing on the medium. Published twice a year in April and October and distributed internationally.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Journals", "url": "http://www.photoworks.org.uk/"} +{"d:Title": "HotShoe", "d:Description": "Info and photos from exhibitions, books, competitions, awards and galleries.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Journals", "url": "http://www.hotshoeinternational.com/"} +{"d:Title": "Photograph", "d:Description": "Bi-monthly guide to fine art photography exhibits, dealers, and auctions in America.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Journals", "url": "http://www.photographmag.com/"} +{"d:Title": "1000 Words Photography", "d:Description": "Quarterly online magazine dedicated to contemporary photography in the UK", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Journals", "url": "http://www.1000wordsmag.com/"} +{"d:Title": "Positive Magazine", "d:Description": "Italian and english, print and ezine with photos, stories and reportage", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Journals", "url": "http://www.positive-magazine.com/"} +{"d:Title": "foto8", "d:Description": "Photojournalism and documentary photography by professionals around the world.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.foto8.com/"} +{"d:Title": "EOS", "d:Description": "Magazine for Canon SLR and DSLR owners, available in print and digital", "priority": "1", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.eos-magazine.com/"} +{"d:Title": "View Camera", "d:Description": "A bi-monthly publication dedicated to the art and craft of large format photography. Includes an overview of the current issue, subscription information, classifieds, submission guidelines, books of interest, and workshops.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.viewcamera.com/"} +{"d:Title": "AP Landing", "d:Description": "Aerial and commercial photography resource covering full scale, radio control and all forms of aerial photography. Includes forums and user galleries.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.aplanding.com/"} +{"d:Title": "The Rangefinder", "d:Description": "Provides photography instruction, advice, equipment reviews, discussions, an industry directory and classifieds.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.rangefindermag.com/"} +{"d:Title": "Unframe", "d:Description": "A photo essay magazine with articles, information and news about photography. It purports to create original content and publish the work of known photographers.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.unframe.com/"} +{"d:Title": "Underwater Photography Magazine", "d:Description": "Underwater photography magazine in PDF format", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.uwpmag.com/"} +{"d:Title": "Foam", "d:Description": "Fine Art photography magazine published three times a year. Based in Amsterdam.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.foam.org/"} +{"d:Title": "Life In LoFi", "d:Description": "Exploring photography with an Iphone", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://lifeinlofi.com/"} +{"d:Title": "Nikon Learn&Explore", "d:Description": "Official Nikon user magazine, with interviews, reviews, tips and image galleries.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.nikonusa.com/en/Learn-And-Explore/index.page"} +{"d:Title": "Pentax User Magazine", "d:Description": "Table of contents, backissue and subscription details. Includes Pentax galleries, news, forums, classifieds, and a price list.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.pentaxuser.com/"} +{"d:Title": "Nikon Owner", "d:Description": "Subscription-based site for magazine catering for Nikon camera users", "priority": "1", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.nikonownermagazine.com/"} +{"d:Title": "Frankenphotography", "d:Description": "Homemade photographic equipment, for those interested in DIY photography and building cameras of all types", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.frankenphotography.com/"} +{"d:Title": "Adore Noir", "d:Description": "A fine art photography magazine which focuses on black and white photography. Providing featured photographers and articles in each bi-monthly issue.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.adorenoir.com/"} +{"d:Title": "Black&White Magazine", "d:Description": "A journal for collectors of fine photography.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.bandwmag.com/"} +{"d:Title": "Conscientious", "d:Description": "Online fine art photography magazine, featuring photographer profiles, interviews, articles, and book reviews.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://cphmag.com/"} +{"d:Title": "The 37th Frame", "d:Description": "Celebrating the best of photojournalism", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.the37thframe.org/"} +{"d:Title": "Social Documentary Network", "d:Description": "E-zine and community for those who believes that social documentary photography plays an important role in educating people about our world.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.socialdocumentary.net/"} +{"d:Title": "WedPix", "d:Description": "Wedding photography e-zine, a complimentary resource published by the WPJA for brides and grooms, photographers and wedding planners.", "topic": "Top/Arts/Photography/Magazines_and_E-zines/Specialist", "url": "http://www.wedpix.com/"} +{"d:Title": "International Federation of Photographic Art", "d:Description": "Lists member national organizations and links, shows history, services provided, and board of directors. Site in English and French.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.fiap.net/"} +{"d:Title": "Melbourne Camera Club", "d:Description": "Australian club gives history since 1891, membership information, online application, special interest groups, syllabus, courses, members' galleries and newsletter.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.melbournephoto.org.au/"} +{"d:Title": "The Photographic Society of Singapore", "d:Description": "National organization shows courses available, news and events, history, pictures from past exhibitions and application for membership.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.pss1950.org/"} +{"d:Title": "Photographic Society of Southern Africa", "d:Description": "List of member clubs, salons, trophies awarded and standards applied in judging, description of the organization, and legal notes.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.pssa.co.za/"} +{"d:Title": "The Photographic Society of New Zealand", "d:Description": "List of local clubs, invitation to join, program of meetings and competitions, help for clubs, and advertisements of surplus equipment.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.photography.org.nz/"} +{"d:Title": "Sandton Photographic Society", "d:Description": "Dates of club nights, competitions, newsletter, workshops for members, galleries of award-winning pictures, and links from a club in South Africa.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.sandtonphoto.com/"} +{"d:Title": "Federation of Camera Clubs (NSW) Incorporated", "d:Description": "Lists of member clubs and others in Australia, constitution, diary dates, newsletter, for sale and wanted, travel and consumer information, and photographs.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.photographynsw.org.au/"} +{"d:Title": "PhotoForum New Zealand", "d:Description": "Nonprofit society dedicated to the promotion of photography as a means of communication and expression.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.photoforum-nz.org/"} +{"d:Title": "Auckland Photographic Society", "d:Description": "Includes information about upcoming meetings, membership details, rules, events, yllabus, club news, newsletters, image galleries, photographic links and club contacts.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.aps.net.nz/"} +{"d:Title": "Australian Photographic Society", "d:Description": "Details of membership, activities, exhibitions and links to local clubs.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.a-p-s.org.au/"} +{"d:Title": "Northside Creative Photography", "d:Description": "Mission statement, photographic tips, program, competitions, pictures by members, news and links from a club near Sydney, New South Wales.", "topic": "Top/Arts/Photography/Organizations", "url": "http://www.ncp.org.au/"} +{"d:Title": "Jerusalem Photo Club", "d:Description": "Jerusalem photographer community offering photo sharing and critiques, photo galleries, learning resources and photography forums.", "topic": "Top/Arts/Photography/Organizations", "url": "http://jphotoclub.com/blog/"} +{"d:Title": "Film Shooters Collective", "d:Description": "Creating opportunities, community, and support for a global community of film photographers.", "topic": "Top/Arts/Photography/Organizations", "url": "https://www.filmshooterscollective.com/"} +{"d:Title": "International Newborn Photography Association", "d:Description": "A member-based association representing qualified, eligible and experienced newborn photographers. Includes a directory of photographers.", "topic": "Top/Arts/Photography/Organizations", "url": "http://internationalnewbornassociation.org/"} +{"d:Title": "South Shields Photographic Society", "d:Description": "Information about the organisation, their events and history, as well as a gallery of photographs and a glossary of photographic terms.", "topic": "Top/Arts/Photography/Organizations/Europe", "url": "http://www.southshieldsphoto.co.uk/"} +{"d:Title": "Viewfinders: English-speaking Photography Club of Brussels", "d:Description": "Aims of the club, contacts, activities, gallery of pictures, technical notes, and links to photographic sites.", "topic": "Top/Arts/Photography/Organizations/Europe", "url": "http://www.viewfinders.be/"} +{"d:Title": "L\u00ebtzebuerger Naturfoto-Fr\u00ebnn, Luxembourg", "d:Description": "Program of meetings and courses, location, rules, competitions and invitation to join online. Also in Letzebuergisch.", "topic": "Top/Arts/Photography/Organizations/Europe", "url": "http://www.lnf.lu/"} +{"d:Title": "Irish Photographic Federation", "d:Description": "Shows clubs in membership and describes work supporting photography through lectures, competitions and awards.", "topic": "Top/Arts/Photography/Organizations/Europe", "url": "http://irishphoto.ie/"} +{"d:Title": "Canadian Association for Photographic Art", "d:Description": "Includes list of affiliated clubs, membership benefits, history, events, members gallery and links to other photographic societies.", "topic": "Top/Arts/Photography/Organizations/North_America", "url": "http://capacanada.ca/"} +{"d:Title": "The Photographic Guild of Nova Scotia", "d:Description": "Programs for amateur and professional photographers, including competitions, seminars, evaluations, shows and field trips. Lists program, news, tips, gallery, newsletter, joining, exhibition and contact details.", "topic": "Top/Arts/Photography/Organizations/North_America", "url": "http://www.photoguild.ns.ca/"} +{"d:Title": "Photographers Without Borders", "d:Description": "Photography driven charity to visually communicate social change and empower humanity through photography. Toronto, Ontario based.", "topic": "Top/Arts/Photography/Organizations/North_America", "url": "http://www.photographerswithoutborders.org/"} +{"d:Title": "Through Each Others Eyes, Inc.", "d:Description": "Nonprofit photography and educational organization based in Phoenix, Arizona.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.teoe.org/"} +{"d:Title": "Society for Photographic Education.", "d:Description": "A non-profit organization promoting a broader understanding of the art form. Features information about membership, conferences, exhibitions and a print journal.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.spenational.org/"} +{"d:Title": "Greater Lynn Photographic Association", "d:Description": "Shows the organization of the Greater Lynn International slide exhibition, workshops, program, field trips, members' mini-show, competitions, and annual photo-course. Based in Lynn, Massachusetts.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.greaterlynnphoto.org/"} +{"d:Title": "Northern California Councils of Camera Clubs", "d:Description": "Gives locations of clubs in the area, newsletter, and galleries of pictures from member clubs.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.n4c.org/"} +{"d:Title": "Texas Photographic Society", "d:Description": "Describes the society's activities in education, hosting a national competition and distributing photographic information. Includes a calendar of events, and links to websites of its members.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://texasphoto.org/"} +{"d:Title": "The New England Camera Club Council", "d:Description": "List of clubs in membership, program for annual conference and other events, services offered to local clubs, and contact details.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.neccc.org/"} +{"d:Title": "Photographic Society of America", "d:Description": "List of members, including clubs, with conventions, contests, honors granted, organization and divisions, and catalog of audio-visual material.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.psa-photo.org/"} +{"d:Title": "New Jersey Federation of Camera Clubs", "d:Description": "Shows member clubs, with contacts, educational and competitive activities, officers and staff, newsletter, and pictures gallery.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.njfcc.org/"} +{"d:Title": "Southern California Council of Camera Clubs", "d:Description": "Activities promoting amateur photography in the Los Angeles area, with directory, news, and contacts.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://s4c-photo.org/"} +{"d:Title": "Greater Detroit Camera Club Council", "d:Description": "List of clubs in the federation, competitions, results, Detroit International Salon, organizational details, contacts, and links to photographic and local sites.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.gdccc.org/"} +{"d:Title": "Berks Camera Club", "d:Description": "Dates for international exhibition, meeting schedule, officers, membership benefits and news. Club building is in Reading, Pennsylvania.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.berkscamera.org/"} +{"d:Title": "Pictorial Photographers of America", "d:Description": "History of a New York club founded in 1916, summary of meetings and activities, contact form and members' portfolios.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://ppa-photoclub.org/"} +{"d:Title": "Project Basho", "d:Description": "Based on its communal darkroom, Project Basho attempts to bring photographers together in Philadelphia area and generate the appreciation of the photographic medium through offering workshops and other projects.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.projectbasho.org/"} +{"d:Title": "Delaware Photographic Society", "d:Description": "Hosts and gives details of the Wilmington International Exhibition of Photography. Shows club schedule, membership dues, newsletter, rules and by-laws, and competition winners.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.delawarephotographicsociety.org/"} +{"d:Title": "Photomedia Center", "d:Description": "Nonprofit organization formed to promote the creation and exhibition of fine art photography, digital/electronic based arts and new media and its appreciation. Erie, Pennsylvania.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.photomediacenter.org/"} +{"d:Title": "Photographic Society of Northwest Arkansas", "d:Description": "A non-profit organization promoting the art and science of photography. Features information about the society, current activities, social calendar and membership.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.psnwa.org/"} +{"d:Title": "Atlanta Photographic Society", "d:Description": "A non-profit organization established for photographers from amateur to professional levels. Features membership details, meeting and competition dates, field trips and contacts.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.atlantaphotographic.org/"} +{"d:Title": "Owensboro Photography Club", "d:Description": "A group of amateur and professional photographers based in Kentucky. Includes information about the club, monthly newsletter, calendar of events and member galleries.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.owensborophotoclub.com/"} +{"d:Title": "Nature Photographers of the Pacific Northwest (NPPNW)", "d:Description": "Promotes the growth and development of members by sharing information about nature and wildlife photography. Features online forum and details of meetings, bylaws and club contacts.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.nppnw.org/"} +{"d:Title": "New York Botanical Zoological Photographic Society", "d:Description": "Founded in 1953, offers monthly competitions, lectures, exhibits, trips and member portfolios. Features information about meeting schedule and membership.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.nybzps.org/"} +{"d:Title": "The In-Sight Photography Project, Inc", "d:Description": "Provides youth ages 11-18 the opportunity to learn photography. Features information about classes, donations, a newsletter and an online gallery. Based in Brattleboro, Vermont.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.insight-photography.org/"} +{"d:Title": "Gulf States Camera Club Council", "d:Description": "List of members clubs in Louisiana, Mississippi, New Mexico, Oklahoma and Texas. Features newsletter, photo-gallery, organizational notes and list of slide programs for hire.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://www.gulfstatesccc.org/"} +{"d:Title": "The Chicago Area Camera Clubs Association", "d:Description": "List of member clubs, including some in Wisconsin and Indiana, officers, constitution, meetings, and courses, competitions and exhibition run.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://caccaweb.com/"} +{"d:Title": "Northwest Council of Camera Clubs", "d:Description": "List of clubs in membership, results of competitions and entry details for future ones, conference, board of directors and gallery of slides. Western Washington state.", "topic": "Top/Arts/Photography/Organizations/North_America/United_States", "url": "http://nwcameraclubs.org/"} +{"d:Title": "Acciarri, Marco", "d:Description": "Collection of Photoshop retouched portrait photography, as well as winter landscapes.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://iceteax.tripod.com/photoretouch/"} +{"d:Title": "Austin, Dale", "d:Description": "See his photos, tour his studio, and read his biography.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www-personal.umich.edu/~mrwizard/"} +{"d:Title": "Aiken, Ian", "d:Description": "Photos from the North East of England, and Northumberland National Trust, as well as photography of New York, Rome and Barcelona.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.ianaiken.co.uk/"} +{"d:Title": "Auerweck, Kenneth", "d:Description": "A personal photo journal featuring time stamped images of daily life scenes.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://snapshot.auerweck.com/"} +{"d:Title": "Angel, Adam", "d:Description": "Mountains and landscapes, as well as mountaineering, safari and wedding photography.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.adamangel.com/"} +{"d:Title": "Archer, Dave", "d:Description": "Features nature, landscape and symmetrical formation images in color and black and white. Includes show and workshop schedules.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.archerville.com/dave/davearcher.html"} +{"d:Title": "Audah, Abdul Kadir", "d:Description": "Portfolio titled Heart and Soul to express the award winning photographer's journey through life.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.audah.com/"} +{"d:Title": "Ashton, Fran", "d:Description": "Small but varied portfolio of flowers and plants, landscapes and air displays.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.franscape.co.uk/"} +{"d:Title": "Appleby, Keith", "d:Description": "A collection of abstract, natural form, people, structure and landscape photography. Features galleries, digital manipulations and contact information.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.keithappleby-digitalgallery.com/"} +{"d:Title": "Almond, Adrian", "d:Description": "Travel photography, focusing on patterns and details found within rural and urban landscapes.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.adrianalmondphotography.com/"} +{"d:Title": "Aidan, Sean", "d:Description": "Overview of commissioned and personal photography covering a diverse range of subjects including editorial, press, sports, architecture, famous people and portraiture.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.seanaidan.com/"} +{"d:Title": "Abbott, Michael", "d:Description": "Astonished Eyes is a visual photographic diary, by Michael Abbott, that includes travel and life events.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://astonishedeyes.net/"} +{"d:Title": "Allard, Gillian", "d:Description": "Traditional, black and white, portrait and digital photography.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.gillian-allard.com/"} +{"d:Title": "Allen, Dave", "d:Description": "Fine art photographer specializes in nature and landscapes of the Blue Ridge Mountains. Includes his profile and gallery of work.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.daveallenphotography.com/"} +{"d:Title": "Alisa, Joe", "d:Description": "Metro Detroit Portrait&Wedding Photographer - Michigan Lifestyle Photography - Portrait, Wedding, Engagement, Seniors, Fashion, Architecture, Commercial, Editorial, Street, Journalism.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://joealisa.com/"} +{"d:Title": "Alazankina, Lyubov", "d:Description": "Showcase of a portraiture and fashion photographer - includes stills and videos.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://klukvography.com/"} +{"d:Title": "Alvarado Jr., Carlos", "d:Description": "New Jersey photographer specializing in weddings, beauty, portraiture, headshot, events and corporate photography.", "topic": "Top/Arts/Photography/Photographers/A", "url": "http://www.carlosalvaradophotography.com/"} +{"d:Title": "Valladares Martinez, Oscar", "d:Description": "Manipulated photographic works primarily about nude male and female.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.oscarvalladares.com/"} +{"d:Title": "Donaggio, Franco", "d:Description": "Franco Donaggio's official website which shows his works of experimental photography.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.donaggioart.it/"} +{"d:Title": "Sheley, Stephanie", "d:Description": "Specializing in Internet assemblages focusing on death, fears and fascinations.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.stephaniesheley.com/"} +{"d:Title": "Bellmer, Hans", "d:Description": "Surrealistic doll photographs.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.angelfire.com/in2/belmer/"} +{"d:Title": "Luckey, Randy", "d:Description": "Black and white photography of people and places, and surreal digitally manipulated color photography.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.1220.org/"} +{"d:Title": "Adiseshan, Shankar", "d:Description": "Corporate and stock abstract photography. Contains portfolio, publications, testimonials, and contact information.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.a-shankar.com/"} +{"d:Title": "Sprague, Ethan", "d:Description": "Works predominantly in polaroids of women, still life, diptychs, and installations.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.ethansprague.com/"} +{"d:Title": "Norris, Jon", "d:Description": "Abstract urban scenes. Color, and black and white.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.dreamlandscapes.com/newindex.html"} +{"d:Title": "Gasperini, Dario", "d:Description": "A portfolio of landscapes, portraits and nature images.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.gasperini.de/"} +{"d:Title": "Jensen, Rene", "d:Description": "Different themes and color schemes are used to create an eclectic gallery of photos. From Denmark.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.roalf.com/"} +{"d:Title": "Parker-Garcia, Robin", "d:Description": "Surrealistic imagery involving people, objects, surroundings and memories.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.rpgcreates.com/"} +{"d:Title": "Haas, Kevin", "d:Description": "Different aspects of the urban and industrial landscape.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.accumulated.org/"} +{"d:Title": "Rossi, Rett", "d:Description": "Features photographic installations focusing on issues of identity related to gender and/or trauma. [English and German]", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.rettrossi.de/"} +{"d:Title": "Lichtbogen, Ono", "d:Description": "Exhibition at Raika, Vienna.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.lichtbogen.at/"} +{"d:Title": "Van Der Meer, Piet", "d:Description": "High contrast, black and white images of stonecircles, menhirs and megaliths in Celtic Cornwall. Images change when mouse is hovered over them.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.pietvandermeer.nl/"} +{"d:Title": "Pelko, Janez", "d:Description": "Collection of color and black and white objects or portraits, including surreal photographs.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.janez-pelko.com/"} +{"d:Title": "Zentjens, Peter", "d:Description": "Collection of unusual, sometimes surreal photographs, including self-portraits and still life.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.zgallery-art.com/"} +{"d:Title": "Cortes, Jose Pedro", "d:Description": "Books and images by Jos\u00e9 Pedro Cortes.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.josepedrocortes.com/"} +{"d:Title": "Guither, Pete", "d:Description": "Surreal nudes. Also, theatrical, dance, and photos of Australia.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.thelivingcanvas.com/"} +{"d:Title": "Jurus, Richard E., II", "d:Description": "Manipulated images, and abstracts.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://home.earthlink.net/~zone1man/"} +{"d:Title": "Sutton, Leila", "d:Description": "A kaleidoscopic view of the Yucatan Peninsula, Mexico including photography, and digital renderings.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://members.tripod.com/randomsage/"} +{"d:Title": "Ostrowski, Eddie", "d:Description": "Photography, design, art and filmmaking work.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.eddieguy.com/"} +{"d:Title": "Verstappen, Harrie", "d:Description": "The Looniverse; manipulated photographs of Japanese model Kusama Yayoi, as well as landscapes and urban scenes.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://vista.users.50megs.com/"} +{"d:Title": "Van den Hoed, Willem", "d:Description": "Find photographs that have been pasted together to form timescapes from this architect turns photographer.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.willemvandenhoed.nl/"} +{"d:Title": "Vircoe, Jim", "d:Description": "Odd shapes in stone, and wood. From bushwalking outings in Australia.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.zipworld.com.au/~nueart/index.htm"} +{"d:Title": "Atchison, William", "d:Description": "Experimental, and surreal color photography.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.atchison.net/gallery/"} +{"d:Title": "Gega, Jude", "d:Description": "Unorthodox hybrid photo imaging tactics. Fashion, and fine art. Eccentric models wanted.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.angelfire.com/on/gega/"} +{"d:Title": "Chan, John", "d:Description": "Abstract color photography by California photographer.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://atchison.net/JohnChan/changallery.htm"} +{"d:Title": "Tang, Quang", "d:Description": "Nice dream. Photography, digital images, and graphic design.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.nicedream.net/"} +{"d:Title": "Kern, Rich", "d:Description": "Chemically and digitally altered photographs. Includes contact form and related links", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.mellow26.com/richkern/"} +{"d:Title": "Lustig, Laura Joy", "d:Description": "Abstract architectural constructions. Calendar, photography, and paintings.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.laurajoylustig.com/"} +{"d:Title": "Cama, Filipe", "d:Description": "Photographic journal, showing mainly abstract type photography.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://fotodiario.blogspot.com/"} +{"d:Title": "Kane, Bill", "d:Description": "Photography, neon and mixed media fine art. Abstracts and montages including nudes, window shadows, and extracts from the Berlin Wall.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://billkane.net/"} +{"d:Title": "Geist, Wayne", "d:Description": "Digital photomontage imagery with an abstract, political, or surreal edge.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.geistphoto.com/"} +{"d:Title": "Tauber, Dylan", "d:Description": "Digitally-manipulated underwater photographs of dolphins.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.dolphinnet.org/"} +{"d:Title": "Goto, John", "d:Description": "A series of digital exhibitions by British artist John Goto, combining sound and images. Many images are digitally created or manipulated.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.johngoto.org.uk/"} +{"d:Title": "Bennett, Bob", "d:Description": "Photomontage of the surreal and metaphysical set on the California coast and desert. Resume and list of assignments for major magazines.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.bobbennettphoto.net/"} +{"d:Title": "Archer, Don", "d:Description": "Digital photographs, processed, and enhanced.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.donarcher.com/digifoto/"} +{"d:Title": "Miles, Jonnie", "d:Description": "Collages, still life and off-beat portraits.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.jonniemiles.com/"} +{"d:Title": "Staller, Jan", "d:Description": "Surreal, dreamy landscapes, some with manipulated colors. Also, abstract shapes, and scenes.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.janstaller.net/"} +{"d:Title": "Lee, Daniel", "d:Description": "Artist's distillation of Buddhist mythologies in which animal spirits transform the human countenance.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.daniellee.com/"} +{"d:Title": "Maillard, Pascal", "d:Description": "Abstract color, and sepia toned photographs of surface textures. French and English.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.pmaillard.com/"} +{"d:Title": "Zagnoli, Miro", "d:Description": "Design, and offbeat imagery from this Italian photographer.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.mirozagnoli.it/"} +{"d:Title": "Winston, David Lorenz", "d:Description": "A collection of award-winning traditional and digitally-manipulated photography. Also includes a summary of professional accomplishments.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.davidlorenzwinston.com/"} +{"d:Title": "Glick, Adam", "d:Description": "Traditional, digital and pinhole work. Odd, off-color details and experiments with light.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.spacekid.net/"} +{"d:Title": "Van Balken, Marcel", "d:Description": "Digitally manipulated, and hand colored black and white, color and infrared photos. Also, traditional images on social, family, and travel topics.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.photure.demon.nl/"} +{"d:Title": "Davids, Miriam", "d:Description": "Analogous fine art and samples of commercial images ranging from abstract to conceptual product and people photographs.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.miriamdavids.de/"} +{"d:Title": "Cohen, Jesse", "d:Description": "Exhibition of macro photography and figure photography. Galleries, guestbook, and contact information.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.cohenphotos.com/"} +{"d:Title": "Sauter, Christoph", "d:Description": "Urban and abstract photographs, a photographic diary, and animated visual poetry. Including a guest gallery. [Requires Flash]", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.pixelpalais.de/"} +{"d:Title": "Damon, Xavier", "d:Description": "Color abstract photography based on Polaroids.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.xavierdamon.com/"} +{"d:Title": "Brunenberg, Jo", "d:Description": "Collages, composite, and manipulated abstract images of objects and people.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.jobrunenberg.com/"} +{"d:Title": "Ten Napel, Marleen", "d:Description": "Aspiring fashion photographer shows the unusual imagery from her final exam at the Academy of Arts in Utrecht, The Netherlands.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.photovictim.com/"} +{"d:Title": "Easterling, Angela", "d:Description": "Natural photograms (organic photographs) of flowers, vegetables, fruit, leaves and plants.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.angela-easterling.co.uk/"} +{"d:Title": "Black, Barbara Lee", "d:Description": "Abstract ilfochrome photographs in surreal hand-crafted frames, available for sale.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://barbaraleeblack.com/"} +{"d:Title": "Livingston, Emma", "d:Description": "Abstract photography on a wide range of subjects including nature and urban settings. Find contact information and artist biography.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.emmalivingston.com/"} +{"d:Title": "Forsberg, Thomas", "d:Description": "Personal experiments in visual communication. Slide show with verse.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://homepage.swissonline.ch/creactions/altern/photographics.html"} +{"d:Title": "Rondini, Stefano", "d:Description": "Features still life, architecture, nudes, and travel photos.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://web.tiscali.it/stefanor/home/home.html"} +{"d:Title": "Sandler, Patricia", "d:Description": "Adding text to Polariod prints to add a new dimension.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://home.mindspring.com/~patsan/"} +{"d:Title": "Butler, Billy", "d:Description": "Contemporary avant-grade photography coving landscape and urban scenes.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://jesterthejedi.tripod.com/"} +{"d:Title": "Stasinopoulos, Thanos N.", "d:Description": "Computer processed photography surveying the relationship between look, see and imagine.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.ntua.gr/arch/geometry/tns/show/"} +{"d:Title": "Nelson, Glenn", "d:Description": "Images of fantasy and studio photographs.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://glenn.cm2p.com/"} +{"d:Title": "Reeve, Thomas Hudson", "d:Description": "Abstract and manipulated photography projects including a pinhole photo gallery of New York City. Find biography and contact information.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.thomashudsonreeve.com/"} +{"d:Title": "Chom", "d:Description": "Photography focusing on colors and abstraction, based on close-ups of urban graffiti. Portfolio, and information on past and future exhibitions. Site in English and French.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.chomgallery.com/"} +{"d:Title": "Hodgett, John", "d:Description": "Portfolio of portrait and landscape photography. Current projects, resume, and contact information.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.johnhodgett.co.uk/"} +{"d:Title": "Creagh, Lisa", "d:Description": "Digital photography exploring the relationship between technology and mysticism.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.lisacreagh.com/"} +{"d:Title": "Jacoby, Keith", "d:Description": "Conceptual photography of nature and abstraction. Collections of portraiture, painted bodies, and information on Project Zwena.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.keithjacoby.com/"} +{"d:Title": "Szabo, Robert", "d:Description": "Wet plate collodion photographs of Virginia, Yosemite, still lifes, and living history. Includes a brief description of the process and a biography.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.robertszabo.com/"} +{"d:Title": "Hopgood, Roger", "d:Description": "A collection of experimental series including Bosson Heads, Pattern and Symmetry, Home Comforts and Treasures. Features galleries and commentary.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.rogerhopgood.co.uk/"} +{"d:Title": "Wood, Chris", "d:Description": "Digitally inhanced images. Specialising in images of fairies, angels and other such creatures.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.angelfire.com/art2/photosurreal/"} +{"d:Title": "Friedman, Marcia", "d:Description": "Impressionist painting style of hand embellished photographic prints, includes still lifes, cities, the countryside, and sea and sand.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.marciafriedman.com/"} +{"d:Title": "Sohngen, Pieter", "d:Description": "Features a selection of abstract photographs made with artificial light.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://members.chello.nl/p.sohngen/"} +{"d:Title": "Delgado, Fernando", "d:Description": "Fine art composition and botanical photographs offered as limited edition prints.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://fernandodelgadophotography.com/"} +{"d:Title": "Pasalis,Tassos", "d:Description": "Fine art abstracts in both color and black and white.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental", "url": "http://www.tassospasalis.com/"} +{"d:Title": "Moskvin. Oleg", "d:Description": "Black and white abstracts, and urban scenes.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://photophilia.net/shergal/oleg/"} +{"d:Title": "Bongiorno, Carmelo", "d:Description": "A gallery of the abstract photography works of this Italian photographer. Includes the artist's biography.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://www.carmelobongiorno.com/"} +{"d:Title": "Myers, Christopher", "d:Description": "Making use of fragments, gestures and remains.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://www.cmyersphotographs.com/"} +{"d:Title": "Gregory, John", "d:Description": "Large format, black and white surreal still life photographs.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://www.sites.onlinemac.com/jgregory"} +{"d:Title": "Tumbayev, Valery", "d:Description": "\"Hidden Life of Masks\" is an exhibition by this Russian theater photographer.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://photophilia.net/shergal/vt/"} +{"d:Title": "Clement, Beer", "d:Description": "Portraits, urban landscapes, abstract pictures, and collages.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://www.beerclement.net/"} +{"d:Title": "Kitakyushu Photographer's Gallery", "d:Description": "Works by photographers in Kitakyushu, Japan. Portraits, landscapes, architecture, nude figures, and flowers.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://www.artcreate.com/photo/kpg/"} +{"d:Title": "Bianchi, Lynn", "d:Description": "Black and white silver gelatin gold toned prints, using infrared film. Nude body studies, photographic sculpture and jewelry.", "topic": "Top/Arts/Photography/Photographers/Abstract_and_Experimental/Black_and_White", "url": "http://lynnbianchi.com/"} +{"d:Title": "Ballabio, Guido", "d:Description": "Traditional and digital images, as well as digitally processed video frames. Presenting landscape, still life, and location photos.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://digilander.libero.it/gba/"} +{"d:Title": "Bonjean, Isabelle", "d:Description": "Portraiture and still life photographs. Includes samples of advertising", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.ibonjean.com/"} +{"d:Title": "Buhain, Frank", "d:Description": "Scenes from Sydney, Australia, portraits, still life, a peacock, and a pigeon.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.angelfire.com/journal/frabu/"} +{"d:Title": "Bowles, David", "d:Description": "Pictures of concerts, sports, people, and life.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.aquanasium.com/"} +{"d:Title": "Baitz, Karl", "d:Description": "Color landscape, landmark, sunrise, and sunset photography.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.angelfire.com/vt2/stonebridge/"} +{"d:Title": "Boulineau, Bruce", "d:Description": "Photographs from travels in Israel, and the Augusta Opera performances of LaBoheme, Mikado, and Regina.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.finephotogallery.com/"} +{"d:Title": "Black, Jessica", "d:Description": "Pagan, wildlife, portrait, and garden photographs.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.primalx.com/"} +{"d:Title": "Barth, Terry", "d:Description": "Color and black and white images of factories, travel, architecture, graffiti, nature, and people.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.terrybarth.com/"} +{"d:Title": "Bolder, Walter Vlad", "d:Description": "Landscape, portrait, and urban black and white and digital photography.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.vlad-foto.com/"} +{"d:Title": "Benji", "d:Description": "Features some of his artistic photos, digital art, and a candid gallery of punks.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.angelfire.com/mi/midgetpunk/"} +{"d:Title": "Berger, Peter Sven", "d:Description": "Galleries of landscape, people, sports and architectural photographs.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.petersvenberger.de/"} +{"d:Title": "Ballou, Tyler O", "d:Description": "Mixed subjects in black and white and colour, from Hawaii, Sierra Nevada, Washington State, and Germany.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://tyler-b.net/"} +{"d:Title": "Bellinger, L. A.", "d:Description": "Small collection including photographs of flowers, people, seaside scenery and food. Both black and white and color pictures.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://labellinger.tripod.com/"} +{"d:Title": "Bennett, Louis P.", "d:Description": "Features images of flowers, people, cities at night, and textures. Includes a photo archive.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://quietcity.org/pix/"} +{"d:Title": "Birgus, Vladimir", "d:Description": "Presents monochrome and color photographs, mostly of people in their daily lives, by the Czech photographer. In English and Czech.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.birgus.com/"} +{"d:Title": "Brouws, Jeff", "d:Description": "A collection of photographic series depicting American culture. Features portfolios, information about the artists books and contact details.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://jeffbrouws.com/"} +{"d:Title": "Brownstein, Larry", "d:Description": "Photography portfolio includes weddings, portraits, scenic and fine art.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://larrybrownstein.com/"} +{"d:Title": "Blackshear, Mark", "d:Description": "Five photographic galleries present a comprehensive selection of the Brooklyn photographer's professional and personal work.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.markblackshear.com/"} +{"d:Title": "Boero, Mattia", "d:Description": "Personal website of photographer Mattia Boero.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.mattiaboero.net/"} +{"d:Title": "Baka, Robert", "d:Description": "Studio flash and daylight fill-flash portrait and fashion photography, displayed in horizontal scrolling web design.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.robertbaka.com/"} +{"d:Title": "Bjarke, Bob", "d:Description": "Incidental and purposed photography.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.bobarke.com/"} +{"d:Title": "Bertrand, Emmanuel", "d:Description": "Varied portfolio of subjects including bicycles, cars, building close-ups, people, bars, urban scenes and locations.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://eb.photos.free.fr/"} +{"d:Title": "Benari, Michael", "d:Description": "Boston area fine art photographer with a portfolio of black&white urban landscapes.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://benarifoto.com/"} +{"d:Title": "Berluteau, Florence", "d:Description": "Poetic photography by French photographer features images from China, Japan and Cyprus.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.fberluteau.com/"} +{"d:Title": "Brown, Troy", "d:Description": "Professional lifestyle photographer serving Seattle and surrounding communities. Specializing in High School Seniors, Action Sports and Weddings.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.blackdiamondphotography.com/"} +{"d:Title": "Braun, Martin", "d:Description": "Young photographer form Aachen in Germany", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://braun-photography.de/"} +{"d:Title": "Beland, Mikeal", "d:Description": "Documentary, landscapes, portraits, fine art, travel, still life and architecture photography", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://mikealbeland.com/"} +{"d:Title": "Bastiaanssen, Ren\u00e9", "d:Description": "Travel photographs from Indonesia, France, Holland and personal portraiture.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.xs4all.nl/~rebas0/"} +{"d:Title": "Bogue, Terence Stewart", "d:Description": "Resume, exhibition schedule and portfolio of a Melbourne based photographer.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://www.tbogue.com/"} +{"d:Title": "Rana Banerjee", "d:Description": "Photographer, Rana (Arnab) Banerjee. San Francisco, CA.", "topic": "Top/Arts/Photography/Photographers/B", "url": "http://ranabanerjee.org/"} +{"d:Title": "Constantinescu, Florin", "d:Description": "Black&white fine art and documentary photography.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.crosslight.ro/"} +{"d:Title": "Cohen, Phillip W", "d:Description": "Black&white and colour still life, underwater, travel, portrait and studio commercial photography.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.pwcphoto.com/"} +{"d:Title": "Cooper, Derek", "d:Description": "Landscape and scenic images from North America, portraiture, and sport photographs.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.derekcooper.com/"} +{"d:Title": "Cohen, John", "d:Description": "Portfolio of images, brief process overview, and reviews.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.jncohen.net/Photography/"} +{"d:Title": "Chang, Cindy", "d:Description": "Portrait, and thematic photography of Austin area models, random victims, and friends of the photographer.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.angelfire.com/zine/kissnjellybean/"} +{"d:Title": "Coolidge, Courteney", "d:Description": "Portraits of children, families, travel photos, and documentary work.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.coolidgephotography.com/"} +{"d:Title": "Carlisle, Tom", "d:Description": "Travel, landscape, nature and event photography from across the United States. Also, FAQs, and links.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.compass-points.com/"} +{"d:Title": "Churchill, Steven", "d:Description": "Fantasy, nature, and abstract images of fall foliage, as well as some travel photographs from Europe.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.stevenchurchill.com/"} +{"d:Title": "Chittock, Andy", "d:Description": "Welsh photographer showing various kinds of people photography including portraits, fashion, glamour and nudes, as well as a section of documentary style war photography.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.andychittock.com/"} +{"d:Title": "Coates, Dave and Sheila", "d:Description": "Galleries and slide shows presenting scenic photographs, mainly from places in the UK.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.dave.coates.photoshot.com/"} +{"d:Title": "Cavanah, Scott", "d:Description": "Portfolios divided by subject, notes on production processes for prints, prices and ordering instructions.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.scottcavanah.com/"} +{"d:Title": "Chan, Craig", "d:Description": "Portfolios divided by subject, portraits and people. Personal info about photographer.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.craigchan.com/"} +{"d:Title": "Corbijn, Maarten", "d:Description": "Portfolio of Dutch director and photographer Maarten Corbijn.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://corbino.nl/"} +{"d:Title": "Cole, Josh", "d:Description": "Club music and documentary photography. Includes bands, portraits, and clubs. [Requires flash plug-in]", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.joshcole.co.uk/"} +{"d:Title": "Chan, Jacky", "d:Description": "A portfolio of light, colours, shapes, nature, people, events and animals.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.jackycphotography.com/"} +{"d:Title": "Cardona, Oliver", "d:Description": "Gallery includes landscapes, panoramas, interactive panoramas, pinhole&Lomo, street photography, nature&wildlife, travel and Western Australia.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://olivercardona.com/"} +{"d:Title": "Cardone, Ryan", "d:Description": "Commissioned photographs including extreme sports on-location, water sports, outdoor life and travel&leisure.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.ryancardonephotography.com/"} +{"d:Title": "Caridade, Guillaume", "d:Description": "Black&white and colour landscapes and architecture.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://caridadeguillaume.free.fr/"} +{"d:Title": "Cugliari, Claudio", "d:Description": "Wedding photography in Mississauga, Canada. Includes profile, information on services and packages, portfolio and contact details.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.ccugliari.com/"} +{"d:Title": "Carter, Gerald&Irmgard", "d:Description": "Nature and landscape photography with subjects primarily located in western and northern Canada, the Alps and South Africa.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.suresoft.ca/"} +{"d:Title": "Collett, Aaron", "d:Description": "A collection of Weddings, Portraits, Urban and Scenic photographs.", "topic": "Top/Arts/Photography/Photographers/C", "url": "http://www.aaroncollettphotography.co.uk/"} +{"d:Title": "Sussex Gallery", "d:Description": "Collection of photographs, with comments, from the County of Sussex, UK. Users are encouraged to submit their work.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.sussexgallery.co.uk/"} +{"d:Title": "FAPweb", "d:Description": "Group exhibit showing work from photographers who participate in the fine art photo list. Visitors can critique each image using an interactive form system. Site also includes information about the fine art photo list, and a forum.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://fapweb.photoartisan.com/"} +{"d:Title": "Paparanet", "d:Description": "Intended as a showcase for Indonesian amateur photographers to display their photos. Photographers from everywhere are encouraged to submit their work.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.eastjava.com/photo/paparanet/"} +{"d:Title": "Project Jarmilo", "d:Description": "User submitted photographs of young people from all over the world. New submissions accepted, the quality of the images is secondary. [German and English, may not work with all browsers]", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.jarmilo.de/"} +{"d:Title": "Staadecker's Virtual Photo Gallery", "d:Description": "Seascapes, sailing photos, lions, and images of people encountered around the world. New photographers accepted.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.staadecker.com/"} +{"d:Title": "Firework Photo Gallery", "d:Description": "Site dedicated to the display of firework photographs. Viewers are invited to join others in submitting their own photos.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.skydreamz.com/"} +{"d:Title": "Segmentality", "d:Description": "Dedicated to black and white photography; provides space to photographers who want to display their work. [English and Russian]", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.segmentality.com/"} +{"d:Title": "Madspedersen Photography Gallery", "d:Description": "Photography news and exhibitions. Subjects include people, places, things, animals, and travel photography from Russia, Iceland, Amsterdam, and San Francisco. Submissions from visiting photographers accepted. Also has instructions on how to calibrate your monitor.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.madspedersen.com/"} +{"d:Title": "In-public", "d:Description": "Profiles of a group of photographers working in streets and public places. Galleries of images, email contacts, and invitation to submit photos.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.in-public.com/"} +{"d:Title": "Photo Friday", "d:Description": "Each Friday a new photographic challenge is posted. Participants interpret the challenge and post their photos to their own sites and then submit their links.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.photofriday.com/"} +{"d:Title": "TrekLens", "d:Description": "A global photography community to display photos, write critiques, and participate in discussions.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.treklens.com/"} +{"d:Title": "Fotocommunity", "d:Description": "A site to upload and discuss your photographs. Listed by categories with a special channel for youth photographers.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.fotocommunity.com/"} +{"d:Title": "Ireland Photo", "d:Description": "Submit and view photos of Ireland and surrounds.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.irelandphoto.com/"} +{"d:Title": "Diallery", "d:Description": "Showcase of buildings, nature, and people. Submissions from visiting photographers accepted", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.diallery.com/"} +{"d:Title": "City Photo Lab", "d:Description": "Exhibit your photography online. Also offers themed exhibits, contests, and as articles about photography.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.cityphotolab.com/"} +{"d:Title": "APN photobase", "d:Description": "Free on line gallery for photographers around world. You can post your photos here.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://photobase.apn.gr/"} +{"d:Title": "ZenZien", "d:Description": "A trilingual e-zine about the esthetic side of photography. New photographs with a short text in English, Dutch and Japanese are added several times a week.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://zenzien.zoefzoek.nl/"} +{"d:Title": "Photo.net", "d:Description": "Includes exhibits, camera reviews, tutorials, classified ads, forums, and recommended retailers and labs.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://photo.net/"} +{"d:Title": "The North Essex Photographic Workshop", "d:Description": "Galleries of photographs from an amateur group in the Tendring area of Essex, UK. Vote for your favorite picture feature, visitors gallery, new members accepted.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://nepw.weebly.com/"} +{"d:Title": "Female Photographers of Etsy", "d:Description": "A group for Etsy shop owners to display and promote their photography.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://femalephotographersofetsy.blogspot.com/"} +{"d:Title": "Photoexpo", "d:Description": "Displays images for a fee. Amateurs as well as professionals welcome.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://www.photoexpo.net/"} +{"d:Title": "Young Photographers United", "d:Description": "Community and social network for young photographers.", "topic": "Top/Arts/Photography/Photographers/Communities", "url": "http://ypu.org/"} +{"d:Title": "Dimitrios, Spinellis", "d:Description": "Small gallery of natural and urban landscapes, and portrait photographs.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://spinellis_dimitrios.tripod.com/photo.html"} +{"d:Title": "Dougherty, M.", "d:Description": "Nature, location, and sports photographs with a focus on action and motion. Also, showing monthly petroleum statistical data.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.mdougherty.com/"} +{"d:Title": "Dawson, Phillip", "d:Description": "Featuring surreal images, wildlife, scenics, and impressions from Antarctica.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.angelfire.com/pq/aquus/AARDVARK.html"} +{"d:Title": "Davidson, James", "d:Description": "Shows rugby players, school children, weddings, and impressions of Oxford events.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.jamesdavidson.co.uk/"} +{"d:Title": "Davidson, Stefan", "d:Description": "Landscapes, and scenic images from Canada, informal portraits, and pictures of his pets.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.angelfire.com/nb/stefand/"} +{"d:Title": "Deprez, Koen", "d:Description": "Professional photographer showing a sample of his work. Includes advertising images, studio portraits, nudes, and fashion photographs.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.studiodeprez.be/"} +{"d:Title": "Duffy, Brian", "d:Description": "A selection of images covering various subjects, including still life, travel, landscapes, animals, and people.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.brianduffy.co.uk/"} +{"d:Title": "Drager, Kerry", "d:Description": "Outdoor and travel photographs from the United States, as well as illustrated how-to articles.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.kerrydrager.com/"} +{"d:Title": "Duong, Linda", "d:Description": "A portfolio of travel, panoramic, portrait and digitally manipulated images.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.lindaduong.co.uk/"} +{"d:Title": "De Groot, Vincent", "d:Description": "Landscapes and urban life from the Netherlands, Germany, and Switzerland.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.photo-vinc.com/"} +{"d:Title": "De Ridder, Misha", "d:Description": "Urban views of Amsterdam, landscapes, portraiture, and architectural photographs by a Dutch artist.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://www.mishaderidder.com/"} +{"d:Title": "Deyer-Bennet, David", "d:Description": "Portraits, landscapes, macros, and a certain amount of plain-old snapshots.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://dd-b.net/photography/"} +{"d:Title": "Dmitri von Klein \u2014 Monovita", "d:Description": "Photographic musings of an Oregon based photographer.", "topic": "Top/Arts/Photography/Photographers/D", "url": "http://monovita.com/"} +{"d:Title": "Evans, Greg", "d:Description": "Photographs of Blues musicians, nature, and a few cityscapes.", "topic": "Top/Arts/Photography/Photographers/E", "url": "http://www.gsevans.com/photography/"} +{"d:Title": "Eliasson, Nokkvi", "d:Description": "Photographs of deserted farms, nature and people.", "topic": "Top/Arts/Photography/Photographers/E", "url": "http://www.islandia.is/~nokkvi/page1.htm"} +{"d:Title": "Edwards, Orrin S.", "d:Description": "Nautical, landscape, wildlife, and nature photography. Also, images from a trip to Aruba.", "topic": "Top/Arts/Photography/Photographers/E", "url": "http://www.orrin.org/gallery/"} +{"d:Title": "Edwards, Bo", "d:Description": "Color photographs of landscapes, animals, sports, and travel.", "topic": "Top/Arts/Photography/Photographers/E", "url": "http://limafoxtrt.tripod.com/"} +{"d:Title": "Edge, James", "d:Description": "Photographs taken with different types of cameras, including sheet film, 120 roll film, 35 mm and digital cameras. Also includes a few tutorials on digital imagery.", "topic": "Top/Arts/Photography/Photographers/E", "url": "http://www.jamesedge.com/"} +{"d:Title": "Fox, Judith", "d:Description": "Photographs, and some paintings, of people and nautical subjects.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://www.judithfox.com/"} +{"d:Title": "Ferguson, Barry L.", "d:Description": "Images of nature, wildlife, and cowboys in Alberta, Canada.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://fergusonphotos.com/"} +{"d:Title": "Fallkvist, Helena", "d:Description": "Landscapes, seascapes, portraits, and mixed nature photographs by this Swedish photographer. Includes a couple of forest scenery photographs.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://www.angelfire.com/nt/photogallery/"} +{"d:Title": "Fitzgerald, Kenna", "d:Description": "A collection of landscape, animal, people and nature photography.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://kenna.20megsfree.com/"} +{"d:Title": "Fitzgerald, Evelin", "d:Description": "Landscapes from the dilapidated hotels of Batista-era Cuba to the abandoned shacks of New England\u2019s sand dunes.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://www.evelynfitzgerald.com/"} +{"d:Title": "Li Fan Photography", "d:Description": "Miami, Florida based photographer who specialize in commercial and editorial photography.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://www.liography.com/"} +{"d:Title": "Fierlinger, Helena", "d:Description": "Photographs of children, weddings, New Zealand, nature, and Brooklyn, New York.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://www.photosbyhelena.com/"} +{"d:Title": "Michelle Feileacan Photography", "d:Description": "Wedding, portrait and editorial photography in Sonoma County. Northern California.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://michellefphoto.com/"} +{"d:Title": "FlixelPix Photography", "d:Description": "Outdoor, landscape and documentary photography from an official Fujifilm X photographer. David Cleland, Northern Ireland.", "topic": "Top/Arts/Photography/Photographers/F", "url": "http://www.flixelpix.com/"} +{"d:Title": "Askienazy, Laurent", "d:Description": "Personal photographs, portraits, and still-lifes in color and in black and white.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/A", "url": "http://www.laurent-askienazy.com/"} +{"d:Title": "Alpers, Jackie", "d:Description": "Images that document personal habits, rituals, and beliefs. Includes self-portraits, biography, and contact information. [Requires: Flash]", "topic": "Top/Arts/Photography/Photographers/Fine_Art/A", "url": "http://www.jackiealpers.com/"} +{"d:Title": "Ankiewicz, Kristen", "d:Description": "Collection of fine art, nature, people, and travel photographs, including a section on the Burning Man event.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/A", "url": "http://www.ankiewicz.com/photos/"} +{"d:Title": "Abbatiello, Dominic", "d:Description": "Collection of photo essays on Italy, Spain, Portugal and Cuba.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/A", "url": "http://www.pointphotos.com/"} +{"d:Title": "Allari, Stefano", "d:Description": "Aerial, landscape, mountain, alpine, and portrait photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/A", "url": "http://www.allari-photo.com/"} +{"d:Title": "Alan, William", "d:Description": "Washington based photographer presents a gallery of portraits, artistic nature and vegetable images.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/A", "url": "http://www.williamalanphoto.com/"} +{"d:Title": "Berger, Peter Sven", "d:Description": "Landscapes, people, and sports from an abstract perspective. German photographer.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.petersvenberger.de/"} +{"d:Title": "Burke, Max", "d:Description": "Digital photographs, primarily in black and white, of people, street scenes, and urban details. Also, a gallery of color images taken with a Mavica FD7.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://homepages.paradise.net.nz/~mlvburke/"} +{"d:Title": "Berryhill, Gene", "d:Description": "Digitally altered photographs of nature, travel, and people in color and monochrome. Includes biography and a list of exhibits.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.geneberryhill.com/"} +{"d:Title": "Buelens, Johan", "d:Description": "Photographs of abstracts, architecture, minerals, industrial situations, and portraiture.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.johanbuelens.com/"} +{"d:Title": "Birch, Nathaniel", "d:Description": "Details of everyday life in graphic colors. Includes information on photographic exhibitions and contact address.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.perceptions.ca/"} +{"d:Title": "Bellando, Gina M.", "d:Description": "Pinhole photographs, toned images, Polaroids, and digital work. Subjects include still life, landscape, city scenes, and abstracts.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://home.ix.netcom.com/~ginabell/"} +{"d:Title": "Bersani, Massimo", "d:Description": "Abstracts, people, landscapes, objects, and still-life photographs telling stories on different subjects.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.massimobersani.it/eng.html"} +{"d:Title": "Bean, Barry", "d:Description": "Landscape, infrared, nature and black and white photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.beancotton.com/cgi-bin/index.cgi"} +{"d:Title": "Brain, John", "d:Description": "Includes portraits, location photography, and digital art. Colour, monochrome and infrared photographs.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.jbimages.co.uk/"} +{"d:Title": "Bouquet, Fabrice", "d:Description": "French product photographer showing photography of accessories, furniture, and abstracts. Requires Flash.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.fabricebouquet.com/"} +{"d:Title": "Bianchi, Filipe", "d:Description": "A collection of religious, urban, people and reportage images by a Portuguese photographer.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.filipebianchi.com/"} +{"d:Title": "Breton, Thibault", "d:Description": "Photographs women and other natural forms. In English and French.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.dkler.com/"} +{"d:Title": "Bookbinder, David J.", "d:Description": "Specializing in phototransformations and mandalas. Images, biographical note, and purchase instructions for prints and printed items. Beverly, Massachusetts", "topic": "Top/Arts/Photography/Photographers/Fine_Art/B", "url": "http://www.davidbookbinder.com/"} +{"d:Title": "Abbott, William", "d:Description": "Portraits, landscapes, seascapes, and buildings. Find artist's resume, guestbook, and links.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/A", "url": "http://www.abbottphoto.com/"} +{"d:Title": "Arinsberg, Mark", "d:Description": "Portrait and editorial images shot in San Francisco, New York, Chicago, Los Angeles and Ashland. Also find a biography and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/A", "url": "http://www.arinsberg.com/"} +{"d:Title": "Aiello, George and Joann", "d:Description": "Images, with historical notes, of gold rush mining in California. Includes a biography and letters on the gold rush.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/A", "url": "http://www.glittering.com/"} +{"d:Title": "Andrew, Mike A.", "d:Description": "Specializing in black and white fine art photography, this site showcases prints from Rio De Janeiro, Hong Kong, Istanbul, Morocco, and Singapore.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/A", "url": "http://www.picturesforwalls.com/"} +{"d:Title": "Bahi, Fari", "d:Description": "Travel, portrait, urban, candid and nude photography. Includes relevant links and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/B", "url": "http://www.faribahi.com/"} +{"d:Title": "Buraud, Philippe", "d:Description": "Still life abstracts inspored by animals. An other perception of the real. Includes a biography, links, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/B", "url": "http://philippe.buraud.free.fr/"} +{"d:Title": "Barsanti, Marco", "d:Description": "Nudes and landscapes gallery, biography, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/B", "url": "http://www.marcobarsanti.com/"} +{"d:Title": "Crowell, Jeffrey", "d:Description": "Fine art prints as well as commercial architectural photography. Read the artist's biography or find contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/C", "url": "http://www.jeffreycrowell.com/"} +{"d:Title": "Cramp, Stacey", "d:Description": "Photographs from Italy, Oregon, and New Orleans. Includes images of Genoa, Toscana, and Cinque Terre.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/C", "url": "http://www.staceycramp.com/"} +{"d:Title": "Darwin, Robyn", "d:Description": "Metaphysical images and photographic memories by an artist based in the San Francisco Bay area. Find contact information and links.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://www.boxstone.com/"} +{"d:Title": "De Lattre, J\u00e9r\u00f4me", "d:Description": "Urban and travel photographs in monochrome, including views from North America, Europe, and Asia. Includes links and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://jlattre.free.fr/"} +{"d:Title": "Deveson, Toby", "d:Description": "Landscape, documentary and portrait photography from the UK, Italy, Romania, Canada and Madagascar.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://www.tobydeveson.com/"} +{"d:Title": "DeStefano, Lorenzo", "d:Description": "Video stills, landscapes, and candid portraits of the people of Cuba. Includes professional biography and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://www.lorenzodestefano.com/photography.htm"} +{"d:Title": "Diakonashvili, Oxana", "d:Description": "Shows black and white photographs of things, urban scenes, events, and nature, mainly taken in Russia and the United States. Also features a photoblog.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://shadowtones.net/"} +{"d:Title": "Dienes, Louis and Amy", "d:Description": "Poem illustrated with thirteen photographs by poet, and photographer who studied with Eli Siegel, founder of Aesthetic Realism.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://dienes-and-dienes.com/"} +{"d:Title": "Diniz, Pepe", "d:Description": "Small collections of photographs from New York, Lisbon, and Maputo, Mozambique, as well as portraits and architecture.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://www.pepediniz.com/"} +{"d:Title": "Pavel, Danel", "d:Description": "Glamour photography and female nudes in black and white, with some other subjects. Images and list of services. English and Czech.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/D", "url": "http://www.pavel-danel.com/"} +{"d:Title": "Emory, Bill", "d:Description": "Scenes, and people from rural lifestyles. Old buildings, interiors, and candid portraits.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/E", "url": "http://www.billemory.com/"} +{"d:Title": "Fafik", "d:Description": "Landscape, nature, cityscape and architecture photographs. Find gallery, news, and a guestbook.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/F", "url": "http://www.fafik.cz/"} +{"d:Title": "Ghidini, Fabio", "d:Description": "Features portraits and still life photographs.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/G", "url": "http://www.fabioghidini.it/"} +{"d:Title": "Georgopoulou, Patty", "d:Description": "Night, people, and summer related photos. Also includes poetry, a guestbook, links, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/G", "url": "http://www.photopathy.com/"} +{"d:Title": "Gilbert, Douglas R.", "d:Description": "Fine art landscape and urban photography. Includes the artist's resume and upcoming events.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/G", "url": "http://www.douglasrgilbert.com/"} +{"d:Title": "Hanano, Fumio", "d:Description": "Mindscapes, cityscapes, and fine art nudes; from Japan.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/H", "url": "http://www.art-photo.com/mono/home.html"} +{"d:Title": "Holomicek, Bohdan", "d:Description": "People and location images by the Czech artist.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/H", "url": "http://www.gallery.cz/gallery/en/Vystava/2000_10/"} +{"d:Title": "Hoeben, Bas", "d:Description": "Landscape, still life, portrait, and abstract images by a Dutch artist.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/H", "url": "http://photobas.tripod.com/"} +{"d:Title": "Howell, Mark", "d:Description": "Abstracts, landscapes, plants, and architecture photography. Artist's biography, contact information, and links included.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/H", "url": "http://www.markhowellphotographs.com/"} +{"d:Title": "Herstein, Jamie", "d:Description": "Primarily of NYC, and baseball.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/H", "url": "http://www.angelfire.com/ab/jamieherstein/index.html"} +{"d:Title": "Hockx, Hans", "d:Description": "Architecture and street photography of areas in the Netherlands. Includes an artist biography, contact information, and sources of inspiration.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/H", "url": "http://www.hanshockx.nl/"} +{"d:Title": "Jordan, Christopher James", "d:Description": "A site showcasing the landscape work of Christopher James Jordan", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/J", "url": "http://www.jordanphoto.com/"} +{"d:Title": "Joplin, Megan", "d:Description": "Documentary, street, editorial and fine art photography. Street photography includes Seattle, New York and Harlem.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/J", "url": "http://home.earthlink.net/~svengo/index.html"} +{"d:Title": "Jones, Scott", "d:Description": "Fine art photography including landscapes, abstracts, urban, and environmental themes. Find a biography, links, and a contact form.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/J", "url": "http://www.scottjonesphoto.com/"} +{"d:Title": "Kahn, Michael", "d:Description": "Fine art boat photography, and seascapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.michaelkahn.com/"} +{"d:Title": "Kittelberger, Jason", "d:Description": "Black and white fine art photography based out of Houston, Texas. View the latest images and learn the technique behind each photograph.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.jasonkittelberger.com/"} +{"d:Title": "Korte, David", "d:Description": "Photographs of the natural environment, urban situations, archaeological mysteries, figure studies. Silver-gelatin and sepia-toned images.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://home.earthlink.net/~dkortephoto/"} +{"d:Title": "Krueger, David", "d:Description": "Urban scenes from cities around the United States. Also, featuring glamour images and female nudes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.kruegerphoto.com/"} +{"d:Title": "Kozal, Paul", "d:Description": "Landscapes, seascapes, and architecture photography of the American West and Southwest. Includes site news and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.paulkozal.com/"} +{"d:Title": "Klima, Martin", "d:Description": "Street photographs, urban scenes, people and landscapes. Provides a biography and links.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.martin-klima.com/"} +{"d:Title": "Krakovich, Dmitriy", "d:Description": "Photos of New York women. Contact information available.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.dkmedia.com/photo/"} +{"d:Title": "Kosoff, Brian", "d:Description": "Landscape and still life images mainly in black and white,with listings of exhibitions.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.kosoff.com/"} +{"d:Title": "Keating, Francis", "d:Description": "Landscapes, architecture, people, and portraitures. Includes a biography of the artist, background on the photos, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://www.dejavuphotographic.com/"} +{"d:Title": "Kosc, Zbigniew", "d:Description": "Black and white panoramic photographs of Cairo and ruins of ancient Egypt .", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/K", "url": "http://members.chello.nl/zkosc/"} +{"d:Title": "Longbottom, David", "d:Description": "Landscape, portrait, and still life images.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/L", "url": "http://www.longbottom.karoo.net/"} +{"d:Title": "Lightner, Malcolm", "d:Description": "Editorial, documentary, architectural, and still life photos.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/L", "url": "http://www.malcolmlightner.com/"} +{"d:Title": "Leclercq, Joel", "d:Description": "Subjects include nature, factories, Africa, and people. Find news, links, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/L", "url": "http://users.skynet.be/darklights/"} +{"d:Title": "Lavat, Pericles", "d:Description": "Mexican photographer's site; architecture, and people.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/L", "url": "http://www.pericleslavat.com/"} +{"d:Title": "Lopez, Gianni", "d:Description": "NYC scenes, portraits, nudes and landscapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/L", "url": "http://gl334.home.pipeline.com/"} +{"d:Title": "Lewandowski, Eric", "d:Description": "Panoramic views of piers and harbors (\"The fringe between land and sea\") by Boston-based photographer.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/L", "url": "http://www.ericlewandowski.com/"} +{"d:Title": "McMaster, Peter", "d:Description": "A continuing exhibition of personal photographs. Includes portraiture, animal and landscape photography. Based in Glasgow, Scotland.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/M", "url": "http://www.petermcm.dircon.co.uk/photo/"} +{"d:Title": "Muzenchi, Laura", "d:Description": "Scenes from her home's interior, a working diner, and travel in Portugal.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/M", "url": "http://www.angelfire.com/sc2/photoweb/"} +{"d:Title": "Messer, Fiona", "d:Description": "Subjects include travel, landscapes, and crows. Includes an artist biography and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/M", "url": "http://www.7-crows.com/photo/index.shtml"} +{"d:Title": "Makepeace, Tony", "d:Description": "Portraits of people from Arizona and Nepal, landscapes from the southwestern US and Asian countries. Also, QTVR movies, and web design.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/M", "url": "http://www.tonymakepeace.net/"} +{"d:Title": "Martino, Fabio", "d:Description": "Mainly monochrome photographs of natural and man-made landscapes, objects, and environmental portraits.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/M", "url": "http://digilander.libero.it/fabiophoto/"} +{"d:Title": "McCarthy, Michael", "d:Description": "Travel and nude photography as well as abstract photography and printmaking. Find a biography, exhibition list, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/M", "url": "http://mare-verde.chez-alice.fr/"} +{"d:Title": "Neumann, Marlene", "d:Description": "South African photographer specialising in photography from Namibia, Eastern Cape, Wild Coast, Italy, Greece, and France.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/N", "url": "http://www.marleneneumann.com/"} +{"d:Title": "Neiman, Bob", "d:Description": "Nature and architecture photography. Includes a biography, show information, and articles.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/N", "url": "http://www.bobneiman.com/"} +{"d:Title": "Oakes, Tari", "d:Description": "Portfolio of existing light photography. Find contact information and links.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/O", "url": "http://tarioakes.tripod.com/"} +{"d:Title": "Primiano, Dwight", "d:Description": "Handcrafted portfolios presented in an autobiographical narrative sequence. Commercial fine art and architectural photography and documentation.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/P", "url": "http://www.dprimiano.com/"} +{"d:Title": "Plowden, David", "d:Description": "Photographing America's rural traditions, and people since the late fifties.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/P", "url": "http://www.people.virginia.edu/~bhs2u/david-p/david-p.html"} +{"d:Title": "Parkos, January", "d:Description": "Quilt photographs in monochrome.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/P", "url": "http://www.januaryparkos.com/"} +{"d:Title": "Procko, Stephen F.", "d:Description": "Landscapes, plants, flowers, and details from stone, and adobe buildings, primarily from the southern United States. Adobe Acrobat published portfolios available for download.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/P", "url": "http://www.steveprocko.com/"} +{"d:Title": "Politis, Paul", "d:Description": "Galleries of people, still lifes, architecture, figure studies, abandoned places, graffiti, Cuba and night photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/P", "url": "http://www.paulpolitis.com/"} +{"d:Title": "Pons, Bastien", "d:Description": "Collection of travel and abstract photographs, along with images of friends.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/P", "url": "http://www.bastienpons.com/"} +{"d:Title": "Rosenthal, Ken", "d:Description": "Abstract images of plants, and the zoo. Series of portraits taken at the Los Angeles National Cemetery between 1987 and 1992.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/R", "url": "http://www.kenrosenthal.com/"} +{"d:Title": "Rolandelli, Ernesto", "d:Description": "Selected cityscapes and landscapes in monochrome.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/R", "url": "http://www.bressi.com/ernesto.htm"} +{"d:Title": "Redler, Stuart", "d:Description": "Shows photographs that interpret the world in stark geometry and bold contrasting tones. Themes include landscapes, travel and architecture.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/R", "url": "http://www.stuartredler.com/"} +{"d:Title": "Ross, Dee", "d:Description": "A collection of alternate process and traditional fine art, handcolored and sun print photography. Includes documentary series, galleries and artist biography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/R", "url": "http://www.dee-ross.com/"} +{"d:Title": "Rheingold, David B.", "d:Description": "Black and white photography of street scenes and people highlighting life in New York City. Includes World Trade Center section.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/R", "url": "http://www.davidrheingold.com/"} +{"d:Title": "Sellberg, Ingrid", "d:Description": "Architecture, Travels, and Portraits. Also, some macro color images of plants and flowers. Pencil drawings by the artist included on last page.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.angelfire.com/hi3/photog13/splash.html"} +{"d:Title": "Stein, Michael", "d:Description": "Photographs of France and the western United States, female nudes, and close-ups of flowers.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.michaelstein.com/"} +{"d:Title": "Schneider, Matt", "d:Description": "Figure studies, landscapes, ghost towns and architecture.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.ms-photo.com/"} +{"d:Title": "Schau, Teri", "d:Description": "Collections titled \"Young Graces\", \"Skulls\", and \"Musicians\".", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://iul.com/business/teri/gallery.htm"} +{"d:Title": "Smith, Ken", "d:Description": "Female nudes photographed in the studio, and in natural settings. Also, still life images of organic forms, and landscapes, some hand-colored.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.kensmithart.com/"} +{"d:Title": "Simon", "d:Description": "Collection of junkyard photos in the Popgun Gallery.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.sendcoffee.com/dog-o-matic/gallery/junkyard.html"} +{"d:Title": "Schaub, Adrian", "d:Description": "Photos focusing on nature, architecture and quite places.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.adrianschaub.com/"} +{"d:Title": "Stone, Peter J", "d:Description": "A collection of portrait, landscape, building and general photography mainly in black and white. Also includes early printing techniques.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://pages.123-reg.co.uk/petejstone-76097/"} +{"d:Title": "Sato, Tatsuya", "d:Description": "Portfolio of images including people, animals and landscapes of various countries around the world. Includes a guestbook, slideshow, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.gallerytokyo.com/"} +{"d:Title": "Sudo, Hidesawa", "d:Description": "People, personalities, and landscapes from Japan and Korea.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/S", "url": "http://www.hidesawasudo.com/"} +{"d:Title": "Terraneo, Beniamino", "d:Description": "Images of landscapes and rural homes. Also find exhibitions, books, and biography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/T", "url": "http://www.beniaminoterraneo.com/"} +{"d:Title": "Traver, Michael", "d:Description": "Small collection of scenes from Florida beaches, and informal portraits of people.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/T", "url": "http://members.tripod.com/florida_photog/index.htm"} +{"d:Title": "Thomas, Julian", "d:Description": "Features photographs from his 'The Found Objects' project, as well as explorations of Barcelona with a toy camera.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/T", "url": "http://www.foundobjectsgallery.com/"} +{"d:Title": "Tremblay, Guy", "d:Description": "Images of landscapes, portraits, and still lifes. Find biography of the artist, statement, or contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/T", "url": "http://guytremblayphoto.com/"} +{"d:Title": "Tischler, Sam Devine", "d:Description": "Landscapes, portraits, and still lifes. Find news on exhibitions and workshops.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/T", "url": "http://www.samdevinetischler.com/"} +{"d:Title": "Toedter, Robert", "d:Description": "Portfolio of monochrome and colored photographs. Includes images of the Long Island Expressway. [Requires Flash]", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/T", "url": "http://www.toedter.org/"} +{"d:Title": "Van Dongen, Ron", "d:Description": "Still life images of flowers, and vegetables. Information on availability of his monographs.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/V", "url": "http://www.ronvandongen.com/"} +{"d:Title": "Vandepitte, Paul", "d:Description": "Landscapes, architecture, nudes, portraits, and children by this Dutch black and white photographer.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/V", "url": "http://users.skynet.be/am249958/"} +{"d:Title": "Werkmeister, Janosch", "d:Description": "Nocturnal landscapes and abandoned towns of the American West. Includes history of the work and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.photoleaf.com/"} +{"d:Title": "Willis, John", "d:Description": "Showcases images of aging people in nursing homes, babies, underwater scenes, the remains of a State Hospital for the Insane, and found still life photographs. Includes artist statement, biography, and a list of exhibitions.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.jwillis.net/"} +{"d:Title": "White, Wynn", "d:Description": "Examples of his work, as well as information on film development, printing, and toning.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.wynnwhitephoto.com/"} +{"d:Title": "Whitacre, Carole", "d:Description": "Black and white and color photography of portraits, still life, nature, landscapes and photo essays.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://carolewhitacre.com/"} +{"d:Title": "Wehde, Dieter W", "d:Description": "Website of the German Leica-Photographer shows portraits, street-photography, landscapes, people all over the world and Fine Art Photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.noteworthy.de/"} +{"d:Title": "Weingourt, Gilbert D.", "d:Description": "Specializing in celebrities, musicians, and images of the 1960's.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.exposedinblackandwhite.com/"} +{"d:Title": "Willinghton, William", "d:Description": "Social reportage and landscape photography. Include artist biography and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.williamwillinghton.com/"} +{"d:Title": "Dunton, Dennis", "d:Description": "Gallery of black and white images includes landscapes, architecture and abstracts. Biography and resume.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.dennisduntonphotography.com/"} +{"d:Title": "Wolfarth, Jean-Charles", "d:Description": "Landscapes, strange trees, cemeteries, and sculpture from France. Site is in English, and French.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/W", "url": "http://www.jcwolfarth.com/"} +{"d:Title": "Yamrus, Frank", "d:Description": "Motion, portrait, and flower images. Also contains biography and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/Y", "url": "http://www.frankyamrus.com/"} +{"d:Title": "Zalecki, Sebastian", "d:Description": "Fine art nudes and erotic images. Includes contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Black_and_White/Z", "url": "http://sebastian.z.tripod.com/flash.html"} +{"d:Title": "Chowdhury, Neil", "d:Description": "Industrial photographs, street scenes, grid pictures, and photo montage. Includes samples of video installations.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.neilchowdhury.com/"} +{"d:Title": "Casariego, Carlos", "d:Description": "Portfolio including architectural and industrial photographs, and abstract still life.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.carloscasariego.com/"} +{"d:Title": "Chilton, Joanne", "d:Description": "Close-ups of nature; photography with simplicity in mind.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.mindfulcreations.com/"} +{"d:Title": "Cohen, Morgan", "d:Description": "Shows the artist's recent color photographs, including press, resume, and upcoming exhibitions.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.morgancohen.net/"} +{"d:Title": "Cisek, Jules", "d:Description": "From belly dancers to battleships, vintage automobiles to washers and dryers. Popmonkey Photo.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://photo.popmonkey.com/"} +{"d:Title": "Clendinning, Kirk", "d:Description": "Soft, romantic landscapes, portraits, and nudes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.lensart.com/"} +{"d:Title": "Chaldakov, Nick", "d:Description": "Landscapes, portraits, and abstract black and white photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.chaldakov.com/"} +{"d:Title": "Creedon, David", "d:Description": "Antique autos, informal portraits, and scenic landscapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://homepage.eircom.net/~davidcreedon/"} +{"d:Title": "Coda, Richard M.", "d:Description": "Black and white and color photography, including landscapes, structures and portraits.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.rcodaphotography.com/"} +{"d:Title": "Chalmers, Theo", "d:Description": "A series of studies by a photo-artist from the UK. Offers an automated tour of vivid and quasi-abstract work.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.theofolio.com/"} +{"d:Title": "Campbell, Paula", "d:Description": "A collection of pinhole, medium format, and digital photography. Features portfolio, artist biography and information on exhibits.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://pcampbellphoto.com/"} +{"d:Title": "Cagol, Stefano", "d:Description": "Collection of contemporary photographs. Includes a biography, and information about his exhibitions. [English and Italian]", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.stefanocagol.com/"} +{"d:Title": "Carofano, Ray", "d:Description": "Abstract, landscapes and urban photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/C", "url": "http://www.carofano.com/"} +{"d:Title": "Dupont, Linda", "d:Description": "Hand-colored black and white photographs of scenic images. A cottage in the Cotswolds, an old truck in Arizona, a castle, and several images of flowers.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/D", "url": "http://www.fortywinters.com/html/menu.html"} +{"d:Title": "Dixson, Beth", "d:Description": "Portraits, flowers, and photographs from South America and India. Some galleries are open to registered visitors only.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/D", "url": "http://www.bethdixson.com/"} +{"d:Title": "Dill, Nicola", "d:Description": "Abstracts and landscape photographs.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/D", "url": "http://www.nicoladill.com/"} +{"d:Title": "Easton, Jason", "d:Description": "Collection of photographs made in British Columbia; fireworks, abstracts, flowers, landscapes and sunsets,and night photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/E", "url": "http://mypage.direct.ca/j/jason_easton/"} +{"d:Title": "Flanigan, Donald", "d:Description": "Portraits, landscapes and abstract multimedia imagery.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/F", "url": "http://www.flaniganart.com/"} +{"d:Title": "Fraser, Peter", "d:Description": "Images from former exhibitions and biographical information. Subjects are taken from everyday life.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/F", "url": "http://www.peterfraser.net/"} +{"d:Title": "Foster, Duncan", "d:Description": "The online gallery of the Cheltenham, England based photographer.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/F", "url": "http://www.wantok.co.uk/"} +{"d:Title": "Gianni Galassi", "d:Description": "Stark geometric black and white photographs. Biographical and exhibition materials are in both English and Italian.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://digilander.libero.it/gggalassi/"} +{"d:Title": "Gurevich, Rita", "d:Description": "Images of Europe and Africa, nature, and still life arrangements.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.photonocturnes.com/"} +{"d:Title": "Gumbmann, Friedrich", "d:Description": "Sunsets, seascapes, and urban details.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.photo-creativ.de/"} +{"d:Title": "Graciano, Lymari", "d:Description": "Uses photography to express what it is to be a survivor of rape and abuse.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://members.tripod.com/lymari/"} +{"d:Title": "Grubbs, Nate", "d:Description": "Freelance designer and art director based in Amsterdam. Includes art and photography portfolio.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.nategrubbs.com/"} +{"d:Title": "Gordin, Misha", "d:Description": "Official website reflecting artist's lifetime involvement in conceptual photography and the human figure.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.bsimple.com/"} +{"d:Title": "Gardner, Christopher", "d:Description": "Gardner explores an unpeopled world of what he terms \"cultural peripheries.\" The three themes presented here are foliage, freeway overpasses, and building lobbies.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.chris-gardner.com/"} +{"d:Title": "Grant, Jarvis", "d:Description": "A collection of cityscapes, urban landscapes taken at twilight, portraits and botanical images. Features portfolio, biography and information about exhibitions.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.jarvisgrant.com/"} +{"d:Title": "Gershman, Ron", "d:Description": "Color as well as black and white photos with subjects ranging from mannequins to dance to flowers. Some are highly manipulated.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.rongershman.net/"} +{"d:Title": "Graschopf, Birgit", "d:Description": "Cutting edge photography. Small prints. Some out of focus work. Some geometric themes. Everything sparse and quiet.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.birgitgraschopf.com/"} +{"d:Title": "Gofayzen, Alexander", "d:Description": "Black and white photographs portraying the human body. Also showcases landscapes, portraits, and manipulated images, some in color.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.gofayzen.com/"} +{"d:Title": "Giannini, Fabrizio", "d:Description": "Recent works, projects, news, exhibitions, biography, and press release of the swiss artist.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/G", "url": "http://www.plug-in.ch/esca/fabrizio_giannini/"} +{"d:Title": "Hill, Robin", "d:Description": "Color photographs of Miami, the Caribbean, and the Mediterranean.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/H", "url": "http://www.robinhill.net/"} +{"d:Title": "Hagerty, Peter", "d:Description": "Photographs and academic research work on photography by the founding exhibitions director of the Open Eye Gallery in Liverpool, UK.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/H", "url": "http://www.arklo.com/"} +{"d:Title": "Jacomini, Ronald", "d:Description": "Displays his color and black and white photographs, organized by period and by subject. Includes biography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/J", "url": "http://www.jacomini.com/"} +{"d:Title": "Knauth, Laura", "d:Description": "Nature, black and white, architecture, and landscape.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://www.lauraknauth.com/photos/photo-pg.html"} +{"d:Title": "Kapsalis, Glenda", "d:Description": "Photo essays, travel, abstract, nature, and portrait photographs. Black and white, and color.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://www.glendakapsalis.com/"} +{"d:Title": "Kammerl, Sabine", "d:Description": "The photographers' portfolio of locations, people, public spaces, and digitally enhanced images.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://www.sabine-kammerl.de/"} +{"d:Title": "Kennedy, Marie", "d:Description": "Displays her portraits with a rangefinder camera, and various types of experimental photographs, with some comments.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://marie-kennedy.com/"} +{"d:Title": "Kellem, Erin", "d:Description": "Rin Photography. Original landscape, scenic, nature, and architecture photographs.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://www.erinkellem.com/index.php"} +{"d:Title": "Kennedy, Doreen", "d:Description": "Portraits of musicians during live performances as well as moody landscapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://www.doreenkennedy.com/"} +{"d:Title": "Keller, Toby", "d:Description": "Showcase of long exposures taken in Santa Barbara, California.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://www.burnblue.com/"} +{"d:Title": "Karsten, Pep", "d:Description": "Collection of contemporary photographs that focus on composition, color and texture.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/K", "url": "http://www.pepkarsten.com/"} +{"d:Title": "Lindberg, Dan", "d:Description": "Portfolio of fine art, advertising, and still life images. Includes philosophy and approach.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/L", "url": "http://www.danlindberg.com/"} +{"d:Title": "Lecoq, Pierre-Alain", "d:Description": "Cityscapes, landscapes, people, still-life, and conceptual photographs. Site in English and French.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/L", "url": "http://palj.net/"} +{"d:Title": "Liepin, Aigar", "d:Description": "Urban impressions from the United States.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/L", "url": "http://www.xray.lv/usa3/"} +{"d:Title": "Landis, Jan", "d:Description": "Gallery includes people, nature, travel and wedding images. Awards and biography. Based in Portland, Oregon.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/L", "url": "http://www.janlandisphotography.com/"} +{"d:Title": "Luzia, Ana", "d:Description": "Fine art photographs of architecture by a Portuguese photographer. Includes images, profile, guestbook, and link to her personal site.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/L", "url": "http://www.pbase.com/m_architecture/"} +{"d:Title": "Monda", "d:Description": "Black and white candid portraits, wild landscapes, and doorways and windows. Color images of flowers, and locations in Paris, France, and Cairo, Egypt.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/M", "url": "http://www.monda.net/"} +{"d:Title": "Moore, Roger", "d:Description": "Prolific portfolio including locations, still life, nudes, landscapes, architectural and interior photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/M", "url": "http://www.rogermoorephotography.com/"} +{"d:Title": "Martin, Tura", "d:Description": "Strange conjunctions, images from dreams. Alternative photographic processes, pinhole, zone plate, Polaroid, and digital printing.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/M", "url": "http://timarti.tripod.com/itsaboutlight/"} +{"d:Title": "Mills, Rob", "d:Description": "A collection of experimental and dance photography. Features galleries, artist profile and an image archive.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/M", "url": "http://www.signsoflife.co.za/"} +{"d:Title": "Menaker, Igor", "d:Description": "Photoblog with photographs of landscapes, travel and abstracts.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/M", "url": "http://www.menaker.com/"} +{"d:Title": "Montandon, Lee Ann", "d:Description": "Three galleries of rural scenes by this San Marcos, Texas based photographer.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/M", "url": "http://www.angelfire.com/la2/montandon/frameset.html"} +{"d:Title": "Mitchell, David", "d:Description": "Photographs based on women, landscapes, and other examples of nature, in color and monochrome. Includes images and biographical note. Prints available.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/M", "url": "http://www.dmmitchell.com/"} +{"d:Title": "Nasberg, Michael", "d:Description": "Slightly bizarre images of people, animals, and street scenes, in color and black and white.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/N", "url": "http://home.swipnet.se/mi/"} +{"d:Title": "The Nothing", "d:Description": "Black and white, and color photographs of odd details, landscapes, and urban scenes. Includes abstract and minimalistic images.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/N", "url": "http://www.ofpain.com/"} +{"d:Title": "Naharas, Nitish", "d:Description": "Portfolio of portraits and landscapes from India, Carnival in San Francisco, as well as photo essays on various subjects, including the Maha Kumbh Mela 2001.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/N", "url": "http://www.naharas.com/"} +{"d:Title": "Nikpay, Jila", "d:Description": "Black and white photography of constructed sets exploring the psychological relationship of man to his contemporary world. Gallery, links and email contact.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/N", "url": "http://www.jilanikpay.com/"} +{"d:Title": "Nance, David B.", "d:Description": "Color and black and white photography of theater marquees, neon lights, night scenes, landscapes, places, people, and roadside memorials.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/N", "url": "http://webpages.charter.net/dnance/"} +{"d:Title": "Prince, Michael", "d:Description": "Presents realistic and surreal images of people, places, and things.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/P", "url": "http://www.michaelprince.com/"} +{"d:Title": "Pipitone, Rosemary", "d:Description": "Hand painted black and white photographs using oil glazes and traditional painting techniques.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/P", "url": "http://www.handpaintedphotography.com/"} +{"d:Title": "Pastore, Shari", "d:Description": "Black and white, color, and digital fine art images of landscapes and buildings.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/P", "url": "http://inherdarkroom.com/"} +{"d:Title": "Panic, Mike", "d:Description": "Features portraiture, female nudes, still life, street photography, images taken in music clubs, and experimental works.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/P", "url": "http://mikepanic.com/"} +{"d:Title": "Putorti, Michael", "d:Description": "Portfolio displays landscapes, still lifes, portraits, and fine art nudes. Includes modeling information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/P", "url": "http://www.frontiernet.net/~mputorti/"} +{"d:Title": "Rene, Patrick Paul", "d:Description": "Desert landscapes of the Colorado plateau.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/P", "url": "http://patrickpaulrene.com/"} +{"d:Title": "Poulson, Marv", "d:Description": "Nature color photographs and digital photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/P", "url": "http://www.imagedancer.com/"} +{"d:Title": "Roddie, Patrick", "d:Description": "Portraits of women, scenes from Burning Man, nature, architecture and landscapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/R", "url": "http://www.webbery.com/"} +{"d:Title": "Ray, Elena", "d:Description": "Immigrant, a one woman show of symbolist photos and poetry.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/R", "url": "http://www.elenaray.com/"} +{"d:Title": "Rosso, Mike", "d:Description": "Small collection of black and white photos from the western US, and color images of New York City in October 2001.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/R", "url": "http://www.fourcornersphoto.com/"} +{"d:Title": "Richards, Mark", "d:Description": "Portfolio of documentary and miscellaneous images by a photographer based in California. [Requires Flash]", "topic": "Top/Arts/Photography/Photographers/Fine_Art/R", "url": "http://www.markrichards.com/"} +{"d:Title": "Randall, Paul", "d:Description": "Small collection of colour and composition study type photographs.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/R", "url": "http://paul-randall.tripod.com/"} +{"d:Title": "Rivera, Juan Carlos", "d:Description": "Nudes, portraits, and landscapes in monochrome. Images and biography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/R", "url": "http://www.juancarlosrivera.com/fotografia/inicio2.htm"} +{"d:Title": "Rastogi, Lalit", "d:Description": "Fine art female nude photography by London based photographer.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/R", "url": "http://www.femalenude.co.uk/"} +{"d:Title": "Sasse, Joerg", "d:Description": "Summarizing the works of a German artist, including a catalog of his photographs, exhibitions, and writings.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://www.c42.de/"} +{"d:Title": "Sauter, Louis", "d:Description": "Female nudes, portraits, and some landscape photographs by a Dutch artist.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://people.zeelandnet.nl/low123/"} +{"d:Title": "Scokaert, Michel", "d:Description": "Abstract, odd colored details of nature, landscapes, and cityscapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://easyweb.easynet.co.uk/mchlsck/MScokaert5/index.htm"} +{"d:Title": "Suzuki, Arito", "d:Description": "Fine art, black and white and color photography of nature, and landscapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://www.arito.com/"} +{"d:Title": "Saelon's Studio", "d:Description": "Black and white nudes and landscapes as well as handcolored landscapes, figure and still-life.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://www.saelon.com/index.html"} +{"d:Title": "Skrivanek, Kyle", "d:Description": "Photographs presented under three headings: nature, people, and man-made.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://members.tripod.com/~skrivanek/index.html"} +{"d:Title": "Satterwhite, Dinah", "d:Description": "Infrared and black and white photos, some handcolored, as well as image transfers and emulsion transfers. Nature, still life and urban landscapes.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://www.dinahsatterwhite.com/"} +{"d:Title": "Sideris, Laki", "d:Description": "Monochrome and Holga photography by Australian photographer Laki Sideris.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://www.diagesis.com/"} +{"d:Title": "Strijewski, Gabriele", "d:Description": "Her series include Sicily, European railway stations, children, and the blind. Images and exhibition lists.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://www.gabrielestrijewski.de/"} +{"d:Title": "Schwab, Gary", "d:Description": "Glamour and some scenic photographs from the United States.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://garyschwab.tripod.com/"} +{"d:Title": "Sienko, Catherine", "d:Description": "Large format Photography. Moody, color scenes of nature. Limited edition prints.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/S", "url": "http://www.voodooink.net/"} +{"d:Title": "Thornton, Susanna", "d:Description": "Portfolio of portrait, fashion, and abstract color photographs.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/T", "url": "http://www.susannathornton.com/"} +{"d:Title": "Uner, Oxkan", "d:Description": "Wildlife, airplanes, and abstract photography.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/U", "url": "http://www.europho.to/"} +{"d:Title": "Videla, Doifel", "d:Description": "Chronological selection of portfolios since 1976. Portraits, landscapes, and scenes from New York City. Primarily in black and white, but also has color Polaroids.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/V", "url": "http://www.doifel.com/"} +{"d:Title": "Vizzini, Robert", "d:Description": "Born in Brooklyn, New York. Specializing in night photography, featuring urban landscapes, rural landscapes and seascapes. Architecture, bridges, the moon, and stars are also prevalent subjects for his nocturnal imagery.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/V", "url": "http://www.robertvizzini.com/"} +{"d:Title": "Vogel, Larry", "d:Description": "A mixture of traditional and experimental photography. Subjects include landscapes, \"odd\" items, as well as more abstract concepts such as colors or textures.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/V", "url": "http://lavogel.com/"} +{"d:Title": "Welker, Kathleen", "d:Description": "Hand colored urban, and wild landscapes from throughout the United States.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/W", "url": "http://www.kathleenwelker.com/"} +{"d:Title": "Yoshino, Kazuhiko", "d:Description": "Still life images of decaying flowers.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Y", "url": "http://www001.upp.so-net.ne.jp/yos/"} +{"d:Title": "Zane, Mark", "d:Description": "Wolf Den Photography. Galleries include nature and landscape, people aviation and automotive.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Z", "url": "http://www.markzane.com/"} +{"d:Title": "Zuber, Zbigniew", "d:Description": "Landscape, informal portraits, abstract forms, and some digital work.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Z", "url": "http://home.swipnet.se/~w-39871/Portfolio/index.htm"} +{"d:Title": "Zverina, Robert", "d:Description": "Photographic impressions from urban and everyday life.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Z", "url": "http://www.zverina.com/loco.htm"} +{"d:Title": "Zastrozny, Piotr", "d:Description": "Abstracts, nudes, portraits, and photos of Australian landmarks. Includes a photo weblog, biography, and contact information.", "topic": "Top/Arts/Photography/Photographers/Fine_Art/Z", "url": "http://www.piotrzastrozny.com/"} +{"d:Title": "Gheorghiescu, Ducu", "d:Description": "Nature and portrait images from Rumania.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.photoducu.ro/"} +{"d:Title": "Grove, David", "d:Description": "Nature, street, studio, and sports photos.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.angelfire.com/film/picturethat/"} +{"d:Title": "Gissinger, Hans", "d:Description": "Portfolio for download, showing fashion, car, and outdoor photographs.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.hansgissinger.com/"} +{"d:Title": "Gartner, David", "d:Description": "Informal shots of celebrities, as well as high-contrast silhouettes of the same. Also, digitally manipulated nature photos.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.angelfire.com/zine/photographs/"} +{"d:Title": "Gregory, David", "d:Description": "Color and black and white pictures of people, places, and things, by a British photographer.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.users.globalnet.co.uk/~davebg/index.htm"} +{"d:Title": "Giraud, Jacques", "d:Description": "Digital photography gallery and sales. Categories include birds, nature, panoramas and portfolio.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.giraud.com/"} +{"d:Title": "Gallino, Filippo", "d:Description": "Portfolio of art, architecture, industrial, panoramic photography and corporate image.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.filippogallino.it/"} +{"d:Title": "Green, Adam", "d:Description": "Images of People and family portraits.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.adgreen.co.uk/"} +{"d:Title": "Gotti, Paolo - Fotografo", "d:Description": "Italian photographer. Exhibition, biography and portfolio.", "topic": "Top/Arts/Photography/Photographers/G", "url": "http://www.paologotti.com/"} +{"d:Title": "Gryphon Park", "d:Description": "Personal travel and nature photographs from around Europe and the USA by Suzanne Sellers and Mike Chowla.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.gryphonpark.com/"} +{"d:Title": "Lighttrap", "d:Description": "Collection of photographs from independent artists.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.lighttrap.com/"} +{"d:Title": "Rash Reflections Photography", "d:Description": "Decadent photographic images taken in the New York and New Jersey areas.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://urban_decay13.tripod.com/"} +{"d:Title": "Recent Developments", "d:Description": "Architectural, landscape, still life, and street photographs by Cheryl Hrudka and Stanley Johnson. Includes color and black and white images.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.recentdevelopments.net/"} +{"d:Title": "Savedge", "d:Description": "Digital and pinhole photography by various artists.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.savedge.com/"} +{"d:Title": "A Photo a Day", "d:Description": "Journalistic views of people and places. Includes member galleries, photo essays, links, and information.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.aphotoaday.org/"} +{"d:Title": "Ghosts Down Gravel Roads", "d:Description": "Black and white photos of abandoned buildings and vehicles, shot primarily in Illinois. By Matt Adrian and Kim Bagwill.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.angelfire.com/art2/gravelroads/"} +{"d:Title": "Marston, Heidi and Steve Aishman", "d:Description": "Introduction to their artistic work, resumes, biographies, and past and present exhibitions.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.heidiandsteve.us/"} +{"d:Title": "Dream Lands", "d:Description": "Multiple artists' photos of Cuba, Greece, Mexico, Maldives, Egypt, Red Sea, and Caribbean beaches, some in the wallpaper format.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://web.tiscali.it/dream_lands/"} +{"d:Title": "Sims, Jim and Ann", "d:Description": "Photographic gallery featuring nature, travel and personal photographs. Site also includes poetry.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.jimnann.com/"} +{"d:Title": "Habluetzel, Joanna", "d:Description": "Features travel and documentary photography, as well as a small guest gallery.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.habluetzel.com/"} +{"d:Title": "Virtual Book of Dreams", "d:Description": "Nature, sports and nude photographs by Sergey V. Karelin, Anatoliy Kononov, Viktor Matorin and other authors.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.virtualbook.ru/"} +{"d:Title": "Bitstop", "d:Description": "Original photos from across Canada and Newfoundland.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.bitstop.ca/"} +{"d:Title": "AerialPro Group Exhibit", "d:Description": "A group exhibit presented by the members of AerialPro the professional aerial photography forum.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.aerialarts.com/AerialPro/Exhibit/exhibit.html"} +{"d:Title": "Art of Photography", "d:Description": "Nature, landscape and photographs of people by three photographers.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.artphoto.4mg.com/"} +{"d:Title": "Photolatente", "d:Description": "Project by Oscar Molina, a photographer based in Spain, involving a large number of people, and structured around closed and opaque envelopes which contain latent-state images taken by the participants. The envelopes are made available for sale, and the buyers are free to use them as they wish.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.oscarmolina.com/phlin/index.html"} +{"d:Title": "A Digital Romance", "d:Description": "Expressionistic color photographs of the female nude.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.angelfire.com/art2/carnalito/"} +{"d:Title": "Im Osten", "d:Description": "Photodocumentary on the changing of Europe with the formation on the European Union by nine photographers.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.imosten.com/"} +{"d:Title": "Urban Landscape", "d:Description": "Exhibitions and essays on photography which aim to explore the urban environment.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.urbanlandscape.org.uk/"} +{"d:Title": "Father Son Photography", "d:Description": "A collection of scenic, nature, and wildlife photography from the Indianapolis, Indiana area and some of America's greatest National Parks.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.fathersonphotography.com/"} +{"d:Title": "TeamZombie", "d:Description": "Features work by three photographers.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.angelfire.com/creep/teamzombie/copy_of_enter.html"} +{"d:Title": "PureLife Pictures", "d:Description": "Photography from northern Ontario including Espanola, Manitoulin Island, Sudbury and North Bay. Features galleries, information about the areas, artists biography and contact information.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.purelifepictures.com/"} +{"d:Title": "Rathbun, Dave and Ginger", "d:Description": "A collection of landscape, nature, macro and travel photography. Includes galleries, techniques, location notes and the option to subscribe to a mailing list.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.momentsoflight.com/"} +{"d:Title": "Ertzui", "d:Description": "Showcase of photographic and digital art by a group of German artists.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://ertzui.de/"} +{"d:Title": "Photo-art", "d:Description": "Color photographs by Anthony Asael and Michael Jacobs from all around the world. Includes landscapes, children, and abstracts.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://users.skynet.be/photo-art.be/"} +{"d:Title": "Stockman, Shawn and Brian Malone", "d:Description": "Images of the Upper Peninsula in Michigan, from the cliffs of Pictured Rocks to shores of the black rocks on Lake Superior.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.lakesuperiorphoto.com/"} +{"d:Title": "Zhootography", "d:Description": "Hong Kong based photographic group with primary focus on human photography.", "topic": "Top/Arts/Photography/Photographers/Group_Projects", "url": "http://www.zhoot.com/"} +{"d:Title": "Dave Hebb", "d:Description": "Examining the forces of nature and the systems and artifacts of post-industrial infrastructure and culture. Photography and video portfolio. Catskill region of New York State.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.davehebb.com/"} +{"d:Title": "Harden, Monroe", "d:Description": "Astronomy subjects, fireworks displays, military equipment and birds and other wildlife.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://monroe.20m.com/"} +{"d:Title": "Hoel, Arne", "d:Description": "Nature and scenic images from Norway and international travel, portraiture, and digital photographs. Includes articles, technical information, and book reviews.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.arnehoel.com/"} +{"d:Title": "Harper, Mike", "d:Description": "Landscape, flowers, macro, travel and places.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://homepages.paradise.net.nz/mharps/"} +{"d:Title": "Houk, Ed", "d:Description": "A collection of travel, railroad, and model train photos.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.angelfire.com/md2/emhouk/"} +{"d:Title": "Harel, Yoel", "d:Description": "Color and black and white abstract, nature, portrait, and journalism photography.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.artphoto.co.il/"} +{"d:Title": "Honakhande, Sanjeev", "d:Description": "Photographs of people, cityscapes, a beach, and nature.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://purpleorange.tripod.com/"} +{"d:Title": "Harris, Dylan", "d:Description": "Abstracts, reflections, photographs of things that don't exist. Portraits of Europe. Luxembourg photographer.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.dylanharris.org/"} +{"d:Title": "Hatt, Kevin", "d:Description": "Fashion, advertising, and portrait photography.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.kevinhatt.com/"} +{"d:Title": "Hu, Paul", "d:Description": "Award-winning photographer. Portrait, commercial and fashion galleries with information about the photographer.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.assignmentasia.com/"} +{"d:Title": "Haydock, Jon", "d:Description": "A collection of personal photographs of people, places and events from around the world.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.panopticon.me.uk/"} +{"d:Title": "Hunt, Brian - Pictures of the World", "d:Description": "Pictures of plants, animals, people, Poland, Ireland and miscellany.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.esw2000.de/"} +{"d:Title": "Hitz, Chris", "d:Description": "Swiss photographer's color photographs of people and still lifes, classified by subject.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.chrishitz.ch/"} +{"d:Title": "Holt, Carmen", "d:Description": "An online Photography Gallery featuring the work of Carmen Holt.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.carmenholt.com/"} +{"d:Title": "Howard, Nate", "d:Description": "A photojournalist working in the Midwestern United States. Black and white and color pictures from Iraq, Kuwait, Mexico, and the U.S.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.natehoward.com/"} +{"d:Title": "Helnwein, Cyril", "d:Description": "Provides samples of work in various categories, including people, rock and roll and landscapes. Includes a biography, contact details and a guestbook.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://cyrilhelnwein.com/"} +{"d:Title": "Hancock, Laryl", "d:Description": "Arizona photographer displays image galleries of animals, people, travel and artistic works.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.laryl.com/"} +{"d:Title": "Howald, Claudine", "d:Description": "Swiss photographer's portrait, urban, and landscape photography.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.fotografin.com/"} +{"d:Title": "Heming, James", "d:Description": "James Heming is a contemporary photographer based in Kent, UK and working in fashion, architecture, weddings, commercial, reportage and press photography.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://jamesheming.com/"} +{"d:Title": "Herbert, Amanda Herbert", "d:Description": "Presents a selection of her photographic images, mainly portraits taken in South-East Asia where she lives.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.amandaherbert.com/"} +{"d:Title": "Haynes, Clive", "d:Description": "Lecturer in photography shows examples of work, techniques, training and courses, local and personal history and links.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.crhfoto.co.uk/"} +{"d:Title": "Hakala, Jari", "d:Description": "Urban impressions, images of nature and textures by a Finnish artist.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.jarihakala.com/"} +{"d:Title": "HilleFoto", "d:Description": "Photographs from Andreas Hille, Norway.", "topic": "Top/Arts/Photography/Photographers/H", "url": "http://www.hillefoto.no/"} +{"d:Title": "Alfonsas Budvytis Photography", "d:Description": "This site has been developed in the memory of the great Lithuanian Photographer Alfonsas Budvytis. Here you will be able to have a look and enjoy some of his great masterpieces", "topic": "Top/Arts/Photography/Photographers/Historical", "url": "http://www.budvytis.lt/"} +{"d:Title": "Andreev, Nikolai (1882-1947)", "d:Description": "Russian master of pictorial photography. Scans from the original images.", "topic": "Top/Arts/Photography/Photographers/Historical", "url": "http://photophilia.net/shergal/andreev/"} +{"d:Title": "Deen Dayal, Lala (1844-1905)", "d:Description": "Images of 19th Century India. Information on his pioneering techniques, his correspondence and a sight of the ancient studio.", "topic": "Top/Arts/Photography/Photographers/Historical", "url": "http://www.deendayal.com/"} +{"d:Title": "Sechtl, Ignac and Josef (1840-1911, 1877-1953)", "d:Description": "Pioneers of Czech photography. Created company Secthl and Vosecek that focused on photojournalism, fine art photography and cinematography.", "topic": "Top/Arts/Photography/Photographers/Historical", "url": "http://sechtl-vosecek.ucw.cz/en/index.html"} +{"d:Title": "George Rockwood", "d:Description": "A brief history and a few sample photographs by a prominent 19th-century New York City photographer.", "topic": "Top/Arts/Photography/Photographers/Historical", "url": "http://www.georgerockwood.com/"} +{"d:Title": "Bentley, Wilson (1865-1931)", "d:Description": "Photographed snowflakes and snow crystals. Details about his life, techniques of photomicrography, and a virtual tour. CDs for sale.", "topic": "Top/Arts/Photography/Photographers/Historical/Bentley,_Wilson_A.", "url": "http://www.snowflakebentley.com/"} +{"d:Title": "Wikipedia: Wilson Bentley", "d:Description": "Encyclopedia entry offers a brief biography and external resources for the first known photographers of snowflakes.", "topic": "Top/Arts/Photography/Photographers/Historical/Bentley,_Wilson_A.", "url": "http://en.wikipedia.org/wiki/Wilson_Bentley"} +{"d:Title": "Israel Colon Photo", "d:Description": "Freelance photographer from NYC, specializing in fashion, and beauty.", "topic": "Top/Arts/Photography/Photographers/I", "url": "http://www.israelcolonphoto.com/"} +{"d:Title": "Interrante, Mark", "d:Description": "Work include night, panoramic, pinhole, and abstract photographs.", "topic": "Top/Arts/Photography/Photographers/I", "url": "http://www.interwalk.com/"} +{"d:Title": "Ariann", "d:Description": "Photographs of sunsets, landscapes, and birds accompanied by poetry.", "topic": "Top/Arts/Photography/Photographers/Image_and_Writings", "url": "http://www.angelfire.com/pa3/visionunblurred/index.html"} +{"d:Title": "Van Osnabrugge, Wim", "d:Description": "A combination of poetry, and black and white images of a model, titled 'The Last Day'.", "topic": "Top/Arts/Photography/Photographers/Image_and_Writings", "url": "http://thelastday.info/index.html"} +{"d:Title": "Unphotographable", "d:Description": "Photographer shares text accounts of pictures missed.", "topic": "Top/Arts/Photography/Photographers/Image_and_Writings", "url": "http://www.unphotographable.com/"} +{"d:Title": "Jones, Stacey", "d:Description": "Displays images of Germany, animals, buildings and Washington D.C. Includes biography.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://www.spifidellic.com/"} +{"d:Title": "Jacobs Photography", "d:Description": "Portfolio of business and lifestyle photographs.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://www.jacobs-stockphoto.com/"} +{"d:Title": "Jacobson, Kenny", "d:Description": "Football, hockey, tennis, pets, and landscape photography from New York City.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://kjphoto.tripod.com/"} +{"d:Title": "Jason", "d:Description": "Color pictures of birds, landscapes, skies, trains, and travel locations.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://jamworld.tripod.com/photo/index.htm"} +{"d:Title": "Jeffrey", "d:Description": "Portraits, nature, animals, and sunsets. Both color and black and white.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://mohadeeb.tripod.com/home.html"} +{"d:Title": "Jones, Cynthia E.", "d:Description": "Photographs of people, places, objects, and events related to her life.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://cynthiaejones.tripod.com/"} +{"d:Title": "Jackson, Ned", "d:Description": "Image galleries of places, people, and still lifes.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://www.nedjackson.com/"} +{"d:Title": "Jeunet, Florent", "d:Description": "This French photographer shows mainly black and white portraiture of young men, as well as landscape and still life photography.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://florentjeunet.com/"} +{"d:Title": "Jones, Steve", "d:Description": "Gallery of underwater images including ocean mammals plus coral reefs and their inhabitants.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://www.millionfish.com/"} +{"d:Title": "Jones, Jason", "d:Description": "Collection of nature, still life, people, and abstract photographs.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://www.jonesphotographics.com/"} +{"d:Title": "Jastremski, Michael", "d:Description": "Digital photography and an open network of photographers consisting of pictures of animals, nature, landscape, architecture, still life, and technology.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://openphoto.net/"} +{"d:Title": "Anne Joyce", "d:Description": "A selection of images including samples of her film stills and her portrait work.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://www.annejoyce.com/"} +{"d:Title": "Jones, Paul", "d:Description": "Photographer based in the north west of England, glamour portraits and landscapes.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://www.pauljones.org/"} +{"d:Title": "Johnson, Jessie", "d:Description": "Portfolio of work; with monthly collections.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://contrasts.net/"} +{"d:Title": "Jackson, Brian", "d:Description": "Photographs from his travels in Canada and Hawaii as well as of his garden and pets.", "topic": "Top/Arts/Photography/Photographers/J", "url": "http://bdjackson.tripod.com/"} +{"d:Title": "King, Emily", "d:Description": "Photos of life experiences and bands including Ghoti Hook, Pillar and Blood Bought.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.angelfire.com/art/photographyfreak/index.html"} +{"d:Title": "Kiesling, Douglas", "d:Description": "Lightning, and thunderstorm photography.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.lightningboy.com/"} +{"d:Title": "Kiel, Judy", "d:Description": "A gallery of images primarily of Italian landscapes. Also includes a link to a photo project on Utah.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.jkiel.com/"} +{"d:Title": "Kuran, Marcin", "d:Description": "Color and black and white fine art, portraiture, nature, and photojournalism photographs.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.marcinkuran.com/"} +{"d:Title": "Kirwan, Simon", "d:Description": "Travel and location photography from Europe and Asia. Also includes a portfolio of music related images.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.the-lightbox.com/"} +{"d:Title": "Keller, Annette and Temme, Marco", "d:Description": "Offers a wide variety of photographs, including landscapes, cityscapes, flowers, and wildlife.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.nature-photos.de/eng/frame/frame_main.html"} +{"d:Title": "Kaiser, Henryk Tomasz", "d:Description": "Photography of people, animals, and scenics. Also includes digital manipulations.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.htkaiserphoto.com/"} +{"d:Title": "Kukec-Mezek, Janez", "d:Description": "Photographs in color, monochrome, and infrared. Subjects include old street lamps, landscape, flowers, and fine-art nudes.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://jkmphoto.tripod.com/"} +{"d:Title": "Kleinfeld, Abe", "d:Description": "Photos from trips across the US, including New York, Hawaii and Yosemite National Park. Also shows flower close-ups, landscapes and sunsets.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.abekleinfeld.com/"} +{"d:Title": "Kupferoth, Tom", "d:Description": "Galleries of mostly urban landscape details.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://iq-od.com/"} +{"d:Title": "Kedem, Niva", "d:Description": "Features a number of photographic projects of people, places and celebrations.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.nivakedem.com/"} +{"d:Title": "Rasmussen, Frans", "d:Description": "Digital images of people, dolls and abstract compositions.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://photo.rassau.net/"} +{"d:Title": "Krohn, Patrick", "d:Description": "Nature, wildlife and travel photographs from around the world.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.krohn-photos.com/"} +{"d:Title": "Kessinger, Heather", "d:Description": "Portfolios, events and contact information for Heather Kessinger, San Francisco based Still and Motion film artist-creating documentary and fine art works in digital.", "topic": "Top/Arts/Photography/Photographers/K", "url": "http://www.photosf.com/"} +{"d:Title": "Limitz, Sid", "d:Description": "Photographs from the \"American Phenomenon\", including bodybuilding, wrestling, celebrities, and street scenes. Also, featuring the archives of this artist.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.limitz.com/"} +{"d:Title": "Lyndsey", "d:Description": "Black and white, color, and pinhole photography of animals, landscapes, and people.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.angelfire.com/rock/hereyouare/"} +{"d:Title": "Lankes, Matt", "d:Description": "Environmental portraiture and location images in color and monochrome.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.mattlankes.com/"} +{"d:Title": "Levasseur, Sherry", "d:Description": "Images from the United States and Europe, flowers, and still life.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://sherrylevasseur.tripod.com/"} +{"d:Title": "Lerner, Scot", "d:Description": "Color beauty, fashion, and portrait photographs.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.scotlerner.com/"} +{"d:Title": "Liu, Stan", "d:Description": "Men's, and women's beach volleyball action photographs.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.stanliuphotography.com/"} +{"d:Title": "Leung, Alan", "d:Description": "Photographic impressions from China, landscapes, and nature.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.alandscape.net/"} +{"d:Title": "Long, John", "d:Description": "Conventional and digital photography of urban scenes, architecture, people, and natural subjects.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://website.lineone.net/~johnlong/"} +{"d:Title": "Lindsay, Charles", "d:Description": "Presents different semi-documentary photography essays on fly fishing and the Shamanism of the Indonesian tribe Mentawai.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.charleslindsay.com/"} +{"d:Title": "Lisa", "d:Description": "Studio and outdoor portrait photography, as well as nature and landscape photographs.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.apple-eyes.com/"} +{"d:Title": "Louren\u00e7\u00e3o, Gustavo", "d:Description": "Brazilian photographer and visual artist. In English and Portuguese.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.fotofagia.com.br/"} +{"d:Title": "Longchamp, Andr\u00e9", "d:Description": "Features work by a Swiss photographer including people, still life and nature images.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.alongchamp.com/"} +{"d:Title": "Lowe, Shaun", "d:Description": "Online portfolio including infra-red, panoramic, landscape and a collection of photographic series. Features galleries, artist profile and guestbook.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.pbase.com/shaun"} +{"d:Title": "Lawrance, Dave", "d:Description": "Photography of Northern England landscapes and Tour de France cycle racing.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.davelawrance.co.uk/"} +{"d:Title": "LaCount, Jean-Paul", "d:Description": "Travel, nature, wildlife, urban, butterflies, action, and landscape photographs. Includes biography, articles, stock photo database and RSS feed.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.westlacountphotography.com/"} +{"d:Title": "Lev, Yuri", "d:Description": "Documentary photography of Ukraine and the Greek islands Santorini and Paros, as well as a collection of digitally maipulated images.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.yurilevstudio.com/"} +{"d:Title": "Lloyd, Robert", "d:Description": "Photographic galleries showing urban and natural subjects. Many semi-abstract photographs with an emphasis on colour and texture, some digitally manipulated.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.roblloyd.org.uk/"} +{"d:Title": "Lyell Photography", "d:Description": "Wedding, family and event photography. Stephanie Lyell. Cincinnati, Ohio.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://lyellphotography.com/"} +{"d:Title": "Lindstrom, Jonas", "d:Description": "Black and white portrait, music, and landscape photography.", "topic": "Top/Arts/Photography/Photographers/L", "url": "http://www.jonaslindstromstudio.se/"} +{"d:Title": "Molander, Jen L.", "d:Description": "Color and black and white. Fine Art, nudes, portraits, nature and weddings photography", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.jenmolander.com/"} +{"d:Title": "M\u00f6rk, Fredrik", "d:Description": "Wildlife, urban and nature landscape, still life, and location photography.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.alcedo.com/"} +{"d:Title": "Moyen, Bruno", "d:Description": "French professional photographer in New York City displaying studio and city photography, including many pinhole photos.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.brunomoyen.com/"} +{"d:Title": "McCormack, Jon and Caren", "d:Description": "Fine landscape, wildlife, and travel images from around the world. Images of African mammals are a specialty.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.mccormackmedia.com/"} +{"d:Title": "Matheson, Allen", "d:Description": "Photographs of sports, aircraft, hot air balloons, wildlife, landscapes, and cityscapes. Includes images from Hawaii, Colorado, and Texas.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.photohome.com/"} +{"d:Title": "Manekshaw, Bob", "d:Description": "Photographs, techniques and advice.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.photostuff.co.uk/"} +{"d:Title": "Mak, Kar Yan", "d:Description": "Images of Hong Kong, closeups, nature, and landscapes. Viewer critiques encouraged, and will be posted on the site.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.kyphoto.com/"} +{"d:Title": "Meek, A. J.", "d:Description": "Featuring galleries of landscape, industrial, editorial, and personal photographs.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.ajmeek.com/"} +{"d:Title": "Matern, J\u00fcrgen", "d:Description": "Black and white photos of cityscapes, people, animals, and objects.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://home.arcor.de/j.matern/"} +{"d:Title": "Mulcahey, Gary", "d:Description": "Portraits, music, pro wrestlers, and product images shown.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.mulcahey.com/"} +{"d:Title": "Mitra, Soumya", "d:Description": "Flower, animal, landscape, macro and portrait photography. Also gives technique tips and gear information.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://gfoto.tripod.com/"} +{"d:Title": "Cheikin, Michelle", "d:Description": "Photographic portfolio of still lives and portraits in interior settings.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.michellecheikin.com/"} +{"d:Title": "Maric, Sacha", "d:Description": "London based photojournalist and fine art photographer. Shows photographs of locations and people, mainly in urban settings.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.sachamaric.com/"} +{"d:Title": "McAmacho, Priscilla", "d:Description": "Portfolio of a photographer specializing in wedding photos and portraits. Also shows a few pet and flower photographs.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://members.tripod.com/priscillaphotography/"} +{"d:Title": "Mangin, Joe", "d:Description": "Photography from the east coast of USA, as well as San Francisco. People, streets, and urban and natural scenery.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.jemphotos.com/"} +{"d:Title": "McBride, Rebecca", "d:Description": "Specializes in portraits, landscapes, children, form, locations and food. Includes short biography, and exhibition schedule. Located in San Francisco.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.rebeccamcbride.com/"} +{"d:Title": "Menzel, Stephan", "d:Description": "Features nature, objects, candle, and European urban images.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.stephan-menzel.de/photos/"} +{"d:Title": "Morotti, Philippe", "d:Description": "Hollywood based fine art photographer exhibiting his black and white works.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.stenudd.org/morotti/"} +{"d:Title": "Morrell, Calum", "d:Description": "Images of aviation, flight festivals, landscapes, and nature, all from the UK.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.calummorrell.com/"} +{"d:Title": "McFadden, William", "d:Description": "Includes portraiture, photographic essays, railroad and experimental galleries. Includes equipment specifications and manuals.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://mcfaddenphoto.com/"} +{"d:Title": "Mc Cabe, Peter", "d:Description": "Features a gallery of photo essays, color, monochrome, street, landscapes, wildlife and digital images. Includes links to related pages.", "topic": "Top/Arts/Photography/Photographers/M", "url": "http://www.photoimagery.net/"} +{"d:Title": "Haas, Ernst (1921-1986)", "d:Description": "Official website for the color pioneer and onetime Magnum member, containing his writings, biography, print sales, and images.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.ernst-haas.com/"} +{"d:Title": "Schulthess, Emil (1913-1996)", "d:Description": "Photographs and a brief biography of the Swiss artist. Information on the archives.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.emil-schulthess.ch/"} +{"d:Title": "Webb, Todd (1905-2000)", "d:Description": "Images of New York, Paris, and Georgia O'Keeffe. Includes biography, portfolio, and links to authorized dealers.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.toddwebbphotographs.com/"} +{"d:Title": "Gibson, Ralph", "d:Description": "Site presents his work entitled \"Light Years\".", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.ralphgibson.com/"} +{"d:Title": "Coburn, Alvin Langdon", "d:Description": "George Eastman House presents more than 130 of Coburn's images.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.geh.org/fm/coburn/alcoburn/coburn_sum00001.html"} +{"d:Title": "Van Vechten, Carl (1880-1964)", "d:Description": "The collection at the Library of Congress.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://memory.loc.gov/ammem/vvhtml/vvhome.html"} +{"d:Title": "Porter, Eliot: Collection Guide", "d:Description": "Collection which the photography pioneer bequeathed to the Amon Carter Museum, including photographs from throughout his career, manuscripts, and biography.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.cartermuseum.org/collections/porter/"} +{"d:Title": "Morath, Inge", "d:Description": "The Estate of Inge Morath. Current and upcoming exhibitions, complete bibliography of books, biography, links.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.ingemorath.org/"} +{"d:Title": "Uelsmann, Jerry", "d:Description": "Images, a biography, essays, a gift shop, and letters from fans.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.uelsmann.com/"} +{"d:Title": "Shahn, Ben", "d:Description": "A biography, images and links to Amazon.com at Cosmopolis.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.cosmopolis.ch/english/cosmo8/benshahn.htm"} +{"d:Title": "Skrebneski, Victor", "d:Description": "A 50-year retrospective of Skrebneski's fashion photography and celebrity portraits by Kodak and PDN.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.pdngallery.com/legends/legends12/"} +{"d:Title": "Brad Washburn Gallery", "d:Description": "Bradford Washburn photos of Mount Washington and the White Mountains region of New Hampshire.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.washburngallery.org/"} +{"d:Title": "Masters of Photography", "d:Description": "Articles, photographs, and resources about photographic masters.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://masters-of-photography.com/"} +{"d:Title": "Disfarmer, Michael (1884-1959)", "d:Description": "Gallery collection available for viewing with prints for purchase of rural Arkansas families from the 1940's.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.disfarmer.com/"} +{"d:Title": "Liebling, Jerome: Get The Picture", "d:Description": "Article on Liebling with extensive illustrations, quotes, and technical information. Presented by the Minneapolis Institute of Arts.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://www.artsmia.org/get-the-picture/liebling/index.html"} +{"d:Title": "Edgerton, Harold", "d:Description": "Access to Harold Edgerton's papers and a short biography, at the MIT Library.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://libraries.mit.edu/archives/research/collections/collections-mc/mc25.html"} +{"d:Title": "Gedney, William", "d:Description": "Documentary photographs (1950s to early 80s) of the United States, India and Europe, and writings archived at Duke University.", "topic": "Top/Arts/Photography/Photographers/Masters", "url": "http://library.duke.edu/digitalcollections/gedney/"} +{"d:Title": "Abbott, Berenice", "d:Description": "An overview of her work shown through 10 photographs at Get The Picture.", "topic": "Top/Arts/Photography/Photographers/Masters/Abbott,_Berenice", "url": "http://www.artsmia.org/get-the-picture/abbott/index.html"} +{"d:Title": "\"North and Sourh: Berenice Abbott's U.S. Route I\"", "d:Description": "Short article with photographs from the Reseouce Library Magazine of the Portland Museum of Art, Maine.", "topic": "Top/Arts/Photography/Photographers/Masters/Abbott,_Berenice", "url": "http://www.tfaoi.com/aa/2aa/2aa8.htm"} +{"d:Title": "Abbott, Berenice", "d:Description": "Biography of the photographer and discussion of her project Changing New York.", "topic": "Top/Arts/Photography/Photographers/Masters/Abbott,_Berenice", "url": "http://www.cosmopolis.ch/english/cosmo30/berenice_abbott.htm"} +{"d:Title": "Abbott, Berenice", "d:Description": "Her profile in the National Museum of Women in the Arts.", "topic": "Top/Arts/Photography/Photographers/Masters/Abbott,_Berenice", "url": "http://www.nmwa.org/explore/artist-profiles/berenice-abbott"} +{"d:Title": "Adams, Ansel", "d:Description": "Biographical notes and links to other fan sites and Amazon.com for books.", "topic": "Top/Arts/Photography/Photographers/Masters/Adams,_Ansel", "url": "http://www.zpub.com/sf/history/adams.html"} +{"d:Title": "Suffering Under a Great Injustice", "d:Description": "The Library of Congress presents Ansel Adams's photographs of Japanese American internment at Manzanar.", "topic": "Top/Arts/Photography/Photographers/Masters/Adams,_Ansel", "url": "http://memory.loc.gov/ammem/aamhtml/"} +{"d:Title": "Ansel Adams on PBS", "d:Description": "This interactive site explore Ansel Adams' extraordinary photography and life. Based on the documentary film from PBS.", "topic": "Top/Arts/Photography/Photographers/Masters/Adams,_Ansel", "url": "http://www.pbs.org/wgbh/amex/ansel/"} +{"d:Title": "Adams, Ansel", "d:Description": "A showcase of his black and white landscape photography.", "topic": "Top/Arts/Photography/Photographers/Masters/Adams,_Ansel", "url": "http://www.anseladams.com/"} +{"d:Title": "Adams, Ansel", "d:Description": "Library of Congress Citations.", "topic": "Top/Arts/Photography/Photographers/Masters/Adams,_Ansel", "url": "http://russellmcneil.blogspot.com/2007/07/it-is-horrifying-that-we-have-to-fight.html"} +{"d:Title": "The Photography of Diane Arbus", "d:Description": "Online portfolio contains four dozen images. Courtesy of Photography-Now. Requires JavaScript and Flash.", "topic": "Top/Arts/Photography/Photographers/Masters/Arbus,_Diane", "url": "http://diane-arbus-photography.com/"} +{"d:Title": "Diane Arbus", "d:Description": "Biographical essay on the photographer by Daniel Oppenheimer.", "topic": "Top/Arts/Photography/Photographers/Masters/Arbus,_Diane", "url": "http://www.jewishvirtuallibrary.org/jsource/biography/arbus.html"} +{"d:Title": "A Fresh Look at Diane Arbus", "d:Description": "The retrospective exhibition \"Diane Arbus Revelations\" could inspire a more nuanced evaluation of the controversial photographer's work. [Smithsonian]", "topic": "Top/Arts/Photography/Photographers/Masters/Arbus,_Diane", "url": "http://www.smithsonianmag.com/arts-culture/arbus.html"} +{"d:Title": "Times Topics: Diane Arbus", "d:Description": "Articles and multimedia related to the photographer, from the New York Times.", "topic": "Top/Arts/Photography/Photographers/Masters/Arbus,_Diane", "url": "http://www.nytimes.com/topic/person/diane-arbus"} +{"d:Title": "Eve Arnold (1913-)", "d:Description": "National Portrait Gallery, list of portraits for Eve Arnold.", "topic": "Top/Arts/Photography/Photographers/Masters/Arnold,_Eve", "url": "http://www.npg.org.uk/live/search/person.asp?LinkID=mp08075&role=art"} +{"d:Title": "Atget, Eugene", "d:Description": "George Eastman House presents 148 of Atget's images.", "topic": "Top/Arts/Photography/Photographers/Masters/Atget,_Eugene", "url": "http://www.geh.org/fm/atget/htmlsrc/index.html"} +{"d:Title": "Avedon, Richard", "d:Description": "Article about Avedon and PBS video about a famous photograph of his.", "topic": "Top/Arts/Photography/Photographers/Masters/Avedon,_Richard", "url": "http://www.pbs.org/wnet/americanmasters/database/avedon_r.html"} +{"d:Title": "Avedon, Richard", "d:Description": "Official site. Contains chronology, news, publication and exhibition lists, awards, and articles.", "priority": "1", "topic": "Top/Arts/Photography/Photographers/Masters/Avedon,_Richard", "url": "http://www.richardavedon.com/"} +{"d:Title": "Bailey, David", "d:Description": "Official site, with portraits, location, lifestyle, and studio photos, a brief bio, and contact information.", "priority": "1", "topic": "Top/Arts/Photography/Photographers/Masters/Bailey,_David", "url": "http://www.davidbaileyphotography.com/"} +{"d:Title": "The Zeugma: Interview with David Bailey", "d:Description": "Interview with Karl-Peter Gottschalk 1994.", "topic": "Top/Arts/Photography/Photographers/Masters/Bailey,_David", "url": "http://easyweb.easynet.co.uk/~karlpeter/zeugma/inters/bailey.htm"} +{"d:Title": "Baltz, Lewis Baltz", "d:Description": "Photographs from the permanent collection of the George Eastman House.", "topic": "Top/Arts/Photography/Photographers/Masters/Baltz,_Lewis", "url": "http://www.geh.org/ar/strip87/htmlsrc2/baltz_sld00001.html"} +{"d:Title": "Baltz, Lewis: Interview", "d:Description": "\"Subjects and objects of the new technological culture\" at MediaMente.", "topic": "Top/Arts/Photography/Photographers/Masters/Baltz,_Lewis", "url": "http://www.mediamente.rai.it/mediamentetv/learning/ed_multimediale/english/bibliote/intervis/b/baltz.htm"} +{"d:Title": "Victoria and Albert Museum (V&A): Exploring Photography", "d:Description": "Profile of the artist includes a Flash gallery of photographs from the Museum's permanent collections.", "topic": "Top/Arts/Photography/Photographers/Masters/Beaton,_Cecil", "url": "http://www.vam.ac.uk/vastatic/microsites/photography/photographerframe.php?photographerid=ph009"} +{"d:Title": "Internet Movie Database (IMDb)", "d:Description": "Filmography of the twice winning Academy Award designer listed.", "topic": "Top/Arts/Photography/Photographers/Masters/Beaton,_Cecil", "url": "http://www.imdb.com/name/nm0064100/"} +{"d:Title": "E.J. Bellocq", "d:Description": "Biography, and information on his portraits of prostitutes in the Storyville section of New Orleans.", "topic": "Top/Arts/Photography/Photographers/Masters/Bellocq,_E._J.", "url": "http://www.knowla.org/entry.php?rec=477"} +{"d:Title": "The Last Days of Ernest J. Bellocq", "d:Description": "Article detailing the life and final days of this photographer, with links. By Rex Rose.", "topic": "Top/Arts/Photography/Photographers/Masters/Bellocq,_E._J.", "url": "http://www.corpse.org/archives/issue_10/gallery/bellocq/index.htm"} +{"d:Title": "Bellocq's Women", "d:Description": "A description of what makes E.J. Bellocq's photographs distinctive, with links to several images.", "topic": "Top/Arts/Photography/Photographers/Masters/Bellocq,_E._J.", "url": "http://www.storyvilledistrictnola.com/bellocq_women.html"} +{"d:Title": "Bischof, Werner", "d:Description": "Site of Werner Bischof, Photographer", "topic": "Top/Arts/Photography/Photographers/Masters/Bischof,_Werner", "url": "http://wernerbischof.com/"} +{"d:Title": "Bischof, Werner: Exploring a photographer's life", "d:Description": "NPR article on the Minneapolis Institute of Arts exhibition of Bischof's work, which uses technology that may change the way museums present art to the public.", "topic": "Top/Arts/Photography/Photographers/Masters/Bischof,_Werner", "url": "http://news.minnesota.publicradio.org/features/2003/10/03_combsm_bischoff/"} +{"d:Title": "Brady, Mathew", "d:Description": "A collection of information on his portraits and techniques at the National Portrait Gallery.", "topic": "Top/Arts/Photography/Photographers/Masters/Brady,_Mathew", "url": "http://www.npg.si.edu/exh/brady/art/artdoc.htm"} +{"d:Title": "Brady, Mathew", "d:Description": "Virtual tour of Brady's work.", "topic": "Top/Arts/Photography/Photographers/Masters/Brady,_Mathew", "url": "http://www.npg.si.edu/exh/brady/gallery/gallery.html"} +{"d:Title": "Brady, Mathew", "d:Description": "A biography, with a portrait of the photographer.", "topic": "Top/Arts/Photography/Photographers/Masters/Brady,_Mathew", "url": "http://memory.loc.gov/ammem/cwphtml/cwbrady.html"} +{"d:Title": "Brandt, Bill", "d:Description": "Exhibition news, prints for sale, and bookshop, from the Bill Brandt Archive.", "topic": "Top/Arts/Photography/Photographers/Masters/Brandt,_Bill", "url": "http://www.billbrandt.com/"} +{"d:Title": "Conversations with Picasso", "d:Description": "An excerpt from Brassai's interview.", "topic": "Top/Arts/Photography/Photographers/Masters/Brassa\u00ef", "url": "http://www.press.uchicago.edu/Misc/Chicago/071480.html"} +{"d:Title": "Burckhardt, Rudy and Edwin Denby in conversation with Joe Giordano", "d:Description": "The Cinema of Looking, the interview was hosted by WBAI, Pacifica, NYC, ca.1976.", "topic": "Top/Arts/Photography/Photographers/Masters/Burckhardt,_Rudy", "url": "http://jacketmagazine.com/21/denb-giord.html"} +{"d:Title": "Burckhardt, Rudy and Friends", "d:Description": "Rudy Burckhardt and Friends: New York Artists of the 1950s and '60s, an exhibition presented by the Grey Art Gallery at New York University, 2000.", "topic": "Top/Arts/Photography/Photographers/Masters/Burckhardt,_Rudy", "url": "http://www.nyu.edu/greyart/exhibits/rudy/"} +{"d:Title": "Cameron, Julia Margaret", "d:Description": "The Julia Margaret Cameron Trust (Dimbola Lodge) contains information on the photographer and a museum.", "topic": "Top/Arts/Photography/Photographers/Masters/Cameron,_Julia_Margaret", "url": "http://www.dimbola.co.uk/"} +{"d:Title": "Cameron, Julia Margaret", "d:Description": "Biography by Jody Zellen at ArtScene Southern California.", "topic": "Top/Arts/Photography/Photographers/Masters/Cameron,_Julia_Margaret", "url": "http://artscenecal.com/ArticlesFile/Archive/Articles1996/Articles0496/Cameron.html"} +{"d:Title": "Cameron, Julia Margaret", "d:Description": "Notes on the life of Cameron, in the context of pages on Pre-Raphaelites and other Victorian art. From Bob Speel.", "topic": "Top/Arts/Photography/Photographers/Masters/Cameron,_Julia_Margaret", "url": "http://myweb.tiscali.co.uk/speel/otherart/cameron.htm"} +{"d:Title": "Claxton, William", "d:Description": "A brief biography and 13 images at the After Image Gallery.", "topic": "Top/Arts/Photography/Photographers/Masters/Claxton,_William", "url": "http://www.afterimagegallery.com/claxton.htm"} +{"d:Title": "Anton Corbijn", "d:Description": "Official site containing his agenda, books and works which include photographs, film and applied arts.", "topic": "Top/Arts/Photography/Photographers/Masters/Corbijn,_Anton", "url": "http://www.corbijn.co.uk/"} +{"d:Title": "Cunningham, Imogen", "d:Description": "Image of a Magnolia flower. E-mail address of the Imogen Trust available.", "topic": "Top/Arts/Photography/Photographers/Masters/Cunningham,_Imogen", "url": "http://www.imogencunningham.com/"} +{"d:Title": "Curtis, Edward S. (1868-1952)", "d:Description": "31 images at the After Image Gallery of his extraordinary work with North American Indians.", "topic": "Top/Arts/Photography/Photographers/Masters/Curtis,_Edward", "url": "http://www.afterimagegallery.com/curtisnew.htm"} +{"d:Title": "Curtis, Edward S. (1868-1952)", "d:Description": "A detailed biography and vintage photogravures and photographs from the North American Indian Project with prices at FluryCo.com.", "topic": "Top/Arts/Photography/Photographers/Masters/Curtis,_Edward", "url": "http://www.fluryco.com/curtis/"} +{"d:Title": "\"The Miwok\"", "d:Description": "Chapter on the Yosemite Miwok Indians from Curtis' book The North American Indians.", "topic": "Top/Arts/Photography/Photographers/Masters/Curtis,_Edward", "url": "http://www.yosemite.ca.us/history/curtis/"} +{"d:Title": "Dater, Judy", "d:Description": "Biography titled \"Getting Under the Surface.\"", "topic": "Top/Arts/Photography/Photographers/Masters/Dater,_Judy", "url": "http://www.paloaltoonline.com/weekly/morgue/cover/1996_Apr_12.2NDART12.html"} +{"d:Title": "Dater, Judy", "d:Description": "Short biography and highlights of her career at Duckspool.com.", "topic": "Top/Arts/Photography/Photographers/Masters/Dater,_Judy", "url": "http://www.duckspool.com/duckspool/tutors/judy_dater/judy_dater.htm"} +{"d:Title": "Dater, Judy", "d:Description": "Book by Judy Dater titled \"Imogen Cunningham : A Portrait\" at Amazon.com.", "topic": "Top/Arts/Photography/Photographers/Masters/Dater,_Judy", "url": "http://www.amazon.com/gp/product/0316417890/102-2093559-0039337?n=283155"} +{"d:Title": "Dodgson, Charles Lutwidge", "d:Description": "Biography, focusing on Dodgson's achievements as a mathematician.", "topic": "Top/Arts/Photography/Photographers/Masters/Dodgson,_Charles_Lutwidge", "url": "http://www-gap.dcs.st-and.ac.uk/~history/Mathematicians/Dodgson.html"} +{"d:Title": "Carroll, Lewis (Charles Dodgson)", "d:Description": "Rare books by Dodgson in the collections of the Harry Ransom Center, University of Texas.", "topic": "Top/Arts/Photography/Photographers/Masters/Dodgson,_Charles_Lutwidge", "url": "http://www.hrc.utexas.edu/collections/books/holdings/carroll/"} +{"d:Title": "Dodgson, Charles - photographer", "d:Description": "A selection of photographs, including the Liddell sisters.", "topic": "Top/Arts/Photography/Photographers/Masters/Dodgson,_Charles_Lutwidge", "url": "http://www.people.virginia.edu/~ds8s/carroll/dodgson.html"} +{"d:Title": "Eggleston Artistic Trust", "d:Description": "Official site of photographer William Eggleston and the Eggleston Artistic Trust.", "topic": "Top/Arts/Photography/Photographers/Masters/Eggleston,_William", "url": "http://www.egglestontrust.com/"} +{"d:Title": "Evans, Walker", "d:Description": "In depth commentary on Evans' influence in documentary photography. Picture of Walker using an 8x10 camera from a rooftop.", "topic": "Top/Arts/Photography/Photographers/Masters/Evans,_Walker", "url": "http://xroads.virginia.edu/~UG97/fsa/welcome.html"} +{"d:Title": "Evans, Walker", "d:Description": "A biography, 4 images and links to Amazon.com at Cosmopolis.", "topic": "Top/Arts/Photography/Photographers/Masters/Evans,_Walker", "url": "http://www.cosmopolis.ch/english/cosmo8/walkerevans.htm"} +{"d:Title": "Andreas Feininger Series", "d:Description": "Images from the George Eastman House Still Photograph Archive.", "topic": "Top/Arts/Photography/Photographers/Masters/Feininger,_Andreas", "url": "http://www.geh.org/fm/feininger/htmlsrc/"} +{"d:Title": "Andreas Feininger in the Hofstra Museum's Permanent Collection", "d:Description": "An image of a photograph by Andreas Feininger from the Hofstra Museum's permanent collection accompanied by biographical information.", "topic": "Top/Arts/Photography/Photographers/Masters/Feininger,_Andreas", "url": "http://www.hofstra.edu/COM/Museum/museum_collection_88_8_5.cfm"} +{"d:Title": "\"Americans\": The Book That Changed Photography", "d:Description": "A Swiss immigrant took to the road in the late 1950s, and published photographs of people in the United States as a book. 8 minutes, RealAudio. Edited transcript available. [All Things Considered]", "topic": "Top/Arts/Photography/Photographers/Masters/Frank,_Robert", "url": "http://www.npr.org/templates/story/story.php?storyId=100688154"} +{"d:Title": "Robert Frank's Unsentimental Journey", "d:Description": "Photographer Robert Frank made a famous book, reviled at the time, then moved on to filmmaking. [Vanity Fair]", "topic": "Top/Arts/Photography/Photographers/Masters/Frank,_Robert", "url": "http://www.vanityfair.com/culture/features/2008/04/frank200804"} +{"d:Title": "Looking In: Robert Frank's The Americans", "d:Description": "Past exhibition organized by the National Gallery of Art. Includes an illustrated feature story on the making of the book, and audio files of lectures on its significance.", "topic": "Top/Arts/Photography/Photographers/Masters/Frank,_Robert", "url": "http://www.nga.gov/exhibitions/frankinfo.shtm"} +{"d:Title": "Robert Frank\u2019s Curious Perspective", "d:Description": "Brief article on \"The Americans.\" [Smithsonian]", "topic": "Top/Arts/Photography/Photographers/Masters/Frank,_Robert", "url": "http://www.smithsonianmag.com/people-places/indelible-frank-200811.html"} +{"d:Title": "Times Topics: Robert Frank", "d:Description": "Profile of the influential photographer, along with photos, audio files, and related articles from the New York Times.", "topic": "Top/Arts/Photography/Photographers/Masters/Frank,_Robert", "url": "http://www.nytimes.com/topic/person/robert-frank"} +{"d:Title": "Hine, Lewis", "d:Description": "The National Child Labor Committee Collection (Library of Congress Special Collections).", "topic": "Top/Arts/Photography/Photographers/Masters/Hine,_Lewis", "url": "http://www.loc.gov/rr/print/coll/207-b.html"} +{"d:Title": "Horvat, Frank", "d:Description": "A retrospective of fifty years of documentary and fashion photography by Horvat. In French and English.", "topic": "Top/Arts/Photography/Photographers/Masters/Horvat,_Frank", "url": "http://www.horvatland.com/"} +{"d:Title": "Horvat, Frank", "d:Description": "His comments on the making of Bestiarium with images from the collection.", "topic": "Top/Arts/Photography/Photographers/Masters/Horvat,_Frank", "url": "http://zonezero.com/exposiciones/fotografos/horvat/default.html"} +{"d:Title": "Jackson, William Henry", "d:Description": "Chronology of his life.", "topic": "Top/Arts/Photography/Photographers/Masters/Jackson,_William_Henry", "url": "http://www.loc.gov/pictures/collection/wtc/jackson.html"} +{"d:Title": "Karsh, Yousuf", "d:Description": "The Weston Gallery presents 5 portraits: Pablo Picasso, Ernest Hemmingway, Alberto Giacometti, Frank Lloyd Wright, and Albert Einstein.", "topic": "Top/Arts/Photography/Photographers/Masters/Karsh,_Yousuf", "url": "http://www.westongallery.com/artists/y_karsh/yousuf_karsh.html"} +{"d:Title": "Karsh, Yousuf", "d:Description": "Portraits of Frank Lloyd Wright and General Pershing at Greenspun.com for comments on the techniques used in the two photographs.", "topic": "Top/Arts/Photography/Photographers/Masters/Karsh,_Yousuf", "url": "http://hv.greenspun.com/bboard/q-and-a-fetch-msg.tcl?msg_id=003kYg"} +{"d:Title": "Karsh, Yousuf", "d:Description": "Brief biography and 4 portraits: Albert Einstein, Andy Warhol, Audrey Hepburn and Winston Churchill.", "topic": "Top/Arts/Photography/Photographers/Masters/Karsh,_Yousuf", "url": "http://www.photography.ca/otherartists/karsh.html"} +{"d:Title": "Karsh, Yousuf", "d:Description": "A brief biography at the \"Well Known Canadians\" site.", "topic": "Top/Arts/Photography/Photographers/Masters/Karsh,_Yousuf", "url": "http://particle.physics.ucdavis.edu/bios/Karsh.html"} +{"d:Title": "Kenna, Michael", "d:Description": "4 images from his book \"A Twenty Year Retrospective\".", "topic": "Top/Arts/Photography/Photographers/Masters/Kenna,_Michael", "url": "http://www.onlinephotography.com/kenna.html"} +{"d:Title": "Kenna, Michael", "d:Description": "6 images at the After Image Gallery.", "topic": "Top/Arts/Photography/Photographers/Masters/Kenna,_Michael", "url": "http://www.afterimagegallery.com/kenna.htm"} +{"d:Title": "Kenna, Michael", "d:Description": "Official website. Select photo archive, recent work, books, listings of exhibitions, articles, and galleries, and links.", "priority": "1", "topic": "Top/Arts/Photography/Photographers/Masters/Kenna,_Michael", "url": "http://www.michaelkenna.net/"} +{"d:Title": "American Masters - Andr\u00e9 Kert\u00e9sz", "d:Description": "Biographical information and list of major works.", "topic": "Top/Arts/Photography/Photographers/Masters/Kert\u00e9sz,_Andr\u00e9", "url": "http://www.pbs.org/wnet/americanmasters/database/kertesz_a.html"} +{"d:Title": "National Gallery of Art: Andr\u00e9 Kert\u00e9sz", "d:Description": "Information on exhibit that ran from February 6 to May 15, 2005 includes exhibit highlights and tour schedule.", "topic": "Top/Arts/Photography/Photographers/Masters/Kert\u00e9sz,_Andr\u00e9", "url": "http://www.nga.gov/exhibitions/kerteszinfo.shtm"} +{"d:Title": "Lange, Dorothea", "d:Description": "Article about her photography of the forced removal of Japanese to Internment camps in San Francisco in early 1942.", "topic": "Top/Arts/Photography/Photographers/Masters/Lange,_Dorothea", "url": "http://www.sfmuseum.org/hist/lange.html"} +{"d:Title": "Lange, Dorothea", "d:Description": "\"Women Come to the Front.\" Links to full-size images.", "topic": "Top/Arts/Photography/Photographers/Masters/Lange,_Dorothea", "url": "http://www.loc.gov/exhibits/wcf/wcf0013.html"} +{"d:Title": "Lange, Dorothea", "d:Description": "Early American black and white photographs.", "topic": "Top/Arts/Photography/Photographers/Masters/Lange,_Dorothea", "url": "http://www.ibiblio.org/channel/Lange.html"} +{"d:Title": "Lange, Dorothea", "d:Description": "Photographer D.M. Bernstein describes the social meaning and aesthetic power of Dorothea Lange's \"White Angel Breadline.\"", "topic": "Top/Arts/Photography/Photographers/Masters/Lange,_Dorothea", "url": "http://davidmbernstein.home.mindspring.com/Dorothea_Lange.html"} +{"d:Title": "Mann, Sally", "d:Description": "Review of \"Mother Land; Recent Georgia and Virginia Landscapes\".", "topic": "Top/Arts/Photography/Photographers/Masters/Mann,_Sally", "url": "http://artscenecal.com/ArticlesFile/Archive/Articles1997/Articles0997/SMannA.html"} +{"d:Title": "Man Ray", "d:Description": "A library of his work.", "topic": "Top/Arts/Photography/Photographers/Masters/Man_Ray", "url": "http://www.manray-photo.com/"} +{"d:Title": "Track 16 Gallery: Man Ray, Paris~L.A.", "d:Description": "Description, press release and selected images from a 1996 exhibition.", "topic": "Top/Arts/Photography/Photographers/Masters/Man_Ray", "url": "http://www.track16.com/exhibitions/manray/manray.html"} +{"d:Title": "Man Ray Trust", "d:Description": "Official trust established by Juliet Man Ray the wife of Man Ray", "topic": "Top/Arts/Photography/Photographers/Masters/Man_Ray", "url": "http://www.manraytrust.com/"} +{"d:Title": "Mapplethorpe, Robert", "d:Description": "The Robert Mapplethorpe Foundation Inc. site with a biography, selected works, exhibition dates, mailing list and merchandise.", "topic": "Top/Arts/Photography/Photographers/Masters/Mapplethorpe,_Robert", "url": "http://www.mapplethorpe.org/"} +{"d:Title": "Mapplethorpe, Robert", "d:Description": "A retrospective at the Gallery of Photography, Temple Bar, Dublin, Ireland.", "topic": "Top/Arts/Photography/Photographers/Masters/Mapplethorpe,_Robert", "url": "http://homepages.iol.ie/~webfoto/maple1.htm"} +{"d:Title": "Mark, Mary Ellen", "d:Description": "Books, exhibition information, lectures, bibliography, biography and resume.", "topic": "Top/Arts/Photography/Photographers/Masters/Mark,_Mary_Ellen", "url": "http://www.maryellenmark.com/"} +{"d:Title": "Meyerowitz, Joel", "d:Description": "New York based award-winning master photographer. Official photographer of September 11 aftermath. Includes biographical information, contact information, recent projects, and entire archive available for stock photography.", "topic": "Top/Arts/Photography/Photographers/Masters/Meyerowitz,_Joel", "url": "http://www.joelmeyerowitz.com/"} +{"d:Title": "Modotti, Tina", "d:Description": "A collection at the Modotti Museum.", "topic": "Top/Arts/Photography/Photographers/Masters/Modotti,_Tina", "url": "http://www.modotti.com/"} +{"d:Title": "Edweard Muybridge: Freeze Frame", "d:Description": "A Smithsonian Virtual Exhibition which examines the pioneering work of stop-motion photographer Edweard Muybridge.", "topic": "Top/Arts/Photography/Photographers/Masters/Muybridge,_Edweard", "url": "http://americanhistory.si.edu/muybridge/index.htm"} +{"d:Title": "Newton, Helmut", "d:Description": "Interviews and links to his works at Ionone.com.", "topic": "Top/Arts/Photography/Photographers/Masters/Newton,_Helmut", "url": "http://www.ionone.com/phtnewton.htm"} +{"d:Title": "Helmut Newton Online", "d:Description": "Helmut Newton [German/Australian Photographer, Born 1920] Guide to pictures of works by Helmut Newton in art museum sites and image archives worldwide.", "topic": "Top/Arts/Photography/Photographers/Masters/Newton,_Helmut", "url": "http://www.artcyclopedia.com/artists/newton_helmut.html"} +{"d:Title": "Photo District News | Twentieth Anniversary | 20 Most Influential", "d:Description": "Comments by Nicholas Nixon along with two of Penn's photographs.", "topic": "Top/Arts/Photography/Photographers/Masters/Penn,_Irving", "url": "http://pdngallery.com/20years/20mostinfluential/penn.html"} +{"d:Title": "Irving Penn", "d:Description": "List of Irving Penn's published books of photography", "topic": "Top/Arts/Photography/Photographers/Masters/Penn,_Irving", "url": "http://www.irvingpenn.org/"} +{"d:Title": "Riis, Jacob", "d:Description": "A brief biography and links at the City Gallery.", "topic": "Top/Arts/Photography/Photographers/Masters/Riis,_Jacob", "url": "http://www.city-gallery.com/learning/bio/riis.php"} +{"d:Title": "Riis, Jacob", "d:Description": "Biography about the former newspaper reporter, known for \"How the Other Half Lives\".", "topic": "Top/Arts/Photography/Photographers/Masters/Riis,_Jacob", "url": "http://www.boisestate.edu/socwork/dhuff/history/gallery/Gallery-JR/BIO.htm"} +{"d:Title": "The Official Website of Herb Ritts", "d:Description": "Managed by The Herb Ritts Foundation, herbritts.com provides information about the photography icon, including samples of his work, features, biography, and other resources.", "topic": "Top/Arts/Photography/Photographers/Masters/Ritts,_Herb", "url": "http://www.herbritts.com/"} +{"d:Title": "Robinson, Henry Peach", "d:Description": "Photograph titled \"A Holiday in the Wood\" and notes at the George Eastman House archive.", "topic": "Top/Arts/Photography/Photographers/Masters/Robinson,_Henry_Peach", "url": "http://www.geh.org/taschen/htmlsrc6/m197001920001_ful.html"} +{"d:Title": "Robinson, Henry Peach", "d:Description": "Photograph titled \"Fading Away\" and notes at the George Eastman House archive.", "topic": "Top/Arts/Photography/Photographers/Masters/Robinson,_Henry_Peach", "url": "http://www.geh.org/taschen/htmlsrc6/m197601160001_ful.html"} +{"d:Title": "Robinson, Henry Peach", "d:Description": "Photograph titled \"She Never Told Her Love\" and notes at the George Eastman House archive.", "topic": "Top/Arts/Photography/Photographers/Masters/Robinson,_Henry_Peach", "url": "http://www.geh.org/taschen/htmlsrc6/m197500910001_ful.html"} +{"d:Title": "The social mosaic attempted: the photographs of August Sander", "d:Description": "A Socialist review and interpretation of Sander's People of the Twentieth Century.", "topic": "Top/Arts/Photography/Photographers/Masters/Sander,_August", "url": "http://www.wsws.org/en/articles/2004/12/sand-d08.html"} +{"d:Title": "Die Photographische Sammlung, Cologne", "d:Description": "Based on the work of the important German photographer August Sander, the Photographische Sammlung/SK Stiftung Kultur set up by the Stadtsparkasse K\u00f6ln (Cologne Commercial and Savings Bank) concentrates its field of activity on factual documentary and conceptual photography.", "topic": "Top/Arts/Photography/Photographers/Masters/Sander,_August", "url": "http://www.photographie-sk-kultur.de/august-sander/august-sander/"} +{"d:Title": "Sander, August: Getty Museum", "d:Description": "A biography of the artist August Sander from the J. Paul Getty Museum's collection.", "topic": "Top/Arts/Photography/Photographers/Masters/Sander,_August", "url": "http://www.getty.edu/art/collection/artists/1750/august-sander-german-1876-1964/"} +{"d:Title": "Sander, August", "d:Description": "Excellent reproductions of three of his portraits, shown by the Halsted Gallery.", "topic": "Top/Arts/Photography/Photographers/Masters/Sander,_August", "url": "http://www.halstedgallery.com/?artistid=56#!august-sander/ccfw"} +{"d:Title": "August Sander: People of the Twentieth Century", "d:Description": "Information about the Metropolitan Museum of Art's 2004 exhibition drawn from the artist's most famous project, People of the Twentieth Century. Four photographs by Sander.", "topic": "Top/Arts/Photography/Photographers/Masters/Sander,_August", "url": "http://www.metmuseum.org/exhibitions/listings/2004/august-sander"} +{"d:Title": "Sexton, John", "d:Description": "4 images of his work at Onlinephotography.com.", "topic": "Top/Arts/Photography/Photographers/Masters/Sexton,_John", "url": "http://www.onlinephotography.com/sexton.html"} +{"d:Title": "Sexton, John", "d:Description": "Gallery of monochrome work, biography, and video clips discussing techniques. From pdnonline.com.", "topic": "Top/Arts/Photography/Photographers/Masters/Sexton,_John", "url": "http://www.pdngallery.com/legends/sexton/"} +{"d:Title": "CindySherman.com", "d:Description": "Fan page dedicated to photographer and filmmaker Cindy Sherman, best known for her photographs of herself. Biography, image gallery, links.", "topic": "Top/Arts/Photography/Photographers/Masters/Sherman,_Cindy", "url": "http://www.cindysherman.com/"} +{"d:Title": "How I Made It: Cindy Sherman on Her \"Untitled Film Stills\"", "d:Description": "Interview with the photographer about a series of photographs she took of herself costumed and posed as different female characters. [New York Magazine]", "topic": "Top/Arts/Photography/Photographers/Masters/Sherman,_Cindy", "url": "http://nymag.com/anniversary/40th/culture/45773/"} +{"d:Title": "Cindy Sherman: Monument Valley Girl", "d:Description": "Critique of a photograph of a woman in a desert landscape. [Smithsonian]", "topic": "Top/Arts/Photography/Photographers/Masters/Sherman,_Cindy", "url": "http://www.smithsonianmag.com/people-places/Indelible-Images-Monument-Valley-Girl.html"} +{"d:Title": "Siskind, Aaron", "d:Description": "Home of the artist's foundation, which offers grants to emerging photographers.", "topic": "Top/Arts/Photography/Photographers/Masters/Siskind,_Aaron", "url": "http://www.aaronsiskind.org/"} +{"d:Title": "Sommer, Frederick", "d:Description": "The Frederick and Frances Sommer Foundation.", "topic": "Top/Arts/Photography/Photographers/Masters/Sommer,_Frederick", "url": "http://www.fredericksommer.org/"} +{"d:Title": "Edward Steichen (1879-1973)", "d:Description": "Biography based on an exhibition catalog from the Whitney Museum of American Art.", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.cosmopolis.ch/english/cosmo13/steichen.htm"} +{"d:Title": "Edward Steichen Portraits", "d:Description": "Selected photographs from an exhibition at the Smithsonian. Requires Flash.", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.npg.si.edu/exhibit/steichen/"} +{"d:Title": "Edward Steichen: In Vogue", "d:Description": "While Edward Steichen was famous for celebrity portraits in \"Vanity Fair,\" he also made use of his experience as a painter to produce striking fashion photography for \"Vogue.\" Article with photo gallery. [Smithsonian Magazine]", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.smithsonianmag.com/arts-culture/In-Vogue.html"} +{"d:Title": "National Gallery of Art: Edward Steichen", "d:Description": "Account of Steichen's career as a painter, and in-depth examination of one of his paintings in particular.", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.nga.gov/feature/artnation/steichen/index.shtm"} +{"d:Title": "Edward Steichen: The Early Years", "d:Description": "Information about a past exhibition at the Museum of Photographic Art. Includes seven photographs.", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.tfaoi.com/aa/8aa/8aa367.htm"} +{"d:Title": "Career, Camera, Corn", "d:Description": "Edward Steichen decides to get out of commercial photography. [Time]", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.time.com/time/magazine/article/0,9171,758818,00.html"} +{"d:Title": "The Patriarch of the Family of Man", "d:Description": "Obituary for the renowned photographer Edward Steichen. [Time]", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.time.com/time/magazine/article/0,9171,903956,00.html"} +{"d:Title": "Edward Steichen (1879-1973): The Photo-Secession Years", "d:Description": "Essay on this stage in Steichen's career, from the Metropolitan Museum of Art. With links, and illustrated with some of Steichen's photos in the Museum's collections.", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.metmuseum.org/toah/hd/stei/hd_stei.htm"} +{"d:Title": "Spartacus Educational: Edward Steichen", "d:Description": "Short biography of one of the founders of the Photosecession and organizer of the Family of Man exhibition.", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://spartacus-educational.com/USAPsteichen.htm"} +{"d:Title": "Times Topics: Edward Steichen", "d:Description": "Articles and multimedia related to the versatile photographer, from the New York Times.", "topic": "Top/Arts/Photography/Photographers/Masters/Steichen,_Edward", "url": "http://www.nytimes.com/topic/person/edward-steichen"} +{"d:Title": "Stieglitz, Alfred", "d:Description": "The National Gallery of Art, Washington D.C. presents a series of seven online tours of the Alfred Stieglitz Collection beginning September 1999.", "topic": "Top/Arts/Photography/Photographers/Masters/Stieglitz,_Alfred", "url": "http://www.nga.gov/feature/stieglitz/asmain.htm"} +{"d:Title": "Stieglitz, Alfred", "d:Description": "His photos, and information about the Wadsworth Atheneum's show titled \"Alfred Stieglitz, Georgia O'Keeffe and American Modernism\".", "topic": "Top/Arts/Photography/Photographers/Masters/Stieglitz,_Alfred", "url": "http://www.tfaoi.com/newsmu/nmus153b.htm"} +{"d:Title": "Stieglitz, Alfred", "d:Description": "An overview of his work and Pictorialism shown through 10 photographs at Get The Picture, with links to other resources.", "topic": "Top/Arts/Photography/Photographers/Masters/Stieglitz,_Alfred", "url": "http://www.artsmia.org/get-the-picture/stieglitz/index.html"} +{"d:Title": "Strand, Paul", "d:Description": "Slate Magazine article about Strand and his place in the history of photography.", "topic": "Top/Arts/Photography/Photographers/Masters/Strand,_Paul", "url": "http://slate.com/default.aspx?id=3473"} +{"d:Title": "Sudek, Josef", "d:Description": "The master of photography. Art of photos. Bibliography. About Josef Sudek.", "topic": "Top/Arts/Photography/Photographers/Masters/Sudek,_Josef", "url": "http://www.josefsudek.net/"} +{"d:Title": "The Correspondence of William Henry Fox Talbot", "d:Description": "A project transcribing the more than 10,000 letters written to and from the British polymath best known for his invention of photography. The annotated letters will be published on the Web.", "topic": "Top/Arts/Photography/Photographers/Masters/Talbot,_William_Henry_Fox", "url": "http://foxtalbot.dmu.ac.uk/"} +{"d:Title": "Tice, George", "d:Description": "15 images of the Amish people presented by the After Image Gallery.", "topic": "Top/Arts/Photography/Photographers/Masters/Tice,_George", "url": "http://www.afterimagegallery.com/ticeamish.htm"} +{"d:Title": "Weegee", "d:Description": "Information about the life and work of this American photographer.", "topic": "Top/Arts/Photography/Photographers/Masters/Weegee", "url": "http://www.cosmopolis.ch/english/cosmozero/weegee.htm"} +{"d:Title": "Wegman, William", "d:Description": "Photos of Wegman and his dogs, with merchandise available for purchase online. Official site.", "priority": "1", "topic": "Top/Arts/Photography/Photographers/Masters/Wegman,_William", "url": "http://www.wegmanworld.com/"} +{"d:Title": "Wegman, William", "d:Description": "Salon article about Wegman's life and his photography, featuring many of his photos.", "topic": "Top/Arts/Photography/Photographers/Masters/Wegman,_William", "url": "http://www.salon.com/2000/02/08/wegman/"} +{"d:Title": "Weston, Brett", "d:Description": "The Brett Weston Archive contains information about Weston and his work, along with the largest existing archive of his pictures.", "priority": "1", "topic": "Top/Arts/Photography/Photographers/Masters/Weston,_Brett", "url": "http://www.brettwestonarchive.com/"} +{"d:Title": "Winogrand, Garry", "d:Description": "Philip Greenspun's review of Winogrand, Figments from the Real World, with readers' comments.", "topic": "Top/Arts/Photography/Photographers/Masters/Winogrand,_Garry", "url": "http://www.photo.net/books/winogrand"} +{"d:Title": "Winogrand, Garry", "d:Description": "Two photos with commentary from his \"Women are Beautiful\" portfolio.", "topic": "Top/Arts/Photography/Photographers/Masters/Winogrand,_Garry", "url": "http://www.tfaoi.com/aa/1aa/1aa542.htm"} +{"d:Title": "Winogrand, Garry", "d:Description": "Brief article about his photography, with two pictures.", "topic": "Top/Arts/Photography/Photographers/Masters/Winogrand,_Garry", "url": "http://artscenecal.com/ArticlesFile/Archive/Articles1995/Articles0695/Winogrand.html"} +{"d:Title": "MetroActive Arts: Joel-Peter Witkin", "d:Description": "An essay by Christa Palmer.", "topic": "Top/Arts/Photography/Photographers/Masters/Witkin,_Joel-Peter", "url": "http://www.metroactive.com/papers/sfmetro/10.97/art1-97-10.html"} +{"d:Title": "ZoneZero: Joel-Peter Witkin", "d:Description": "Contains author's \"Love and Redemption\" exhibition, and an article by Michael Sand.", "topic": "Top/Arts/Photography/Photographers/Masters/Witkin,_Joel-Peter", "url": "http://zonezero.com/exposiciones/fotografos/witkin/jpwdefault.html"} +{"d:Title": "Salon People: Joel-Peter Witkin", "d:Description": "Biographical essay, and a collection of photographs.", "topic": "Top/Arts/Photography/Photographers/Masters/Witkin,_Joel-Peter", "url": "http://www.salon.com/2000/05/09/witkin/"} +{"d:Title": "Nance, Ancil", "d:Description": "Photography of running, biking, hiking, paragliding, and scenic Northwest. Also includes drawings.", "topic": "Top/Arts/Photography/Photographers/N", "url": "http://www.ancilnance.com/"} +{"d:Title": "Niles, David", "d:Description": "People, travel and still life photography, plus nudes and Holga camera work.", "topic": "Top/Arts/Photography/Photographers/N", "url": "http://www.davidniles.com/"} +{"d:Title": "Norell, Jack", "d:Description": "Travel, fine art, portrait photographs and personal commentary.", "topic": "Top/Arts/Photography/Photographers/N", "url": "http://www.eyeflare.com/"} +{"d:Title": "Northrup, Tony", "d:Description": "Flowers, animals, and travel photos North America and Europe.", "topic": "Top/Arts/Photography/Photographers/N", "url": "http://www.northrup.org/photos/"} +{"d:Title": "Naylor, David", "d:Description": "Photographs of flowers and plants, sunsets, nature, buildings and people. Also includes a gallery of northern lights.", "topic": "Top/Arts/Photography/Photographers/N", "url": "http://davidnaylor.org/gallery/"} +{"d:Title": "Napolskih Photography", "d:Description": "People, weddings, boudoir, food, family, various subjects. Napolskih Aleksey, studio in Vancouver, BC.", "topic": "Top/Arts/Photography/Photographers/N", "url": "http://nphoto.ca/"} +{"d:Title": "Anders, Nigel", "d:Description": "Features landscapes, close-up images of flowers, and a 'Liquid' series of digital abstract water photographs.", "topic": "Top/Arts/Photography/Photographers/Nature/A", "url": "http://www.takenbydigital.com/"} +{"d:Title": "Aley, Dennis", "d:Description": "Animals, scenery, wildflowers and seascapes in the state of Washington.", "topic": "Top/Arts/Photography/Photographers/Nature/A", "url": "http://www.angelfire.com/wa2/photography/index.html"} +{"d:Title": "Atkinson, Jon", "d:Description": "Photographs of wildlife from around the world, including lemurs, penguins, seals, whales, and dolphins. Specialities include Africa, South America, and Antarctica.", "topic": "Top/Arts/Photography/Photographers/Nature/A", "url": "http://jon-atkinson.com/"} +{"d:Title": "Brunskill, Chris", "d:Description": "Tiger images from Ranthambhore, Bandhavgarh national parks and African wildlife.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.chrisbrunskill.co.uk/"} +{"d:Title": "Fields, John H.", "d:Description": "African wildlife, zoo and underwater photography, including information on the animals.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.meandmephoto.com/"} +{"d:Title": "Fleming, Bill", "d:Description": "A collection of endangered wildlife images including mammals, birds and reptiles from Asia, Africa and America. Features galleries, commentary and biography.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.safaribill.com/"} +{"d:Title": "Keel, Ian", "d:Description": "Gallery of mammal, insect, and bird photography.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.ian-k.supanet.com/"} +{"d:Title": "Lackie, Gary", "d:Description": "Wild bears.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.angelfire.com/ak/wildlifephotog/"} +{"d:Title": "Lane, Mike", "d:Description": "Wildlife photographs of birds and mammals from around the world.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://nature-photography.co.uk/"} +{"d:Title": "Roymans, Luc", "d:Description": "Photographs of animals in their natural environment, including mammals, insects, and reptiles.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.roymans.com/"} +{"d:Title": "Tuengler, Stephan", "d:Description": "Portfolio of wildlife photography, taken mainly in Southern Africa. In English and German.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.tuengler.com/"} +{"d:Title": "Watts, Dave", "d:Description": "Collection of wildlife images from Australia and Europe.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.davewattsphoto.com/"} +{"d:Title": "Windh, Jacqueline", "d:Description": "Photographs of wild grey wolves on Vargas Island, British Columbia, and facts about them.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://wildwolvesbc.tripod.com/"} +{"d:Title": "Zvulun, Hagai", "d:Description": "Photographs of predators, birds, primates, and herbivores, primarily from Africa.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://wildphotos.tripod.com/"} +{"d:Title": "Barker, Deb", "d:Description": "Features wildlife images, including birds, fish, mammals, insects, and underwater wildlife.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.debbarker.com/"} +{"d:Title": "Tate, Alan and Ann", "d:Description": "Birds from all seven continents represented. Photographs supplied for publication, research or personal use.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.aabirdpix.com/"} +{"d:Title": "Phillips, Charlie", "d:Description": "Photographs of wild dolphins, other marine mammals, and birds in Scotland.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.charliephillipsimages.co.uk/"} +{"d:Title": "Fagyal, Chris", "d:Description": "Images of wild birds and mammals from North America and Brazil.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.avianphotos.org/"} +{"d:Title": "Ingram, John", "d:Description": "Butterflies, dragonflies, birds, reptiles, and mammals, mostly from Texas.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.windowsonnature.com/"} +{"d:Title": "Danks, Terry", "d:Description": "Features birds, insects, wildlife, scenery, astrophotography and locomotive images. Includes galleries and information about equipment.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://danks.netfirms.com/home.htm"} +{"d:Title": "Derbent, Suha", "d:Description": "Professional wildlife photographer and author provides his resume, photograph archive, books, press clippings, video clips and contact information.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.suhaderbent.com/"} +{"d:Title": "Roberts, Patsy Smith", "d:Description": "African wildlife photographer and author provides a biography, calendar, African journal, press coverage and online store.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.patsysmithroberts.com/"} +{"d:Title": "Barbanera, Paolo", "d:Description": "Wildlife from around the world.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://www.paolobarbanera.it/"} +{"d:Title": "Lasley, Greg", "d:Description": "Birds and other wildlife from around the world.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://greglasley.com/"} +{"d:Title": "Friendly Animals", "d:Description": "Animals photographed by Delson Roche, based in India.", "topic": "Top/Arts/Photography/Photographers/Nature/Animals", "url": "http://delsonclicks3.blogspot.com/"} +{"d:Title": "Brandl, Hans Dieter", "d:Description": "Nature photos from around the world. Travel, animals, and bird photography.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.brandl-naturfotos.de/"} +{"d:Title": "Barth, Andreas", "d:Description": "Forest and forestry photographs from Scandinavia. View pictures, and search image database.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.barth.se/index.php?lan=en"} +{"d:Title": "Birdwell, Preston", "d:Description": "Woodland scenes, mountain landscapes, and waterfalls from the Sierra Nevadas.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.gildedmoon.com/"} +{"d:Title": "Bergmann, Daniel", "d:Description": "Wild landscapes, seascapes, and birds, all from Iceland.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.danielbergmann.com/"} +{"d:Title": "Baker, Richard", "d:Description": "Scenic, and wildlife photography portfolio.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.bakerpub.com/"} +{"d:Title": "Bohdal, Jiri", "d:Description": "Bird, other wildlife, plants, and landscape photography from Europe.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.naturfoto.cz/"} +{"d:Title": "Blanchard, David", "d:Description": "Photographic galleries of weather, earth, and sky. Weather gallery contains thunderstorms, lightning, and tornadoes. Sky gallery includes comets and meteors. Earth gallery includes mountains, canyons, and rivers.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.davidblanchardphotography.com/"} +{"d:Title": "Phpotography by Trevor Baker", "d:Description": "Selection of nature photographs: birds, insects, scenery. Based in the UK.", "topic": "Top/Arts/Photography/Photographers/Nature/B", "url": "http://www.baker5.me.uk/"} +{"d:Title": "Clements, Shaughn F.", "d:Description": "Photographs of landscapes and animals, including a section on Bowron Lakes in British Columbia, Canada.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.clementsphotography.com/"} +{"d:Title": "Christensen, Cory", "d:Description": "Landscape, nature, and wildlife photographs. Also, offering free e-cards of selected images.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.aldercreek.net/"} +{"d:Title": "Calicott, Gary", "d:Description": "Images from Oregon, including Mt Hood, Smith Rock, Steens Mountain, local wildlife, and wildflowers.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.photosnorthwest.com/"} +{"d:Title": "Colombini, Fabio", "d:Description": "Fauna, flora, and Brazilian landscape. Includes images of birds, animals, and macro photographs of insects.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.fabiocolombini.com.br/"} +{"d:Title": "Carsten, Michael", "d:Description": "Flower, landscape, marine, and underwater photographs, which can be sent as E-cards.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.coolphotos.de/index_e.html"} +{"d:Title": "Cornes, Christopher", "d:Description": "Flora, fauna, scenery and archaeological sites from around the world.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.christophercornes.com/"} +{"d:Title": "Carver, Joe", "d:Description": "Photographs of landscapes, sunsets, flowers, and different seasons.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.josephcarver.com/"} +{"d:Title": "Clemente, Marcelo", "d:Description": "Mammals, birds, reptiles, flowers, and landscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/C", "url": "http://www.marceloclemente.com/index2.html"} +{"d:Title": "Di Cesare, Giorgio", "d:Description": "Wildlife photography sorted by location. Galleries from Iceland, Africa and the Galapagos Islands. Also shows landscapes, flowers and urban subjects.", "topic": "Top/Arts/Photography/Photographers/Nature/D", "url": "http://web.tiscali.it/giodic/"} +{"d:Title": "Dobson, Ken", "d:Description": "A collection of avian, landscape and nature photography. Features galleries, news and some articles on photographic techniques.", "topic": "Top/Arts/Photography/Photographers/Nature/D", "url": "http://www.dobsoncentral.com/"} +{"d:Title": "Falzone, Gian Luca", "d:Description": "Gallery of landscapes, animals, and astronomic images.", "topic": "Top/Arts/Photography/Photographers/Nature/F", "url": "http://www.webwebweb.it/"} +{"d:Title": "Filiault, Paul D.", "d:Description": "Floral and seascape photography, mainly from California.", "topic": "Top/Arts/Photography/Photographers/Nature/F", "url": "http://pdf1234.tripod.com/notsothebys/"} +{"d:Title": "Fox, Cheryl", "d:Description": "Family, wildlife, nature, garden, and flower photography.", "topic": "Top/Arts/Photography/Photographers/Nature/F", "url": "http://www.cherylfox.com/photos.htm"} +{"d:Title": "Franklin, Oskar", "d:Description": "Photographs from the Borneo jungle, Swedish people, and African safari. Slideshows and archive. Mainly nature and wildlife.", "topic": "Top/Arts/Photography/Photographers/Nature/F", "url": "http://oskarf.com/"} +{"d:Title": "Fleetham, David", "d:Description": "David Fleetham adventure travel photographer with stock photos of whales, dolphins, sharks, snorkelers, scuba divers, tropical fish, islands, exotic animals.", "topic": "Top/Arts/Photography/Photographers/Nature/F", "url": "http://fleetham.photoshelter.com/"} +{"d:Title": "Fullington, Wayne", "d:Description": "Outdoor, landscapes, wildlife, and landmark photography.", "topic": "Top/Arts/Photography/Photographers/Nature/F", "url": "http://wayne04005.tripod.com/"} +{"d:Title": "Gutierrez, Oscar", "d:Description": "Nature, landscape and travel photography from National Parks in the US and Costa Rica.", "topic": "Top/Arts/Photography/Photographers/Nature/G", "url": "http://www.ogphoto.com/"} +{"d:Title": "Gregg, John", "d:Description": "Landscapes of the southwest including Grand Canyon, Zion, Bryce national parks, and the Sierra mountains. Petroglyphs from the Great Basin, and southwestern United States.", "topic": "Top/Arts/Photography/Photographers/Nature/G", "url": "http://www.johngregg.com/"} +{"d:Title": "Greene, Janie Moore", "d:Description": "Back roads, meadows and forests of the South in her Dance of Nature Series. Southern wading birds and wildflowers with essays.", "topic": "Top/Arts/Photography/Photographers/Nature/G", "url": "http://www.janiegreene.com/"} +{"d:Title": "Glazier, Alan", "d:Description": "Hot air balloons, California vineyards, animals, butterflies, flowers, wild landscapes, and seascapes.", "topic": "Top/Arts/Photography/Photographers/Nature/G", "url": "http://www.sonic.net/~aglazier/"} +{"d:Title": "Growing Tree", "d:Description": "Photography of lightning, using the technique of coloring the foreground with a hand-held flash.", "topic": "Top/Arts/Photography/Photographers/Nature/G", "url": "http://stormrunneraz.tripod.com/growingtree/"} +{"d:Title": "Glover, Doug", "d:Description": "Includes a sampling of Canadian wildlife and landscape photography.", "topic": "Top/Arts/Photography/Photographers/Nature/G", "url": "http://www3.sympatico.ca/doug.glover3/canwildlifephotos.htm"} +{"d:Title": "G\u00fcnther, Marcus", "d:Description": "Landscape, wildlife and floral photographs from Ireland. Although mainly color, some infrared photography is shown.", "topic": "Top/Arts/Photography/Photographers/Nature/G", "url": "http://www.marcusgunther.com/"} +{"d:Title": "Hroch, Miroslav", "d:Description": "Photos from Malaysia, Colombia, Venezuela, USA, Thailand, Ecuador, Singapore, Egypt, and Galapagos.", "topic": "Top/Arts/Photography/Photographers/Nature/H", "url": "http://foto.czweb.org/"} +{"d:Title": "Hein, Scott", "d:Description": "Images of wildlife and landscapes of the Western U.S. Also includes a gallery of nature photos from the Galapagos Islands.", "topic": "Top/Arts/Photography/Photographers/Nature/H", "url": "http://www.heinphoto.com/"} +{"d:Title": "Hlasek, Josef", "d:Description": "Over 3000 wildlife pictures from the Czech Republic sorted by scientific name, including plants, mammals, birds, amphibians, reptiles, and insects.", "topic": "Top/Arts/Photography/Photographers/Nature/H", "url": "http://www.hlasek.com/"} +{"d:Title": "Hosek, George L.", "d:Description": "Pictures of Texas parks and wildlife, including desert, mountains, and coast. Many pictures of birds.", "topic": "Top/Arts/Photography/Photographers/Nature/H", "url": "http://www.texasexplorer.com/"} +{"d:Title": "Hibbard, David", "d:Description": "Nature photography that features beaches and forests of the northern California coast, Washington's Olympic Peninsula, and the Sierra Nevada.", "topic": "Top/Arts/Photography/Photographers/Nature/H", "url": "http://davidhibbardphotography.com/"} +{"d:Title": "Internet Brothers", "d:Description": "Images of North American National Parks and mountain scenery.", "topic": "Top/Arts/Photography/Photographers/Nature/I", "url": "http://internetbrothers.com/photography.htm"} +{"d:Title": "Johnson, Roger L.", "d:Description": "World wide stock photography of wildlife, nature and people at special events. From Polar Bears of the Arctic to Penguins of the Antarctic.", "topic": "Top/Arts/Photography/Photographers/Nature/J", "url": "http://www.rljphoto.com/"} +{"d:Title": "Juliet", "d:Description": "A collection of personal photographs taken at various locations of plants and flowers. Personal recollections are given of where the photographs were taken, composition and author's thoughts.", "topic": "Top/Arts/Photography/Photographers/Nature/J", "url": "http://julietberks.tripod.com/julietsfloralgallery/"} +{"d:Title": "Johnson, Scott&Linda", "d:Description": "Color nature photography of animals, flowers, and landscapes, categorized by location.", "topic": "Top/Arts/Photography/Photographers/Nature/J", "url": "http://2036.net/"} +{"d:Title": "Kowalczyk, Dariusz", "d:Description": "Features photos of wildlife, flowers, and landscapes from the American West and the Baltic Sea in Europe.", "topic": "Top/Arts/Photography/Photographers/Nature/K", "url": "http://darekk.com/"} +{"d:Title": "Keshava", "d:Description": "From my five years as an avid amateur photographer.", "topic": "Top/Arts/Photography/Photographers/Nature/K", "url": "http://members.tripod.com/~Setlur/gallery/photohome.html"} +{"d:Title": "Kore, Devdatt", "d:Description": "Landscapes, sunsets, and close-ups of flowers.", "topic": "Top/Arts/Photography/Photographers/Nature/K", "url": "http://www.angelfire.com/id/dkore/"} +{"d:Title": "Kroll, Rich", "d:Description": "Fossils, cacti and succulents, and butterflies. Information about the subjects.", "topic": "Top/Arts/Photography/Photographers/Nature/K", "url": "http://www.ckart.com/rich"} +{"d:Title": "Knoll, Kurt", "d:Description": "Landscape, nature, and location photographs from British Columbia, Canada.", "topic": "Top/Arts/Photography/Photographers/Nature/K", "url": "http://www.kurtknoll.com/"} +{"d:Title": "Kinnunen, Minna", "d:Description": "Landscape, animal and floral photographs from Sweden, Norway and Finland.", "topic": "Top/Arts/Photography/Photographers/Nature/K", "url": "http://www.minnak.net/"} +{"d:Title": "Logan, George", "d:Description": "Scottish based photographer/artist. Landscapes, standing stones, plantlife, historical and personal work.", "topic": "Top/Arts/Photography/Photographers/Nature/L", "url": "http://www.scottish-photography.co.uk/"} +{"d:Title": "Lefebvre, Allen", "d:Description": "Nature and landscape photography, primarily from the Canadian prairies.", "topic": "Top/Arts/Photography/Photographers/Nature/L", "url": "http://www.allenlefebvre.ca/"} +{"d:Title": "Monginoux, Bruno", "d:Description": "Color landscape photographs, mostly from France, displayed and available for non-commercial use under a Creative commons by-nc-nd license. Includes the photographer's blog and a link to the French version of the site.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.photo-paysage.com/"} +{"d:Title": "Wiget, Philippe", "d:Description": "Mainly scenic photography. Also offers flower and butterfly macros, articles about equipment, and books.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.wiget.org/"} +{"d:Title": "Noble, Mark", "d:Description": "Large format, black and white, urban and natural landscape photos. Small collection.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://nobleview.com/"} +{"d:Title": "Gray, Rob", "d:Description": "Australian nature and landscape photography. Essays, tutorials, and travel dairy.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.robgray.com/"} +{"d:Title": "Koren, Norman", "d:Description": "Traditional and panoramic photography of the American West landscapes. Also includes technical articles and personal information.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.normankoren.com/"} +{"d:Title": "Richardson, Gordon", "d:Description": "Extensive collection of photographs from around Cape Town and the Table Mountain in South Africa. Desktop wallpapers available for download.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.capetownskies.com/"} +{"d:Title": "Proctor, Dale", "d:Description": "Scenic photography of the Eastern Sierra and parts of the California Coast.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.californiapictures.com/"} +{"d:Title": "Taggart, Denny", "d:Description": "Scenic photos of National Parks and other areas in Utah, Wyoming, Montana, Idaho and Arizona.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.dtaggartphotography.com/"} +{"d:Title": "Arehart, Emerson", "d:Description": "Trees, sand dunes, rock formations and wilderness landscapes available for download and desktop use.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.off.the.tripod.com/"} +{"d:Title": "Bowley, Steve", "d:Description": "Large collection of sunrises, taken in and around Wollongong, Australia. Many of them overlooking the Pacific Ocean.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.tsb2000.htmlplanet.com/"} +{"d:Title": "Brownell, James K.", "d:Description": "Scenes from Lake Michigan, boats, and ships.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.jkbsilver.com/"} +{"d:Title": "Tobler, Phyris", "d:Description": "Photographs taken in national parks, including landscapes, wildlife and wild flowers. National parks include Bryce, Arches, Capitol Reef, Mt. Olympus, Mt. Rainer, Hawaii, Zion and other areas.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.phyris.com/"} +{"d:Title": "Clark, David", "d:Description": "Scenic images, and 360\u00b0 QuickTime panoramas from the mountains of Colorado, Utah and other locations in the American southwest.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.rockymountainscenery.com/"} +{"d:Title": "Dennis, Robert", "d:Description": "Scenic photographs of Kennebunkport, Maine, New England lighthouses, and autumn in Vermont.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.portimages.com/"} +{"d:Title": "Dietz, Ken", "d:Description": "Scenic photos, primarily of the Pacific Northwest. Waterfalls, lighthouses, the Columbia River Gorge, Cascade Mountains, coastal scenes, and Japanese Gardens.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.kendietz.com/"} +{"d:Title": "Ellis, Rick", "d:Description": "Yosemite National Park, Bryce Canyon National Park, hot springs, railroad, and other outdoor photography.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.spinics.net/photo/"} +{"d:Title": "Farnsworth-Livingston, Derrald", "d:Description": "Scenic landscape, nature, and wildlife photography from the Midwest, Great Plains, and Western United States. Fine art prints representing images of Nebraska, Iowa, Colorado, Wyoming, and South Dakota.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.journeyoflight.com/"} +{"d:Title": "Gibson, Barbara", "d:Description": "Hawaiian photography of mountains, volcanoes and flora. Other galleries include photos from Grand Canyon and Big Bend National Park.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.terraphotography.com/"} +{"d:Title": "Hiscano, Dwight", "d:Description": "Small collection of landscape photographs from the US, and information on his book \"New Jersey, The Natural State\".", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://dwighthiscano.com/"} +{"d:Title": "Hovmand, Peter", "d:Description": "Danish writer provides landscape photos of the Alps, and Pyrenees mountains in France, Italy, and Spain.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.peterhovmand.dk/"} +{"d:Title": "Lindsay, Stuart", "d:Description": "Digital photography of Cornwall and the Lake District, UK. Updated with new photos every week. Requests taken.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.users.zetnet.co.uk/cornwall/clicks.html"} +{"d:Title": "Lustic, Lee and Marshall", "d:Description": "Sunsets, Western landscapes, macros of flowers, and pueblo structures.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.photography-art.com/"} +{"d:Title": "Marshall, Lee", "d:Description": "Aerial images of the Niagara Falls, and the Statue of Liberty, as well as photographs of commercial airlines and sunsets.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.leemarshall.com/"} +{"d:Title": "McCumber, Michael D.", "d:Description": "Large collection of photos, both natural and urban subjects. Many river and waterfall shots.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.michaelmccumber.com/"} +{"d:Title": "Potter, John", "d:Description": "Landscape photographs portraying the character of Northern Britain.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.jpotter-landscape-photographer.com/"} +{"d:Title": "Queri, M. A.", "d:Description": "Small collection of scenic landscapes of California including waterfalls, mountains, and seascapes.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.virtualcolony.com/maqueri/"} +{"d:Title": "Rose, Kat", "d:Description": "Deserts, sunsets, lakes, and mountains of the Southwestern United States. Also, a small collection of nudes.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.angelfire.com/ca4/k2bonson/"} +{"d:Title": "Sheehan, Glen", "d:Description": "Urban impressions of ghost towns and major cities from the US. Also, featuring desert landscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.redsam.com/"} +{"d:Title": "Siedler, Frank", "d:Description": "Scenic European photography, many mountain landscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.siedler-net.de/photo/"} +{"d:Title": "Weisser, Richard", "d:Description": "Searchable database of images of the Smoky Mountains of Tennessee, and North Carolina. Includes wilderness scenes as well as rustic cabins, and churches.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://smokyphotos.com/"} +{"d:Title": "Wheeler, Michael", "d:Description": "Scenic images of The Badlands, Mt. Rushmore, Big Sur, Monterey, and Yellowstone. Large collection.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.mw-scenicphotos.com/"} +{"d:Title": "Brady, Ravia", "d:Description": "A gallery of landscapes from southern Arizona, including night-time and low-light photographs.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.angelfire.com/falcon/dakkas/index.html"} +{"d:Title": "Rawlyk, Neil", "d:Description": "Scenic photography of Saskatchewan, the Canadian Rockies, and California.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://members.shaw.ca/nrawlyk/"} +{"d:Title": "Jansen, Dirk", "d:Description": "Large image landscape photographs printed on water paper. Mostly from South East of USA.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.dirkjansen.com/"} +{"d:Title": "Reed, E. R. N.", "d:Description": "Photos from travels in California, Jamaica, Kentucky, Tennessee, Texas. State Parks, Cumberland Falls, Moonbow.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://grreed.home.texas.net/FamilyStuff/ERNR/index.htm"} +{"d:Title": "Banks, Dave", "d:Description": "Exhibiting landscape photographs of Scotland.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.davebanks.force9.co.uk/"} +{"d:Title": "Caver, Michael", "d:Description": "Travel photography by an amateur photographer and nature tourist.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.michaelcaver.com/"} +{"d:Title": "McGinley, Robert", "d:Description": "Photographic artist interested in the documentation of natural locations that are threatened by development, weeking to preserve these habitats for future generations through his work. Includes galleries, profile, news and reviews.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.robertmcginleyphotography.com/"} +{"d:Title": "Inglese, Mauro", "d:Description": "Gallery of desert, mountain, lake, and forest landscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.naturenv.altervista.org/"} +{"d:Title": "Luminous Landscape", "d:Description": "Landscape photographer's knowledge resource - articles, workshops, videos and forum. Kevin Raber.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "https://luminous-landscape.com/"} +{"d:Title": "Lemoigne, Cedric", "d:Description": "A collection of macro, lomo, nature, architectural, Holga and landscape photography. Features image archives and biography.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.cedriclemoigne.com/"} +{"d:Title": "Flickr: Gravel Road Photography", "d:Description": "Gravel road photography is street photography from out in the middle of nowhere.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes", "url": "http://www.flickr.com/groups/74475279@N00/"} +{"d:Title": "Young, Fred", "d:Description": "Natural landscapes and wildlife from the southwestern US.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://members.tripod.com/fred_85283/"} +{"d:Title": "Thieu's Thuispagina", "d:Description": "Landscape photographs made in last five years.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.angelfire.com/ut/ThieuThuispagina/index.html"} +{"d:Title": "Adolphe, Luc", "d:Description": "French photographer's natural and urban landscapes from all over the world.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://luc.adolphe.free.fr/"} +{"d:Title": "Carlsson, Thomas Mannfred", "d:Description": "Photographic essays of nature from Northern Europe to Canada.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.beige.org/"} +{"d:Title": "Kroupa, Jan", "d:Description": "Small exhibit of landscape and scenic photographs.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://jankr.tripod.com/landscap.htm"} +{"d:Title": "Chernenko, Vlod", "d:Description": "Landscapes, cityscapes and macro photography along with other interests of the author.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.chernenko.ca/"} +{"d:Title": "Baluja, Shumeet", "d:Description": "Desert and urban landscapes. Also some abstract work.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.esprockets.com/"} +{"d:Title": "Morse, Michael", "d:Description": "Cityscapes, landscapes, abstract details, color and digital photographs.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.duckworks.net/"} +{"d:Title": "Vascoy, William", "d:Description": "Images of the American west, Europe, the pacific northwest, and flowers.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.vanscoyphotography.com/"} +{"d:Title": "Houlder, David", "d:Description": "Australian landscape and travel photography.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://davidhoulder.com/"} +{"d:Title": "Teich, Thomas", "d:Description": "Forest and seaside landscapes from New York and Maine.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.thomasteich.com/"} +{"d:Title": "Schmidt, Lillian", "d:Description": "Landscapes from Liss Ard Garden, an \"ecologically designed garden\" in Ireland.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.lissard.de/"} +{"d:Title": "Bianchi, Edoardo", "d:Description": "Digitally altered landscapes from Norway, Italy, Spain, New York City, Denmark, Tunisia, and elsewhere.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.edoardobianchi.com/"} +{"d:Title": "Zweers, Onno", "d:Description": "Urban and rural landscapes from The Netherlands, Greece, Switzerland, France, Italy, and elsewhere in Europe. In Dutch and English.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.landscapes.nl/"} +{"d:Title": "Weevers, Peter", "d:Description": "UK nature scenes and abstract nature subjects. [Flash]", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://peter.weevers.free.fr/momento.html"} +{"d:Title": "Nakanishi, Kazuto", "d:Description": "Collection of landscapes from the islands of Japan.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://homepage3.nifty.com/~tabi/indexe.htm"} +{"d:Title": "Clark, Darren", "d:Description": "A collection of landscapes and documentary photographs of the American West and Louisiana. Features galleries and an artist biography.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.darrenclarkphoto.net/"} +{"d:Title": "Hammans, Roy", "d:Description": "Urban and rural landscapes from the UK and elsewhere. Includes information on Creative Camera magazine and British photographer Edwin Smith.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.weepingash.co.uk/"} +{"d:Title": "Kuyper, Tony", "d:Description": "Landscape photography from the American Southwest made using digital imaging techniques. Includes tech notes.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.goodlight.us/"} +{"d:Title": "Suess, Matt", "d:Description": "Landscape and seascape photography of Cape Cod, Massachusetts and other locations.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art", "url": "http://www.mattsuess.com/"} +{"d:Title": "Gregory, William", "d:Description": "Western landscapes, and sand dunes.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.williamgregory.com/"} +{"d:Title": "Davies, John", "d:Description": "Urban and rural landscapes of Wales, England, Ireland, Italy, France, The Netherlands and Switzerland.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.johndavies.uk.com/"} +{"d:Title": "Harrington, Roy", "d:Description": "Landscapes from Point Lobos, the Grand Canyon, a ghost town, Mono Lake, and Maine.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.harrington.com/"} +{"d:Title": "Mlineritsch, Reinhart", "d:Description": "Austrian landscapes and semi-abstract natural details. Includes information on the photographer's publications. English and German.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.mlineritsch.com/"} +{"d:Title": "Myers, Joan", "d:Description": "Platinum-palladium prints of the American Southwest, the Santa Fe Trail, Santiago pilgrims, Salton Sea, older women, Pie Town Woman, Japanese-American internment camps and Antarctica.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.joanmyers.com/"} +{"d:Title": "Watanabe, Koichi", "d:Description": "Panoramic landscapes of Hokkaido grasslands. In English and Japanese.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.yo.rim.or.jp/~kwat/geo"} +{"d:Title": "Ross, Alan", "d:Description": "Printer of Ansel Adams' Special Edition negatives for over twenty years. His images are in the Adams tradition; Yosemite, the Southwest, and the coastline of California.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.alanrossphotography.com/"} +{"d:Title": "Greutmann, Thomas", "d:Description": "US, Iceland, Europe and elsewhere.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.blackandwhitegallery.de/"} +{"d:Title": "Mumby, Jonathan", "d:Description": "Landscapes from the American West, including Yosemite, Mono Lake, and Death Valley.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.mumbyphoto.com/"} +{"d:Title": "Varjabedian, Craig", "d:Description": "Photographs of the American West and Southwest.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.craigvarjabedian.com/"} +{"d:Title": "Calvo, Richard", "d:Description": "Landscapes from New York City, Long Island, and elsewhere.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.richardcalvo.com/"} +{"d:Title": "Maraini, Silvio", "d:Description": "Landscapes from Iceland and continental Europe. Includes information on printmaking.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.maraini.com/"} +{"d:Title": "Nielsen, Bryan", "d:Description": "Landscapes, abandoned architecture, and some still life.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://abandonedlandscapes.com/"} +{"d:Title": "Cooper, Keith", "d:Description": "Skies, water and land from the UK and Canada.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://northlight-images.co.uk/"} +{"d:Title": "Houston, Alistair", "d:Description": "Forest and sea of Scotland.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.silverlight.co.uk/"} +{"d:Title": "Riley, Norman E.", "d:Description": "Fine art, small and large format portraits, landscapes, and architectural studies. Includes both infrared and panchormatic images at his gallery, Facts of Light and Transitional Images", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://normanrileyphotography.com/"} +{"d:Title": "McCarthy, Meghan", "d:Description": "Images from America's past, featuring abandoned buildings and empty spaces. \"Eerie and lonely, but crowded with the memories of past lives.\"", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://meghan-mccarthy.com/photography.html"} +{"d:Title": "Gledhill, Steve", "d:Description": "Photographs of the land, sea and sky.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.virtuallygrey.co.uk/"} +{"d:Title": "Bjerkan, Jay", "d:Description": "Specializes in black and white landscapes of the Napa Valley, California.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.jaybjerkan.com/"} +{"d:Title": "Garden, David", "d:Description": "Collection of panaramic landscape photos of the US countryside.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.panascape.com/"} +{"d:Title": "Fokos, David", "d:Description": "Features minimalist black and white images, artist's statement, biography, pricing and links to galleries.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.davidfokos.net/"} +{"d:Title": "Fauland, Peter", "d:Description": "Landscapes and studies of form and light from the American West.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.fauland-photography.com/"} +{"d:Title": "Banks, Jim", "d:Description": "Fine art black and white landscape photography by Jim Banks. Specializing in images of California and the American Southwest.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.jimbanks.com/"} +{"d:Title": "Wesler, Jay", "d:Description": "Specializing in western landscape and nostalgic cityscape imagery.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.jaywesler.com/"} +{"d:Title": "Finley, Mike", "d:Description": "Floral and rural landscape monochrome photography, including some infrared.", "topic": "Top/Arts/Photography/Photographers/Nature/Landscapes/Fine_Art/Black_and_White", "url": "http://www.mikefinley.co.uk/"} +{"d:Title": "Mink, Gaylord", "d:Description": "Stills of Pacific Northwest wildlife captured from video.", "topic": "Top/Arts/Photography/Photographers/Nature/M", "url": "http://www.angelfire.com/wa/minkgi/"} +{"d:Title": "Moore, Gene", "d:Description": "A weather photography and stock image site. It includes pictures and stories about tornadoes, storms and weather subjects.", "topic": "Top/Arts/Photography/Photographers/Nature/M", "url": "http://www.chaseday.com/"} +{"d:Title": "McGahey, Larry and Bird, Laura", "d:Description": "Photographs from Seney National Wildlife Refuge. Also, features music files.", "topic": "Top/Arts/Photography/Photographers/Nature/M", "url": "http://www.lowpockets.com/"} +{"d:Title": "Martens, Hans", "d:Description": "Wildlife photography. Photographs, technique, equipment. Safari information. Book reviews.", "topic": "Top/Arts/Photography/Photographers/Nature/M", "url": "http://www.wildpicture.com/"} +{"d:Title": "Marafie, Dalia", "d:Description": "Collection of astrophotographs of the moon, macro-photographs of flowers, as well as travel pictures, with comments, of Kuwait, Lebanon, and the Eiffel Tower in Paris.", "topic": "Top/Arts/Photography/Photographers/Nature/M", "url": "http://www.peachbox.com/"} +{"d:Title": "McInytre, Neil", "d:Description": "Images of birds, mammals, and landscapes, plus information on a workshop.", "topic": "Top/Arts/Photography/Photographers/Nature/M", "url": "http://www.neilmcintyre.com/"} +{"d:Title": "Maloney, Jon", "d:Description": "A collection of bird, mammal, insect, amphibian and reptile photography as well as a collection of waterfall images from the Carolinas and Georgia.", "topic": "Top/Arts/Photography/Photographers/Nature/M", "url": "http://www.jdmpics.com/"} +{"d:Title": "Nilson, Mats", "d:Description": "Nature and wildlife photography from Scandinavia, and Alberta, Canada.", "topic": "Top/Arts/Photography/Photographers/Nature/N", "url": "http://www.matsnilson.com/"} +{"d:Title": "Nelridge, Richard A.", "d:Description": "Photographs of nature, and Western landscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/N", "url": "http://www.nelridge.com/"} +{"d:Title": "Nydahl, Cecilia and Rory Hall", "d:Description": "Photographs of plants and landscapes from Ireland and Sweden. There is also a selection of free desktop wallpapers available.", "topic": "Top/Arts/Photography/Photographers/Nature/N", "url": "http://www.hallofpictures.com/"} +{"d:Title": "Novo, Alberto", "d:Description": "Images from the Italian Dolomites, landscapes, alpine flowers, and information on photographic techniques.", "topic": "Top/Arts/Photography/Photographers/Nature/N", "url": "http://spazioinwind.libero.it/albertonovo/index_eng.html"} +{"d:Title": "Nicholson, Chris", "d:Description": "Large gallery available as photographic prints includes themes in location, Americana, nature, nautical and landscape.", "topic": "Top/Arts/Photography/Photographers/Nature/N", "url": "http://www.photographingnationalparks.com/"} +{"d:Title": "Ownby, James", "d:Description": "Showcasing bird, flower, and scenery photography. [Java]", "topic": "Top/Arts/Photography/Photographers/Nature/O", "url": "http://www.ownbyphotography.com/"} +{"d:Title": "Owen, Graham", "d:Description": "Photographs of wildflowers, fish, birds and landscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/O", "url": "http://www.grahamowengallery.com/"} +{"d:Title": "Photos You Can't Forget", "d:Description": "The gallery contains many photos you can't forget of wildlife, birds, animals, wetlands and wildflowers.", "topic": "Top/Arts/Photography/Photographers/Nature/P", "url": "http://www.diroll.com/"} +{"d:Title": "Pearn, A.", "d:Description": "Scenic nature photographs.", "topic": "Top/Arts/Photography/Photographers/Nature/P", "url": "http://www.angelfire.com/biz5/APearnPhoto/"} +{"d:Title": "Pollard, Tony", "d:Description": "Portfolio of nature and wild flower photographer from Mt. Shasta, California. Features galleries, and artist biography.", "topic": "Top/Arts/Photography/Photographers/Nature/P", "url": "http://www.tonypollard.net/"} +{"d:Title": "Polini, Riccardo", "d:Description": "Landscape, wildlife and close-up pictures from Italy and other countries. Technical tips on close-up accessories.", "topic": "Top/Arts/Photography/Photographers/Nature/P", "url": "http://xoomer.virgilio.it/ripolini/"} +{"d:Title": "R\u00f8rslett, Bj\u00f8rn", "d:Description": "Features a mix of conventional and ultra-violet photography from Norway. Includes frogs, urban wildlife, and New Zealand natural images.", "topic": "Top/Arts/Photography/Photographers/Nature/R", "url": "http://www.naturfotograf.com/"} +{"d:Title": "Rich-Griffith, Malie", "d:Description": "Photography of Malie Rich Griffith. Photographs of landscapes and wildlife.", "topic": "Top/Arts/Photography/Photographers/Nature/R", "url": "http://www.infocusphotos.com/"} +{"d:Title": "Robertson, Bill", "d:Description": "Nature photographs of waterfalls, wildflowers, panoramic views, rivers and streams, lakes, coastal views, buildings, and winter scenes.", "topic": "Top/Arts/Photography/Photographers/Nature/R", "url": "http://www.brphoto.net/"} +{"d:Title": "Rumyantsev, Vasilij", "d:Description": "Deep sky images, landscape and night photography.", "topic": "Top/Arts/Photography/Photographers/Nature/R", "url": "http://vrum.chat.ru/"} +{"d:Title": "Santi, Marco", "d:Description": "Galleries of landscapes, animals, and plants.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://www.marcosanti.it/"} +{"d:Title": "Siedler, Frank", "d:Description": "Color nature, landscape, and travel photographs.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://www.siedler-net.de/photo/"} +{"d:Title": "Sky Diary", "d:Description": "Storm chasing, weather links, and photography. Chris Kridler's site of storm images, storm chasers, poetic digressions and wild ideas.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://www.skydiary.com/"} +{"d:Title": "Santos, Nuno", "d:Description": "Portfolio of color nature, landscape, and wildlife images from US National Parks and other scenic places.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://photos.homeontheweb.org/"} +{"d:Title": "Sherick, Bob", "d:Description": "Landscape, wildlife, and scenic photographs.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://www.momentsintyme.com/"} +{"d:Title": "Schick, Arnold", "d:Description": "Gallery of landscape and nature photographs, taken all over the world.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://home.arcor.de/chic/"} +{"d:Title": "Shantz, Robert", "d:Description": "Photographs of wildlife, plants, and landscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://www.rshantz.com/"} +{"d:Title": "Shreck, Buck", "d:Description": "Photographs of North American big game animals.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://www.buckswildlifephotography.com/"} +{"d:Title": "Shane, Mike", "d:Description": "Landscapes in Belgium and the Netherlands, pictures of details in nature and light effects. Notes about the technical aspects of the work.", "topic": "Top/Arts/Photography/Photographers/Nature/S", "url": "http://www.mikeshane.org/"} +{"d:Title": "Taylor, Cindy and Ron", "d:Description": "Photography and video of nature and wildlife from around the world.", "topic": "Top/Arts/Photography/Photographers/Nature/T", "url": "http://www.rcphoto.com/"} +{"d:Title": "Takahashi, Kaz", "d:Description": "Wildlife, and landscapes from National Parks throughout the United States.", "topic": "Top/Arts/Photography/Photographers/Nature/T", "url": "http://www.kaz-photography.com/"} +{"d:Title": "Thigle, Anil", "d:Description": "Exhibition of Himalayan floral studies.", "topic": "Top/Arts/Photography/Photographers/Nature/T", "url": "http://vikrant.8k.com/"} +{"d:Title": "Tolk, Rebecca", "d:Description": "Find art photographer displays an online gallery of plant and animal life.", "topic": "Top/Arts/Photography/Photographers/Nature/T", "url": "http://rebeccatolkphotography.com/"} +{"d:Title": "Van Daele, Jeffrey", "d:Description": "Wildlife, nature, landscape, portrait and documentary photography. Includes galleries and offers photographs for sale.", "topic": "Top/Arts/Photography/Photographers/Nature/V", "url": "http://www.jeffreyvandaele.com/"} +{"d:Title": "Viatour, Luc", "d:Description": "Photographer specializing in nature, astronomy, event, portraits and place-holida. All pictures available via free use licence GFDL and Creative Commons.", "topic": "Top/Arts/Photography/Photographers/Nature/V", "url": "http://www.lucnix.be/"} +{"d:Title": "Warner, Ross", "d:Description": "Pictures of wildlife from East Africa and North America, landscapes and scenics, flowers, and Italian cityscapes.", "topic": "Top/Arts/Photography/Photographers/Nature/W", "url": "http://rosswarner.com/"} +{"d:Title": "Wilion, Harold", "d:Description": "Nature photography; landscape and digital.", "topic": "Top/Arts/Photography/Photographers/Nature/W", "url": "http://www.home.earthlink.net/~h111/"} +{"d:Title": "Young, Keith", "d:Description": "Wildlife, and scenic landscape photography from Alberta, Canada.", "topic": "Top/Arts/Photography/Photographers/Nature/Y", "url": "http://mypage.direct.ca/k/kyoung"} +{"d:Title": "Yodis, Vincent J.", "d:Description": "Extensive collection of natural scenes from around the eastern United States. Fall foliage, flowers, waterfalls, and sunsets.", "topic": "Top/Arts/Photography/Photographers/Nature/Y", "url": "http://www.yodis.com/"} +{"d:Title": "Richardson, Gary&Klein, Anna", "d:Description": "Photographs with location details of lighthouses in New England, New York, California and Florida.", "topic": "Top/Arts/Photography/Photographers/Nautical", "url": "http://www.cyberlights.com/lh/"} +{"d:Title": "Shum, Dennis", "d:Description": "A collection of some modern container ships from the major shipping companies.", "topic": "Top/Arts/Photography/Photographers/Nautical", "url": "http://shumsw.tripod.com/shipphoto.html"} +{"d:Title": "McCallum, George", "d:Description": "A collection of whale and marine photography from the Arctic and North Atlantic. Features galleries with stock images.", "topic": "Top/Arts/Photography/Photographers/Nautical", "url": "http://www.whalephoto.com/"} +{"d:Title": "Polick, Bill", "d:Description": "Surf art, and other computer images from original photographs by this photojournalist.", "topic": "Top/Arts/Photography/Photographers/Nautical", "url": "http://www.coastimages.com/"} +{"d:Title": "Shuler, David J.", "d:Description": "Nautical images; powerboats, sailboats, and seascapes.", "topic": "Top/Arts/Photography/Photographers/Nautical", "url": "http://www.yachtphotography.com/"} +{"d:Title": "Overkamp, Wout", "d:Description": "Cinematic photographer based in Groningen, the Netherlands", "topic": "Top/Arts/Photography/Photographers/O", "url": "http://woutoverkamp.nl/"} +{"d:Title": "Olmos, Moses", "d:Description": "People, objects, nature, and locations in color and black and white.", "topic": "Top/Arts/Photography/Photographers/O", "url": "http://www.mosesolmos.com/"} +{"d:Title": "Ogren-Hrejsa, Olivia", "d:Description": "Featuring published and unpublished photojournalistic, landscapes, travel and sports photography", "topic": "Top/Arts/Photography/Photographers/O", "url": "http://oogren.tripod.com/"} +{"d:Title": "Oszmian, Tomasz", "d:Description": "Features landscapes from Poland, Sweden, and France, nature, night scenes, and macro photography. Includes technical information about his equipment.", "topic": "Top/Arts/Photography/Photographers/O", "url": "http://www.home.sol.se/umbert/"} +{"d:Title": "Ottley, Gary", "d:Description": "People, landscapes, cityscapes, and portraits. Some female nudes. Primarily in black and white, but some color.", "topic": "Top/Arts/Photography/Photographers/O", "url": "http://members.tripod.com/ottophoto/"} +{"d:Title": "O'Shaughnessy, John", "d:Description": "Color pictures of landscapes, animals, people, Chicago, and Ireland.", "topic": "Top/Arts/Photography/Photographers/O", "url": "http://homepage.eircom.net/~johnoshaughnessy/"} +{"d:Title": "O'Brien, Saoire", "d:Description": "Self-taught photographer uses traditional techniques to capture the personality of his subjects, human and inanimate. Includes galleries, statement, and curriculum vitae.", "topic": "Top/Arts/Photography/Photographers/O", "url": "http://iolfree.ie/~photographicgalleryofsaoireobrien/"} +{"d:Title": "Parlar, Ethem Onur", "d:Description": "Images from Turkey and the United States. Environmental portraits are a specialty. The site is available in Turkish, English, and German.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.onurparlar.com/"} +{"d:Title": "Pierart, Etienne", "d:Description": "Portrait, urban and landscape photography in black and white and colour.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.photo-etienne-pierart.com/"} +{"d:Title": "Peak Overload", "d:Description": "The photography of Oliver Johnson.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.peakoverload.com/"} +{"d:Title": "Portolani, Massimo", "d:Description": "Photographs of nudes, nature, scenic landscapes, and digitally altered images. Also, samples of his guitar music for download and a biography.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.maxpor.net/"} +{"d:Title": "Pradelli, Giancarlo", "d:Description": "Black and white images of people and landscape from Italy and Guatemala. Also, showing color photographs of bicycle racing.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.giancarlopradelli.com/"} +{"d:Title": "Poon, Patrick", "d:Description": "Black and white and color, still life, urban and portrait.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://patrickpoon.com/"} +{"d:Title": "Peters, Geoff", "d:Description": "Yearbooks with family photographs, travel, nature, and hikes.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.intangibility.com/"} +{"d:Title": "Pritzker, Burton", "d:Description": "Presents work of Texas photographer who tries to see beneath the surface of things. Includes images of his nature photographs, still lifes, and studies in light. Also has biography, statement, resume, and news.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.burtonpritzker.com/"} +{"d:Title": "Parr, Martin", "d:Description": "The Magnum photographer shows his portfolio and published books. [Requires Flash]", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.martinparr.com/"} +{"d:Title": "Pogoda, Bart", "d:Description": "Reportage, fashion, portraits, and travel photography from North America, Asia, Europe, and Africa.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://bartpogoda.com/"} +{"d:Title": "Pellikaan, Bart", "d:Description": "A collection of landscape, people and nature photography. Features galleries, series and a short artist biography.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.photo-image.nl/"} +{"d:Title": "Pralong, Manuel", "d:Description": "Color and black and white photographs of people and places.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.photosduquotidien.com/"} +{"d:Title": "Parker, Dave: Invectis", "d:Description": "Presentation of photo features for various gardens and other subjects in England and Ireland.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.invectis.co.uk/"} +{"d:Title": "Poggi, Joe", "d:Description": "Galleries of people, sports, travel and special events. Includes commentary by the photographer.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://poggiphoto.homestead.com/"} +{"d:Title": "Pessemier, Samson de", "d:Description": "Belgian photographer presents portfolio of portraits, candids, places and landscapes. Black and white, color and pinhole formats.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://users.telenet.be/samson/"} +{"d:Title": "Phelps, John", "d:Description": "Black and white and color photography covering a range of styles and subjects.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://members.tripod.com/photography_10/"} +{"d:Title": "Palmer, Harry", "d:Description": "Photographs of Canadian places and people.", "topic": "Top/Arts/Photography/Photographers/P", "url": "http://www.aportraitofcanada.ca/"} +{"d:Title": "Pismenny, Valentin", "d:Description": "Galleries of full body and torso portraits. Contains some nudes. [Requires Flash.]", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.sight.mksat.net/"} +{"d:Title": "Stone, Howard", "d:Description": "Photographs of people taken from a moving van.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.backfire.co.uk/"} +{"d:Title": "Taytay, Nikko", "d:Description": "Filipino Freelance Photographer. Weddings, Fashion, people, places, still life and food.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://nixtaytay.tripod.com/"} +{"d:Title": "Yedidia, Barak", "d:Description": "Black and white figure, portrait, and dance photography, as well as color pictures of architecture, landscapes, flowers, and objects.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.barakyedidia.com/"} +{"d:Title": "Parra, Mauricio", "d:Description": "Scenic, fashion, and portrait photography. Features photos of models, with contact information.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://userpages.chorus.net/mparra/"} +{"d:Title": "Puzzo, Nicolle M.", "d:Description": "Portraits, musicians, and personal impressions in color and black and white.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.angelfire.com/rock/nicolle/"} +{"d:Title": "Giordano, Robert", "d:Description": "Traditional and digital fine art nude, portraits, landscapes and models photography.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.gallery215.com/"} +{"d:Title": "Robinson, Estill", "d:Description": "Music, people, and travel photography.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.estillrobinson.com/"} +{"d:Title": "Chastain, Denise", "d:Description": "Portrait and lifestyle photography, in both color and black and white.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.denisechastainphotography.com/"} +{"d:Title": "Divyak, Terry", "d:Description": "A collection of model, portrait, travel and street photography. Features galleries, a Seattle city life photoblog and information about his book.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.terrydivyak.com/"} +{"d:Title": "Justice, Sean", "d:Description": "Color portraits of children.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.seanjustice.com/"} +{"d:Title": "Childs, Calvin", "d:Description": "Based in Philadelphia. Site features fashion models that Calvin has photographed over the years.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.calvinchilds.com/"} +{"d:Title": "Hannert, Peter", "d:Description": "Fashion and glamor photographs in colour and black and white.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.hannert.com/"} +{"d:Title": "Cox, Roy", "d:Description": "Fashion, glamour, portrait and nature photography.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.4-optic.com/"} +{"d:Title": "Cloutier, Michel", "d:Description": "Studio and location photography of people. [English and French]", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.michelcloutier.com/"} +{"d:Title": "Galembo, Phyllis", "d:Description": "Photographer and author of books on ethnic, cultural, and ritual dress from Haiti, Brazil, and Nigeria. Photographer's CV, books, and links.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.galembo.com/"} +{"d:Title": "Atkinson, Nigel", "d:Description": "Portraits of friends and family, plus some nature and landscape work.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://nigelatkinson.biz/"} +{"d:Title": "Axolotl, Julian", "d:Description": "Colorful portraits from the Burning Man festival and parties. Also some lowlight portraits using special color lighting techniques.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.supersnail.com/"} +{"d:Title": "Alma, Gio", "d:Description": "Professional fashion photographer displaying a selection of his work. [Requires Flash.]", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.gioalma.com/"} +{"d:Title": "Lipman, James", "d:Description": "Online portfolio of work including commissions and personal collections.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.jameslipman.com/"} +{"d:Title": "Salvino, Campos", "d:Description": "Black and white photography by a Brazilian artist working in Europe. Mixed English and Portuguese.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.salvinocampos.com/"} +{"d:Title": "Norman, Adrienne", "d:Description": "Portrait and documentary photographer based in Amsterdam, The Netherlands", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.amnorman.nl/"} +{"d:Title": "Leitao, Joao", "d:Description": "Virtual gallery of a Portuguese photographer showing people from different countries from 1999-2003.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.joaoleitaofoto.com/"} +{"d:Title": "Del Mar, Katrina", "d:Description": "Includes portraits of one or more people with urban, gothic, and punk themes. In color and black and white.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.katrinadelmar.com/"} +{"d:Title": "Valkenburg, Andrew", "d:Description": "A Dutch photographer presents his portfolio. Portraits and body art are specialties.", "topic": "Top/Arts/Photography/Photographers/People", "url": "http://www.andrewvalkenburg.nl/"} +{"d:Title": "Landi, Elena", "d:Description": "Man photographed by a woman. Professional Rome female photographer. Artistic nude, fine art sensual nude man and women portraits.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.elenalandi.it/"} +{"d:Title": "Bar, Amit", "d:Description": "Black and white and color pictures of nudes and body painting. Also includes landscapes, nature, snapshots, children and portraits, as well as manipulated \"drawings\" and collages. [English and Dutch].", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.amitbar.com/"} +{"d:Title": "Dyjor, Marek", "d:Description": "Polish author especially interested in women portraits and nude photography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.dyjor.com/"} +{"d:Title": "Maitec, Dana", "d:Description": "Paris France photographer. Portrait, fashion, nude, fine art, family, kids. B&W or colour.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.maitecart.com/"} +{"d:Title": "Pons, Gabina", "d:Description": "Male, and female nudes striking dramatic poses in unusual places.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://gabinaf.free.fr/"} +{"d:Title": "Rigon, Gabriel", "d:Description": "Italian nude and portrait photographer. Sensual and emotional galleries, artist information and art photography links.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.gabrielerigon.it/"} +{"d:Title": "Spencer, Ronn", "d:Description": "Fine art nude photography. Erotic, and romantic in theme.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.ronnspencer.com/"} +{"d:Title": "Yeros, Dimitri.", "d:Description": "A selection of vintage surrealistic and male nude photographs by a Greek artist. Information about the artists and published works.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.yeros.com/"} +{"d:Title": "van Stralen, Peter", "d:Description": "Fine art nude black and white photography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.petervanstralen.nl/"} +{"d:Title": "Ticheler, Alan", "d:Description": "Black and white and color studio figure studies and nudes.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.amtphoto.com/figures/"} +{"d:Title": "Mahlmeister, Dennis", "d:Description": "Classic and digitally manipulated portraits and nudes, as well as landscape and fantasy works.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://edge-of-reality.com/"} +{"d:Title": "Art Nudes", "d:Description": "Weblog dedicated to fine art nude photography and related subjects. Includes sample images from the selected sites.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://artnudes.blogspot.com/"} +{"d:Title": "Coigny, Christian", "d:Description": "Switzerland art photographer specialized in black and white photography, works for advertising, fashion, portrait, landscape, still life and reportage.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.christiancoigny.com/"} +{"d:Title": "Roge Photo", "d:Description": "Personal weblog and portfolio of Russian photo artist. Sensual studio portraits and artistic nudes in color and black and white. Includes tutorials about photo skin retouching.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.roge.ru/"} +{"d:Title": "Haralson, Scott", "d:Description": "Male and female nudes, including self portraits, personal and commercial.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://allablur.co.uk/"} +{"d:Title": "Miller, Freyda", "d:Description": "American photo artist portfolio. Includes fine art figure and still life.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.freydamiller.com/"} +{"d:Title": "Jacques, Andre", "d:Description": "Portrait and artistic nude photomontage by Marseilles artist. Information about books, publications. Artist biography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.jjandre-ca.com/"} +{"d:Title": "Terzieff, Alexis", "d:Description": "Representation of professional photographer work.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.terzieff.com/"} +{"d:Title": "Vlachy, Janez", "d:Description": "Black and white studio portraits and nudes of Yugoslavian photographer.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.vlachy.com/"} +{"d:Title": "Imboden, Connie", "d:Description": "Featuring stylized nudes and portraits. Author books, information about exhibitions.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.connieimboden.com/"} +{"d:Title": "Chotenovsky, Dennis", "d:Description": "Fine art nudes and beauty photograph. Author galleries and contacts.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.chotenovsky.com/"} +{"d:Title": "Visualava studio", "d:Description": "California photographic art. Artistic expression of the female form.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.visualava.com/"} +{"d:Title": "Mikhail, Palinchak", "d:Description": "Ukrainian photo artist gallery. Gallery presents: art nudes, philosophical portraits, lyrical landscapes, conceptual photos and digital manipulations.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.palinchak.com/"} +{"d:Title": "Riegel, Jim", "d:Description": "Galleries of offbeat black and white nudes. Includes author book info.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.jimriegelphoto.com/"} +{"d:Title": "Carmichael, John", "d:Description": "Varied portfolio of black and white and coloured nudes of ordinary people, with testimonials from clients. Based in London.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.art-nudes.co.uk/"} +{"d:Title": "De Rycke, Olivier", "d:Description": "Color nudes, still life, landscapes from French photographer.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.olivierderycke.com/"} +{"d:Title": "Schutze, Stan", "d:Description": "Modeling, portrait and nude photography by author from San Diego.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.pbase.com/schutze/modeling"} +{"d:Title": "Vorrias, Leanne", "d:Description": "Women photographed by a woman. Professional New York female photographer. Artistic nude portraits.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.leannevorrias.com/"} +{"d:Title": "Scaudon Photodesign", "d:Description": "A German photographer specialized in nude, fashion and fetish photography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.scaudon.de/"} +{"d:Title": "Burgon, Fred", "d:Description": "Fine art nudes and beauty photograph. Author galleries and contacts.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.fredburgon.book.fr/"} +{"d:Title": "Winge, David", "d:Description": "David Winge, American Art Nude Photographer", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.dwingephotography.com/"} +{"d:Title": "Bosque, Walter", "d:Description": "Argentinean photographer presents fine art nude photography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://walterbosque.com.ar/"} +{"d:Title": "Goulding, Michael", "d:Description": "Black and white nude photography that celebrates the beauty of the female form and spirit. Studio located in the Greater Washington Metropolitan Area.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.gouldingphotography.com/"} +{"d:Title": "Eckhardt, Kai", "d:Description": "German photodesigner presents his erotic and fine art nude photographs.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.kai-eckhardt-erotic-site.com/"} +{"d:Title": "Joshua, Barash", "d:Description": "Official photography website for Los Angeles based Fine Art photographer Joshua Barash.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://joshuabarashphotography.com/"} +{"d:Title": "John Ball, Christopher", "d:Description": "Widely exhibited and published, London based, photographer, playwright and lecturer. Chris enjoys an international reputation and he is the co-founder of The Association of Erotic Artists.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.classicnudes.co.uk/"} +{"d:Title": "Bauer, Daniel", "d:Description": "Swiss professional photographer specialized in nudes, lingerie, fashion and advertising photography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.daniel-bauer.com/"} +{"d:Title": "Hough, Christian", "d:Description": "Photographer based in UK near London. Shooting fashion editorial, beauty, fine art, nude; plus offering seminars and writing techniques for publication.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://christianhough.com/"} +{"d:Title": "Chaulet, Lida", "d:Description": "Primarily Portraits, Nudes and Landscapes. Light and Emotion. Dutch photographer.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.lidachaulet.com/"} +{"d:Title": "Poudret, Damien", "d:Description": "Shows some visual \"short stories\", some staged curiosities as well as landscapes and people.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.vitessemoderne.net/"} +{"d:Title": "Burton, Jane", "d:Description": "Photo website with archives, contacts, cv, galleries and exhibitions", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.janeburton.com.au/"} +{"d:Title": "Schweizer, Thomas", "d:Description": "The German photographer who concentrates his professional work on Beauty photography. The artistic nude work on this site is his 'playground' and compensation to the daily work.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.thomas-schweizer.eu/"} +{"d:Title": "Zenker, Ralf", "d:Description": "Photography for Advertising, People, Cover, Nude, Lingerie, Artists", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://ralfzenker.de/"} +{"d:Title": "Jones, Emma", "d:Description": "Offering vintage, luxury boudoir photography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.missboudoir.com/"} +{"d:Title": "Morales, Brent", "d:Description": "Philadelphia Photographer specializing in Photojournalism, Portrait, Nude, Fine Art, Editorial, Erotic and Fashion Photography", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.brentmorales.com/"} +{"d:Title": "Andrey, Rybalka", "d:Description": "Portrait and art-nude pictures by a photographer from Odessa, Ukraine.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://lama.od.ua/"} +{"d:Title": "Crawley, Mike", "d:Description": "Photofrenetic website containing fine art, erotic, nude, figure, portrait, fashion, fetish and glamour photography images, books, calendars and photographic artwork.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.photofrenetic.com/"} +{"d:Title": "Kent, Nigel", "d:Description": "Artistic nude photographer based in bath", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://nigelkentphotography.weebly.com/"} +{"d:Title": "Barnaba, Debora", "d:Description": "Artist and photographer. Lives in Varese. Worked with Maurizio Montagna, Roger Weiss and in 2009 Oliviero Toscani.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.deborabarnaba.it/"} +{"d:Title": "Smith, Dan", "d:Description": "Durham, North Carolina based fine art and documentary photographer.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://thephotosmith.com/"} +{"d:Title": "My Book Photo", "d:Description": "Portfolio for amateur or professional model and photographer with a forum dedicated to photography and picture critique", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.mybookphoto.net/"} +{"d:Title": "Lehman, Tushna", "d:Description": "Fine art boudoir, glamor and pin-up photography. Located in Seattle, WA.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.t-elleboudoirphotography.com/"} +{"d:Title": "Betz, Shannon", "d:Description": "A fine art photographer based in Los Angeles. Creates dark, evocative and visually engaging imagery.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://shannonbetz.com/"} +{"d:Title": "Vodopivec, Tamara", "d:Description": "Body studies, some nude, and abstract photographs.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://www.tamaravodopivec.com/"} +{"d:Title": "Blog+", "d:Description": "Dedicated to nude photography by providing free tutorials, mini guides, articles and Behind the Scenes material.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://photoblog.studioprague.com/"} +{"d:Title": "Desjardins, Melissa", "d:Description": "Modern professional wedding and portrait photography. Babies, children, pets, seniors, family, maternity, and events.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://melissadesjardins.com/"} +{"d:Title": "E.E. McCollum", "d:Description": "Fine art photographer living in the Washington, DC area who specializes in the nude figure. Works primarily in black and white.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude", "url": "http://eemccollum.com/"} +{"d:Title": "Zoi", "d:Description": "A blog and members site featuring artistic nude images of myself and other models. I am the main model in this website and I also run the website myself.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude/Models", "url": "http://www.dreamingofzoi.com/"} +{"d:Title": "Casual Elegance, Atlanta, US", "d:Description": "Boudoir and nude photography since 2000. Professional, licensed, insured.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude/Studios", "url": "http://www.casualelegancephotography.com/"} +{"d:Title": "Elegant Eye", "d:Description": "Photographer of female models in Charleston SC.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude/Studios", "url": "http://eleganteye.com/"} +{"d:Title": "Colorado Boudoir, US, Denver", "d:Description": "Specializes in photographing women. Located in Denver, Colorado and serves the entire state. Available for travel upon request.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude/Studios", "url": "http://www.coloradoboudoir.com/"} +{"d:Title": "Boudoir Fusion, Johannesburg, South Africa", "d:Description": "Specializes in intimate photography.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude/Studios", "url": "http://bfphoto.co.za/"} +{"d:Title": "TripShooter, Europe", "d:Description": "Destination&Vacation Photographers. Private photo shoots by the best destination photographers across Europe. Handpicked bilingual local professionals. Stunning photos. Rave reviews.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude/Studios", "url": "http://tripshooter.com/"} +{"d:Title": "Impulse Glamour, Bridgend, UK", "d:Description": "Studio boudoir photography by a creative and experienced female photographer at our own private studio with parking.", "topic": "Top/Arts/Photography/Photographers/People/Figure_and_Nude/Studios", "url": "http://www.impulseglamour.com/"} +{"d:Title": "Swirc, Patrick", "d:Description": "Fine art portraits in color and black and white, some nature work.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.swirc.com/"} +{"d:Title": "Szyndler, Janusz", "d:Description": "Commercial and personal collection of black and white and color portraits and figure studies.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.januszszyndler.com/"} +{"d:Title": "Bolivar, Dale", "d:Description": "Street photography and portraits; male nudes.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.dbolivar.com/"} +{"d:Title": "Caldwell, Nicole", "d:Description": "Portraits, headshots, nudes, and still life color photographs. Polaroid transfers.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.nicolecaldwell.com/"} +{"d:Title": "Streuli, Beat", "d:Description": "Street photographs of persons and groups taken in cities all around the world. Information on his exhibitions, and articles about his work.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.beatstreuli.com/"} +{"d:Title": "Coelho, Carlos Pinto", "d:Description": "Portraits, street scenes, and artistic nudes from Greece, Portugal, France, Brazil and Africa.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.acontece.net/"} +{"d:Title": "Aylin, Paul", "d:Description": "Portraits, and nudes; black and white, and digital color.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.aylin.net/"} +{"d:Title": "Hill, John", "d:Description": "Fashion, portrait and urban people photography, all colour. Also shows some landscape and architecture photographs.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.availablelight.co.uk/"} +{"d:Title": "Dyer, John", "d:Description": "Environmental portraits in color and monochrome, including sports people, workers, and bull fighters.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.dyerphotography.com/"} +{"d:Title": "Soderquist, Hans", "d:Description": "Photographs focusing on adolescent boys, portraying the physical and psychological complexity of that age. Artists' biography and contact information.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.photosurface.com/"} +{"d:Title": "Block, Gay", "d:Description": "Portraits of holocaust rescuers, and the Jewish community in America.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.gayblock.com/"} +{"d:Title": "Geddes, Anne", "d:Description": "Photographer known for her pictures of infants in whimsical settings.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.annegeddes.com/"} +{"d:Title": "Mitelman, Jacqueline", "d:Description": "Images by Australian photographer. Includes portraits of notable Australian men and women and links to her images being used by others.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://jacquelinemitelman.com/"} +{"d:Title": "Tsubouchi, Norimichi", "d:Description": "Portrait series in color and monochrome. [Flash required]", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.norimichi.com/"} +{"d:Title": "Holovko, Lyalya", "d:Description": "Portraits, and nudes, presented by this Ukrainian photographer.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.angelfire.com/country/Ukraine/Poltava/index.htm"} +{"d:Title": "Price, Jenny", "d:Description": "Subculture and fetish photographic work.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.jennyprice.com/"} +{"d:Title": "Laska, Robert", "d:Description": "Experimental portraits in color and black and white. Photographic techniques include photo montage.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.robertlaska.com/"} +{"d:Title": "Gay, Larry O.", "d:Description": "Small collection of people photography, mainly monochrome, some digitally manipulated.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://larrygay_1.tripod.com/lightscameraaction/index.html"} +{"d:Title": "Lee, Lance", "d:Description": "Photographs of Linda Leven, face shots, nudes, and partially clothed.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.lindaleven.com/"} +{"d:Title": "Palmon, Ophir", "d:Description": "Collection of life stories focused on people and changes through life, includes weddings, proms, children, childbirth and nudes.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.avpol.com/"} +{"d:Title": "Tremblay, Julie", "d:Description": "Black and white and color photography covering different aspects of human life.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.julietremblay.com/"} +{"d:Title": "Pache, Philippe", "d:Description": "Portfolio including portraiture, photographs of nudes, dance, and landscape.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.philippepache.com/"} +{"d:Title": "Rapfogel, Richard", "d:Description": "Examining the diversity of human life in India, China, Europe, and the Americas.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.rapfogel.com/"} +{"d:Title": "Adams, Bobby Neel", "d:Description": "Fine art portraits in black and white and color.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://bobbyneeladams.com/"} +{"d:Title": "Vlk, Vojtech", "d:Description": "Features documentary and studio portrait photography from Israel, India and South America. Includes both color and black and white works. [English and Czech]", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.vojtechvlk.com/"} +{"d:Title": "Delafon, Sabine", "d:Description": "A young French artist shows her self-portraits. Also, providing biographic information and a list of exhibitions.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://transatlantic.free.fr/site_20_05_2001/"} +{"d:Title": "Duyvis, Paul Donker", "d:Description": "Photographs of Japanese women, lifestyle, and culture.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.freewebs.com/pddstudio/index.htm"} +{"d:Title": "Balas, Judy", "d:Description": "Exhibiting color and black and white figurative photographic studies.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.judybalasphotography.com/"} +{"d:Title": "Rodick, Frank", "d:Description": "Artwork, CV, biography and publications of photo-based artist.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.frankrodick.com/"} +{"d:Title": "Bianchi, Lynn", "d:Description": "Photography, video and photo-sculptures.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://lynnbianchi.com/"} +{"d:Title": "Amorphous, Kalliope", "d:Description": "Self-portrait images, ordering information, statement with biographical note, and links to her other sites.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.kalliopeamorphous.com/"} +{"d:Title": "EV Grieve", "d:Description": "Color photographs of New York City's East Village", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://evgrieve.com/"} +{"d:Title": "Hido, Todd", "d:Description": "Photos of houses, both interior and exterior. Portraits of women. Some nudes. [Flash required]", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://toddhido.com"} +{"d:Title": "Kobeck, John", "d:Description": "Contemporary fine art portraiture, exploring themes of isolation and loneliness. Some nudes.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://johnkobeck.com/"} +{"d:Title": "J\u00f6st, Patrick", "d:Description": "Fashion, reportage, landscape and portraiture photos.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.patrickjoest.com/"} +{"d:Title": "Mr.&Mrs. Huber", "d:Description": "Photographs of a life lived for love before all else. Prints for sale.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.mrandmrshuber.com/"} +{"d:Title": "Carol, David", "d:Description": "Black and white street photography.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://davidcarol.com/"} +{"d:Title": "Keating, Tim", "d:Description": "Photos of Korea. Portraits of men and women. Some nudes.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://timkeatingartist.com/"} +{"d:Title": "Garza, Jesus Manuel Mena", "d:Description": "Chicano fine art, documentary and commercial photographer.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.jmmgarza.com/"} +{"d:Title": "M\u00fcller, Nils", "d:Description": "Portfolio by the photographer. From Cologne, Germany.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.nilsmuellerphotography.com/"} +{"d:Title": "Crobatia, Claudia", "d:Description": "Surrealistic portraits, on the edge of dreams and reality.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.claudiacrobatia.com/"} +{"d:Title": "Aleksandrowicz, Yannick", "d:Description": "Photography about melancholy and depression. Other pictures made in China where the streets are turned into a cinematographic moment.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.yannickaleksandrowicz.com/"} +{"d:Title": "Kuzmin, Alexander", "d:Description": "Portfolio showcasing genre, street, journalistic, fashion and portrait photography.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.alexander-kuzmin.com/"} +{"d:Title": "Yardeni, Nurit", "d:Description": "Portfolio of travel, portraits and documentary photos.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.nurityardeni.com/"} +{"d:Title": "T\u00fcbke, Albrecht", "d:Description": "Portraits, still life and documentary photos. Mostly in color", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.tuebke.info/"} +{"d:Title": "Harrison, Tom", "d:Description": "A UK based portrait photographer specialising in the convergence of digital and chemical photographic processes.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.brightlightimages.co.uk/"} +{"d:Title": "Clements, Nik", "d:Description": "Portraiture, still life and alternative process in black and white. Digital and analog film", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://niksc.com/"} +{"d:Title": "Radcliffe, Jack", "d:Description": "Black and white portraits.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://jackradcliffe.org/"} +{"d:Title": "Lattimore, Kris", "d:Description": "Portraits in color with some black and white. Digital and analog.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.krislattimore.com/"} +{"d:Title": "Mosgrove, Will", "d:Description": "Portraits in color with some black and white. Children, adults, animals and still life.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.willmosgrove.com/"} +{"d:Title": "Fineberg, Roberta", "d:Description": "Portraiture relating to advertising, commercial, editorial, and events. Mostly New York", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://robertafineberg.com/"} +{"d:Title": "Gone City", "d:Description": "Photo blog containing mostly portraits of Central American and Mexican people. Color and black and white.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://gonecity.blogspot.com/"} +{"d:Title": "Dettmer, Joachim W.", "d:Description": "One of the most successful \"Salon Photographers\" shows his work, exhibited around the world for more than 25 years now.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.joachim-w-dettmer.de/"} +{"d:Title": "Rougeot, Alexandre", "d:Description": "Portraiture, some color and B&W", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.photoalexandre.com/"} +{"d:Title": "James, Catherine", "d:Description": "Portraits and conceptual art from Zurich and Paris. Some nudes", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.catherinejamesartist.com/"} +{"d:Title": "Shepard, Nick", "d:Description": "Eerie photographs of empty spaces that retain traces of human activity.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.nickshepard.com/"} +{"d:Title": "Sage, Pierre", "d:Description": "Specialized in glamour, nude and erotic fine art.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.pierre-sage.com/"} +{"d:Title": "Heslin, Colleen", "d:Description": "Includes landscape, portraits, and fine art photographs by Canadian artist based in Vancouver.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://colleenheslin.com/"} +{"d:Title": "Sussman, Daniel", "d:Description": "Portfolio of an American photographer and screenwriter living in Greece -- people, portraits, tourists and landscapes -- in B&W and color.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://danielsussman.net/"} +{"d:Title": "Arques, Isabel Miquel", "d:Description": "Individual and family portraits, landscape and urban landscape, and his book of portraits of Belgian artists.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.isabelmiquelarques.com/"} +{"d:Title": "Chalumeau, Eden", "d:Description": "Art photography from a French artist living in China, including mainly portraits, but also street life, sensual, black and white, landscapes and symbolist photos.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://lepetitchinois.net/"} +{"d:Title": "Halpin, Mary Ann", "d:Description": "Los Angeles based portrait photographer specializing in families, children, maternity, and babies.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.maryannhalpin.com/"} +{"d:Title": "Marks, Jonathan", "d:Description": "iPhone photography, color with some black and whites. Landscapes, still life, portraiture and abstracts.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://jonathanmarksfineart.com/"} +{"d:Title": "Smith, Alix", "d:Description": "Color portraiture.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.alixsmith.com/"} +{"d:Title": "Ladage, Anje and Jan", "d:Description": "Portfolio of nudes, human kaleidoscope, backlight, reflection images, and bodypainting.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://home.kpn.nl/c.j.ladage/"} +{"d:Title": "Debord, Diana", "d:Description": "Galleries including portraits and self-portraits, landscapes, animals.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.dianadebord.com/"} +{"d:Title": "Arnold, Brian", "d:Description": "Works, bio and blog of the nature photographer and computer artist. He is a published photographer with a long career as a film and television actor. He was a well known radio and TV broadcaster in Vancouver through the 70s, 80s and 90s.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.brianarnoldimaging.com/"} +{"d:Title": "Heger , David", "d:Description": "Landscapes with stories - coloured photographs.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://photoheger.com/"} +{"d:Title": "Gibson, Dave", "d:Description": "Online gallery of fine art fantasy and landscape photography, with assisted blog describing the work behind each image.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.davedgibson.com/"} +{"d:Title": "Kmiec, Aldona", "d:Description": "Fine Art Portrait Personal Photography Projects about migrants in Australia.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.aldonakmiec.com/"} +{"d:Title": "Stahl, Don", "d:Description": "Street, portrait and set photographer based in New York City.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://donstahl.com/"} +{"d:Title": "Mankowski, Peter A.", "d:Description": "Photographer and audio designer. Latest image gallery and personal blog.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.silenceisgrand.com/"} +{"d:Title": "Hubbs, Nicole", "d:Description": "Portrait, Fine Art, Fashion.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.nicolehubbsphotography.com/"} +{"d:Title": "Adams, Stephanie", "d:Description": "Photographs blended with art to showcase modern day archangels.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://theseraphsadvocate.com/"} +{"d:Title": "Dye, Noah", "d:Description": "Fine art photography, contemporary, some video", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://noahdye.com/"} +{"d:Title": "Totten, Malcolm", "d:Description": "Images of Irish countryside", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.picturesofireland.ie/"} +{"d:Title": "Dettori, Fabien", "d:Description": "Grouped photographs of artistic nudes and silhouettes of trees.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://fabdettori.chez.com/"} +{"d:Title": "Giovanni Chianese", "d:Description": "Art Photography is about storytelling pictures. Stunning Staged and Fashion Photography", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.giovannichianese.com/"} +{"d:Title": "Carpaneda Simone", "d:Description": "Portfolio of my travel, events and documentary photos.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.simone-carpaneda-photography.it/"} +{"d:Title": "Queen, Jane", "d:Description": "Alternative pin-up, conceptual imagery. Mostly color.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://janequeen.com/"} +{"d:Title": "Scarsdale Organic Photo", "d:Description": "Organic photographs, dry cleaning photos", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://scarsdaleorganiccleaners.com/"} +{"d:Title": "Serkis, Sven", "d:Description": "German portraits and nudes fine art photographer based in Berlin.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.svenserkis.de/"} +{"d:Title": "Lindstrom, Jonas", "d:Description": "A collection of portrait, landscape, music, travel and design photography. Features galleries, and contact information.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art", "url": "http://www.jonaslindstromstudio.se/"} +{"d:Title": "Gribinski, M\u00e9lanie", "d:Description": "Portraits of artists, writers, publishers, illustrators, musicians, poets and psychoanalysts. French and English.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.melaniegribinski.com/"} +{"d:Title": "Coldeboeuf, Henri", "d:Description": "French street photographer's images of children at school and in fairs, religious processions, and a Limoges porcelain factory.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://photo-colde.chez-alice.fr/"} +{"d:Title": "Ahrens, Eric", "d:Description": "Figure studies of women, glamour and sensual portraits.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://ahrensphoto.com/"} +{"d:Title": "Dzividzinska, Zenta", "d:Description": "Latvian photographer's vintage photos from 1960 to early 1970. Women from a woman's perspective.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://re-lab.net/zenta"} +{"d:Title": "Jesover, Eric", "d:Description": "Fine arts portraits and nude photography.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://eric.jesover.net/"} +{"d:Title": "Double, Steve", "d:Description": "Collection of over one hundred portraits of bands, musicians, songwriters, film makers, authors, entertainers and public figures.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.double-whammy.com/"} +{"d:Title": "Bailey, K.C.", "d:Description": "Celebrity portraits, and an entire section featuring images of people screaming.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.kcbailey.com/"} +{"d:Title": "Westerweel, Sebastiaan", "d:Description": "Portraits and artistic abstract photography.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.sebastiaanphoto.nl/"} +{"d:Title": "Stuart, Bob", "d:Description": "Images of the East End of London, portraits, and band photos from the 80s and 90s.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.sublimephotography.co.uk/"} +{"d:Title": "Wray-McCann, Christopher", "d:Description": "Street scenes, musicians, intimate portraits, and lifestyle photographs. [Flash required]", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.wray-mccann.com/"} +{"d:Title": "Maffei, Anita", "d:Description": "Photographs of 1980s Boston rock bands, portraits, children, and other subjects.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.bostonphotography.com/"} +{"d:Title": "Krebs, Ed", "d:Description": "Portraits of the surfer boys who hang at Thalia Street Beach in California. Also featuring swimmers, and young dancers.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.edkrebs.com/"} +{"d:Title": "Ruth, Robin", "d:Description": "From Los Gatos California. Portraits of children, adults, and couples.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.robinruth.com/"} +{"d:Title": "Shimon, J. and Lindemann, J.", "d:Description": "Candid portraits of people from the small towns and rural areas of Wisconsin.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.shimonlindemann.com/"} +{"d:Title": "Bingham, Richard", "d:Description": "Gallery including mainly photos of people, both portrait and nudes. Also, smaller sections on landscape, sport, and nature.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.lux-vobiscum.co.uk/"} +{"d:Title": "Schoenfeld, Wayne", "d:Description": "Black and white and color nude work and people photography with biography.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://wschoenfeld.com/"} +{"d:Title": "Moisey, Andrew", "d:Description": "Works on college fraternity life, public transportation, laundromats, and cats.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.andrewmoisey.com/"} +{"d:Title": "Story, Mark", "d:Description": "Black and White Portraits of Centenarians around the world. 100 year olds and worn faces beyond their years.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.markstoryphotography.com/"} +{"d:Title": "Rife, Carolyn", "d:Description": "Children's black and white, sepia and hand tinted portraits.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.carolynrifephotography.com/"} +{"d:Title": "Cooper, Dorothy", "d:Description": "Documentary, photojournalistic photography and portraiture of children, people, landscapes, cityscapes and street scenes.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.dorothyblumcooper.com/"} +{"d:Title": "Fertik, Irene", "d:Description": "\"Ethiopian Israelis\" collections and documentary photography.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.irenefertik.com/"} +{"d:Title": "Jankowski, Jo", "d:Description": "People photography in categories like heaven, suits, skin, jobs, mad and hell.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.jojankowski.de/"} +{"d:Title": "Soth, Alex", "d:Description": "Includes \"State Fair Portraits\" and scenes from bars. Ongoing projects added to periodically.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.alecsoth.com/"} +{"d:Title": "Blaszczyk, Chris", "d:Description": "Features photography with poetry.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://toja.com/photography/"} +{"d:Title": "Alpers, Jackie", "d:Description": "Fine art photographer explores mysteries, rituals, habits and beliefs from an autobiographical perspective. Images and biographical note.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.jackiealpers.com/"} +{"d:Title": "Gluckstein, Dana", "d:Description": "Features museum quality portraits of indigenous peoples; includes iconic figures such as Nelson Mandela, Mikhail Gorbachev, and Muhammad Ali.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.danagluckstein.com/"} +{"d:Title": "Ruzek, Jiri", "d:Description": "Fine art nude photographs by an internationally published author, Czech photographer", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.jiriruzek.net/"} +{"d:Title": "Ayres, Gordon", "d:Description": "The Hollywood Glamour and Noir Burlesque style photography of Gordon Ayres. Galleries for Hollywood glamor, Vouge, Musicians, Burlesque, Film Noir, Vintage Color, and Living History", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://gordonayresphotographer.com/"} +{"d:Title": "Agas, David", "d:Description": "Classic Black&White film images: portrait, urban, music, and nudes.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://davidagasi.com/"} +{"d:Title": "Meszarovits, Manuel", "d:Description": "Environmental portraits and urban photography.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://www.meszarovits.org/"} +{"d:Title": "Podluzny, Dmitry", "d:Description": "Fine arts images of urban landscapes and people.", "topic": "Top/Arts/Photography/Photographers/People/Fine_Art/Black_and_White", "url": "http://derwhite.com/private/"} +{"d:Title": "Ratcliffe, Keith", "d:Description": "Photographs and writing featuring his love for the outdoors. Landscapes shown from the United Kingdom, with discussion of techniques accompanying each image.", "topic": "Top/Arts/Photography/Photographers/Photographica", "url": "http://www.interfaceimages.co.uk/"} +{"d:Title": "Mohd Noor", "d:Description": "The first Malaysian photographer to develop film manually at the Everest base Camp 1997 shows photographs of himself at work.", "topic": "Top/Arts/Photography/Photographers/Photographica", "url": "http://annoo.tripod.com/"} +{"d:Title": "Alford, Ross", "d:Description": "Nature and abstracts, classic camera photographs, explanations and examples of digital stereo and infrared techniques.", "topic": "Top/Arts/Photography/Photographers/Photographica", "url": "http://www.pibweb.com/ross/photo.htm"} +{"d:Title": "Atkins, Bob", "d:Description": "Photographs of landscapes, animals, and New York City. Also, featuring tutorials, reviews, and camera related information.", "topic": "Top/Arts/Photography/Photographers/Photographica", "url": "http://www.bobatkins.com/"} +{"d:Title": "Camera Grafica", "d:Description": "Showcases a collection of toy, junk, and vintage cameras.", "topic": "Top/Arts/Photography/Photographers/Photographica", "url": "http://www.angelfire.com/art/cameragrafica/"} +{"d:Title": "Yeo, Amy", "d:Description": "Black and white, artistic and portrait photography.", "topic": "Top/Arts/Photography/Photographers/Photographica", "url": "http://www.taboo.iwarp.com/"} +{"d:Title": "McAvoy, Keith", "d:Description": "Architecture, landscapes and concerts and events.", "topic": "Top/Arts/Photography/Photographers/Photographica", "url": "http://keithmcavoy.com/"} +{"d:Title": "Rondini, Stefano", "d:Description": "Still life, surreal concepts, fine art nudes, and travel images from the Italian photographer.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://web.tiscali.it/stefanor/home/home.html"} +{"d:Title": "Rines, Brad", "d:Description": "Abstract images in color, and black and white.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www3.telus.net/rines/home.htm"} +{"d:Title": "Ross, Lewis", "d:Description": "Flowers, animals, aerial shots, and scenic views of towns and rural areas, as well as mountain landscapes.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www.machlink.com/~lross/"} +{"d:Title": "Racer, Andrew", "d:Description": "Amateur art photographer. Includes concert photos.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://photoracer.tripod.com/main.html"} +{"d:Title": "Rizwan", "d:Description": "Toronto, the Himalayas, nature and portraits.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://rizwanportfolio.tripod.com/"} +{"d:Title": "Roberts, Gregory", "d:Description": "Digital, 35mm, medium, and large format pictures. Subjects include North American nature, landscapes, and animals. Mainly color.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://gregrob.ca/"} +{"d:Title": "Roth, Dany", "d:Description": "Includes photo galleries, greeting cards, quicktime movies and digital photography tips using a Nikon D1X.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www.digital-dany.com/"} +{"d:Title": "Rains, Michael", "d:Description": "Landscapes, cityscapes, water and nature.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www.michaelrains.com/"} +{"d:Title": "Richman, Steven M.", "d:Description": "Changing exhibits of fine art and editorial stock photography, specializing in mid-Atlantic region.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www.richmangalleries.com/"} +{"d:Title": "Red Works Photography", "d:Description": "Portfolio for Nadya Kwandibens, Northwestern Ontario.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www.redworks.ca/"} +{"d:Title": "Riker, Jason", "d:Description": "Commercial, advertising, beauty and fashion photography.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www.jasonrikerphoto.com/"} +{"d:Title": "Rugolo, Silvestro", "d:Description": "A collection of cityscape, nature, landscape and architectural photography. Features galleries, news and contact information.", "topic": "Top/Arts/Photography/Photographers/R", "url": "http://www.silviorugolo.it/"} +{"d:Title": "Salutova, Tatiana", "d:Description": "Russian Photographer. Portraits, and landscapes plus other works in color and black and white. Site in English and Russian.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.salutova.ru/"} +{"d:Title": "Sudoh, Akira", "d:Description": "Dedicated to street photography in and around Tokyo, Japan, especially of people and landscape in Shinjuku, Harajuku, Omotesando and Odaiba.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://streetphoto.jp/"} +{"d:Title": "Scalia, Philip", "d:Description": "Galleries of photographs and art by a photographer from the Mohawk Valley of New York State.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.philipscalia.com/"} +{"d:Title": "Sale, Maggie", "d:Description": "Scenic images of Canada, the United States, and England. Also, abstract and nature photographs.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.maggiesale.ca/"} +{"d:Title": "Smith, Greg", "d:Description": "Photographs of Ireland, backyard wildlife, and people. From Dublin, Ireland.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.bheonadraiocht.freeservers.com/"} +{"d:Title": "Smid, Thomas", "d:Description": "Photographs of landscapes, birds, flowers, cars, and architectural details from around the United Kingdom.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.openviews.org.uk/"} +{"d:Title": "Stevens, David", "d:Description": "Horizon photography. Landscapes, portraits, action, and sports.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://horizonphotography.com/"} +{"d:Title": "Stump, Vanessa", "d:Description": "Photographs of gardens, food, and environmental portraits.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.vanessastump.com/"} +{"d:Title": "Stamm, Matthias", "d:Description": "Landscape and architectural photographs, including images of German castles. A special focus is given to Andalusia. Also, featuring a collection of historic images by E. O. Hopp\u00e9.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://home.arcor.de/cahir/en/"} +{"d:Title": "Stevens, Pete", "d:Description": "Portraits, people, sports, landscapes, and images of kittens.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.creativeempathy.com/"} +{"d:Title": "Sepang F-1 Circuit, 1999", "d:Description": "First experience with Formula 1 racing at the brand new race track at Sepang F-1 circuit.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.mir.com.my/rb/photography/leofoo/sepang99/index.htm"} +{"d:Title": "Saul, Leora", "d:Description": "People, places and things..", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://glasseye.org/"} +{"d:Title": "Seng, Sim Kar", "d:Description": "Online photo showcase of a Singapore based photographer. Work includes travel, portrait, bird and flower photography.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://5art.tripod.com/"} +{"d:Title": "Schweizer, Ede", "d:Description": "Features travel and personal photographs, interviews with various people who inspired him, and a weblog.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.decibeldragon.com/"} +{"d:Title": "Scott, Jackson", "d:Description": "Photographer and copywriter showing photos of people, places, and things. Includes black and white, hand tinted, and color images.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.jacksonscott.com/"} +{"d:Title": "Shevchenko, Anton", "d:Description": "Collection of travel photographs from North America, Peru and the Ukraine, as well as portraits.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.antonshevchenko.com/"} +{"d:Title": "Selfsnap", "d:Description": "Photography of Japanese urban scenes and female models.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://selfsnap.tripod.com/"} +{"d:Title": "Smith, Steven", "d:Description": "Includes monocrome, landscape, animals, waterside, and cityscape photographs from a freelance web designer.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.unfamiliar-image.co.uk/"} +{"d:Title": "Steinbacher, Mary", "d:Description": "Collection of western and cowboy photographs as well as travel images from around the United States.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.marysteinbacher.com/"} +{"d:Title": "Sims, Teresa", "d:Description": "Features six thematic galleries containing black and white and color photography by an Atlanta, Georgia, based photographer.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.teresasims.com/"} +{"d:Title": "Seeburger, Christoph", "d:Description": "Architecture, interiors, industrial, landscape, editorial and personal photography.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.christophseeberger.de/"} +{"d:Title": "Salazar, Carlos", "d:Description": "Landscape and architectural photographs, classified by subject. Includes biographical note.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://futura12.tripod.com/"} +{"d:Title": "Schroeder-Gosset, Nancy", "d:Description": "A collection of decorative photography including objet d'art, flowers, people and places. Features galleries, artist biography and contact information.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.vueunique.com/"} +{"d:Title": "Sweet, Tony", "d:Description": "Abstracts natural details, landscapes, water, infrared, and a collection of images of Baltimore, MD. Workshop schedule, and contact information.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.tonysweet.com/"} +{"d:Title": "Stulberg, Scott", "d:Description": "Collection of Southeast Asia photography including people, nature and wildlife in Burma, Myanmar, Cambodia, and Thailand.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.asa100.com/"} +{"d:Title": "Stanley, Laughton", "d:Description": "Architecture, landscapes, animals and flowers. Most photographs shot on location in the Boston-New England area supplemented with work from around North America and Europe.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.laughtonstanley.com/"} +{"d:Title": "Schultz, Marc", "d:Description": "Bangkok, Thailand based professional photographer. Specializing in hotel, architecture, corporate, food, advertising, portrait, and product photography.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.marcschultz.com/"} +{"d:Title": "Schreier, Dave", "d:Description": "Photographs taken from visits to Morocco, Peru, and national parks and of animals, nature, and barns.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.dlsimages.com/"} +{"d:Title": "Sbingu, Adrian", "d:Description": "Fashion, landscape, nature, and people in black and white and color.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.thephotographer.ro/"} +{"d:Title": "Slater, Mike", "d:Description": "High impact colour images of the world by a UK photographer. Includes colors and shapes, nature, and architecture.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.mikeslater.co.uk/"} +{"d:Title": "Schramek, Erica", "d:Description": "Conventional and digital photographs by a photography student, in color and monochrome.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.isabella02.deviantart.com/"} +{"d:Title": "Savatier, Tristan", "d:Description": "Industrial and travel photography.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.loupiote.com/"} +{"d:Title": "Swerbo.com", "d:Description": "Documentary photography by Swerbo.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.swerbo.com/"} +{"d:Title": "Saito, Ryosuke", "d:Description": "Photography of life in Tokyo, scenery of India and Tibet and some portraits.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.saitoryosuke.net/"} +{"d:Title": "Sseemyash", "d:Description": "Collection of star trails, carnival rides at night, and motorsport photos.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://sseemyash.tripod.com/"} +{"d:Title": "Sutera, Pietro", "d:Description": "Portraits, people, advertising, and travel in color and black and white.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.pietro-sutera.de/"} +{"d:Title": "Sergei Simonov", "d:Description": "Portrait, art, street, travel and wedding photographer. Warsaw, Poland.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://sergeisimonov.com/"} +{"d:Title": "Kuntal Saha", "d:Description": "Photographer. Bangalore, Karnataka, India.", "topic": "Top/Arts/Photography/Photographers/S", "url": "http://www.flickr.com/photos/kuntal41101/"} +{"d:Title": "Thai Pham", "d:Description": "Black and white street photography of Washington, DC. Color photos of flowers.", "topic": "Top/Arts/Photography/Photographers/T", "url": "http://members.tripod.com/Thai_Pham/"} +{"d:Title": "Tan, Alan C.H.", "d:Description": "Landscape, people, flowers, and day-to-day accounts of the trek in Nepal.", "topic": "Top/Arts/Photography/Photographers/T", "url": "http://nikonnut.tripod.com/lightbox/"} +{"d:Title": "There Out There", "d:Description": "Site contains two galleries. The \"Cloud Beings\" Gallery displays photos of spirit/beings manifesting in clouds. These beings include, an angel, gnome, Native American spirits, Priests and others. Second Gallery includes a \"see through\" photo of a person.", "topic": "Top/Arts/Photography/Photographers/T", "url": "http://www.thereoutthere.com/"} +{"d:Title": "Thomas, Mark A.", "d:Description": "Digital photos of New York City, and elsewhere. Updated almost daily.", "topic": "Top/Arts/Photography/Photographers/T", "url": "http://www.sorabji.com/BIG/"} +{"d:Title": "Tovar, Benito", "d:Description": "People, pets and urban photography in black and white and color.", "topic": "Top/Arts/Photography/Photographers/T", "url": "http://www.angelfire.com/tx3/mcmyers/index.html"} +{"d:Title": "Tran, Thien", "d:Description": "Location, landscape, nature, and miscellaneous photographs. Also includes a biographical note and Thien's critiques of the photographs of others.", "topic": "Top/Arts/Photography/Photographers/T", "url": "http://www.trekearth.com/members/thien/"} +{"d:Title": "Taylor, Keith", "d:Description": "Features landscapes from the United Kingdom, macro photographs, and cityscapes.", "topic": "Top/Arts/Photography/Photographers/T", "url": "http://www.marona.co.uk/"} +{"d:Title": "Bennett, Michael J.", "d:Description": "Photography of the Quabbin Region&beyond.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location", "url": "http://www.tundragraphics.com/"} +{"d:Title": "Arain, Taraq M.", "d:Description": "Images from around the world, including Nepal, Thailand, United States, and Europe. Also, screensavers for download.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/A", "url": "http://members.tripod.com/vagabond_photo/"} +{"d:Title": "Daniel Aamot", "d:Description": "Dan's Visual Anthropology. Presents his photographs of life in Brazil. Has images, resume, and data and articles on Brazil and on his community projects there.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/A", "url": "http://www.members.tripod.com/danaamot/"} +{"d:Title": "Ahlgren, Fredrik", "d:Description": "Small galleries of images taken in Jamaica, Norway, Brazil, and European capitals.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/A", "url": "http://www.pbase.com/ahlgren/"} +{"d:Title": "Tempe, Antoine", "d:Description": "Images of Africa and Madagascar, portraits of African and African-American dancers and performers. Images, projects, publications, and exhibitions.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Africa", "url": "http://www.antoinetempe.com/"} +{"d:Title": "Kaczmarek, George", "d:Description": "Photos of people, and animals from his trip to Kenya.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Africa", "url": "http://www.1000gkphotos.com/np/art.html"} +{"d:Title": "Eakins, Nick", "d:Description": "Photographs from India and South-East Asia. Includes Himalayan landscapes, peoples, and hilltribe cultural images.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://www.photographs-asia.com/"} +{"d:Title": "Gippenreiter, Vadim", "d:Description": "People and historic places from Central Asia in photographs, including Samarkand, Bukhara, and Khiva.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://www.all-photo.ru/fabledcities/index.en.html"} +{"d:Title": "Sullivan, Robert", "d:Description": "Shows photos from Japan and other locations in East and South-East Asia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://www.angelfire.com/id/croon/"} +{"d:Title": "Seiciro", "d:Description": "Amateur photographer featuring images from Japan.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://seiciro.tripod.com/photoalbum/"} +{"d:Title": "Iida, Ai", "d:Description": "Photography from Japan and Taiwan showing the culture, architecture, and nature of these countries. Includes photographs of waterfalls and fireworks.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://ai_iida.tripod.com/"} +{"d:Title": "Walker, Peter", "d:Description": "South-East Asian images of temples, landscapes, people, models, and underwater subjects.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://www.peterwalker.com/"} +{"d:Title": "Callcut, Danny", "d:Description": "Photographs from India, Thailand, Laos, and Myanmar on a variety of subjects, people, and places.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://www.stickyrice.co.uk/"} +{"d:Title": "Diekamp, Volker", "d:Description": "Photographic impressions of China, Hong Kong, Taiwan, and Japan.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://www.asia-photo.com/"} +{"d:Title": "Nguyen, Tam Tien", "d:Description": "Images of Vietnam and its people taken by a returning refugee.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Asia", "url": "http://www.nguyentientam.com/vietnam.html"} +{"d:Title": "Beardsworth, John", "d:Description": "Color and black and white photographs of sites throughout the world.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.beardsworth.co.uk/"} +{"d:Title": "Breslawski, Roy", "d:Description": "Photography from around the world. Focused on images of people, nature and wildlife.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://members.tripod.com/bresfam/"} +{"d:Title": "Bockermann, Carsten", "d:Description": "Photos from India, Mexico, the United States, Germany, Mauritius, Spain, Italy, and Portugal.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.cabophoto.com/"} +{"d:Title": "Bobke, Laurenz", "d:Description": "Images from Asia, Australia, Europe, and Latin America. Includes a guest gallery.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.travelphoto.net/"} +{"d:Title": "Bull, David", "d:Description": "Photographs, with comments, from Canada, USA, Australia, New Zealand, Pacific Islands, Thailand, Malaysia, and Denmark. Also, a few vacation pictures.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://dave_bull.tripod.com/"} +{"d:Title": "Broadwell, Richard", "d:Description": "Photographs from Thailand, Bali, Tonga, Moorea, the Cook Islands, New Zealand, and the American west. Includes several panoramic views. Also, samples of his commercial photography.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.rbphoto.net/"} +{"d:Title": "Babaian, Natasha", "d:Description": "Stocklist of photographs from Australia, Europe, the United States, and Central Asia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.nomadcamera.com/"} +{"d:Title": "Bourdet, Guillaume", "d:Description": "Pictures of Nepal, Pakistan, India, the UK, and May Day demonstration 2001, as well as personal information.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://yomgui666.free.fr/"} +{"d:Title": "Bellou, Kalli", "d:Description": "Images taken in Egypt, Italy, Greece, Turkey and Thailand. Also examples of nature, abstract and people photography. Features galleries, artist statement and guestbook.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.kallistigmi.gr/"} +{"d:Title": "Baker, Iain", "d:Description": "Travel photography from Europe, North America, Asia and Australia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.iainbaker.co.uk/"} +{"d:Title": "Birlouez, Vincent", "d:Description": "Black and white travel photography.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/B", "url": "http://www.birlouez.net/"} +{"d:Title": "Cataldo, Beth", "d:Description": "People and landscape photographs from Pakistan, China, Mexico, Cuba, and California.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.bethcataldo.com/"} +{"d:Title": "Chang, Hao F.", "d:Description": "Photographs of New York City, Los Angeles, San Francisco, Canadian places, and Taiwan. Provides technical data and information on his digital cameras.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.evercloud.com/"} +{"d:Title": "Crawford, Addison", "d:Description": "Photographs from New York, Amsterdam, Paris, Italy, and Australia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://addisoncrawford.com/"} +{"d:Title": "Costa, Tony", "d:Description": "Extensive collection of landscape and nature photographs from trips to South America, North America, and Asia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.etravelphotos.com/"} +{"d:Title": "Cooke, Julie", "d:Description": "Portfolio of low light and travel photographs from around the world. Includes India, Nepal, Tibet, Namibia, the Caribbean, England, and Ireland.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.lightdrawing.com/"} +{"d:Title": "Chernev, Pavel", "d:Description": "Photographs of nature, landscapes, people, and events, primarily from Brazil. Also, Canada, Bulgaria, Buenos Aires, and New York.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.photoman.pavc.com/"} +{"d:Title": "Chardonnens, Loic", "d:Description": "Images from New York, the western United States, Colombia, Brittany, and Berlin. Includes a picture of the day, and photographic projects. [Flash required]", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.35mm.ch/"} +{"d:Title": "Chambers, Don", "d:Description": "Large collection of photographs from Japan and the United States, as well as personal galleries.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.xmission.com/~dcc/"} +{"d:Title": "Culling, Eleanor T.", "d:Description": "Features galleries documenting travel to New Zealand, Australia, Dominica, Costa Rica, Lord Howe Island, Norfolk Island as well as photo shoots in the Pacific Northwest.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.eleanorstravels.com/"} +{"d:Title": "Comber, Kim T.", "d:Description": "Images from America, the Caribbean, and the photographer's home in Brighton, UK.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/C", "url": "http://www.brighton-blue.com/"} +{"d:Title": "Darack, Ed", "d:Description": "Photographer and author working primarily with location photography. Includes information about his books.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/D", "url": "http://www.darack.com/"} +{"d:Title": "Dauerer, Joerg", "d:Description": "Extensive collection of photographs from Europe, North America, and Tokyo. Also, e-postcards.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/D", "url": "http://www.joerg.dauerer.de/"} +{"d:Title": "Darling, Tim", "d:Description": "Photographs, with travelogues, from travels in the United States and Europe.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/D", "url": "http://www.amnesta.net/"} +{"d:Title": "Denny, Silas", "d:Description": "Shows images taken on trips around Australia and Japan.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/D", "url": "http://www.sunaimages.com/"} +{"d:Title": "Esaki, Yusuharu", "d:Description": "Scenic landscape photographs from the western United States, Japan, Iran, Uzbekistan, and Taiwan. Also, small collections of images from Ireland, Kuala Lumpur, Hong Kong, and Macau.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/E", "url": "http://www.jinakino.com/"} +{"d:Title": "Edelbroich, Stephan", "d:Description": "Color and black and white photos of New York City, Paris, Venice, Poland, Vietnam, and Egypt.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/E", "url": "http://www.photo-exhibits.com/"} +{"d:Title": "Eng, Bibi", "d:Description": "Small collection of street scenes and architectural details from Iran, Syria, Tunisia, Turkey, and the United States.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/E", "url": "http://www.bibieng.com/"} +{"d:Title": "Carter, Steve", "d:Description": "Landscape and nature photographs, mainly from the western Highlands of Scotland. Includes a section on track photography.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.stevecarter.com/"} +{"d:Title": "Bueschges, Ralf", "d:Description": "Photographs from Andalucia and the Provence. All images were taken on travels on, or with, a bicycle.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.reiseskizzen.de/"} +{"d:Title": "Eliasson, Harri", "d:Description": "Photo galleries from Iceland. Featured are nature, landscapes, sunsets, and geysers, as well as small town images.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://icelandiscool.com/photos/"} +{"d:Title": "Arun, Narayanan", "d:Description": "Shows pictures taken in Italy, Germany, and Switzerland.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://travelpages.tripod.com/"} +{"d:Title": "Lee, Stephen", "d:Description": "Shows primarily photographs from several places in the UK. Also, Turkey, Bruges, WWI trenches in Ypres, and flowers.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.s-lee.co.uk/"} +{"d:Title": "Gippenreiter, Vadim", "d:Description": "Impressions from old Russian cities, including art, culture, and architecture.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://all-photo.ru/vg/index.en.html"} +{"d:Title": "I. K.", "d:Description": "Collection of landscape photographs from Northern Norway. Site in English, German, and Norvegian.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.blinkskudd.co.uk/"} +{"d:Title": "Izzarelli, Ethan", "d:Description": "Color, and black and white photographs primarily from European destinations, including Paris, London, Rome, the Vatican, Venice, Naples, Florence, and southern France. Also, China, Yosemite, and digitally manipulated images.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.voliamo.com/"} +{"d:Title": "Lupidi, Massimo", "d:Description": "Portfolio of landscape images from Iceland. Also, samples of available audiovisual slide shows featuring primarily European locations and macrophotography.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://massimolupidi.com/"} +{"d:Title": "MMarchese", "d:Description": "Venice and its people as seen by an Italian photographer.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.mmarchese.com/"} +{"d:Title": "Molloy, Dermot P.", "d:Description": "Extensive collection of landscapes, seascapes, animals, flowers, people, villages, and local attractions, all taken in South-East Ireland.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.dermots.com/"} +{"d:Title": "Karran, Dan", "d:Description": "Features images of Austria, Germany, Italy, the UK and the Isle of Man, as well as Prague, Budapest, and New York.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.dankarran.com/photography/"} +{"d:Title": "Sorokin, Dmitri", "d:Description": "Day, and night shots of St.Petersburg, Russia. Text in Russian and English.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://neva88.narod.ru/"} +{"d:Title": "Stepanov, Alex", "d:Description": "Photographs of nature and cities across Russia. Site in English and Russian.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://exhibition.chat.ru/"} +{"d:Title": "Pang, Albert", "d:Description": "Travel photography, portraiture and travel writing. Mainly European locations.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.aphotograph.net/"} +{"d:Title": "Franco, Jan", "d:Description": "Images from all around Ireland, as well as a few ones from France and Italy. [Flash]", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://users.telenet.be/pendragon"} +{"d:Title": "Cordopatri, Paolo", "d:Description": "Pictures of street scenes and landscapes taken during trips across Europe. Also, music, and poetry.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://digilander.libero.it/StoikaEstinta/Visioni/paoloen.html"} +{"d:Title": "Cammarano, Giuseppe", "d:Description": "Photographs of Pompeii, Paestum, Ischia, Stabiae, Naples, and other historical sites in Italy. Mostly in color.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://web.tiscali.it/fotoenne/"} +{"d:Title": "Broom, Adrian", "d:Description": "Photographs of new and old architecture of England, especially around Manchester.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.adebroom.plus.com/"} +{"d:Title": "Brunkman, L.G.", "d:Description": "Landscape and nature photography from Sweden. Several galleries with thumbnails.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.bruphoto.com/"} +{"d:Title": "Gevrey, Christophe", "d:Description": "Photographs of Geneva, Switzerland, and other European destinations.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.cri.ch/photos/"} +{"d:Title": "Junius, Martin", "d:Description": "Extensive personal collection of landmarks in Scotland, and England. Includes commentary on the locations.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://photo.m-j-s.net/photo/"} +{"d:Title": "Le Velly, Veronique", "d:Description": "Landscape and nature photography from Brittany and the Alps in France. Also, pictures of traditional sailing ships. Site in English and French.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.atraverslobjectif.com/"} +{"d:Title": "Novo, Alberto", "d:Description": "Landscape and nature photographs from the Dolomites and Alps in Italy. Features his photography exhibitions. Site in English and Italian.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://spazioinwind.libero.it/albertonovo/"} +{"d:Title": "Popa, Sabin", "d:Description": "Pictures taken throughout the continent, organized by city.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://sabin.ro/"} +{"d:Title": "Mackean", "d:Description": "Features images of landscapes, cityscapes and people from the UK.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.photo-zen.com/"} +{"d:Title": "Damaschke, Giesbert", "d:Description": "Photos from the Oktoberfest construction site in Munich, Germany.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.damaschke.de/oktoberfest/"} +{"d:Title": "Fjeldvang, Steinar", "d:Description": "Scenic images from around Norway, historical information on his hometown Strommen, and dog-keeping.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.fjeldvang.net/index1.htm"} +{"d:Title": "Vuijk, Dick", "d:Description": "Color, black and white, telephoto, and panoramic images of Iceland.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.iceland-nh.net/lands/"} +{"d:Title": "Scharf, Dagobert", "d:Description": "Nature photographs from the J\u00fchrdener Feld, a moor in Germany, and the Aletsch Glacier, Switzerland. Also, manipulated images of animals, and windmills.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.fotos-dagobert-scharf.com/"} +{"d:Title": "Bini, Giuseppe", "d:Description": "Photos from Ireland, and Italian mountainscapes.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.tonidigrigio.com/"} +{"d:Title": "Kris Van de Vijver Photography", "d:Description": "Primarily fashion and travel/ documentary photography. Based in Belgium.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.krisvdv.net/"} +{"d:Title": "Travel Photo Blogging", "d:Description": "A photo blog with travel photography, various photos. Photos feature scenery from Italy, France, Corsica, Slovenia, Adriatic coast and other destinations.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Europe", "url": "http://www.travel-pb.com/"} +{"d:Title": "Grobl, Karl", "d:Description": "Photographs, with accompanying text, from Thailand, Guatemala, and Peru. Also, a documentary on the Maha Kumbh Mela 2001 in India.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/G", "url": "http://www.karlgrobl.com/"} +{"d:Title": "Hester, John", "d:Description": "Photographs from his travels in the southwest and northwest United States, as well as from his honeymoon in Africa.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.johnheather.com/"} +{"d:Title": "Harlow, Pete", "d:Description": "Featuring travel images from Hong Kong, South West England and the USA.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.catnip.co.uk/"} +{"d:Title": "Hocker, William", "d:Description": "Portraits of the local people, landscapes, and cityscapes from his travels around the world. Locations include Italy, Greece, France, Portugal, Spain, England, Guatemala, Mexico, the United States, Tunisia, Egypt, Thailand, and China.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://billhocker.com/"} +{"d:Title": "Higges, Geoffrey and Fooks, Carole-Anne", "d:Description": "Offers stock travel photos of people, places, wildlife, nature and events, from sixty countries.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.livingtravel.com/"} +{"d:Title": "Hayslip, Craig", "d:Description": "Photographs and stories from travels in Asia, Africa, Costa Rica, and the western US. Includes wildlife, markets, children, and traditional cultures.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.photosntravels.com/"} +{"d:Title": "Hartley, Martin", "d:Description": "Adventure art photographer offering prints from locations such as Antarctica, Borneo, and Zanskar. Includes a biography, news, and contact details.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.martinhartley.co.uk/"} +{"d:Title": "Honnor, Julius", "d:Description": "Galleries of color and black and white images showing architectural details and street scenes from several European cities, as well as from Mexico and Bolivia. Also, portraits and a few nudes.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.juliushonnor.co.uk/"} +{"d:Title": "Hunter, Jeff", "d:Description": "Underwater, travel and digital stock photos. Scuba divers, fish, mammals, tropical coral reefs, and other undersea life from islands and countries around the world.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.jeffhunter.com/"} +{"d:Title": "Hilster, Raymond de", "d:Description": "Photographs from the United States, Canada, the Netherlands, France, and China.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/H", "url": "http://www.travel-photography.nl/"} +{"d:Title": "Johansen, Frode", "d:Description": "Images from reportage, travel, and private impressions. A Norwegian photojournalist presents his portfolio.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/J", "url": "http://www.frodejohansen.no/"} +{"d:Title": "Jones, Steve", "d:Description": "Extensive collection of mountaineering, trekking, rock climbing, and hill walking photographs from Nepal, the Karakorum, the Scottish Highlands, and Lundy Island, UK.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/J", "url": "http://www.adventurephotographs.com/"} +{"d:Title": "Jozwiak, Jenny", "d:Description": "Candid portraits and landscape photographs from Africa, Southeast Asia, Europe, and the United States.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/J", "url": "http://www.jennyjozwiak.com/"} +{"d:Title": "Jefery", "d:Description": "Collection of photographs from Malaysia and Australia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/J", "url": "http://www.pbase.com/jefery/"} +{"d:Title": "K\u00f6ves, Georg", "d:Description": "Presents photographic impressions from his travels in Asia, Europe, Africa, Australia and the United States. Includes galleries organized by continent, country and subject.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/K", "url": "http://www.koeves.mynetcologne.de/"} +{"d:Title": "Kamarad, Ladislav", "d:Description": "Scenic mountainscape photographs taken on climbing expeditions, some at altitudes over 8000 meters, to Gasherbrum, Patagonia, Peru, and Mexico.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/K", "url": "http://www.horolezec.cz/"} +{"d:Title": "Kleinmanns , Matthias J.", "d:Description": "Shows photographs from Spain, Italy, Cuba, Indonesia, and Costa Rica. [English and German]", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/K", "url": "http://www.streetphoto.de/"} +{"d:Title": "Kingston, Jonathan", "d:Description": "Commercial photography provides portfolio of travel shots from around the world. Includes contact information.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/K", "url": "http://www.kingstonimages.com/"} +{"d:Title": "Leiter, Manfred", "d:Description": "Personal travel pictures from many Asian countries, as well as South America and Europe.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/L", "url": "http://www.tropicalisland.de/"} +{"d:Title": "Liepins, Aigars", "d:Description": "Photographic impressions from Europe, the United States, and Egypt.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/L", "url": "http://www.discovering.lv/"} +{"d:Title": "Matuschek, Daniel", "d:Description": "Pictures from travels to the western US, Italy, Iceland, Corsica, and Mallorca. Site in German and English.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.matuschek.net/photo/index.html"} +{"d:Title": "Margison, Grant", "d:Description": "Photographs from travels in the Himalaya - Pakistan, Kashmir, Ladahk, Sikkim, Nepal, Bhutan, and Tibet. Also, South America, and South-East Asia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.grant-margison.com/"} +{"d:Title": "McIntyre, Angus", "d:Description": "A collection of personal photographs taken in Europe, the United States, the Middle East and South America", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.raingod.com/angus/Gallery/index.html"} +{"d:Title": "Matos, Mauricio", "d:Description": "Portfolio of photographs from Portugal, the Dominican Republic, Spanish islands, and New York City. Also, pictures of the Rally of Portugal. [IE only]", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.mauriciomatos.com/"} +{"d:Title": "McComb, Michael", "d:Description": "Shows photographs from his travels in Europe, Africa, Asia, South America, and the United States.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://newperception.com/"} +{"d:Title": "Milne, Courtney", "d:Description": "Photographs of the Galapagos, the Namib Desert, as well as information about the photographer's books.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.courtneymilne.com/"} +{"d:Title": "Mak, Thomas C.T.", "d:Description": "Color travel pictures of people, architectural structures, and landscapes, from New Zealand, Hong Kong, Japan, Taipei, and Macau.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://thomasmak.tripod.com/"} +{"d:Title": "Mardon, Mark", "d:Description": "Images from North America, Europe, and Asia in color, and black and white.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.markmardon.com/"} +{"d:Title": "Martinat, Vincent", "d:Description": "Showcases photographs taken in New York City, Venice, and the islands of La Reunion and Mauritius.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.vincepix.com/"} +{"d:Title": "McLennan, Ian", "d:Description": "Shows pictures of Australia and Malacca, Malaysia. Includes many personal and family photos.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/M", "url": "http://www.pbase.com/ianmac/"} +{"d:Title": "Neitmann, Volko", "d:Description": "Photographic impressions of Australia, Tasmania, New Zealand, Rarotonga, and California. Also, a photo travelogue from a trip to South Africa. Site in English and German.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/N", "url": "http://www.reisefotos.net/"} +{"d:Title": "Nailman", "d:Description": "Images of people, wildlife, and landscapes from Ghana, Tanzania, Egypt, Middle East countries, Malaysia, and Venezuela.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/N", "url": "http://www.nailman.nl/"} +{"d:Title": "Neele, Jeroen", "d:Description": "Photographs of villages, cities, and landscapes from Argentina, Bolivia, China, Nepal, Laos, Vietnam, Philippines, and New Zealand.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/N", "url": "http://members.tele2.nl/jneele/"} +{"d:Title": "Dickinson, Adam", "d:Description": "Images from New Brunswick, and Bangor, Maine. Several personal photographs as well.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://adams_pics.tripod.com/"} +{"d:Title": "Gjetvaj, Branimir", "d:Description": "Landscape, nature and travel photographs from Western Canada. Includes information about public presentations, photo workshops and courses. Saskatchewan based.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.branimirphoto.ca/"} +{"d:Title": "Lewis, Wendy A.", "d:Description": "Scenic photographs of St. Augustine, Florida.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://wendysphotography.tripod.com/saintaugustinephotography/"} +{"d:Title": "Pottle, Clay", "d:Description": "Landscape and nature photography from Newfoundland and Labrador, Canada. Includes icebergs, lighthouses, and plant life.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.angelfire.com/nf/clay/"} +{"d:Title": "Jagger, Todd", "d:Description": "Landscape photographs, with accompanying comments, from Texas and northern Mexico.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.jagger.com/"} +{"d:Title": "Purciel, Matt", "d:Description": "Features photographs of North Carolina, California's Eastern Sierra, and national parks and desertscapes from the western United States. Includes a professional web design portfolio.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.atlanticpark.com/"} +{"d:Title": "Penny", "d:Description": "Photographs of Savannah, Georgia, and of her Florida vacation. Also, many personal pictures.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://members.tripod.com/~redlucey/"} +{"d:Title": "Snapshot", "d:Description": "Small collection of photographs from various cities around the United States. Other photographers are invited to contribute to this collection.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.angelfire.com/tx4/snapshot/"} +{"d:Title": "Yanneck, Bill", "d:Description": "Photographs of ghost towns in nine western US states, territorial prisons, and Gray Wolf sanctuaries . Also, photos from Arizona, and Florida.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://ridingduo.tripod.com/"} +{"d:Title": "Hanks, Butch", "d:Description": "Gallery of photographs from Florida, including architecture, nature, personal portraits, and still life.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://keymango.tripod.com/keymangoimages/"} +{"d:Title": "Braun, Joe", "d:Description": "Photographs from the Southwestern United States and New York. Includes panoramic images. Also, featuring his profile, music, and a digital fortune cookie.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.citrusmilo.com/joe/"} +{"d:Title": "Van Tine, Paul", "d:Description": "Pictorial tour, with accompanying information, of the Davis Mountains of Texas. Also, Big Bend National Park.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.davis-mountains.com/"} +{"d:Title": "Volk, Dave", "d:Description": "Landscape photographs, with descriptive information, taken in Southern Alberta, the Canadian Rocky Mountains, and the Grand Canyon. Includes a section on the Desert Botanical Gardens in Phoenix, Arizona.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.davevolk.com/"} +{"d:Title": "Schumpert, Fred", "d:Description": "Small collection of images taken throughout the US.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://cp22243.tripod.com/Photos-4/"} +{"d:Title": "Perkins, John", "d:Description": "Photographs, with comments, of mostly rural scenes from Kentucky. Latitude and longitude coordinates of the locations are listed.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://members.iglou.com/perkins/newkpf/file.htm"} +{"d:Title": "Picture Net", "d:Description": "Pictures from Vermont, with links to sites with other photographs.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://songseek.com/picturenet/"} +{"d:Title": "Lyth, Graham", "d:Description": "Galleries of photographs from several National Parks in the American Southwest, and Las Vegas.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.grahamlyth.com/"} +{"d:Title": "McGuire, Dennis", "d:Description": "Photographs taken on the streets of Oakland and other East Bay cities.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.angelfire.com/ca7/eastbayphotos/"} +{"d:Title": "Mulrooney, Christopher", "d:Description": "Images from around Los Angeles, Grand Canyon, and New Mexico.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://cmulrooney.tripod.com/"} +{"d:Title": "N.N.", "d:Description": "Images taken during a trip to Alaska. [very large images, xDSL/cable connection recommended]", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.alaskaphotospicturesimages.com/"} +{"d:Title": "Peifer, Charles", "d:Description": "Shows landscape photographs from the United States, and portraits. Features information about the places where the images were taken.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.yellowecho.com/"} +{"d:Title": "Walker, L.", "d:Description": "Photographs of urban 19th century housing and neighborhoods in selected US cities.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://mrherodotus.tripod.com/"} +{"d:Title": "Williams, G. Arnell", "d:Description": "Photographs of nature, figures in the landscape, waterfalls, roads, and mountains, taken in the US and Canada. Free screensavers available for download.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.dramainnature.com/"} +{"d:Title": "Sharff, Paul", "d:Description": "Nature and travel images of Cape Cod, New Jersey shore, New York City, and the Berkshire Mountains.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.paulscharffphotography.com/"} +{"d:Title": "Kurth, Marc", "d:Description": "Scenic photo galleries of the Morro Bay, CA area. Includes action shots of U.S. Coast Guard boats in heavy surf.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.morrobayphotos.com/"} +{"d:Title": "Medlin, Roger", "d:Description": "Scenic images of lighthouses, seascapes, and landscapes from the state of Oregon.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.oregonicons.com/"} +{"d:Title": "Claesson, Paul", "d:Description": "Presents a collection of images from across the continent. Includes categorized galleries and a brief biography.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://paulclaesson.com/"} +{"d:Title": "Eschbacher, Andrew", "d:Description": "Details the photographic work of the South Carolina-based artist. Includes various galleries, lists of exhibitions, and pricing information.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://www.imagesofnorthamerica.com/"} +{"d:Title": "Pendley, Paul", "d:Description": "Photo journal of his travels through Alaska, from the Aleutians to the Arctic Circle.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://paulpendley.tripod.com/"} +{"d:Title": "Schieber, Randall Lee", "d:Description": "Featuring Columbus City and Ohio, plus events around the state.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://randallschieber.com/"} +{"d:Title": "Quarlo", "d:Description": "Photographic impressions of New York City and other US locations. Street scenes, buildings, things, and people. Photos are grouped by date, some are accompanied by music. Photos by Todd Gross, Queens, NY.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://quarlo.tumblr.com/"} +{"d:Title": "Dave Fry's Picture of the Day", "d:Description": "Scenic photography from Washington State, Colorado, British Columbia, and elsewhere.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/North_America", "url": "http://picture-of-the-day.com/"} +{"d:Title": "Otte, Christian", "d:Description": "Scenic photographs in color and black and white from Europe and the United States.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/O", "url": "http://www.ottefoto.net/"} +{"d:Title": "Oswalt, John", "d:Description": "Photographs from his many trips, including an overland tour from Istanbul to Cairo, another all across Africa, and a third to the Galapagos Islands.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/O", "url": "http://www.jao.com/"} +{"d:Title": "Oddone, Gigi", "d:Description": "Collection of images from Sri Lanka, Bali, Thailand, Mexico, Greece, and London.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/O", "url": "http://digilander.libero.it/travelphoto/"} +{"d:Title": "Osborne, Martyn H", "d:Description": "Portfolio featuring landscape and wildlife images from around the world.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/O", "url": "http://www.inspiredbytravel.com/"} +{"d:Title": "Dolphin, John", "d:Description": "Photographs and information on western Australia. Also, free desktop wallpapers.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Oceania", "url": "http://www.gumnuts-world.8k.com/"} +{"d:Title": "Moroz, Dmitriy", "d:Description": "Portfolio of mainly landscape photographs from Australia, and a few ones from the Adirondack Mountains, USA.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Oceania", "url": "http://www.dmoroz.com/"} +{"d:Title": "Pettman, Tim", "d:Description": "Photographs, with comments and travel tips, from Australia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Oceania", "url": "http://www.pettman.co.uk/"} +{"d:Title": "Brock, Matthias", "d:Description": "Landscape and nature photographs from New Zealand.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Oceania", "url": "http://www.nzl.ch/"} +{"d:Title": "Angel, Angie", "d:Description": "Photos of Bungendore, a rural community in Australia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Oceania", "url": "http://users.tpg.com.au/users/bangel/"} +{"d:Title": "Pani, Andrea", "d:Description": "Photographs from Australia, Mexico, New Zealand, French Polynesia, China and Brazil.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/P", "url": "http://andreapani.com/"} +{"d:Title": "Pluhacek, Petr", "d:Description": "Travelogue of his mountaineering adventures. Images from the expedition to Peruvian Andes and other trips around the globe.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/P", "url": "http://www.tady.cz/cesty"} +{"d:Title": "Purnell, Dave", "d:Description": "Vacation photos of Italy, and camping in Ontario, Canada. Includes personal photographs.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/P", "url": "http://dave_purnell.tripod.com/"} +{"d:Title": "Picpics", "d:Description": "Selection of travel and sailing photographs from Australia, North America, Greece, and the Grenadines, available for the use of design agencies, ad agencies, magazines, and other publications.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/P", "url": "http://www.picpics.com/"} +{"d:Title": "Pipkin, Seth", "d:Description": "Photoblog of South Texas, along with thoughts and links.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/P", "url": "http://cityofriograndecity.weblogs.us/"} +{"d:Title": "Robinson, Alex", "d:Description": "Photographs of people and places from Nepal, Thailand, Bali, and Costa Rica.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/R", "url": "http://www.photonirvana.com/"} +{"d:Title": "Rempfer, Alain", "d:Description": "Exhibit of photos from Iran, Afghanistan, Turkey, Morocco, and Sardinia, Italy.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/R", "url": "http://www.alain-rempfer.com/"} +{"d:Title": "Roessler, Friedemann", "d:Description": "Images from locations around the world, including Europe, Oceania, China, the United States, Chili, and Zimbabwe.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/R", "url": "http://www.look-there.de/"} +{"d:Title": "Sharma, Vivek", "d:Description": "Images of rural culture in India, as well as various locations in Paris, France.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.viveksharma.com/"} +{"d:Title": "Savash, Shelale", "d:Description": "Photos of Peru, Bali, Turkey, and the American Southwest. Includes either travelogues or historical information on the visited countries.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.shelales.com/"} +{"d:Title": "Seaman, Neila", "d:Description": "Personal collection of photographs taken in various cities across the US, and Europe.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://members.tripod.com/SnapShott/index.html"} +{"d:Title": "Sutherland, Don", "d:Description": "Collection of images from Beijing and Shanghai, China, and from the State of New York. Also, museum and nature photographs.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://worldimages.homestead.com/"} +{"d:Title": "Sheldon, Robert", "d:Description": "Nature, landscape and travel photography.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.bobsheldon.com/"} +{"d:Title": "Swulinski, Maciej", "d:Description": "Photographs, with geographical and historical information, from North America, Hawaii, the Caribbean, and Japan. Also includes galleries showing WTC tragedy of 9/11.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.swulinski.com/"} +{"d:Title": "Sandell, John", "d:Description": "Trek photos from Iceland, Greece, Morocco, and the English Lake District. Also, a selection of fine art photographs spanning more than 25 years of his work, and e-postcards of the Greek island of Symi.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.johnsandell.co.uk/"} +{"d:Title": "Sherman, Michael", "d:Description": "Architectural images from Vancouver, Canada, and travel photographs from Greece, India, South-East Asia, And North America.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.msphoto.ca/"} +{"d:Title": "Stanley, Keith", "d:Description": "Cities, and landscapes from Washington, DC, Las Vegas, Hong Kong, Thailand, Singapore, Hawaii, Bali, U.S. West Coast, and Michigan.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.kestan.com/"} +{"d:Title": "Sheel, Rosemary", "d:Description": "Color and black and white photographs, some accompanied by documentary text, showing the lives of people, and landscapes. The image galleries feature primarily Morocco and western Africa, as well as China, and Peru.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.rosemarysheel.com/"} +{"d:Title": "Southall, Kate", "d:Description": "Images of landscapes and buildings in th UK, New Zealand, and Australia. Also, a small collection of flowers.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.desktopwallpapers.co.uk/"} +{"d:Title": "Schreyer, Alexander", "d:Description": "Scenic photos of Vancouver, BC, Newfoundland, Quebec, Paris, Germany (Rheingau).", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.alexschreyer.net/"} +{"d:Title": "Strina, Luiz", "d:Description": "People, places, and nature photographs taken on his travels around the world.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/S", "url": "http://www.strina.com/"} +{"d:Title": "Tork", "d:Description": "Gallery of mostly urban photos from North America and Europe, with comments on the countries and cities visited by the photographer. Includes several night shots.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/T", "url": "http://www.angelfire.com/fl4/photosbytork/"} +{"d:Title": "Tarbouriech, Philippe", "d:Description": "Extensive collection of photos from travels to India, Myanmar, and France. Also, the Lake Parade 2001 in Geneva, Switzerland.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/T", "url": "http://www.phitar.com/"} +{"d:Title": "Toedt, Matthias", "d:Description": "Collection of photographs, with comments on the countries, from Syria, Turkey, United Arab Emirates, Uzbekistan, Oman, China, and other places.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/T", "url": "http://www.toedt.net/"} +{"d:Title": "Tran, Thien", "d:Description": "Images from Asia, primarily Japan and Vietnam, and from North America, especially Montreal in Canada. Also, personal photos of family and events.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/T", "url": "http://thien.fotki.com/"} +{"d:Title": "Underwood, Steve", "d:Description": "Pictures, history and culture from Nepal, India, Egypt, Syria, Jordan, Peru, Guatemala Kenya, Tanzania, Botswana, and Namibia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/U", "url": "http://www.culturefocus.com/"} +{"d:Title": "Vibber, Kelson", "d:Description": "Photographs from travels in Europe and the American Southwest.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/V", "url": "http://www.hyperborea.org/photos/"} +{"d:Title": "Voboda, J.S.", "d:Description": "Photographs of locations in Australia, and Israel.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/V", "url": "http://bubble.euweb.cz/"} +{"d:Title": "Wierzbicki, Martin", "d:Description": "Landscape, portrait, wildlife and travel photos from Africa, Asia, Europe, North America and South America. Also includes notes and travel stories.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/W", "url": "http://photosbymartin.com/"} +{"d:Title": "Walton, Richard", "d:Description": "Monochrome photography of Britain, France, Spain, and Crete.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/W", "url": "http://www.richardwalton.co.uk/"} +{"d:Title": "Wright, Sue and Tony", "d:Description": "Large collection photographs as well as travel tips covering Thailand, Vietnam, and Bali. Cruising guide to sailing Newfoundland and Labrador in an Aloha 32.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/W", "url": "http://www.wright-photo.com/"} +{"d:Title": "Wheeler, Nick", "d:Description": "A selection of photo and diary entries on subjects including inline skating tours, and travel. Based in Brisbane, Australia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/W", "url": "http://www.nickwheeler.com/"} +{"d:Title": "Yagci, Mehmet Ali", "d:Description": "A collection of images from Turkey, Japan, Italy France, and Greece.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Y", "url": "http://mayagci.tripod.com/"} +{"d:Title": "Zoomer", "d:Description": "Color photos of US landscapes, Las Vegas, and Nova Scotia.", "topic": "Top/Arts/Photography/Photographers/Travel_and_Location/Z", "url": "http://zoomers309.tripod.com/photosbyzoomer/"} +{"d:Title": "Usill, David", "d:Description": "Travel and location imagery with hot air ballooning as a major specialization.", "topic": "Top/Arts/Photography/Photographers/U", "url": "http://www.winworld.co.uk/"} +{"d:Title": "Underhill, Julie Thi", "d:Description": "Photographer, filmmaker, poet, and essayist with fine art, documentary, experimental, and historical work.", "topic": "Top/Arts/Photography/Photographers/U", "url": "http://www.jthiunderhill.com/"} +{"d:Title": "Zimmermann, Jeremie", "d:Description": "Large expanding portfolio mainly French in flavour but also including San Francisco and some European photo galleries.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://tofz.org/"} +{"d:Title": "Truhls, Rick", "d:Description": "A new photograph for every day of the week. Mainly urban photos.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.suncandy.com/"} +{"d:Title": "Casciani,Georges", "d:Description": "A gallery featuring images of daily life in the cities of Bangkok and HoChiMinh.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://georges.casciani.free.fr/"} +{"d:Title": "Witzel,Blair", "d:Description": "A collection of images from Toronto with each theme accompanied by music.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.blairwitzel.com/"} +{"d:Title": "Gidley, Lisa", "d:Description": "Modern urban landscapes, portraits, and an ongoing citywide portrait of New York.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.spiraling.com/"} +{"d:Title": "Gilmour, Kim", "d:Description": "Everyday London photos taken off the beaten track with an accompanying weblog.Updated regularly.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.londonphotos.org/"} +{"d:Title": "Choy, John", "d:Description": "Hong Kong photographer's arresting captures of the city and its people", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.johnchoy.com/"} +{"d:Title": "Payne, Chris", "d:Description": "Images of industrial ruins and vanishing building typologies. Subjects include asylums and substations.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.chrispaynephoto.com/"} +{"d:Title": "Nitsa", "d:Description": "Street and urban photography. Mainly abstract black and white work.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.nonphotography.com/"} +{"d:Title": "Guma Mbaho Mwine, Ntare", "d:Description": "Image galleries include works from Cuba, Uganda, Russia and America by Ugandan - American photographer.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.gumadesign.com/"} +{"d:Title": "Sylvie Bardet", "d:Description": "A collection of mainly urban and portrait photographs with a focus on Paris, London, Chinatown.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.sylviebardet.com/"} +{"d:Title": "Kirk Gittings Photography", "d:Description": "Portfolio includes architectural and landscape photography of New Mexico and Southwest; has produced images for design professionals.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.gittingsphoto.com/"} +{"d:Title": "Kabat, Tommy", "d:Description": "Provides imagery of Los Angeles&Pacific Northwest. Styles include abstract/experimental, portrait, candid, retro-glamour; with a modern edge.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://tommykabat.com/"} +{"d:Title": "Fiorino, Maurizio", "d:Description": "Portfolio contains mainly portraits of young men as well as some lifestyle and landscape images by NY based photographer.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.mauriziofiorino.com/"} +{"d:Title": "Ruggiero, Paolo", "d:Description": "A small photolog with essays on Paolo's travels taking in Bologna, Manhattan, Paris and the Adriatic Sea.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.paoloruggiero.org/"} +{"d:Title": "Atkins, Marc", "d:Description": "Photographer of hidden places, secret histories and urban characters. Author information and artwork reviews.", "topic": "Top/Arts/Photography/Photographers/Urban", "url": "http://www.marcatkins.com/"} +{"d:Title": "Definition: an Exhibition of Photographs", "d:Description": "Photos of the urban landscape in Germany taken by photographer Philip Seargeant and Heidi Kang. Links.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://lo-fi.tripod.com/definition/"} +{"d:Title": "Kim Mimnaugh", "d:Description": "Selection of images created during an ongoing project on restaurant interiors.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.mimnaugh.com/"} +{"d:Title": "Gakei's Photo Collection", "d:Description": "Collection of photos specializing in architecture and construction. Photos were taken in Pac-Rim countries and Australia.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.gakei.com/photo/photo.htm"} +{"d:Title": "NYcabbie", "d:Description": "See the sights of New York City through the eyes of a NYC taxi driver: over 75 photographs of NYC.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.nycabbie.com/"} +{"d:Title": "Greenberg, Mike", "d:Description": "Urban and small town streets, places and people, mostly from Texas and Illinois, shot by a writer and photographer in San Antonio.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.incidentlight.com/"} +{"d:Title": "Wang, Randy", "d:Description": "Paris. Part of a collection of travel, landscape and fine art photography at his site Magical Moments.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.cs.princeton.edu/~rywang/berkeley/magic3/paris/"} +{"d:Title": "Burge, Johannes", "d:Description": "Black and white urban scenes, candid portraits, locations and landscapes from New York, Eastern Europe, California, and other locations.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://burgephotography.tripod.com/"} +{"d:Title": "Kuo, Frank", "d:Description": "Fine-art urban photographs by this New York photographer.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.frankkuo.com/"} +{"d:Title": "Drower, Debora", "d:Description": "Nostalgic photographs of old neon signs. Most were found in the Midwest.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.drower.com/"} +{"d:Title": "Vorrasi, Mike", "d:Description": "Candid shots of people, places, and structures in the city. Black and white, and color. Essay on a group of teenagers.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.vorrasi.com/"} +{"d:Title": "Fischer, Georg", "d:Description": "Photographs of street scenes in different cities and countries; people, theatre and landscapes.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.fotography.de/"} +{"d:Title": "Bauman, Kevin", "d:Description": "Denver based commercial photographer. Photography of Detroit, architecture, interiors, landscapes, and mountain biking.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.kevinbauman.com/"} +{"d:Title": "Raphaelson, Paul", "d:Description": "Urban landscape photography, with a focus on desolate, barren, and overgrown, spaces. Critical essays, artist's statements, biographical information, links, and print purchasing information.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.paulraphaelson.com/"} +{"d:Title": "White,Jonathan", "d:Description": "Urban photography, digital special-effects images, and a section of multi-media art, by NYC graffiti artist, Chico.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.jwgalleries.com/"} +{"d:Title": "Fenn, Brendan", "d:Description": "Portrait and commercial photographer presents examples of creative urban photography, portraiture, film stills, and commercial work.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.brendanfenn.com/"} +{"d:Title": "Raymond, Roy", "d:Description": "Abandoned and decaying architectural spaces that have over time developed a unique patina of decay and aging.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www3.sympatico.ca/raygun/"} +{"d:Title": "Ortsbeschreibungen", "d:Description": "German photographer, Dieter Hoppe.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://www.dieterhoppe.de/"} +{"d:Title": "Vigier, Nicolas", "d:Description": "Dark and freaky pictures from natural and urban landscapes in France, the USA and other parts of the world.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://boklm.eu/pictures/"} +{"d:Title": "Hanson, Jadin", "d:Description": "Night-time industrial and bridge photography, including tips and tricks on low-light photography.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art", "url": "http://ohmness.smugmug.com/"} +{"d:Title": "Guarionex, Loran", "d:Description": "Abstract images of architectural, and abstract street scenes such as doors, peeling paint, steps, and pavement.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.myfotosite.com/"} +{"d:Title": "Graupner, Frank&Kortz, Olaf", "d:Description": "Street and architecture photography from Germany.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.grayffiti.com/"} +{"d:Title": "Gintowt, Alexander", "d:Description": "Candid images of New York City streets.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.gintowt.de/"} +{"d:Title": "Pou, Jordi V.", "d:Description": "Photographer living in Lleida, Spain; artistic photographs of big cities all around the world.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.jordivpou.com/"} +{"d:Title": "Magalh\u00e3es, Felipe Coelho", "d:Description": "Portfolio of black and white urban photos.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.angelfire.com/tn/felipesphoto/"} +{"d:Title": "Shintani, Koichi", "d:Description": "Large collection of urban scenes, and portraits from Japan.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.geocities.co.jp/Hollywood-Kouen/9080/e_index.html"} +{"d:Title": "Mencher, Eric", "d:Description": "Urban scenes from around the world; Cuba, Spain, and Rwanda.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.ericmencher.com/"} +{"d:Title": "Hartmann, Mario", "d:Description": "Mostly urban photography by this German photographer. New York, Berlin, and other locations. Some color photographs.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.neophoto.de/"} +{"d:Title": "Penrose Rands, Mervyn", "d:Description": "Urban America in 1976. Mostly New York and California.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.officearth.com/"} +{"d:Title": "Czap, Nick", "d:Description": "Features photographs of motel rooms and shop windows. Includes biography and interview with the artist.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.nickczap.com/"} +{"d:Title": "Spirer, Jeff", "d:Description": "Urban scenes, primarily in San Francisco.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.spirer.com/"} +{"d:Title": "Saxe, David", "d:Description": "Urban scenes from Italy, and southern France. Primarily interiors, and exteriors of large, old buildings.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.dsaxe.com/"} +{"d:Title": "Shukwit, Geralyn", "d:Description": "Images of New York, Detroit, Washington. Includes a FDNY 9/11 memorial exhibit.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.eyemaze.net/"} +{"d:Title": "Fuller, Matthew", "d:Description": "Cityscapes of Rio, Havana and Paris.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.matthewfullerphoto.com/"} +{"d:Title": "M\u00fchler, Roland", "d:Description": "Photographs of architecture, manhole covers and some color works from Germany. Features galleries and a short artist biography.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://roland-muehler.de/"} +{"d:Title": "Alt, Anne K.", "d:Description": "Bridge and street level photographs of Chicago and Seattle. Includes artist statement.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.sobs.org/photo/urbanlandscape/index.html"} +{"d:Title": "Ladd, Jeffrey", "d:Description": "Features a collection of people, New York street and subway photography. Includes galleries, biography, details of exhibitions and information about publications.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.jeffreyladd.com/"} +{"d:Title": "Ammaniti, Niccol\u00f2", "d:Description": "Captures of everyday life on the streets.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://digilander.libero.it/someshots/"} +{"d:Title": "O'Neill, Joseph", "d:Description": "Photographer Joseph O'Neill's large format images of Southern Ontario.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.start.ca/users/joneil/"} +{"d:Title": "Moreau, Andre", "d:Description": "Shows urban landscapes and street scenes.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://www.andremoreau.com/"} +{"d:Title": "Shaw, Jennifer", "d:Description": "Portfolio website of a New Orleans based fine art photographer.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://jennifershaw.net/"} +{"d:Title": "Rider, Paul", "d:Description": "Limited edition fine art black and white photography.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://prphoto.org/pages/fine_art.html"} +{"d:Title": "Stucky, Ray", "d:Description": "Original photographic artwork. Images are matted, signed and framed. Includes a price list and purchasing information.", "topic": "Top/Arts/Photography/Photographers/Urban/Fine_Art/Black_and_White", "url": "http://uncolor.smugmug.com/"} +{"d:Title": "von Leffern, Mark", "d:Description": "Photography and illustration.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.vonleffern.com/"} +{"d:Title": "Von Pawlak, Crady", "d:Description": "Gallery of selected works, including people, places, and nature from the United States and Asia. [Flash required]", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.visualflux.com/"} +{"d:Title": "Verdun, Jacqueline", "d:Description": "Miniature galleries showing nature, landscape and unusual objects.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.verdun.com/"} +{"d:Title": "Valentino, John", "d:Description": "Photographer, professor, and author.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.johnvalentino.com/"} +{"d:Title": "Van Walree, Paul", "d:Description": "Gallery of landscapes, portraits, cityscapes and colorized images. Features articles of photo optics.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.vanwalree.com/"} +{"d:Title": "Volk, Oleg", "d:Description": "Hundreds of high resolution portrait, nature and still life images on personal, visual and political themes.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.olegvolk.net/"} +{"d:Title": "van Wageningen, Matthijs", "d:Description": "Airplanes and cats, photographs taken with a digital camera.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.vanwageningen.net/"} +{"d:Title": "Vita, Grazia", "d:Description": "Spiritually motivated images of nature, architecture, and fireworks.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.graziavita.com/"} +{"d:Title": "Vandermarliere, Jo", "d:Description": "Belgian photographer. Landscapes, portraits, architecture, and studio art.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.jovdm.be/"} +{"d:Title": "Vanroey, Sylvie", "d:Description": "Portfolio and profile of a Belgian photographer.", "topic": "Top/Arts/Photography/Photographers/V", "url": "http://www.sylvievanroey.com/"} +{"d:Title": "Wallace, Neil", "d:Description": "Travel, portrait, natural and urban landscapes, architecture and band photography. [Requires Flash]", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://www.neilwallace.com/"} +{"d:Title": "Webzell, Bob", "d:Description": "Nature, landscape, people, and wildlife photographs from Africa, and Venice, Italy. Black and white, and color.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://www.webzell.co.uk/"} +{"d:Title": "Woodland, Guy", "d:Description": "A library of pictures of Liverpool, England.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://www.liverpoolphotos.com/"} +{"d:Title": "Webb, Wilson", "d:Description": "Features 3D images of landscapes, objects and people. Includes a general explanation of photo technique.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://www.wilsonwebb.com/"} +{"d:Title": "Wilson, Steve", "d:Description": "Fine art landscape, nature, and people photography.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://members.tripod.com/~Jajabo/Enter.htm"} +{"d:Title": "Weisser, Richard", "d:Description": "Over two hundred photos online and thousands available by email for publication, free of charge with credit to the artist.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://members.tripod.com/rweisser/"} +{"d:Title": "Wilon, Alexander", "d:Description": "Color landscapes, portraits, and abstracts.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://www.wilon.com/main.htm"} +{"d:Title": "Wasyl, Tomasz", "d:Description": "Small image galleries featuring various subjects, from animals, flowers, and travel to abstract.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://tmsp.republika.pl/"} +{"d:Title": "Wallace, Wendy", "d:Description": "Features a photolog, biography, published journalism articles and an e-zine called Mind Magic.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://www.wendywallace.com/"} +{"d:Title": "Wandrag, Jan", "d:Description": "A varied collection of photographs of New York City, and the life and people found in the city.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://janwandrag.com/"} +{"d:Title": "Williams, Don", "d:Description": "American photographer based in Finland shows portraits and natural scenery, including flowers, fungi and landscapes.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://personal.inet.fi/cool/don.williams/"} +{"d:Title": "Winkler, Karl", "d:Description": "A collection of scenic, people, macro, abstract and product photography. Includes galleries, information about equipment and artist philosophy.", "topic": "Top/Arts/Photography/Photographers/W", "url": "http://www.karlwinkler.com/"} +{"d:Title": "Xu, Fai", "d:Description": "Features travel, landscape, commercial, portrait and vehicle photography. Includes galleries and a short artist biography.", "topic": "Top/Arts/Photography/Photographers/X", "url": "http://www.faikee.net/"} +{"d:Title": "Yu, Bruce B.", "d:Description": "Photo essay on Paris, including architecture, art, gardens, people and streets. Also shows a few nature and product photographs. Mainly color.", "topic": "Top/Arts/Photography/Photographers/Y", "url": "http://www.bm-one.com/"} +{"d:Title": "Yao, Jay", "d:Description": "Fashion, and fine art photography by a New York photographer.", "topic": "Top/Arts/Photography/Photographers/Y", "url": "http://www.jayyao.com/"} +{"d:Title": "Zoi, Marco", "d:Description": "Italian photographer. Portfolio of portraits and urban scenes, mainly in black and white.", "topic": "Top/Arts/Photography/Photographers/Z", "url": "http://www.marcozoi.com/"} +{"d:Title": "Ziobro, Jamie", "d:Description": "A collection of documentary, fine art and realism photography. Features a gallery, slideshow and information about the artist.", "topic": "Top/Arts/Photography/Photographers/Z", "url": "http://www.jamieziobro.com/"} +{"d:Title": "Zaca, Anderson", "d:Description": "New York-based Brazilian photographer. Predominantly working in medium format black and white.", "topic": "Top/Arts/Photography/Photographers/Z", "url": "http://www.andersonzaca.com/"} +{"d:Title": "Zolin, Roman", "d:Description": "Presents photographs in different categories, such as close-up, nature, animals, abstract, and street photography.", "topic": "Top/Arts/Photography/Photographers/Z", "url": "http://www.romanzolin.com/"} +{"d:Title": "Photography and the Archive Research Centre", "d:Description": "The Photography and the Archive Research Centre stages events and commissions research into the Visual Archive and is based at the University of the Arts, London.", "topic": "Top/Arts/Photography/Reference", "url": "http://www.photographyresearchcentre.co.uk/"} +{"d:Title": "Library of Congress Prints and Photographs Online Catalog", "d:Description": "The LOC prints and photographs collections number more than 13.7 million images. While international in scope, the collections are particularly rich in materials produced in, or documenting the history of, the United States and the lives, interests and achievements of the American people.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "http://www.loc.gov/rr/print/catalog.html"} +{"d:Title": "George Eastman House International Museum of Photography and Film", "d:Description": "The Photography Collection includes photographs and negatives dating from the invention of photography to the present day. Searchable by photographer.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "http://www.geh.org/photographers.html"} +{"d:Title": "National Gallery of Art, Washington, DC", "d:Description": "The Photography collection of the NGA includes nineteenth- and twentieth-century photographs by European and American photographers. Information page plus tour of collection.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "http://www.nga.gov/collection/gallery/ggphoto/ggphoto-main1.html"} +{"d:Title": "Cowboy Photographer - Erwin E. Smith", "d:Description": "Guide to the Smith collection at the Amon Carter Museum, Fort Worth, Texas, including biography and photographs. Includes teaching resources.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "http://www.cartermuseum.org/collections/smith/"} +{"d:Title": "The Keystone-Mast Collection", "d:Description": "The Keystone-Mast Collection at the California Museum of Photography represents the archive of the Keystone View Company of Meadville, PA. Offers an encyclopedic view of world history and cultural diversity.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "http://www.cmp.ucr.edu/mainFrame/collections/guides/kmast/"} +{"d:Title": "NOAA Photo Library", "d:Description": "Searchable photography collection focusing on the environment in the United States.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "http://www.photolib.noaa.gov/"} +{"d:Title": "National Digital Library", "d:Description": "The United States Fish and Wildlife Service's online collection of public domain photographs.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "https://digitalmedia.fws.gov/"} +{"d:Title": "Taking the Long View, 1851-1991", "d:Description": "Panoramic photographs from the American Memory collection at the Library of Congress.", "topic": "Top/Arts/Photography/Reference/Collections", "url": "http://www.loc.gov/pictures/collection/pan/"} +{"d:Title": "1896 Washington Salon and Art Photographic Exhibition", "d:Description": "Three prestigious Washington, D.C. organizations played a major role in the establishment and acceptance of art photography in America. The Camera Club of the Capital Bicycle Club sponsored the 1896 Washington Salon and Art Photographic Exhibition. The Cosmos Club provided the exhibit space. And fifty of the salon's images were purchased to expand the Smithsonian Institution's national collection. National Museum of American History.", "topic": "Top/Arts/Photography/Reference/Curated_Virtual_Exhibitions", "url": "http://americanhistory.si.edu/1896/index.htm"} +{"d:Title": "Recollecting a Culture: Selections from the Fotokino Archive", "d:Description": "Recollecting a Culture is a study of the political and economic pressures on the visual arts of the German Democratic Republic (GDR). It draws from the Fotokino Archive, comprised of approximately 14,000 prints and several thousand negatives, which was accessioned by the Staatliche Galerie Moritzburg Halle, Germany, following reunification. Photographic Resource Center at Boston University.", "topic": "Top/Arts/Photography/Reference/Curated_Virtual_Exhibitions", "url": "http://www.bu.edu/prc/fotokino/index.htm"} +{"d:Title": "Concerning the Spiritual in Photography", "d:Description": "Approaching photography and photographer literally as a \"medium,\" this exhibition considers how historical and present-day practitioners utilize and reference intrinsic mechanics of light-sensitive media to achieve spiritual allusions and illusions. Photographic Resource Center at Boston University.", "topic": "Top/Arts/Photography/Reference/Curated_Virtual_Exhibitions", "url": "http://www.bu.edu/prc/spirit.htm"} +{"d:Title": "IDEA Photographic | After Modernism", "d:Description": "A contemporary view of history from the photography collections at the Museum of Fine Arts, Santa Fe, Princeton University Art Museum, and San Francisco Museum of Modern Art.", "topic": "Top/Arts/Photography/Reference/Curated_Virtual_Exhibitions", "url": "http://www.museumofnewmexico.org/mfa/ideaphotographic/index.html"} +{"d:Title": "Urban Life Through Two Lenses", "d:Description": "The exhibition represents multiple approaches to history, material culture and time. Photographs by William Notman (1826-1891), re-visited and re-photographed by contemporary photographer Andrzej Maciejewski, with separate historical, photographic, and museological commentary. McCord Museum of Canadian History. [Flash and QuickTime required]", "topic": "Top/Arts/Photography/Reference/Curated_Virtual_Exhibitions", "url": "http://www.mccord-museum.qc.ca/en/"} +{"d:Title": "Arab Foundation for the Image", "d:Description": "A non-profit association that collaborates with Elys\u00e9e Foundation in Lausanne to promote photography in the Middle East and the North Africa by locating, collecting and preserving the photographic heritage of that region.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.fai.org.lb/"} +{"d:Title": "Central Pacific Railroad", "d:Description": "Has a photographic history museum; also stereoviews of the first transcontinental railroad.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://cprr.org/"} +{"d:Title": "Georgian Museum of Photography", "d:Description": "Virtual museum of images from photography, including portraits, architecture, cultural and historical monuments.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.photomuseum.org.ge/"} +{"d:Title": "Pinhole Photography", "d:Description": "History of pinhole photography, including theory and construction details.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.photo.net/photo/pinhole/pinhole"} +{"d:Title": "Photo Revue", "d:Description": "All about Czech and Slovak photography, history, theory, reviews, profiles.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.photorevue.com/"} +{"d:Title": "The Magic Mirror of Life: An Appreciation of the Camera Obscura", "d:Description": "Many facets of the camera obscura (dark room); the origin of the photographic camera, its place in art, culture and education,vintage instruments,images, photographs, and working camera obscuras are included.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://brightbytes.com/cosite/cohome.html"} +{"d:Title": "Early Visual Media", "d:Description": "History of visual Media, photography pre-cinema prestidigitation danse macabre optical illusions.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.visual-media.be/"} +{"d:Title": "Cuarterolo Archive", "d:Description": "The Cuarterolo archive is an image bank focused on 19th century Argentine and Latin American photography that houses over 5000 historic photographs.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://archivocuarterolo.8m.com/"} +{"d:Title": "Midley History of Photography", "d:Description": "Presents essays by R. Derek Wood on the history of early photography, the daguerreotype and diorama.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.midley.co.uk/"} +{"d:Title": "American Museum of Photography", "d:Description": "Covers daguerreotypes to modernism. Research center features a guide early photo processes, book reviews and information on preserving/protecting valuable photographs.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.photographymuseum.com/"} +{"d:Title": "History of Photography Timeline", "d:Description": "A detailed timeline of photography from ancient times to the present.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://photo.net/learn/history/timeline"} +{"d:Title": "CameraNaked.com", "d:Description": "Famous photographs, and master photographers, that have achieved iconic status as defining moments in history.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.cameranaked.com/"} +{"d:Title": "How to date an old photograph.", "d:Description": "A discussion of dating a photograph, daguerreotype, tintype, CDV, or Cabinet photo. Photographic technique has always had changes that reflect the latest in scientific innovation. Culture, whether in hairstyles, clothing or settings, can specifically indicate a date an image was taken.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.datingoldphotos.com/"} +{"d:Title": "Mathew J. Steffens", "d:Description": "Article and photographs of Commodore Steffens, one of Chicago's foremost society photographer from 1872 to the 1910's.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://www.thomasyanul.com/steffens1.html"} +{"d:Title": "Albumen Photographs", "d:Description": "Presents the art and science of albumen printing, contemporary research, conservation and treatment of images.", "topic": "Top/Arts/Photography/Reference/History", "url": "http://albumen.conservation-us.org/"} +{"d:Title": "PhotoGuide Japan", "d:Description": "Information on photographers, galleries and museums, books, organizations, and articles. [English and Japanese]", "topic": "Top/Arts/Photography/Resources", "url": "http://photojpn.org/"} +{"d:Title": "Art-Support.com", "d:Description": "Articles and information on how to exhibit and sell your artwork. Links to galleries, museums, non-profit organizations and other tools and tutorials.", "topic": "Top/Arts/Photography/Resources", "url": "http://art-support.com/"} +{"d:Title": "Photographer's Marketplace", "d:Description": "Small directory of photographers, information about modeling, links, and articles.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.prophotos.net/"} +{"d:Title": "DigitalTruth Photo Source", "d:Description": "Information site including sorted links, galleries, and articles offering tips and techniques.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.digitaltruth.com/"} +{"d:Title": "PhotographyBlog", "d:Description": "Offers news, reviews, photos, and links.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.photographyblog.com/"} +{"d:Title": "Get The Picture", "d:Description": "Allows students to learn basic camera techniques and historic background of over fifty photographs by seven photographers.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.artsmia.org/get-the-picture/"} +{"d:Title": "Profotos", "d:Description": "Provides educational articles, news, member galleries, a newsletter, and links to photographer's sites.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.profotos.com/"} +{"d:Title": "Photocollege.co.uk", "d:Description": "Includes tutorials on techniques for taking and processing photographs, online magazine and image galleries. [Free membership for full access.]", "topic": "Top/Arts/Photography/Resources", "url": "http://www.photocollege.co.uk/"} +{"d:Title": "Always Photographing", "d:Description": "Devoted to photography using digital and traditional techniques. Includes articles on techniques, galleries, presentations.", "topic": "Top/Arts/Photography/Resources", "url": "http://alwaysphotographing.com/"} +{"d:Title": "E-Camera", "d:Description": "Includes photographic courses delivered by email, online galleries, and darkroom, camera, film, and chemical information.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.angelfire.com/zine/ecamera/"} +{"d:Title": "Tribalcog", "d:Description": "Illustrated travel photography courses, including a glossary, and galleries of sample photographs organized by country.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.tribalcog.com/"} +{"d:Title": "Photography in Malaysia", "d:Description": "Online portfolios, clubs, events, and technical information. Includes an overview of camera systems.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.mir.com.my/rb/photography/"} +{"d:Title": "Online Photography", "d:Description": "Includes photographer resumes, a forum, links, articles, and product reviews.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.onlinephotography.com/"} +{"d:Title": "About.com: Photography", "d:Description": "Collection of links to information and articles about history and techniques.", "topic": "Top/Arts/Photography/Resources", "url": "http://photography.about.com/"} +{"d:Title": "Quotations from the World of Photography", "d:Description": "Catalogues a selection of quotations about photography or by noted photographers by the author's name.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.photoquotes.com/"} +{"d:Title": "NatureScapes.Net", "d:Description": "A nature photography resource with monthly articles as well as discussion and image critique forums. Includes links to members' websites.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.naturescapes.net/"} +{"d:Title": "PhotoLit", "d:Description": "A catalogue of a private library specialized in photographic literature. Includes books, periodicals, and periodical articles which pertain to all aspects of photography.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.photolit.de/"} +{"d:Title": "PhotographyReview.com", "d:Description": "Includes camera reviews, members gallery, lessons, classifieds and discussion forums.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.photographyreview.com/"} +{"d:Title": "All Day I Dream About Photography", "d:Description": "Weblog relating photography news, techniques, tips, do-it-yourself projects and retouching tutorials. Updated daily.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.adidap.com/"} +{"d:Title": "Photography News - Topix", "d:Description": "News about photography, collected from various sources on the web.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.topix.com/arts/photography/"} +{"d:Title": "Topix: Photography", "d:Description": "News about photography, collected from various sources on the web.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.topix.com/rss/arts/photography.xml"} +{"d:Title": "American Suburb X", "d:Description": "Critical essays, interviews, reviews of books and exhibitions, and, of course, photographs. Dedicated to the examination of photography and culture.", "topic": "Top/Arts/Photography/Resources", "url": "http://www.americansuburbx.com/"} +{"d:Title": "Unblinking Eye", "d:Description": "Features technical articles on darkroom techniques, historical and alternative processes and print finishing. Includes developer formulary, developing times, travelogues and image galleries.", "topic": "Top/Arts/Photography/Techniques_and_Styles", "url": "http://unblinkingeye.com/"} +{"d:Title": "Farzad's 5-stop Approach", "d:Description": "Spotmeter technique uses Farzad's simplified 5-stop approach that can be used with all films.", "topic": "Top/Arts/Photography/Techniques_and_Styles", "url": "http://www.spotmetering.com/pentaxsp/"} +{"d:Title": "Spot Metering", "d:Description": "The site is an overview of a simplified (5-stop) spot metering book, including links to cheat sheets for many of today's 35mm and digital cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles", "url": "http://spotmetering.com/"} +{"d:Title": "Large Format Photography", "d:Description": "A non-commercial community of large format photographers. Includes a selection of primers, how-to articles, user reviews of equipment and a discussion forum.", "topic": "Top/Arts/Photography/Techniques_and_Styles", "url": "http://www.largeformatphotography.info/"} +{"d:Title": "Fotoopa", "d:Description": "Experimental, primarily high-speed photography, explained in English and Dutch.", "topic": "Top/Arts/Photography/Techniques_and_Styles", "url": "http://users.skynet.be/fotoopa/"} +{"d:Title": "How to Photograph Holiday Lights", "d:Description": "Article from the New York Institute of Photography. Site offers tips how to make quality photos with the assortment of illumination that is displayed during the holidays.", "topic": "Top/Arts/Photography/Techniques_and_Styles", "url": "http://www.nyip.com/ezine/holidays/holidaylights.html"} +{"d:Title": "Weather Photography", "d:Description": "Photos and timelapse video clips of clouds, atmospheric optics, lightning and astronomy. Extensive how-to information on weather photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles", "url": "http://www.weatherscapes.com/"} +{"d:Title": "Stereophotography by Andreas Petersik", "d:Description": "Self-built Nikon EM3D, stereoscope Java applet, stereo pair gallery including anaglyphs and shutter images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.stereophotography.com/"} +{"d:Title": "3D Photography from Around the World", "d:Description": "Images from many countries. Most photos are JPS format. Learn how to take, view, print and project them. Offers 3D forum, glossary and links. Offers 3D forum, glossary and links.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.3dphoto.net/"} +{"d:Title": "Stereoscopy.com", "d:Description": "This is a world-wide compendium site, 300+ links, about 3D photo products, techniques, methods. One of the best for news releases in the field.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.stereoscopy.com/"} +{"d:Title": "Konstantin's 3D", "d:Description": "Tips and tricks for stereo photographers, and graphic artists, including gallery.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://members.tripod.com/~kon_artz/photo3d.htm"} +{"d:Title": "Ray3D", "d:Description": "Specializing in the production of 3D video and photographic content, and consulting on methods of stereoscopic image presentation and projection.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.ray3d.com/"} +{"d:Title": "JRS Design", "d:Description": "Information on changing the Stereo Realist camera to get wide format 7-p (European)images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.jrsdesign.net/"} +{"d:Title": "Starosta: Anaglyph Photos and Computer Graphics Galleries", "d:Description": "Large presentation of anaglyphic and stereo pair 3d photos and graphic creations.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.starosta.com/"} +{"d:Title": "2d to 3d conversion", "d:Description": "Converting a \"flat\", standard picture into a 3D stereo image is and art, and Erich is a practitioner of the art.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.erich3d.com/"} +{"d:Title": "3D Hall of Fame", "d:Description": "Compilation of stereo 3D images from a number of sites.Anaglyph and Stereo Pairs are shown. Jon Grieg, a Burbank CA stereo photographer edits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.3dhalloffame.com/"} +{"d:Title": "Anaglyph 3D display of Microscopic Images", "d:Description": "Gallery of 3D images by U of Delaware's Roger C. Wagner.Fine anaglyphic display from electron microscopes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.udel.edu/Biology/Wags/wagart/wagart.html"} +{"d:Title": "RBT 3D Cameras", "d:Description": "Information on ONLY Professional line of stereo cameras made today.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.stereoscopy.com/3d-concepts/camera.html"} +{"d:Title": "Yahoo! Groups: View-Master", "d:Description": "Mailing list is dedicated to the discussion of View-Master and other 3-D Stereo subjects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://groups.yahoo.com/group/ViewMaster/"} +{"d:Title": "CG Techniques", "d:Description": "Messageboard about lightingtechniques in 3D.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.cgtechniques.com/"} +{"d:Title": "3d-apeiron", "d:Description": "Realistic stereoscopic 2d to 3d conversion for any 3d stereo format, including large format 3d lenticular imaging.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://apeiron-3d.tripod.com/"} +{"d:Title": "3dimka", "d:Description": "3D anaglyph photos of Russian mountains Caucasus and Ukrainian peninsula Crimea.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.angelfire.com/indie/3dimka/"} +{"d:Title": "Stereoscopic Society of America", "d:Description": "A discussion list for people interested in stereoscopic photography, especially people that shoot and share their own stereo images via postal folios and the Internet.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://groups.yahoo.com/group/StereoscopicSocietyofAmerica/"} +{"d:Title": "Extreme Photo Constructions", "d:Description": "Several anaglyph galleries created by uniting dual video frames by David Neufer. Astronomy and history anaglyphs included.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.dualmoments.com/"} +{"d:Title": "3D Stereo", "d:Description": "Samples of the work of the Foundation for Remarkable Photography. Include 3D anaglyph art gallery.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.peghole.com/mare/e/stereo1.html"} +{"d:Title": "3DJournal", "d:Description": "Web magazine about how to make 3D photos, how to create anaglyphs, offer of 3D glasses, software for making 3D, links, and 3D galleries.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.3djournal.com/"} +{"d:Title": "3D Mix", "d:Description": "Includes anaglyphical photos, articles and software for lenticular image creating and 2D to 3D conversions.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.3dmix.com/"} +{"d:Title": "3D Conversions From Flat Art", "d:Description": "2D - 3D Conversions from art including old world masters as well as recent photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.jim3dlong.com/"} +{"d:Title": "How to Create Stereo Photos Using a Digital Camera and Image Editor", "d:Description": "Full explanation of how to create 3D black-and-white stereo images that can be viewed using red and green or blue filters or pieces of cellophane. A digital camera isn't really necessary; scanned images would work.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.pibweb.com/ross/howto3d.htm"} +{"d:Title": "Terry Blackburn Stereographics", "d:Description": "A collection of stereo pairs and anaglyphs featuring a variety of subjects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.terryblackburn.us/Photography/3D/"} +{"d:Title": "Sydney Stereo Camera Club Inc", "d:Description": "Australian club interested in stereoscopic photography, stereo cameras, 3D imaging, and general 3D. Photo gallery, newsletters, and host of the Southern Cross exhibition of stereo photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://users.tpg.com.au/dstuckey/sscc/"} +{"d:Title": "Christian Taeuber's 3D Gallery", "d:Description": "Collection of colour anaglyphs and stereo photo techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://www.3d-taeuber.de/gallery/gallery_main.html"} +{"d:Title": "National Stereoscopic Association", "d:Description": "Official homepage of National Stereoscopic Association (USA). Convention information, magazine: StereoWorld.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D", "url": "http://stereoworld.org/"} +{"d:Title": "Shelley, Dan", "d:Description": "3D anaglyphs (red/ cyan) and a link-list to 3D photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D/Photographers", "url": "http://www.dddesign.com/3dbydan"} +{"d:Title": "Loube, Brian", "d:Description": "Collection of anaglyphic 3D (red/blue) photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D/Photographers", "url": "http://www.brianloube.com/3d/"} +{"d:Title": "Larson, Eric", "d:Description": "Anaglyph versions of stereographs of California deserts, Sierra Nevada, buildings, animals, and special effects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D/Photographers", "url": "http://www.3deric.com/"} +{"d:Title": "Petersik, Andreas", "d:Description": "Stereoscopic image gallery concentrates on Euro subjects but also has imagery on caves and medical subjects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D/Photographers", "url": "http://www.stereophotography.com/gallery/index.html"} +{"d:Title": "Leboutte, Didier", "d:Description": "Contains 45 stereo pictures in 3D of Thailand. Includes 45 stereo pictures of Grand palace, Ayutaya, the Lord Buddha, Bangkok. Viewable in anaglyph, parallel, cross eyes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/3D/Photographers", "url": "http://users.skynet.be/didier.leboutte"} +{"d:Title": "Daguerreian Society", "d:Description": "Dedicated to the history, science, and art of daguerreotype.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.daguerre.org/"} +{"d:Title": "Scully&Osterman", "d:Description": "Wet-plate collodion artists and publishers of The Collodion Journal, quarterly. They give workshops through the George Eastman House as well as private tutorials.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.collodion.org/"} +{"d:Title": "Renaissance Press Photogravure Atelier", "d:Description": "Renaissance Press, a fine art atelier specializing in photogravure platemaking and printing, offers an on-line gallery of numerous artist using this technique.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.renaissancepress.com/"} +{"d:Title": "Blueprint Paper Photography", "d:Description": "Taking pictures cheaply using blueprint paper and an old Polaroid Land camera. Produces sharp paper positives, with a neat mystical look to them.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.sentex.net/~mwandel/blueprint/blueprint.html"} +{"d:Title": "Alternative Photography", "d:Description": "An information site and gallery for people working with cyanotypes, or interested in alternative photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.alternativephotography.com/"} +{"d:Title": "Gum Bichromate Photography", "d:Description": "Gum bichromate technical information, detailed resources, images, links to European and French sites, and material sources in the UK and Europe.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.gumphoto.co.uk/"} +{"d:Title": "Gryspeerdt, Norman", "d:Description": "Bromoil prints, a bromoil video and information on the bromoil process and its history.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.gryspeerdt.co.uk/index.html"} +{"d:Title": "Cyanotypes", "d:Description": "A concise and informative description of the cyanotype process.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes", "url": "http://www.photogs.com/bwworld/cyanotypes.html"} +{"d:Title": "Nanian, John", "d:Description": "Documentary, portraiture, architectural/site/interior, handmade negatives, glass photographs, archival processing", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.nanianphoto.com/"} +{"d:Title": "Mahbub, Farah", "d:Description": "Architecture and landscape galleries with images from Iran and South Africa and a few from my hometown Karachi, Pakistan.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://farahmahbub.com/"} +{"d:Title": "Meyers, Steven N.", "d:Description": "Fine art x-ray photography of nature. Includes technical information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.xray-art.com/"} +{"d:Title": "Stephen Livick", "d:Description": "Many gum bichromate murals of goddess Kali and yoganis from Calcutta, India. Murals of 'Mother Earth,' a work in progress, as well as articles and text relating to the work.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.livick.com/"} +{"d:Title": "Giudicelli, Jacqueline and Jean", "d:Description": "Practitioners of the gum bichromate process for the past 15 years.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.jgiudicelli.com/"} +{"d:Title": "Talman, Donna Hamil", "d:Description": "Using blue-toned cyanotype and brown-toned Van Dyke to transform her images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.er3.com/donna/"} +{"d:Title": "Klassen, Vince", "d:Description": "Historical platinum and palladium prints of commercial, editorial, wedding, and personal photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.klassen.bc.ca/klassen/"} +{"d:Title": "Miller, Siouxsan E.", "d:Description": "Photographs of doors, chairs, gardening, sewing, housework, leaves, teacups and sunflowers. Printed in cyanotype and gum bichromate on watercolor paper.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.siouxsan.com/"} +{"d:Title": "Miller, Peter", "d:Description": "Photogravure, etching, and other intaglio techniques. Includes selection of works with subject matter including seascapes, temples, winter gardens, Normandy, Umbria, Silk Road, and Mongolia.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.kamprint.com/"} +{"d:Title": "Truett, Meryl", "d:Description": "Award-winning hand-colored, Diana, pinhole, and alternative process Polaroid images of Nashville,TN, Savannah,GA, New York, Mexico, and other interesting places.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.meryltruett.com/"} +{"d:Title": "Jones, Christopher", "d:Description": "Diana camera, Polaroid transfers and SX-70, night and pinhole photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://members.tripod.com/~crj/"} +{"d:Title": "McAdam, Frank", "d:Description": "Site emphasizing pictorial and solarization techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.frank-mcadam.com/"} +{"d:Title": "Ferguson, Tom", "d:Description": "Platinum, palladium, and cyanotype still lifes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.ferguson-photo-design.com/"} +{"d:Title": "Chernewski, Anita", "d:Description": "A rich mixture of various alternative processes and approaches including Van Dyke, palladium, pinhole, cyanotype, and photograms along with silver gelatin.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://home.earthlink.net/~chernewski/"} +{"d:Title": "Kouklis, Kerik", "d:Description": "Photographs in platinum/palladium, gum-bichromate over platinum and printing out paper (POP). Photography workshops.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.kerik.com/"} +{"d:Title": "Brown, L.S.", "d:Description": "Polaroid transfers, handcoloring, and digital manipulation of L. S. King (formerly L.S. Brown).", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://members.tripod.com/greygarage/"} +{"d:Title": "Veasey, Nick", "d:Description": "Photographer and film-maker Nick Veasey works with x-ray and scientific equipment to create unusual imagery to commission.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.nickveasey.com/"} +{"d:Title": "Madigan, Martha", "d:Description": "Photograms and sun prints of natural things and human beings. Includes information on the process. [Shockwave plug-in required]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.marthamadigan.com/"} +{"d:Title": "Chris, Morgan", "d:Description": "Gum bichromate close-ups of insects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://chmorgan.com/"} +{"d:Title": "Baker, Francis", "d:Description": "Conceptual, alternative photography including cyanotype, van dyke brown and mixed media installations.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.francisbaker.com/"} +{"d:Title": "Casjens, Laurel", "d:Description": "Through wide angle lenses, toning, and solarization, photographer Laurel Casjens provides a unique perspective on stunning landscapes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.lcphotography.com/"} +{"d:Title": "McElrath, Steve", "d:Description": "Platinum palladium photographs of the northwest and beyond.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.threedollarchair.com/"} +{"d:Title": "Ware, Mike", "d:Description": "Galleries, discussions and resources for argyrotypes, cyanotypes, chrysotypes and platino-palladiotypes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.mikeware.co.uk/"} +{"d:Title": "Roberts, Mark D.", "d:Description": "Chemigrams and polaroids.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.markdroberts.net/"} +{"d:Title": "McMillan, Judith K", "d:Description": "Using the X-Ray technique, McMillan photographs the internal structures of plants.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.judithkmcmillan.com/"} +{"d:Title": "Gregory, Joy", "d:Description": "A combination of 19th century printing process with digital media. Includes projects, archives, press, and contact.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.joygregory.co.uk/"} +{"d:Title": "Moers, Denny", "d:Description": "Uses fixative and natural elements to create dramatic and colorful images from black and white prints.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.dennymoers.com/"} +{"d:Title": "Tuttie, Elizabeth", "d:Description": "Large format platinum. Images of death, the self and landscapes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://photosplatinum.com/"} +{"d:Title": "Schurig, Carsten", "d:Description": "Gallery of Cyanotypes, mainly landscape, cityscape and architecture.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://foto-cs.de/"} +{"d:Title": "Beresford, Alice", "d:Description": "Techniques such as fabric prints and print out paper using 4x5 negatives. Based in Ireland, but gathers most of her material from travels abroad.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.aliceberesford.com/"} +{"d:Title": "Holmes, Elizabeth", "d:Description": "Infrared, handcolored, black and white photographs of rural landscapes, cityscapes, beachscapes, and nature.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.elizabethjholmes.com/"} +{"d:Title": "Watts-Martinez, Kathryn", "d:Description": "Argyrotype photography, digital negatives on hand coated water color paper, iron based argyrotype chemistry and hand coloring many of the subjects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.solarimages.com/"} +{"d:Title": "Sloan, Victor", "d:Description": "Irish artist who comments on various social, cultural and political issues, using photography, painting and collage.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.victorsloan.co.uk/"} +{"d:Title": "Soinio, Kari", "d:Description": "Works deal with the relationship of landscape and human body to identity. Techniques include reverse printing through paper negatives, out-of-focus photography, and documentary photography. In Finnish and English.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.karisoinio.com/"} +{"d:Title": "Lovenguth, Christopher", "d:Description": "Daguerreotypes of recent works, portraits, and landscapes. Also includes information about the process.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.christopherlovenguth.com/"} +{"d:Title": "Devlaeminck, Andr\u00e9", "d:Description": "Bromoil photos of Scotland, Belgium and France.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://andre_devlaeminck.tripod.com/mainmenu.html"} +{"d:Title": "Mamone, Arrigo", "d:Description": "Italian artist and printmaker who works with historical and traditional photographic processes, mainly photogravure, gum and carbon processes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://heliogravures.it/"} +{"d:Title": "Auerbach, Gary", "d:Description": "Large format platinum photography. Portraiture, cityscapes, and Polaroid image transfers. Virtual Gallery, articles, how-to's, and links. Commissioned portraiture. Located in Old Hacienda Resort in Tucson Arizona.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.garyauerbach.com/"} +{"d:Title": "Taibi, Angelo", "d:Description": "Presents techniques such as bromoil, infrared, cyanotype, liquid emulsion, pyro development, and lith printing.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.angelotaibi.it/"} +{"d:Title": "Hall, Robert", "d:Description": "19th Century processes such as platinum printing, Van Dykes, Cyanotypes. Infrared and lith processes, toned prints. Prints for sale, workshops, darkroom and field classes available.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.roberthall.com/"} +{"d:Title": "Cusak, Clayton", "d:Description": "Conceptual gumoil and silver print still lives. Includes gallery, resume, and contact information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.claytoncusak.com/"} +{"d:Title": "Kennedy, David Michael", "d:Description": "Fine art photographer working in platinum/palladium. Offers intensive master class workshops in platinum/palladium, landscape and portraits", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.davidmichaelkennedy.com/"} +{"d:Title": "Mabrey, Billy", "d:Description": "Techniques for photographers or artists outlining the historical process of gum bichromate photography. Includes several examples of prints and other photography work.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.billymabrey.com/"} +{"d:Title": "Laughter, Gene", "d:Description": "An exhibit in bromoil transfer photographic process by one of the masters of this medium and author of \"Bromoil 101\" - a 'How-to' Manual.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.alternativephotography.com/artists/gene_laughter.html"} +{"d:Title": "Kulick, Alex", "d:Description": "Portfolio of alternative, black and white, and color work. Includes landscapes, abstract imagery and people.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.alexkulick.com/"} +{"d:Title": "Magruder, Matthew", "d:Description": "Personal website of Austin-based fine art photographer working in alternative process techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://matthewmagruder.com/"} +{"d:Title": "Photogravure of Lothar Osterburg", "d:Description": "Artist working with the 19th century process of photogravure, plate making, intaglio printing. He also teaches workshops in photogravure.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.lotharosterburgphotogravure.com/"} +{"d:Title": "Clement, Alain Gerard", "d:Description": "The \"Medici Chamber\", a recent exhibit of photogenic drawings, plus a brief description of the process and a short resume of the artist.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.alainclement.com/"} +{"d:Title": "Alexeev, Alexey", "d:Description": "Photographer and researcher of alternative and historical photo processes. He was the first to learn the Wet-Plate Collodion Process in modern Russia and is using it at a professional level. Alexey uses handmade processes, large format cameras and vintage lens for his projects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.ambrotype.ru/"} +{"d:Title": "Daly, Jennifer Linnea", "d:Description": "Anthotypes and Solarplate prints. Environmentally conscious artmaking.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.jldalyphotography.com/"} +{"d:Title": "Gareis, Klaus-Martin", "d:Description": "Various alternative analog approaches including Lith, coloring and Bromoil", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.gareis.com/"} +{"d:Title": "Malcolm Hutcheson", "d:Description": "Traditional Ruh Khitch is a way in which black and white photographs can be taken, printed and sold to a client without a studio or darkroom.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://malcolmhutcheson.com/"} +{"d:Title": "Palmer, Harry", "d:Description": "Images called tallpecs, created from photographs of Alberta and Saskatchewan in celebration of their centennials in 2005.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Alternative_Processes/Photographers", "url": "http://www.aportraitofcanada.ca/"} +{"d:Title": "Rockland Colloid", "d:Description": "Information on the combination of new and old techniques to produce many new types of photographic prints.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.rockaloid.com/"} +{"d:Title": "The Light Factory", "d:Description": "Online exhibits, classes in photography, and several articles on alternative processes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.lightfactory.org/"} +{"d:Title": "Lloyd Erlick: Technical Articles", "d:Description": "A large collection of articles for darkroom techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.heylloyd.com/technicl/technicl.html#drying"} +{"d:Title": "Do It Yourself", "d:Description": "Mason Resnick's article on the benefits of doing your own printing. From the Black and White World site.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.photogs.com/bwworld/bwdarkrm1.html"} +{"d:Title": "Silverlight Photography Tutorials", "d:Description": "Photography darkroom tutorials and resources.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.silverlight.co.uk/tutorials/index.html"} +{"d:Title": "Kodak Professional: Black and White Films", "d:Description": "Technical manuals for all Kodak black and white films.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.kodak.com/global/en/professional/support/databanks/filmDatabankBW.jhtml"} +{"d:Title": "Potassium Ferricyanide: Fantastic or Frustrating?", "d:Description": "Demystifying this processing additive.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.photogs.com/bwworld/potass.html"} +{"d:Title": "Claudio Bonavolta's Photography Page", "d:Description": "Information on photography equipment, darkroom techniques. Also contains travel photographs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.bonavolta.ch/hobby/en/photo/"} +{"d:Title": "The Zone System", "d:Description": "History and technical description of the zone method of controlling image quality. Examples of work produced with the system, further reading and biography of the siteowner.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.zone2tone.co.uk/"} +{"d:Title": "Introduction to The Black and White Darkroom", "d:Description": "Jerry Sparrow's article covering the basics of black and white darkroom setup and photo printing.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.photo.net/darkroom/black-and-white-intro"} +{"d:Title": "Kodak Professional: Photographic Chemicals", "d:Description": "Contains detailed information on all Kodak photographic chemicals. Information for both color and black and white chemicals.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.kodak.com/global/en/professional/products/prodChemicals.jhtml"} +{"d:Title": "Developing Tank", "d:Description": "Instructions on how to build a durable developing tank from ABS plastic pipe.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.heylloyd.com/technicl/devtank.htm"} +{"d:Title": "KODAK Photochemical Information and FAQs", "d:Description": "Information and FAQs for Kodak's photo chemicals", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.kodak.com/global/en/service/chemicals/photoChems.jhtml"} +{"d:Title": "Rodolfo Namias Group: Old Photographic Techniques", "d:Description": "Details old printing processes that give results unobtainable on silver-bromide papers. Includes gallery showing technique examples, information about exhibitions, and available courses.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.grupponamias.com/index_en.html"} +{"d:Title": "Masking Kits", "d:Description": "Pin-registration holders and carriers, resource on contrast masking techniques and other fine art darkroom procedures.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.maskingkits.com/"} +{"d:Title": "Dust and Spotting", "d:Description": "Article on removing and avoiding dust and spots on prints.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.largeformatphotography.info/dust-spotting.html"} +{"d:Title": "Black and White World", "d:Description": "Advanced techniques, beginners guide, forums, FAQs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Darkroom", "url": "http://www.photogs.com/bwworld"} +{"d:Title": "Dry Creek Photo", "d:Description": "Color management resources for digital photographers. Includes freely available custom print profiles for widely available Fuji Frontier and Noritsu printers.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.drycreekphoto.com/"} +{"d:Title": "Electronic Photo-Imaging", "d:Description": "Independent information about all aspects of digital imaging, including digital cameras, scanners, printers and image manipulation. Offers training courses in the UK, and seminars worldwide.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.epi-centre.com/"} +{"d:Title": "Nikon D1 Users Group", "d:Description": "Exchange of information about the Nikon D1 series of cameras. Very active Nikon D1 Series Discussion forum.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.nikond1.net/"} +{"d:Title": "Short Courses in Digital Photography", "d:Description": "Includes everything from buying a camera to what to do with the photographs you've taken.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.shortcourses.com/"} +{"d:Title": "BytePhoto", "d:Description": "Includes equipment reviews, news, forums, image galleries, contests and basic photo-editing tutorials.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.bytephoto.com/"} +{"d:Title": "Digital Photography Forums", "d:Description": "Online community featuring a members picture sharing gallery, digital darkroom forum and digital camera discussion forums.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.dpforums.com/"} +{"d:Title": "Computer Darkroom", "d:Description": "Instructive feature articles, tutorials, reviews and technical discussions relating to digital image processing along with a series of photographic images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.computer-darkroom.com/"} +{"d:Title": "Canon Digital Photography Forums", "d:Description": "For digital camera enthusiasts using Canon cameras. The forum contains general photography and technique discussion areas which are not camera specific.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://photography-on-the.net/forum/"} +{"d:Title": "MyOlympus", "d:Description": "The photographic community of users of Olympus 4040, 5050, 5060 and 8080 digital cameras. Many photographs on display.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://myolympus.org/"} +{"d:Title": "milov.nl", "d:Description": "Weblog/photoblog focusing on digital art, javascript/dhtml, and the weblog phenomenon.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://milov.nl/cat/linkdump"} +{"d:Title": "Impulse Adventure - Digital Photography", "d:Description": "Digital photography articles including workflow, cataloging, naming, archiving, equipment and beginner's guides.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.impulseadventure.com/photo/"} +{"d:Title": "Links for the Nikon D70 Owner", "d:Description": "Links to information for Nikon D70's owners - browse through links to forum posts and other resources.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.pbase.com/dlcmh/dslr_links"} +{"d:Title": "Dynax Digital Forums", "d:Description": "Forum site for users of the Konica Minolta Dynax/Maxxum Digital SLR camera. It covers technique for using the camera as well as help and advice.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.dynaxdigital.com/"} +{"d:Title": "Travlenerds", "d:Description": "Digital photography workshops designed to immediately improve your digital photography skills.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.dalehonning.com/"} +{"d:Title": "Digital Photography: Digital Field Guide", "d:Description": "Digital photography gallery, post processing techniques, converting RAW images, and resources.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.digitalfieldguide.com/"} +{"d:Title": "Rob Galbraith Digital Photography Insights", "d:Description": "Provides information and resources on digital photography and digital technology.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://www.robgalbraith.com/"} +{"d:Title": "Terry and the Pixels", "d:Description": "Includes a gallery, articles, and resources for digital camera users.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital", "url": "http://sites.google.com/site/terryandthepixels/"} +{"d:Title": "Digital Light&Color", "d:Description": "Producers of \"Picture Window,\" an image editing program for fine art photography. Includes numerous tutorials on digital imaging and editing, available as Adobe Acrobat files.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://www.dl-c.com/"} +{"d:Title": "The Photoshop Guru's Handbook", "d:Description": "Tutorials at beginner, intermediate, and advanced levels; forums, contests.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://www.photoshopgurus.com/"} +{"d:Title": "Making fine prints in your digital darkroom - Norman Koren", "d:Description": "Three part tutorial by Norman Koren. Includes scanning, image editing, monitor-printer calibration, and other aspects of fine art digital printmaking", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://www.normankoren.com/makingfineprints.html"} +{"d:Title": "Internet Brothers - Digital Photography Tips and Techniques", "d:Description": "Tips and tutorials about digital photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://internetbrothers.com/phototips.htm"} +{"d:Title": "Photography in the Digital Era", "d:Description": "Introductory course focuses on the basics, how to get better photographs, and how to distribute prints.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://www.photocourse.com/"} +{"d:Title": "FredMiranda.com", "d:Description": "Digital darkroom forums and galleries, equipment reviews, and Photoshop plug-ins for common digital image processing tasks.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://www.fredmiranda.com/"} +{"d:Title": "Ted's Unofficial Kodak Photo CD Homepage", "d:Description": "Covers the basics, FAQs and advanced topics pertaining to Kodak's Photo CD product.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://tedfelix.com/PhotoCD/"} +{"d:Title": "Zuber Photographics", "d:Description": "Explains digital imaging concepts and color to black and white conversions. Features Adobe Photoshop controls commonly used by photographers and self administered tests.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation", "url": "http://www.zuberphotographics.com/"} +{"d:Title": "Wymer, Leigh", "d:Description": "A collection of classic and digitally manipulated photographs. Features galleries including originals, oils and watercolors. Contact and equipment information included.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.digitalpixelsdesign.com/"} +{"d:Title": "Kaeseberg, Iris Ruth", "d:Description": "A collection of manipulated photographic art works. Features galleries and a newsletter.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.iris-ruth.com/"} +{"d:Title": "Digital Nuts", "d:Description": "Work of members of the Yahoo group. Features photographs on a range of subjects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.pbase.com/digitalnuts/"} +{"d:Title": "Mabat, Ynon", "d:Description": "A collection of enhanced images including an inner reflection series and travel works. Features galleries and an artist biography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.mabat-art.com/"} +{"d:Title": "M\u00f8rk, Erlend", "d:Description": "A collection of digitally manipulated dark and surrealistic photography. Features galleries, short artist biography and contact information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://erlendmork.com/"} +{"d:Title": "Birk, Lukas", "d:Description": "A collection of classic black and white photography, collages and digital manipulations. Features galleries, information on exhibits, news and contact details. [English and German]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.lukasbirk.com/"} +{"d:Title": "Lau, Maria", "d:Description": "Documentary and fine art photography taken in Cuba. Projects involve work in Havana, Havana Chinatown and Pinar del Rio.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.marialau.com/"} +{"d:Title": "Jans, James E.", "d:Description": "A collection of flora and fauna images that have been manipulation into digital rug designs and medallions. Features galleries and a guide to the process.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.fromfloraandfauna.ca/"} +{"d:Title": "Follendore III, Roy D.", "d:Description": "Manipulated fine digital photographic prints.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Digital/Digital_Manipulation/Exhibitions", "url": "http://www.noisetoknowledge.com/media_gallery.htm"} +{"d:Title": "Get The Picture: Thinking About Photographs", "d:Description": "Learn basic camera techniques and the historic background of seven photographers' work including Berenice Abbott and Gilles Peress.", "priority": "1", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary", "url": "http://www.artsmia.org/get-the-picture/"} +{"d:Title": "Indivisible: Stories of American Community", "d:Description": "A national documentary project that explores community life and civic action. 22 acclaimed photographers and interviewers documented 12 communities where people are addressing crucial issues and creating positive change.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary", "url": "http://www.indivisible.org/"} +{"d:Title": "Picture-Projects", "d:Description": "Online documentaries developed in partnership with artists, photographers, filmmakers, radio producers, scholars and educators, incorporating new technologies and smart design.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary", "url": "http://picture-projects.com/"} +{"d:Title": "Shooting for Peace: Building Community Through Photography", "d:Description": "Features the Curtis Park Photo-Story Project. A guide for community photography projects. By Tory Read. [Requires Flash]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary", "url": "http://www.community-photography.com/"} +{"d:Title": "The White Cloud Photography Workshops", "d:Description": "Devoted to the appreciation of documentary photography and the enlightenment of those who have committed themselves to it.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary", "url": "http://www.whitecloudworkshop.com/"} +{"d:Title": "Tribal Photography", "d:Description": "A photographic resource that supports tribal survival, the defense of human rights and cultural autonomy of indigenous people.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary", "url": "http://www.tribalphoto.com/"} +{"d:Title": "Guillaume Bourdet Photo Gallery", "d:Description": "Including riots in London (Mayday 2001), Nepal, Pakistan, Vietnam, Laos, Turkey, Brighton.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://yomgui666.free.fr/"} +{"d:Title": "Reflections Archive Photography", "d:Description": "A black and white photographic archive of Liverpool, Wirral, Merseyside and other areas of Britain up to the 1960s, hand printed from high quality original glass plate negatives.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://www.20thcenturyimages.co.uk/"} +{"d:Title": "Werner Forman Archive", "d:Description": "Selected photographs from over 100 published books on the great civilisations and tribal societies of the past.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://www.werner-forman-archive.com/"} +{"d:Title": "Reflective Images", "d:Description": "Offer mail order prints of photographs of Welsh towns and villages dating from 1890. Includes a list of localities and scenes available.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://www.wales-pictures.com/"} +{"d:Title": "Images from S.V. Hougomont's passages", "d:Description": "Depicting the journeys of the four-masted steel barque \"Hougomont\" in the years 1927-1929.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://thorweb.anta.net/hougomont/"} +{"d:Title": "Lubavitch Archives", "d:Description": "Articles, pictures and historical facts in regard to Chabad Lubavitch history.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://www.chabadnews.us/"} +{"d:Title": "The Civil War Photography Center", "d:Description": "Devoted to the works of original Civil War photographers. Includes images, information on technical methods, and cameras. Located in Gettysburg, PA.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://www.civilwarphotography.com/"} +{"d:Title": "When They Were Young: A Photographic Retrospective of Childhood", "d:Description": "Collection of black and white photographs featuring children. From the American Library of Congress.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://www.loc.gov/exhibits/young/"} +{"d:Title": "Photo Mazza", "d:Description": "Over 70.000 colour slides of botany and zoology. Includes animals in action, under water photographs and wildlife.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://www.photomazza.com/"} +{"d:Title": "Greenpark Images", "d:Description": "A unique collection of film and video footage dating back to the 1940's and earlier, covering the UK and international subjects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://greenparkimages.co.uk/"} +{"d:Title": "Alinari", "d:Description": "Preserves and exhibits over 800,000 vintage photographs and negatives. Contains exhibit details, a library, and a restoration laboratory. Located in Florence, Italy. [Italian and English]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://corporate.alinari.it/"} +{"d:Title": "LIFE photo archive hosted by Google", "d:Description": "Includes a searchable database of photographs from the LIFE photo archive, stretching from the 1750s to today.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives", "url": "http://images.google.com/hosted/life"} +{"d:Title": "William P. Gottlieb Photographs from the Golden Age of Jazz", "d:Description": "The William P. Gottlieb Collection comprises of over 1600 photographs of celebrated American jazz artists.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://memory.loc.gov/ammem/wghtml/wghome.html"} +{"d:Title": "American Photography: A Century of Images", "d:Description": "Discover a century of photography through an interactive photolab and feature topics.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://www.pbs.org/ktca/americanphotography/"} +{"d:Title": "California Views Photo Collection", "d:Description": "80,000 images from 1855-1999. Views of Monterey, Cannery Row, the sardine fishing industry, John Steinbeck, Edward F. Ricketts, the California Missions, and the San Francisco earthquake aftermath.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://www.caviews.com/"} +{"d:Title": "Cuba de Ayer", "d:Description": "Extensive collection of photos of Havana's cityscape during the pre-communist 1950s. By Joe E. Abraham.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://www.cubapix.com/"} +{"d:Title": "The September 11 Digital Archive", "d:Description": "Uses electronic media to collect, preserve, and present the history of the September 11, 2001 attacks in New York, Virginia, and Pennsylvania and the public responses to them. It aims to contribute to the on-going effort by historians and archivists to record and preserve the record of 9/11.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://www.911digitalarchive.org/"} +{"d:Title": "Paraguay de Antes", "d:Description": "Of Paraguay (1860-1960), based on the book \"Asunci\u00f3n de anta\u00f1o\" by Prof. Jorge Rubiani.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://www.meucat.com/album.html"} +{"d:Title": "Fauerbach Brewery", "d:Description": "History of the Fauerbach Brewery and related breweries in Wisconsin including: Hausmann, Bierbauer, Haertel, and Sprecher. Ice boating and ice harvesting photos.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://www.fauerbachbrewery.com/"} +{"d:Title": "Americana Images", "d:Description": "Archive of North American imagery taken by UK based photographer, Scott Wishart.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/American", "url": "http://www.americanaimages.com/"} +{"d:Title": "Russian Empire in Photographs", "d:Description": "A collection. In English and Russian.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/Russian", "url": "http://all-photo.ru/empire/"} +{"d:Title": "The Empire That Was Russia", "d:Description": "A photo exhibit at the Library of Congress with colour photographs by Sergei Mikhailovich Prokudin-Gorskii (1863-1944). Offers a vivid portrait of a lost world, the Russian Empire on the eve of World War I and the coming revolution.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/Russian", "url": "http://www.loc.gov/exhibits/empire/"} +{"d:Title": "Russian Archives", "d:Description": "The Russian State Documentary Film and Photo Archive at Krasnogorsk (RGAKFD) has footage from the coronation of Czar Nicholas II in 1896 and a collection of newsreels from 1919 to 1985.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Archives/Russian", "url": "http://www.abamedia.com/rao/archives/rgakfd/"} +{"d:Title": "Editorial Photographers United Kingdom and Ireland", "d:Description": "Members only mailing list, and public resource for editorial photographers, covering copyright, tax and invoicing, as well as creative issues.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Chats_and_Forums", "url": "http://www.epuk.org/"} +{"d:Title": "Lightstalkers", "d:Description": "Discussion board, contacts list, list of resources, populated mostly by international photographers, with some editors, writers, and agents. Subjects covered include travel, people to work for, places to live, and equipment.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Chats_and_Forums", "url": "http://www.lightstalkers.org/"} +{"d:Title": "POY: The Pictures of the Year", "d:Description": "POY is one of the oldest, largest and most highly respected photojournalism contests in the world.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Contests", "url": "http://www.poy.org/"} +{"d:Title": "John Kobal Photographic Portrait Award", "d:Description": "Showcase for new talent in portrait photography organised by the National Portrait Gallery.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Contests", "url": "http://www.johnkobal.org/"} +{"d:Title": "Atlanta Photojournalism Seminar Digital Contest", "d:Description": "Offers a photography contest judged by working photographers, with categories from spot news to features.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Contests", "url": "http://www.photojournalism.org/"} +{"d:Title": "World Press Photo", "d:Description": "International award with 22 categories, including sport, the arts, science, people and spot news. Winning entries are published each year in a book, and an exhibition tours worldwide throughout the year.", "priority": "1", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Contests", "url": "http://www.worldpressphoto.org/"} +{"d:Title": "Lucie Awards", "d:Description": "The photography community from countries around the world, gathering with luminaries from the international photography community to laud the year's most outstanding achievements.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Contests", "url": "http://www.lucies.org/"} +{"d:Title": "Henri Cartier-Bresson Award", "d:Description": "The Award is an international grant for 30 000 euros, for a reportage photography project that would otherwise be difficult to achieve. Applicants are nominated by museums, publishers, and galleries, and are generally well-established.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Funds", "url": "http://www.henricartierbresson.org/"} +{"d:Title": "John Simon Guggenheim Memorial Foundation", "d:Description": "Major grants to many disciplines, including photography. The Foundation only supports individuals, and has in the past supported mature photographers such as Gilles Peress, Eugene Smith and Diane Arbus.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Funds", "url": "http://www.gf.org/"} +{"d:Title": "Blue Earth Alliance", "d:Description": "Sponsors documentary projects on cultures, the environment and social issues by hosting images and traveling exhibits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Funds", "url": "http://www.blueearth.org/"} +{"d:Title": "Eugene Smith Fund", "d:Description": "Founded in 1979 after Smith's death, the fund seeks to further the aims of humanistic photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Funds", "url": "http://www.smithfund.org/"} +{"d:Title": "Themes", "d:Description": "Bi-monthly magazine edited by John Vink and associated at Magnum photo. Includes subscription information and an overview of each issue. In English and French.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://www.magic.be/themes/Themes.html"} +{"d:Title": "The Digital Journalist", "d:Description": "Features documentary work, including editorials, columns, product reviews and photography tips.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://www.digitaljournalist.org/"} +{"d:Title": "Foto8", "d:Description": "Features photo stories by freelancers and agency photographers covering topical issues worldwide. Includes subscription information and links.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://www.foto8.com/"} +{"d:Title": "Reportage", "d:Description": "Articles on the use and abuse of photography in media today, an archive of past issues, and information on the print version.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://www.reportage.org/"} +{"d:Title": "PixelPress", "d:Description": "Focuses on new strategies for documentary imagery, with photo essays, web art, reviews, and articles.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://www.pixelpress.org/"} +{"d:Title": "Journal E", "d:Description": "Interactive photo essays on subjects from childbirth and cigar bars to the Watergate decade.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://www.musarium.com/"} +{"d:Title": "National Geographic - Photography", "d:Description": "Photography essays, documentary tips and links to photographers' web sites, galleries by topic and wallpapers.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://photography.nationalgeographic.com/"} +{"d:Title": "Daylight Magazine", "d:Description": "Focuses on community-based documentary partnerships in various locations throughout the world. Published quarterly.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://daylightbooks.org/"} +{"d:Title": "LIFE Magazine", "d:Description": "Online version offers an archive of classic pictures, past covers, and full-length essays.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Magazines_and_E-zines", "url": "http://time.com/photography/life/"} +{"d:Title": "National Press Photographers Association", "d:Description": "National Press Photographers Association is a US based organisation founded in 1946 which aims to promote photojournalism. Its activities include training, seminars, and industry awards.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://www.nppa.org/"} +{"d:Title": "International Center of Photography", "d:Description": "Official web site of the International Center of Photography. Includes an online course catalog, exhibition schedules and special online projects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://www.icp.org/"} +{"d:Title": "American Highway Project", "d:Description": "A photographic resource dedicated to the documentation of transportation, architecture and pop culture in the US past and present.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://www.highwayproject.org/"} +{"d:Title": "The Association of Photographers", "d:Description": "A British non-profit trade association with 1800 members and a gallery in central London.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://www.the-aop.org/"} +{"d:Title": "Photofusion Photography Centre", "d:Description": "Based in London, UK offering a gallery, training, community darkrooms, studio, picture library and digital imaging suite.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://www.photofusion.org/"} +{"d:Title": "New Jersey Press Photographers Association", "d:Description": "Official website of NJPPA. Includes news, pictures of the year, clip contest, members, officers and links.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://njppa.bravepages.com/njppa/index.html"} +{"d:Title": "War Photo Limited", "d:Description": "Dedicated to educating the public about the truths of war through traveling photographic exhibitions.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://www.warphotoltd.com/"} +{"d:Title": "The Aftermath Project", "d:Description": "The Aftermath Project is a new foundation that will award grants to documentary photographers documenting the aftermath of war and conflict throughout the world.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://www.theaftermathproject.org/"} +{"d:Title": "The Center for Documentary Studies at Duke University", "d:Description": "An independent non-profit organization dedicated to connecting documentary arts and process to education and community life. Founded in 1989 with an endowment gift from the Lyndhurst Foundation.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Organizations", "url": "http://documentarystudies.duke.edu/"} +{"d:Title": "Villarino, Xulio", "d:Description": "Reports, exhibitions and original photographs on site.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://xuliovillarino.com/"} +{"d:Title": "Hansen, Geoff", "d:Description": "Award-winning photojournalist, Vermont based. Known for documentary style wedding photography. Also author of \"My Life As a Dog\".", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.geoffhansen.com/"} +{"d:Title": "Smith, Victoria", "d:Description": "Hot rock photography, fashion and portraits. Primarily documenting the San Francisco music scene, and contracting to worldwide publications, agencies and individuals.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.victoriasmithphoto.com/"} +{"d:Title": "Davies, John", "d:Description": "On British and Western European locations. Biography, articles and image galleries.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.johndavies.uk.com/"} +{"d:Title": "Lipman, James", "d:Description": "Reportage style images on the UK, Shanghai, China and Bolivia. Samples of published work on site.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.jameslipman.com/"} +{"d:Title": "Wright, Bill", "d:Description": "Emphasis's on \"the positive attributes of humanity\" with a series on the Tigua and Kickapoo Indians.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.wrightworld.com/"} +{"d:Title": "Alexander, Bryan&Cherry", "d:Description": "Photographing Polar Regions for 30 years, particularly Arctic Siberia and Antarctica. Site contains library images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.arcticphoto.co.uk/"} +{"d:Title": "Harder, Michael", "d:Description": "International photojournalism, documentary and feature photography. Based in Denmark.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.pix.dk/"} +{"d:Title": "Kanamori, Mayu", "d:Description": "Documentary and portrait work in Australia and Japan. Sydney based.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://mayu.com.au/"} +{"d:Title": "Levin, Mikael", "d:Description": "Presents projects \"War Story\", \"Common Places\", \"The Border Project\" and \"Walking City\".", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.mikaellevin.com/"} +{"d:Title": "Jang, Michael", "d:Description": "Cowboys, punk scene, south, movie premieres and heroes. [Requires Flash]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.michaeljang.com/"} +{"d:Title": "van der Helm, Wim", "d:Description": "Of Rwanda, Chechnya, Azerbaijan, Cuba, Congo, and other essays. Berlin based.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.documentary.de/"} +{"d:Title": "Jong, Wubbo", "d:Description": "Black and white images of Kosovo, Albania, Madagascar, Rwanda, and Amsterdam. Dutch photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://members.ams.chello.nl/wrdejong/index-1.html"} +{"d:Title": "Soar, Duncan", "d:Description": "Freelancer based in London, UK. Portrait and documentary photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.duncansoar.com/"} +{"d:Title": "Galvez, Jose", "d:Description": "Capturing Latino culture for more than 30 years. Pulitzer Prize-winning photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.josegalvez.com/"} +{"d:Title": "Light, Ken", "d:Description": "From Texas death row to rural Mississippi. Also a stock list and biography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.kenlight.com/"} +{"d:Title": "Lyon, Danny and Edwards, Hugh", "d:Description": "Black and white images by Danny Lyon (still photography and film) and color images by Hugh Edwards.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.bleakbeauty.com/"} +{"d:Title": "Shambroom, Paul", "d:Description": "Images explore power in its various forms. Recent projects include \"Nuclear Weapons\" and \"Meetings.\"", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.paulshambroomart.com/"} +{"d:Title": "Bartholomew, Pablo", "d:Description": "Award-winning photographer, also a jury member of the World Press Photo competition.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.india50.com/pablo.html"} +{"d:Title": "Stecha, Pavel", "d:Description": "Black and white photographs, documenting the Soviet invasion of Czechoslovakia.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.gallery.cz/gallery/en/Vystava/2001_03/"} +{"d:Title": "Finkle, Harvey", "d:Description": "Award-winning documentary still photographer whose interests are social, political, educational and cultural.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.harveyfinkle.com/"} +{"d:Title": "Iris Imaging, Inc.", "d:Description": "Specializes social marketing of political and social issues using photographic essays and the delivery of visual communications by non-traditional methodology.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://irisimaging.com/"} +{"d:Title": "West, Jim", "d:Description": "Images deal with jobs, economic issues, race relations, guns and violence, the environment, outdoor recreation, and portraits. Extensive stock photo list, with licensing information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.jimwestphoto.com/"} +{"d:Title": "Renaldi, Richard", "d:Description": "New York based photographer's works include portrait, travel and social issues.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.renaldi.com/"} +{"d:Title": "Radim, Beznoska", "d:Description": "Czech documentary photographer. Gypsy, social and other topics.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.radimbeznoska.cz/"} +{"d:Title": "Krieger, Herman", "d:Description": "An offbeat look at churches in America, a small town in Oregon called Blue River, and other photo essays.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.efn.org/~hkrieger"} +{"d:Title": "Perkins, J.D.", "d:Description": "Award-wining British photographer presents essays on the UK, Germany, Canada and the Middle East conflict (2000-2002) in black and white and in color. Stories from beaches to politics to war to art.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.ripserve.com/perkins/"} +{"d:Title": "Owens, Bill", "d:Description": "Published works include Suburbia, Working, and Our Kind of People.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.billowens.com/"} +{"d:Title": "Watt, Bryan", "d:Description": "Humanitarian photographer, Bryan Watt, has been documenting projects around the world since 2002. Images are used in annual reports, newsletters, and other media, for awareness and fundraising purposes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.bryanwatt.com/"} +{"d:Title": "Arnold, \u00c9va", "d:Description": "Black and white picture stories about Beijing, Berlin, Sicily, Hungary, and the Ukraine. [Flash required]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.arnoldeva.hu/"} +{"d:Title": "Artcoup", "d:Description": "An exhibition photos by photographer known as Boogie with daily photo postings.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.artcoup.com/"} +{"d:Title": "Haarlov-Johnsen, Ditte", "d:Description": "Of teen-age mothers, transvestites and HIV positive people in Denmark, Mozambique and Canada.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.dihajo.com/"} +{"d:Title": "McKinnon, Sheila", "d:Description": "Of Italy, India and developing countries. Has worked with UNICEF, Africare and FAO.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.sheilamckinnon.com/"} +{"d:Title": "Loren, Raku", "d:Description": "A series titled \"Stories From The Road\" featuring Indonesia, North America, India, Morocco, Brazil and Laos.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.rakuloren.com/"} +{"d:Title": "Farrington, S. Blake", "d:Description": "Portfolio of black and white photographs, with a special focus on the former Yugoslavia and social issues in the San Francisco Bay area.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.blakefarrington.com/"} +{"d:Title": "Tzanev, Zara", "d:Description": "Portfolio including images from a project on Bulgarian emigres in Boston. Also, photographs of rodeos, truckers, and people in their daily lives.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.zarafoto.com/"} +{"d:Title": "Nicola Kurtz - Photographer", "d:Description": "Portfolio website for the London-based documentary photographer Nicola Kurtz.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.nicolakurtz.com/"} +{"d:Title": "Ciantar, Alan", "d:Description": "An Artist based website that looks at photographic projects of one artist. Includes portraits and exhibition.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.alanciantar.com/"} +{"d:Title": "Minami, Brian", "d:Description": "Recent photojournalism from Los Angeles, CA, with emphasis on the Japanese American community. Special projects include text and photo essays documenting the Lordsburg and Santa Fe WWII internment camps.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://minamipictures.com/"} +{"d:Title": "Faust, Chris", "d:Description": "Documentary work has been a series of commissions and projects in landscape photography. Includes collection of urban, night and rural scenes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://chrisfaustphoto.com/"} +{"d:Title": "McLinn, Libby", "d:Description": "Documentary Sight. Award winning photography from New York City and Brooklyn featuring weddings, editorials, music and portraits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://documentarysight.com/"} +{"d:Title": "Tehrani, Alex", "d:Description": "Photos from Africa to Asia to South America following stories of personal and political interest. Includes portfolio, biography and contact information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.alextehrani.com/"} +{"d:Title": "Pathy, Mariette Allen", "d:Description": "Collections of black and white and color photographs: vintage photographic images from Philadelphia and New Jersey, \"people with art\" and transgender documentary images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.mariettepathyallen.com/"} +{"d:Title": "Syracuse, Nicholas", "d:Description": "Uncover a mystical sense within an elusive America. A road adventure peering over the shoulder of documentary, travel, and fine art photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.roadphoto.com/"} +{"d:Title": "Hill, Robb", "d:Description": "The work includes images from Eastern Europe, Asia, Portraiture and his current project about a high school on the south side of Chicago.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.robbhill.com/"} +{"d:Title": "Katz, Colby", "d:Description": "Documentary photographs of sideshows, small towns, beauty pageants, and teenage hunters.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://colbykatz.com/"} +{"d:Title": "Bouclainville, Philippe", "d:Description": "Photographs of New York (Brooklyn Bridge, Central Park, Under Ground, Time Square) and Paris.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.newyorkexposure.com/"} +{"d:Title": "Grossman, Anna", "d:Description": "Documentary and travel photography from around the world. Countries featured include: Cuba, USA, Italy, Jamaica, Thailand.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.annagrossman.com/"} +{"d:Title": "Schlossman, Marc", "d:Description": "London based photographer and photojournalist. The sections in the site range from traditional photojournalism to corporate portraiture and abstract landscapes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.marcschlossman.com/"} +{"d:Title": "Tondl, Jiri", "d:Description": "Photo gallery of a Prague photographer, member of the AF, association of the professional photographers of the Czech Republic. Includes photographs from Beijing and Prague.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.tondl.cz/INDEX_en.HTM"} +{"d:Title": "L\u00f8fquist, Michael", "d:Description": "Independent filmmaker, writer and photographer presents photography from China.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.guishen.com/"} +{"d:Title": "Dunkin, Gary", "d:Description": "Portfolio site for professional photographer and photojournalist from New York City. Includes portraits, photo documentary and personal projects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.garydunkin.com/"} +{"d:Title": "Jacobs, Vance", "d:Description": "This site serves as an on-line portfolio, providing a quick and easy way to check out some of the best work of the featured journalists.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.vancejacobs.com/"} +{"d:Title": "Moxham, Kate", "d:Description": "Travel and documentary photographer. Capturing the essence of life around the world. Images from Cuba, India, Morocco, Vietnam, Burma, and the American west.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.katemoxham.com/"} +{"d:Title": "Klimek, Martin", "d:Description": "Editorial and documentary photography specializing in location portraiture.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://klimekphoto.com/"} +{"d:Title": "Nimcova, Lucia", "d:Description": "Features photos, media, portfolio, illustrations, projects, exhibitions.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.luco.sk/"} +{"d:Title": "Anderson, Andy", "d:Description": "Specialized in location, portrait, and lifestyle photography. Andy shoots award winning black and white, and color, pictures for Communication Arts, PDN , and Graphis.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.andyandersonphoto.com/"} +{"d:Title": "Willett, Amani", "d:Description": "A site showcasing images from across the globe. Including Cuba, South Africa, Brazil and Tanzania.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://amaniwillett.com/"} +{"d:Title": "Lewis, Jonny", "d:Description": "Australian photographer who has made portfolios on Bondi Beach, in Indonesia, the Outback, the Bush and most recently worked in East Timor. This is all black and white work and is available to collecters and for exhibition.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.jonnylewis.org/"} +{"d:Title": "Sabrie, Gilles", "d:Description": "Features photos from New York, China, Indonesia, India, Bengladesh. Themes are Faith, Washington DC Millennium March, Calcutta prostitutes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.gsabrie.com/"} +{"d:Title": "Aron, Bill", "d:Description": "Black and white photographs appear from two of authors books, \"From the Corners of the Earth\" and \"Shalom Y'all.\"", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.billaron.com/"} +{"d:Title": "Cohen, Jeff", "d:Description": "People and street scenes by a Los Angeles based artist. [Flash plug-in required]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.jeffcohenphoto.com/"} +{"d:Title": "Nicholls, James", "d:Description": "Online gallery of images and documentary photo assignments for humanitarian projects such as the war in Sudan. Includes portfolio, projects, artist and contact.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.nichollsphotography.com/"} +{"d:Title": "Min, Marcelo", "d:Description": "Photographs and stories about real brazilians. Includes audiovisual, biography, and contact.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.marcelomin.com.br/"} +{"d:Title": "Stockenstr\u00f6m, Tone", "d:Description": "Authors documentary work has been published in Doubletake Magazine, Photographers Forum, Time Out New York, River Oaks Review, Streetwise, American Photo on campus and The Chicago Tribune Magazine. Features gallery of wedding photography, biography and contact.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://tonephoto.com/"} +{"d:Title": "Dean, Sacha Biyan", "d:Description": "A compelling photographic journey to the farthest raeches of the globe, delving into the customs and rituals of various remote and vanishing cultures.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.sachabiyan.com/"} +{"d:Title": "Rhoades, Michael", "d:Description": "Independent photographer based in Chicago. Documentary photography from East Timor, Cuba, and Chicago.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.33ram.org/"} +{"d:Title": "Anthony, Goicolea", "d:Description": "Cuban American photographer who lives in Williamsburg, Brooklyn, his photography focuses on self portraiture.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.anthonygoicolea.com/"} +{"d:Title": "Kemp, Bentley", "d:Description": "Editorial and fine Art photos, paintings and collages for publications, marketing, exhibitions and collections.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.bentley-kemp.com/"} +{"d:Title": "McCluskey, Corrie", "d:Description": "Social documentary photography site offers street scenes, cemeteries, prisons and architecture with images of Alcatraz, San Francisco, Prague, Terezin/Theresienstadt, Auschwitz. Includes portfolio, biography, gallery, links and publications.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.corriemccluskey.com/"} +{"d:Title": "Wodnicka, Barbara", "d:Description": "An online portfolio featuring portraits, features and photojournalism, infrared photos and photos from Thailand, Luxton rodeo and Quebec.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://barbarela79.tripod.com/"} +{"d:Title": "McClellan, Michael", "d:Description": "Documentary photography includes photos of landscapes, monks and monasteries, portraits, and still lifes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.michaelmcclellan.com/"} +{"d:Title": "Nelson, Barbara", "d:Description": "Author is homebased in NYC. Daily lives of people, their environments and events Studio portraits are included.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.barbaranelson.com/"} +{"d:Title": "Mornement, Hannah", "d:Description": "Portfolio featuring projects on Antarctica and Eastern Europe as well as performance images of musicians.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.hannahmornement.com/"} +{"d:Title": "Henry, Elizabeth", "d:Description": "Photo compositions of African wildlife in natural settings.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.elizabeth-b-henry.com/"} +{"d:Title": "Ludak, Mark", "d:Description": "Online portfolio, Lambertville New Jersey based professional photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.markludak.com/"} +{"d:Title": "Enos, Clay", "d:Description": "The photography and work. Celebrity portraiture, wild nightlife, and Streetstudio portraits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.clayenos.com/"} +{"d:Title": "McAllister, Andrew", "d:Description": "Portfolio of commissioned and personal work from Ohio based photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.andrewfoto.com/"} +{"d:Title": "Blejer, Tomas Valenzuela", "d:Description": "Features documentary gallery, authors background, studies, exhibitions, commissions and reviews.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.tomasvalenzuela.com/"} +{"d:Title": "Barta, Ivan", "d:Description": "Czech documentary photographer who is living in Ireland and Czech republic. Mostly pictures of children.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.ivanbarta.com/"} +{"d:Title": "People of Endangered Cultures: A Portrait of Compassion", "d:Description": "The award winning photography of Phil Borges is combined with personal anecdotes, and the spiritual thoughts by the Dalai Lama.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.philborges.com/"} +{"d:Title": "Campion, Britta", "d:Description": "Sydney-based photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.britta.com.au/"} +{"d:Title": "Raul Touzon Photography", "d:Description": "Documentary, Editorial and Underwater Photography. Photography Workshops and Image Sales", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.touzonphoto.com/"} +{"d:Title": "Bussian, Peter", "d:Description": "Photographer specializing in humanitarian relief and emergency situations, photographing refugee/relief situations for more than ten years.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.peterbussian.org/"} +{"d:Title": "Whitaker, J. Michael", "d:Description": "Images celebrating the wonderment of individuality; Cuba, restricted attention, nyc 11 september 2001, pictures without people.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.j-michael-whitaker.com/"} +{"d:Title": "Carnochan, Brigitte", "d:Description": "Handpainted and gelatin silver flowers, nudes, and documentary photographs. Upcoming exhibitions, workshops, and galleries, as well as information about the artist and her techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.brigittecarnochan.com/"} +{"d:Title": "Rosenoff, Lara", "d:Description": "Edgy, mind expanding photography and stunning mind blowing travel photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.lararosenoff.com/"} +{"d:Title": "Hotchkiss, Cappy", "d:Description": "Author is specialized in documentary style wedding photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.cappyhotchkiss.com/"} +{"d:Title": "Hulme, John", "d:Description": "Photos around south east Asia, from the Karen people of Burma to Klong Toey slum to Gold mining in Katchin state. Burma's forgotten war.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://johnhulme.net/"} +{"d:Title": "Daniels, Errol", "d:Description": "Photographer and publisher of two books of photography in Cuba.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://errolphoto.com/"} +{"d:Title": "Jacobsen, Anders", "d:Description": "London and world travel photography by a frequently travelling digital camera entusiast.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.extrospection.com/"} +{"d:Title": "Perez, Paul", "d:Description": "Photography specializing in Latino and Hispanic lifestyle and culture.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.paulperez.com/"} +{"d:Title": "Hauck, Jennifer", "d:Description": "Web site of award-winning newspaper and wedding photographer in the Dartmouth College area.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.jenniferhauck.com/"} +{"d:Title": "Thompson, Michael", "d:Description": "Photojournalist and documentarian. Samples of photography, which can be used for both publication and advertisement.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://picturepeace.tripod.com/picturepeaceproductions/"} +{"d:Title": "Schulman, Susan", "d:Description": "UK based photographer, specialising in editorial, documentary and NGO work. Frequently updated current gallery along with work from the UK, Europe, Africa, Asia and USA.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.susanschulman.co.uk/"} +{"d:Title": "Polach, Scott", "d:Description": "Gallery of Chicago based fine art and documentary photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.scottpolach.com/"} +{"d:Title": "Little-Smith, David", "d:Description": "Black and white general documentary photography and 2 essays on Gay Pride events and activists.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.docuphoto.com/"} +{"d:Title": "Balco, Andrej", "d:Description": "Slovak documentary photographer. Site featured biography, gallery and links.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.andrejbalco.com/"} +{"d:Title": "Kahane, Lisa", "d:Description": "Photographer based in New York City, has over 25 years experience photographing art and life. Portraiture, whether on location or in the studio, is her specialty.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://lisakahane.com/"} +{"d:Title": "Dine, Arnaud", "d:Description": "Contact Photos is website of photographies showcasing the work of photographer about Poland and polish people around the world.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.contactphotos.com/"} +{"d:Title": "Olley, Jonathan", "d:Description": "Award winning documentary photographer based in London, England. Work focusing on images to help us to better interpret and connect with the world.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://jonathanolley.com/"} +{"d:Title": "Sonnenschein, Timm", "d:Description": "The portfolio site of the contemporary German photographer. The Lifeboat Bremen, pinhole, and concept.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.timmsonnenschein.com/"} +{"d:Title": "Glickman, Andrew Z", "d:Description": "Portfolios of the Washington DC subway, Oaxaca, Mexico, people at a shopping mall and Tuscany, Italy.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.andrewglickman.com/"} +{"d:Title": "Mobasher, Johnny", "d:Description": "Street photography, social documentary and environmental photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.streetphotography.co.uk/"} +{"d:Title": "Unsworth, Luke", "d:Description": "Photographer recently involved in documenting the regeneration of The Bull Ring in Birmingham. Mainly black and white photography, his work is contemporary and modern.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.lukeunsworth.co.uk/"} +{"d:Title": "Koutsoukos, Yannis", "d:Description": "A digital portfolio with photograph under several subjects. Most of them are published in Greek newspapers and magazines.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.yanniskoutsoukos.com/"} +{"d:Title": "Motola, Gabrielle", "d:Description": "Official website for London-based photographer, specialized in portraiture and observational-styled personal documentary projects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.gabriellemotola.com/"} +{"d:Title": "Siva, Sami", "d:Description": "Portfolio of Canadian photographer based in Toronto showcasing his projects which include Mandapam refugee camp for Sri Lankan Tamils, Roncesvalles and documentary work from India.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.samisiva.com/"} +{"d:Title": "Boatright, Ryan", "d:Description": "Online portfolio with black and white photographs, documentary of the southwest, and conceptual art.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.ryanboatright.com/"} +{"d:Title": "Wilkinson, Mark", "d:Description": "Documentary photographer showcases his award winning work. Regular clients include The Royal National Theatre, The Arts Council of England, Centerpoint and IPC magazines.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.markfwilkinson.com/"} +{"d:Title": "Darwell, John", "d:Description": "Evocative and poignant imagary from the acclaimed photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.johndarwell.com/"} +{"d:Title": "Charleville, Pontus", "d:Description": "Photographic image galleries and projects galleries in color and black/white.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.charleville.se/index.html"} +{"d:Title": "Colen, B.D.", "d:Description": "Black and white photography of the daily lives of American families and individuals. Pulitzer Prize-winning former print journalist.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://b_d_colen.tripod.com/ADAYINOURLIFE.html"} +{"d:Title": "Manley, Tina", "d:Description": "Portraits of people in Honduras, Guatemala, Middle East and Russia.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://main.nc.us/openstudio/tinamanley/"} +{"d:Title": "McEwen, William", "d:Description": "Black and white portrait photographer uses an 8x10 view camera to photograph people in politics, entertainment and the arts.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.mcewenphoto.com/"} +{"d:Title": "van der Pal, Joep", "d:Description": "A documentary of Cholmondeley Children's Home in Christchurch, New Zealand.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.joeps.com/"} +{"d:Title": "Newbery, Paul", "d:Description": "Photographs of general landscapes from travels from inside and outside of UK. Features information about author, FAQ, gallery and contact.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.paulnewbery.com/"} +{"d:Title": "Rawson, Piers", "d:Description": "Landscape and cultural imagery, with emphasis on reportage and documentary, includes digital artwork.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.scenae.co.uk/"} +{"d:Title": "Hullerman, Thatcher Cook", "d:Description": "Documentary photographer based in Portland, Maine. His clients are primarily humanitarian aid and development organizations that work with refugees and other people affected by war, economic upheaval and natural disasters.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.thatchercook.com/"} +{"d:Title": "Kash Gabriele Torsello", "d:Description": "Photojournalist specialised on Jammu and Kashmir. Author of The Heart of Kashmir.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://kashgt.com/"} +{"d:Title": "Churchill, Doug", "d:Description": "Documentary photographer in Northern California. Includes portfolios and projects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photographers", "url": "http://www.dougchurchill.com/"} +{"d:Title": "Fast Forward", "d:Description": "By Lauren Greenfield. A photographer's penetrating look at youths growing up on both sides of the tracks in the shadow of Hollywood - also a book.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://zonezero.com/exposiciones/fotografos/lauren2/portada.html"} +{"d:Title": "East Side Stories", "d:Description": "Black and white photographs of Latino gang members in East Los Angeles by Joseph Rodriguez.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://zonezero.com/exposiciones/fotografos/rodriguez/default.html"} +{"d:Title": "2 Nashville", "d:Description": "49 photos by Jerry Hall documenting Nashville's eccentric southern nature since 1997.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.2nashville.com/"} +{"d:Title": "Schifanoia", "d:Description": "By K.N. Chaudhuri, historian, writer, and artist who specialises in historical sites and presents through photo-texts and images a distinctive interpretation of landscape.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://colophon.com/gallery/schifanoia/"} +{"d:Title": "The Pigeon Train", "d:Description": "2 photo essays in Korean and English: the Pigeon Train, and soldiers on the northern frontier of South Korea (untitled). By Lee Jin Hong.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://members.tripod.com/leejinhong/"} +{"d:Title": "Behind The Stonewall", "d:Description": "5 essays on US Civil War battlefields Harpers Ferry, Antietam, Manassas, Gettysburg and Chickamauga.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.jatruck.com/stonewall/"} +{"d:Title": "American Families: Beyond the White Picket Fence", "d:Description": "By Courteney Coolidge, exploring the lives of ten families across the United States at the dawn of the new millennium.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.10families.com/"} +{"d:Title": "Vietnam Postcards", "d:Description": "Vietnamese culture and lifestyle by Filipino photographer Claro Cortes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.angelfire.com/tx/ClaroCortes/"} +{"d:Title": "Mexican Community in New York City", "d:Description": "Photographer Mary Teresa Giancoli documents the rituals, festivals and foods of the Mexican community in New York City.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.biddingtons.com/content/creativegiancoli.html"} +{"d:Title": "Ashfactories", "d:Description": "Fire as a cleansing agent and as society's post-industrial solution to the problem of death. By Juraj Lipscher.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://webexhibits.org/ashfactories/"} +{"d:Title": "Holding Ground under Water", "d:Description": "On China's Three Gorges Dam and its effects on the environment and people of the Yangtze River Basin. By Ben Sandler.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.holdingground.com/"} +{"d:Title": "China's Yangtze", "d:Description": "A series of images shot below the submersion levels of China's future Yangtze reservoir. By Bill Zorn.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.billzorn.com/china/intro.shtml"} +{"d:Title": "The Lost Border, Photographs of the Iron Curtain", "d:Description": "By Brian Rose, documenting the landscape of the Iron Curtain and the Berlin Wall in 1985; the dismantling of the Wall at the end of 1989; and the subsequent changes in the border zone, particularly at Potsdamer Platz.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.brianrose.com/lostborder.htm"} +{"d:Title": "PhotogJoe's", "d:Description": "Non-fiction about urban life and international travel by a professional photographer cum community activist.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://joemjoe.com/"} +{"d:Title": "Children of Eastern Europe", "d:Description": "Of children living in orphanages and psychiatric hospitals in Russia and Moldova, and who have gone underground in Bucharest, Romania. By Northstar Gallery.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://northstargallery.com/pages/RussiaIndex.htm"} +{"d:Title": "Modern Ruins", "d:Description": "Discover the roots of our place in history, through an archaeology of our culture. By Shaun O'Boyle.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://oboylephoto.com/ruins/index.htm"} +{"d:Title": "Still Training", "d:Description": "Seamus Murphy's award-winning story on war torn Sierra Leone's Olympic hopefuls.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.reportage.org/2001/SierraLeoneM/PagesSLM/slmstrip.html"} +{"d:Title": "Soccer in Liberia by Tim Hetherington", "d:Description": "Tim Hetherington looks at soccer in a Liberia recovering from civil war.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.foto8.com/issue05/liberiasoc01.html"} +{"d:Title": "Spotfire Images: Wildfire Photojournal", "d:Description": "Photo-essays of wildland firefighting, including smokejumping and hot shot crews. By Alaska Smokejumper and photographer Mike McMillan.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.spotfireimages.com/"} +{"d:Title": "FotoFestival Naarden", "d:Description": "Photo essay about a photo festival in Holland.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.efn.org/~hkrieger/fotofest.htm"} +{"d:Title": "9-11 Images", "d:Description": "Photographic journey through the aftermath of 9-11, the heroes, the missing and the mourning, in memory of those who died.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.9-11images.com/"} +{"d:Title": "Around the World in a Viewfinder", "d:Description": "A selection of photo essays by Jean-Philippe Soule to present various Native Cultures.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.jpsviewfinder.com/documentary/"} +{"d:Title": "Kurdistan photographs", "d:Description": "Features photographs of Kurdistan (Turkey) people, landscape and history by Zbigniew Kosc.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://members.ams.chello.nl/zkosc/Kurdistan%20main%20page/kurdistan.html"} +{"d:Title": "Slices of America", "d:Description": "Taylor Lasseigne's album of photos from around the U.S. and Canada.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.slicesofamerica.com/"} +{"d:Title": "Iceledo", "d:Description": "This site contains photos of a small area of the Arkansas Ozarks called Iceledo. These are seasonal photos of streams and woodlands taken during the late 1970's by Willie Weeks.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.angelfire.com/ms2/iceledo/"} +{"d:Title": "Navajo Art", "d:Description": "Navajo indian culture and history in pictures. Earl Waggoner lived on the Navajo Indian Reservations in the 1950s and recordered the culture of the Nation among dramatic panoramic views of the Southwest.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://artsales.com/ARTists/earl_waggoner/"} +{"d:Title": "World War II - The Photos Tell", "d:Description": "Images from World War II's Holocaust and the history behind several well-known images. By Louis B\u00fclow.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.auschwitz.dk/story/"} +{"d:Title": "Samuel's Spina Bifida Surgery In Utero", "d:Description": "Miraculous photograph of a twenty-one week old fetus reaching out of his mother's womb to grasp the hand of his surgeon.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.michaelclancy.com/"} +{"d:Title": "As Long As We Both Shall Live", "d:Description": "An ongoing essay depicting long-married couples in America. By Robert Fass.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.longmarriedcouples.com/"} +{"d:Title": "The Boys of Ramallah", "d:Description": "Jean Garup follows a group of Palestinian kids from school to stone-throwing to home.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.poyi.org/59/WUA/"} +{"d:Title": "The Call Centre", "d:Description": "A documentary on the people and work at a particular call centre, by John Perkins.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.ripserve.com/callcentre/"} +{"d:Title": "Morning Light Photography", "d:Description": "Seasonal and scenic photographs from the Rocky Mountain National Park in Colorado.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://morninglight.us/"} +{"d:Title": "Monks, Fran", "d:Description": "Photos of 'Miscellaneous Heroes'.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.franmonks.com/"} +{"d:Title": "Looking for the Light", "d:Description": "The last decade of the AIDS crisis photographed by well-known New York photographer Scott Thode. Co-published with the New York Times.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.musarium.com/aidsdecade/menu.html"} +{"d:Title": "New York Changing", "d:Description": "Berenice Abbott's Changing New York project with the identical camera, at the same time of day and year, showing the city's evolution over 65 years.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.newyorkchanging.com/"} +{"d:Title": "Over the Roads", "d:Description": "Photographs of Iran based on \"Towards Ispahan\" a Pierre Loti novel. Links to Loti's biography, articles and web-based books.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.towards-esfahan.com/"} +{"d:Title": "Friends Of Vast Industrial Concrete Kafkaesque Structures", "d:Description": "Photo journal of industrial structures in the Los Angeles area with commentary and observations. By Greg Ercolano.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://seriss.com/people/erco/fovicks/"} +{"d:Title": "St.Helier, Jersey", "d:Description": "A photo guide to St.Helier,Jersey documenting its history and its relationship with New Jersey.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.fotolog.com/st_helier_jersey/"} +{"d:Title": "Scott Carrier: From Afghanistan", "d:Description": "A photo-audio-essay, with excerpts from Harper's magazine article: \"After the Fall, Letters from Afghanistan\" (April 2002).", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.hearingvoices.com/webwork/carrier/afghan/"} +{"d:Title": "Berlin Mitte: Explorations of an Urban Conversion", "d:Description": "Photography by Ulrich Wuest looking at the transformation of East Berlin after the fall of communism", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://uinic.de/berlin-mitte/en/"} +{"d:Title": "Athos", "d:Description": "Photography by Zbigniew Kosc of monasteries and monks of Mount Athos, Greece.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Documentary/Photo_Essays", "url": "http://www.zbigniewkosc.nl/Athos%20gallery/index.htm"} +{"d:Title": "PhotoSA", "d:Description": "Photography tips, techniques and courses. Cameras, clubs, history, links, salons and photo album.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.photosa.co.za/"} +{"d:Title": "Single-Serving Photo", "d:Description": "Aaron Bieber writes about the mechanics of photography and posts photos.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.singleservingphoto.com/"} +{"d:Title": "Garry Black Photography; Tips and Techniques", "d:Description": "Advice on how to photograph waterfalls, and fireworks, how to make multiple exposures and use filters effectively. E-mail Gary your questions and he will post answers.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.garryblack.com/tips.htm"} +{"d:Title": "HM Group's Photo School", "d:Description": "Insights and lessons on photographic composition, film, and techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://hmgphotoschool.tripod.com/"} +{"d:Title": "How Stuff Works: Photographic Film", "d:Description": "An illustrated description of how the film in a camera works.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.howstuffworks.com/film.htm"} +{"d:Title": "Photographytips.com", "d:Description": "Features information, tips and hints on all aspects of photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.photographytips.com/"} +{"d:Title": "Photoshot", "d:Description": "British site includes product reviews, technical tips, exhibitions and forum.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.photoshot.com/"} +{"d:Title": "RetouchPRO", "d:Description": "Forums, tutorials, tips, resources, and challenges in photo restoration, retouching, and manipulation.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.retouchpro.com/"} +{"d:Title": "Rhythm Vision", "d:Description": "Photographs and text designed to teach visual awareness. Text tries to both give background of the photographs and explain what the photographer was thinking or trying.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.rhythmvision.net/"} +{"d:Title": "A Simplified Zone System for Correctly Exposing Negatives", "d:Description": "A tutorial on a simplified version of the zone system, a technique to help you make better exposures of negatives and slides.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.normankoren.com/zonesystem.html"} +{"d:Title": "Using the Nikon 35mm Perspective Control Lens", "d:Description": "Describes the use of this lens. Illustrated with photos showing the difference in perspective between shifted and unshifted photos of the same subject matter. By Mathew Cole.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.uscoles.com/pclens.htm"} +{"d:Title": "Vadim Makarov's Photo Pages", "d:Description": "Articles on framing, metering, focusing, scanning. Shooting advice for few specific situations and my pictures.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.vad1.com/photo/"} +{"d:Title": "Shutter Bee", "d:Description": "An animation camera tutorial using Java animation. The simulated camera teaches basics on how to set aperture size and shutter speed to produce the correct exposure.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.shutterbee.thinkrandom.com/"} +{"d:Title": "Photo This", "d:Description": "Advice around photography, films, and cameras", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://personal.cityu.edu.hk/~iscw/photothis/"} +{"d:Title": "Photographic Composition Articles Library", "d:Description": "Information about how to improve a photo technique, how to use golden mean, framing, image balance and other composition rules.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://photoinf.com/"} +{"d:Title": "Daystar Visions Tutorials", "d:Description": "Different articles by Dale Cotton: composition lessons for beginners and amateur photographers; camera fundamentals; exposure metering.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://daystarvisions.com/TextMain.html"} +{"d:Title": "Studio Lighting", "d:Description": "Tutorials, techniques, tips and equipment information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.studiolighting.net/"} +{"d:Title": "Photography Tutorials", "d:Description": "A collection of advice covering many aspects of photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.geofflawrence.com/"} +{"d:Title": "Silver Gelatin", "d:Description": "Photo techniques, tips, and general photographic information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.silvergelatin.net/"} +{"d:Title": "Weekend Photo", "d:Description": "General photography resource, articles, and reviews for the amateur photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.weekendphoto.com/"} +{"d:Title": "Photographic Lighting", "d:Description": "Explains the types of lighting equipment, and light control equipment available for studio photography. How to set up a studio, scrim effects, types of photo backdrops.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://studio-productions-inc.com/white_papers/wp_photo_lighting.html"} +{"d:Title": "Photonhead", "d:Description": "Offers a blend of photographic concepts and tips for film and digital cameras. Features a camera simulator, tips and image editing tutorials.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.photonhead.com/"} +{"d:Title": "MacDevCenter.com: Digital Photography Tips", "d:Description": "Ten tips to help take great digital photos that go well beyond the typical snapshot. Includes comparison images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.macdevcenter.com/pub/a/mac/2002/10/22/digi_photo_tips.html"} +{"d:Title": "Doty, Jim", "d:Description": "Includes techniques section and an introduction to digital photography. Also provides a display of nature, people and travel images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://jimdoty.com/"} +{"d:Title": "Petteri's Pontifications", "d:Description": "Several articles and how to guides covering composition, light, lenses and other photographic techniques. Also includes photographic portfolio and equipment reviews.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.prime-junta.net/pont/Photography_lessons/a_about/_Teaching_composition.html"} +{"d:Title": "Chapter 13 Photography", "d:Description": "Regular advice and training articles with creative and technical aspects of photography covered. Reviews of photography exhibitions. Tutorials and examples of photographic techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://chapter13photography.blogspot.com/"} +{"d:Title": "Sports Flash Tech", "d:Description": "Provides basics of digital sports photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.sportsflashtech.com/"} +{"d:Title": "Wildlife Photography Tips and Techniques", "d:Description": "Several articles by Les Zigurski, wildlife photographer.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.wildlifeimagesbyles.net/Technique/technique.html"} +{"d:Title": "On Assignment", "d:Description": "Lighting techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://onassignments.blogspot.com/"} +{"d:Title": "ShutterFreaks", "d:Description": "Composition techniques, advice on photographing different subjects and post-exposure processing in Photoshop.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.shutterfreaks.com/"} +{"d:Title": "Second Picture: Composition", "d:Description": "Tutorials about how to improve photography by using the basic rules of composition.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.secondpicture.com/photography_composition.html"} +{"d:Title": "Beyond Phototips", "d:Description": "Photography resource for photography tips, tricks, techniques, and theory.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.beyondphototips.com/"} +{"d:Title": "Film Photography Guide", "d:Description": "Basic photography tips and techniques for film photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.guidetofilmphotography.com/"} +{"d:Title": "Michael's Photography School", "d:Description": "Photography tutorial videos on many aspects of taking photos.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.michaelthementor.com/"} +{"d:Title": "Stop Shooting Auto", "d:Description": "Beginner-level photography tutorial for dSLR owners. Basics of exposure in simple lessons.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://stopshootingauto.com/"} +{"d:Title": "Photography Tips and Techniques", "d:Description": "Various subjects from family to landscapes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.photography-tips-and-techniques.com/"} +{"d:Title": "ShutterSpeed.ie", "d:Description": "Photography hints, tips and tutorials on different subjects.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.shutterspeed.ie/"} +{"d:Title": "Digital photography 360", "d:Description": "Several tips on underwater, wedding, wildlife, winter and fireworks photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://digitalphotography360.blogspot.com/"} +{"d:Title": "Car Photography Tutorials", "d:Description": "A selection of tutorials on how to photograph cars in various conditions. Including case studies and additional tutorials on editing car shots.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.carphototutorials.com/"} +{"d:Title": "Photography Step By Step", "d:Description": "Photography tutorials for various expertise levels, from beginner to advanced.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://photographystepbystep.com/"} +{"d:Title": "Rudy Lopez Photography", "d:Description": "Digital photography tips and tutorials including HDR, tilt shift, and composition. Includes gallery.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://rudylopezphoto.com/"} +{"d:Title": "Fodors: Focus on Travel Photography", "d:Description": "How to take travel pictures like a pro.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.fodors.com/travel-photography/"} +{"d:Title": "Reese Photography", "d:Description": "A few basic photo tips including posing, exposure, film, techniques, flash and lighting tips, camera tips to help you to take better photos for your family.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://frzzeframe.tripod.com/A/PhotoTips1.html"} +{"d:Title": "Nature Photography: Introduction", "d:Description": "An introduction to nature photography using color science, slide-film techniques and software corrections. Flatbed scanner-based macro-photography is also discussed.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.khurramhashmi.org/nature-photography/"} +{"d:Title": "PhotoForum", "d:Description": "List of answers on topics ranging from techniques, professions, history and even some philosophy.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://people.rit.edu/andpph/pf-faq.html"} +{"d:Title": "PPtutor", "d:Description": "Online course in professional photography to NVQ levels 2, 3, and 4. Professional tutors is giving full support via email and on-line.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://pptutor-online.squarespace.com/"} +{"d:Title": "Lighting For Photo", "d:Description": "Lighting tips, techniques, tutorials. Case studies have a lighting setup and a lighting diagram.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://lightingforphoto.com/"} +{"d:Title": "National Geograhpic Photo Tips", "d:Description": "An in-depth look a some of the tips utilized by National Geographic photographers.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://photography.nationalgeographic.com/photography/photo-tips/"} +{"d:Title": "Action Photo School", "d:Description": "Action photography tips and techniques from basic to advanced.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://actionphotoschool.com/"} +{"d:Title": "Exposure Guide", "d:Description": "Tips for taking photos of various subjects. Camera, lens, editing tips as well.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.exposureguide.com/"} +{"d:Title": "Smoking Strobes", "d:Description": "Video tutorials and best practices on various photography techniques.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://smokingstrobes.com/"} +{"d:Title": "PictureCorrect", "d:Description": "Photography tips and tutorials to photographers of all experience levels.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.picturecorrect.com/"} +{"d:Title": "Photography Pages of Toomas Tamm", "d:Description": "Canon EOS, electronic flash FAQ, articles and photography links.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://photo.toomastamm.eu/"} +{"d:Title": "SimpleSLR", "d:Description": "Basic information about exposure, depth of field, lenses and digital photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.simpleslr.com/"} +{"d:Title": "High Speed Photography", "d:Description": "An amateur guide to computer controlled photography of short duration events.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.astro.ljmu.ac.uk/~rjs/HSP/"} +{"d:Title": "Digital Photography School", "d:Description": "This site dedicated to giving photography tips and tutorials to photographers of all levels.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://digital-photography-school.com/"} +{"d:Title": "Extreme Macro", "d:Description": "Macro photography learning site geared towards budget-conscious intermediate level photographers.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://extreme-macro.co.uk/"} +{"d:Title": "PhotographyTricks.com", "d:Description": "Tricks and tips from some of the best photographers in the world.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://photographytricks.com/"} +{"d:Title": "DIY Photography", "d:Description": "Hacking photography - one picture at a time. Tutorials and reviews.", "topic": "Top/Arts/Photography/Techniques_and_Styles/FAQs,_Help,_and_Tutorials", "url": "http://www.diyphotography.net/"} +{"d:Title": "HDR Advanced Tutorial", "d:Description": "Offers an advanced tutorial to correctly shoot and convert the images to HDR.", "topic": "Top/Arts/Photography/Techniques_and_Styles/High_Dynamic_Range_Imaging", "url": "http://www.flickr.com/photos/sandmania/3291934513/"} +{"d:Title": "HDR Image and Video Processing", "d:Description": "Features information about the technique and the ways of using it.", "topic": "Top/Arts/Photography/Techniques_and_Styles/High_Dynamic_Range_Imaging", "url": "http://www.mpi-inf.mpg.de/resources/hdr/"} +{"d:Title": "Flickr: HDR", "d:Description": "Provides a list of shoots taken using this technique and a discussion pool to share experiences and criticize photographs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/High_Dynamic_Range_Imaging", "url": "http://www.flickr.com/groups/hdr/"} +{"d:Title": "Wikipedia: High Dynamic Range Imaging", "d:Description": "Describes the technique, its history and provides some photo examples.", "topic": "Top/Arts/Photography/Techniques_and_Styles/High_Dynamic_Range_Imaging", "url": "http://en.wikipedia.org/wiki/High_dynamic_range_imaging"} +{"d:Title": "High Dynamic Range Photoshop CS2", "d:Description": "Includes a tutorial to make HDR photographs with the Photoshop CS2 software.", "topic": "Top/Arts/Photography/Techniques_and_Styles/High_Dynamic_Range_Imaging", "url": "http://www.cambridgeincolour.com/tutorials/high-dynamic-range.htm"} +{"d:Title": "Invisible Light", "d:Description": "Infrared photography site featuring the work of Andy Finney. The site also includes a bibliography, links to other sites, and technical information on infrared imaging, including journal and patent abstracts.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared", "url": "http://www.atsf.co.uk/ilight/"} +{"d:Title": "Jeffholbrook", "d:Description": "Black and white infrared images of spooky graveyards and surreal landscapes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared", "url": "http://www.jeffholbrook.com/"} +{"d:Title": "Experiments with Digital Infrared Photography", "d:Description": "How to do black and white, and false-color infrared photography using a digital still camera and an image-editing program.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared", "url": "http://www.pibweb.com/ross/IR1.htm"} +{"d:Title": "Flickr: Infrared", "d:Description": "A Flickr group for infrared images, color or black and white.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared", "url": "http://www.flickr.com/groups/infrared/"} +{"d:Title": "Flickr: Infrared -- BW Film", "d:Description": "A group to show your black and white infrared shots.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared", "url": "http://www.flickr.com/groups/68975634@N00/"} +{"d:Title": "Andrew Davidhazy - Infrared Photography Examples", "d:Description": "Lecturer at Rochester Institute of Technology presents examples of some of the more unusual applications of infrared.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared", "url": "http://people.rit.edu/andpph/text-ir-examples.html"} +{"d:Title": "Russell, Brett", "d:Description": "Includes landscapes, people and interiors. Color and black and white infrared.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.infowire.net/photo/"} +{"d:Title": "Malinowski, MaryEllen", "d:Description": "Working exclusively with infrared film; her black and white images have been described as ethereal, spiritual, poetic portraits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.infraredlight.com/"} +{"d:Title": "Agee, Bill", "d:Description": "Infrared images of landscapes, travel, architecture, dance, and nudes, in black and white and color.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.redsilver.com/"} +{"d:Title": "Doughert, Nathan", "d:Description": "Contains infrared black and white photographs some of which have been colored. The images were taken in SW Florida around Punta Gorda and Sarasota.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://nathanfl_1.tripod.com/"} +{"d:Title": "Malec, Mike", "d:Description": "Multiple galleries of black and white infrared photographs, artist's statement, technical information, show schedules, and free screen savers of the photographers work.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.ravenphoto.com/"} +{"d:Title": "Putnam, Joshua", "d:Description": "A selection of infrared landscapes and other infrared photography on films including HIE, Konica 750, Ilford SFX, and Maco IR820C.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.phred.org/~josh/photo/ir.html"} +{"d:Title": "Zigric, Zeljko", "d:Description": "Infrared landscape photographs, taken on Plitvice lakes, Croatia.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.infrared-photo.de/"} +{"d:Title": "Weakley, Kent", "d:Description": "Features infrared black and white photography that is both surreal and magical Based in Ocala, Florida.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.kentweakley.com/"} +{"d:Title": "Syme, Diane", "d:Description": "Black-and-white photos of people enjoying the out of doors. Also, tips on infrared photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.gallerysyme.com/"} +{"d:Title": "Ferncase, Richard K", "d:Description": "Strange and beautiful infrared silver images from the empire of the mind.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.ferncase.com/"} +{"d:Title": "Film Infrared", "d:Description": "A photo set on Flickr by Gian Guido Zurli.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Infrared/Photographers", "url": "http://www.flickr.com/photos/ilguidoz/sets/72157594190745009/"} +{"d:Title": "Lomo-Beauty-2000", "d:Description": "An international lomo-event lasting the whole year 2000. More than 20 lomographers take self portraits every day, the result will be presented as a huge lomo wall in 2001.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Lomography", "url": "http://www.lomo.de/lomo2000/"} +{"d:Title": "Lomolife eGroup", "d:Description": "Discussing camera tips and tricks, lomographs, and lomography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Lomography", "url": "http://groups.yahoo.com/group/lomolife/"} +{"d:Title": "Lomogrrl", "d:Description": "A page with lomo images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Lomography", "url": "http://sfbaysailingpix.com/lomogrrl.htm"} +{"d:Title": "Amo Lomo", "d:Description": "Collection from Texas featuring lomowalls, downloads and links.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Lomography", "url": "http://www.amolomo.com/"} +{"d:Title": "Lomographic Society International", "d:Description": "Originator and propagator of the lomo philosophy. A massive community of lomographers everywhere who together comprise a lomographic portrait of our world.", "priority": "1", "topic": "Top/Arts/Photography/Techniques_and_Styles/Lomography", "url": "http://www.lomography.com/"} +{"d:Title": "Go Lomo", "d:Description": "Webring created to join together all those websites dedicated to the LOMO camera and lomography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Lomography", "url": "http://www.webring.org/hub?ring=golomo"} +{"d:Title": "Dutch Lomography", "d:Description": "Gallery includes a nightlife serie, all taken in Amsterdam by Roman.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Lomography", "url": "https://roman.home.xs4all.nl/lomoindex.htm"} +{"d:Title": "Bond Verantwoorde Natuurfotografie", "d:Description": "An association in Belgium of wildlife photographers.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.bvnf.be/"} +{"d:Title": "North American Nature Photography Association", "d:Description": "Official web site of NANPA. Includes membership and conference information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.nanpa.org/"} +{"d:Title": "Nature Photographers", "d:Description": "An online magazine dedicated to nature and wildlife photography including instructional articles, image galleries, camera equipment reviews, photography discussion, and photo critique forums.", "priority": "1", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.naturephotographers.net/"} +{"d:Title": "Michael \"Nick\" Nichols", "d:Description": "Galleries and advice from a National Geographic photographer on how to take pictures of tigers, elephants, apes, and native cultures.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://michaelnicknichols.com/"} +{"d:Title": "Wildlife Pictures Online", "d:Description": "Wildlife photographs, tips, and information on how they were taken, plus articles on wildlife photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.wildlife-pictures-online.com/"} +{"d:Title": "Carolinas' Nature Photographers Association", "d:Description": "Promotes nature photography in North and South Carolina. Calendar, newsletters, and photo contest winners.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.cnpa.org/"} +{"d:Title": "TrekNature", "d:Description": "Dedicated to fostering a global community interested in wildlife photography from around the world. Includes workshops with tips and techniques on how to take better wildlife photos.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.treknature.com/"} +{"d:Title": "Photo.net: Get the most from your butterfly hunt", "d:Description": "Features an article on how to take images of butterflies. Includes information on useful accessories and links to related material. Written by Jakub Jasinski.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://photo.net/photo/nature/butterfly.html"} +{"d:Title": "Eyes On Africa", "d:Description": "Tips for taking pictures while on safari.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.eyesonafrica.net/safari-photography.htm"} +{"d:Title": "Wildlife Photographers Australia", "d:Description": "Photos and advice compiled together by some of Australia's leading wildlife and nature photographers.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.users.on.net/~wildlifephotoaus/"} +{"d:Title": "Wildlife Photography", "d:Description": "Hints, howto's, and whereto's about wildlife photography in Europe. A view behind the scenes of the pro. [English and Dutch]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.natuurfotografie.be/"} +{"d:Title": "Wildlife Research Photography", "d:Description": "Provides information on wildlife photography, Nikon cameras, and how to combine biology with technology for effective photographs.", "priority": "1", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.moosepeterson.com/"} +{"d:Title": "WildPix", "d:Description": "Galleries and tips for shooting African animals.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.wildpix.net/"} +{"d:Title": "Martin Basson Wildlife Photography", "d:Description": "UK wildlife images, particularly birds, but including insects and macro shots.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.martinbassonwildlifephotography.co.uk/"} +{"d:Title": "Photographing Wildlife Near Your Home", "d:Description": "Advice on animal and landscape photography, Mike MacDonald, Illinois.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Nature_and_Wildlife_Photography", "url": "http://www.chicagonature.com/Digital-Photography-Classes/Article-Photographing-Wildlife-Near-Home.shtml"} +{"d:Title": "The Nocturnes", "d:Description": "Features night photography galleries, message boards, workshops and information about the group. Additional details about the organized biennial exhibition.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.thenocturnes.com/"} +{"d:Title": "Frazer, Andy", "d:Description": "Displays photographs of airports, tourist locations, and foggy settings. Also contains tips.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.gorillasites.com/nightphotos"} +{"d:Title": "Paiva, Troy", "d:Description": "Galleries of computer generated 3D images and nighttime photography. [Flash]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.designshed.com/"} +{"d:Title": "Thomson, Larrie", "d:Description": "A gallery including moonlit landscapes, abandoned places, lightning, and fireworks.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.nightphotographer.com/"} +{"d:Title": "Ruscelli, Al", "d:Description": "Includes photographs of neon lights over water, fireworks, and night boat parades.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.ruscelli.com/night_photography_creative.htm"} +{"d:Title": "Negligent Foolz Enterprize", "d:Description": "Street scenes, fireworks, fountains, and beach images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://members.tripod.com/clintmca/"} +{"d:Title": "Qplanet.org", "d:Description": "Showcases digital photos of architecture, automobiles, sunrises, and lightning.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.qplanet.org/"} +{"d:Title": "UK Nights", "d:Description": "Night photography in the United Kingdom. Mainly urban, suburban and industrial locations", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://uknights.photolucid.com/"} +{"d:Title": "Firework Photographs", "d:Description": "Action photographs of fireworks displays at major UK events including British Championships and Festival of Fireworks.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.fireworkphotographs.co.uk/"} +{"d:Title": "Frye, Michael", "d:Description": "Includes colorful landscape photography using light painting techniques, information about the photographs and a biography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.michaelfrye.com/"} +{"d:Title": "Baldwin, David", "d:Description": "London based photographer's collection of landscape and architectural photographs, and tips for beginners.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.nightfolio.co.uk/"} +{"d:Title": "Hines, Blake", "d:Description": "A collection of night and low light photography. Features galleries displaying work using 35mm, medium format and polaroid equipment.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.blakehines.com/"} +{"d:Title": "Burdeny, David", "d:Description": "A collection of landscape photography taken at dusk and dawn. Features galleries, details of exhibitions and awards and contact information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.davidburdeny.com/"} +{"d:Title": "Bates, Warren", "d:Description": "A collection of night and desert photography. Features galleries, artist story, guestbook and contact information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.roadtozzyzx.com/"} +{"d:Title": "Radovic, Natasa", "d:Description": "Colored and black and white photography of human motions in urban, watery and industrial areas.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.natasaradovic.eu/"} +{"d:Title": "Praslowicz, K.", "d:Description": "Gallery of black and white and color night photographs using 35mm, medium format and large format cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Night_Photography", "url": "http://www.kpraslowicz.com/"} +{"d:Title": "PixPi Interactive Images", "d:Description": "Interactive objects delivered in QTVR and HotMedia, animated GIFs and JAVA Players. Panoramas in QTVR, IPIX, and JAVA players. Hoboken, NJ.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0", "url": "http://www.galvinized.com/"} +{"d:Title": "360Geographics", "d:Description": "Interactive Photography (Virtual Reality Panoramas) of North America from tourist sites as well as off the beaten path.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0", "url": "http://www.360geographics.com/"} +{"d:Title": "Panospace", "d:Description": "Provides 360\u00b0 and panoramic photography of Antoine Cuvelier. Panoramic books, panoramic exhibits, panoramic photo catalogue, panoramic commissioning, QTVR virtual world realisations, and consulting.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0", "url": "http://www.panospace.com/"} +{"d:Title": "International Association of Panoramic Photographers", "d:Description": "IAPP official site, officers, events, member pages, immersive imaging, articles, gallery, cameras and boutique.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Organizations", "url": "http://www.panoramicassociation.org/"} +{"d:Title": "G\u00f6ewecke, Mark-Steffen", "d:Description": "Black-and-white panoramic photography of Iceland, Denmark, and Gotthard Tunnel in Switzerland with horizon 202, Polaroids with SX-70, and short films. [German and English]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.raumzeitfilter.de/"} +{"d:Title": "Payne, Tom", "d:Description": "A Portfolio of personal and commercial panoramic photographs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.tomdpayne.com/"} +{"d:Title": "Barr, Paula", "d:Description": "Panoramas, prints, murals and backdrop photographs presented using Java and JutVision create a rich visual experience for the visitor.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://paulabarr.com/"} +{"d:Title": "Inomata, Hirofumi", "d:Description": "Features photographs of Sensoji Temple, Hijiri Bridge, Kanda Myojin Temple, Korakuen Park, Yushima Seido Temple, and Tokyo.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.bekkoame.ne.jp/~inomata/"} +{"d:Title": "Porter, Benjamin", "d:Description": "Reunions, weddings, conventions photographed in color or black and white.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.benjaminporterpanoramics.com/"} +{"d:Title": "Foster, Gus", "d:Description": "The American landscape from mountain summits to open wilderness offered in prints, posters, cards, and calendars.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://gusfoster.com/"} +{"d:Title": "Jordahl, Kate and Geir", "d:Description": "Panaramic infrared photographs from all over the world.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.jordahlphoto.com/"} +{"d:Title": "Krieger, Herman", "d:Description": "Panoramas of Eastern Oregon.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.efn.org/~hkrieger/panorama.htm"} +{"d:Title": "Panorama-Gallery.com", "d:Description": "Displays images by black and white panoramic photographers, with a website and photo of the month.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.panorama-gallery.com/"} +{"d:Title": "MacKenzie, Maxwell", "d:Description": "Black and white and color photography of the midwest.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.thefrasergallery.com/artists/maxwellmackenzie.html"} +{"d:Title": "Mohr, Philipp", "d:Description": "Features images from Iceland, Spain and Italy. [English and German]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.flippi.net/themen/panorama/eindex.htm"} +{"d:Title": "Tarzan, Stefan", "d:Description": "Collection of panoramic images of western North America, Central America, Ireland and Scotland.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.tarzanpanorama.net/"} +{"d:Title": "Pellizzari, Paolo", "d:Description": "Shows sporting events and travel photographs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.pellizzari.net/"} +{"d:Title": "Pote, Dominic", "d:Description": "Fine art panoramic photographs. Subjects include landscape, seascape and architecture.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.dominicpote.co.uk/"} +{"d:Title": "Zimmermann, Harz", "d:Description": "Photography archive including mainly panoramic landscapes and architectural images of Europe, Asia, North America and Australia. Noblex.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.harfzimmermann.com/"} +{"d:Title": "Duncan, Ken", "d:Description": "Australian gallery of panoramic photography. Extensive Panograph gallery, stunning landscapes of Australia, books, posters, and CD-Extra.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.kenduncan.com/"} +{"d:Title": "Tamas D.Varga - PanoGraph.hu", "d:Description": "Panoramic photography and virtual tours by Tamas D.Varga", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.panograph.hu/"} +{"d:Title": "Panoramic Photographs", "d:Description": "Cityscapes, landscapes, and group portraits from 1851 to 1991 archived at the Library of Congress.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.loc.gov/pictures/collection/pan/"} +{"d:Title": "Cityscope", "d:Description": "A documentation of the biggest construction site in Europe. Features panoramic images from Potsdamer Platz and Berlin. [English and German]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.cityscope.de/aktuell/index.html"} +{"d:Title": "Hayashi, Masumi", "d:Description": "Surreal, deconstructed panoramic visions of Japanese-American internment camps.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://academic.csuohio.edu/art_photos/gallery.html"} +{"d:Title": "Tozer, Dave", "d:Description": "Gallery of photos available for sale of Sydney's beaches Sydney harbour, and blue mountains.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://davetozer.com.au/gallery/photography/"} +{"d:Title": "Rohn, Sam", "d:Description": "Panoramic photographer based in New York specializing in virtual tours, HDR virtual reality photography and gigapixel panoramas.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.samrohn.com/"} +{"d:Title": "Warkentin, John", "d:Description": "Panoramic photographer based in Port Melbourne. He runs Pano Bootcamp a workshop in which he teaches the latest techniques, tips and tricks to other panoramic photographers worldwide.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://yourdigitaleye.com/"} +{"d:Title": "Mazonakis, George", "d:Description": "Panoramic and advertising photography of the photographer Mazonakis George", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.mazonakis.gr/"} +{"d:Title": "Salomon, Ari - Panoramic Photography", "d:Description": "In his panoramic photography, Ari Salomon explores the nature of human perception through the constructed geometry of a landscape.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://arisalomon.com/"} +{"d:Title": "Wright, Tony", "d:Description": "Panoramic photographs taken with a Fujica G617 in Africa, the Americas and Europe. Taken whilst working on various feature films around the world from the early 1980s to modern day.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.sixseventeen.co.uk/"} +{"d:Title": "McIver, Don", "d:Description": "High quality, 360 degree, immersive panoramic photographs, combined with still photographs to produce interactive full screen Flash virtual tours.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://donmciverdesign.com/"} +{"d:Title": "Zander, Michael - Michael Zander Panorama Photography Site", "d:Description": "Collection of Michael Zander's panoramic photography. Topics are covering travel and architecture, specially featuring the capture of multiple identities.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://pano.panoshade.de/"} +{"d:Title": "Brown, Charlie - Photography", "d:Description": "Digital photography from the Western United States, featuring digital panoramas of desert canyons, mountains, and wildlife.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.charliebrownphotos.com/"} +{"d:Title": "Kocewiak, Lukasz - Photography", "d:Description": "Panoramic photography of Tatra Mountains in Poland and Slovakia [Polish, English, Danish].", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.kocewiak.eu/"} +{"d:Title": "Taras, Seth - Photographer", "d:Description": "Seth Taras has emerged as one of his generation's most intriguing photographers. Panoramic, Advertising, Architecture, Portrait, Documentary.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://sethtaras.com/"} +{"d:Title": "Iskandaryan, Armen Photography - Armenian Landscapes", "d:Description": "Armenian leading landscape photographer Armen Iskandaryan presents his evocative landscapes of Armenia featuring pictures of Lake Sevan, Mountains of Ararat and Aragats, historical architecture sites, many mountainous landscapes, lakes, rivers and waterfalls.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers", "url": "http://www.armenisk.com/"} +{"d:Title": "Masters, Bob", "d:Description": "QTVR panoramic photography and ObjectVR's including both cubic and cylindrical. Other work includes still life, people, and architecture.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.bobmastersphotography.com/"} +{"d:Title": "Tom Treadwell, USA: Behind the Stonewall", "d:Description": "360 degree panoramic images from the historic battlefields of the American Civil War: Gettysburg and Chickamauga", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.jatruck.com/stonewall/"} +{"d:Title": "Downing, Greg", "d:Description": "Multimedia and web technology, specializing in QuickTime VR, Photo Based 3d, Photogrammatic Modeling, Metastream, VRML, Macromedia Director, and Flash.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.gregdowning.com/"} +{"d:Title": "Virtual Parks", "d:Description": "Panoramic photos taken in parks in California, the western United States, and Canada.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.virtualparks.org/"} +{"d:Title": "Crawford, Andrew", "d:Description": "Landscapes, interiors and architecture panoramic VR images in QuickTime VR and Java formats with commentary, technical information, and links to related sites.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.evermore.com/qtvr/index.php3"} +{"d:Title": "Scott Highton QuickTime VRs", "d:Description": "QuickTime VR movies from the face of El Capitan in Yosemite National Park and other interesting examples.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.highton.com/cubicVR/cubes.html"} +{"d:Title": "Rumsey, David", "d:Description": "Cubic QuickTime VRs of a map collection. Features hot spots to view high resolution digitized versions of rare antique maps.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.davidrumsey.com/quicktimevr.html"} +{"d:Title": "Heilman II, Carl", "d:Description": "Virtual reality nature panoramas from the Adirondacks, western US National Parks, and other places in North America. [Java must be enabled]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.naturepanoramas.com/"} +{"d:Title": "Idyll-By-The-Sea", "d:Description": "Virtual tour of a gulf front house located in Seaside, Florida", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.idyll-by-the-sea.com/photos.html"} +{"d:Title": "Kootenay Virtual Tours", "d:Description": "Providing 360 degree QuickTime and Java panoramas of the Kootenay-Rockies region of British Columbia.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.kootenayvirtualtours.com/"} +{"d:Title": "Vaucluse Virtual Visits", "d:Description": "360\u00b0 panoramic images of the Provence in southern France. Also, 3D photos and information on commercial services. English and French.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.vaucluse-visites-virtuelles.com/"} +{"d:Title": "4piSr", "d:Description": "Includes a number of spherical 360\u00b0 QTVR panoramas from cities and world heritage centers in Sweden, taken by photographer Lennart M\u00f6llerstr\u00f6m.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Panoramic_and_360\u00b0/Photographers/360\u00b0_and_VR_Panoramas", "url": "http://www.4pisr.se/"} +{"d:Title": "Worldwide Pinhole Photography Day", "d:Description": "An international event to promote and celebrate the art of pinhole photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.pinholeday.org/"} +{"d:Title": "Paint Can Cameras", "d:Description": "Maker of pinhole cameras and darkroom kits for photo education.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.paintcancamera.com/"} +{"d:Title": "The Pinhole Gallery", "d:Description": "Explains how pinhole photography works, provides directions for making a camera, offers tips for creative photography, and features pictures taken by these homemade cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.pinhole.org/"} +{"d:Title": "Oatmeal Box Pinhole Photography", "d:Description": "Photo gallery plus directions to make a pinhole camera, take pictures and develop them. Also, links to other pinhole camera sites.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://users.rcn.com/stewoody/"} +{"d:Title": "Pinhole.cz", "d:Description": "Information about the simplest image-creating device, its history, sample photographs and the PinholeDesigner program to help you with the necessary calculations. By David Balihar, in both Czech and English languages.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.pinhole.cz/"} +{"d:Title": "Whiz Kid Technomagic Zone Plate Designer", "d:Description": "Automatically design zone plates to use with pinhole cameras under different light conditions.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.whizkidtech.redprince.net/zoneplate/"} +{"d:Title": "Dvoracek, Nick", "d:Description": "Instructional materials on pinhole photography, with an emphasis on school settings, a collection of historical articles from the 19th and early 20th century, and a gallery of my own photographs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://idea.uwosh.edu/nick/pinholephoto.htm"} +{"d:Title": "Tortuga 5", "d:Description": "Description, technical data and history of the panoramic pinhole camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.tortuga.lu/"} +{"d:Title": "Sharan Pinhole Camera", "d:Description": "Easy to make DIY pinhole camera form follows function in this design.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.sharan-camera.com/"} +{"d:Title": "f295.org Exploring 21 Century Photography", "d:Description": "Contains a forum for the discussion of pinhole photography, camera construction, exhibition and techniques. Includes an \"upload\" gallery to post pinhole images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.f295.org/"} +{"d:Title": "Pinhole Photography, Poetry, Bookbinding", "d:Description": "Blog and gallery containing pinhole photographs, cameras, poetry and hand-bound books.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.marktweedie.co.uk/"} +{"d:Title": "Without Lenses", "d:Description": "Without Lenses is an online magazine about the art and craft of lensless photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.withoutlenses.com/"} +{"d:Title": "Peggy Ann Jones Photography", "d:Description": "Fine Art lensless photography by Peggy Ann Jones, including handmade sculptural cameras and books, as well as info on making Pinhole cameras and photographs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://pinhole.us/"} +{"d:Title": "Stenocamera", "d:Description": "Pinholes and handmade wooden pinhole cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole", "url": "http://www.stenocamera.fr/"} +{"d:Title": "Lacomba, Gabriel", "d:Description": "Photographs by Gabriel Lacomba. Information on the cameras, portfolio, bibliography and links. [Catalan, Spanish and English].", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.estenopeica.es/"} +{"d:Title": "Tosato, Roberto - Haiku", "d:Description": "Pinhole prints are \"a way to spread time on paper\". A tour of hidden Tuscany in twelve pictures taken with a pinhole camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.roberto.sisted.it/"} +{"d:Title": "Hayashi, Toshihiro", "d:Description": "Black and white fine art photographs taken with pinhole and film cameras. Includes landscapes, towns and people in Japan as well as instructions in making a pinhole camera. [English and Japanese]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.toshi-photo.com/"} +{"d:Title": "Wolff, Ilan", "d:Description": "Includes exhibit of mural size pinhole and stenogram works. Features galleries, biography and information on his workshops and exhibition catalog. [English and French]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.ilanwolff.com/"} +{"d:Title": "Buehlmann, Roland", "d:Description": "Pinhole and other photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://kinor.net/"} +{"d:Title": "Trubshaw, Bob - Indigo Gallery", "d:Description": "Anamorphic pinhole photographs by Bob Trubshaw.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.indigogroup.co.uk/gallery/"} +{"d:Title": "Salniker, Steve", "d:Description": "Color and black and white images by Stephen Salniker featured in the ninth Seacoast, NH Photo Gallery.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://seacoastnh.com/arts/photossalniker/"} +{"d:Title": "Jonas, Kein - Camera Obscura", "d:Description": "b/w and color pinhole photos with description for making a camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.jonasreinsch.de/"} +{"d:Title": "Reyes, A. A. - Pindust World of Visions", "d:Description": "Portfolio of black and white pinhole photographs taken with a Zero 2000 pinhole camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.angelfire.com/stars/pindust/"} +{"d:Title": "Interrante, Mark", "d:Description": "Pinhole and zone plate photographs by Mark Interrante.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.interwalk.com/pinhole.htm"} +{"d:Title": "Quinnell, Justin - Pinhole photography", "d:Description": "A selection of pinhole photographs by Justin Quinnell and instructions on how to make pinhole cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.pinholephotography.org/"} +{"d:Title": "Karhof, Bob", "d:Description": "Multiple-image panoramic color photos made with a pinhole camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.bobkarhof.com/"} +{"d:Title": "Vieira, Ant\u00f3nio", "d:Description": "A collection of forest, seascape and river images. Features galleries and a guestbook.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.livinginabox.net/"} +{"d:Title": "Reeve, Thomas Hudson - Pinhole Papercams", "d:Description": "Pinhole paper cameras photography portfolio of photographer Thomas Hudson Reeve.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.papercams.com/"} +{"d:Title": "Kapoor, Jan - LightWorks", "d:Description": "Combines pinhole and alternative photo processes. Contains sample images.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.jankapoor.net/"} +{"d:Title": "Glorieux, Guy", "d:Description": "Straight, digital, and softly focused, color pinhole photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www3.sympatico.ca/guy.glorieux/"} +{"d:Title": "Smith, Claudia - Through the Pinhole", "d:Description": "pinhole polaroids by Claudia Smith", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://sfbaysailingpix.com/pinhole.htm"} +{"d:Title": "Morell, Abelardo", "d:Description": "Pinhole camera obscura photographer Abelardo Morell's site provides images of his work, links to interviews, publications and exhibits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.abelardomorell.net/"} +{"d:Title": "Barber, Craig J", "d:Description": "Cultural landscape photographs of Vietnam, Cuba, Italy, New York, SE Asia, England and the American West. Features galleries, artist biography, statements and articles.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.craigbarber.com/"} +{"d:Title": "Kemp, Gregg D", "d:Description": "Portfolio, exhibition schedule, and contact information for pinhole artist Gregg D Kemp.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.greggkemp.com/"} +{"d:Title": "Irvine, Steve", "d:Description": "Pinhole photographs made with a variety of cameras. Each image has process details and there is a page about the cameras and their design.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.steveirvine.com/pinhole"} +{"d:Title": "Johnston, Lisa - Aeternus", "d:Description": "The fine art pinhole photographs by photographer Lisa Johnston include New Mexico Pinholes landscapes and \"Urban Anthropology\" - a pinhole photographic documentary examining conditions of contemporary urban environments.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.aeternus.com/"} +{"d:Title": "de Forest, Bethany", "d:Description": "Photographs by Bethany de Forest. Information on the technique, gallery of her work, and links. [Dutch and English].", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.pinhole.nl/"} +{"d:Title": "Levinson, Edward", "d:Description": "Personal web site of Edward Levinson, specializing in fine art and editorial pinhole photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.edophoto.com/"} +{"d:Title": "Vincent, Jerry", "d:Description": "Black and white photos made with diverse cameras, including Bender and modified Hasselblad and 35mm plastic camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.tc.umn.edu/~vince004/pinhole.html"} +{"d:Title": "Kirkpatrick, Ed", "d:Description": "Fine Art Photography from Pinhole to Digital. Black and White landscape pinhole images made with home-made trash can cameras. Digital color images of cityscapes and nature.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.edkirkpatrick.com/pinhole.htm"} +{"d:Title": "Lawrence, John", "d:Description": "Black and white pinhole photographs in Galleries 5 and 6 of floral, desert plants and Manzanar. A different abstracted mostly very wide angle viewpoint, done with modified 120 folding cameras and handmade cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://johnlawrencephotography.com/"} +{"d:Title": "Breslin, Nancy A.", "d:Description": "This Delaware photographer specializes in amusement parks and images made with a pinhole camera. The latter includes the series \"Squaremeals: a pinhole diary of eating out.\"", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.nancybreslin.com/"} +{"d:Title": "Cugnasca, David", "d:Description": "Black and white pinhole camera studies of landscape, figurative, the seashore and ocean by David Cugnasca.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.davidcugnasca.com/"} +{"d:Title": "Aita, Roberto", "d:Description": "A gallery of pinhole images made with Polaroid film and custom cameras, mostly handheld in order to expand space and time through blurred vision.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.absolutearts.com/portfolios/m/masanete/"} +{"d:Title": "Stefanutti, Massimo", "d:Description": "Pinhole photographs by Massimo Stefanutti, plus information (in Italian) about pinhole photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.massimostefanutti.it/"} +{"d:Title": "Baciar, Mystic view of Egypt", "d:Description": "Mystic black and white panoramic photographs of Egypt taken with self-made pinhole camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.baciar.com/egypt/panoramic.html"} +{"d:Title": "Dugdale, Rowena - Polaroids and Pinholes", "d:Description": "Ethereal photos taken using homemade pinhole cameras. Also pinhole polaroids and photograms.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.rowenadugdale.com/polaroids/"} +{"d:Title": "Rago, Alexis", "d:Description": "Pinhole photographs by artist and photographer Alexis Rago\u2026 capturing the passing of time in a single image.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://pinhole.alexisrago.com/"} +{"d:Title": "Kassab-bachi, Jason", "d:Description": "This site shows a selection of pictures taken with the Leonardo 4x5 wide-angle camera and self-made boxes mainly in Berlin and in Syria.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.jasonfoto.de/pin1.html"} +{"d:Title": "Pinhole Doug", "d:Description": "Doug Blain's workshops, galleries, projects, and services that relate to pinhole photography. WARNING: site navigation opens lots of new windows.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.pinholedoug.com/"} +{"d:Title": "Strecker, Henrieke I.", "d:Description": "Exhibition and contact information and portfolio of German pinhole artist Henrieke I. Strecker.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.pinhole-photography.de/"} +{"d:Title": "Hanft, Adrian - Found Photography", "d:Description": "Adrian Hanft specializes in creating photos from pinhole and non traditional cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.foundphotography.com/"} +{"d:Title": "Cooke, Katie Cooke", "d:Description": "Gallery of pinhole photographs by Katie Cooke, with a weblog about other lensless photographers, art, exhibitions and cameras.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://slowlight.net/"} +{"d:Title": "MacNeill, Cher", "d:Description": "Cher MacNeill is a Toronto-based photographer specializing in pinhole and panoramic photography, as well as pregnancy and portrait photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.chermacneill.com/"} +{"d:Title": "Stillman, Scott", "d:Description": "Pinhole camera landscape photography in color and black and white. Fine art prints by Scott Stillman of Minneapolis, Minnesota.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://scottstillman.com/"} +{"d:Title": "Hagemann, Matthias", "d:Description": "Camera obscura works of Matthias Hagemann from Berlin, Seville, Barcelona. Includes exhibits, photo art calendars and concepts.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.boxocam.com/"} +{"d:Title": "Bottero, Roby - Utopie Urbane", "d:Description": "Black and white pinhole photos by Roberto Bottero.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://xoomer.virgilio.it/robbot/"} +{"d:Title": "H\u00f6rmann, Corine", "d:Description": "Pinhole photographs of different types of landscape in color and black and white.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.corinehormann.nl/"} +{"d:Title": "Hamilton Gallery: Pinhole", "d:Description": "Color images taken by Mac Legrandi using a homemade 4 x 10 convex panoramic camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://hamiltongallery.tripod.com/Pinhole.html"} +{"d:Title": "Slepekis, Melissa Joi - The Fertility Series", "d:Description": "Black and white pinhole images on the theme of fertility.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://fotoforum.tripod.com/fertility/"} +{"d:Title": "Mabel Odessey Pinhole Photography", "d:Description": "Colour and black and white pinhole images, photograms and information on workshops and commissions.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.mabelodessey.com/"} +{"d:Title": "Alessandra Capodacqua Photography", "d:Description": "A website on pinhole photography, toy cameras and alternative processes", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.alessandracapodacqua.com/"} +{"d:Title": "Frank Lopez Imagery", "d:Description": "Artist and educator specializing in pinhole and diana camera imagery of Vietnam, Prague, Amsterdam and the cultural landscape of the American West.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.franklopez.com/"} +{"d:Title": "St\u00e9nop\u00e9s", "d:Description": "Black and white photographs taken with a pinhole. Venice, Amsterdam and other places of the world.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://stenopes.com/"} +{"d:Title": "Scott Speck's Film Pinhole Photography", "d:Description": "This is a set of photos by Scott Speck, on www.flickr.com, featuring both medium and large format film pinhole photographs.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.flickr.com/photos/41427422@N00/sets/72157594506881880/"} +{"d:Title": "Buckley, Angie", "d:Description": "Contemporary photographer using a pinhole camera to explore how habits, stories and traditions handed down shaped the cultural identities and personal histories of individuals.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.angiebuckley.com/"} +{"d:Title": "Mark Tweedie Photography", "d:Description": "Galleries of fine art pinhole and other photography and blog with details of philosophy, techniques and methods.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.marktweedie.co.uk/"} +{"d:Title": "Michael McCarthy ~ Photographer&Artist", "d:Description": "Alternative photography site showing pinhole, stereo and toy camera images of landscapes, architecture, nudes and portraits using black and white, color, liquid light, cyanotype, gum bichromate and other non-silver processes.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Pinhole/Photographers", "url": "http://www.michael-mccarthy.com/"} +{"d:Title": "SX-70 vs. Captiva: A Design Analysis", "d:Description": "One page history of the SX-70 and a review of the new Polaroid Captiva by writer, Joe Clark.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes", "url": "http://www.joeclark.org/sx70.html"} +{"d:Title": "Raumzeitfilter", "d:Description": "A kind of Polaroid diary, every picture will be part of the next one, taken with Polaroid SX-70.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes", "url": "http://www.raumzeitfilter.de/polaroi_e.htm"} +{"d:Title": "Polaroid transfer&SX-70 manipulation", "d:Description": "Everything about Polaroid transfers and manipulations: directory of links to technical information, galleries and exhibitions, books, mail lists, workshops, and a growing guest gallery.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes", "url": "http://users.frii.com/uliasz/photoart/polaroid/"} +{"d:Title": "Sobredo, Catherine", "d:Description": "Polaroid image transfers of portraits and nudes reminiscent of ancient frescos.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.soulshapes.com/"} +{"d:Title": "Going, Michael", "d:Description": "Gallery of altered Polaroid SX-70; commercial and fine art portfolios.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.goinggallery.com/"} +{"d:Title": "Kenario", "d:Description": "Photo impressionistic images from around the world by two photographers from Italy and California using Polaroid SX-70 manipulation.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.kenario.com/"} +{"d:Title": "Brown, Cathy", "d:Description": "Polaroid transfer and emulsion lift fine art photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.cathybrown.com/"} +{"d:Title": "Sturman, Robert", "d:Description": "Includes images with the themes of nature, surf culture, the blues, India and Nepal, and portraits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.robertsturmanstudio.com/"} +{"d:Title": "Shipman, Mike", "d:Description": "Stock and fine art photography, including Polaroid transfers and SX-70 manipulations. Classes and workshops.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.blueplanetphoto.com/"} +{"d:Title": "Carvalho, Melinda", "d:Description": "Image and emulsion transfer photographs of landscapes, seascapes, and still life.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://myhandcreations.com/"} +{"d:Title": "Melious, Amy", "d:Description": "Traditional photographic and polaroid transfer images of landscapes, florals, insects, nature, people, occasions, Europe, still life, and portraits.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.grainofsand.com/"} +{"d:Title": "Montgomery, Gordon", "d:Description": "SX-70 manipulations, color and B/W photography.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.gordimage.com/"} +{"d:Title": "Levinthal, David", "d:Description": "Includes a survey of his photographic work, a biography, current exhibitions, and a list of publications.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.davidlevinthal.com/"} +{"d:Title": "Asher, Darryl J.", "d:Description": "Evocative fine art Polaroid transfer photography. Features Photo gallery, index of images, artist information, and technical information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.darrylasher.com/"} +{"d:Title": "Hughes, Laura", "d:Description": "Landscape, figurative and abstract imagery incorporating Polaroid SX70.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://www.laura-hughes.com/"} +{"d:Title": "Meacham, Colleen", "d:Description": "Photographer residing in the Seattle, Washington area. Galleries include floral, brush strokes and Hawaii.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://colleenmeacham.com/"} +{"d:Title": "Uliasz, Marek", "d:Description": "Photography and Polaroid transfer art of ghost towns and lost sites of Colorado, returns to Poland, and New Mexico adobe churches.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Polaroid_Processes/Photographers", "url": "http://users.frii.com/uliasz/photoart/lightscapes/"} +{"d:Title": "Flickr: Tilt Shift", "d:Description": "Provides a group of discussion and photographies.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Tilt_Shift", "url": "http://www.flickr.com/groups/tiltshift/"} +{"d:Title": "Tilt-Shift Photography", "d:Description": "Presents the concept and offers a tutorial to do it with photoshop.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Tilt_Shift", "url": "http://www.tiltshiftphotography.net/"} +{"d:Title": "Tilt Shift Maker", "d:Description": "Offers an online photography management to make tilt shift.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Tilt_Shift", "url": "http://tiltshiftmaker.com/"} +{"d:Title": "4 Shades of Gray", "d:Description": "A Game Boy Camera gallery; contains photos, links, and equipment information.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Toy_Camera", "url": "http://www.4shadesofgray.com/"} +{"d:Title": "Anderson, Kristine M.", "d:Description": "Toy camera and Polaroid image transfer galleries.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Toy_Camera", "url": "http://www.kmaphoto.com/fineart.htm"} +{"d:Title": "Gerber, Tomas", "d:Description": "Portfolio of photographs made with a Holga camera. [German and English]", "topic": "Top/Arts/Photography/Techniques_and_Styles/Toy_Camera", "url": "http://www.bildmaterial.ch/"} +{"d:Title": "Smith, Claudia", "d:Description": "Collection of plastic camera photography, such as the Velveeta, Jam Cam, and Holga.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Toy_Camera", "url": "http://sfbaysailingpix.com/"} +{"d:Title": "PhotoBlaster Worship Page", "d:Description": "Information on this Nickelodeon toy camera.", "topic": "Top/Arts/Photography/Techniques_and_Styles/Toy_Camera", "url": "http://www.bakon.org/bakon/photoblaster/"} +{"d:Title": "Motivation", "d:Description": "Howard Grill, blogging about photography.", "topic": "Top/Arts/Photography/Weblogs", "url": "http://www.howardgrill.com/blog"} +{"d:Title": "Xoomi", "d:Description": "GoPro reviews, tips, DIY and product reviews for GoPro camera users. Site by Mike J. Adams.", "topic": "Top/Arts/Photography/Weblogs", "url": "http://xoomi.com/"} +{"d:Title": "Roesch Photography", "d:Description": "Offers photography advice, commentary, how to, information, news and photographs.", "topic": "Top/Arts/Photography/Weblogs", "url": "http://roeschphotography.blogspot.com/"} +{"d:Title": "Debin, Damien", "d:Description": "Photos from a Paris based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://photoaddiction.net/"} +{"d:Title": "deFocused", "d:Description": "Includes a collection of abstract, pinhole, polaroid and alternative process images from a group of photographers.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.defocused.net/"} +{"d:Title": "Archambault, Anne", "d:Description": "Focuses on outdoor, travel, and panoramic photography. Includes albums, biography and information about equipment.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.wideangle.ca/"} +{"d:Title": "DeLeon, Redrick", "d:Description": "A collection of people, urban and outdoor photography. Contains image archive and links.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.990000.com/"} +{"d:Title": "Javanrouh, Sam", "d:Description": "A collection of object, landscape and architectural photography. Includes biography and image archives.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://wvs.topleftpixel.com/"} +{"d:Title": "Nightingale, David J.", "d:Description": "Features a collection of objects, people and architectural photography. Includes biography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.chromasia.com/iblog/"} +{"d:Title": "Clymer, Andy", "d:Description": "Features landscape, people and object photography. Includes camera type and biography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.andyclymer.com/"} +{"d:Title": "Risk, Davin", "d:Description": "Contains street photography. Includes camera settings, archive and biography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.lowresolution.com/"} +{"d:Title": "Kuo, Eugene", "d:Description": "Features landscape and people photography. Includes biography and image archive.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.226-design.com/carte/"} +{"d:Title": "FlorCruz, Archie", "d:Description": "Features object, architectural, people and nature photography. Contains image archive and equipment.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.whateverland.com/"} +{"d:Title": "Hoffman, Jon", "d:Description": "A collection of nature, people and animal photography. Includes commentary.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://visualpoetics.blogspot.com/"} +{"d:Title": "Alexander, Sean Hamilton", "d:Description": "A photo journey depicting travel, life and family. Includes image archive.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.archibaldjude.com/"} +{"d:Title": "McDermott, Drew", "d:Description": "Features architecture and events photography in the Washington DC. Includes image archive", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.drewmcdermott.com/"} +{"d:Title": "Rosen, Ned", "d:Description": "A collection of images taken with camera phone. Includes image archive.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://dirtylense.blogs.com/"} +{"d:Title": "Tetsu", "d:Description": "A collection of images focusing on people, architecture and objects from Japan, Iran, Thailand and Uzbekistan.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://boxman.awazo.com/"} +{"d:Title": "Baker, Peter", "d:Description": "Photos of people, places and things.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.treemeat.com/"} +{"d:Title": "Storey, Miles", "d:Description": "Collection of portrait and experimental photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://mute.rigent.com/"} +{"d:Title": "Connally, Kathleen", "d:Description": "Landscape and portraiture photography from Durham Township, Pennsylvania", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.durhamtownship.com/"} +{"d:Title": "Snapped Shots", "d:Description": "A photographic and textual experiment.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.snappedshots.com/"} +{"d:Title": "Doyle, Jason", "d:Description": "Urban, landscape, night and nature photography focussing on the city of Perth (Australia). Includes camera settings, photo commentary and archive.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.angstrom.com.au/"} +{"d:Title": "Hudson, Mike", "d:Description": "Featuring nature and urban imagery by the Melbourne, Australia based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://seriocomic.com/"} +{"d:Title": "Lencioni, Joe", "d:Description": "Features digital and film photographs, commentary, print ordering, desktop wallpaper and contests.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://shiftingpixel.com/"} +{"d:Title": "Fischinger, Mareen", "d:Description": "Gallery of work from a student in Germany.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.mareenfischinger.com/"} +{"d:Title": "V\u00f6llmeke, Ingrid", "d:Description": "Images from a Hamburg based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.poly24.com/"} +{"d:Title": "O'Sullivan, Matt", "d:Description": "Images from a Toronto based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.thenarrative.net/"} +{"d:Title": "Johnson, Carlos", "d:Description": "Collection of photographic experiments.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.shutterlog.com/"} +{"d:Title": "Halpin, Angela", "d:Description": "Images from Ireland based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.lensload.com/blog/index.html"} +{"d:Title": "O'Donnell, Dylan", "d:Description": "Photoblog with several gallery sections.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://deography.com"} +{"d:Title": "Dipin, George", "d:Description": "A site displaying the work of photographer George Dipin.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://georgedipin.blogspot.com"} +{"d:Title": "Pfeifer, Jason", "d:Description": "A collection of experimental and traditional photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.pfosphene.com"} +{"d:Title": "Chrysanthopoulos, Dimitri", "d:Description": "Photoblog of New Jersey, and beyond.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.cobwebstudios.com/cws_photos.htm"} +{"d:Title": "Heller, Bill", "d:Description": "Images from California based photographer", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.billsphotooftheday.com/"} +{"d:Title": "Hawkins, Philip", "d:Description": "Landscapes, still life, and architectural.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.happymeadows.com/"} +{"d:Title": "Iqbal, Ahamed", "d:Description": "Images from California based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://ahamediqbal.blogspot.com/"} +{"d:Title": "Kolobov, Lev", "d:Description": "A daily photoblog of visual experiences.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://movie.leova.com/"} +{"d:Title": "Sky, David", "d:Description": "A diverse collection of photographs, updated daily.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.seemsartless.com/"} +{"d:Title": "Heatwole, Andy", "d:Description": "Images by a photographer who prefers to shoot after sunset just before complete darkness.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.blog.bluehourphoto.com/"} +{"d:Title": "Schmidt, Aaron", "d:Description": "Daily photos from a Canadian living in Auckland, New Zealand.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://aaron.aminus3.com/"} +{"d:Title": "Lemaitre, No\u00e9mie", "d:Description": "Images from the Southern Germany and Austria.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.neopix.eu/"} +{"d:Title": "Gutierrez, Raul", "d:Description": "Pictures from his daily life and around the world.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.mexicanpictures.com/"} +{"d:Title": "Ganchev, Krasimir", "d:Description": "People, landscape, and abstract photography in black and white and color.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.phomix.com/"} +{"d:Title": "McKeown, Kyle", "d:Description": "Photoblog of a Toronto-based photographer, focusing on the often overlooked.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.kylemckeown.com/"} +{"d:Title": "Tandel, Vishal", "d:Description": "Nature, people, and animals.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://lensfish.blogspot.com/"} +{"d:Title": "Arekusu.de", "d:Description": "Photographs taken in several countries around South East Asia by a German living in Taiwan.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.arekusu.de/"} +{"d:Title": "Philippe", "d:Description": "Photographs of people, places, and objects.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://image-latente.blogspot.com/"} +{"d:Title": "Leonardi, Guido", "d:Description": "Landscape and panoramic photographs from a photographer living in Italy.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.idom.org/"} +{"d:Title": "Moore, Dwayne", "d:Description": "A collection of still life, urban landscape, and portraiture.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.distilledlife.com/"} +{"d:Title": "Jord\u00e0, Ferran", "d:Description": "Offers the same photograph in color and black and white. Animals, nature, and people.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.bw-color.com/"} +{"d:Title": "Nair, Prashanth", "d:Description": "Photographs of people, plants, animals, and nature.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.dotcompalsphotoblog.com/"} +{"d:Title": "Kovenkin, Rodion", "d:Description": "Contains personal, fine-art, and non-commercial photographs of people and landscapes.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.kovenkin.com/"} +{"d:Title": "Prikhodko, Natalie", "d:Description": "Nature, floral, still-life and travel photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://everyday-wonders.com/blog"} +{"d:Title": "Visontay, Peter", "d:Description": "A photoblog of London.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.earth-photography.com/Blog/"} +{"d:Title": "Coburn, Kyle", "d:Description": "Sports, weddings, portraits, and commercial photography from a Dallas, Texas, USA, based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.kylecoburn.com/"} +{"d:Title": "Ramnath, Brian", "d:Description": "New York City based street photography in black and white and color.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.sharpshoota.com/"} +{"d:Title": "Bron, Jacques", "d:Description": "A collection of landscape, HDR, people and object photography. Includes image archive and commentary.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://photoblog.jacquesbron.com/"} +{"d:Title": "Lorenzo, Carlos", "d:Description": "Photography of landscape, art, and architecture from Barcelona, Spain.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.barcelonaphotoblog.com/"} +{"d:Title": "Bills, Michael", "d:Description": "Photographs of nature, animals, landscapes, and people.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://oneloosenut.blogspot.com/"} +{"d:Title": "Goodnight, Raleigh!", "d:Description": "A photographic look at the art, architecture, history, and people of Raleigh, North Carolina at night.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://goodnightraleigh.com/"} +{"d:Title": "Chandel, Tarun", "d:Description": "Photographs of seasons, landscapes, animals, people, and architecture.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://photos.tarunchandel.com/"} +{"d:Title": "Sz\u00e1sz, P\u00e9ter", "d:Description": "Photo diary featuring scenes from his daily life and surroundings.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://bp.underground.hu/"} +{"d:Title": "A Hungry Soul", "d:Description": "Photographs of her life in East Layton, Utah, USA. Includes photographs of family and scenery.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://ahungrysoul.blogspot.com/"} +{"d:Title": "Graminiski, Peggi Meyer", "d:Description": "Artist's blog featuring mixed media and digital collage.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://desertphotography.blogspot.com/"} +{"d:Title": "Gasperak, Tim", "d:Description": "Photography from a San Francisco based photographer and designer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://gasperak.com/"} +{"d:Title": "Garatti, Vittorio", "d:Description": "Nature, animals, macro, abstract, and landscapes from an Italian photography enthusiast.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://m4c4co.altervista.org/"} +{"d:Title": "Jinky Art", "d:Description": "A collection of photographs from Canberra, Australia including children's portraiture.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://jinkyart.com.au/blog/"} +{"d:Title": "Mounier, Vincent", "d:Description": "Landscape and panoramic photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.vincentmounier.com/blog2/"} +{"d:Title": "Marban, Thomas", "d:Description": "Photoblog featuring New York photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://marban.com/"} +{"d:Title": "Cunningham, Joe", "d:Description": "Features people photography. Includes commentary and image archive.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.joecunningham.com/"} +{"d:Title": "Ogloblinsky, Vincent", "d:Description": "Includes virtual visit, panoramas and pictures of concerts, Brittany and other subjects.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.vincentogloblinsky.com/"} +{"d:Title": "petite-tete.ath.cx", "d:Description": "Mes cr\u00e9ations photographiques...", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://petite-tete.ath.cx/pixelpost/"} +{"d:Title": "This isn't happiness", "d:Description": "Photographs, paints, phrases and digital art.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://thisisnthappiness.com/"} +{"d:Title": "Yuee's Photos", "d:Description": "A collection of photographs encompassing subjects like animals, architecture and sports.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.yueezhao.com/"} +{"d:Title": "Tim Schmid", "d:Description": "Photoblog from Lucerne, Switzerland. Photography and travel.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://tim-schmid.ch/"} +{"d:Title": "Cabin Porn", "d:Description": "Collection of cabins photographs from the world.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://cabinporn.com/"} +{"d:Title": "Dominic, Michael", "d:Description": "New York City photo weblog.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://michaeldominic.com/"} +{"d:Title": "Reshetnikov, Eugene", "d:Description": "Features photograph experiments, daily life, and travel, mostly from Western Europe.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.resetnikov.eu/"} +{"d:Title": "Lanskih, Alexy", "d:Description": "Photography of his trip around the world and his life in Moscow city.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://alexlanskih.com/"} +{"d:Title": "Alcove de Anggur", "d:Description": "Malaysian photography hobbyist using Olympus E-500 DSLR camera with some Zuiko lenses.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://alcoveanggur.blogspot.com/"} +{"d:Title": "Kolby Skidmore", "d:Description": "The CEO and the lead designer of Spotted Media Design. Colorado. Quirky and creative photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.kslop.tumblr.com/"} +{"d:Title": "Aaron, Jake", "d:Description": "A mixture of street photography with more personal work and interests.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://jake-aaron.blogspot.com/"} +{"d:Title": "Graphics of Light", "d:Description": "Photographer's perception on different landscapes, sites and people. Liviu Mihaileanu.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://graphicsoflight.wordpress.com/"} +{"d:Title": "Horcicka, Petr", "d:Description": "Photographer living in Prague. Interested in documenting people, various social events and life around him.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://petrhorcicka.wordpress.com/"} +{"d:Title": "Photos by KML", "d:Description": "A photoblog with photo tips and resources for the fine art or stock photographer. Photographer, K. Libby.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://photographybykml.blogspot.com/"} +{"d:Title": "Images from the Edge", "d:Description": "Creating better macro photography and nature photography images. Niall and Charlotte Benvie.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://imagesfromtheedge.com/blog/"} +{"d:Title": "ImageNature Photography", "d:Description": "Photoblog about nature and travel images. Gland, Vaud, Switzerland.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://imagenaturephoto.blogspot.com/"} +{"d:Title": "Patrick Dinneen", "d:Description": "Galway, West Ireland. Captures eclectic mix of photographs including abstract, urban, sport.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://photoblog.ie/"} +{"d:Title": "In Photos", "d:Description": "Donncha \u00d3 Caoimh, in the scenic town of Blarney, Co. Cork, Ireland.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "https://inphotos.org/"} +{"d:Title": "Thomas Hawk's Digital Connection", "d:Description": "Trying to publish a library of 1,000,000 hand crafted, lovingly created, individually finished and processed photographs. Mostly focusing on America.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://thomashawk.com/"} +{"d:Title": "Living for Light", "d:Description": "Treasa Lynch photographs the sea and kitesurfing. Also, wildlife, other extreme sports, architecture and flowers. Based in Ireland.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.livingforlight.org/"} +{"d:Title": "The Unholy Two", "d:Description": "Photographer of the invisible.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://theunholytwo.blogspot.com/"} +{"d:Title": "A Photo", "d:Description": "The museum of everyday life. Photos from George D.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.triaxon.ro/wp"} +{"d:Title": "Photo Illusion", "d:Description": "Kalin Staykov in Bulgaria, Sofia.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://photo-illusion.org/"} +{"d:Title": "Not My Father's Slides", "d:Description": "A blog dedicated to vintage photographic slides - either found, rescued or donated. Tanja Tiziana, Toronto based photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.notmyfathersslides.com/"} +{"d:Title": "Christopher Bloor", "d:Description": "This blog is about seeing things from a different direction. Atlanta, US.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.christopherbloor.com/"} +{"d:Title": "JoziFolk", "d:Description": "The voice and colors of real Johannesburgers. Every day life and places in South Africa.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.jozifolk.com/"} +{"d:Title": "The Photo Argus", "d:Description": "Photographs curated into collections for topical blog posts.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.thephotoargus.com/"} +{"d:Title": "Cory Claxon", "d:Description": "Eastern Kentucky-based railroad and newspaper photographer.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://coryclaxon.com/"} +{"d:Title": "Photo Journal", "d:Description": "Wildlife, nature, portraits, with a story or experience behind each of those photographs. Delson Roche based in India.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.delsonclicks.blogspot.com/"} +{"d:Title": "The Space in Between", "d:Description": "Critical essays and reflections about contemporary photography, performance, new media, installation work, and artistic practice. Internationally focused with specific interest in new Asian art and photography. Stacy Platt, Colorado.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.the-space-in-between.com/"} +{"d:Title": "Stian Helnes", "d:Description": "Photographs from life in Norway.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://heln.es/"} +{"d:Title": "Photography a Framed View", "d:Description": "Photographs from Jeslin George.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.framedview.com/"} +{"d:Title": "Claudia Necel \u2013 Zemblowska", "d:Description": "Personal photoblog with nature and featured photos.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://claudia.null-zero.com/"} +{"d:Title": "Unusual Photography", "d:Description": "Photos of European places from a photographer in Glasgow, Scotland.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://yops.info/"} +{"d:Title": "Seamus Photo Blog", "d:Description": "Dedicated to the various aspects of nature and macro photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.vuurvliegie.net/"} +{"d:Title": "Original and Quirky Gallery", "d:Description": "Online photographs from Stewart Scott, in Bristol, UK.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://stewartscottphotography.co.uk/"} +{"d:Title": "James Koster", "d:Description": "Designer/ developer at Automattic. Photoblog.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "https://jameskoster.co.uk/"} +{"d:Title": "Footwa", "d:Description": "A photoblog, categories range from portraits, wildlife, abstract to some techniques used to click snaps.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.footwa.com/"} +{"d:Title": "Ritchie Roesch", "d:Description": "A freelance photographer and a photography blogger, specializing in landscape and urban exploration photography. From the Tehachapi Mountains in central California.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.roeschphotography.com/"} +{"d:Title": "RichTeaMedia360", "d:Description": "Photography blog from Richard, in Toronto, Ontario. 360 Panoramic photography.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://blog.richteamedia360.com/"} +{"d:Title": "Joshi Daniel Photography", "d:Description": "Showcasing images of people. Based in India.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://joshidaniel.com/"} +{"d:Title": "Five Senses One Picture", "d:Description": "Mosaic by Dino Fratelli. Based in Italy.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://fivesensesonepicture.blogspot.com/"} +{"d:Title": "Thom Zehrfeld Photography", "d:Description": "An Oregon photographer and specializes in photographing settings that are distinctly Oregon.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://oregon-photography.blogspot.com/"} +{"d:Title": "Q2T1NS", "d:Description": "Random photography: women, cars, landscapes.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.21questionsshorty.tumblr.com/"} +{"d:Title": "Outdoor Photography", "d:Description": "A blog for education in nature, wildlife, and bird photography. Scott Simmons, Florida, US.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.learnoutdoorphotography.com/"} +{"d:Title": "Pruzenski Homepage", "d:Description": "Outdoors and astronomy in photography. Chris Pruzenski.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://pruzenski.com/"} +{"d:Title": "Barry Stein's Blog", "d:Description": "Inspired street photography and creative writing.", "topic": "Top/Arts/Photography/Weblogs/Photoblogs", "url": "http://www.barry-stein.net/"} +{"d:Title": "Photography Webrings", "d:Description": "A directory of photography web rings. Each web ring is dedicated to a different subject within photography.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.photography-webrings.net/"} +{"d:Title": "Art Photography Ring", "d:Description": "For any type of photography.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=artphotographyri"} +{"d:Title": "Landscape Photography", "d:Description": "For sites containing landscape photography. Over 390 sites.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=landscph"} +{"d:Title": "Artist Photographers", "d:Description": "Includes sites that use photography to capture or express artistic vision.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=artistphotograph"} +{"d:Title": "Photography", "d:Description": "For sites with photographs arranged by subject.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=forphotography"} +{"d:Title": "Creative Photography Ring", "d:Description": "For any site displaying photography.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=creativephotogra"} +{"d:Title": "Fine Art Photography Gallery", "d:Description": "Joining together galleries of fine art by individuals and organizations.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=photogall"} +{"d:Title": "Photographers Portfolios Ring", "d:Description": "For professional and amateur photographers to display their online portfolios.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=photographerport"} +{"d:Title": "Professional Photography Association Web Ring", "d:Description": "For organizations based in specific localities.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=photoassoc"} +{"d:Title": "Travel Photography", "d:Description": "Amateur and professional photographers share their impressions and give useful tips on traveling with a camera.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=travelphoto"} +{"d:Title": "Ultimate Photography", "d:Description": "For any person who has a love for photography and a web site displaying their work.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=ultphoto"} +{"d:Title": "WebRing: Home Photo", "d:Description": "For all collections of personal photographs.", "topic": "Top/Arts/Photography/Web_Rings", "url": "http://www.webring.org/hub?ring=homephoto"} +{"d:Title": "Youth Radio", "d:Description": "An Internet and public radio station presented by young people. Contains a collection of articles, quotes, and background about the organization.", "topic": "Top/Arts/Radio", "url": "https://youthradio.org/"} +{"d:Title": "Fairness&Accuracy In Reporting (FAIR)", "d:Description": "National progressive media watchdog group advocating independence and criticism in journalism, and challenging corporate media bias, spin and misinformation.", "topic": "Top/Arts/Radio/Advocacy_Organizations", "url": "http://www.fair.org/"} +{"d:Title": "ClandestineRadio.com", "d:Description": "Information including background, picture and audio files, about clandestine radio activities around the world.", "topic": "Top/Arts/Radio/Advocacy_Organizations", "url": "http://www.clandestineradio.com/"} +{"d:Title": "National Radio Project", "d:Description": "Non-profit organization committed to producing and establishing distribution networks for independent radio journalism,the promotion of civic participation and greater access to media.", "topic": "Top/Arts/Radio/Advocacy_Organizations", "url": "http://www.radioproject.org/"} +{"d:Title": "Free Radio Berkeley", "d:Description": "One of original leaders in movement to establish alternative community oriented broadcasting.", "topic": "Top/Arts/Radio/Advocacy_Organizations", "url": "http://www.freeradio.org/"} +{"d:Title": "Prometheus Radio Project", "d:Description": "Not-for-profit association dedicated to the democratization of the airwaves through the proliferation of non-commercial, community based, micropower stations.", "topic": "Top/Arts/Radio/Advocacy_Organizations", "url": "http://www.prometheusradio.org/"} +{"d:Title": "Canadian Society For Independent Radio Production", "d:Description": "CSIRP provides various resources including workshops, newsletters, job tips, and up to date mailing list. Does advocacy and lobbying.", "topic": "Top/Arts/Radio/Advocacy_Organizations", "url": "http://www.firststage.ca/csirp/"} +{"d:Title": "Veteran Wireless Operators Association", "d:Description": "Full membership is open to those holding a Radiotelegraph or Radiotelephone License, who served as an operator of a radiotelegraph or radiotelephone station including broadcast and television, armed services who served in a similar position such as Coast Guard operators. Open to individuals with licenses issued by any government. Includes newsletters and awards overview.", "topic": "Top/Arts/Radio/Advocacy_Organizations", "url": "http://www.vwoa.org/"} +{"d:Title": "US Alliance.org", "d:Description": "University - Station Alliance on the many issues facing public radio stations and their university-licensees, complete with resource materials and useful links.", "topic": "Top/Arts/Radio/Formats/College_and_Educational", "url": "http://www.us-alliance.org/"} +{"d:Title": "Intercollegiate Broadcasting System, Inc. (IBS)", "d:Description": "An association of over 800 college and school radio stations. Information on broadcasting and webcasting issues.", "topic": "Top/Arts/Radio/Formats/College_and_Educational", "url": "http://www.ibsradio.org/"} +{"d:Title": "Collegiate Broadcasters, Inc. (CBI)", "d:Description": "A resource for student operated media.", "topic": "Top/Arts/Radio/Formats/College_and_Educational", "url": "http://www.askcbi.org/"} +{"d:Title": "Earshot Magazine", "d:Description": "Specialty magazine for campus and community radio stations.", "topic": "Top/Arts/Radio/Formats/College_and_Educational", "url": "http://www.earshot-online.com/"} +{"d:Title": "Tune 106.9 FM", "d:Description": "Lists shows, broadcasters' profiles, program grid and other information of this student based Community Radio station at the University Of New England.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Australia", "url": "http://www.tunefm.net/"} +{"d:Title": "CFUV 102 FM", "d:Description": "University of Victoria radio station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/British_Columbia", "url": "http://cfuv.uvic.ca/"} +{"d:Title": "CiTR 101.9 FM", "d:Description": "University of B.C. Radio, features program guide, charts and live Internet radio streaming.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/British_Columbia", "url": "http://www.citr.ca/"} +{"d:Title": "CJSF Radio 93.9 FM Cable", "d:Description": "Simon Fraser University's campus/community radio station, serving Vancouver with music and spoken word programming unheard on other radio stations.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/British_Columbia", "url": "http://www.cjsf.ca/"} +{"d:Title": "CIVL Radio", "d:Description": "CIVL Radio is a campus and community radio station that serves the University of the Fraser Valley (UFV), Abbotsford, Mission and Chilliwack.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/British_Columbia", "url": "http://civl.ca/"} +{"d:Title": "UMFM 101.5 FM", "d:Description": "University of Manitoba campus and community radio station features music, events, and talk.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Manitoba", "url": "http://www.umfm.com/"} +{"d:Title": "CHMR FM", "d:Description": "93.5 MHz. Memorial University of Newfoundland, St. John's, Newfoundland. Newfoundland's first radio station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Newfoundland_and_Labrador", "url": "http://www.mun.ca/chmr/"} +{"d:Title": "CHMA 106.9 FM", "d:Description": "Mount Allison University and surrounding community of Sackville, New Brunswick, Canada.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/New_Brunswick", "url": "https://chmafm.wordpress.com/"} +{"d:Title": "CFXU 93.3 FM - The Fox", "d:Description": "St. Francis Xavier University radio. Includes charts, show schedule, links and contact information.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Nova_Scotia", "url": "http://radiocfxu.ca/"} +{"d:Title": "CKDU FM 88.1", "d:Description": "Dalhousie University. Originally a college radio station it now broadcasts throughout Halifax.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Nova_Scotia", "url": "http://www.ckdu.ca/"} +{"d:Title": "CFBU Radio", "d:Description": "Brock Radio, campus radio station, alternative programming, Brock University, St. Catharines, Ontario", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://www.cfbu.ca/"} +{"d:Title": "CFRU 93.3 FM", "d:Description": "A non-profit campus and community radio station operating at The University of Guelph.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://www.cfru.ca/"} +{"d:Title": "CFFF 92.7 FM", "d:Description": "Trent University radio, student-run station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://www.trentu.ca/org/trentradio/"} +{"d:Title": "CILU 102.7 FM", "d:Description": "Student run radio at Lakehead University in Thunder Bay, Ontario.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://www.luradio.ca/"} +{"d:Title": "CKLU 96.7 FM", "d:Description": "Campus and community radio station at Laurentian University, Sudbury Ontario.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://www.cklu.ca/"} +{"d:Title": "The Mighty 93.1 - CKCU Radio Carleton", "d:Description": "Broadcasting music, multi-ethnic programs local news and reviews. A community based radio station in Ottawa.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://www.ckcufm.com/"} +{"d:Title": "94.9 CHRW", "d:Description": "London music and show archive, live broadcasting and podcasts from the University of Western Ontario.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "https://chrwradio.ca/"} +{"d:Title": "Vibe 105.5 FM", "d:Description": "York University campus radio - urban alternative format.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://www.vibe1055.com/"} +{"d:Title": "Spirit Live", "d:Description": "Student Produced Internet Radio and Interactive Technologies at Ryerson University. Mixed talk/spoken word and music format. Live stream available. [Non-broadcast]", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Canada/Ontario", "url": "http://spiritlive.ca/"} +{"d:Title": "Silliman Net Radio", "d:Description": "Silliman University's Campus Radio.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/Philippines", "url": "http://su.edu.ph/page/284-silliman-net-radio"} +{"d:Title": "KASU 91.9 FM", "d:Description": "Serves Arkansas State University. History, program guide, staff biographies, and contact information is included.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Arkansas", "url": "http://www.kasu.org/"} +{"d:Title": "KSDS FM", "d:Description": "88.3 MHz. San Diego City College, San Diego, CA. Marconi Award Winning jazz radio broadcasting. \"Jazz88.\"", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://www.jazz88.org/"} +{"d:Title": "KHSU-FM Diverse Public Radio", "d:Description": "National Public Radio and Public Radio International affiliate from Humboldt State University in Arcata, California.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://www.khsu.org/"} +{"d:Title": "KALX FM", "d:Description": "90.7 MHz. UC Berkeley, Berkeley, CA. Student-run. Varied format.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kalx.berkeley.edu/"} +{"d:Title": "KCPR FM", "d:Description": "91.3 MHz. California Polytechnic State University, San Luis Obispo, CA. Student-run, indie format, live RA stream.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kcpr.org/"} +{"d:Title": "KZSU FM", "d:Description": "90.1 MHz. Stanford University, Stanford, CA. Student-run. Eclectic music programming.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kzsu.stanford.edu/"} +{"d:Title": "KSPC FM", "d:Description": "88.7 MHz. Pomona College, Claremont, CA. Student-run. Mixed underground format. Real Audio available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://www.kspc.org/"} +{"d:Title": "K-Beach Radio", "d:Description": "Internet only. California State University, Long Beach, CA.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://www.kbeach.org/"} +{"d:Title": "KUCR FM", "d:Description": "88.3 MHz. University of California, Riverside, CA. Progressive Music and commentary.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kucr.org/"} +{"d:Title": "KDVS FM", "d:Description": "90.3 MHz. UC Davis, CA. Free-form community radio station. Live net stream plus archived shows.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://www.kdvs.org/"} +{"d:Title": "KLBC 1610AM", "d:Description": "Long Beach City College, Long Beach, CA. Independent, freeform, student run station. [internet only?]", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://www.klbc.org/"} +{"d:Title": "KFJC FM", "d:Description": "89.7 FM. Foothill College, Los Altos Hills, CA. Since 1959, non-commercial, community radio station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kfjc.org/"} +{"d:Title": "KSCU FM", "d:Description": "103.3 MHz. Santa Clara University, Santa Clara, CA. Indie, pop, punk, electronic, hip-hop, house, blues, jazz, reggae, etc.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kscu.org/"} +{"d:Title": "KZSC FM", "d:Description": "88.1 MHz. UC Santa Cruz, Santa Cruz, CA. Student Run Radio", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kzsc.org/"} +{"d:Title": "KWVS-FM", "d:Description": "101.5 MHz, Pepperdine University, Malibu, CA. Schedule, program information and events.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kwvs.pepperdine.edu/"} +{"d:Title": "KFSR FM", "d:Description": "90.7 MHz. California State University, Fresno, CA. Jazz, Progressive Rock, Rhythm and Blues, and Hip-Hop. Live net stream.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://kfsr.org/"} +{"d:Title": "KUSF FM", "d:Description": "90.3 MHz. University of San Francisco, CA. Student-and community-run station with a focus on underground new music programming and cultural specialty programs. Live net stream.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "https://www.usfca.edu/kusf"} +{"d:Title": "KCPR during the 70's", "d:Description": "Jim Zimmerlin's recollections of KCPR during 1975 through 1977, with pictures of the Cal-Poly student-run radio station during that era.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/California", "url": "http://www.jimzim.net/KCPR.html"} +{"d:Title": "KCSU FM", "d:Description": "90.5 MHz. Colorado State University, Fort Collins, CO. Student-run.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Colorado", "url": "http://www.kcsufm.com/"} +{"d:Title": "WRTC FM 89.3", "d:Description": "Trinity College Radio. Hartford based.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Connecticut", "url": "http://www.wrtcfm.com/"} +{"d:Title": "WHUS 91.7FM", "d:Description": "Concert and arts calendar, top music lists, events, programming schedule, public affairs, sports and streaming audio from the University of Connecticut at Storrs.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Connecticut", "url": "http://www.whus.org/"} +{"d:Title": "WYBC 1340AM / 94.3FM", "d:Description": "Radio station run as an undergraduate student organization from Yale University in New Haven.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Connecticut", "url": "http://wybc.com/"} +{"d:Title": "WCNI 91.1-FM", "d:Description": "Student-run radio programming with noncommercial format of news, public affairs, community interest and alternative music.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Connecticut", "url": "http://www.wcniradio.org/"} +{"d:Title": "WWUH FM 91.3", "d:Description": "West Hartford based station out of the University of Hartford.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Connecticut", "url": "http://wwuh.org/"} +{"d:Title": "WNHU 88.7 FM", "d:Description": "Broadcasting live from the University of New Haven in West Haven.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Connecticut", "url": "http://wnhu.org/"} +{"d:Title": "WVUD FM", "d:Description": "91.3 MHz. University of Delaware, Newark, DE.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Delaware", "url": "http://www.wvud.org/"} +{"d:Title": "WBUL AM", "d:Description": "1620 MHz. University of South Florida, Tampa. Student run. Eclectic music, news, sports, talk. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Florida", "url": "http://bullsradio.org/"} +{"d:Title": "WREK FM", "d:Description": "91.1 MHz. Georgia Tech, Atlanta, GA. Student Radio. Diverse programming. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Georgia", "url": "http://www.wrek.org/"} +{"d:Title": "WUOG FM", "d:Description": "90.5 MHz. University of Georgia, Athens, GA. Student run. Widely varied music and talk format.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Georgia", "url": "http://wuog.org/"} +{"d:Title": "WCSU Radio", "d:Description": "Internet Only. Chicago State University, Chicago, IL.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Illinois", "url": "http://wcsu.csu.edu/"} +{"d:Title": "Moody Campus Radio", "d:Description": "530 MHz. Moody Bible Institute, Chicago. Campus station playing Christian Top 40 and live broadcasts of chapel services and Archer basketball; includes online stream.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Illinois", "url": "http://www.moodycampusradio.com/"} +{"d:Title": "WIUS - 88.3 The Dog", "d:Description": "88.3 KHz. Western Illinois University, Macomb. Airs alternative and urban music, as well as Leathernecks and Westerwinds sports.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Illinois", "url": "http://www.wiu.edu/thedog/"} +{"d:Title": "WHPK FM", "d:Description": "88.5 MHz. University of Chicago, Chicago, IL.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Illinois", "url": "http://www.whpk.org/"} +{"d:Title": "WEIU - Hit-Mix 88.9", "d:Description": "88.9 MHz. Eastern Illinois University, Charleston.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Illinois", "url": "http://www.weiu.net/hitmix/"} +{"d:Title": "WNAS FM and TV", "d:Description": "Provides hands-on training in radio and TV broadcasting to New Albany High School students; information and pictures about staff, station news and radio school.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Indiana", "url": "http://www.wnas.org/"} +{"d:Title": "WCRD FM The Bird", "d:Description": "91.3 MHz. - Ball State University, Muncie, IN. Listen Online.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Indiana", "url": "http://wcrd.net/"} +{"d:Title": "WUEV FM", "d:Description": "91.5 MHz. University of Evansville, Evansville, IN.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Indiana", "url": "http://www.evansville.edu/wuev/"} +{"d:Title": "KRUI FM", "d:Description": "89.7 MHz. University of Iowa, Iowa City, IA. Student run (ind. licensed). Alternative format.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Iowa", "url": "http://kruiradio.org/"} +{"d:Title": "KANU FM", "d:Description": "91.5 MHz. University of Kansas, Lawrence, KS. Public Radio.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Kansas", "url": "http://kansaspublicradio.org/"} +{"d:Title": "WRFL 88.1 FM, Lexington KY", "d:Description": "University of Kentucky. Student-run. Eclectic music programming. \"Radio Free Lexington.\" Webcast available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Kentucky", "url": "http://wrfl.fm/"} +{"d:Title": "KXUL 91.1 FM, Monroe LA", "d:Description": "The University of Louisiana at Monroe station broadcasts alternative and modern rock.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Louisiana", "url": "http://kxul.com/"} +{"d:Title": "WMHB 89.7 FM", "d:Description": "Colby College station, licenced to broadcast at 110 watts. Waterville, ME.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Maine", "url": "http://www.colby.edu/wmhb/"} +{"d:Title": "WMPG 90.9 FM", "d:Description": "Community FM Radio. Broadcasting from Gorham and Portland, Maine.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Maine", "url": "http://www.wmpg.org/"} +{"d:Title": "WMUC 88.1 FM, College Park MD", "d:Description": "Student-run, freeform radio from the University of Maryland, College Park. Live streams available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Maryland", "url": "http://wmuc.umd.edu/"} +{"d:Title": "WCFM 91.9 FM, Williamstown MA", "d:Description": "The voice of Williams College. Free-form, non-commercial. Indie-rock, electronica, jazz, world, and hip-hop. Live RealAudio (22 kHz, mono) feed.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://wcfm.williams.edu/"} +{"d:Title": "WZBC 90.3 FM, Newton, MA", "d:Description": "at Boston College. Mostly rock format.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://www.bc.edu/bc_org/svp/st_org/wzbc/"} +{"d:Title": "WCHC-88.1 FM", "d:Description": "Underground hip-hop, rock, techno, and jazz from Holy Cross College.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://college.holycross.edu/wchc/"} +{"d:Title": "WERS 88.9 FM, Boston", "d:Description": "Emerson College. Non-commercial. Mostly urban format. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://www.wers.org/"} +{"d:Title": "Cambridge Forum homepage", "d:Description": "Information about a public affairs radio program in Cambridge, MA", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://www.cambridgeforum.org/"} +{"d:Title": "WMUA 91.1 FM The Radio Voice of UMass", "d:Description": "Student and community members broadcast blues, jazz, rock, hip-hop, public affairs, polka, Latin, bluegrass, gospel, and electronic.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://www.wmua.org/"} +{"d:Title": "WBRS", "d:Description": "100.1 MHz. Student-run free-form station at Brandeis University, Waltham; includes Webcast.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://www.wbrs.org/"} +{"d:Title": "WRBB 104.9, Boston MA", "d:Description": "at Northeastern University. Non-commercial. Mixed formats. \"Boston's Spice\"", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://wrbbradio.org/"} +{"d:Title": "WMBR 88.1 FM, Cambridge MA", "d:Description": "at MIT. Student-run. Eclectic music programming. Pacifica news affiliate.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Massachusetts", "url": "http://wmbr.org/"} +{"d:Title": "WDBM FM", "d:Description": "89 MHz. Michigan State University, East Lansing, MI. \"Impact 89 FM.\"", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Michigan", "url": "http://impact89fm.org/"} +{"d:Title": "TheFix.org", "d:Description": "Internet Only. Michigan State University, East Lansing, MI.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Michigan", "url": "http://www.thefix.org/"} +{"d:Title": "WCBN-FM Ann Arbor", "d:Description": "The University of Michigan Free-Form radio station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Michigan", "url": "http://www.wcbn.org/"} +{"d:Title": "WNMC", "d:Description": "90.7 MHz. Northwestern Michigan College, Traverse City. Jazz, blues, folk and alternative rock music; includes Webcast and message board.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Michigan", "url": "http://www.wnmc.org/"} +{"d:Title": "770 AM Radio K 106.5/107", "d:Description": "Student-run radio station of the University of Minnesota, playing an eclectic variety of old and new independent music.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Minnesota", "url": "http://www.radiok.org/"} +{"d:Title": "The U - UMSL Student Radio", "d:Description": "The U is a non-commercial student-run radio station that strives to offer unique programming while providing a service that is both educational and entertaining to the University of Missouri-Saint Louis community.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Missouri", "url": "http://www.umslradio.com/"} +{"d:Title": "KWUR 90.3FM", "d:Description": "Student-run multi-format station based at Washington University in St. Louis, MO.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Missouri", "url": "http://www.kwur.com/"} +{"d:Title": "WFRD 99.3 FM / Hanover, NH", "d:Description": "99 Rock is Dartmouth College's student-run commercial FM radio station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_Hampshire", "url": "http://www.wfrd.com/"} +{"d:Title": "WDCR", "d:Description": "1340 KHz. Student-run station of Dartmouth College, Hanover; includes webcast.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_Hampshire", "url": "http://www.dartmouth.edu/~wdcr/"} +{"d:Title": "WGLS 89.7 FM, Glassboro NJ", "d:Description": "from Rowan University. Student-run. Talk, rock and urban format. Live stream available [RA].", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_Jersey", "url": "http://wgls.rowan.edu/"} +{"d:Title": "WPRB 103.3 FM, Princeton NJ", "d:Description": "Student-run from Princeton University. Concert dates, record reviews, and show schedules. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_Jersey", "url": "http://www.wprb.com/"} +{"d:Title": "WBAR AM/FM", "d:Description": "87.9 MHz, 1680 KHz. Barnard College, New York, NY.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.wbar.org/"} +{"d:Title": "WVKR FM", "d:Description": "91.3 MHz. Vassar College, Poughkeepsie, NY.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.wvkr.org/"} +{"d:Title": "WPNR Alumni", "d:Description": "A site for the alumni of Syracuse University's WPNR 90.7fm", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://wpnralumni.tripod.com/"} +{"d:Title": "WPOB FM", "d:Description": "88.5MHz. Plainview Old-Bethpage Central School District, Plainview, NY. Official Site.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.angelfire.com/ny3/WPOB/"} +{"d:Title": "WHRW FM", "d:Description": "90.5 MHz. SUNY Binghamton, Binghamton, NY. Free format since 1966. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.whrwfm.org/"} +{"d:Title": "WKRB-FM Alumni", "d:Description": "A site for Alumni of WKRB-FM in Brooklyn.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.therhythmofthecity.com/"} +{"d:Title": "WPOB FM: We Don't Rock You", "d:Description": "88.5 MHz. Old-Bethpage JFK High School, Plainview, NY. Unofficial, \"humorous\" website maintained by alumnus of the station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.wpob.com/"} +{"d:Title": "WRPI FM", "d:Description": "91.5 MHz, Rensselaer Polytechnic Institute. News, public affairs and independent music; site features program guide, RealAudio streams, charts, station history and policies.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.wrpi.org/"} +{"d:Title": "WICB FM", "d:Description": "91.7 MHz. Ithaca College, Ithaca, NY.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.wicb.org/"} +{"d:Title": "WUSB FM", "d:Description": "90.1 MHz. SUNY Stony Brook, Stony Brook, NY. Non-commercial. Free-form format. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://wusb.fm/"} +{"d:Title": "WBSU FM", "d:Description": "89.1 HMz. SUNY Brockport, Brockport, NY. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.891thepoint.com/"} +{"d:Title": "WALF FM", "d:Description": "89.7 MHz, Alfred University. Eclectic music, talk, NPR news and events; schedule, studio photos, and MP3 and OGG streams.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://www.walf.fm/"} +{"d:Title": "WBCR AM", "d:Description": "590 KHz. Brooklyn College, Brooklyn, NY. Diverse music programming. Student-run.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "http://mywbcr.com/"} +{"d:Title": "WKCR FM", "d:Description": "89.9 MHz. Columbia University, New York, NY.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "https://www.cc-seas.columbia.edu/wkcr/"} +{"d:Title": "WSPN FM", "d:Description": "91.1 MHz. Skidmore College, Saratoga Springs, NY. Student/community-run radio station.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/New_York", "url": "https://academics.skidmore.edu/blogs/wspn/"} +{"d:Title": "WKNC 88.1 FM - Raleigh, NC", "d:Description": "NCSU's college radio station operates with 3000 watts of power. Offers a dj cam, real audio feed and message board.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/North_Carolina", "url": "http://wknc.org/"} +{"d:Title": "WXYC 89.3 FM Chapel Hill", "d:Description": "University of North Carolina at Chapel Hill. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/North_Carolina", "url": "http://www.wxyc.org/"} +{"d:Title": "WCSB, 89.3FM, Cleveland State University", "d:Description": "A student-operated radio station at Cleveland State University, broadcasting from northeast Ohio, and available on the web using the Audioactive software.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Ohio", "url": "http://www.wcsb.org/"} +{"d:Title": "WRUW FM", "d:Description": "91.1 MHz, Case Western Reserve University, Cleveland. Program guide, playlists, streaming audio, station history, underwriter credits, news and staff details.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Ohio", "url": "http://www.wruw.org/"} +{"d:Title": "WBWC FM", "d:Description": "88.3 MHz, Baldwin Wallace College, Berea. Modern rock; program guide, forum and live stream.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Ohio", "url": "http://www.wbwc.com/"} +{"d:Title": "WYSO FM", "d:Description": "91.3 MHz, Antioch College. Music and National Public Radio programming. Site features playlist, programming and events calendar.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Ohio", "url": "http://www.wyso.org/"} +{"d:Title": "WZIP-FM", "d:Description": "Student-run station and educational program at the University of Akron.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Ohio", "url": "http://www3.uakron.edu/wzip/"} +{"d:Title": "KLCC FM", "d:Description": "89.7 MHz. Lane Community College, Eugene, OR.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Oregon", "url": "http://www.klcc.org/"} +{"d:Title": "KPSU AM", "d:Description": "1450 kHz. Portland State University, Portland, OR. One of the only AM college radio stations left in the USA.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Oregon", "url": "http://www.kpsu.org/"} +{"d:Title": "KWVA FM", "d:Description": "88.1 MHz. University of Oregon, Eugene, OR. Eugene's choice for college radio.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Oregon", "url": "http://kwva.uoregon.edu/"} +{"d:Title": "KEOL FM", "d:Description": "91.7 MHz. Eastern Oregon University, La Grande, OR.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Oregon", "url": "http://www.eou.edu/keol/"} +{"d:Title": "KMHD FM", "d:Description": "89.1 MHz. Mount Hood Community College, Gresham, OR. Jazz", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Oregon", "url": "http://www.opb.org/kmhd/"} +{"d:Title": "KBVR FM", "d:Description": "88.7 MHz. Oregon State University, Corvallis, OR. A student-run college station dedicated to bringing non-commercial programming to the community.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Oregon", "url": "http://www.orangemedianetwork.com/kbvr_fm/"} +{"d:Title": "KWAX FM", "d:Description": "91.1 MHz. University of Oregon, Eugene, OR. A classical music station owned and operated by the University of Oregon", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Oregon", "url": "http://kwax.uoregon.edu/"} +{"d:Title": "WRMC - Greyhound Radio", "d:Description": "Web-only. Open-format student-run station at Moravian College, Bethlehem.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Pennsylvania", "url": "http://home.moravian.edu/students/org/wrmc/"} +{"d:Title": "WVYC", "d:Description": "99.7 MHz and 640 KHz. York College, York. Includes MP3 streams.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Pennsylvania", "url": "http://wvyc.ycp.edu/"} +{"d:Title": "WKPS 90.7 FM", "d:Description": "Radio at State College, PA. Gives schedules, programming, advertising information, live streams, events and other information.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Pennsylvania", "url": "http://thelion.fm/"} +{"d:Title": "WRIU FM", "d:Description": "90.3 MHz. University of Rhode Island, Kingston, RI.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Rhode_Island", "url": "http://www.wriu.org/"} +{"d:Title": "WSBF-FM Clemson University", "d:Description": "A student radio station on 88.1 mHz serving Upstate South Carolina with the diverse programming, Real Audio, streaming MP3 and webcam DJ performances.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/South_Carolina", "url": "http://wsbf.clemson.edu/"} +{"d:Title": "WUSC 90.5 FM", "d:Description": "From The University of South Carolina in Columbia, USC's latest by student volunteers, playing underground and alternative music with live streams.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/South_Carolina", "url": "http://wusc.sc.edu/"} +{"d:Title": "WMOT.org Jazz 89.5 FM", "d:Description": "Public radio based at Middle Tennessee State University.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Tennessee", "url": "http://www.wmot.org/"} +{"d:Title": "WMTS 88.3 FM, Murfreesboro TN", "d:Description": "at Middle Tennessee State University. Student-run. Pop/alternative format. Periodic webcasts (?)", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Tennessee", "url": "http://www.wmts.org/"} +{"d:Title": "WETS 89.5 FM", "d:Description": "Public Radio for Northeast Tennessee, Southwest Virginia, and Western North Carolina. A public service of East Tennessee State University", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Tennessee", "url": "http://www.etsu.edu/wets/"} +{"d:Title": "89.7 KACU", "d:Description": "National Public Radio for the Big Country - Member Supported 89.7 KACU Abilene, Texas.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://www.kacu.org/"} +{"d:Title": "KANM 1580 AM / 99.9 Cable FM, College Station TX", "d:Description": "Texas A&M University's only student run radio, with music ranging from indie and punk to techno and metal. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://kanm.tamu.edu/"} +{"d:Title": "KACV 90 FM, Amarillo TX", "d:Description": "from Amarillo College. Student run, pop/variety format. Commercial-free. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://www.kacvfm.org/"} +{"d:Title": "KTCU", "d:Description": "College radio from Texas Christian University", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://www.ktcu.tcu.edu/"} +{"d:Title": "KTRU", "d:Description": "Rice University radio.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://noise.ktru.org/"} +{"d:Title": "KTSW FM", "d:Description": "89.9 MHz, Texas State University at San Marcos. College alternative music and specialty shows; streaming audio, playlist, charts and chat room.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://ktsw.txstate.edu/"} +{"d:Title": "KSAU", "d:Description": "College Radio from Stephen F. Austin State University in Nacogdoches, TX. KSAU broadcasts at 3500 watts at 90.1 MHz.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://www2.sfasu.edu/aas/comm/ksau/"} +{"d:Title": "KNTU 88.1 FM, Denton TX", "d:Description": "at University of North Texas. Volunteer-run, pledge-based. Jazz format.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://kntu.com/"} +{"d:Title": "KAMU-FM Online", "d:Description": "Texas A&M University Radio.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Texas", "url": "http://kamu.tamu.edu/"} +{"d:Title": "KBYU 89 FM, Salt Lake City UT", "d:Description": "Hosted by Brigham Young University. Public radio, classical format. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Utah", "url": "http://www.kbyufm.org/"} +{"d:Title": "WRUV 90.1 FM", "d:Description": "Both students and community members, operating out of University of Vermont in Burlington.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Vermont", "url": "http://www.wruv.org/"} +{"d:Title": "WGMU 1370 AM, Fairfax VA", "d:Description": "George Mason University. Live stream available.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Virginia", "url": "http://wgmuradio.com/"} +{"d:Title": "WEMC", "d:Description": "91.7 MHz. Eastern Mennonite University, Harrisonburg. News, program guide and history.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Virginia", "url": "http://www.emu.edu/wemc/"} +{"d:Title": "WDCE 90.1 FM, Richmond VA", "d:Description": "University of Richmond. Student-run. Eclectic format.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Virginia", "url": "http://www.wdcefm.org/"} +{"d:Title": "KCWU 88.1 FM", "d:Description": "Known as the \"Burg,\" offers campus radio for Central Washington University.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Washington", "url": "http://www.881theburg.com/"} +{"d:Title": "WRGW", "d:Description": "George Washington University's station. Live audio, schedule, upcoming events, contact information, mailing list and online studio tour.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Washington,_DC", "url": "http://www.gwradio.com/"} +{"d:Title": "WGTB 92.3 FM", "d:Description": "Georgetown University student radio. Offers live webcast, station history and profile, program schedule, promotions, listener survey, music and artists, DJ services and contact information.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Washington,_DC", "url": "http://www.georgetownradio.com/"} +{"d:Title": "WVAU College Radio", "d:Description": "Student-run station of American University. Site offers live audio, schedule, staff, events and photos.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Washington,_DC", "url": "http://www.wvau.org/"} +{"d:Title": "WCUA 97.5 FM", "d:Description": "The student-run station of the Catholic University of America. Includes schedule, events, DJ profiles, personnel and contact information.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Washington,_DC", "url": "https://nest.cua.edu/organization/wcua"} +{"d:Title": "WVWC 92.1 FM, Buckhannon WV", "d:Description": "at West Virginia Wesleyan College. Student-run.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/West_Virginia", "url": "http://www.wvwc.edu/c92/"} +{"d:Title": "WSUM", "d:Description": "Internet only. University of Wisconsin, Madison, WI.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Regional/United_States/Wisconsin", "url": "http://www.wsum.org/"} +{"d:Title": "Beer For Breakfast", "d:Description": "CKUW 95.9FM radio show featuring reggae, Celtic, folk, traditional, alt.country, and local artists.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://beerforbreakfast.8k.com/"} +{"d:Title": "Messages", "d:Description": "A two-hour program of singer-songwriters which airs weekly on WFCF 88.5 FM in St. Augustine, Florida", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://wfcf.freeservers.com/"} +{"d:Title": "Crossroads", "d:Description": "A rock n' roll and country music show featuring national and local artists. On Western Carolina University's WWCU 90.5 FM.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.angelfire.com/nc2/virginartists/index02.html"} +{"d:Title": "Sonic Nightmare", "d:Description": "Hard rock and heavy metal show on University of Iowa KRUI, 89.7 FM.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.angelfire.com/music2/SonicNightmare/index.html"} +{"d:Title": "Steel Cage Radio: WEXP's Wrestling Resource", "d:Description": "Pro-wrestling news and views on La Salle University, Philadelphia 530 AM WEXP", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://steelcageradio.8k.com/"} +{"d:Title": "Rock 'N' Roll Juggernaut", "d:Description": "Punk rock music on UMFM, Winnipeg, Canada.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.rocknrolljuggernaut.8m.com/"} +{"d:Title": "Aural Fixation Online", "d:Description": "Sue Safton brings you psychedelic punk pop space fuzz garage rock from the 60's to the latest releases on WMBR - 88.1 FM.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.auralfixradio.org/"} +{"d:Title": "The Jam Sesch", "d:Description": "News and information about the jam-rock and jazz scene both nationally and locally. Concert announcements for Western New York.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://thejamsesch.tripod.com/"} +{"d:Title": "Late Night in the Livingroom", "d:Description": "Show broadcasts Mondays at 8 p.m. on CFBX 92.5 FM, Kamloops, with information about the show and its hosts, as well as the local bands featured.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.angelfire.com/music4/thelivingroom/"} +{"d:Title": "Center Stage", "d:Description": "Broadcasting on 91.3 FM, WVUD, Fridays from 3 - 5 p.m., University of Delaware, featuring regional and emerging musicians.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.angelfire.com/music4/centerstage/"} +{"d:Title": "Chimpage with Andy and Tom", "d:Description": "\"Chimpage\" was a student radio show, broadcasting slightly surreal comedy mixed in with the best new music.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://chimpage.tripod.com/chimpage"} +{"d:Title": "But Is It Art?", "d:Description": "Eclectic music show airing Mondays and Saturdays on WRSU 88.7 FM. Includes show overview and past playlists.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://home.earthlink.net/~coreygoldberg/radio/"} +{"d:Title": "Business in Motion", "d:Description": "Weekly show on CFMU 93.3 FM featuring interviews with entrepreneurs, executives, authors and business leaders. Includes host profile, past guests and advice for interviewees.", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://businessinmotion.ca/"} +{"d:Title": "Lestat's 120 Minutes of Gothic Music", "d:Description": "Gothic music from Europe and America on LIVE365 and Darksideradio.com", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.lestats120minutes.net/"} +{"d:Title": "This Way Out", "d:Description": "The International Lesbian and Gay Magazine", "topic": "Top/Arts/Radio/Formats/College_and_Educational/Shows", "url": "http://www.qrd.org/qrd/www/media/radio/thiswayout/"} +{"d:Title": "Boundary Waters Radio", "d:Description": "Streaming comedy and music plus original programs like Gag Reflex and 16 To Midnight.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://www.bwatersmedia.com/main.html"} +{"d:Title": "Shaken, Not Stirred", "d:Description": "Talk Radio, Comedy, Swing Music, Lounge Music, 1970's TV Parody's.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://www.shaken.net/"} +{"d:Title": "The Official Coyle and Sharpe Web Site", "d:Description": "Taped interviews, photos and articles from and about Jim Coyle and Mal Sharpe, two street pranksters of the early 1960s.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://www.coyleandsharpe.com/"} +{"d:Title": "WHAW: Redneck Radio!", "d:Description": "WHAW is a central West Virginia \"Redneck\" radio station specializing in local \"culture\". All hillbillies, hicks, and welfare recipients welcome.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://www.whawradio.com/"} +{"d:Title": "Right Between the Ears", "d:Description": "Award-winning, nationally broadcast(NPR)radio comedy show, satirizing popular culture and politics. Audio files of past programs.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://www.rightbetweentheears.org/"} +{"d:Title": "Comedy College", "d:Description": "Minnesota Public Radio program that features comedy greats such as Steve Martin, Bob Newhart, and Lucille Ball. Past programs for online listening.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://comedycollege.publicradio.org/"} +{"d:Title": "Le Show", "d:Description": "A unique mix of tunes and host Harry Shearer's satirical take on the week's news. From Public Radio KCRW California.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://www.kcrw.com/etc/programs/ls"} +{"d:Title": "24/7 Comedy", "d:Description": "On iHeartRadio. Features hosts, comedians and on air programs.", "topic": "Top/Arts/Radio/Formats/Comedy", "url": "http://www.247comedy.com/"} +{"d:Title": "FM89.1 Cantonese Broadcast Service", "d:Description": "The only Chinese radio program in Ottawa Canada, at CHUO FM89.1 or cable FM89.5.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Ethnic_Oriented", "url": "http://www.chuo.fm/"} +{"d:Title": "Community Broadcasting Association of Australia", "d:Description": "The CBAA is the peak body for community radio and television stations. They provide leadership, advocacy and support for members to actively provide independent broadcasting services and to build and strengthen local communities.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.cbaa.org.au/"} +{"d:Title": "Fresh FM - The Rhythm of Adelaide's Youth", "d:Description": "Dedicated dance station based at Adelaide, Australia. Streaming audio, gallery, links and event dates.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.freshfm.com.au/"} +{"d:Title": "Macarthur Community Radio 100.3FM", "d:Description": "A volunteer community radio station serving South Western Sydney with programs in 7 languages other than English and broadcasts all day every day.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://2mcr.org.au/"} +{"d:Title": "Noosa Community Radio", "d:Description": "Community Radio Station servicing the needs of the Sunshine Coast, Queensland, Australia. Music from all genres plus news, views and interviews.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.noosacommunityradio.org/"} +{"d:Title": "PBS 106.7 FM", "d:Description": "Melbourne's Progressive Broadcasting Station that dedicates itself to underrepresented music that needs to be heard. A diverse range of programs of many different genres.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.pbsfm.org.au/"} +{"d:Title": "Radio One RPH, Canberra, Australia", "d:Description": "Radio 1RPH broadcasts spoken readings of current print sources, as well as community and themed programs, as a service to the print handicapped community.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.radio1rph.org.au/"} +{"d:Title": "Three D Radio, Adelaide, Australia", "d:Description": "Listener-supported radio in South Australia focusing on local and female artists. Also jazz, radio plays, country, and talk.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.threedradio.com/"} +{"d:Title": "3CR Community Radio", "d:Description": "Community radio station in Melbourne. Includes a history of the station, information on how to volunteer, the annual report in PDF format, and a program guide.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.3cr.org.au/"} +{"d:Title": "Bellingen Community Access Radio, 2BBB-fm", "d:Description": "Community radio station 2bbb-fm is situated in the Bellingen Shire, NSW, Australia - on the mid-north coast.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.2bbb.net.au/"} +{"d:Title": "Radio 4CRB 89.3 FM", "d:Description": "Community radio station serving the Gold Coast, Queensland, Australia.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Australia", "url": "http://www.4crb.com/"} +{"d:Title": "Kootenay Co-op Radio, 93.5 FM", "d:Description": "Provides locally produced programming for Nelson, B.C., and the Kootenays. CJLY is a community based, volunteer run station broadcasting 75 watts at 93.5 FM.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Canada", "url": "http://kootenaycoopradio.com/"} +{"d:Title": "National Campus and Community Radio Association", "d:Description": "Non-profit national association of organizations and individuals committed to volunteer-based, community-oriented radio broadcasting in Canada.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Canada", "url": "http://www.ncra.ca/"} +{"d:Title": "Connemara Community Radio Online - Ireland", "d:Description": "Connemara Community Radio broadcasting on 87.8 FM&106.1 FM from Letterfrack Connemara Ireland to north-west Connemara.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/Ireland", "url": "http://www.connemarafm.com/"} +{"d:Title": "Plains FM 96.9", "d:Description": "Community radio station by, for, and about the communities of Canterbury, New Zealand. Weekly schedules plus music playlists.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/New_Zealand", "url": "http://plainsfm.org.nz/"} +{"d:Title": "PlanetFM 104.6", "d:Description": "Community access, multi-cultural radio for Auckland, Aotearoa, New Zealand. Includes station information and program schedule.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/New_Zealand", "url": "http://www.104.6planetfm.org.nz/"} +{"d:Title": "Community Radio Hamilton AM1206", "d:Description": "Providing a voice for all nationalities, faiths, genders, ages and interests. Station information, program guide.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/New_Zealand", "url": "http://www.communityradio.co.nz/"} +{"d:Title": "Fresh FM: New Zealand", "d:Description": "Fresh FM is a community access radio station, broadcasting across the top of New Zealand's South Island on 99.4 FM, 95.4 FM and 88.4FM, from studios in Nelson, Motueka and Takaka.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/New_Zealand", "url": "http://www.freshfm.net/"} +{"d:Title": "Wellington Access Radio", "d:Description": "Community radio serving Wellington at 783AM.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/New_Zealand", "url": "http://www.accessradio.org.nz/"} +{"d:Title": "Wymsey UK Community Radio", "d:Description": "Online-only station with live feed and archived audio.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_Kingdom", "url": "http://web.onetel.net.uk/~charlesivermee/"} +{"d:Title": "Trent Sound", "d:Description": "Online 24 hour local community radio for Nottingham", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_Kingdom", "url": "http://www.trentsound.com/"} +{"d:Title": "Unity 101 Community Radio", "d:Description": "Asian and Ethnic community radio station, Broadcasting from the heart of Southampton on 87.7FM and via the internet.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_Kingdom", "url": "http://unity101.org/"} +{"d:Title": "KFAI : Fresh Air Radio", "d:Description": "Current news, information, and links regarding KFAI, Fresh Air Radio 90.3FM Minneapolis and 106.7FM St. Paul.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kfai.org/"} +{"d:Title": "Pacifica Home Page", "d:Description": "Pacifica Radio, a pioneer in grass-roots, community broadcasting operates several stations in several major US cities.", "priority": "1", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.pacifica.org/"} +{"d:Title": "KBCS 91.3 FM", "d:Description": "KBCS 91.3 FM is a listener-supported, non-commercial radio station licensed to Bellevue Community College in Bellevue, Washington. Includes program schedule.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://kbcs.fm/"} +{"d:Title": "WWOZ New Orleans", "d:Description": "New Orleans' Jazz and Heritage Community radio station. Includes schedule, message board, Jazz and Heritage Festival information.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.wwoz.org/"} +{"d:Title": "KMUD- Redwood Community Radio", "d:Description": "Listener supported community radio based in Redway, CA. Serving rural listeners in Northern Mendocino and Humboldt Counties (CA).", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kmud.org/"} +{"d:Title": "KDHX FM 88.1 St. Louis Community Radio", "d:Description": "KDHX 88.1 FM is a non-commercial, listener supported community radio station offering a full spectrum music along with cultural and public affairs programming.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kdhx.org/"} +{"d:Title": "Ithaca Community Radio 88.1 FM", "d:Description": "Ithaca Community Radio is an initiative to create a non-commercial, community radio station for the Ithaca, NY area that will focus on news, issues and local culture.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.ithacaradio.org/"} +{"d:Title": "KAFM Community Radio", "d:Description": "88.1 FM-Grand Junction's community radio station.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kafmradio.org/"} +{"d:Title": "KBOO Community Radio", "d:Description": "Portland, Oregon's listener supported community radio station. Locally produced music, news, and public affairs programming serving listeners in the Portland Metro area at 90.7 FM, Columbia River Gorge at 91.9, and Willamette Valley at 100.7.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kboo.fm/"} +{"d:Title": "KEOS 89.1 FM Community Radio for the Brazos Valley", "d:Description": "All-volunteer, listener-sponsored, commercial-free, non-profit, locally-run, Community Radio for the Brazos Valley.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.keos.org/"} +{"d:Title": "KFOK LPFM Georgetown", "d:Description": "Low power FM community radio station serving Georgetown, California area. Includes program guide and community events calendar.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://kfok.org/"} +{"d:Title": "KGNU Community Radio", "d:Description": "Community Radio for Boulder, Colorado and the Front Range of the Rocky Mountains. KGNU broadcasts a diverse mix of news and musical programming.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kgnu.org/"} +{"d:Title": "KKCR Kauai Community Radio", "d:Description": "Hawaii's only community based non-commercial radio station broadcasting at 90.9 and 91.9 FM and livestreaming on the web.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kkcr.org/"} +{"d:Title": "KOOP 91.7 FM, Austin TX", "d:Description": "Community radio for Austin, Texas", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.koop.org/"} +{"d:Title": "KRCL 90.9 FM, Salt Lake City, UT", "d:Description": "Listener-supported Community Radio, serving the diverse needs of the Wasatch Front.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.krcl.org/"} +{"d:Title": "KRDR 90.1 FM Radio Questa NM", "d:Description": "Classic rock, oldies, Christian, Mowtown, variety, Fab 40s and 50s, Sock Hop, and news.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.krdr.com/"} +{"d:Title": "KSER 90.7 FM Adventurous Radio", "d:Description": "Community radio station serving Everett and Snohomish County Washington.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kser.org/"} +{"d:Title": "KSVR-91.7 FM in Mt Vernon", "d:Description": "KSVR-FM is a non-commercial student-owned and operated radio station licensed to the Board of Trustees of Skagit Valley College. Includes program schedule.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.ksvr.org/"} +{"d:Title": "KVMR 89.5FM- Music of the World. Voice of the Community. Nevada City, California", "d:Description": "Fostering positive social change by entertaining, informing, and educating our community through the presentation of diverse music, cultural, news, and public affairs programming.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kvmr.org/"} +{"d:Title": "KVNF: Mountain Grown Public Radio for Western Colorado", "d:Description": "Includes program guide, program archive, station info and history, events calendar.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kvnf.org/"} +{"d:Title": "KZFR Community Radio", "d:Description": "Local Community Radio that offers eclectic music and news mix. Serves Chico, California and surrounding areas.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kzfr.org/"} +{"d:Title": "KZMU: Moab Community Radio", "d:Description": "A grassroots, community, public radio station isolated amidst the dramatic landscapes of Canyonlands and the Colorado Plateau country.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kzmu.org/"} +{"d:Title": "KZYX&Z Listener Supported Community Radio for Mendocino County&Beyond", "d:Description": "Includes broadcast schedule, livestream link, station info, and community news.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.kzyx.org/"} +{"d:Title": "Lake City Radio&Television", "d:Description": "Broadcasting over channel 13 over the local cable TV Reader Board. Serving Lake City and Hinsdale County, Colorado.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://lakecityradio.tripod.com/"} +{"d:Title": "National Federation Of Community Broadcasters", "d:Description": "A national (USA) alliance of stations, producers, and others committed to community radio.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.nfcb.org/"} +{"d:Title": "NCPR, Canton NY", "d:Description": "North Country Public Radio with repeaters throughout the upstate NY area. Public radio, listener supported. Talk/contemporary format.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.northcountrypublicradio.org/"} +{"d:Title": "KRFC 88.9 FM-Homegrown Radio for Northern Colorado", "d:Description": "Community Radio for the Front Range-Fort Collins, Colorado. Program schedule, station information, discussion forum.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://krfcfm.org/"} +{"d:Title": "WAIF FM 88.3", "d:Description": "Community radio station in Cincinnati, Ohio. Includes station background, program guide, membership information, and live online MP3 stream.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.waif883.org/"} +{"d:Title": "WBAI 99.5FM", "d:Description": "Pacifica Radio in New York City. Includes program guide, news, station information.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.wbai.org/"} +{"d:Title": "WDFH-FM 90.3", "d:Description": "Community radio for the lower Hudson River valley of New York.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://wdfh.org/"} +{"d:Title": "WEFT 90.1 FM, Champaign, IL", "d:Description": "Community Radio for East-Central Illinois. Includes program schedule, station information.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://weft.org/"} +{"d:Title": "WERU Community Radio", "d:Description": "Community-based, non-commercial radio service for folks from Bangor to Blue Hill, ME.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.weru.org/"} +{"d:Title": "WFHB 91.3&98.1FM Community Radio of Bloomington, Indiana", "d:Description": "WFHB Fire House Broadcasting serves to celebrate and increase the local cultural diversity while providing a neutral forum for issues and ideas.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.wfhb.org/"} +{"d:Title": "WMNF 88.5FM", "d:Description": "Listener-supported independent radio serving west central Florida and beyond. Included program guide, community events calendar, station information.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.wmnf.org/"} +{"d:Title": "WMPR 90.1", "d:Description": "A radio station managed by civil rights activist Charles Evers in Jackson, Mississippi, remains committed to providing spiritual nourishment to the community.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://wmpr901.com/"} +{"d:Title": "WORT 89.9 FM, Madison WI", "d:Description": "Listener-sponsored community radio. Station information, program guide and schedule.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.wort-fm.org/"} +{"d:Title": "WYCE 88.1FM - West Michigan community radio", "d:Description": "Includes news, concert schedules, program guide.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.wyce.org/"} +{"d:Title": "WMMT 88.7FM- Mountain Community Radio", "d:Description": "Music, culture, and social issues serving the coalfield communities and the Appalachian region as a whole.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://www.wmmt.org/"} +{"d:Title": "KAOS Community Radio, Olympia, Washington (State), USA", "d:Description": "Independent music, global news, and local voices. KAOS has been bringing listeners an independent alternative sound every day since 1973.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Regional/United_States", "url": "http://kaosradio.org/"} +{"d:Title": "Hobby Broadcasting", "d:Description": "A quarterly publication, dedicated to do-it-yourself radio and TV broadcasting of all types.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Resources", "url": "http://www.hobbybroadcasting.com/"} +{"d:Title": "Australian Community Broadcasting Online", "d:Description": "Community radio and television stations promote independent Australian music and culture, alternative views, ethnic and indigenous cultures. The CBOnline gateway celebrates volunteer powered media with attitude.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Resources", "url": "http://www.cbonline.org.au/"} +{"d:Title": "Community Radio Info and Links", "d:Description": "Links and information about Community Radio Stations across the United States.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Resources", "url": "http://www.eagle973.com/community.html"} +{"d:Title": "The Community Radio Webring", "d:Description": "The Community Radio Webring. Linking community radio websites.", "topic": "Top/Arts/Radio/Formats/Community_Radio/Resources", "url": "http://www.webring.org/hub?ring=comrad"} +{"d:Title": "Nostalgia Page", "d:Description": "Radio shows and special events.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.lofcom.com/nostalgia/"} +{"d:Title": "Simply Radio Script", "d:Description": "scripts from popular radio programs", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.simplyscripts.com/radio.html"} +{"d:Title": "Yesterday USA Radio Networks", "d:Description": "old time radio listening online", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.yesterdayusa.com/"} +{"d:Title": "Quiet Please", "d:Description": "1940s radio series titled Quiet, Please", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.quietplease.org/"} +{"d:Title": "Mercury Theatre of the Air", "d:Description": "recordings of the 1930's radio show created by Orson Welles in Real Audio format", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.mercurytheatre.info/"} +{"d:Title": "Echoes of the Past", "d:Description": "World War II recordings", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://freepages.genealogy.rootsweb.ancestry.com/~irvmitchell/gene/echoes.html"} +{"d:Title": "Escape Suspense", "d:Description": "broadcasts of Escape&Suspense", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.escape-suspense.com/"} +{"d:Title": "Great Detectives in Old Time Radio", "d:Description": "Old radio detectives from their first episode through their final bow.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.greatdetectives.net/"} +{"d:Title": "SpartaOTR", "d:Description": "Radio logs and old time radio shows", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.spartaotr.com/"} +{"d:Title": "Bobby's Digital OTR - Old Time Radio", "d:Description": "Buy, Sell, Trade OTR Old-Time Radio Programs in MP3 format on CDs.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.bobbysotr.com/"} +{"d:Title": "Radio Mystery Theater", "d:Description": "Free show downloads, online episode guide,&streaming CBS Mystery Theater in MP3.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.cbsrmt.com/"} +{"d:Title": "Old Time Radio Downloads", "d:Description": "Old time radio downloads&streaming OTR shows.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://oldtimeradiodownloads.com/"} +{"d:Title": "Great Gildersleeve", "d:Description": "The Great Gildersleeve old time radio show starring Harold Peary and Willard Waterman.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.greatgildersleeve.com/"} +{"d:Title": "Fibber McGee and Molly", "d:Description": "Fibber McGee and Molly old time radio show starring Jim&Marian Jordan.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.fibbermcgeeandmolly.com/"} +{"d:Title": "Generic Radio Scripts", "d:Description": "radio scripts from the Golden Age of Radio", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.genericradio.com/"} +{"d:Title": "Dragnet: Badge 714", "d:Description": "Jack Webb's career in Dragnet's radio, TV, and film productions.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.badge714.org/"} +{"d:Title": "Old Radio", "d:Description": "Today in old radio history blog including streaming shows.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.oldradio.org/"} +{"d:Title": "Old Time Radio Shows", "d:Description": "Blog about historical recordings, radio quiz, comedy, detective and musical radio broadcasts.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.oldtimeradioshows.com/"} +{"d:Title": "I Was A Communist for the FBI", "d:Description": "radio and film based on the controversial true life of Matt Cvetic. Film and radio starring Frank Lovejoy and Dana Andrews.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.iwasacommunistforthefbi.com/"} +{"d:Title": "Boston Blackie", "d:Description": "detective radio program, Boston Blackie: \"Enemy to those who make him an enemy. Friend to those who have no friend.\"", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.bostonblackie.org/"} +{"d:Title": "Candy Matson", "d:Description": "Candy Matson, female detective, was a response to all the hard-boiled detectives from the 1940's", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.candymatson.com/"} +{"d:Title": "Old Radio Shows", "d:Description": "favorite old time radio shows and broadcasts including rare programs in streaming MP3 format.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.oldradioshows.org/"} +{"d:Title": "Golden Age of Radio", "d:Description": "Articles and texts about old time radio", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio", "url": "http://www.goldenageradio.com/"} +{"d:Title": "Rudy Vallee", "d:Description": "Rudy Vallee the famous megaphone crooner of the 1930.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.rudyvallee.com/"} +{"d:Title": "Jessica Dragonette", "d:Description": "Rare photos, clippings, trivia and songs of the legendary actress.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://jessicadragonette.com/"} +{"d:Title": "Fred Allen", "d:Description": "Homage to life of humorist and old time radio performer Fred Allen.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.fredallen.org/"} +{"d:Title": "Virginia Gregg", "d:Description": "Old time radio voice actress and film star.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.virginiagregg.com/"} +{"d:Title": "Gerald Mohr", "d:Description": "prolific radio, tv, and film actor.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.geraldmohr.com/"} +{"d:Title": "Gale Gordon", "d:Description": "Radio voice actor and TV star on I Love Lucy", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.galegordon.com/"} +{"d:Title": "Eve Arden", "d:Description": "Old time radio, television, and film actress.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.evearden.com/"} +{"d:Title": "Frank Lovejoy", "d:Description": "film and radio star played roles in Nightbeat, This is Your FBI and I Was a Communist for the FBI.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.franklovejoy.com/"} +{"d:Title": "Orson Welles", "d:Description": "Best known for his airing of \"War of the Worlds\", Orson Welles made numerous appearances during his magnificent radio career.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.orsonwelles.org/"} +{"d:Title": "Errol Flynn", "d:Description": "In Like Flynn! A fan site of Errol Flynn: radio, film, and stage star.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.errolflyn.com/"} +{"d:Title": "Aimee McPherson", "d:Description": "radio preacher and first woman to own her own radio station, Aimee Semple McPherson.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://aimeemcpherson.com/"} +{"d:Title": "Kay Starr", "d:Description": "radio songstress and recording artist.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.kaystarr.org/"} +{"d:Title": "Edward Murrow", "d:Description": "Legendary radio commentator and news journalist.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.edwardmurrow.com/"} +{"d:Title": "Dick Powell", "d:Description": "Radio star of Rogues Gallery, Richard Diamond, and film star, Richard \"Dick\" Powell.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.dickpowell.net/"} +{"d:Title": "Father Coughlin", "d:Description": "Father Charles Coughlin, radio priest.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.fathercoughlin.com/"} +{"d:Title": "Victor Borge", "d:Description": "Musician, comedian, old time radio, film and movie star.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://victorborge.org/"} +{"d:Title": "Dorothy Kilgallen", "d:Description": "Journalist, game-show panelist, Investigator of the Kennedy assassination; friend of Frank Sinatra and confidante of Johnnie Ray.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.dorothykilgallen.com/"} +{"d:Title": "Cary Grant", "d:Description": "Cary Grant's radio show performances.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.carygrant.net/"} +{"d:Title": "Phil Harris", "d:Description": "bandleader and singer, Harris was a voice actor, radio star, and movie actor.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Radio_Stars", "url": "http://www.philharris.org/"} +{"d:Title": "Old Time Radio Fan", "d:Description": "Streaming classic detective and mysteries shows.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Streaming_MP3_Stations", "url": "http://www.otrfan.com/"} +{"d:Title": "Rat Patrol Radio", "d:Description": "Swing and big band music of the 1930s to 1945, with authentic WWII-era radio clips.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Streaming_MP3_Stations", "url": "http://www.ratpatrolradio.com/"} +{"d:Title": "OTR Now", "d:Description": "Radio programming webcast and store.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Streaming_MP3_Stations", "url": "http://www.otrnow.com/"} +{"d:Title": "Horror Theatre", "d:Description": "Featuring ghost, weird, and murder stories.", "topic": "Top/Arts/Radio/Formats/Old-Time_Radio/Streaming_MP3_Stations", "url": "http://www.horror-theatre.com/"} +{"d:Title": "Pirate Radio Central", "d:Description": "A resource for information about Pirate Radio, including many links.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.blackcatsystems.com/radio/pirate.html"} +{"d:Title": "The Offshore Radio Guide", "d:Description": "A guide to offshore radio related topics with up-to-date news items, history, sound files, pictures and more than 400 links to offshore radio websites.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.offshore-radio.de/"} +{"d:Title": "Pirate Radio", "d:Description": "Offers software to broadcast any kind of radio show over the Internet from a personal PC.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.pirateradio.com/"} +{"d:Title": "Beat Radio", "d:Description": "Making its debut in July, 1996, only to be shut down 3 months later, Beat Radio continues to fight the FCC for the right to broadcast on a low-power unused frequency in Minneapolis/St. Paul, Minnesota.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.beatworld.com/"} +{"d:Title": "Anorak's Archive", "d:Description": "Alternative radio archive from the 1960's onwards. Caroline, Veronica and Radio City from the offshore era and Jackie and Radio Fax from the land based scene to name a few.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.cracksman.connectfree.co.uk/"} +{"d:Title": "Net420 Radio", "d:Description": "Website is hub for several projects of Net420, including pirate radio, Canyon Lake Radio legal developments, web radio, and Guru Klyph's \"Virtual Religion\". News, links, audio.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://texasradiopirate.tripod.com/net420/"} +{"d:Title": "MonsterFM.com", "d:Description": "Resources for broadcast technical services, programming, management, and information.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://monsterfm.com/"} +{"d:Title": "The Official Pirate Radio Kit Site", "d:Description": "Pirate Radio, FM transmitter kits, and circuits.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://members.tripod.com/~transmitters/"} +{"d:Title": "PowerFM", "d:Description": "Dublin's longest running dance pirate radio station on 97.2 FM.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.powerfm.org/"} +{"d:Title": "TDP", "d:Description": "Links to free and pirate radio stations broadcasting on shortwave frequencies.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.shortwave.be/pir.html"} +{"d:Title": "SterlingTimes: Pirate Pages", "d:Description": "Pirate radio from the 1930s onwards: sponsored, continental, detector vans, offshore, and land based pirate radio.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.sterlingtimes.co.uk/pirate.Html"} +{"d:Title": "Sterling Times: British Broadcasting and Regulation", "d:Description": "Rough draft of data collected from a number of sources with a focus on how British governments have regulated radio from the outset.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.sterlingtimes.co.uk/broadcasting.htm"} +{"d:Title": "Pirate Radio Hall of Fame", "d:Description": "An A to Z of the broadcasters who worked on the British offshore \"pirate\" radio stations of the sixties. It includes biographies, photos, audio examples of their work and, where possible, news of where they are now.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.offshoreradio.co.uk/"} +{"d:Title": "Pirate Radio Alfa Lima International", "d:Description": "Free radio station with regular world wide broadcasts via a number of shortwave radio frequencies.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.alfalima.net/"} +{"d:Title": "Pirate Alley UK", "d:Description": "A retrospective look at the days of offshore radio from the 1960s thru to 1980s.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.thunderbaynet.com/pirate/"} +{"d:Title": "Alan Sane WBCQ", "d:Description": "The story about pirate radio WMAD in Brooklyn, New York. Alan Sane, Jack Hammer and Ivan Jefferies. Now doing radio on WBCQ 7.415 on shortwave radio.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://alansane.homestead.com/alansane.html"} +{"d:Title": "ZFM Sheffield", "d:Description": "Probably the worst pirate radio station ever to grace the airwaves of South Yorkshire", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.mf2fm.com/zfm/"} +{"d:Title": "Pirate Sports Talk Radio", "d:Description": "Daily coverage of sports, events and all things Los Angeles", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.jimmychurchradio.com/"} +{"d:Title": "About.com: Pirate Radio For Listening And Broadcast", "d:Description": "Articles and links.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://radio.about.com/od/pirateradi1/"} +{"d:Title": "Amatuer Radio Station W6DEK", "d:Description": "Dennis in Seal Beach, California.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.w6dek.com/"} +{"d:Title": "Yellowbeard's Gashy Website", "d:Description": "Pirate Radio information, history, electronics and circuit diagrams; includes technical information for bugs, filters and high power transmitters.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://homepage.eircom.net/~yellowbeard/"} +{"d:Title": "Radio Caroline 1976-80", "d:Description": "A listener's tribute to the station. Includes album A-Z, playlists, and audio files.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "https://sites.google.com/site/memories963/"} +{"d:Title": "KBC Radio", "d:Description": "Broadcasts legitimately from a transmitter near Vilnius in Lithuania from 21.30 to 22.30 UTC daily on 6055kHz shortwaves. Monday to Friday The Wolfman Jack Show.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.kbcradio.eu/"} +{"d:Title": "The Free Radio Forum", "d:Description": "Message boards for Pirate Radio, Part 15, LPAM and FM, Technical discussion.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://darkliferadio.proboards.com/"} +{"d:Title": "REC Networks", "d:Description": "Provides initial frequency search data for LPFM applicants, news and information.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://recnet.com/"} +{"d:Title": "Pirate Radio 104.7 FM", "d:Description": "Pirate 104.7 FM Greeley Area Radio: Pirate Radio Where The Legends Live.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.pirate1047.com/"} +{"d:Title": "Hamilton Rangemaster AM1000", "d:Description": "Maker of the LPAM AM1000 RangeMaster low power Part 15 AM transmitter. Broadcast using their AM transmitter and get long range and high fidelity sound. Leave Pirate radio and start a legal radio station and enjoy broadcasting.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.am1000rangemaster.com/"} +{"d:Title": "Power 90.3 FM Ormoc - WBC Philippines", "d:Description": "The Most Listen To, FM Radio In Ormoc City", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.hotfmormoc.com/"} +{"d:Title": "Free Radio Cafe", "d:Description": "Forums devoted to pirate radio listening and broadcasting on shortwave and FM radio. Forums, chatrooms, loggings, QSL gallery, download library.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://freeradiocafe.com/"} +{"d:Title": "KE6RRI 147.435 Website", "d:Description": "Repeater in Los Angeles, California area.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.my435.com/"} +{"d:Title": "Electric FM", "d:Description": "Take a nostalgic look at London's short lived free rock and pop radio station.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://www.raidersfm.co.uk/elec/"} +{"d:Title": "Radio Syd", "d:Description": "Pictures, sounds and information about the legendary Radio Syd, which operated outside Sweden until 1966.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "http://radiohobby.se/offshoreradio/radiosyd/"} +{"d:Title": "Liquid Radio - 97.7 FM", "d:Description": "Based in southern Minnesota. News, events, pictures, station information, and live webcast.", "topic": "Top/Arts/Radio/Formats/Pirate_Radio", "url": "https://www.facebook.com/LiquidRadio"} +{"d:Title": "Highgate Cemetery - The Sexton's Tales", "d:Description": "Based on the BBC Radio series, 'The Sexton's Tales', offers a biographical tour of one of the world's most famous graveyards, Highgate Cemetery", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://tales.co.uk/"} +{"d:Title": "Dead Air Show", "d:Description": "A program on Carthage College's, `The Wave 107.3'. Airs only on cable, Thursday nights from 12-2am, with hosts Won-Cho and The Train .", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://dead-aire.tripod.com/"} +{"d:Title": "New Dimensions Broadcasting Network", "d:Description": "An independent, listener-supported producer and distributor of public radio and shortwave programs which present views from diverse traditions and cultures.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.newdimensions.org/"} +{"d:Title": "Cruise N' Good", "d:Description": "Thanks for visiting the official CNG website-with audio clips and updates on the program.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.cruiseandgood.com/"} +{"d:Title": "Carosello Italiano with Joe Capogreco", "d:Description": "A bilingual radio program for the Rochester Italian speaking community heard every Sunday from 9:00 am to 12:00 noon on AM 1460, WWWG.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://italiancarousel.net/"} +{"d:Title": "Aktina", "d:Description": "A bilingual Greek/English simulcast via WNYE 91.5 radio and on the internet. The program of Greek music, news from Greece and Cyprus and entertainment is heard Saturday 3:30-8:00 PM EST and Sunday from 8:00 to 9:30 PM EST.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.aktina.org/"} +{"d:Title": "Tribune Radio Networks", "d:Description": "Information about syndicated agricultural programs hosted by Orion Samuelson and Max Armstrong, also Chicago Cubs baseball on the Chicago Cubs/Tribune Radio Network.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.tribuneradio.com/"} +{"d:Title": "The Pat Pack", "d:Description": "Information about KOMO Seattle's Pat Cashman Morning Show which can be heard 5:30 - 9:00 on weekdays and also via the web.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.patpack.org/"} +{"d:Title": "Between The Lines", "d:Description": "An alternative radio magazine, giving interviews with progressive activists. Associated Press award-winning program covers social justice, political and economic topics.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.btlonline.org/"} +{"d:Title": "Something You Should Know", "d:Description": "Radio programs providing interesting and useful information on work, families, love, health, science, and wealth.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.somethingyoushouldknow.net/"} +{"d:Title": "The A-infos Radio Project", "d:Description": "Archive to share radio programs via the Internet. Focus on process and content not done in corporate media.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.radio4all.net/"} +{"d:Title": "E-town", "d:Description": "A radio variety show with musicians, authors, environmental activists and community volunteer organizers. Provides a directory of stations carrying the event live, and also RealAudio archives.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.etown.org/"} +{"d:Title": "The Big Band Broadcast", "d:Description": "Specialty radio program offering music of the Big Band era. Heard in the greater New York metropolitan area on 90.3FM WHPC, Saturdays from 10PM-1AM.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://www.bigbands.org/"} +{"d:Title": "The Connection", "d:Description": "Links curious, engaged, listeners to street-smart conversations about events and ideas that challenge the nation and broaden its culture.", "topic": "Top/Arts/Radio/Formats/Programs", "url": "http://archives.wbur.org/theconnection/"} +{"d:Title": "Radio Bilingue", "d:Description": "Radio Bilingue, a non-profit radio network with Latino control and leadership is the only national distributor of Spanish-language programming in public radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://radiobilingue.org/"} +{"d:Title": "United Nations Radio", "d:Description": "Daily news broadcast (Monday through Friday) in text and streaming audio formats. Archives available.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.unmultimedia.org/radio/"} +{"d:Title": "The Public Radio Exchange", "d:Description": "Provides facilities for exchanging audio content between public radio stations, including licensing services, downloadable material, streaming audio, and programming suggestions.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.prx.org/"} +{"d:Title": "Current Online", "d:Description": "A biweekly newspaper about Public Television and Public Radio in the United States.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.current.org/"} +{"d:Title": "Central Michigan University - Center for Public Broadcasting", "d:Description": "News and information; classical, jazz and traditional music.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.wcmu.org/"} +{"d:Title": "WLRN Public Radio and Television", "d:Description": "WLRN Public Radio and Television are National Public Radio and PBS member stations licensed to the School Board of Miami-Dade County, serving South Florida - from Broward County to the Florida Keys.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.wlrn.org/"} +{"d:Title": "KEDT", "d:Description": "A shared site for South Texas Public Broadcasting System, Inc., KEDT Radio and Television.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.kedt.org/"} +{"d:Title": "Hearts of Space", "d:Description": "New age music radio show.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://hos.com/"} +{"d:Title": "WDFH-FM 90.3 Ossining, NY", "d:Description": "Community radio for the lower Hudson River valley of New York", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://wdfh.org/"} +{"d:Title": "New Dimensions", "d:Description": "Explores subjects such as social, political, scientific, ecological, and spiritual frontiers through deep dialogues.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.newdimensions.org/"} +{"d:Title": "WAMC/Northeast Public Radio", "d:Description": "WAMC/Northeast Public Radio is a regional public radio network serving parts of seven northeastern United States.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.wamc.org/"} +{"d:Title": "WBUR 90.9 mHz", "d:Description": "Boston's NPR station broadcasts New England News and related programs and features programs such as Only A Game, Here and Now, The Connection, BBC News and BBC World Service programs overnight.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.wbur.org/"} +{"d:Title": "Echoes", "d:Description": "Home of Echoes, a nightly music soundscape heard on public radio stations across the United States.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.echoes.org/"} +{"d:Title": "Vermont Public Radio", "d:Description": "News, program schedules, and information about Vermont's statewide public radio network.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.vpr.net/"} +{"d:Title": "CKUA Radio Network", "d:Description": "A public broadcaster, specializing in eclectic and educational radio programming. Edmonton and Calgary, Alberta.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.ckua.org/"} +{"d:Title": "RadioEssays.Com", "d:Description": "Central Virginia public radio essayist Janis Jaquith's website. Here you can find her essays in text and audio formats.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.radioessays.com/"} +{"d:Title": "PublicRadioFan.com", "d:Description": "Features a database of program listings for hundreds of public radio stations around the world. Includes audio links.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.publicradiofan.com/"} +{"d:Title": "Lichtenstein Creative Media", "d:Description": "An Internet newsletter: news about their current programs.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.lcmedia.com/"} +{"d:Title": "WMKV Big Band Nostalgia Radio - Cincinnati", "d:Description": "From Maple Knoll Village Retirement Center, member-supported, educational, public radio broadcasting music and talk dealing with seniors, aging, Health and Wellness.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://wmkvfm.org/"} +{"d:Title": "Atlantic Public Media", "d:Description": "Non-profit corporation founded in 1993, providing public broadcasting to Cape Cod, Nantucket and Martha's Vineyard since 2000. List of staff and board members, link to news.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.atlantic.org/"} +{"d:Title": "Radio New Zealand", "d:Description": "Provides an overview of the separate networks and links to them, national frequency guide, sound archives, and links to streaming audio.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.radionz.co.nz/"} +{"d:Title": "MediaRites", "d:Description": "Multicultural non-profit production organization based in Portland, Oregon, creating theatre and Internet visuals as well as radio shows in support of alternative lifestyles. Audio/visual archives.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.mediarites.org/"} +{"d:Title": "WEOS Geneva, NY Public Radio", "d:Description": "WEOS is a broadcast service of Hobart and William Smith Colleges, with NPR, PRI, Pacifica programming, new music, and local event coverage.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.weos.org/"} +{"d:Title": "Transom - A Portal for Public Radio", "d:Description": "A showcase and workshop for creating new work for public radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://transom.org/"} +{"d:Title": "WDRP&WDPG - Dayton Public Radio", "d:Description": "The Voice of Arts in Dayton, Ohio FM 88.1 West Carrolton - Dayton, FM 89.9 WDPG Greenville", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.dpr.org/"} +{"d:Title": "KAZU 90.3 FM", "d:Description": "Serves the Monterey area in California. Affiliated with PRI.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.kazu.org/"} +{"d:Title": "KSUT", "d:Description": "Affiliate of the National and Public Radio International and AIROS Networks in Ignacio, Colorado.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.ksut.org/"} +{"d:Title": "Current.org - Public Broadcasting's History", "d:Description": "Timeline of developments in public TV and radio in the United States.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.current.org/history/"} +{"d:Title": "The Association of Independents in Radio (AIR)", "d:Description": "Professional association of audio producers offers membership categories, podcast, mailing list, and member directory.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.airmedia.org/"} +{"d:Title": "Long Haul Productions", "d:Description": "Non-profit company distributing audio stories of everyday lives produced by Dan Collison and Elizabeth Meister. Extensive audio archives, with images.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.longhaulpro.org/"} +{"d:Title": "Sounds Eclectic", "d:Description": "Los Angeles radio station KCRW takes its popular alternative music programming, Morning Becomes Eclectic, to the web with a best-of version of the latest artists, live performances, and interactive approach to radio online.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.kcrw.com/music/programs/sc"} +{"d:Title": "Democracy Now", "d:Description": "Daily independent news program, hosted by Amy Goodman and Juan Gonzalez, airing on over 800 stations.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.democracynow.org/"} +{"d:Title": "StarDate", "d:Description": "The public education and outreach arm of the University of Texas McDonald Observatory. English and Spanish radio programs air daily.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://stardate.org/"} +{"d:Title": "West Coast Live", "d:Description": "A fully live national 2-hour radio variety show hosted every weekend by Sedge Thomson and featuring author interviews, music, and comedy.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.wcl.org/"} +{"d:Title": "Soundprint", "d:Description": "Radio stories ranging from hard investigative to the evocative experiential documentary.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://soundprint.org/"} +{"d:Title": "Radio Reader", "d:Description": "Dick Estell brings newly published books into the homes and automobiles of America for 30 minutes each day.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.radioreader.net/"} +{"d:Title": "The Capitol Steps", "d:Description": "Information on the troupe's radio shows, broadcast four times a year.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.capsteps.com/radio/"} +{"d:Title": "Hitchhiking Off the Map", "d:Description": "Weekly interview program with dramatic sketches produced by The Independent Eye, on the theme of personal journeys. Audio archive of all programs; CDs available.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.independenteye.org/episodearchive.html"} +{"d:Title": "Radio Georgia Public Broadcasting", "d:Description": "Station map, program list, schedule and live broadcast stream", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.gpb.org/radio"} +{"d:Title": "The Story", "d:Description": "News show produced by North Carolina Public Radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.thestory.org/"} +{"d:Title": "Performance Today", "d:Description": "American Public Media's Performance Today features live concerts by famous artists in concert halls around the globe and from the American Public Media studios as well as interviews, news and features. Performance Today audiences, on any given day, may hear from performances in the great concert halls of New York, Prague, London, Berlin and Paris.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://performancetoday.publicradio.org/"} +{"d:Title": "On Point", "d:Description": "Daily evening news program.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://www.wbur.org/onpoint"} +{"d:Title": "The Kojo Nnamdi Show", "d:Description": "Two-hour interview program about social, political and cultural issues.", "topic": "Top/Arts/Radio/Formats/Public_Radio", "url": "http://thekojonnamdishow.org/"} +{"d:Title": "Pipedreams", "d:Description": "Companion site to the popular radio program. Schedule, list of radio outlets, details of instruments featured.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://pipedreams.publicradio.org/"} +{"d:Title": "Saint Paul Sunday", "d:Description": "Weekly classical music program. Information about the show and its recent and upcoming musical guests.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://saintpaulsunday.publicradio.org/"} +{"d:Title": "Minnesota Orchestra", "d:Description": "Broadcasts of the Minnesota Orchestra hosted by Brian Newhouse.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://minnesota.publicradio.org/radio/programs/minnesota_orchestra/"} +{"d:Title": "St. Paul Sunday: Mixed Company", "d:Description": "A behind-the-scenes look at this classical music show.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.publicradio.org/columns/sunday/rss.xml"} +{"d:Title": "Saint Paul Sunday: Mixed Company", "d:Description": "A behind-the-scenes look at this classical music show, by its staff.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.publicradio.org/columns/sunday/"} +{"d:Title": "Weekend America", "d:Description": "A two-hour program designed to fit the weekend state of mind which features short, entertaining segments on newsmakers, issues of the day and the arts.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://weekendamerica.publicradio.org/"} +{"d:Title": "Classical 24", "d:Description": "Nationally syndicated classical music service, produced by Minnesota Public Radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://music.minnesota.publicradio.org/programs/c24/"} +{"d:Title": "Word for Word", "d:Description": "Weekly program highlighting a speech on topics in the news. Host profile and current episode audio stream and podcast.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://wordforword.publicradio.org/"} +{"d:Title": "Sustainability", "d:Description": "Features and reports on the subject from \"Marketplace,\" \"Marketplace Money,\" \"Speaking of Faith\" and \"Weekend America.\"", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://sustainability.publicradio.org/"} +{"d:Title": "The Splendid Table", "d:Description": "Companion to the radio series which airs weekends nationwide (USA), providing listeners and browsers abundant information on food preparation, appreciation, and culture.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.splendidtable.org/"} +{"d:Title": "American RadioWorks", "d:Description": "Offers in-depth coverage of current events with a program archive going back to 1996.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.americanradioworks.org/"} +{"d:Title": "A Prairie Home Companion", "d:Description": "Weekly live talk show hosted by Garrison Keillor. Includes Real Audio clips, pictures, show rundowns, biographies, and shopping.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://prairiehome.org/"} +{"d:Title": "The Writer's Almanac", "d:Description": "Brief biographies and notable events associated with writers and particular days; aired and published weekly by Garrison Keillor and Minnesota Public Radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://writersalmanac.org/"} +{"d:Title": "Minnesota Public Radio", "d:Description": "Operates a regional network of 34 stations (not all of which are in Minnesota) and is a major station-based producer of public radio programs.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.mpr.org/"} +{"d:Title": "Saint Paul Chamber Orchestra", "d:Description": "Regularly broadcast on Minnesota Public Radio classical music stations.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.classicalmpr.org/program/the-saint-paul-chamber-orchestra"} +{"d:Title": "Marketplace", "d:Description": "Public radio business and economic news and commentary.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.marketplace.org/"} +{"d:Title": "American Public Media", "d:Description": "Producer and national distributor of programs that include \"A Prairie Home Companion\" and \"Marketplace.\" Links to program information and contact details.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Minnesota_Public_Radio", "url": "http://www.americanpublicmedia.org/"} +{"d:Title": "RadioScout", "d:Description": "Search engine for public radio content.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://radioscout.org/"} +{"d:Title": "NPR Online- National Public Radio", "d:Description": "National news and programming organization", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://www.npr.org/"} +{"d:Title": "Current Online: Public Radio Links", "d:Description": "Offers a link directory with brief descriptions on public radio programs in alphabetical order; industry groups, consultants and resources; stations; independent producers; micro-, pirate and low-power radio; and those stations outside the United States.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://www.current.org/radio/"} +{"d:Title": "Press Release: Bob Edwards Leaving 'Morning Edition'", "d:Description": "Announcement of the longtime Morning Edition host's change of job title and scope. There are links to related announcements and information as well.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://www.npr.org/about/press/040323.bobedwards.html"} +{"d:Title": "CNET News.com - Search engines try to find their sound", "d:Description": "Consumers armed with home broadband connections are driving new demand for multimedia content and setting off a new wave of technology development among search engine companies. As part of this trend, NPR is transcribing stories to text summaries and, with Google's approval, getting that text spidered.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://news.cnet.com/2100-1032_3-5221267.html"} +{"d:Title": "NPR : The Ombudsman at National Public Radio", "d:Description": "The ombudsman handles complaints and comments about NPR and its shows, and writes a weekly essay addressing some of those concerns. Current and past articles are available for viewing as are the mission statement, quarterly reports prepared for the NPR board of directors, biography, and links to related content.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://www.npr.org/ombudsman/"} +{"d:Title": "NPR Station Finder", "d:Description": "List of all Public Radio Stations in US.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://www.npr.org/stations/"} +{"d:Title": "Searchenginewatch - Cloaking By NPR OK At Google", "d:Description": "A technique used by National Public Radio to get its audio content indexed by Google seems acceptable to the search engine despite apparently violating its own guidelines about cloaking.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://searchenginewatch.com/article/2066111/Cloaking-By-NPR-OK-At-Google"} +{"d:Title": "National Private Radio", "d:Description": "A veteran of community broadcasting blasts public stations for selling their souls to the highest bidders. By Lorenzo W. Milam.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio", "url": "http://www.salon.com/2001/07/02/npr1/"} +{"d:Title": "From the Top", "d:Description": "Weekly radio series that showcases the nation's most exceptional pre-college age classical musicians, distributed by Public Radio International.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.fromthetop.org/"} +{"d:Title": "NPR: All Things Considered", "d:Description": "Includes streamed audio program archives.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/templates/rundowns/rundown.php?prgId=2"} +{"d:Title": "Sound Portraits", "d:Description": "Radio production company featuring David Isay's radio documentaries. Stories from America's ghettos, prisons, and old time Yiddish radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.soundportraits.org/"} +{"d:Title": "Wait Wait... Don't Tell Me!", "d:Description": "Weekly news quiz show. Site features a quiz about this week's news events, and information on how to be on the show.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/programs/waitwait/"} +{"d:Title": "Honky Tonks, Hymns,&the Blues", "d:Description": "Weekly segments on NPR's Morning Edition featuring interviews, oral history, and historic performances.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.honkytonks.org/"} +{"d:Title": "On the Media", "d:Description": "Site representing weekly, one-hour National Public Radio program devoted to media criticism and analysis.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.onthemedia.org/"} +{"d:Title": "Car Talk", "d:Description": "Car advice from Click and Clack the Tappit Brothers (a.k.a. Tom and Ray Magliozzi).", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.cartalk.com/"} +{"d:Title": "Sunday Baroque", "d:Description": "Show features Baroque and early music written before 1750.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.sundaybaroque.org/"} +{"d:Title": "Fresh Air with Terry Gross", "d:Description": "Daily radio talk show locally produced by WHYY-FM, and broadcast nationally. Features information on upcoming shows, and showtimes.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://freshair.npr.org/"} +{"d:Title": "Latino USA", "d:Description": "A national, English-language radio program produced from a Latino perspective. It is a production partnership of KUT Radio and the Center for Mexican American Studies at The University of Texas at Austin.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.latinousa.org/"} +{"d:Title": "Justice Talking", "d:Description": "Radio show illustrating debates on current legal battles that capture the United States' attention.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.justicetalking.org/"} +{"d:Title": "NPR: Science Friday with Ira Flatow", "d:Description": "Weekly two-hour radio program about science, technology and environment issues in the news. Includes RealAudio archives, FAQ, forum, book discussions and SciFri Kids section. From National Public Radio (USA).", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.sciencefriday.com/"} +{"d:Title": "Tech Nation", "d:Description": "A weekly public radio program focusing on the impact of technology in people's lives.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.technation.com/"} +{"d:Title": "Fresh Air", "d:Description": "Highlights from the most recent shows featuring Terry Gross' interviews with cultural and entertainment figures, as well as experts on current affairs and news.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/rss/rss.php?id=13"} +{"d:Title": "Weekend Edition Sunday", "d:Description": "Items from the most recent edition of this NPR radio news magazine.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/rss/rss.php?id=10"} +{"d:Title": "The Thistle&Shamrock", "d:Description": "Celtic music on NPR.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://thistleradio.com/"} +{"d:Title": "World of Opera", "d:Description": "Performances from American and international opera companies.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/templates/rundowns/rundown.php?prgId=36"} +{"d:Title": "NPR: Weekend Edition Saturday", "d:Description": "Streamed audio program archives.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/templates/rundowns/rundown.php?prgId=7"} +{"d:Title": "NPR: Weekend Edition Sunday", "d:Description": "Show regularly features \"Weekend Puzzler\" with Will Shorts. Streamed audio program archives.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/templates/rundowns/rundown.php?prgId=10"} +{"d:Title": "Only A Game", "d:Description": "A weekly one-hour radio sports magazine with host Bill Littlefield that provides listeners with a weekly tour of the world of sports.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://onlyagame.wbur.org/"} +{"d:Title": "The Connection", "d:Description": "Daily news talk show. Covering topics from politics to literature, religion to science, and music to medicine.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://archives.wbur.org/theconnection/"} +{"d:Title": "Day to Day", "d:Description": "A news \"fix\" in the middle of the day hosted by NPR correspondent Alex Chadwick and produced at NPR's Los Angeles studio. Archives of previous shows available.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/programs/day-to-day/"} +{"d:Title": "NPR : Morning Edition", "d:Description": "National Public Radio's weekday morning news show. Abstracts, transcripts, audio, and Internet-only features.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/programs/morning-edition/"} +{"d:Title": "NPR Jazz", "d:Description": "Various jazz programs, CD and book reviews, station spotlights, and general information about jazz.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/music/genres/jazz/"} +{"d:Title": "NPR's Talk of the Nation", "d:Description": "Discussion of the news issues of the day.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs", "url": "http://www.npr.org/programs/talk-of-the-nation/"} +{"d:Title": "The Ethicist", "d:Description": "Archive of ethical questions answered by Randy Cohen, who writes The New York Times Magazine's \"The Ethicist\" column.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs/All_Things_Considered", "url": "http://www.npr.org/templates/story/story.php?storyId=4464216"} +{"d:Title": "All Things Considered", "d:Description": "Stories from the most recent edition of NPR's evening news program.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs/All_Things_Considered", "url": "http://www.npr.org/rss/rss.php?id=2"} +{"d:Title": "All Things Considered", "d:Description": "Audio and descriptions for current and previous programs, as well as a broadcast schedule and overview.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs/All_Things_Considered", "url": "http://www.npr.org/templates/rundowns/rundown.php?prgId=2"} +{"d:Title": "Radio Diaries", "d:Description": "Works with people to document their lives and adapt the material for \"All Things Considered\" documentaries. Includes story descriptions and audio, press, staff biographies, and resources for documentarians, including the \"Teen Reporter Handbook.\"", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs/All_Things_Considered", "url": "http://www.radiodiaries.org/"} +{"d:Title": "Lost and Found Sound", "d:Description": "Features sound recordings from famous and ordinary people that capture the history of the late 19th and 20th centuries.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Programs/All_Things_Considered", "url": "http://www.npr.org/sections/lost-found-sound/"} +{"d:Title": "WBHM 90.3 FM", "d:Description": "Birmingham, Alabama", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alabama", "url": "http://www.wbhm.org/"} +{"d:Title": "WLRH 89.3 FM", "d:Description": "Station information, schedule, and community links.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alabama", "url": "http://www.wlrh.org/"} +{"d:Title": "KTOO", "d:Description": "Juneau. Videos, member services, radio schedule, and links.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.ktoo.org/"} +{"d:Title": "KUAC-FM", "d:Description": "Live online stream from the University of Alaska Fairbanks. Featuring music and talk programs of all varieties.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.kuac.org/"} +{"d:Title": "KBRW - Public Radio for Alaska's North Slope", "d:Description": "KBRW AM and FM. Public Radio For Alaska's North Slope.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.kbrw.org/"} +{"d:Title": "Talkeetna Alaska KTNA", "d:Description": "Talkeetna Alaska KTNA Community Radio", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.ktna.org/"} +{"d:Title": "KCHU", "d:Description": "KCHU Public Radio. Copper river valley and Prince William Sound - Music, News and Talk radio", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.alaska.net/~kchu/"} +{"d:Title": "KRBD FM", "d:Description": "Ketchikan. News, programs, and station information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.krbd.org/"} +{"d:Title": "KMXT Kodiak Alaska", "d:Description": "Includes KMXT radio and television programming, volunteers, Alaska fisheries report, building project and photos.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.kmxt.org/"} +{"d:Title": "KFSK", "d:Description": "Petersburg, Port Protection, Point Baker, Scow Bay. Schedule and staff information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.kfsk.org/"} +{"d:Title": "KSKA FM 91.1", "d:Description": "Public radio and public television combo serving Anchorage. Offers links to programming, state and national news, weather, and local web cams.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Alaska", "url": "http://www.alaskapublic.org/"} +{"d:Title": "KUAT-FM", "d:Description": "Southern Arizona. Station information and RealAudio stream.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Arizona", "url": "http://kuatfm.org/"} +{"d:Title": "KJZZ 91.5 FM", "d:Description": "Phoenix. Public radio station with news, interviews, acoustic jazz, comedy, and blues.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Arizona", "url": "http://www.kjzz.org/"} +{"d:Title": "KNAU", "d:Description": "Flagstaff and surrounding area. News, schedule, and station information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Arizona", "url": "http://www.knau.org/"} +{"d:Title": "Red River Radio Network", "d:Description": "Serves El Dorado as well as Louisiana and Texas. Schedule, programs, and area events.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Arkansas", "url": "http://www.redriverradio.org/"} +{"d:Title": "KUAF 91.3", "d:Description": "KUAF 91.3 Classical Music and NPR News for Fayetteville and Fort Smith, Arkansas, from the University of Arkansas", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Arkansas", "url": "http://www.kuaf.org/"} +{"d:Title": "UALR Public Radio", "d:Description": "Little Rock. Schedule, news, and program information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Arkansas", "url": "http://ualrpublicradio.org/"} +{"d:Title": "KQED San Francisco", "d:Description": "News, programming information, community events, and station profile.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kqed.org/"} +{"d:Title": "KCSM", "d:Description": "Local public television and radio station. Includes news, program guide and contacts.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kcsm.org/"} +{"d:Title": "KPBS San Diego", "d:Description": "Includes news, polls and online streaming radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kpbs.org/"} +{"d:Title": "KVCR 91.9 FM", "d:Description": "Operated from the campus of San Bernardino Valley College. Program guide, news and streaming radio. [once again, it's in sharis' delete folder, and probably shouldn't be]", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kvcr.org/"} +{"d:Title": "KUSC", "d:Description": "Classical music. A member of National Public Radio, and a service of the University of Southern California.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kusc.org/"} +{"d:Title": "KCBX Public Radio FM90", "d:Description": "Broadcasting to central coast audiences with news and cultural programming from national public radio and public radio international. Schedules, news and community information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kcbx.org/"} +{"d:Title": "KCLU", "d:Description": "California Lutheran University radio station and NPR affiliate. Includes online streaming radio, news, program guide and message board.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kclu.org/"} +{"d:Title": "KHSU-FM 90.5 - Diverse Public Radio", "d:Description": "From Humboldt State University in Arcata. News, schedule, history and employment opportunities.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.khsu.org/"} +{"d:Title": "KCSN 88.5 FM", "d:Description": "Station run by California State University, Northridge. Program descriptions and DJ biographies. Specialty music shows include American folk and rock genres, European and Classical.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kcsn.org/"} +{"d:Title": "KUSP", "d:Description": "Community radio station in Santa Cruz. Station profile, playlists, news, events and program guide.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kusp.org/"} +{"d:Title": "KALW 91.7 Information Radio", "d:Description": "A broadcast service of the San Francisco unified school district. Schedule, live internet radio feed and links.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kalw.org/"} +{"d:Title": "KCRW Santa Monica", "d:Description": "Alternative music from Santa Monica college. Live internet radio feed, news, schedules and audio archives.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.kcrw.com/"} +{"d:Title": "Capital Public Radio Sacramento", "d:Description": "Stations include KXPR, KXJZ, KXSR, KUOP and KKTO. Schedules, live internet radio feed, featured news and music articles.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.capradio.org/"} +{"d:Title": "KPCC FM 89.3", "d:Description": "Member of National Public Radio, operated by Pasadena City College. Station profile, news, schedule and program information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://www.scpr.org/"} +{"d:Title": "KCHO and KFPR North State Public Radio", "d:Description": "Station information, schedules, live chat room and live internet radio feed.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/California", "url": "http://mynspr.org/"} +{"d:Title": "KGNU", "d:Description": "Independent, non-commercial radio station with a world music play list. Includes schedules, broadcast highlights, and news.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Colorado", "url": "http://www.kgnu.org/"} +{"d:Title": "91.5 FM KRCC", "d:Description": "An NPR radio station in Westcliffe, lists schedules, producers, sponsors volunteer operators, managers and other station information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Colorado", "url": "http://www.krcc.org/"} +{"d:Title": "KUVO 89.3 FM", "d:Description": "Broadcasts music varieties which include jazz, blues, Native-American, African-American and Latino.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Colorado", "url": "http://www.kuvo.org/"} +{"d:Title": "KUNC Radio", "d:Description": "Community radio station. News, live broadcasts, program schedule, transcripts, staff, and links.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Colorado", "url": "http://www.kunc.org/"} +{"d:Title": "WNPR", "d:Description": "Connecticut Public Radio station with locations in Hartford and Stamford. Heard on 90.5, 89.1, 88.5, 90.1 and 99.5 FM. Programming schedule, education projects, listener services, special events and donation information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Connecticut", "url": "http://www.wnpr.org/"} +{"d:Title": "WRKF Public Radio", "d:Description": "An affiliate of National Public Radio and Public Radio International serving Baton Rouge, Louisiana and the surrounding area. WRKF is owned and operated by Public Radio Inc., a non-profit corporation with an open membership.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Louisiana", "url": "http://www.wrkf.org/"} +{"d:Title": "WYPR 88.1 FM", "d:Description": "Baltimore, Maryland.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Maryland", "url": "http://www.wypr.org/"} +{"d:Title": "WGBH Radio Station", "d:Description": "WGBH 89.7 in Boston, WCAI 90.1 and WNAN 91.1 on Cape Cod and the Islands.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Massachusetts", "url": "http://www.wgbh.org/"} +{"d:Title": "WUMB Public Radio - Boston Folk Music Radio", "d:Description": "The only all folk music radio station in the USA. Schedule information, live internet radio, and the Boston Folk Festival with mp3 files.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Massachusetts", "url": "http://www.wumb.org/"} +{"d:Title": "WBUR Public Radio", "d:Description": "90.9FM. Serving Boston, New England and Beyond, broadcast from Boston University - largest Public Radio Station in MA.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Massachusetts", "url": "http://www.wbur.org/"} +{"d:Title": "WICN Jazz and Folk", "d:Description": "90.5 FM, New England's Jazz and Folk Station.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Massachusetts", "url": "http://www.wicn.org/"} +{"d:Title": "New England Public Radio: 88.5FM WFCR and 640AM WNNZ", "d:Description": "NPR news and music for Western New England.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Massachusetts", "url": "http://nepr.net/"} +{"d:Title": "WBFO 88.7", "d:Description": "NPR station offering many hours of jazz, plus Morning Edition, All Things Considered and NPR news. Operated by the University at Buffalo to serve the Buffalo-Niagara region. Repeater stations in Jamestown and Olean extend its range.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/New_York", "url": "http://www.wbfo.org/"} +{"d:Title": "WAMC FM 90.3/WAMK FM 90.9/WOSR FM 91.7/WCEL FM 91.9/WCAN FM 93.3/WANC FM 103.9", "d:Description": "\"Northeast Public Radio\". Public Radio Station headquartered in Albany. Also on 88.9, 93.1, and 107.7. Plus WAMQ 105.1 in Great Barrington, MA.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/New_York", "url": "http://www.wamc.org/"} +{"d:Title": "WRVO FM 89.9 / WRVN FM 91.9 / WRVJ FM 91.7 / WRVD FM 90.3", "d:Description": "The WRVO stations offer Public Radio to central and northern New York. Includes live broadcast, news, membership information and schedule.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/New_York", "url": "http://wrvo.org/"} +{"d:Title": "Classical 89.9 WDAV Davidson North Carolina", "d:Description": "Source for classical music. Also listen music online.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/North_Carolina", "url": "http://www.wdav.org/"} +{"d:Title": "KOSU 91.7 FM - Oklahoma Public Radio", "d:Description": "Program notes, broadcast times, live streaming, today's news and current weather at Stillwater. Requires RealAudio or Windows Media Player.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Oklahoma", "url": "http://www.kosu.org/"} +{"d:Title": "RIPR - Providence", "d:Description": "Provides links to schedules, programs and information.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Rhode_Island", "url": "http://www.ripr.org/"} +{"d:Title": "KUT 90.5 FM", "d:Description": "A public radio station operated by the University of Texas at Austin. Includes RealAudio feed.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Texas", "url": "http://www.kut.org/"} +{"d:Title": "Texas Public Radio", "d:Description": "Operates KPAC 88.3 FM and KSTX 89.1 FM in San Antonio and KTXI 90.1 FM in the Texas Hill Country.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Texas", "url": "http://www.tpr.org/"} +{"d:Title": "KTEP 88.5 FM", "d:Description": "National Public Radio station broadcasting from the Communication Department at the University of Texas at El Paso.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Texas", "url": "http://www.ktep.org/"} +{"d:Title": "KERA FM 90.1", "d:Description": "Dallas/Fort Worth area.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Texas", "url": "http://www.kera.org/radio/"} +{"d:Title": "KTTZ 89.1 FM", "d:Description": "Lubbock, Texas. Affiliated with Texas Tech University.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Texas", "url": "http://www.kttz.org/"} +{"d:Title": "KUHF 88.7 FM", "d:Description": "Houston and the Gulf Coast region.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Texas", "url": "http://www.houstonpublicmedia.org/"} +{"d:Title": "WAMU 88.5 FM", "d:Description": "Affiliated with American University.", "topic": "Top/Arts/Radio/Formats/Public_Radio/National_Public_Radio/Stations/Washington,_DC", "url": "http://wamu.org/"} +{"d:Title": "PRI - Public Radio International", "d:Description": "Producer and distributor of global news and cultural programming for public radio, including BBC World Service and This American Life.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Public_Radio_International", "url": "http://www.pri.org/"} +{"d:Title": "Echoes with John Diliberto", "d:Description": "New age music program.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Public_Radio_International", "url": "http://www.echoes.org/"} +{"d:Title": "Humankind", "d:Description": "Stories of remarkable people dedicated to humanizing our society.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Public_Radio_International", "url": "http://www.humanmedia.org/"} +{"d:Title": "Michael Feldman's Whad'Ya Know?", "d:Description": "Quiz show.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Public_Radio_International", "url": "http://www.notmuch.com/"} +{"d:Title": "To the Best of Our Knowledge", "d:Description": "Audio magazine of ideas, produced by Wisconsin Public Radio.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Public_Radio_International", "url": "http://wpr.org/book/"} +{"d:Title": "This American Life", "d:Description": "Hosted by Ira Glass, this weekly radio show on topics that aren't really news, but topics that relate to everyone's life in some way.", "topic": "Top/Arts/Radio/Formats/Public_Radio/Public_Radio_International", "url": "http://www.thisamericanlife.org/"} +{"d:Title": "The Trouble With Bernie", "d:Description": "A series of children's radio programs about ten year old Bernie Jones, who lives in 1950s. Distributed on CD, with lesson plans, they are educational and entertaining for grades 4 to 8.", "topic": "Top/Arts/Radio/Formats/Radio_Theatre", "url": "http://www.berniejones.com/"} +{"d:Title": "National Audio Theatre Festivals", "d:Description": "National organization for training and promoting radio drama and audio theatre.", "topic": "Top/Arts/Radio/Formats/Radio_Theatre", "url": "http://www.natf.org/"} +{"d:Title": "Milford-Haven", "d:Description": "Drama series aired on BBC, about a fictitious California Central Coast town and its ongoing intrigue and mystery, romance and adventure.", "topic": "Top/Arts/Radio/Formats/Radio_Theatre", "url": "http://www.milfordhaven.com/"} +{"d:Title": "Tell Us a Tale", "d:Description": "Children's radio program broadcasting on WTJU 91.1 FM in central Virginia. Listen to past programs online.", "topic": "Top/Arts/Radio/Formats/Radio_Theatre", "url": "http://www.tellusatale.com/"} +{"d:Title": "Where Threads Come Loose Audio Theater", "d:Description": "A half-hour radio drama series specializing in satire and horror, twisted tales and weirdness. Episode guide, excerpts from the show, CD ordering form, and schedule.", "topic": "Top/Arts/Radio/Formats/Radio_Theatre", "url": "http://www.wherethreadscomeloose.com/"} +{"d:Title": "Audio Theater Producers and Resources", "d:Description": "A listing of audio and radio theater production companies and resources for producing audio theater.", "topic": "Top/Arts/Radio/Formats/Radio_Theatre/Producers", "url": "http://www.audiotheater.com/"} +{"d:Title": "Mind's Ear Audio Productions", "d:Description": "Creates contemporary audio theatre featuring on location recording.", "topic": "Top/Arts/Radio/Formats/Radio_Theatre/Producers", "url": "http://www.minds-ear.org/"} +{"d:Title": "WEEI 850 AM", "d:Description": "Boston-based sports web site featuring original content and radio station streaming. Original content includes audio, blogs and columns covering the New England sports scene.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.weei.com/"} +{"d:Title": "Sportsradio 1310 The Ticket (KTCK Dallas / Fort Worth)", "d:Description": "North Texas' first and only 24-hour sports radio station. Includes host biographies, promotion schedules, and RealAudio feed.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.theticket.com/"} +{"d:Title": "104.9 Super Sports FM Radio", "d:Description": "Provides 24 hours of up-to-the-minute sports headlines, surveys, schedules, college sports, and live streaming content.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.wccpfm.com/"} +{"d:Title": "Robert Snyder Online", "d:Description": "Provides news and general information about sports radio, including listings of the major sports stations by region.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.robertsnyder.net/"} +{"d:Title": "William Hill Sports Radio", "d:Description": "24-hour coverage of sporting events and breaking news, featuring live commentaries on British horseracing and greyhound racing.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.willhill.com/iibs/en/static/radiomain.asp"} +{"d:Title": "Michigan Golf Live", "d:Description": "Live, syndicated, call-in program, with contests, guests, and online archives.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.michigangolflive.com/"} +{"d:Title": "Roger Stein Show", "d:Description": "Southern California-based, live, trackside horse racing program, with handicapping information and interviews.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.rogerstein.com/"} +{"d:Title": "Sportznutz", "d:Description": "Weekly Internet sports reports, including New York and Florida regional shows.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://www.sportzradio.com/"} +{"d:Title": "Only A Game", "d:Description": "A weekly one-hour radio sports magazine with NPR host Bill Littlefield that provides listeners with a weekly tour of the world of sports.", "topic": "Top/Arts/Radio/Formats/Sports", "url": "http://onlyagame.wbur.org/"} +{"d:Title": "Third Coast International Audio Festival", "d:Description": "Celebration of the best feature and documentary work heard worldwide on the radio and the Internet. Includes a competition, nationwide broadcast, conference, website and Chicago-based listening series.", "topic": "Top/Arts/Radio/Formats/Talk_Radio", "url": "http://www.thirdcoastfestival.org/"} +{"d:Title": "TalkStreamLive", "d:Description": "A Dynamic Directory of Internet Radio, with links to live talk radio streaming all around the world.", "topic": "Top/Arts/Radio/Formats/Talk_Radio", "url": "http://www.talkstreamlive.com/"} +{"d:Title": "C-SPAN", "d:Description": "National public-affairs network. Includes radio and Real Audio", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Networks", "url": "https://www.c-span.org/"} +{"d:Title": "Westwood One", "d:Description": "America's largest radio network, providing over 150 programs. Services more than 5,000 radio stations around the world.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Networks", "url": "http://www.westwoodone.com/"} +{"d:Title": "HealthyLife.Net", "d:Description": "All Positive News/Talk Radio airing live 24/7 with a variety of topics.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Networks", "url": "http://www.healthylife.net/"} +{"d:Title": "Bloomberg Radio", "d:Description": "Provides syndicated reports to more than 250 radio stations around the nation.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Networks", "url": "http://www.bloomberg.com/tvradio/radio/"} +{"d:Title": "College Radio Network (CRN)", "d:Description": "Produces and distributes programming for college students on the internet.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Networks", "url": "http://www.internetwork.com/crn/"} +{"d:Title": "ABC Radio Networks", "d:Description": "Has more than 4,500 affiliate radio stations and broadcasts five full-service news networks.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Networks", "url": "http://abcradio.com/"} +{"d:Title": "Media Strategies", "d:Description": "Consulting services for news and talk radio stations.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Production_and_Technical", "url": "http://www.newstalkradio.com/"} +{"d:Title": "Talk Radio Show Prep Site", "d:Description": "Directory of most-useful web sources.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Production_and_Technical", "url": "http://www.hollandcooke.com/"} +{"d:Title": "Talkers Magazine", "d:Description": "Industry newsletter.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Production_and_Technical", "url": "http://www.talkers.com/"} +{"d:Title": "Entertainment Worlds", "d:Description": "Talk radio jobs and showprep and aircheck resources.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Production_and_Technical", "url": "http://www.entertainmentworlds.com/radiohome.html"} +{"d:Title": "Mom Talk Radio", "d:Description": "Weekly radio show featuring news, guests and topics of interest to moms.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs", "url": "http://www.momtalkradio.com/"} +{"d:Title": "Brushes With Greatness", "d:Description": "Nationally syndicated radio show featuring celebrities from the entertainment industry talking about maintaining a positive walk in a secular business.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs", "url": "http://www.masterworksentertainment.com/"} +{"d:Title": "The Writer's Studio", "d:Description": "An archive of MP3 audio interviews with mostly Canadian writers of fiction and non-fiction books.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs", "url": "http://www.buddhaboy.ca/"} +{"d:Title": "A World of Possibilities", "d:Description": "A radio program that offers in-depth analyses, commentaries, and explorations of new approaches to the world's most challenging problems.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs", "url": "http://www.prx.org/group_accounts/5372-awp"} +{"d:Title": "Dave Ramsey Show", "d:Description": "Syndicated talk radio show discusses debt reduction, bankruptcy avoidance, and wealth creation.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Business_and_Economy", "url": "http://www.daveramsey.com/radio/home/"} +{"d:Title": "The Motley Fool", "d:Description": "Discussions of topics related to recent news from Wall Street and Washington, DC that affects investors. New show every Friday afternoon.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Business_and_Economy", "url": "http://wiki.fool.com/Motley_Fool_Money_Radio_Show"} +{"d:Title": "Clark Howard - Consumer Action Center", "d:Description": "Consumer advice and information from the nationally syndicated talk show host.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Business_and_Economy", "url": "http://www.clark.com/"} +{"d:Title": "Into Tomorrow with Dave Graveline", "d:Description": "Show about consumer electronics. Tips, affiliate lists, guests, and free email.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.graveline.com/"} +{"d:Title": "Digital Village Radio", "d:Description": "Weekly show about computers and the Internet with a focus on the cultural impact these technologies are having on all people.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.digitalvillage.org/"} +{"d:Title": "Computer Talk with TAB", "d:Description": "Computer support show airs on 1080 WTIC in Hartford, Connecticut. Hosted by TJ and Eric.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.computertalkwithtab.com/"} +{"d:Title": "Let's Talk Computers", "d:Description": "Produced in Nashville, TN, it can be heard in five states. Hosted by Alan and Sandra Ashendorf.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.lets-talk-computers.com/"} +{"d:Title": "ComputerTalk with Dave Mason", "d:Description": "Nationally syndicated computer talk show that airs live Saturdays 1-3pm EST.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.davemason.com/"} +{"d:Title": "Craig Crossman's Computer America", "d:Description": "Nationally syndicated radio talk show on computers. During each live broadcast they host an online chat session where you can interact with fellow audience members, as well as get a message to the studio.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.computeramerica.com/"} +{"d:Title": "Andy Graham's Computer Beat", "d:Description": "Andy Graham's chatroom-centric radio show brings discussions in current IT issues. Airs online Saturdays 3-5PM CST.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.compbeat.com/"} +{"d:Title": "84Online", "d:Description": "Bob Sokoler and his team of experts address caller, email, and chat room questions on AM 840 in Louisville on Sundays, 3-6 PM EST.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.84online.com/"} +{"d:Title": "IT Conversations - The Gillmor Gang", "d:Description": "Weekly information technology internet-only show.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.itconversations.com/series/gillmorgang.html"} +{"d:Title": "The Personal Computer Show", "d:Description": "Co-Hosts Joe King, Hank Kee and Dave Burstein offer computer industry news, hardware and software reviews, guest interviews and news of user group meetings.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Computer", "url": "http://www.pcradioshow.org/"} +{"d:Title": "Natural Solutions Radio", "d:Description": "Alternative health topics specifically natural healing therapies including herbal, homeopathic, and magnetic products.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Health", "url": "http://naturalsolutionsradio.com/"} +{"d:Title": "MyNDTALK", "d:Description": "Relationships and mental health self-help resources by Pamela B Brewer on WPFW 89.3 FM.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Health", "url": "https://www.myndtalk.org/"} +{"d:Title": "The Ghostly Talks Archives", "d:Description": "2002-2009 library of saved shows. Includes a brief history.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "https://ghostlytalk.com/"} +{"d:Title": "The X Zone Radio Show", "d:Description": "Hosted by Rob McConnell on Newstalk 610 CKTB.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "http://www.xzone-radio.com/"} +{"d:Title": "Ask 1 RadIo", "d:Description": "Offers free readings for callers. Profiles of the presenters, archived programs, glossary and a contact form.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "http://ask1radio.com/"} +{"d:Title": "Podcast UFO", "d:Description": "Radio show. Chat room, guest blog, videos and forum.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "http://podcastufo.com/"} +{"d:Title": "The Thirteen Skulls", "d:Description": "Also supplies links to articles and pictures.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "http://www.the13skulls.com/"} +{"d:Title": "JimHarold.com", "d:Description": "Airs shows and broadcasts ghost stories. Also includes articles.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "http://jimharold.com/"} +{"d:Title": "LiveParanormal", "d:Description": "A community of broadcasters airing different types of shows in the genre.Offers a section of evidence.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "http://liveparanormal.com/"} +{"d:Title": "Just Energy Radio", "d:Description": "Topics covered include King Arthur and the Knights of the Round Table, predictions, aliens, Atlantis and conspiracy theories. Provides show and article archives.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Paranormal", "url": "http://www.justenergyradio.com/"} +{"d:Title": "Dr. Stanley Monteith - Radio Liberty", "d:Description": "Nationally syndicated show featuring stories of globalism and federal conspiracies.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.radioliberty.com/"} +{"d:Title": "Mike Gallagher", "d:Description": "Nationally syndicated conservative talk show host can be heard mornings. Includes links to political activism topics, audio files and instant feedback during the show.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.mikeonline.com/"} +{"d:Title": "Michael Medved", "d:Description": "Nationally syndicated conservative talk show host and movie critic heard every afternoon. Includes links to Judeo-Christian commentary, and movie reviews.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.michaelmedved.com/"} +{"d:Title": "Roy Masters - Foundation of Human Understanding", "d:Description": "Nationally syndicated talk show host heard weekdays. Includes newsletter, archived programs and religious observance tips on meditation and self-awareness.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.fhu.com/"} +{"d:Title": "Dennis Prager Radio Show", "d:Description": "Conservative commentator on topics ranging from personal and social issues, to morality and religion. The lectures are available in audio and video formats.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.dennisprager.com/"} +{"d:Title": "Les Kinsolving - Talk Show Host&White House Reporter", "d:Description": "Nationally un-labeled talk show host syndicated weekly. He is known among the Washington press corps who asks the probing questions and even providing comic relief.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.leskinsolving.com/"} +{"d:Title": "Lucianne Goldberg", "d:Description": "Nationally syndicated talk show host heard live every morning Monday thru Friday. Includes updated conservative articles and interactive forums.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.lucianne.com/"} +{"d:Title": "Mark Larson: Larson Live!", "d:Description": "Discusses national issues along with special guests and portrays a one-of-a kind sense of humor weekdays from 6-9 AM from San Diego broadcast live daily.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.marklarson.com/"} +{"d:Title": "Paul Harvey - The Voice of the New Millennium", "d:Description": "Official site presents the syndicated commentator. Listen live Monday through Saturday morning and noon, audio archives, headline news and station list.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.paulharvey.com/"} +{"d:Title": "The Phil Hendrie Show", "d:Description": "Nationally syndicated radio program poking fun at the issues and issuemakers of the day with radio theater that introduces guests with unusual viewpoints.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.philhendrieshow.com/"} +{"d:Title": "Quinn and Rose War Room", "d:Description": "Radio talk show and home of Pittsburgh MC's Jim Quinn and Radio Rose.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.warroom.com/"} +{"d:Title": "Marlin Maddoux Point of View", "d:Description": "Brings insight on today's events from a unique Christian perspective with depth of coverage on issues not found anywhere else.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.pointofview.net/"} +{"d:Title": "Jon Arthur Live", "d:Description": "Conservative radio talk show host Jon Arthur broadcasting on the First Amendment Radio Network and WXEI 95.3 FM every weekday afternoon.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.jonarthurlive.8m.com/"} +{"d:Title": "Mark Belling", "d:Description": "Common sense conservatism radio personality known best in Milwaukee provides a diverse mix of politics, culture, entertainment for Nationwide listeners.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.belling.com/"} +{"d:Title": "Bruce DuMont - Beyond the Beltway", "d:Description": "Nationally-syndicated radio program that takes America's political pulse and provides a balanced perspective of national politics. The program is produced every Sunday night.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.beyondthebeltway.com/"} +{"d:Title": "Dr. James L. Hirsen - America's Advocate", "d:Description": "Internationally recognized attorney and nationally syndicated radio talk show host on the American Freedom Network.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.firstliberties.com/"} +{"d:Title": "Laura Ingraham Show", "d:Description": "Heard coast-to-coast nationwide takes listeners on a wild ride through the colliding worlds of politics, the news media and Hollywood.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.lauraingraham.com/public/"} +{"d:Title": "Rollye James Show", "d:Description": "Hear the show online on The Big Talker 1210-AM WPHT Philadelphia weeknights.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.rollye.net/"} +{"d:Title": "Mark Scott Show", "d:Description": "Thought provoking cyber talk radio and open discussion on individualism and freedom.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.markscottshow.us/"} +{"d:Title": "Tammy Bruce", "d:Description": "Official site of this radio talk show host. An openly gay, pro-choice, gun owning, pro-death penalty, voted-for-President Reagan progressive feminist, Tammy supports classical liberal concepts of personal liberty and individualism.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.tammybruce.com/"} +{"d:Title": "The Ed Schultz Show", "d:Description": "Site for the Fargo, North Dakota based syndicated talk show host and author, with weekday call-in topics on current political affairs.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.wegoted.com/"} +{"d:Title": "Free Speech Radio News - Pacifica Reporters Against Censorship", "d:Description": "A daily half-hour progressive U.S. radio newscast owned and managed by news reporters. FSRN was born as the result of a strike by reporters who formerly worked for Pacifica Network News (PNN).", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.fsrn.org/"} +{"d:Title": "The Stephanie Miller Show", "d:Description": "A progressive talk radio show syndicated nationally, mixing humor with the latest political events.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.stephaniemiller.com/"} +{"d:Title": "Lisa Marie Macci", "d:Description": "Hosts radio show \"The Justice Hour\" featuring persons who claim to have been victims of police or government agency misconduct. Offers archives of shows between 2006 and 2008 in wma and mp3 formats, and live broadcast on WPBR 1340 AM in Florida.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.lisamacci.com/"} +{"d:Title": "Mike Malloy", "d:Description": "Progressive host of a nationally syndicated and satellite radio program, focusing on news and comments made by conservative politicians and other radio personalities.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.mikemalloy.com/"} +{"d:Title": "Neal Boortz Show", "d:Description": "Nationally syndicated conservative talk show host from Atlanta.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political", "url": "http://www.wsbradio.com/s/inside/boortz/"} +{"d:Title": "The Glenn Beck Show", "d:Description": "Provides talk that people listen to, cheer or cry foul.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Beck,_Glenn", "url": "http://www.glennbeck.com/"} +{"d:Title": "Huffington Post - Christians: Run As Fast As You Can From The Church Of Glenn Beck", "d:Description": "A church president attacks Beck's statement that people should leave any church that mentions social or economic justice as telling his listeners to disregard central tenets of their faith because they do not conform to his own political ideology.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Beck,_Glenn", "url": "http://www.huffingtonpost.com/peg-chemberlin/christians-run-as-fast-as_b_495166.html"} +{"d:Title": "Rev. James Martin - Glenn Beck to Jesus: Drop Dead", "d:Description": "Explains how social justice is an essential part of Catholic teaching, so Glenn Beck is basically telling people to leave the Catholic church.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Beck,_Glenn", "url": "http://www.huffingtonpost.com/rev-james-martin-sj/glenn-beck-to-catholics-l_b_490669.html"} +{"d:Title": "New York Times - America Is Better Than This", "d:Description": "Columnist Bob Herbert finds Glenn Beck's event on Martin Luther King's \u201cI Have a Dream\u201d speech anniversary as a product of an inflammatory and grandstanding provocateur.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Beck,_Glenn", "url": "http://www.nytimes.com/2010/08/28/opinion/28herbert.html"} +{"d:Title": "The Daily Beast - Ending of Glenn Beck Show Removes a Fear Monger from the Air", "d:Description": "Describes how Beck's show on Fox was cancelled after its ratings fell by half, advertisers were abandoning him, and his claims became more and more hyperbolic.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Beck,_Glenn", "url": "http://www.thedailybeast.com/blogs-and-stories/2011-04-07/ending-of-glenn-beck-show-removes-a-fear-monger-from-the-air/"} +{"d:Title": "The Guardian - Glenn Beck Sends Evil Anarchist Manual's Sales Rocketing", "d:Description": "Describes how Beck's condemnation and publicity of a French anarchist revolution manual promoted a massive sales boost.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Beck,_Glenn", "url": "http://www.theguardian.com/books/2010/feb/19/glenn-beck-evil-anarchist-manual-sales"} +{"d:Title": "Salon - The Making of Glenn Beck", "d:Description": "Follows Beck's career from the alleged suicide of his mother to Top 40 radio and his current activities.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Beck,_Glenn", "url": "http://www.salon.com/2009/09/21/glenn_beck/"} +{"d:Title": "Sean Hannity Show", "d:Description": "Official site of syndicated conservative talk show host, and co-host of Fox News Channel's Hannity and Colmes. Audio, show highlights, and contact information.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hannity,_Sean", "url": "http://www.hannity.com/"} +{"d:Title": "Sean Hannity Evildoer", "d:Description": "Uses Bible quotes and commentary to expose Hannity as a true evildoer, an enemy of Jesus Christ and all true Christians.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hannity,_Sean", "url": "http://www.seanhannityevildoer.com/"} +{"d:Title": "Free Republic - Sean Hannity Attests Media Bias Is Local Too", "d:Description": "Article by Wes Vernon.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hannity,_Sean/Articles_and_Interviews", "url": "http://www.freerepublic.com/focus/news/588220/posts"} +{"d:Title": "Thom Hartmann", "d:Description": "Author and host of a daily radio talk show who discusses politics, American ecomomic issues and psychology.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hartmann,_Thom", "url": "http://www.thomhartmann.com/"} +{"d:Title": "Huffington Post. - How Wall Street Can Bail Itself Out Without Destroying the Dollar", "d:Description": "Advocates creation of an agency to fund the bailout, loan that agency the money from the treasury, and then have that agency tax Wall Street to pay the Treasury back.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hartmann,_Thom", "url": "http://www.huffingtonpost.com/thom-hartmann/how-wall-street-can-bail_b_129657.html"} +{"d:Title": "Wikipedia - Thom Hartmann", "d:Description": "Provides biography, career data and beliefs of the nationally-syndicated radio show host.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hartmann,_Thom", "url": "http://en.wikipedia.org/wiki/Thom_Hartmann"} +{"d:Title": "Common Dreams - The Real Boston Tea Party Was an Anti-Corporate Revolt", "d:Description": "Provides historical background data on the original Boston Tea Party to debunk the claims made by various big business supported right-wing groups protesting against President Obama.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hartmann,_Thom", "url": "http://www.commondreams.org/view/2009/04/15-10"} +{"d:Title": "Common Dreams - Ann Coulter and Justice Antonin Scalia to Synagogue - Jews Are Safer with Christians in Charge", "d:Description": "Counters Coulter and Scalia's views that the U.S. should be more theocratic by showing Puritan violence, and actions by the Founding Fathers to keep America from becoming a religious dictatorship.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Hartmann,_Thom", "url": "http://www.commondreams.org/archive/2007/10/12/4507"} +{"d:Title": "Revisiting Watergate: Key Players: G. Gordon Liddy", "d:Description": "Washington Post profile of the former FBI agent who helped plan the Watergate break-in and later capitalized on his notoriety by taking his political views to the airwaves.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Liddy,_G._Gordon", "url": "http://www.washingtonpost.com/wp-srv/onpolitics/watergate/liddy.html"} +{"d:Title": "RushLimbaugh.com", "d:Description": "Official site. Includes various news and features as well as live audio and a listing of stations which carry the talk show.", "priority": "1", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Limbaugh,_Rush", "url": "http://www.rushlimbaugh.com/"} +{"d:Title": "Wikipedia - Rush Limbaugh", "d:Description": "Open source encyclopedia article presents his personal and radio entertainment biography. Includes jargon, quotes and bibliography.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Limbaugh,_Rush", "url": "http://en.wikipedia.org/wiki/Rush_Limbaugh"} +{"d:Title": "Rush Limbaugh Forum", "d:Description": "Rush Limbaugh fan site and forum for political discussion.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Limbaugh,_Rush", "url": "http://www.rushlimbaughforum.com/"} +{"d:Title": "Howard Stern vs. Rush Limbaugh", "d:Description": "Imaginary WWWF Grudge Match that pits the two controversial radio personalities against eachother in a self-propelled hot air balloon race around the world.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Limbaugh,_Rush/Opposing_Views", "url": "http://www.grudge-match.com/History/rush-howard.shtml"} +{"d:Title": "The Way Things Really Are", "d:Description": "Essay that debunks many of Rush Limbaugh's claims on the current state and likely future of the environment.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Limbaugh,_Rush/Opposing_Views", "url": "http://www.bestofmaui.com/rush.html"} +{"d:Title": "A Letter to Rush Limbaugh", "d:Description": "An open letter from film critic Roger Ebert after the Haiti earthquake in January 2009 regarding insulting and inflammatory remarks by Limbaugh on President Obama's call for giving aid.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Limbaugh,_Rush/Opposing_Views", "url": "http://www.rogerebert.com/rogers-journal/a-letter-to-rush-limbaugh"} +{"d:Title": "The Way Things Aren't: Rush Limbaugh Debates Reality", "d:Description": "Counters some of the claims made on Limbaugh's talk show and in his books, from the media watchdog Fairness and Accuracy in Reporting.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Limbaugh,_Rush/Opposing_Views", "url": "http://fair.org/extra-online-articles/The-Way-Things-Arent/"} +{"d:Title": "BuzzFlash - Randi Rhodes, Liberal Talk Show Host", "d:Description": "Interview of Rhodes on Ollie North storming off from her show, and her views on being shut out by large corporate owned radio stations and networks.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Rhodes,_Randi", "url": "http://www.buzzflash.com/interviews/03/01/03_Rhodes.html"} +{"d:Title": "Wikipedia - The Randi Rhodes Show", "d:Description": "Offers general overview of show, history, and where it is broadcast.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Rhodes,_Randi", "url": "http://en.wikipedia.org/wiki/The_Randi_Rhodes_Show"} +{"d:Title": "Washington Post - Radio Waves", "d:Description": "After regularly trouncing Rush Limbaugh in the ratings where she broadcast in Florida, Rhodes tries to become a national figure.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Rhodes,_Randi", "url": "http://www.washingtonpost.com/wp-dyn/articles/A3763-2004Sep7.html"} +{"d:Title": "AlterNet: The Ignoble Savage", "d:Description": "Describes attempts by Savage to silence his critics through litigatation and complaints about a lack of freedom of speech.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Savage,_Michael/Opposing_Views", "url": "http://www.alternet.org/story/16105/"} +{"d:Title": "Savage Lies", "d:Description": "Provides news and developments regarding Savage's radio show, controversies, and actions taken against it.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Savage,_Michael/Opposing_Views", "url": "http://savagelies.blogspot.com/"} +{"d:Title": "Salon - Michael Savage's Long, Strange Trip", "d:Description": "Gives background information on Savage, his counterculture upbringing, and abrasive talk shows.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Savage,_Michael/Opposing_Views", "url": "http://www.salon.com/2003/03/05/savage_11/"} +{"d:Title": "FAIR - GE, Microsoft Bring Bigotry to Life", "d:Description": "Gives several quotes of Savage showing some extremist views, and questions if he should have a show on MS-NBC.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Political/Savage,_Michael/Opposing_Views", "url": "http://fair.org/take-action/action-alerts/ge-microsoft-bring-bigotry-to-life/"} +{"d:Title": "Mike Hodel's Hour 25", "d:Description": "Science and science fiction news and interviews.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Science_Fiction_and_Fantasy", "url": "http://hour25online.com"} +{"d:Title": "FanBoy Radio", "d:Description": "A weekly talk show about the comic book industry.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Science_Fiction_and_Fantasy", "url": "http://www.fanboyradio.com/"} +{"d:Title": "Interstellar Transmissions Radio Program", "d:Description": "A live radio talk program on science fiction and related genres broadcasting on WWNN-AM 1470 Friday nights.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Science_Fiction_and_Fantasy", "url": "http://www.interstellartransmissions.com/"} +{"d:Title": "Hour of the Wolf", "d:Description": "Broadcasting a two-hour show live over WBAI (99.5 FM) in New York City on Saturday mornings from 5 thru 7 AM (ET). Topics are eclectic but usually deal with writing, science, science fiction or fantasy topics.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Science_Fiction_and_Fantasy", "url": "http://www.hourwolf.com/"} +{"d:Title": "Sci-Fi Talk", "d:Description": "On-demand, internet only sci-fi radio. Many interviews.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Science_Fiction_and_Fantasy", "url": "http://www.scifitalk.com/"} +{"d:Title": "Cosmic Landscapes Radio Network", "d:Description": "Rebroadcasts the USA's best sci-fi radio shows with programming updated daily.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Science_Fiction_and_Fantasy", "url": "http://www.cosmiclandscapes.com/"} +{"d:Title": "Walton&Johnson Radio Show", "d:Description": "Humor and current events based radio program. Broadcast 6-10 am central and offered in syndication to any and all radio stations.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Shock_Jocks", "url": "http://www.waltonandjohnson.com/"} +{"d:Title": "The Bob&Tom Show Worldwide", "d:Description": "A morning radio show favorite heard by over 5 million listeners daily direct from the 86th floor of the Friggemall broadcasting complex in Indianapolis, Indiana.", "priority": "1", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Programs/Shock_Jocks/Bob_and_Tom_Show,_The", "url": "http://www.bobandtom.com/"} +{"d:Title": "WTAN 1340 AM", "d:Description": "News and talk. Weather, sports, area links and live news ticker. Available online. Clearwater, Florida.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.tantalk1340.com/"} +{"d:Title": "WSB 750 AM", "d:Description": "News and sports. Links for ticket sales, weather forecasts, headlines and traffic webcam. Atlanta, Georgia.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wsbradio.com/"} +{"d:Title": "WLS 890 AM", "d:Description": "Interactive marketplace and web-based email as well as news and programming information. Chicago, Illinois.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wlsam.com/"} +{"d:Title": "KFYI 910 AM", "d:Description": "News, weather, and talk. Includes links and media clips. Online listening. Phoenix, Arizona.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kfyi.com/"} +{"d:Title": "WSYR 570 AM", "d:Description": "Varied topics. Online listening. Searchable classified database, photographs and area links. Syracuse, New York.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wsyr.com/"} +{"d:Title": "WLXN 1440 FM", "d:Description": "Talk, news and sports. Contests, cybermall, polls, radio swap meet and community links. Lexington, North Carolina.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wlxn.com/"} +{"d:Title": "KABC 790 AM", "d:Description": "News, stocks, weather and community interests. Fed live via RealAudio. Los Angeles, California.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kabc.com/"} +{"d:Title": "CJAD 800 AM", "d:Description": "Provides national headlines, talk subjects, listener polls and an interactive program grid. Quebec, Canada.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.cjad.com/"} +{"d:Title": "WGN 720 AM", "d:Description": "Current events and local news. Includes programming, promotions, area information and links. Chicago, Illinois.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://wgnradio.com/"} +{"d:Title": "KSFO 560 AM", "d:Description": "National and local news. Online listening, programming, contests and upcoming events. San Francisco, California.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.ksfo560.com/"} +{"d:Title": "News 102.3 KRMG", "d:Description": "24-hour news, weather and traffic. Tulsa, Oklahoma.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.krmg.com/"} +{"d:Title": "WAEB 790 AM", "d:Description": "News, talk and sports. Includes interactive debates, chat, and rants. Allentown, Pennsylvania.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.waeb.com/"} +{"d:Title": "WTKS 104.1 FM", "d:Description": "Varied topics. Calendar, contests and area links. Listen online for fee. Orlando, Florida.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wtks.com/"} +{"d:Title": "WIBC 1070 AM", "d:Description": "Offers news, weather, sports, contests, and local events. Indianapolis, Indiana.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wibc.com/"} +{"d:Title": "KQMS 1400 AM", "d:Description": "News updates, weather, show schedule, weather, stock quotes, and contests. Reading, California.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kqms.com/"} +{"d:Title": "KVI 570 AM", "d:Description": "News, traffic and weather. Schedule, events, links and contests. Online listening. Seattle, Washington.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kvi.com/"} +{"d:Title": "WDEL 1150 AM", "d:Description": "Varied topics. Includes online broadcast, programming, polls, and community calendar. Wilmington, Delaware.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wdel.com/"} +{"d:Title": "KGA 1510 AM", "d:Description": "Conservative news-talk. Sports, school closings, area information and links. Spokane, Washington.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.1510kga.com/"} +{"d:Title": "KSBN 1230 AM", "d:Description": "Money Talk. Includes stock, trading and finance related topics. Local links and schedule. Spokane, Washington.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.ksbn.net/"} +{"d:Title": "KCUR 89.3 FM", "d:Description": "Features local news and area information, programming and online listening for the Kansas City, Missouri area.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kcur.org/"} +{"d:Title": "KNSS 1240 AM", "d:Description": "Local and national news, and discussions. Area information, movie reviews and weather. Wichita, Kansas.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.knssradio.com/"} +{"d:Title": "WNOX FM 100", "d:Description": "News, sports, weather, traffic and business. Listen online. 100.3 FM. Knoxville, Tennessee.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wnoxnewstalk.com/"} +{"d:Title": "KCPS AM 1150", "d:Description": "Offering talk shows, sports coverage, CBS news, and weather updates. Burlington, Iowa.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kcpsradio.com/"} +{"d:Title": "KIRO 97.3 FM", "d:Description": "CBS Radio Network outlet offers news, weather, sports, traffic and politics. Includes streaming live audio and past shows. Seattle, Washington.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://mynorthwest.com/?nid=134"} +{"d:Title": "KTRS 550 AM", "d:Description": "Includes news, weather, sports, traffic, community, and sponsor information. Saint Louis, Missouri.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.ktrs.com/"} +{"d:Title": "KREI 800 AM", "d:Description": "Features news, weather and sports. Programming, polls, event calendar and online listening. Farmington, Missouri.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.mymoinfo.com/KREI/4195005"} +{"d:Title": "WMMB 1240 AM", "d:Description": "Clear Channel Communications offers news, political and sports updates and simulcasts WMMV 1350 AM. Includes streaming live audio and past shows. Melbourne, Florida.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.wmmbam.com/"} +{"d:Title": "WHBU 1240 AM", "d:Description": "News, talk and information format. Includes coverage map and schedule. Anderson, Indiana.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.1240whbu.com/"} +{"d:Title": "KFIR 720 AM", "d:Description": "Talk, news and country. Includes streaming audio, schedule and stories. Sweet Home, Oregon.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kfir720am.com/"} +{"d:Title": "WHIO 1290 AM", "d:Description": "News, weather and sports. Programming, traffic, local events and online broadcast. Dayton, Ohio.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.whio.com/"} +{"d:Title": "KGO 810 AM", "d:Description": "Sports, news and politics. Includes programming, contests, traffic, and weather. San Francisco, California.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://www.kgoradio.com/"} +{"d:Title": "WGST 640 AM", "d:Description": "Varied topics. Includes programming, events, promotions, weather and traffic. Listen online. Atlanta, Georgia.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://640wgst.iheart.com/"} +{"d:Title": "KMOX 1120", "d:Description": "Programming schedule, news, sports, weather, traffic and special features. Saint Louis, Missouri, US.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://stlouis.cbslocal.com/"} +{"d:Title": "KFI 640 AM", "d:Description": "News, weather, and sports. Offers streaming live and recent audio, and talent photographs. Los Angeles, California.", "topic": "Top/Arts/Radio/Formats/Talk_Radio/Stations", "url": "http://kfiam640.iheart.com/"} +{"d:Title": "History of American Broadcasting", "d:Description": "AM and FM station lists from the 1920s through 1950s. Articles mostly on early history of radio and TV.", "topic": "Top/Arts/Radio/History", "url": "http://jeff560.tripod.com/broadcasting.html"} +{"d:Title": "StlRadio", "d:Description": "A site which presents the history of St. Louis Broadcast Radio.", "topic": "Top/Arts/Radio/History", "url": "http://www.stlradio.com/"} +{"d:Title": "History of WDRC Radio in Hartford, Connecticut", "d:Description": "Presents a visual and audio history of WDRC, Connecticut's oldest radio station.", "topic": "Top/Arts/Radio/History", "url": "http://www.wdrcobg.com/"} +{"d:Title": "Radio Days: A Soundbite History", "d:Description": "Experience the history of broadcast radio including both news and entertainment. Plus a monthly updated timeline of broadcast radio and many links to other radio-related material.", "topic": "Top/Arts/Radio/History", "url": "http://www.otr.com/"} +{"d:Title": "1950's British Radio Nostalgia", "d:Description": "From Mrs. Dale's Diary to Take It From Here. A collection of BBC Radio programmes in the 1950's are reviewed here. Some with audio clips.", "topic": "Top/Arts/Radio/History", "url": "http://www.whirligig-tv.co.uk/radio"} +{"d:Title": "John Allen's Radio Pages", "d:Description": "Pictures and audio from the UK's BBC Radio London, LBC Newstalk (commercial speech station) and Imperial College Radio (student station).", "topic": "Top/Arts/Radio/History", "url": "http://johnallen.com/radio.html"} +{"d:Title": "Boss Radio Forever", "d:Description": "The history of 1965 Los Angeles rock and roll radio station KHJ.", "topic": "Top/Arts/Radio/History", "url": "http://www.bossradioforever.com/"} +{"d:Title": "Marconi 100 - Marking a century of radio", "d:Description": "The BBC's story of Marconi's first trans-Atlantic broadcast in 1901 from Poldhu in Cornwall to Newfoundland.", "topic": "Top/Arts/Radio/History", "url": "http://www.bbc.co.uk/cornwall/marconi/index.shtml"} +{"d:Title": "Radio Rewind - 40 years of Radio 1&2", "d:Description": "Unofficial look back over the years of Britain's BBC Radio One and Two. Includes sound files, jingles, listings, disc jockey profiles and photographs.", "topic": "Top/Arts/Radio/History", "url": "http://www.radiorewind.co.uk/"} +{"d:Title": "United States Early Radio History", "d:Description": "Articles and extracts about early radio and related technologies, in the United States from 1897 to 1927. By Thomas H. White.", "topic": "Top/Arts/Radio/History", "url": "http://earlyradiohistory.us/"} +{"d:Title": "The Broadcast Archive", "d:Description": "Resources, documents, history, and trivia relating to US radio stations from 1913 onward.", "topic": "Top/Arts/Radio/History", "url": "http://www.oldradio.com/"} +{"d:Title": "Central Florida Radio", "d:Description": "History of Radio Stations in Central Florida form Orlando to Deland", "topic": "Top/Arts/Radio/History", "url": "http://www.cflradio.net/"} +{"d:Title": "Airheads Radio Survey Archive", "d:Description": "An historical archive of pop music radio surveys from the 50s through the 80s. The searchable singles and albums chart data are cross-referenced and include many local or regional hits.", "topic": "Top/Arts/Radio/History", "url": "http://www.las-solanas.com/arsa/"} +{"d:Title": "The history of the UK radio licence", "d:Description": "In the United Kingdom you were required - from 1922 until 1971 - to pay for a radio licence in order to legally listen to the wireless. Illustrated history.", "topic": "Top/Arts/Radio/History", "url": "http://www.radiolicence.org.uk/"} +{"d:Title": "Bay Area Radio Museum", "d:Description": "The history of radio broadcasting in the San Francisco Bay Area, including streaming audio, photographs and memorabilia dating back to the early 20th Century.", "topic": "Top/Arts/Radio/History", "url": "http://www.bayarearadio.org/"} +{"d:Title": "Radio Heritage Foundation - sharing the stories of Pacific radio", "d:Description": "Radio Heritage Foundation provides an online archive collection of stories about pacific radio and a broad range of advocacy, research, education, publishing and other programs.", "topic": "Top/Arts/Radio/History", "url": "http://www.radioheritage.net/RHF_NEWS_RSS2.XML"} +{"d:Title": "Broadcasting Scrapbook", "d:Description": "Memories of radio stations and broadcasters of the 20th century. Contributions welcome.", "topic": "Top/Arts/Radio/History", "url": "http://racampbell.tripod.com/blog/"} +{"d:Title": "RADIOZONE", "d:Description": "The radio, mostly French, its history, its stories. On the radio and the Internet.", "topic": "Top/Arts/Radio/History", "url": "http://ndaeuro.online.fr/gargot/index.htm"} +{"d:Title": "History of Central Florida Radio Stations. WPLA, WLAK, WONN", "d:Description": "History of Central Florida Radio Stations. Biographies, Memorabilia, and Audio clips of Central Florida radio stations and personalities.", "topic": "Top/Arts/Radio/History", "url": "http://www.radioyears.com/"} +{"d:Title": "George \"Hound dog\" Lorenz", "d:Description": "History of Buffalo, New York's \"Father of Rock and Roll Radio.\" Features pictures, sounds and articles on George \"Hound dog\" Lorenz.", "topic": "Top/Arts/Radio/History", "url": "http://www.hounddoglorenz.com/"} +{"d:Title": "Dr. Lee De Forest Radio History", "d:Description": "Chronicles the history of De Forest radios.", "topic": "Top/Arts/Radio/History", "url": "http://www.deforestradio.com/"} +{"d:Title": "Larry Matthews' Minor Career In Radio", "d:Description": "Larry's erratic history of being a disc jockey starting in California in 1967. Also the technological changes that have occurred to change the industry.", "topic": "Top/Arts/Radio/History", "url": "http://members.tripod.com/~djkuba/index-21.html"} +{"d:Title": "MDS975 Radio Memorabilia section", "d:Description": "A look at radio history in the UK from 1920 until today, paying tribute to the BBC, BBC Local Radio and Independent Local Radio.", "topic": "Top/Arts/Radio/History", "url": "http://www.arar93.dsl.pipex.com/mds975/"} +{"d:Title": "Radio London in the 1960's", "d:Description": "History of the pirate Radio London, off the Essex coast in the mid-1960's. Includes useful context of why the pirate stations emerged.", "topic": "Top/Arts/Radio/History", "url": "http://www.radiolondon.co.uk/kneesflashes/stationprofile/hist.html"} +{"d:Title": "DCNYRadio Memory Bank", "d:Description": "Radio and TV memories of stations in Washington, Baltimore, Philadelphia, Buffalo, Boston and New York.", "topic": "Top/Arts/Radio/History", "url": "http://www.dcnyradio.8m.net/"} +{"d:Title": "BBC Radio classics: 1922-46", "d:Description": "BBC site with historic recordings from the first daily radio service in 1922 until the end of World War 2.", "topic": "Top/Arts/Radio/History", "url": "http://news.bbc.co.uk/1/hi/entertainment/1204729.stm"} +{"d:Title": "Audio Graphics", "d:Description": "Services for radio advertisers, radio advertising sales and advertising agencies, using the Internet to support broadcast advertisements.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.audiographics.com/"} +{"d:Title": "Mediatron.com", "d:Description": "Offers radio broadcast automation systems for stations and Internet broadcasting", "topic": "Top/Arts/Radio/Industry", "url": "http://www.mediatron.com/"} +{"d:Title": "Krud Radio", "d:Description": "An in-your-face look at the inside workings of commercial radio in the United States.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.krud.com/"} +{"d:Title": "All Access Music Group", "d:Description": "An online daily source of news, new music, artist information, radio programming tips, show prep, and related music and radio links.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.allaccess.com/"} +{"d:Title": "Fixtronix", "d:Description": "Broadcast maintenance specialists based in Ireland.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.fixtronix.com/"} +{"d:Title": "Median Strip", "d:Description": "New Zealand's radio and recording magazine.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.angelfire.com/ms/medianstrip/index.html"} +{"d:Title": "Radio2000 Software - The Digital Juke Box", "d:Description": "Offers radio broadcast automation systems for stations and Internet broadcasting and also software for mobile DJ operations.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.digitaljukebox.com/"} +{"d:Title": "Radio Connection", "d:Description": "A training network of over 15,000 industry members who own and operate major recording studios, record companies, radio and TV stations.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.radioconnection.com/"} +{"d:Title": "American Broadcasting School", "d:Description": "Campuses in Oklahoma City, Tulsa, and Arlington, offering radio training, practice student Webcasts, and student-produced streaming entertainment.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.radioschool.com/"} +{"d:Title": "KK Broadcast Engineering", "d:Description": "provides broadcast engineering services in mid-Tennessee and north Alabama; includes transmitter site, studio site and contract engineering services, maintenance and construction.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.kkbc.com/"} +{"d:Title": "The National Association of Broadcasters - NAB", "d:Description": "Serves radio and television broadcasters with representation on Capitol Hill along with serving the all electronic media industries with education and world-class conventions and expositons.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.nab.org/"} +{"d:Title": "fmjock.com", "d:Description": "Offers free Internet services and a radio portal to resources for presenters and people wanting to get into radio. Includes links to show prep, directories and other resources.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.fmjock.com/"} +{"d:Title": "MusicMaster", "d:Description": "Maintain your music inventory and schedule playlists.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.musicmasteronline.com/"} +{"d:Title": "ByrnesMedia", "d:Description": "Assists radio stations to attract and retain listeners, coach on-air and programming staff to be more successful, and build listener loyalty.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.byrnesmedia.com/"} +{"d:Title": "MediaTracks", "d:Description": "Producer of syndicated radio public affairs programming, and a leader in radio and audio services for the public relations industry.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.mediatracks.com/"} +{"d:Title": "J.M. Stitt and Associates, Inc.", "d:Description": "Offers engineering services to broadcasters, including facility design and installation, consulting, contract engineering, and tower site development and management.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.jmstittassociates.com/"} +{"d:Title": "TDP - Shortwave Transmitter Airtime", "d:Description": "TDP rents airtime on shortwave radio transmitters to a worldwide audience.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.airtime.be/"} +{"d:Title": "North East RadioWatch", "d:Description": "News of radio industry in the northeast US, including The Boston Radio Archives.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.bostonradio.org/nerw/"} +{"d:Title": "Richard F. Arsenault", "d:Description": "Broadcast radio station consultant offers services to AM, FM, LPFM and SW stations. Includes credentials and contact information.", "topic": "Top/Arts/Radio/Industry", "url": "http://www.radio-broadcast-engineer.com/"} +{"d:Title": "Radio Taiwan International", "d:Description": "RTI, the national radio station of Taiwan, produces news reports and programs focusing on culture, education, entertainment, and other information from local and international perspectives.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rti.org.tw/"} +{"d:Title": "CARACOL Colombia", "d:Description": "One of several Colombian broadcasting networks heard on AM and FM and worldwide on 5076 kHz in the 60 meter band.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.caracol.com.co/"} +{"d:Title": "Radio Romania International", "d:Description": "Radio Romania International (RRI) is a department of the Romanian national public radio, SRR. RRI broadcasts on SW, via Internet and satellite.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rri.ro/"} +{"d:Title": "China Radio International", "d:Description": "The People's Republic of China External Service broadcasts featured programs, commentary and news via FM and shortwave to most of the world's regions using English, German and Spanish.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.chinabroadcast.cn/"} +{"d:Title": "Croatian Radiotelevision", "d:Description": "RealAudio feeds and on-air schedules for Croatian Radio Network HR1, HR2 and HR3, Radio Zadar, Radio Split and others.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.hrt.hr/"} +{"d:Title": "Radio Free Asia", "d:Description": "Radio Free Asia (RFA) is a private, non-profit corporation broadcasting news and information in 9 languages to listeners in Asia.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rfa.org/"} +{"d:Title": "Islamic Republic of Iran Broadcasting", "d:Description": "Broadcasts national and international programs locally and to all world regions in over 30 languages on mediumwave FM, TV, RealAudio/TV and on shortwave.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.irib.ir/"} +{"d:Title": "Radio Canada International", "d:Description": "Canadian Broadcasting Corporation's international service, formerly on shortwave. English site includes podcasts of the weekly program \"The LInk\", Canadian news, and information on Canada. [English, French, Chinese, and Arabic]", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rcinet.ca/"} +{"d:Title": "RFI - Radio France International", "d:Description": "RFI broadcastst worldwide in 19 languages and international news updated every day. Site provides program and frequency information.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rfi.fr/"} +{"d:Title": "R\u00e1dio Nacional de Angola", "d:Description": "Provides broadcast times, frequencies and power of the network emissions. Airs mediumwave, FM, shortwave and RealAudio broadcasts.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rna.ao/"} +{"d:Title": "Radio Pakistan", "d:Description": "Broadcast 300 hours of programming a day through the facilities of 23 transmitters in the domestic and external services.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://radio.gov.pk/"} +{"d:Title": "Spanish Broadcasting System, Inc.", "d:Description": "Owns and operates Spanish-language TV, AM and FM radio stations from Grove, Florida. (Nasdaq: SBSA)", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.spanishbroadcasting.com/"} +{"d:Title": "Radio Korea International", "d:Description": "RKI (Radio Korea International), an international broadcast service. Information and connection to live Real Audio broadcasts. RKi brings 10 languages news and Korean songs.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://rki.kbs.co.kr/"} +{"d:Title": "National Association of Shortwave Broadcasters", "d:Description": "A national organization representing the interests of FCC licensed broadcasters in the private sector of the U.S International Shortwave Broadcast community.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.shortwave.org/"} +{"d:Title": "Radio for Peace International", "d:Description": "A shortwave station in Costa Rica, promoting international human rights issues.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rfpi.org/"} +{"d:Title": "Adventist World Radio", "d:Description": "The international radio broadcast service of the Seventh-day Adventist Church, devoted to spreading the Gospel world-wide.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.awr.org/"} +{"d:Title": "Cyprus Broadcasting Corporation (CyBC)", "d:Description": "Gives program and technical information for receiving Cypriot Radio and TV, broadcast via SIRIUS II satellite 5 East.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.cybc.com.cy/"} +{"d:Title": "Voice of America", "d:Description": "The international broadcast voice of the United States. News and commentary from around the world, now broadcast to the internet in 32 languages.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.voanews.com/"} +{"d:Title": "Radio Prague", "d:Description": "The international service of Czech Radio offers a broad, unbiased, and up-to-the-minute picture of life in the Czech Republic.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.radio.cz/english/"} +{"d:Title": "Far East Broadcasting (FEBC)", "d:Description": "A radio ministry organization founded in 1945. Together with Feba Radio, it transmits programs in 150 languages to Asia, the Middle East and Africa.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.febc.org/"} +{"d:Title": "Radio Free Europe/Radio Liberty", "d:Description": "Radio Free Europe / Radio Liberty is an international news and broadcast organization serving Central and Eastern Europe, the Caucasus, Central Asia, Russia, the Middle East and Balkan countries.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rferl.org/"} +{"d:Title": "The Voice Of Mongolia", "d:Description": "Transmitting from Khonkhor located about 25 km east of Ulaanbaatar, Mongolia's capital, VoM broadcasts are beamed to East Asia and in English to Australia. It welcomes all reception reports from DXers.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.angelfire.com/biz/mrtv/"} +{"d:Title": "WWCR - Worldwide Christian Radio", "d:Description": "WWCR has uses four 100 Kw transmitters on 10 broadcasting channels to transmit over 400 religious and talk programs from Nashville, Tennessee to a global audience.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.wwcr.com/"} +{"d:Title": "Radio Veritas Asia", "d:Description": "A Catholic shortwave broadcaster in Quezon City, Philippines, which transmits programs to Asia in 17 languages.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rveritas-asia.org/"} +{"d:Title": "Radio Denmark", "d:Description": "Information about the activities of the Danish Broadcasting Corporation including news from Denmark and schedules relating to specific radio and television programmes.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.dr.dk/omdr/index.asp?sektion=eng&venstre-stat=true"} +{"d:Title": "Overcomer International Radio Ministry", "d:Description": "Christian radio station 'The Overcomer' providing broadcasts via shortwave.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://overcomerministry.org/"} +{"d:Title": "IPAR International Public Access Radio", "d:Description": "Public radio access on shortwave, AM/Medium Wave and Internet streaming via radio relay facilities operated by NEXUS-IBA. This service is reserved to non-religious, small program producers aired via IRRS-Shortwave and Mediumwave.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.nexus.org/IPAR/"} +{"d:Title": "Trans World Radio", "d:Description": "An international broadcast organization for Monaco airing more than 1,400 hours of Christian programs each week. Offers e-mail news and online program guides.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.twr.org/"} +{"d:Title": "WEWN Shortwave Radio", "d:Description": "Lists the programs and schedules of Global Catholic Radio WEWN, which operates 24 hours daily on WEWN shortwave, via satellite and live audio streams, and also on many AM/FM stations in U.S.A.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.ewtn.com/radio/"} +{"d:Title": "European Gospel Radio", "d:Description": "European Gospel Radio is an international public service promoted by NEXUS-International Broadcasting Association. Access to EGR is open to all who have a Christian message to broadcast to the world, regardless of their denomination or Church affiliation.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.egradio.org/"} +{"d:Title": "ABC-Radio Australia", "d:Description": "Online in RealAudio and Windows Media formats, with multiple language shortwave schedules, and instructions for receiving Australian satellite radio and TV (AusTV).", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.radioaustralia.net.au/"} +{"d:Title": "In Touch Ministries", "d:Description": "Broadcasts Bible teachings in 15 languages to all world regions on local media such as mediumwave, TV and FM, via international shortwave radio and Internet radio and TV.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://intouch.org/"} +{"d:Title": "Radio Bahrain", "d:Description": "English radio service from Bahrain Radio and Television Corporation,", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.radiobahrain.fm/"} +{"d:Title": "KBC Radio", "d:Description": "Broadcasts from a transmitter near Vilnius in Lithuania from 21.30 to 22.30 UTC daily on 6055kHz shortwaves. Monday to Friday The Wolfman Jack Show. Station targets truck drivers in particular.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.kbcradio.eu/"} +{"d:Title": "All India Radio (AIR)", "d:Description": "The official web site of All India Radio (AIR Delhi) with live audio, frequency tables and all program schedules.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://allindiaradio.gov.in/"} +{"d:Title": "Vatican Radio", "d:Description": "400 hours of weekly programming on short wave, medium wave, FM, satellite and the Internet in 37 languages.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.radiovaticana.va/"} +{"d:Title": "Channel Africa", "d:Description": "A multi-lingual source of news, music sports, and information from and about Africa. Operated by the South African Broadcasting Corporation. News, features, programme schedule, podcasts and livestreaming.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.channelafrica.co.za/sabc/home/channelafrica"} +{"d:Title": "Sputnik Radio", "d:Description": "Russian state-owned international radio service. News from a Russin perspective, podcasts and livestreaming. Successor to Voice of Russia/Radio Moscow.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://sputniknews.com/radio/"} +{"d:Title": "Radio Havana Cuba", "d:Description": "State owned international broadcaster. Features news, commentaries, podcasts, programming schedule, and livestream of broadcasts.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.radiohc.cu/en"} +{"d:Title": "WRMI - Radio Miami International", "d:Description": "Private shortwave broadcaster. Program schedule, livestreaming, and information about shortwave radio and purchasing airtime on the station. Broadcasts in English and Spanis.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.wrmi.net/"} +{"d:Title": "RNW Media", "d:Description": "International media organization promoting free expression and training journalists in China and 17 countries in Sub-Saharan Africa, Latin America and the Arab world. Formerly Radio Netherlands Worldwide.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "https://www.rnw.org/"} +{"d:Title": "PCJ Media", "d:Description": "English language international radio service based in Taiwan, owned and operated by Keith Perron. Produces programs for its partner stations around the world and broadcasts on shortwave. Archives of classic shortwave shows from various radio stations.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.pcjmedia.com/"} +{"d:Title": "Radio New Zealand International", "d:Description": "New Zealand's only shortwave broadcaster, broadcasting to the nations of the South Pacific", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.radionz.co.nz/international"} +{"d:Title": "Radio Slovakia International", "d:Description": "Official site of Radio Slovakia International.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://en.rsi.rtvs.sk/"} +{"d:Title": "Deutsche Welle Radio", "d:Description": "Germany's International Broadcasting Station with links to numerous pages in multiple languages containing program schedules, and live audio feeds.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.dw.com/en/program/s-1452-9798"} +{"d:Title": "REE - Radio Exterior de Espa\u00f1a", "d:Description": "Spanish international radio targets international listeners who wish to find out about Spain and also Spaniards living abroad, who wish to keep abreast of news at home. English podcast on site.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.rtve.es/rne/ree/"} +{"d:Title": "Lithuanian Radio and Television", "d:Description": "News in English. Broadcasts to Western Europe on AM/FM and shortwave 9710 kHz, to Russia on shortwave on 9555 kHz, and to North America on shortwave on 9855 kHz.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.lrt.lt/en/news_in_english"} +{"d:Title": "IRRS-Shortwave", "d:Description": "The Italian Radio Relay Service (IRRS) is an international Christian radio service owned and operated by NEXUS-IBA, reaching all continents via Shortwave radio and internet streaming.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.nexus.org/NEXUS-IBA/Schedules/"} +{"d:Title": "International Radio Station KAIJ", "d:Description": "American private shortwave station broadcasting from Murfreesboro, Tennessee on 9480 KHz daytime and 5,755 KHz nighttime. Home of the Ted Randall International Radio Show.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.kaij.us/"} +{"d:Title": "Israel Broadcasting Authority World Service.", "d:Description": "English news and podcasts.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.iba.org.il/world/"} +{"d:Title": "National Radio Company of Ukraine", "d:Description": "NRCU broadcasts on three inland channels and on one channel to the listeners abroad are offered broadcasts in English, German, Romanian and Russian.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.nrcu.gov.ua/en/"} +{"d:Title": "VOA Learning English", "d:Description": "Program information, frequencies and schedules, features and other broadcast information for Voice of America listeners in Africa.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://learningenglish.voanews.com/"} +{"d:Title": "BBC World Service", "d:Description": "Offers programming in 43 languages to all world regions.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.bbc.co.uk/worldserviceradio"} +{"d:Title": "Mediacorp", "d:Description": "Operates Singapore's radio network with FM and shortwave stations providing entertainment and news and information locally and internationally.", "topic": "Top/Arts/Radio/International_Broadcasters", "url": "http://www.mediacorp.sg/en"} +{"d:Title": "DFM Radio Television International", "d:Description": "Artist-run multimedia community web station. Sounds and independent music.", "topic": "Top/Arts/Radio/Internet", "url": "http://dfm.nu/"} +{"d:Title": "Destiny Internet Radio Network", "d:Description": "Community of Internet broadcasters using Destiny Player.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.pirateradionetwork.com/"} +{"d:Title": "Radio Paradise", "d:Description": "Internet radio station playing Music from the 70's to Today. Streaming in MP3 and WMA format.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.radioparadise.com/"} +{"d:Title": "netFM.com", "d:Description": "Australia's first Internet radio station playing popular hits through Media Player.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.netfm.net/"} +{"d:Title": "Cybro Radio", "d:Description": "Non-commercial internet radio station broadcasting rhythm and blues, jazz, big band, swing, Cajun and gospel music for listening with the Destiny Player, Media Player or RealPlayer.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.cybroradio.com/"} +{"d:Title": "Music For the Net", "d:Description": "Online radio station with rotating programs, playing a variety of music and talk in RealAudio. See site for schedule.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.mfnrocks.com/"} +{"d:Title": "Hober Thinking Radio", "d:Description": "Listener-supported online stream playing a wide variety of old time, traditional, folk, Celtic, bluegrass, world, and music by emerging artists.", "topic": "Top/Arts/Radio/Internet", "url": "http://hober.com/"} +{"d:Title": "KPIG Radio Online", "d:Description": "Playing a mix of folk, rock, alternative, blues and comedy, plus commentary. Live online through RealPlayer or Windows Media Player.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.kpig.com/"} +{"d:Title": "Basic.ch", "d:Description": "Internet-only radio with live DJ mixes every day and thousands of hours archived in many different music styles. Requires RealPlayer for listening.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.basic.ch/"} +{"d:Title": "Jerry Pippin Adventures in Internet Broadcasting", "d:Description": "Featuring live Internet music and talk radio programs plus columns and commentary, headline news, chat forum, music, entertainment, politics, travel, and humor.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.jerrypippin.com/"} +{"d:Title": "Kill Radio", "d:Description": "Los Angeles community net radio station. Live programming includes music, performance, and information. See site for schedule; RealPlayer required for listening.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.killradio.org/"} +{"d:Title": "PMPNetwork", "d:Description": "Live and taped celebrity interviews in RealAudio, with TV, movie and theatre information plus music reviews.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.pmpnetwork.com/"} +{"d:Title": "InsideRadio.com", "d:Description": "Breaking news radio and information, including news on broadcasting and Internet radio and employment listings.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.insideradio.com/"} +{"d:Title": "2SER 107.3 FM Underground Radio", "d:Description": "Station and program information, feedback forms, political activism items and related links from Australian community radio . Requires Windows Media Player.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.2ser.com/"} +{"d:Title": "WS Radio", "d:Description": "Live online Windows Media Player powered talk radio station, covering everything from cars to paragliding. Also with archives of past shows.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.wsradio.com/"} +{"d:Title": "CyberstationUSA", "d:Description": "A Destiny Media Player stream featuring anything from astrology talk to progressive rock music. Also providing people with an opportunity to increase their knowledge of radio broadcasting and break into an on-air position.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.dqrm.com/"} +{"d:Title": "Sky.FM", "d:Description": "Streaming radio with multiple selectable genres.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.sky.fm/"} +{"d:Title": "My Baby Radio.Com", "d:Description": "Round the clock radio for new parents with interviews from celebrity parents, real mums and dads, experts and special guests. Comprising of podcasts, blogs, chat, vidblogs, competitions.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.mybabyradio.com/"} +{"d:Title": "BBC Radio Home", "d:Description": "The home of BBC Radio, streaming on the internet.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.bbc.co.uk/radio"} +{"d:Title": "United DJ's Radio", "d:Description": "An International radio station playing Dance, Trance, Techno, and House to people world wide.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.uniteddjsradio.com/"} +{"d:Title": "Radio Portofino", "d:Description": "An internet Adult Contemporary Hit Radio station. Based in Portofino.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.portofinolive.net/"} +{"d:Title": "Pearadio", "d:Description": "Internet radio station playing a variety of music.", "topic": "Top/Arts/Radio/Internet", "url": "http://pearadio.com/content/index.php"} +{"d:Title": "Citybeat Radio", "d:Description": "Internet radio station streaming the very latest Trance, Electro and House from around the globe; live DJs every night, 24 hour stream and chat.", "topic": "Top/Arts/Radio/Internet", "url": "http://citybeatradio.org/"} +{"d:Title": "Ugly Radio", "d:Description": "Independent radio playing RnB and Hip-Hop.", "topic": "Top/Arts/Radio/Internet", "url": "http://uglyradio.net/"} +{"d:Title": "ShoutDRIVE", "d:Description": "24/7 dance music with live shows.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.shoutdrive.com/"} +{"d:Title": "Radio Fm Union", "d:Description": "Radio FM Union, Streaming from Limpio city, Central Zone, Paraguay. Paraguayans and latin music.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.radiofmunion.com/"} +{"d:Title": "KCLA FM", "d:Description": "Home of Kaleidascope Radio Magazine, featuring over 200 weekly music, talk, interview and feature shows that inform and entertain audiences daily on its radio stations. Listen online to the audio stream, hosted by WebRadio.com.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.kclafm.com/"} +{"d:Title": "Washington Bangla Radio", "d:Description": "Streaming Bengali radio station. Features articles, downloads and classic Bengali songs.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.washingtonbanglaradio.com/"} +{"d:Title": "The Gospel Hiway (KGHY)", "d:Description": "Listener supported Christian radio station. Offers news, staff information, bible verses, and mission statement.", "topic": "Top/Arts/Radio/Internet", "url": "http://kghy.org/"} +{"d:Title": "Blazeradio", "d:Description": "24-hour radio station run by the University of Alabama at Birmingham broadcasting music, news and campus events.", "topic": "Top/Arts/Radio/Internet", "url": "http://www.uab.edu/studentmedia/blazeradio/"} +{"d:Title": "Static Radio", "d:Description": "A weekly webcast by two guys, self described as, strange and funny happenings in the lives of two midwesterners.", "topic": "Top/Arts/Radio/Internet/Comedy", "url": "http://www.staticradio.com/"} +{"d:Title": "The Shaft Sounds Off!", "d:Description": "Internet radio sketch comedy, from Ohio University's humor publication called \"The Shaft\".", "topic": "Top/Arts/Radio/Internet/Comedy", "url": "http://theshaftsoundsoff.tripod.com/"} +{"d:Title": "Wild Bunch Radio", "d:Description": "Original adult oriented comedy, talk, and music audio.", "topic": "Top/Arts/Radio/Internet/Comedy", "url": "http://www.wildbunchradio.com/"} +{"d:Title": "SHOUTcast", "d:Description": "A list of over 20,000 free internet radio stations, broadcasting in MP3, AAC+, and Ogg formats.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.shoutcast.com/"} +{"d:Title": "World TV and Radio Tuner", "d:Description": "Over 10,000 Internet radio and TV stations from around the world.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.worldtvradio.com/"} +{"d:Title": "PDAtuner", "d:Description": "Mobile and PDA compatible online radio channels. Over 2000 streams tested regularly on mobile devices.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.pdatuner.com/"} +{"d:Title": "NetBroadcastCenter.com", "d:Description": "Links to hundreds of radio and television stations broadcasting on the net.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.netbroadcastcenter.com/"} +{"d:Title": "Internet radio directory", "d:Description": "Small directory of internet radio stations broadcasting using the Radio Destiny broadcaster", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.pirateradionetwork.com/"} +{"d:Title": "Radio Free World", "d:Description": "Internet-only radio station playing eclectic music 24 hours/day and providing links to hundreds of world radio sites.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.radiofreeworld.com/"} +{"d:Title": "Russians Abroad TV and Radio", "d:Description": "Listing of radio and TV programs available online for listening in RealPlayer, Media Player, or MP3 playlist formats.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.russiansabroad.com/TVRadio/"} +{"d:Title": "Radio-Locator", "d:Description": "A search engine of all of the radio stations in the world with websites, searchable by location and call sign.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.radio-locator.com/"} +{"d:Title": "Sol Musical", "d:Description": "Links to radio stations from around the world, plus television and newspaper links.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.solmusical.com/"} +{"d:Title": "Voice of the Internet", "d:Description": "Bringing you radio stations across the Internet with an FM quality listening experience through the site's own music player.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.surfernetwork.com/"} +{"d:Title": "Radio Look-up", "d:Description": "Over 2800 links to online and on-air radio stations and newspapers by Bill Sparks. Categorized and fully searchable by call letters, city, state, and format.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.billsparks.org/"} +{"d:Title": "Angel Fire Radio", "d:Description": "Links to Internet radio stations around the world.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.angelfireradio.com/"} +{"d:Title": "The StreamGuide", "d:Description": "A format-neutral audio and video guide for streaming media. Search by genre, region, or medium.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.thestreamguide.com/"} +{"d:Title": "Internet Radios", "d:Description": "Aggregation of selected broadcasts.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.web62.com/engl/radio.html"} +{"d:Title": "Vilches Radio Online", "d:Description": "A directory with all live radio stations broadcasting on in Real Audio.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.omninternet.com/radio/radio.asp"} +{"d:Title": "Reciva Radio Portal", "d:Description": "Reciva brings the world of Internet radio into your home. Providing access to an extremely diverse range of Internet radio stations from around the world, with broadcasts from nearly every country on the planet.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.reciva.com/"} +{"d:Title": "Streema Radio Directory", "d:Description": "Listen to live radio broadcasts from around the world. Create a multiple station playlist for free.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.streema.com/"} +{"d:Title": "Radio Stations UK", "d:Description": "Radio Stations provides easy access to a selection of some of the best internet radio stations including live broadcasts online.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.radiostations.co.uk/"} +{"d:Title": "RadioStationWorld", "d:Description": "Informational directory dealing with the radio broadcasters worldwide, listings of local radio stations on the web and in depth listings of local television broadcast stations throughout North America.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://radiostationworld.com/"} +{"d:Title": "openradio", "d:Description": "List of Internet radio stations streaming in open formats.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://openradio.co.uk/"} +{"d:Title": "StreamFinder", "d:Description": "A user maintained directory of internet radio stations and a guide to streaming sites.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.streamfinder.com/"} +{"d:Title": "Musicgoal", "d:Description": "Links to thousands of Internet radio stations. Categorized by genre, region, and bitrate.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.musicgoal.com/"} +{"d:Title": "DeliCast.com", "d:Description": "Internet radio directory containing over 7000 stations from around the world. Listed by country, genre and popularity.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://delicast.com/radio"} +{"d:Title": "Radio Roku Internet Radio Guide", "d:Description": "A directory of over 4000 Internet radio stations. Sorted by Language, name and genres. Easy to use interface.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://radioroku.com/"} +{"d:Title": "Yamour Online Radio", "d:Description": "Links to over 8000 Internet radio stations from around the world.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://onlineradio.yamour.com/portal.htm"} +{"d:Title": "Internet Radio Stations", "d:Description": "Search or browse thousands of radio stations organised by genres or tag. Supports multiple media players.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.internet-radio.org.uk/"} +{"d:Title": "The Musik Lounge", "d:Description": "Links to Internet Radio stations around the world, playing smooth music.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.themusiklounge.com/"} +{"d:Title": "FilterMusic", "d:Description": "Directory of selected internet radio stations streaming in high quality (128Kbps)", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.filtermusic.net/"} +{"d:Title": "Internet radio stations in mexico", "d:Description": "Directory of internet radio stations in mexico, order by state and city.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://mexico-radio.com/"} +{"d:Title": "Real.com UK Guide", "d:Description": "UK Radio stations broadcasting in Real Media format.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://uk.real.com/music/"} +{"d:Title": "Real.com Guide", "d:Description": "Internet broadcasters guide from Real.com, with links to over 2500 stations selectable by genre and keyword search.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://radio.real.com/?src=rg"} +{"d:Title": "RadioTime", "d:Description": "Global directory of terrestrial and internet only stations with search filtering.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://radiotime.com/"} +{"d:Title": "Internet radio guide", "d:Description": "A handy guide to search radio stations from all over the world. All radio stations sorted by country and genre. Click and listen.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.radioguide.fm/"} +{"d:Title": "Rock-it Radio", "d:Description": "Internet radio directory site with several links to Internet radio, free radio and broadcasting.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.palmsradio.com/radiolinks.html"} +{"d:Title": "Live Radio", "d:Description": "Directory for various world wide audio streams. Sorted by genre.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.live-radio.nl/"} +{"d:Title": "LogFM.com", "d:Description": "Lists over 40,000 worldwide radio stations that stream online", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://www.logfm.com/"} +{"d:Title": "BBC Radio Streams", "d:Description": "A list of all direct playlist links for BBC Radio Stations, useful for mobile devices.", "topic": "Top/Arts/Radio/Internet/Directories", "url": "http://bbcstreams.com/"} +{"d:Title": "Broadcastingworld.net", "d:Description": "Broadcasting world offer a range of topics for internet radio broadcasters&podcasters", "topic": "Top/Arts/Radio/Internet/Guides_and_Resources", "url": "http://www.broadcastingworld.net/"} +{"d:Title": "Deezer", "d:Description": "Offers online listening with the possibility to create playlists and send music.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.deezer.com/"} +{"d:Title": "Last.fm", "d:Description": "Online music catalog with free music streaming, videos, photos, lyrics, charts, artist biographies, concerts and internet radio.", "priority": "1", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.last.fm/"} +{"d:Title": "Libre.fm", "d:Description": "A social music platform, Internet radio and music community similar to Last.fm, based on free software and open standards.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://libre.fm/"} +{"d:Title": "totallyradio", "d:Description": "Ten channels of net radio, including reggae, techno, alternative/indie, world, word, jazz, chillout, hiphop, breaks and trash streams.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.totallyradio.com/"} +{"d:Title": "Radioio", "d:Description": "Internet-only radio from St. Augustine, Florida. Multiple genres. Free and members-only streams available in multiple formats and in multiple bandwidths, including MP3.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://radioio.com/"} +{"d:Title": "Solar Radio", "d:Description": "Playing music from four decades of soul, funk and jazz. RealPlayer or Windows Media Player required for online listening.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.solarradio.com/"} +{"d:Title": "Luxuria Music", "d:Description": "A music lifestyle stream on the Internet, featuring a blend of jazz, lounge, latin, exotica, electronica, soundtracks, standards, surf and swing music.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.luxuriamusic.com/"} +{"d:Title": "BoomBox.net", "d:Description": "A free online soundsystem bringing you a selection of beats from electronic to hip-hop, including a combination of live broadcasts, archived shows, mix-tapes and special releases.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.boombox.net/"} +{"d:Title": "Airbubble", "d:Description": "Streaming content solutions and freeform Internet radio from the makers of Music for Cubicles and GoGaGa.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.airbubble.com/"} +{"d:Title": "RadioMaxMusic.com", "d:Description": "Featuring a mix of country, soul, rhythm and blues, southern rock, rockabilly and original programming.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.radiomaxmusic.com/"} +{"d:Title": "Music Choice", "d:Description": "Live webcasts, music downloads, several themed Windows Media Player streams, and CD store.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.musicchoice.com/"} +{"d:Title": "Music Sojourn", "d:Description": "15 different radio series produced by professional hosts, including Echoes with John Diliberto, heard on over 150 US radio Stations. Many styles and genres.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://musicsojourn.com/"} +{"d:Title": "The Lost 45s", "d:Description": "A radio program which features Top 40 hits from the 60s, 70s and 80s. Includes list of the hundred most requested songs, audio clips, and photographs of the artists.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.lost45.com/"} +{"d:Title": "Tigersushi", "d:Description": "An alternative media about quality music from all periods, genres, artists and labels with a focus on electronic avant-garde.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.tigersushi.com/"} +{"d:Title": "Epitonic", "d:Description": "Independent music across several genres from both signed and unsigned artists. Featuring MP3 song downloads, radio streams, and many full album streams available through Windows Media Player.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://epitonic.com/"} +{"d:Title": "TQradio", "d:Description": "Online radio station playing a variety of music through the Destiny Player.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://tqradio.tripod.com/"} +{"d:Title": "KD Radio", "d:Description": "Playing popular music from the 50s, 60s, 70s and 80s online. Requires WIndows Media Player for listening.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.kdsk.com/"} +{"d:Title": "Rock3 Radio Network", "d:Description": "Several streaming stations from the UK, including rock, seventies rock and seventies punk.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.rock3.co.uk/"} +{"d:Title": "Radio@AOL", "d:Description": "Offers over 200 streaming radio channels from country to pop to rock to hip-hop.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://music.aol.com/radioguide/bb"} +{"d:Title": "Songza", "d:Description": "A music search engine and internet jukebox. Contains FAQ.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://songza.com/"} +{"d:Title": "Dublab", "d:Description": "Live stream and monthly top ten, as well as archives of guest DJs, weekly shows, and events.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.dublab.com/"} +{"d:Title": "Spotify", "d:Description": "Offers a desktop software to listen music by streaming with the possibility to create and share playlists.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.spotify.com/"} +{"d:Title": "Yahoo! Music", "d:Description": "Visitors may create a personal Internet radio station with Lauchcast and listen to music based on their own and other listener's tastes.", "priority": "1", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://new.music.yahoo.com/"} +{"d:Title": "ARTISTdirect Internet Radio", "d:Description": "Internet radio stations featuring techno, pop, alternative, metal, hip-hop, rap, world, and electronica music via Windows Media Player.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.artistdirect.com/nad/listen/0,,,00.html"} +{"d:Title": "HotMix106", "d:Description": "Hot Mix 106 plays old and new rock and blues music", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.hotmix106.com/"} +{"d:Title": "Music Choice", "d:Description": "With a diverse roster of stations, playing anything from alternative rock to accordion music to movie soundtracks to music for children. Requires RealPlayer for listening.", "topic": "Top/Arts/Radio/Internet/Music", "url": "http://www.musicchoiceinternational.com/"} +{"d:Title": "Off The Hook", "d:Description": "A talk show dedicated to the social implications of technology. Live on Wednesdays at 7pm EDT. Archives available online.", "priority": "1", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.2600.com/offthehook/"} +{"d:Title": "The Bob Freeman Show", "d:Description": "A controversial, personality driven talk show focusing on current events and the lives of show's hosts.", "priority": "1", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.bobfreemanshow.com/"} +{"d:Title": "James Randi Internet Audio Show", "d:Description": "Host James Randi provides a skeptical perspective concerning the paranormal. Live every Thursday at 9pm ET. Archives available online.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.randi.org/radio/"} +{"d:Title": "The Meria Heller Show", "d:Description": "An interview driven internet talk show focusing on politics and spirituality. Live Sunday through Thursday at 1pm ET.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.meria.net/"} +{"d:Title": "No Holds Barred Radio", "d:Description": "A talk/comedy show where the hosts talk about anything and everything. Featuring song parodies, commercials, and commentary.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.nhbradio.com/"} +{"d:Title": "The Hour of Slack", "d:Description": "The radio ministry of the Church of the SubGenius. Featuring rants, music, preaching, and comedy.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.subgenius.com/ts/hos.html"} +{"d:Title": "Static Internet Radio", "d:Description": "A weekly webcast about the strange and funny happenings in the lives of two midwesterners.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.staticradio.com/"} +{"d:Title": "The Overnightscape", "d:Description": "Original talk, comedy, and weirdness.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.theovernightscape.com/"} +{"d:Title": "Tuning in with Betsy", "d:Description": "Betsy Balega, Toronto psychic and radio host, interviews authors, doctors, celebrities and takes listener calls.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.blogtalkradio.com/betsy"} +{"d:Title": "LA Talk Radio", "d:Description": "Features live commercial-free talk shows on a range of topics.", "topic": "Top/Arts/Radio/Internet/Talk_Radio", "url": "http://www.latalkradio.com/"} +{"d:Title": "Norman Barrington's Radio Pages", "d:Description": "Former radio DJ Norman Barrington features in-depth coverage of jingles, including their history, and supplies an online reference work and jingle archive.", "topic": "Top/Arts/Radio/Jingles", "url": "http://www.normanb.net/"} +{"d:Title": "Jinglefreaks.com", "d:Description": "Former radio personality's collection of jingles.", "topic": "Top/Arts/Radio/Jingles", "url": "http://www.jinglefreaks.com/"} +{"d:Title": "Jingle News.", "d:Description": "A site with news and resources relating to jingles and jingle making.", "topic": "Top/Arts/Radio/Jingles", "url": "http://www.jinglenews.com/"} +{"d:Title": "Jingles Top 40", "d:Description": "A site that ranks other jingles sites around the world.", "topic": "Top/Arts/Radio/Jingles", "url": "http://www.jinglestop40.com/"} +{"d:Title": "Radio Waves", "d:Description": "Article about the movement to legalize low-power grass roots radio, and broadcast corporations' effort to stop it. Author says despite broadcasters' efforts, new competitors for listeners will emerge. By Jesse Walker from Reason Magazine.", "topic": "Top/Arts/Radio/News_and_Media/Radio_Consolidation", "url": "http://reason.com/archives/1999/06/01/radio-waves"} +{"d:Title": "Komando, Kim", "d:Description": "Host of nationally syndicated computer show. Biography, library of articles, frequently asked questions, computer tips, tricks, and secrets, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.komando.com/"} +{"d:Title": "Larson, Mark", "d:Description": "KCBQ San Diego talk show host. Biography, photo album, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.marklarson.com/"} +{"d:Title": "Harvey, Paul", "d:Description": "Official site of legendary syndicated commentator. Biography, audio archives, headline news, and station list.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.paulharvey.com/"} +{"d:Title": "Beck, Glenn", "d:Description": "Syndicated talk show host. News, audio, photos, biography, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.glennbeck.com/"} +{"d:Title": "WPNR Alumni", "d:Description": "Current whereabouts of former Syracuse New York college station DJs.", "topic": "Top/Arts/Radio/Personalities", "url": "http://wpnralumni.tripod.com/"} +{"d:Title": "The Joy Boys, Walker and Scott", "d:Description": "Fan site dedicated to Ed Walker and Willard Scott, WRC Washington, DC announcers from 1955 to 1972. Audio clips, history, pictures, stories, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.thejoyboys.com/"} +{"d:Title": "Delilah", "d:Description": "Syndicated love songs show host. Journal, photos, polls, listener letters, and online store.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.radiodelilah.com/"} +{"d:Title": "Ellery, Jack", "d:Description": "Talk show host and newspaper columnist. Resume, ratings, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.jackellery.tvheaven.com/"} +{"d:Title": "Smaldone, Valerie", "d:Description": "Midday announcer for WLTW, New York. Photos, biography, advice, celebrity interviews, and recipes.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.valeriesmaldone.com/"} +{"d:Title": "Stevens, Rich", "d:Description": "Longtime radio announcer and TV personality. Resume, airchecks, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.richstevens.com/"} +{"d:Title": "Simmonds, Rick", "d:Description": "Announcer/presenter for Fox FM in the UK. Biography, audio clips, and photos.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.ricksimmonds.com/"} +{"d:Title": "Kleon, Joe", "d:Description": "Rock announcer. Biography, audio, video, photos, interviews, and guestbook.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.joekleon.com/"} +{"d:Title": "Israeli, Shlomo", "d:Description": "Jerusalem, Israel announcer. Biography, playlists, audio clips, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://shlomoisraeli.com/"} +{"d:Title": "Prem's [ig]Noble Web Page", "d:Description": "KUSF San Francisco announcer. Playlists, musicians, releases, related local live performances, album reviews, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.angelfire.com/ca/musicmix/"} +{"d:Title": "Milne, Craig", "d:Description": "Scottish radio presenter. Profile, news, gallery, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.craigmilne.com/"} +{"d:Title": "Raskin, Rhona", "d:Description": "Host of syndicated show Rhona At Night. Biography, station list, columns, photo gallery, newsletter, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.rhona.com/"} +{"d:Title": "John Boy and Billy", "d:Description": "Syndicated morning show hosts. Station list, webcast, audio clips, fan club, gallery, links, and online store.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.thebigshow.com/"} +{"d:Title": "Slam The Stuntman", "d:Description": "Fan Site for Slam The Stuntman of WBLI, Long Island, New York. Pictures, poll, biography, and message board.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.angelfire.com/ny4/WBLISlam/"} +{"d:Title": "Colmes, Alan", "d:Description": "Syndicated radio talk show host, and co-host of Hannity and Colmes on Fox News Channel. News, chatroom, links, audio, internet graffiti, and pictures.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.alan.com/"} +{"d:Title": "Rover", "d:Description": "Official site of syndicated morning radio talk show. Video, audio, photographs and live stream of the show.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.roverradio.com/"} +{"d:Title": "Cortez, Desi", "d:Description": "Fan page for KOA Denver weekend talk show host. Guestbook, message board, editorials, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://desicortez.freeservers.com/"} +{"d:Title": "Chriss, Kidd", "d:Description": "Audio from his show on KSFM, Sacramento, CA.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.photius.com/audio/radio/ksfm/ksfm.html"} +{"d:Title": "Cash, Dave", "d:Description": "Thunder 103.5 Tampa, Florida announcer. Biography, pictures, audio, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://members.tripod.com/cashmann98/"} +{"d:Title": "Baker, David", "d:Description": "Radio and mobile DJ. Based in Essex, United Kingdom.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.davidbaker.biz/"} +{"d:Title": "Cox, Sara", "d:Description": "BBC presenter. News, flash games, streaming audio, and webcam.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.bbc.co.uk/radio1/saracox"} +{"d:Title": "Clarkson, Stuart", "d:Description": "UK Radio presenter and journalist. Stations include 97.2 Stray FM, Alpha 103.2, Home 107.9, and Fresh AM. News, biography, audio, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.stuartclarkson.co.uk/"} +{"d:Title": "Pearce, Dave", "d:Description": "Presenter for BBC's Radio One. Biography, pictures, playlist, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.davepearce.co.uk/"} +{"d:Title": "Werbe, Peter", "d:Description": "Left-leaning talk show host, performing on WRIF Radio's 'Night Call' in Detroit, and in national syndication through the i.e. America Radio Network. Presenting an assortment of articles and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.peterwerbe.com/"} +{"d:Title": "Phillips, Paul", "d:Description": "Profile, playlists, and history of soul music radio in the United Kingdom.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.showplaylist.com/"} +{"d:Title": "Shirlaw, Derek", "d:Description": "Country music radio DJ from the United Kingdom. Profile and news.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.derekshirlaw.co.uk/"} +{"d:Title": "Valentine, Greg", "d:Description": "Airchecks, resume, photos, showprep, and marketing tips.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.gregvalentine.com/"} +{"d:Title": "Vickiveil", "d:Description": "WSAI, Cincinnati, Ohio radio psychic. Biography, calendar, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.angelfire.com/oh4/therosepsychic/"} +{"d:Title": "Bright, Tom", "d:Description": "United Kingdom entertainer and personality. Includes show description, fan club sign up and biographical information.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.tombright.com/"} +{"d:Title": "Chapman, Jim", "d:Description": "Opinions on local and international issues. London, Ontario", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.jimchapman.ca/"} +{"d:Title": "McGuire, Paul", "d:Description": "Political commentator hosts weekday program on KBRT AM740 Avalon, California.", "topic": "Top/Arts/Radio/Personalities", "url": "http://paulmcguire.com/"} +{"d:Title": "Coren, Michael", "d:Description": "Toronto author, print and broadcast journalist and host. Biography, links to media, book offers, sample columns.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.michaelcoren.com/"} +{"d:Title": "Bubba the Love Sponge", "d:Description": "News, software and forums.", "topic": "Top/Arts/Radio/Personalities", "url": "http://bubbathelovesponge.net/"} +{"d:Title": "Wright, Steve", "d:Description": "Fan site for former BBC Radio One presenter. Career recap, sound and video clips and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.sidthemanager.co.uk/"} +{"d:Title": "Burnett, Chas", "d:Description": "Comedian and entertainer with a daily radio show located in Spain. Includes background information, past credits and current appearances. Netscape compatible.", "topic": "Top/Arts/Radio/Personalities", "url": "http://chasburnett.com/"} +{"d:Title": "O'Brien, Charlie", "d:Description": "Morning announcer for CKWW Detroit/Windsor. Voiceover demos, vintage airchecks, and photos from CKLW and CHUM.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.charlieobrienvoice.com/"} +{"d:Title": "Naughton, Peter", "d:Description": "Afternoon announcer for WLZW, Utica, New York. Resume, photos, contests, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://www.pnaw10.com/"} +{"d:Title": "Douglas, Jackson", "d:Description": "Host of syndicated oldies program. Station list, streaming audio, photo gallery, and links.", "topic": "Top/Arts/Radio/Personalities", "url": "http://knowston.yolasite.com/"} +{"d:Title": "The Young&Elder Morning Show", "d:Description": "Features resume, videos and contact details for the radio team.", "topic": "Top/Arts/Radio/Personalities", "url": "http://youngandelder.com/"} +{"d:Title": "Rock Radio Scrapbook", "d:Description": "A collection of worldwide airchecks with the emphasis on Canadian radio personalities.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.rockradioscrapbook.ca/"} +{"d:Title": "Reel Top 40 Radio Repository", "d:Description": "Hundreds of classic airchecks of 'Top 40' radio personalities and stations.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.reelradio.com/"} +{"d:Title": "NortheastAirchecks.com", "d:Description": "Historic airchecks of radio stations and personalities from Northeastern America.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.northeastairchecks.com/"} +{"d:Title": "RadioTimeline.com", "d:Description": "A radio tribute site featuring airchecks, photos and links to legendary air personalities and radio stations.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.radiotimeline.com/"} +{"d:Title": "California Aircheck", "d:Description": "This site provides current and older audio and video airchecks each month from radio personalities.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.californiaaircheck.com/"} +{"d:Title": "BigAppleAirchecks", "d:Description": "New York city airchecks. Examples from radio personalities across America and Canada are also included with a trading service.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.bigappleairchecks.com/"} +{"d:Title": "WABC Musicradio 77", "d:Description": "Site dedicated to WABC New York and the personalities during the 'Top 40' era. Extensive aircheck collection, interviews, and photos.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.musicradio77.com/"} +{"d:Title": "Vuolo Video Air-Chex", "d:Description": "Video and audio of radio personalities with broadcasting events, tributes and reunion information.", "topic": "Top/Arts/Radio/Personalities/Air_Checks", "url": "http://www.vuolovideo.com/"} +{"d:Title": "Coast To Coast Art Bell Community", "d:Description": "LiveJournal community devoted to Art Bell.", "topic": "Top/Arts/Radio/Personalities/Bell,_Art", "url": "http://coast-to-coast.livejournal.com/"} +{"d:Title": "Geator", "d:Description": "Tribute page to Philadelphia Doo-Wop DJ Jerry Blavat. Biography, articles, and audio clips.", "topic": "Top/Arts/Radio/Personalities/Blavat,_Jerry", "url": "http://web.eznet.net/~gc/geator/"} +{"d:Title": "Rick Dees Online", "d:Description": "Official site with \"Weekly Top 40\" features, celebrity and music artist news and interviews, polls, comedy, chat room, photos, merchandise, e-cards, recipes, games and links.", "priority": "1", "topic": "Top/Arts/Radio/Personalities/Dees,_Rick", "url": "http://rick.com/"} +{"d:Title": "DJ Rick Dees Creates Student Production Award", "d:Description": "Article from the Spring 1998 edition of Carolina Connections.", "topic": "Top/Arts/Radio/Personalities/Dees,_Rick/Articles_and_Interviews", "url": "http://www.unc.edu/news/archives/dec97/dees.html"} +{"d:Title": "Joe Frank.com", "d:Description": "News and streaming audio archive.", "topic": "Top/Arts/Radio/Personalities/Frank,_Joe", "url": "http://www.joefrank.com/"} +{"d:Title": "Hendrie, Phil", "d:Description": "Official site of Los Angeles based syndicated talk show. Streaming audio, archives, biography, video, articles, show news, and online store.", "priority": "1", "topic": "Top/Arts/Radio/Personalities/Hendrie,_Phil", "url": "http://www.philhendrieshow.com/"} +{"d:Title": "Classic Bands: Wolfman Jack", "d:Description": "Biography and an interview conducted in 1980.", "topic": "Top/Arts/Radio/Personalities/Jack,_Wolfman", "url": "http://www.classicbands.com/wolfman.html"} +{"d:Title": "XERB 1090", "d:Description": "Original broadcasts of Wolfman Jack from 1966 through 1972 and his history with the station broadcast from Mexico.", "topic": "Top/Arts/Radio/Personalities/Jack,_Wolfman", "url": "http://www.uncasnetworks.com/xerb/"} +{"d:Title": "Lex and Terry Online", "d:Description": "Official site. Streaming audio, links, photos, show archive, biographies, news, sports, chatroom, and online store.", "priority": "1", "topic": "Top/Arts/Radio/Personalities/Lex_and_Terry", "url": "http://www.lexandterry.com/"} +{"d:Title": "BlowMeUpTom.com", "d:Description": "Official site with news, photos, press, links, and message board.", "priority": "1", "topic": "Top/Arts/Radio/Personalities/Leykis,_Tom", "url": "http://www.blowmeuptom.com/"} +{"d:Title": "Moylesworld", "d:Description": "Chris Moyles, DJ on BBC Radio 1. Contains clips, information, and pictures.", "topic": "Top/Arts/Radio/Personalities/Moyles,_Chris", "url": "http://chrismoyles.net/mw/"} +{"d:Title": "Opie&Anthony's Demented Fan Page", "d:Description": "Photos, audio clips, news, show highlights, and guestbook.", "topic": "Top/Arts/Radio/Personalities/Opie_and_Anthony", "url": "http://chewbaka.freeservers.com/opack/"} +{"d:Title": "Wackbag.Com", "d:Description": "Unofficial Opie and Anthony message board", "topic": "Top/Arts/Radio/Personalities/Opie_and_Anthony", "url": "http://www.wackbag.com/"} +{"d:Title": "Lorcan's Home Page", "d:Description": "Includes playlists for Peel, and others, from 1992 through 2001. With news articles.", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://www.avistic.demon.co.uk/"} +{"d:Title": "BBC Radio 4: John Peel", "d:Description": "Official site of Home Truths. Including live music, pictures, features, tracklistings and information on the programme.", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://www.bbc.co.uk/radio4/hometruths/"} +{"d:Title": "Radio B92 interview with John Peel", "d:Description": "Interview for Belgrade's Radio B92 discussing the history of the Peel programme.", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://www.b92.net/feedback/misljenja/jp.php"} +{"d:Title": "BBC Sport: Why I Hate... Manchester United", "d:Description": "Interview with Peel in which he explains: \"So my prejudice against United has been lifelong.\"", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://news.bbc.co.uk/sport1/hi/funny_old_game/1639695.stm"} +{"d:Title": "BBC Radio 1: John Peel", "d:Description": "Official site of the DJ. Including live music, pictures, features, tracklistings and information on the programme.", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://www.bbc.co.uk/radio1/johnpeel/index.shtml"} +{"d:Title": "John Peel's Festive 50s", "d:Description": "Includes year-by-year listings of all of the tracks that featured in the Festive 50. Brief profile, and contact information for Peel.", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://www.rocklistmusic.co.uk/festive50.htm"} +{"d:Title": "Planet Bods: John Peel", "d:Description": "Rules for a game which involves the participants eating a sweet every time Peel performs a given action.", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://www.planetbods.org/theshed/peel/index.live"} +{"d:Title": "Yahoo Groups: Peel", "d:Description": "Web-based discussion group. Topics covered include featured bands, tracks played, the Festive 50, and the Peel Sessions.", "topic": "Top/Arts/Radio/Personalities/Peel,_John", "url": "http://launch.groups.yahoo.com/group/peel/"} +{"d:Title": "Jim Rome", "d:Description": "Official site of syndicated sports talk host. News, station list, glossary, tour stops, clones, and audio.", "priority": "1", "topic": "Top/Arts/Radio/Personalities/Rome,_Jim", "url": "http://www.jimrome.com/"} +{"d:Title": "Stucknut", "d:Description": "Jim Rome and sports radio fansite. Affiliates, live streams, news, Smack-off odds, message board, and audio archive.", "topic": "Top/Arts/Radio/Personalities/Rome,_Jim", "url": "https://www.stucknut.com/"} +{"d:Title": "Dr. Laura", "d:Description": "Official site with audio archives, listener letters, magazine, biography, and online store.", "priority": "1", "topic": "Top/Arts/Radio/Personalities/Schlessinger,_Dr._Laura", "url": "http://www.drlaura.com/"} +{"d:Title": "Dr Laura on Tourette Syndrome", "d:Description": "Article disagreeing with Dr. Laura's opinion on Tourette Syndrome.", "topic": "Top/Arts/Radio/Personalities/Schlessinger,_Dr._Laura", "url": "http://tourettenowwhat.tripod.com/DrLauraTS.htm"} +{"d:Title": "Dr. Laura Schlessinger", "d:Description": "Links, articles, and books.", "topic": "Top/Arts/Radio/Personalities/Schlessinger,_Dr._Laura", "url": "http://skyhawktech.com/radio_personalities/drlaura.html"} +{"d:Title": "Schlessinger, Dr. Laura", "d:Description": "The radio psychiatrist's weekly advice column.", "topic": "Top/Arts/Radio/Personalities/Schlessinger,_Dr._Laura", "url": "http://jewishworldreview.com/dr/laura.html"} +{"d:Title": "Dr. Laura, how could you?", "d:Description": "Feature article muses on the scandal over nude photos of Dr. Laura Schlessinger. [Salon]", "topic": "Top/Arts/Radio/Personalities/Schlessinger,_Dr._Laura", "url": "http://www.salon.com/1999/03/03/03feature_html/"} +{"d:Title": "Yahoo Groups: Tim Westwood's Fan Club", "d:Description": "On-line discussion group that facilitates fan-to-fan communication.", "topic": "Top/Arts/Radio/Personalities/Westwood,_Tim", "url": "http://movies.groups.yahoo.com/group/timwestwoodsfanclub/"} +{"d:Title": "BBC Radio 1: Tim Westwood", "d:Description": "Includes news, biography, broadcast times, transcripts and audio clips of interviews from the show, and the current 'Top Ten at Ten'.", "topic": "Top/Arts/Radio/Personalities/Westwood,_Tim", "url": "http://www.bbc.co.uk/radio1/westwood/index.shtml"} +{"d:Title": "Guardian Unlimited: Radio 1 DJ Shot in Gangland-style Hit", "d:Description": "Article by Nick Hopkins, the Guardian's Crime Correspondent, about the drive-by shooting in which Westwood was injured.", "topic": "Top/Arts/Radio/Personalities/Westwood,_Tim", "url": "http://www.theguardian.com/uk/1999/jul/20/ukguns.nickhopkins"} +{"d:Title": "Kamen Entertainment", "d:Description": "Casting, music, sound design for radio and TV advertising.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.kamen.com/"} +{"d:Title": "Tuesday Productions", "d:Description": "National musical ad campaign company specializing in television and radio advertising. Site contains online demos and downloadable samples. [Shockwave enhanced]", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.tuesdaypro.com/"} +{"d:Title": "Barry Volk's Sound Advantage", "d:Description": "producers of jingles, sound signatures, custom music, cartoons for Radio/TV advertisers worldwide: online samples.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.soundad.com/"} +{"d:Title": "Illinois Audio Productions", "d:Description": "IAP provides audio production and scriptwriting for radio, TV, on-hold messages, and voice prompts.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.ilaudio.com/"} +{"d:Title": "Robert George Productions", "d:Description": "ISDN recording studio based in Naples, Florida, offering services in radio commercials, custom jingles, CD mastering, audio for multimedia and web, audio for video and sound design.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://rgproductions.net/"} +{"d:Title": "Grace Broadcast Sales", "d:Description": "Offering syndicated radio features, radio advertising - copywriting and production, and telephone messages and music on-hold.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://gracebroadcast.com/"} +{"d:Title": "GAP Digital", "d:Description": "Suppliers of production equipment and services. The producers behind the audio edition of Left Behind series.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.gapdigital.com/"} +{"d:Title": "World Wide Wadio", "d:Description": "Hollywood writers, directors, producers and sound designers, who produce radio commercials, campaigns, and audio tracks for Internet, TV, film and CD-ROMs.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.wadio.com/"} +{"d:Title": "Dave Langer - Creative Services", "d:Description": "Produces radio and other station identification jingles, including voiceovers, also custom and commercial applications jingle productions.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.jingle.org/"} +{"d:Title": "Go Kart Sound", "d:Description": "Provides audio production services including radio ads, digital recording, mastering, remixing and soundtracks.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://gokartsound.indiegroup.com/"} +{"d:Title": "Banyan Tree Communications", "d:Description": "India's largest independent radio producer. Over 1000 hours a month of content in 9 languages.", "topic": "Top/Arts/Radio/Production_Services", "url": "http://www.banyan-tree.com/"} +{"d:Title": "fmjock.com", "d:Description": "Offers free Internet services and a radio portal to resources for presenters and people wanting to get into radio. Includes links to show prep, directories and other resources.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.fmjock.com/"} +{"d:Title": "Preplinks.com", "d:Description": "Provides free daily show prep for radio professionals.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.preplinks.com/"} +{"d:Title": "InterPrep", "d:Description": "Show prep, articles, country news, and links from an industry leader in radio show prep services.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.interprep.com/"} +{"d:Title": "The Morning Punch", "d:Description": "A show preparation service that provides five pages of punchlines and topical monologue each day.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.morningpunch.com/"} +{"d:Title": "Friday Morning Quarterback Album Report, Inc.", "d:Description": "Features news and information about the radio and records businesses. Includes charts and playlists.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.fmqb.com/"} +{"d:Title": "The Bull Sheet On-line", "d:Description": "Offers daily show prep and related services for radio and TV broadcasting. Includes samples of entertainment and lifestyle news, trivia, comedy and related materials.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.thebullsheet.com/"} +{"d:Title": "FlashNews.com", "d:Description": "Offers daily pop culture news. Includes a service overview, sample offer, contact information and archives for clients.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.flashnews.com/"} +{"d:Title": "Prep on Demand", "d:Description": "Topical comedy serving a UK and international market. No subscription fee pay as you use.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.gagwriter.co.uk/"} +{"d:Title": "Bitboard", "d:Description": "Show prep idea sharing network.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.bitboard.com/"} +{"d:Title": "Radio Online", "d:Description": "Provides daily show prep including On This Date In History, Birthdays and Movie/TV drops.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://menu.radio-online.com/cgi-bin/rolmenu.exe/menu"} +{"d:Title": "Archer&Valerie Productions", "d:Description": "Free show prep, audio, news services, news clippings, and humor for professional broadcasters.", "topic": "Top/Arts/Radio/Production_Services/Show_Prep_Services", "url": "http://www.archer2000.com/archervalerie/"} +{"d:Title": "Radio Tunis Live", "d:Description": "Radio-Tunis, the Tunisian national radio channel online: live transmission, recorded programs, and Tunisian music archives.", "topic": "Top/Arts/Radio/Regional/Africa", "url": "http://www.radiotunisienne.tn/"} +{"d:Title": "Get Shocked with Radio Casablanca - Morocco / Maroc", "d:Description": "Radio Casablanca provides you with music, news and live events in Real Audio and Shockwave.", "topic": "Top/Arts/Radio/Regional/Africa", "url": "http://www.maroc.net/rc/"} +{"d:Title": "RMR 89.7 FM Stereo - Grahamstown&Eastern Cape, South Africa", "d:Description": "Hip-Hop, Rhythm and Blues, Jazz, Local, Kwaito, Acid-Jazz, Trip-hop, Drum'n'bass, House, Garage, time blocks for station programming vary.", "topic": "Top/Arts/Radio/Regional/Africa", "url": "http://www.rhodesmusicradio.co.za/"} +{"d:Title": "Channel Africa", "d:Description": "News out of Africa.", "topic": "Top/Arts/Radio/Regional/Africa", "url": "http://www.channelafrica.co.za/"} +{"d:Title": "SHOUNAM 78.9FM - Zushi", "d:Description": "Shonan Beach FM", "topic": "Top/Arts/Radio/Regional/Asia/Japan", "url": "http://www.beachfm.co.jp/"} +{"d:Title": "FM YOKOHAMA - 84.7MHz FM", "d:Description": "Welcome to FM YOKOHAMA", "topic": "Top/Arts/Radio/Regional/Asia/Japan", "url": "http://www.fmyokohama.co.jp/"} +{"d:Title": "INTER-FM 76.1 FM - Tokyo", "d:Description": "InterFM Online, Tokyo's No.1 Music Station", "topic": "Top/Arts/Radio/Regional/Asia/Japan", "url": "http://www.interfm.co.jp/"} +{"d:Title": "Tokyo FM - 80.0 - Tokyo", "d:Description": "TFM on the Web", "topic": "Top/Arts/Radio/Regional/Asia/Japan", "url": "http://www.tfm.co.jp/"} +{"d:Title": "Radio Hoyer", "d:Description": "FM 101.9 and AM stations serving from Cura\u00e7ao, the largest island of the Netherlands Antilles.", "topic": "Top/Arts/Radio/Regional/Caribbean", "url": "http://www.radiohoyer.com/"} +{"d:Title": "Radio Free Europe / Radio Liberty", "d:Description": "Radio Free Europe / Radio Liberty is an international news and broadcast organization serving Central and Eastern Europe, the Caucasus, Central Asia, Russia, and the South Slavic countries.", "topic": "Top/Arts/Radio/Regional/Europe", "url": "http://www.rferl.org/"} +{"d:Title": "PeelGrass", "d:Description": "PeelGrass is a non-commercial country program for the local radiostation, Radio Venray. Netherlands.", "topic": "Top/Arts/Radio/Regional/Europe", "url": "http://www.xs4all.nl/~peelgras/"} +{"d:Title": "The Broadcasting Link", "d:Description": "A list of European radio stations.", "topic": "Top/Arts/Radio/Regional/Europe", "url": "http://www.markovits.com/broadcasting/"} +{"d:Title": "Israeli Offshore Radio", "d:Description": "An overview of Israel offshore radio, their history, photos of the ships and the DJs plus up-to-date news items", "topic": "Top/Arts/Radio/Regional/Middle_East/Israel", "url": "http://www.offshore-radio.de/Israel.htm"} +{"d:Title": "Radio Liban Libre", "d:Description": "Lebanese 24/7 Radio with News from Lebanon, Variety Arabic music.", "topic": "Top/Arts/Radio/Regional/Middle_East/Lebanon", "url": "http://www.rll.com.lb/"} +{"d:Title": "Voice of Lebanon", "d:Description": "Lebanese Arabic Radio with daily updated news", "topic": "Top/Arts/Radio/Regional/Middle_East/Lebanon", "url": "http://www.vdl.com.lb/"} +{"d:Title": "Inspiracom", "d:Description": "Non-commercial, educational FM stations and repeaters in English and Spanish. Christian music and programming. Most stations along the US-Mexico border.", "topic": "Top/Arts/Radio/Regional/North_America", "url": "http://inspiracom.org/"} +{"d:Title": "Airwaves Canada", "d:Description": "Canadian radio station websites. Links offer direct streaming media from these stations.", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://www.toronto.hm/radio.html"} +{"d:Title": "Broadcastlive.com", "d:Description": "Listen to Canadian music, news or sports radio while you surf.", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://broadcast-live.com/canada.html"} +{"d:Title": "Kampong Ku Radio", "d:Description": "A non-profit radio broadcast in Canada, dedicated to bringing Malaysians, Singaporeans, and Bruneians together.", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://www.kampongku.com/"} +{"d:Title": "Digital Audio Broadcasting", "d:Description": "Provides news about what's happening in digital audio broadcasting with links to a number of stations.", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://www.cab-acr.ca/drri/index.shtm"} +{"d:Title": "CBC Radio", "d:Description": "Canada's national public radio broadcaster. Podcasts, schedules, and livestreaming of Radio 1, Radio 2, and Radio 3.", "priority": "1", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://www.cbc.ca/radio/"} +{"d:Title": "Airchecker", "d:Description": "Social networking site for the Canadian radio community. Includes radio industry news and analysis as well as community forums.", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://www.airchecker.ca/"} +{"d:Title": "SiriusXM Canada", "d:Description": "Canadian subscription satellite and internet radio service offering over 130 channels. Information about subscription plans, channel line-up, and subscriber perks.", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://www.siriusxm.ca/"} +{"d:Title": "CBC Music", "d:Description": "Canadian and international music, concerts, features and other music fans. Canada's free digital music service with web radio stations, CBC Radio 2 and CBC Radio 3, content from knowledgeable music personalities from across the country, hundreds of concerts and playlists.", "topic": "Top/Arts/Radio/Regional/North_America/Canada", "url": "http://www.cbcmusic.ca/"} +{"d:Title": "CBC 102.1 FM Calgary", "d:Description": "Schedule of shows on this Radio Two station including Music To Go, Symphony Hall and Our Music.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cbc.ca/calgary/"} +{"d:Title": "Country 105", "d:Description": "Country music radio station broadcasting from Calgary. Includes country news, online requests and streamed live on the internet.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.country105.com/"} +{"d:Title": "CISN 103 FM", "d:Description": "Edmonton station plays contemporary country hits via mp3 stream.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cisnfm.com/"} +{"d:Title": "CHED 630 AM", "d:Description": "Edmonton news, talk and sports radio. Broadcasts live on the internet and features Edmonton Oilers hockey and Edmonton Eskimos football.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.630ched.com/"} +{"d:Title": "Rock 100.3 FM, The Bear", "d:Description": "Edmonton station provides concert news, Rock Top Ten and concert winners' list.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.thebearrocks.com/"} +{"d:Title": "Multicultural FM 94.7", "d:Description": "Multicultural radio station in Calgary. Listen via Media Player.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.fm947.com/"} +{"d:Title": "CJSW 91 FM", "d:Description": "Calgary campus and community station station features live internet broadcasting and programming information.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cjsw.com/"} +{"d:Title": "CKUA Radio Network", "d:Description": "Publicly funded radio playing a wide variety of music for Albertans. Offers a RealAudio connection.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.ckua.com/"} +{"d:Title": "Airwaves Canada - Alberta", "d:Description": "Website and streaming links to Alberta radio stations.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.toronto.hm/alberta.html"} +{"d:Title": "CFCW 790 AM", "d:Description": "Country favorites of yesterday and today - 50,000 watts of AM stereo serving Edmonton and north central Alberta.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cfcw.com/"} +{"d:Title": "B-93 FM", "d:Description": "Features lite and classic rock music from the 80's and 90's, broadcasting from Lethbridge.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.b93.fm/"} +{"d:Title": "The Homestretch", "d:Description": "Topical show airs weekdays from 3-6 pm on CBC Radio One 1010 AM in Calgary.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cbc.ca/homestretch/"} +{"d:Title": "Radio Active", "d:Description": "An eclectic mix of politics, arts, storytelling and local comedy on CBC Calgary from 3-6 pm weekdays.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cbc.ca/radioactive/"} +{"d:Title": "Key of A", "d:Description": "From 5-6 pm on Saturdays, this CBC program airs stories about Alberta musicians and performers.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cbc.ca/keyofa/"} +{"d:Title": "Daybreak Alberta", "d:Description": "News, weather and stories on weekend mornings from 6-9 am on CBC 1010 AM Calgary and 740 AM Edmonton.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.cbc.ca/daybreakalberta/"} +{"d:Title": "CKER Ethnic 101.9 FM", "d:Description": "Station offering multi-ethnic programming from Edmonton, Alberta. Features community calendar.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.worldfm.ca/"} +{"d:Title": "CKJR 1440 AM", "d:Description": "AM radio station serving Wetaskiwin and surrounding area, featuring hits of the 50s, 60s and 70s.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.w1440.com/"} +{"d:Title": "96.3 Capital FM", "d:Description": "Calgary station plays hits from the 70s and 80s.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.963capitalfm.com/"} +{"d:Title": "CRIK FM - The Lynx", "d:Description": "Station plays top hits from today and the past four decades. High and low bandwidth MP3, RealPlayer and Windows Media Player streams available. Broadcasting from Calgary.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.lynxradionetwork.com/"} +{"d:Title": "Q107 FM", "d:Description": "Classic rock station in Calgary. Provides concert listings, playlist, horoscope and blogs.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.q107fm.ca/"} +{"d:Title": "K-Rock 93.7", "d:Description": "Classic rock station broadcasting from Edmonton. Features the Terry, Bill and Steve Show.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.k97.fm/"} +{"d:Title": "CHFM Kiss 95.9", "d:Description": "Calgary's Adult Top 40 station.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Alberta", "url": "http://www.kiss959.com/"} +{"d:Title": "CHMB 1320 AM", "d:Description": "Chinese language radio in Vancouver.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.am1320.com/"} +{"d:Title": "CFMI Rock 101", "d:Description": "Classic rock radio station in Vancouver.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.rock101.com/"} +{"d:Title": "CFUV 102", "d:Description": "University of Victoria radio station offers streaming broadcast in Real Audio.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://cfuv.uvic.ca/"} +{"d:Title": "CiTR 101.9FM", "d:Description": "University of British Columbia station with internet streaming.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.citr.ca/"} +{"d:Title": "CFAX 1070 AM", "d:Description": "News station broadcasting via MediaPlayer from Victoria.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://cfax1070.com/"} +{"d:Title": "Co-op Radio 100.5 FM", "d:Description": "Non-commercial, community radio station based in Vancouver but available via FM cable in BC. News, programming information, live streaming, and information on how to become a member of the station.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.coopradio.org/"} +{"d:Title": "CFOX 99.3 - The Fox", "d:Description": "Vancouver's new rock radio. Streams via MediaPlayer.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cfox.com/"} +{"d:Title": "100.3 The Q", "d:Description": "Victoria's FM rock radio station. Live via Media Player.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.theq.fm/"} +{"d:Title": "CKNW 980 AM - Vancouver", "d:Description": "Talk radio. Home of Vancouver Canucks and BC Lions. Streaming audio.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cknw.com/"} +{"d:Title": "Mountain FM", "d:Description": "Local stations for Squamish at 107.1 FM and Whistler at 102.1 FM.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.mountainfm.com/"} +{"d:Title": "CKWX - News 1130", "d:Description": "Vancouver's all news radio.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.news1130.com/"} +{"d:Title": "JRfm 93.7", "d:Description": "Vancouver's new country radio.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.jrfm.com/"} +{"d:Title": "Airwaves Canada - British Columbia", "d:Description": "Links to British Columbia radio stations and streaming links.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.toronto.hm/bc.html"} +{"d:Title": "BC Almanac", "d:Description": "BCers share stories on talk show at noon on CBC Radio One.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/bcalmanac/"} +{"d:Title": "Daybreak North", "d:Description": "News and information for BC northerners. Airs 6-9 am PST weekdays.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/daybreaknorth/"} +{"d:Title": "Daybreak South", "d:Description": "Local CBC show airs latest news, weather from 6-9 am PST", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/daybreaksouth/"} +{"d:Title": "The Early Edition", "d:Description": "Vancouver's CBC Radio One news show from 6-9 am PST.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/earlyedition/"} +{"d:Title": "North By Northwest", "d:Description": "Weekend morning show on CBC presents artists and other guests.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/nxnw/"} +{"d:Title": "On The Island", "d:Description": "CBC Radio One in Victoria presents news and current affairs show from 6 to 9 a.m.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/ontheisland/"} +{"d:Title": "CBC Radio - British Columbia", "d:Description": "Weekly program schedule and links.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/radio/"} +{"d:Title": "CJSU 89.7 Juice FM", "d:Description": "Located in Duncan. Plays cutting-edge artists, adult hits.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.mycowichanvalleynow.com/juice-fm/"} +{"d:Title": "94.3 The Goat", "d:Description": "World class rock format. Prince George, BC. Livestreaming, social media, DJ biographies, and community news.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.myprincegeorgenow.com/943-the-goat/"} +{"d:Title": "CVUE FM", "d:Description": "Community radio from the Sunshine Coast of British Columbia. Livestreaming, program schedule, and station history.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cvue.ca/"} +{"d:Title": "Virgin Radio Vancouver 95.3 FM", "d:Description": "Adult hit music station. Information about programs, music, and contests.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://vancouver.virginradio.ca/"} +{"d:Title": "CJMP 90.1 FM Powell River Community Radio", "d:Description": "Livestreaming, podcasts, program schedule and membership information.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/British_Columbia", "url": "http://www.cjmp.ca/"} +{"d:Title": "CJOB 680", "d:Description": "Talk radio from CJOB 680 AM in Winnipeg. Listen live via internet.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Manitoba", "url": "http://www.cjob.com/"} +{"d:Title": "CJKR 97.5 Big FM", "d:Description": "Winnipeg's Classic Rock station.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Manitoba", "url": "http://www.975bigfm.com/"} +{"d:Title": "CKCL Classical 107", "d:Description": "Classical music station in Winnipeg. Programme schedule, host biographies, news and events.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Manitoba", "url": "http://www.classic107.com/"} +{"d:Title": "94.3 The Drive", "d:Description": "Hit radio station in Winnipeg. Livestream, contest information, concerts and events.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Manitoba", "url": "http://www.943thedrive.ca/"} +{"d:Title": "CBC Radio One St. John's", "d:Description": "News, weather, and contact information with link to streaming Radio One St. John's broadcast.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Newfoundland_and_Labrador", "url": "http://www.cbc.ca/nl/"} +{"d:Title": "CHMR 93.5 FM", "d:Description": "Broadcasting from Memorial University of Newfoundland in St. John's.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Newfoundland_and_Labrador", "url": "http://www.mun.ca/chmr/"} +{"d:Title": "Hits 99.1 FM", "d:Description": "Radio station broadcasting hit songs in Newfoundland.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Newfoundland_and_Labrador", "url": "http://www.991hitsfm.com/"} +{"d:Title": "VOCM Radio", "d:Description": "Offers streaming broadcast from St. John's, Newfoundland.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Newfoundland_and_Labrador", "url": "http://www.vocm.com/"} +{"d:Title": "KX 96 FM - Durham", "d:Description": "Durham's station for country music. Includes updates on traffic situations, dates for local events, and their latest Top Ten list.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.kx96.fm/"} +{"d:Title": "CFRU FM", "d:Description": "Non-profit campus and community radio station operating on 93.3 MHz from the University of Guelph.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.cfru.ca/"} +{"d:Title": "Trent Radio - 92.7 CFFF FM", "d:Description": "Trent University's station - based out of Peterborough, Ont.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.trentu.ca/org/trentradio/"} +{"d:Title": "CJTT FM 104.5", "d:Description": "Radio station in New Liskeard, Ontario.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.cjttfm.com/"} +{"d:Title": "radioKAOS", "d:Description": "Broadcasting from Windsor via the internet.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://radiokaos.com/"} +{"d:Title": "K106.3 FM Sarnia", "d:Description": "Information about this rock station including play lists, DJs, advertising and contests.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.k106fm.com/"} +{"d:Title": "Mix 106.5 - Oldies 560/1490", "d:Description": "Bayshore Broadcasting Corporation is made up of Oldies 560/1490 and Mix 106.5 in Owen Sound.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.radioowensound.com/"} +{"d:Title": "CKDR AM", "d:Description": "Provides local news, community events, sports, weather and community events in the Dryden and surrounding area.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.ckdr.net/"} +{"d:Title": "Airwaves Canada - Ontario", "d:Description": "Directory of Ontario radio stations with links to websites and audio feeds.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.toronto.hm/ontario.html"} +{"d:Title": "Mix 96.7 FM", "d:Description": "Plays current hit music and news focused on Essex County, as well as ethnic programming and local artists. Includes information about its featured programs.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://mix967.ca/"} +{"d:Title": "The Southern Ontario/WNY Radio-TV Forum", "d:Description": "An online message forum and community aimed at connecting the Toronto, southern Ontario and Western New York radio and television industry.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://sowny.net/"} +{"d:Title": "101.5 Orangeville", "d:Description": "Orangeville Today. Local FM radio, Orangeville, Ontario.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.orangevilletoday.ca/"} +{"d:Title": "Bob FM 103.7", "d:Description": "A radio station playing music from the 80s, 90s and today for Brockville and area. Includes promotions, contests and photos.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://www.iheartradio.ca/bob-fm/"} +{"d:Title": "CFRM 100.7 FM", "d:Description": "Manitoulin's first and only local radio station, offering community radio broadcasting featuring country music, local news, weather and events.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Ontario", "url": "http://tunein.com/radio/Island-1007-s7695/"} +{"d:Title": "CKOI FM 96.9", "d:Description": "A French radio station broadcasting from Montreal.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.ckoi.com/"} +{"d:Title": "CIEU-FM 94,9, 106,1 FM", "d:Description": "French-language station from Baie-des-Chaleurs in Gaspesie. Listen live to cultural, political and social news.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.cieufm.com/"} +{"d:Title": "CBC Montreal - Radio One 88.5 FM", "d:Description": "One of the two FM CBC radio stations. Includes on air guide, email addresses and Internet broadcast.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.cbc.ca/montreal/"} +{"d:Title": "CJAD 800 AM", "d:Description": "Montreal's top talk radio station. Live Internet broadcast.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.cjad.com/"} +{"d:Title": "CHOM 97.7 FM", "d:Description": "The spirit of rock in Montreal. Live Internet broadcast.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.chom.com/"} +{"d:Title": "CKUT 90.3 FM", "d:Description": "CKUT 90.3 FM is a non-profit campus community radio station at McGill University and operated almost entirely by its volunteer membership.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://ckut.ca/"} +{"d:Title": "Montr\u00e9al&The Seaway Valley's Hit Music Channel...94-7 HITS-FM!", "d:Description": "Top 40 station, playing today's hit music. Listen live.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.947hits.com/"} +{"d:Title": "CJLO Underground Radio", "d:Description": "Broadcasts indie music 24 hours a day from Montreal.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.cjlo.com/"} +{"d:Title": "CJMQ 88.9 FM", "d:Description": "Community radio station for the Eastern Townships, which began as a student-operated station at Bishop's University in Lennoxville.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.cjmq.fm/"} +{"d:Title": "Live Punjabi Radio", "d:Description": "Punjabi radio station, broadcasts include talk shows, news, and music. Live internet broadcasting.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Quebec", "url": "http://www.radiohumsafar.com/"} +{"d:Title": "Missinipi Broadcasting Corporation", "d:Description": "Aboriginal station located in La Ronge. Reaches audience of 75,000 people in 50 communities. Offers programming in English, Cree and Dene languages.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Saskatchewan", "url": "http://www.mbcradio.com/"} +{"d:Title": "CFCR 90.5 FM", "d:Description": "Community radio station offers a variety of music styles from alternative to classical. Provide news and schedule information.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Saskatchewan", "url": "http://www.cfcr.ca/"} +{"d:Title": "CFWF 104.9 FM - The Wolf", "d:Description": "Rock station in Regina provides streaming broadcast via real audio.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Saskatchewan", "url": "http://www.thewolfrocks.com/"} +{"d:Title": "92.9 The Bull - CKBL-FM", "d:Description": "Country music radio station. Provides schedules, contest information and streaming audio.", "topic": "Top/Arts/Radio/Regional/North_America/Canada/Saskatchewan", "url": "http://www.929thebullrocks.com/"} +{"d:Title": "Grizzly Web Links", "d:Description": "Lists of radio stations and links to those stations that are online for selected cities in the USA.", "topic": "Top/Arts/Radio/Regional/North_America/United_States", "url": "http://grizzlyweb.com/links/radio.asp"} +{"d:Title": "WarpRadio.com", "d:Description": "Easy to use search engine and portal directory of radio stations in the U.S.", "topic": "Top/Arts/Radio/Regional/North_America/United_States", "url": "http://www.warpradio.com/"} +{"d:Title": "RadioCenter.com", "d:Description": "Interactive radio website for WDEL, WSTW, WXCY, WAFL, WYUS, WICO, WQJZ, WICO/WXJN. Listen live.", "topic": "Top/Arts/Radio/Regional/North_America/United_States", "url": "http://www.radiocenter.com/"} +{"d:Title": "XM Radio", "d:Description": "Approximately 100 channels broadcasting music, news, talk and sports via satellite to the continental US. Programming highlights, channel descriptions, receiver prices and availability.", "topic": "Top/Arts/Radio/Regional/North_America/United_States", "url": "http://www.xmradio.com/"} +{"d:Title": "Pandora", "d:Description": "Music discovery service. Users create custom streaming audio stations based on music tastes. Registration of a free username and password required after 30 minutes of listening.", "topic": "Top/Arts/Radio/Regional/North_America/United_States", "url": "http://www.pandora.com/"} +{"d:Title": "Jim Hawkins' AM Broadcast Transmitters", "d:Description": "Reviews and photos of radio stations in the United States.", "topic": "Top/Arts/Radio/Regional/North_America/United_States", "url": "http://hawkins.pair.com/radio.html"} +{"d:Title": "SIRIUS Satellite Radio", "d:Description": "Streaming music, news, sports and talk over approximately 100 channels via satellite to the continental US. Music format details, song request forms. Streaming audio available for paying customers.", "topic": "Top/Arts/Radio/Regional/North_America/United_States", "url": "http://www.siriusxm.com/"} +{"d:Title": "WZZK 104.7 FM", "d:Description": "Birmingham's country radio station; listen live on the net and get local news, sports and weather updates.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.wzzk.com/"} +{"d:Title": "WKMX FM 106.7 The Best Mix", "d:Description": "Adult contemporary radio station broadcasting from Enterprise, Alabama.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.wkmx.com/"} +{"d:Title": "Montgomery WMSP AM 740", "d:Description": "Sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.sportsradio740.com/"} +{"d:Title": "Dothan - WOOF AM 560", "d:Description": "Sports talk, gospel.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.woofradio.com/"} +{"d:Title": "Huntsville - WVNN AM 770", "d:Description": "Talk, news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.wvnn.com/"} +{"d:Title": "Mobile - Newsradio 710 WNTM", "d:Description": "News, talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.newsradio710.com/"} +{"d:Title": "Selma - WAPR 88.3 FM", "d:Description": "Jazz, talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.apr.org/"} +{"d:Title": "440: Satisfaction - Alabama", "d:Description": "The whereabouts and/or whatsheupto of any old radio people - DJs, news, engineers, sales, support, and management. The primary focus is 195? - 1990.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.440int.com/al.html"} +{"d:Title": "Eagle Radio", "d:Description": "WELR FM Eagle 102.3, WELR AM ESPN 1360, and WLAG AM ESPN 1240 - serving the Roanoke area. Personalities, news, sports, and weather.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.eagle1023.com/"} +{"d:Title": "104.3 FM WZYP Athens", "d:Description": "104.3 WZYP Online - Today's Best Music", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alabama", "url": "http://www.wzyp.com/"} +{"d:Title": "First Nations Broadcasting", "d:Description": "Native American and Alaskan news and music via Real Player. Plus other Native and Alaskan links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.palmsradio.com/upnorth.html"} +{"d:Title": "KTOO 104.3 FM", "d:Description": "Juneau public radio. Available on additional frequencies for Lemon-Switzer Creek, Mendenhall Valley, Hoonah, Gustavus, Excursion Inlet, and GCI Cable in Juneau.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.ktoo.org/"} +{"d:Title": "KTNA", "d:Description": "Talkeetna Alaska community radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.ktna.org/"} +{"d:Title": "KCHU", "d:Description": "Public Radio. Copper river valley and Prince William Sound - Music, News and Talk radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.alaska.net/~kchu/"} +{"d:Title": "KWHL", "d:Description": "KWHL 106.5 rock, Anchorage, Mat-Su.", "priority": "1", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kwhl.com/"} +{"d:Title": "KMXS", "d:Description": "80's, 90's top 40, news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kmxs.com/"} +{"d:Title": "KGOT 101.3 FM", "d:Description": "Anchorage, Alaska has been on the air since October 1975 and plays a contemporary hit format for Anchorage and surrounding area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kgot.com/"} +{"d:Title": "KMBQ", "d:Description": "Wasilla, Alaska and Mat-Su Valley Radio Station FM 99.7.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kmbq.com/"} +{"d:Title": "KBBI - 890 AM", "d:Description": "Kachemak Bay Broadcasting, Inc. was incorporated as a non-profit in 1976. Public radio for Kachemak Bay.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kbbi.org/"} +{"d:Title": "KSUP", "d:Description": "Rock radio for Juneau", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.ptialaska.net/~ksup/"} +{"d:Title": "KHNS 91.9/102.3 FM", "d:Description": "Community and public station serving Haines, Klukwan, and Skagway. Features a programming schedule and membership information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.khns.org/"} +{"d:Title": "KFQD 750 AM", "d:Description": "Talk and news. On-air schedule, news staff, headlines, Alaska-related links, games, and contact information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kfqd.com/"} +{"d:Title": "KCAM Radio", "d:Description": "Glennallan Alaska, features a variety of music, including country, classical, inspirational and contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kcam.org/"} +{"d:Title": "KINY", "d:Description": "Juneau, Alaska broadcast and internet radio station providing 24-hour live webcast, audio newscasts on-demand in RealAudio, weather, fishing and charter information, tourist and visitor guides, shopping, classified ads, and weekly poll.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.kinyradio.com/"} +{"d:Title": "KSRM", "d:Description": "Kenai radio 92 FM, news, sports, weather and talk radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://radiokenai.net/"} +{"d:Title": "TAKU 105", "d:Description": "Juneau country music station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.taku105.com/"} +{"d:Title": "Alaska Public Media", "d:Description": "Local news radio network founded in 1978. Serves most of Alaska (over 90,000 Alaskan's listen each week in over 330 communities) satellite-linked to 29 member stations.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Alaska", "url": "http://www.alaskapublic.org/"} +{"d:Title": "KYOT 95.5", "d:Description": "95.5 FM Smooth Jazz's site lists musicians, station promotions and events, music news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arizona", "url": "http://www.kyot.com/"} +{"d:Title": "KLPX 96.1", "d:Description": "Tucson classic rock station site provides playlist, photo gallery, concert schedules.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arizona", "url": "http://www.klpx.com/"} +{"d:Title": "KAMP Student Radio 1570 AM Tucson", "d:Description": "Student Run Radio - NetRadio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arizona", "url": "http://kamp.arizona.edu/"} +{"d:Title": "KUPD 98", "d:Description": "Arizona's real rock station, 98 FM, including concert schedules, program listings, and news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arizona", "url": "http://98kupd.com/"} +{"d:Title": "MIXfm 94.9", "d:Description": "94.9 MIXfm in Tucson, archives, play requests, and staff biographies", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arizona", "url": "http://mixfm.com/"} +{"d:Title": "Money Radio 1510", "d:Description": "Providing business and financial news to investors and upscale listeners.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arizona", "url": "http://www.moneyradio1510.com/"} +{"d:Title": "KOOL 94.5", "d:Description": "Phoenix's fun oldies station 94.5 includes DJ profiles, events schedule.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arizona", "url": "http://kool.cbslocal.com/"} +{"d:Title": "KLRE 90.5", "d:Description": "Classical music station at University of Arkansas Little Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Classical", "url": "http://ualrpublicradio.org/schedule/week/klre"} +{"d:Title": "KUAF National Public Radio 91.3", "d:Description": "Station based at the University of Arkansas at Fayetteville.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/College_Radio", "url": "http://www.kuaf.org/"} +{"d:Title": "KASU 91.9 Arkansas State University at Jonesboro", "d:Description": "Arkansas State University Jonesboro's radio station. Eclectic playlist including bluegrass, blues, Celtic, jazz, new age, and classical.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/College_Radio", "url": "http://www.kasu.org/"} +{"d:Title": "KDXY 104.9FM - The Fox", "d:Description": "Country Music from Jonesbor", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Country", "url": "http://www.thefox1049.com/"} +{"d:Title": "KSSN 96 fm - Kissin Country", "d:Description": "Today's hit country and country classics. This is a Clear Channel Communications radio station out of Little Rock", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Country", "url": "http://www.kssn.com/"} +{"d:Title": "KMAG 99.1 FM - Continuous Hit Country", "d:Description": "Hit country music Clear Channel Communications radio station out of Fort Smith, Arkansas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Country", "url": "http://www.kmag991.com/"} +{"d:Title": "KXJK 950am and KBFC 93.5fm", "d:Description": "Hit country radio station for the Arkansas Delta.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Country", "url": "http://www.kxjk.com/"} +{"d:Title": "KVOM 101.7fm and 800am", "d:Description": "Morrilton based country and talk station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Country", "url": "http://www.kvom.com/"} +{"d:Title": "KFIN 107.9 fm", "d:Description": "Country favorites radio station out of Jonesboro, Arkansas. A Clear Channel Communications radio station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Country", "url": "http://www.kfin.com/"} +{"d:Title": "River Country 102.3 KCJC", "d:Description": "Country and news radio station based out of Russellville, Arkansas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Country", "url": "http://www.rivercountrykcjc.com/"} +{"d:Title": "Alice 107.7 FM", "d:Description": "Alice 107.7 FM modern rock and alternative station out for central Arkansas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://www.alice1077.com/"} +{"d:Title": "The Point 94.1 FM KKPT", "d:Description": "Little Rock classic rock station. KKPT The Point 94.1 FM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://www.point941.com/"} +{"d:Title": "100.3 The Edge", "d:Description": "Little Rock based modern rock music station. Formerly known as Q-100.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://www.edgelittlerock.com/"} +{"d:Title": "Eagle 106.3 FM", "d:Description": "A Clear Channel radio station that plays classic rock. 106.3 is based out of Texarkana, Arkansas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://www.kygl.com/"} +{"d:Title": "B98! KZBB 97.9 FM", "d:Description": "Popular hit music Clear Channel Communications radio station out of Fort Smith, Arkansas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://www.kzbb.com/"} +{"d:Title": "River Hits 100.9fm KWKK", "d:Description": "Soft hits from the 70's,80's, 90's, and today. Based out of Russellville, Arkansas serving the Arkansas River Valley.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://www.rivervalleyradio.com/KWKK/"} +{"d:Title": "KJBX 106.3FM - The Mix", "d:Description": "70's 80's and today's rock. Jonesboro.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://themix1063.com/"} +{"d:Title": "105.9 fm KLAZ", "d:Description": "Popular rock music station from Hot Springs, Arkansas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Rock", "url": "http://www.klaz.com/"} +{"d:Title": "KWHN 1320AM and 1650AM Talk Radio", "d:Description": "Clear Channel talk radio station based out of Fort Smith.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Talk_and_News_Radio", "url": "http://www.kwhn.com/"} +{"d:Title": "RiverTalk 980am KCAB", "d:Description": "News, talk, and sports radio out of Russellville, Arkansas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Arkansas/Talk_and_News_Radio", "url": "http://www.rivervalleyradio.com/KCAB/"} +{"d:Title": "KBLA - Radio Korea 1230 AM", "d:Description": "Radio Korea - Los Angeles, California, broadcasts to Koreans worldwide. Live Net Radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.radiokorea.com/"} +{"d:Title": "KQED San Francisco 88.5 FM", "d:Description": "Public Broadcasting for Northern California", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kqed.org/"} +{"d:Title": "KZRO 100", "d:Description": "Redding radio station playing rock from the 60s, 70s and 80s. Online listening available through Windows Media Player.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.zchannelradio.com/"} +{"d:Title": "KMUD 91.1 FM", "d:Description": "Community radio from Garberville, California, featuring blues music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kmud.org/"} +{"d:Title": "KJZY 93.7", "d:Description": "Jazz station in California's Sonoma County. Offers internet broadcasts.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kjzy.com/"} +{"d:Title": "94.7 KTWV \"The Wave\" Los Angeles, CA", "d:Description": "The WAVE pioneered what is called in industry terms the 'New Adult Contemporary' format, a mellifluous mix of Smooth jazz and contemporary pop vocals.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://947thewave.radio.com/"} +{"d:Title": "KUCI 88.9 FM", "d:Description": "College and community station at UC Irvine offering a wide variety of music and talk radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kuci.org/"} +{"d:Title": "KZYX and KZYZ 88.3, 90.7, and 91.5 FM", "d:Description": "Serves Mendocino County. Includes programming schedule, webcast, and volunteer opportunities.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kzyx.org/"} +{"d:Title": "KCBX - Central Coast Public Radio", "d:Description": "Providing an eclectic array of listening entertainment and news programming classical, jazz, blues, folk,international, radio drama, NPR's Morning Edition, All Things Considered.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kcbx.org/"} +{"d:Title": "KHSU-FM 90.5 - Diverse Public Radio", "d:Description": "As a public service of Humboldt State University, KHSU provides a thoughtful and intelligent perspective on Northwestern California and the world.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.khsu.org/"} +{"d:Title": "kfjc 89.7 fm Bay Area", "d:Description": "KFJC's mission in life, as much as we can agree on such a thing, is to be a conduit for new and interesting audio art and information, especially the sorts that are unavailable elsewhere.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://kfjc.org/"} +{"d:Title": "760 KFMB", "d:Description": "San Diego Talk Radio With Personality", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.760kfmb.com/"} +{"d:Title": "K-EARTH 101 FM Oldies Radio", "d:Description": "KRTH from Los Angeles presents information about on-air personalties, oldies programming, news, featured oldies artists and events.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.krth101.com/"} +{"d:Title": "Z90.3 FM", "d:Description": "Live NetRadio broadcast.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.z90.com/"} +{"d:Title": "Mega 92.3", "d:Description": "Los Angeles's Jammin Oldies.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.mega923.com/"} +{"d:Title": "KFOG 104.5 / 97.7 FM", "d:Description": "World Class Rock - San Francisco and San Jose", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kfog.com/"} +{"d:Title": "KSON 97.3 FM, Today's Continuous Country", "d:Description": "Frequently one of San Diego's top-rated stations, 97.3 FM, KSON provides San Diego, California with Continuous Country music and 12-In-A-Row.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kson.com/"} +{"d:Title": "KWMR 90.5 FM", "d:Description": "Serves West Marin, California. Includes programming schedule, calendar of events, and underwriting information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kwmr.org/"} +{"d:Title": "KCSB 91.9 FM", "d:Description": "Serves the Tri-Counties area with music, news, sports, and public affairs programs. Includes programming schedule and webcast.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kcsb.org/"} +{"d:Title": "KPRZ 1210 AM, San Diego's Positive Talk Radio", "d:Description": "Christian Talk and Music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://www.kprz.com/"} +{"d:Title": "North State Public Radio", "d:Description": "A service of the school of communication at California State University, Chico.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://mynspr.org/"} +{"d:Title": "Live 105", "d:Description": "KITS 105.3 San Francisco. Alternative/modern rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://live105.cbslocal.com/"} +{"d:Title": "KIIS FM 102.7", "d:Description": "Los Angeles KISS FM-rhythm and blues, Rock and Hip Hop.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/California", "url": "http://kiisfm.iheart.com/"} +{"d:Title": "THe KYGO Radio Home Page", "d:Description": "Country Music for Denver", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Colorado", "url": "http://www.kygo.com/"} +{"d:Title": "DenverRadio On The Web", "d:Description": "Information on radio in Denver-Boulder, Ft. Collins-Greeley, Colorado Springs-Pueblo, Colorado.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Colorado", "url": "http://www.denverradio.net/"} +{"d:Title": "KDNK FM", "d:Description": "Broadcasting for the Roaring Fork Valley and beyond. Includes frequencies, program guide, and information about membership, underwriting, and volunteering.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Colorado", "url": "http://www.kdnk.org/"} +{"d:Title": "Radio 1190 AM", "d:Description": "University of Colorado - Student run radio, Boulder and surrounding areas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Colorado", "url": "http://www.radio1190.org/"} +{"d:Title": "KAJX FM", "d:Description": "Offers music and news programming in Aspen. Includes programming schedule, calendar of events, and volunteer opportunities.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Colorado", "url": "http://aspenpublicradio.org/"} +{"d:Title": "106.3 KKLI", "d:Description": "Welcome to Southern Colorado's Home for Lite Rock Favorites!", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Colorado", "url": "http://sunny1063online.iheart.com/"} +{"d:Title": "91.9 FM WAMC-T", "d:Description": "This station in Southington is a transaltor of WAMC in Albany.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wamc.org/"} +{"d:Title": "Connecticut Public Radio", "d:Description": "WPKT 90.5 Meriden/Hartford, WEDW-FM 88.5 Stamford/Greenwich, and WNPR 89.1 Norwich/New London.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wnpr.org/"} +{"d:Title": "WRTC FM 89.3 FM", "d:Description": "Trinity College's radio station in Hartford.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wrtcfm.com/"} +{"d:Title": "WHUS FM 91.7", "d:Description": "Based at the University of Connecticut's main Campus in Storrs.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.whus.org/"} +{"d:Title": "WCNI FM 90.9", "d:Description": "Radio Station broadcasting from Connecticut College in New London.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wcniradio.org/"} +{"d:Title": "WWUH FM 91.3", "d:Description": "University of Hartford Radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://wwuh.org/"} +{"d:Title": "KISS 95.7", "d:Description": "WKSS. All of Today's Hit Music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.kiss957.com/"} +{"d:Title": "97.3 WZBG", "d:Description": "Adult Contemporary station in Litchfield. Also Carries NASCAR.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wzbg.com/"} +{"d:Title": "KC-101.3", "d:Description": "WKCI-FM. Southern Connecticut's #1 Hit Music Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.kc101.com/"} +{"d:Title": "Country 92.5", "d:Description": "WWYZ. Hartford's Country Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.country925.com/"} +{"d:Title": "99-1 PLR", "d:Description": "WPLR. Featuring New Rock and Classic Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://wplr.com/"} +{"d:Title": "WSHU FM 91.1", "d:Description": "Fairfield County Public Radio Station. Also heard on AM 1260.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wshu.org/"} +{"d:Title": "106.9 The Rock", "d:Description": "WCCC. Hartford's Rock Station. The Home of the New England Patriots.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wccc.com/"} +{"d:Title": "We-Be 108", "d:Description": "WEBE. Based in Fairfield County.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.webe108.com/"} +{"d:Title": "600 WICC", "d:Description": "Bridgeport News/Talk Station. All local talk 5AM-7PM. National hosts include Clark Howard. Also carries Yankees Baseball and UCONN Huskies Basketball.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wicc600.com/"} +{"d:Title": "Q-105", "d:Description": "WQGN-FM. New London County's hit music station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.q105.fm/"} +{"d:Title": "Classic Hits 98.7", "d:Description": "WNLC. New London County station playing classic hits from the 70s and 80s.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wnlc.com/"} +{"d:Title": "99.9 WEZN-FM", "d:Description": "STAR999 offers mix and variety radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.star999.com/"} +{"d:Title": "98-Q", "d:Description": "WDAQ. Danbury based station playing today's biggest variety of hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.98q.com/"} +{"d:Title": "WICH AM 1310", "d:Description": "Personality Radio out of Norwich, CT. News/Talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://wich.com/"} +{"d:Title": "ESPN Radio 1410", "d:Description": "WPOP. Sports talk from ESPN Radio. Play-by-Play of the NY Yankees, NY Jets, and Hartford Wolfpack.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.espnradio1410.com/"} +{"d:Title": "96-5 TIC", "d:Description": "WTIC-FM. Best variety of the 80s, 90s, and Today.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.965tic.com/"} +{"d:Title": "1420 WLIS/1150 WMRD", "d:Description": "We've Got Personality. Talk Radio and Music heard throughout the Connecticut River Valley and The Shoreline. 1150 AM in Middletown. 1420 AM in Old Saybrook.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wliswmrd.net/"} +{"d:Title": "I-95", "d:Description": "WRKI. Fairfield County's Rock Station at 95.1 FM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.i95rock.com/"} +{"d:Title": "Fine Arts Radio", "d:Description": "Classical Station heard on 88.1 WMNR FM Monroe, WRXC 90.1 FM Shelton, WGSK FM 90.1 South Kent, WGRS FM 91.5 Guilford, 91.5 FM Warren, 91.9 FM Huntington/Fairfield/West Hartford, 94.5 FM Old Saybrook/New London, and 98.3 FM in New Haven.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wmnr.org/"} +{"d:Title": "89.5 WPKN", "d:Description": "Community Radio Station in Bridgeport.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wpkn.org/"} +{"d:Title": "Radio Familia", "d:Description": "WFAR. Foreign Language station on 93.3 FM in Danbury, 97.9 FM in Waterbury/Naugatuck, 98.1 FM in Hartford, and 104.9 FM In Bridgeport.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.radiofamilia.com/"} +{"d:Title": "KIX 97.9", "d:Description": "WPKX. Country Station Serving Northern CT and Springfield, Mass.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.kix979.com/"} +{"d:Title": "88.9 WJMJ", "d:Description": "Religious Station. Also heard in New Haven on 107.1 FM and in Hamden on 93.1 FM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wjmj.org/"} +{"d:Title": "Soft Rock 106.5", "d:Description": "WBMW. Southeastern Connecticut's Soft Hits Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wbmw.com/"} +{"d:Title": "The River 105-9", "d:Description": "WHCN. Rock Hits. Connecticut's Best Variety.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.theriver1059.com/"} +{"d:Title": "NewsTalk 1490 AM.", "d:Description": "WGCH. NewsTalk station owned by the Business Talk Radio Network in Greenwich.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wgch.com/"} +{"d:Title": "UltraRadio.Com", "d:Description": "New Haven based Internet Rock Radio Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://ultraradio.com/"} +{"d:Title": "Jammin' 107-7", "d:Description": "WWRX. Hip-hop station in New London.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.jammin1077.com/"} +{"d:Title": "ESPN RADIO 1300", "d:Description": "WAVZ. Greater New Haven's Sports Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wavz.com/"} +{"d:Title": "The Talk Of Connecticut", "d:Description": "WDRC AM 1360 Hartford, WSNG AM 610 Torrington, WWCO AM 1240 Waterbury, and WMMW AM 1470 Meriden.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.talkofconnecticut.com/"} +{"d:Title": "89.9 Qute FM", "d:Description": "WQTQ. Weaver High School's radio station playing a mix of Rhythm and Blues, Hip-Hop, Jazz, and Old School.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wqtqfm.com/"} +{"d:Title": "NewsTalk 960", "d:Description": "WELI. New Haven bases news/talk station. Carries Rush Limbaugh and Glenn Beck.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.weli.com/"} +{"d:Title": "Y-105", "d:Description": "WDBY. Danbury station at 105.5 FM playing hits of the 80s, 90s, and today.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.y105radio.com/"} +{"d:Title": "WESU FM 88.1", "d:Description": "Weslyan University's radio station in Middletown.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wesufm.org/"} +{"d:Title": "WVOF 88.5 FM", "d:Description": "Fairfield University Radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wvof.org/"} +{"d:Title": "94.3 WYBC", "d:Description": "New Haven based station playing rhythm and blues Hits and Oldies.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://943wybc.com/"} +{"d:Title": "La Gigante 840 AM", "d:Description": "WRYM. Spanish Station in Newington, CT. Also has Italian and Polish Programming.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wrymradio.com/"} +{"d:Title": "NewsTalk 102.3", "d:Description": "WXLM. New London's new news/talk station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wxlm.fm/"} +{"d:Title": "WINY AM 1350", "d:Description": "Radio station serving Putnam and all of Northeast Connecticut.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.winyradio.com/"} +{"d:Title": "Oldies 850", "d:Description": "WREF - Danbury based station playing oldies from the 1950s and 1960s, with a focus on the hits from 1958-1963.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.850wref.com/"} +{"d:Title": "1320 AM WATR", "d:Description": "Waterbury's only local radio station. Local Music News and talk. AP Network News. Affiliated with the Jones Good Times Oldies Network.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://watr.com/"} +{"d:Title": "96.7 The Coast", "d:Description": "WCTZ. - New Station in Stamford playing hits from the 60s through today.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://967thecoast.com/"} +{"d:Title": "AM 14 Good Company.", "d:Description": "WILI. Full Service station in Willimantic. Carries the Redsox and the UCONN Huskies.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wili-am.com/"} +{"d:Title": "I-98.3", "d:Description": "WILI-FM. All of today's best music. Serving Eastern Connecticut on 98.3 FM and greater Bolton on 97.5 FM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://hitmusici983.com/"} +{"d:Title": "WXCI FM 91.7", "d:Description": "Radio from Western Connecticut State University in Danbury.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://clubs.wcsu.edu/wxci/"} +{"d:Title": "Radio 80", "d:Description": "WLAD. Danbury based station carrying talk programming including Dr. Laura and Dr. Joy Browne and sports programming including the New York Yankees. *Website still being developed*", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://www.wlad.com/"} +{"d:Title": "95.9 The FOX", "d:Description": "WFOX. Fairfield County's Classic Rock Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://959thefox.com/"} +{"d:Title": "Lite 100.5", "d:Description": "WRCH. Hartford's Listen at Work Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://wrch.radio.com/"} +{"d:Title": "Hot 93.7", "d:Description": "WZMX. Hip-Hop station in Hartford.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://hot937.radio.com/"} +{"d:Title": "NewsTalk 1080", "d:Description": "WTIC. Local and national news, talk, and information. Flagship Station of the UCONN Radio Network. Also carries the Redsox. Some talk shows include: Rush Limbaugh, Clark Howard, Bob Brinker, Coast to Coast AM, and the Satellite Sisters.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Connecticut", "url": "http://hartford.cbslocal.com/station/wtic-news-talk-1080/"} +{"d:Title": "WVUD 91.3 FM Newark", "d:Description": "Plays a variety of music genres.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Delaware", "url": "http://www.wvud.org/"} +{"d:Title": "WGMD 92.7 FM Rehoboth Beach", "d:Description": "Talk radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Delaware", "url": "http://wgmd.com/"} +{"d:Title": "Eagle 97.7", "d:Description": "Plays music of the 80s, 90s, and that of today to Delaware.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Delaware", "url": "http://www.eagle977.com/"} +{"d:Title": "WDDE 91.1 FM", "d:Description": "NPR member station in Delaware provides national and international news programming from NPR and other high-quality sources as well as Delaware news from WDDE staff. Program schedules, livestreaming and newscasts.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Delaware", "url": "http://www.wdde.org/"} +{"d:Title": "WMMO 98.9 FM -- Orlando, FL", "d:Description": "Central Florida's mix of new and classic hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Florida", "url": "http://wmmo.com/"} +{"d:Title": "WKHX Kicks 101.5 FM", "d:Description": "Country music for Atlanta. Station personalities, events, and news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.wkhx.com/"} +{"d:Title": "WQZY Y96 95.9 FM", "d:Description": "Country music in Dublin. Sports, NASCAR, and concert news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.wqzy.com/"} +{"d:Title": "WJFL Magic 101.9 FM", "d:Description": "Serves Tennille with light adult contemporary music, local and national news, weather information, community events, high school sports coverage, and Georgia Tech sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.wjfl.com/"} +{"d:Title": "W250BC 99X 97.9 FM", "d:Description": "Modern rock broadcasts and live events for Atlanta. Contests, chat with DJs, and program schedules.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.99x.com/"} +{"d:Title": "WSRV The River 97.1 FM", "d:Description": "Classic rock. Includes programming, downloads, events, and live listening link.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://971theriver.com/"} +{"d:Title": "WWWQ Q100 99.7 FM", "d:Description": "Top 40 radio. Includes information on shows, contests, music events, and on-air personalities.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.q100atlanta.com/"} +{"d:Title": "WCFO News Talk 1160 AM", "d:Description": "News, finance, and entertainment station with national radio personalities. Includes show schedule, events and listen live feature.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.newstalk1160.com/"} +{"d:Title": "WEKL Eagle 105.7 FM", "d:Description": "Augusta's classic rock station. Provides updated concert and news info, plus photos of personalities and listeners in action at local events.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.eagle1057.com/"} +{"d:Title": "WVEE V103 103.3 FM", "d:Description": "Rhythm and blues music for Atlanta. Morning show, station personalities, and contest information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://v103.radio.com/"} +{"d:Title": "WALR KISS 104.1 FM", "d:Description": "Rhythm and blues music. Provides news, event calendar, contests, and streaming audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.kiss104fm.com/"} +{"d:Title": "WSTR Star 94.1 FM", "d:Description": "Top 40 music for Atlanta. Station personalities, community events, and news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://www.star941atlanta.com/"} +{"d:Title": "WUBL The Bull 94.9 FM", "d:Description": "Country music for Atlanta. Broadcast and streaming audio, concert calendar, and photos.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Georgia", "url": "http://949thebull.iheart.com/"} +{"d:Title": "KWXX 94.7FM - Hilo", "d:Description": "Support Island music. NetRadio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Hawaii", "url": "http://www.kwxx.com/"} +{"d:Title": "Island Sounds from KPOA", "d:Description": "A Hawaiian Music station broadcasting from the Island of Maui on FM, RealAudio and media Player. Includes links to Maui information and resources.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Hawaii", "url": "http://www.kpoa.com/"} +{"d:Title": "KUCD Star 101.9 FM Honolulu Hawaii", "d:Description": "KUCD Star 101.9", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Hawaii", "url": "http://www.star1019fm.com/"} +{"d:Title": "KCCN 100 FM", "d:Description": "Plays Hawaiian-style music, provides a local events directory and playlists.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Hawaii", "url": "http://www.kccnfm100.com/"} +{"d:Title": "KIZN Kissin 92", "d:Description": "Playing Continuous Country Favorites.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Idaho", "url": "http://kizn.com/"} +{"d:Title": "KTIK 1350am", "d:Description": "ESPN Sports radio affiliate offering local sports news, and links to area weather and news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Idaho", "url": "http://www.ktik.com/"} +{"d:Title": "Boise State Radio", "d:Description": "NPR station offering information about programming, local events, and supporters.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Idaho", "url": "http://radio.boisestate.edu/"} +{"d:Title": "KUOI 89.3 FM - NetRadio", "d:Description": "University of Idaho - Student Run Radio from Moscow, ID", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Idaho", "url": "http://kuoi.org/"} +{"d:Title": "J-105", "d:Description": "J105 Idaho's Rock Station", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Idaho", "url": "http://www.varietyrocks.com/"} +{"d:Title": "Chicago Blues X-plosion", "d:Description": "Listing of live blues in Chicagoland, playlists and information. Chicago Blues Radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://www.bluesexplosion.com/"} +{"d:Title": "WFMT&The Radio Networks", "d:Description": "Chicago's fine arts and classical station. Live broadcasts.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://www.wfmt.com/"} +{"d:Title": "WCCQ 98.3 FM", "d:Description": "Country music radio. Features personalities, contests, community news, events and concerts. Based on Chicago's southwest side and broadcasting to DuPage, Grundy, Kane, Kendall, Will and Southern Cook counties.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://www.wccq.com/"} +{"d:Title": "WLIT FM 93.9", "d:Description": "Site offers in-depth bios of radio hosts and personalities, updated events and program schedules of this light rock/pop station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://www.litefm.com/"} +{"d:Title": "WBEZ 91.5 FM", "d:Description": "Public radio station offering public broadcasting feeds, local program schedule, events, listener requests and audio archives. Online membership and subscription available.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://www.wbez.org/"} +{"d:Title": "The Mix: 101.9fm", "d:Description": "Chicago. Contests, program information, pictures, and message board.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://www.wtmx.com/"} +{"d:Title": "WNUR 89.3 FM", "d:Description": "Chicago-based variety music station featuring its sound experiment schedule of rock, jazz, freeform, street, classical and other forms. Highlights include request form, public affairs, mailing lists, and contact details.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://www.wnur.org/"} +{"d:Title": "WXRT - FM", "d:Description": "AOR Rock station serving the greater Chicago area. Offers links to on-air talent, local events, contests, and concert information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Illinois", "url": "http://wxrt.cbslocal.com/"} +{"d:Title": "WFHB 91.3&98.1FM Community Radio of Bloomington, Indiana", "d:Description": "WFHB Fire House Broadcasting serves to celebrate and increase the local cultural diversity while providing a neutral forum for issues and ideas. NetRadio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Indiana", "url": "http://www.wfhb.org/"} +{"d:Title": "WCYT 91.1FM, The Point, Fort Wayne, IN", "d:Description": "Homestead High School Student Run Radio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Indiana", "url": "http://www.wcyt.org/"} +{"d:Title": "WTHD LaGrange, IN", "d:Description": "FM Radio 105.5 Country", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Indiana", "url": "http://www.wthd.net/"} +{"d:Title": "WFBQ 94.7FM - Q95 Indianapolis", "d:Description": "Home of the Bob and Tom Show", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Indiana", "url": "http://q95.iheart.com/"} +{"d:Title": "Cedar Rapids - KMRY", "d:Description": "AM 1450. Nostalgia.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kmryradio.com/"} +{"d:Title": "Atlantic - KJAN", "d:Description": "AM 1220. News, weather, sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kjan.com/"} +{"d:Title": "Boone - KWBG", "d:Description": "AM 1590. News, weather, sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kwbg.com/"} +{"d:Title": "Cedar Rapids - KCCK", "d:Description": "Kirkwood Community College. FM 88.3. Jazz, Blues, World Beat, Ambient.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kcck.org/"} +{"d:Title": "Cedar Rapids - KDAT", "d:Description": "FM 104.5. Soft rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kdat.com/"} +{"d:Title": "Cedar Rapids - KHAK", "d:Description": "FM 98.1. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.khak.com/"} +{"d:Title": "Centerville - KMGO", "d:Description": "FM 98.7 Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kmgo.com/"} +{"d:Title": "Elkader - KADR", "d:Description": "AM 1400. Adult contemporary music, local news coverage.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kctn.com/"} +{"d:Title": "Des Moines - KAZR", "d:Description": "FM 103.3. Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.lazer1033.com/"} +{"d:Title": "Des Moines - KGGO", "d:Description": "FM 95. Classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kggo.com/"} +{"d:Title": "Waterloo - KFMW", "d:Description": "FM 108. Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.rock108.com/"} +{"d:Title": "Hampton - KLMJ-FM", "d:Description": "FM 104.9. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.klmj.com/"} +{"d:Title": "Iowa City - KRNA", "d:Description": "FM 94.1. Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.krna.com/"} +{"d:Title": "Cedar Falls - KUNI and KHKE", "d:Description": "University of Northern Iowa. KUNI: NPR and PRI affiliate; FM 90.9 with additional signals across the state. KHKE: PRI affiliate; FM 89.5, FM 90.7 in Mason City and Clear Lake.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kuniradio.org/"} +{"d:Title": "KSFT 107.1 FM", "d:Description": "Soft rock music from Sioux City. Page includes photos of program announcers, events, contests, and community information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.soft107.com/"} +{"d:Title": "KDAO", "d:Description": "Home page for Swing 1190 KDAO AM, Live 99.5 KDAO FM and KDAO-TV.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://www.kdao.com/"} +{"d:Title": "Dubuque - KATF", "d:Description": "FM 92.9. Soft rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://katfm.radiodubuque.com/"} +{"d:Title": "Dubuque - KDTH", "d:Description": "AM 1370. News, weather, sports, features.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://kdth.radiodubuque.com/"} +{"d:Title": "Dubuque - KGRR", "d:Description": "FM 97.3. Classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://973therock.radiodubuque.com/"} +{"d:Title": "Storm Lake - KBVU", "d:Description": "FM 97.5. Buena Vista University. Alternative. RealAudio webcast.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://web.bvu.edu/organizations/kbvu/"} +{"d:Title": "Cedar Rapids - WMT", "d:Description": "AM 600. Serving Eastern Iowa for 75 years. Local and ABC news, weather, sports, talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa", "url": "http://600wmtradio.iheart.com/"} +{"d:Title": "Sioux Center - KDCR", "d:Description": "FM 88.5. Dordt College. Christian. RealAudio webcast.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa/Online_Broadcast", "url": "http://www.kdcr.dordt.edu/"} +{"d:Title": "Radio Iowa", "d:Description": "Iowa's radio news network.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Iowa/Resources", "url": "http://www.radioiowa.com/"} +{"d:Title": "Junction City - Big Kat 94.5 FM, Country 1420 AM, and KQLA 103.5 FM", "d:Description": "Big Kat 94.5 plays music variety, 1420 Am is country and KQLA plays a music mix.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kansas", "url": "http://www.kjck.com/"} +{"d:Title": "B98 FM", "d:Description": "A mix of adult contemporary hits. Wichita.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kansas", "url": "http://b98fm.iheart.com/"} +{"d:Title": "KZSN 102 FM", "d:Description": "Country station serving Wichita.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kansas", "url": "http://1021thebull.iheart.com/"} +{"d:Title": "WVLK-AM 590 Radio", "d:Description": "News, weather, sports, and traffic. Includes program schedule. Located in Lexington.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://www.wvlkam.com/"} +{"d:Title": "WGOH/WUGO Radio", "d:Description": "Go-Radio, in the heart of the parks. Bringing public service to the Northeastern Kentucky area for 45 years. Crystal Award winner and Marconi Award nominee.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://wgohwugo.com/"} +{"d:Title": "WFPK 91.9 FM - Radio Louisville", "d:Description": "Provides the music lover with a wide variety of local programming and PRI shows, including singer-songwriters, pop, blues, world rhythms, jazz, americana, bluegrass, folk, new age, and big band. Many of the programs feature a mix of old and new music, and include local, national, and international artists.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://www.wfpk.org/"} +{"d:Title": "WFPL 89.3 FM", "d:Description": "Louisville's NPR Station hosts a selection of award-winning in-depth international, national, and local news, editorial, information, and entertainment programs.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://www.wfpl.org/"} +{"d:Title": "Lake Cumberland Classic Rock", "d:Description": "WKYM offers live streaming audio via Media Player worldwide, and is rapidly becoming very popular with its enhanced Classic Rock format.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://wkym.com/"} +{"d:Title": "WDJX 99.7 FM", "d:Description": "Louisville station featuring live audio feeds of new Top 40 hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://www.wdjx.com/"} +{"d:Title": "WKQQ-FM 98.1", "d:Description": "Lexington. Classic Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://www.wkqq.com/"} +{"d:Title": "WUKY-FM 91.3 Radio", "d:Description": "Jazz, Blues and NPR news. Non-commercial radio station licensed to the University of Kentucky.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://www.wuky.org/"} +{"d:Title": "89.7 FM - WNKU", "d:Description": "Offers NPR news and music. Includes broadcast schedule, events calendar, podcasts, membership and volunteerism.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://www.wnku.org/"} +{"d:Title": "Hot 96 FM", "d:Description": "WSTO 96.1", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Kentucky", "url": "http://hot96.com/"} +{"d:Title": "WWOZ New Orleans Jazz and Heritage Festival Radio", "d:Description": "Listener supported Jazz and Heritage station for New Orleans and thesurrounding region. From blues to jazz, Cajun, zydeco, gospel, Brazilian and Caribbean, WWOZ keeps the music and musical heritage of the Crescent City alive and loud!", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Louisiana", "url": "http://www.wwoz.org/"} +{"d:Title": "WRKF Public Radio Home Page", "d:Description": "An affiliate of National Public Radio and Public Radio International serving Baton Rouge, Louisiana and the surrounding area. WRKF is owned and operated by Public Radio Inc., a non-profit corporation with an open membership.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Louisiana", "url": "http://www.wrkf.org/"} +{"d:Title": "Q-93 Radio On-Line", "d:Description": "Central Louisiana's ONLY Hit Music station! Now On The Web.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Louisiana", "url": "http://www.q93fm.com/"} +{"d:Title": "KSLU 90.9 FM", "d:Description": "Hammon Public Radio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Louisiana", "url": "http://www2.southeastern.edu/kslu/"} +{"d:Title": "WNOE Country 101.1", "d:Description": "At WNOE 101.1, we play today's hot country and all time favorites.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Louisiana", "url": "http://wnoe.iheart.com/"} +{"d:Title": "WERU 89.9 FM", "d:Description": "Community radio, alternative music and progressive. Listener supported and volunteer powered. Blue Hill, Maine", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.weru.org/"} +{"d:Title": "WMHB 90.5 FM", "d:Description": "Colby College FM station. Waterville, Maine.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.colby.edu/wmhb/"} +{"d:Title": "WMPG 90.9 FM", "d:Description": "Community FM Radio. Broadcasting from Gorham and Portland, Maine.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.wmpg.org/"} +{"d:Title": "102.9 WBLM", "d:Description": "Classic Rock and Roll station, Portland, Maine", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.wblm.com/"} +{"d:Title": "WCYY 94.3 / 93.9 FM", "d:Description": "Concert listings, online contests, and late breaking music news. Portland, Maine", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.wcyy.com/"} +{"d:Title": "Q97.9 FM", "d:Description": "FM Hit Music Station of Portland, Maine", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.wjbq.com/"} +{"d:Title": "WRFR-LP 93.3FM", "d:Description": "All-volunteer local community radio broadcasting from Rockland.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.wrfr.org/"} +{"d:Title": "92.3 Moose", "d:Description": "WMME. Plays top 40, pop and rock. Features Moose Morning show, music, community events, pictures, staff, and contact information. [Augusta]", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.92moose.fm/"} +{"d:Title": "WBOR 91.1 FM", "d:Description": "Non commercial community radio station located in Brunswick.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.wbor.org/"} +{"d:Title": "100.3 WKIT FM", "d:Description": "The Rock of Bangor FM. Brewer, Maine.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.wkitfm.com/"} +{"d:Title": "WKTJ FM 99.3 Radio", "d:Description": "Provides western Maine current news, sports and weather information. Description of station, contacts, ad rates, tips, and programming featured.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://www.bighitsktj.com/"} +{"d:Title": "WQCB 106.5", "d:Description": "Country radio station. Featuring \"The Breakfast Flakes.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://q1065.fm/"} +{"d:Title": "WBCI 105.9 FM", "d:Description": "WBCI is based in Topsham, Maine.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maine/FM", "url": "http://lifechangingradio.com/wbci/"} +{"d:Title": "DC 101", "d:Description": "Music News, Playlists, Events, and other information about \"The only station that really ROCKS\".", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://www.dc101.com/"} +{"d:Title": "98 Rock Baltimore", "d:Description": "New and classic Rock; huge collection of \"twisted bits\" from the \"twisted\" morning show.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://www.98online.com/"} +{"d:Title": "WRNR - Progressive 103.1", "d:Description": "Album oriented, progressive rock station in the Baltimore/Washington, DC area. Find programming and station information, and listen to the live broadcast on the Internet.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://www.wrnr.com/"} +{"d:Title": "WBAL (1090AM)", "d:Description": "Baltimore's news, talk and sports station..", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://www.wbal.com/"} +{"d:Title": "WCBM (680AM)", "d:Description": "Baltimore's talk radio. Listen over the Internet via RealAudio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://www.wcbm.com/"} +{"d:Title": "Cat Country 97.5/105.9 FM", "d:Description": "Based in Salisbury, Maryland. Carries country music and Nascar racing. Site provides profiles of air staff, traffic cam, Delmarva area events, and information on current contests.r racing.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://www.catcountryradio.com/"} +{"d:Title": "WHFS 105.7 FM", "d:Description": "Baltimore's talk radio station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://www.whfs.com/"} +{"d:Title": "WBIG (100.3 FM)", "d:Description": "Music from the 50s, 60s and 70s.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Maryland", "url": "http://wbig.iheart.com/"} +{"d:Title": "WBUR.org", "d:Description": "Boston. Includes news, features, arts, events calendar, and station information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://www.wbur.org/"} +{"d:Title": "WEEI 850 AM", "d:Description": "Boston-based sports web site featuring original content and radio station streaming. Original content includes audio, blogs and columns covering the New England sports scene.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://www.weei.com/"} +{"d:Title": "107.3 WAAF", "d:Description": "Worcester/Boston radio station that plays rock, with streaming real audio. \"The only station that really rocks!\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://www.waaf.com/"} +{"d:Title": "Country 99.5 WKLB", "d:Description": "The Official Country 99.5 WKLB Page", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://www.wklb.com/"} +{"d:Title": "WBOS 92.9FM", "d:Description": "Modern rock, email club, good variety, blues on Sundays. Online listening available.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://www.wbos.com/"} +{"d:Title": "Massachusetts Radio Stations", "d:Description": "A directory of some Massachusetts radio stations broadcasting online.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://www.usnewslinks.com/radiostations/ma.html"} +{"d:Title": "WBZ Radio", "d:Description": "A site for one of America's first broadcast outlets.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://www.wbz1030.com/"} +{"d:Title": "Boston-Area Radio Stations", "d:Description": "A spontaneous listing of Boston-based radio stations, with websites and links to online listening.", "priority": "1", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Massachusetts", "url": "http://taxonomist.tripod.com/fun/bostonradio.html"} +{"d:Title": "WCMU Mount Pleasant", "d:Description": "89.5 FM. National Public Radio from Central Michigan University; also heard via six affiliates.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wcmu.org/"} +{"d:Title": "WTCM Traverse City, Mighigan", "d:Description": "Broadcasting \"today's country music\" on WTCM-FM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wtcmradio.com/"} +{"d:Title": "WYCE Grand Rapids", "d:Description": "Variety 88.1", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wyce.org/"} +{"d:Title": "WDBM East Lansing", "d:Description": "88.9 FM. Student-run radio from Michigan State University.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://impact89fm.org/"} +{"d:Title": "WCBN Ann Arbor", "d:Description": "88.3 FM. Student-run radio from the University of Michigan.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wcbn.org/"} +{"d:Title": "WNMC Traverse City", "d:Description": "90.7 FM. Jazz, blues, roots and alternative rock from the campus of Northwestern Michigan College.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wnmc.org/"} +{"d:Title": "Michigan Broadcast Guide", "d:Description": "Listings of all Michigan radio and TV stations.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.michiguide.com/"} +{"d:Title": "WATZ Alpena", "d:Description": "95.3 FM and 1450 AM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.watz.com/"} +{"d:Title": "WBCH Hastings", "d:Description": "100.1 FM and 1220 AM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wbch.com/"} +{"d:Title": "WBCT Grand Rapids", "d:Description": "93.7 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.b93.com/"} +{"d:Title": "WKHM&WIBM Jackson", "d:Description": "970 AM News/talk. 1450 AM Sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wkhm.com/"} +{"d:Title": "WCRZ Burton", "d:Description": "107.9 FM. Adult contemporary, \"Cars 108.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wcrz.com/"} +{"d:Title": "WCSG Grand Rapids", "d:Description": "91.3 FM. Religious.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wcsg.org/"} +{"d:Title": "WCSX Detroit", "d:Description": "94.7 FM. Classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wcsx.com/"} +{"d:Title": "WDZZ Flint", "d:Description": "92.7 FM. Adult urban, and rhythm and blues.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wdzz.com/"} +{"d:Title": "WFGR Grand Rapids", "d:Description": "98.7 FM. Oldies.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wfgr.com/"} +{"d:Title": "WGDN Gladwin", "d:Description": "103.1 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.103country.com/"} +{"d:Title": "WGHN Grand Haven", "d:Description": "92.1 FM and 1370 AM. Soft adult contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wghn.com/"} +{"d:Title": "WGRD Grand Rapids", "d:Description": "97.9 FM. Alternative rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wgrd.com/"} +{"d:Title": "WHMI Howell", "d:Description": "93.5 FM. Adult contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.whmi.com/"} +{"d:Title": "WIRX St. Joseph", "d:Description": "107.1 FM. Classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wirx.com/"} +{"d:Title": "WITL Lansing", "d:Description": "100.7 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.witl.com/"} +{"d:Title": "WJPD Ishpeming", "d:Description": "92.3 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wjpd.com/"} +{"d:Title": "WJXQ Jackson", "d:Description": "106.1 FM. Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.q106fm.com/"} +{"d:Title": "WKCQ Saginaw", "d:Description": "98.1 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.98fmkcq.com/"} +{"d:Title": "WKFR Battle Creek", "d:Description": "103.3 FM. Contemporary hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wkfr.com/"} +{"d:Title": "WKHQ Charlevoix", "d:Description": "105.9 FM. Contemporary hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.106khq.com/"} +{"d:Title": "WKJC Tawas City", "d:Description": "104.7 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wkjc.com/"} +{"d:Title": "WKLT Kalkaska", "d:Description": "98.9 FM. Rock; also heard via 97.5 WKLZ-FM Petoskey.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wklt.com/"} +{"d:Title": "WLAV Grand Rapids", "d:Description": "96.9 FM. Classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wlav.com/"} +{"d:Title": "WLEN Adrian", "d:Description": "103.9 FM. Adult contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wlen.com/"} +{"d:Title": "Michigan Radio", "d:Description": "Public radio from the University of Michigan: WUOM Ann Arbor, WVGR Grand Rapids, and WFUM Flint.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://michiganradio.org/"} +{"d:Title": "WLJN Traverse City", "d:Description": "1400 AM. Religious.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wljn.com/"} +{"d:Title": "WLXV Cadillac", "d:Description": "FM Radio 96.7. Adult Contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.lite96.com/"} +{"d:Title": "WMMQ East Lansing", "d:Description": "94.9 FM. Classic Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wmmq.com/"} +{"d:Title": "WMQT Marquette", "d:Description": "107.7 FM. CHR.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wmqt.com/"} +{"d:Title": "WMUK Kalamazoo", "d:Description": "102.1 FM. Classical music and National Public Radio from Western Michigan University.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wmuk.org/"} +{"d:Title": "WNFA Port Huron", "d:Description": "88.3 FM. Religious; also heard via 90.7 FM WNFR Sandusky.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wnradio.com/"} +{"d:Title": "Michigan Public Radio Network", "d:Description": "The state Capitol news service for Michigan's public radio stations. Real Audio archive updated daily.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.mprn.org/"} +{"d:Title": "WQXC Otsego", "d:Description": "100.9 FM. Oldies.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wqxc.com/"} +{"d:Title": "WCCY Houghton", "d:Description": "1400 AM. Adult standards.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wccy.com/"} +{"d:Title": "WRIF Detroit", "d:Description": "101.1 FM. Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wrif.com/"} +{"d:Title": "WRKR Kalamazoo", "d:Description": "107.7 FM. Rock, \"The Rocker\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wrkr.com/"} +{"d:Title": "WSNX Muskegon", "d:Description": "FM Radio 104.5 CHR", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wsnx.com/"} +{"d:Title": "WUPS Houghton Lake", "d:Description": "FM Radio 98.5 Hot AC", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wups.com/"} +{"d:Title": "WTRV Grand Rapids", "d:Description": "FM Radio 100.5 Soft AC", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.theriver-fm.com/"} +{"d:Title": "WWCK Flint", "d:Description": "AM Radio 1570 CHR", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wwck.com/"} +{"d:Title": "WYBR Big Rapids", "d:Description": "FM Radio 102.3 Hot AC", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wybr.com/"} +{"d:Title": "WZUU Otsego", "d:Description": "92.5 FM. Classic Rock, \"The Zoo\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wzuu.com/"} +{"d:Title": "WBBL Grand Rapids", "d:Description": "1340 AM. Sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wbbl.com/"} +{"d:Title": "WGTO Cassopolis", "d:Description": "910 AM. Oldies, big bands.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://members.tripod.com/~wgto/"} +{"d:Title": "WJML Petoskey", "d:Description": "1110 AM. News/talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wjml.com/"} +{"d:Title": "WKMI Kalamazoo", "d:Description": "1360 AM. Talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wkmi.com/"} +{"d:Title": "WKZO Kalamazoo", "d:Description": "590 AM. News, talk, sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wkzo.com/"} +{"d:Title": "WPON Walled Lake", "d:Description": "AM Radio 1460 Talk-Oldies", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wpon.com/"} +{"d:Title": "WSJM St. Joseph", "d:Description": "AM Radio 1400 Full Service", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wsjm.com/"} +{"d:Title": "WTKA Ann Arbor", "d:Description": "AM Radio 1050 Sports", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wtka.com/"} +{"d:Title": "WBLV Twin Lake", "d:Description": "90.3 FM. Classical music from the Blue Lake Fine Arts Camp.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.bluelake.org/radio.html"} +{"d:Title": "WCSY South Haven", "d:Description": "98.3 FM. Adult contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wcsy.com/"} +{"d:Title": "WSAE Spring Arbor", "d:Description": "106.9 FM. Religious, \"Home FM.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.home.fm/"} +{"d:Title": "WYSS Sault Ste Marie", "d:Description": "99.5 FM. Contemporary hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.yesfm.net/"} +{"d:Title": "WOLV Houghton", "d:Description": "97.7 FM. Classic hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.thewolf.com/"} +{"d:Title": "WUPY Ontonagon", "d:Description": "101.1 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wupy101.com/"} +{"d:Title": "WWWW Ann Arbor", "d:Description": "102.9 FM. Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.w4country.com/"} +{"d:Title": "WWSJ St. Johns", "d:Description": "1580 AM. Gospel.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.joy1580.com/"} +{"d:Title": "WJR Detroit", "d:Description": "760 AM. News, talk, sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wjr.com/"} +{"d:Title": "WBRN Big Rapids", "d:Description": "1460 AM. News/talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wbrn.com/"} +{"d:Title": "WMKC St. Ignace", "d:Description": "102.9 FM. Country; also heard via 93.9 FM WAVC Mio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.countrynow.com/cps/today.html?call=wmkc"} +{"d:Title": "WZTY Hartford", "d:Description": "FM Radio 103.7 Country", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.975ycountry.com/"} +{"d:Title": "WSGW Saginaw", "d:Description": "790 AM. News/talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wsgw.com/"} +{"d:Title": "WVFN East Lansing", "d:Description": "730 AM. Sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.thegame730am.com/"} +{"d:Title": "WZAM Marquette", "d:Description": "970 AM. Sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.espn970.com/"} +{"d:Title": "WKDS Kalamazoo", "d:Description": "89.9 FM. Student-run radio from the Kalamazoo Public Schools.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wkds.4t.com/"} +{"d:Title": "Radio Results Network", "d:Description": "WCHT, WCLQ, WCMM, WGKL, and WTIQ", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.radioresultsnetwork.com/"} +{"d:Title": "The Eagle", "d:Description": "96.7 FM Newberry and 101.5 FM Gaylord", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.radioeagle.com/"} +{"d:Title": "WTCM Traverse City", "d:Description": "FM Radio 103.5 Country", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wtcmi.com/"} +{"d:Title": "WEMU Ypsilanti", "d:Description": "89.1 FM. Jazz, blues, and National Public Radio from Eastern Michigan University.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.wemu.org/"} +{"d:Title": "WKAR East Lansing", "d:Description": "90.5 FM and 870 AM. Classical music and National Public Radio from Michigan State University.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wkar.org/"} +{"d:Title": "WFXD Marquette", "d:Description": "103.3 FM. Country Music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wfxd.com/"} +{"d:Title": "WCFX Clare", "d:Description": "95.3 FM. Contemporary hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://pro.wcfx-fm.tritonflex.com/"} +{"d:Title": "WYCD Detroit", "d:Description": "FM Radio 99.5 Country", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wycd.cbslocal.com/"} +{"d:Title": "WOMC Detroit", "d:Description": "FM Radio 104.3 Oldies.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://womc.cbslocal.com/"} +{"d:Title": "WLNZ Lansing", "d:Description": "89.7 FM. Jazz and blues from the student-operated station at Lansing Community College.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.lcc.edu/radio/"} +{"d:Title": "WOOD Grand Rapids", "d:Description": "AM Radio 1300 News-Talk-Sports", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://woodradio.iheart.com/"} +{"d:Title": "WLHT Grand Rapids", "d:Description": "95.7 FM. Adult Contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://mychannel957.com/"} +{"d:Title": "WDET Detroit", "d:Description": "101.9 FM. Progressive music and National Public Radio from Wayne State University.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wdet.org/"} +{"d:Title": "WDFN Detroit", "d:Description": "1130 AM. Sports, \"The Fan.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wdfn.iheart.com/"} +{"d:Title": "WBFX Grand Rapids", "d:Description": "101.3 FM. Rock, \"The Brew.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://1013thebrew.iheart.com/"} +{"d:Title": "WHLS Port Huron", "d:Description": "Alternative rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://www.rock1055.com/"} +{"d:Title": "WKQI Detroit", "d:Description": "95.5 FM. Hot adult contemporary, \"Channel 955.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://channel955.iheart.com/"} +{"d:Title": "WRUP", "d:Description": "98.3 FM. Classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wrup.com/"} +{"d:Title": "96.1 FM", "d:Description": "Sports coverage.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://espn961.iheart.com/"} +{"d:Title": "Star 105.7", "d:Description": "Adult contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://westmichiganstar.iheart.com/"} +{"d:Title": "WWJ Detroit", "d:Description": "AM Radio 950 News", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://detroit.cbslocal.com/"} +{"d:Title": "WXYT Detroit", "d:Description": "AM Radio 1270 Talk", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://detroit.cbslocal.com/category/sports/"} +{"d:Title": "WNIC Detroit", "d:Description": "100.3 FM. Adult Contemporary.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Michigan", "url": "http://wnic.iheart.com/"} +{"d:Title": "KFAI 90.3/106.7 FM", "d:Description": "Twin Cities \"fresh air\" community volunteer radio station. KFAI is a non-commercial FM radio station operating on 90.3 Mhz in the Minneapolis area and 106.7 Mhz in the St. Paul area. Site features station and program information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.kfai.org/"} +{"d:Title": "KFAN 1130 AM", "d:Description": "Twin Cities sports/talk format station. Site features station and program information, live 'Net broadcast, station merchandise. Requires Java. \"Minnesota's Sports Fan.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.kfan.com/"} +{"d:Title": "KSTP-AM", "d:Description": "A talk radio station that broadcasts nationally syndicated and local shows. Hear RealAudio broadcasts of local programs or read about the hosts. St. Paul, Minnesota.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.am1500.com/"} +{"d:Title": "KTCZ 97.1 FM", "d:Description": "Twin Cities light alternative format station. \"Cities 97\" web site features station and program information, live 'Net broadcast. \"True To The Music.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.cities97.com/"} +{"d:Title": "KXXR 93 FM", "d:Description": "Twin Cities hard rock/alternative format station. Graphic-intensive site features station and programming information, live 'Net broadcast.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.93x.com/"} +{"d:Title": "KAXE-FM", "d:Description": "KAXE-FM, Northern Community Radio. Public radio for northern Minnesota.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.kaxe.org/"} +{"d:Title": "Oldiesloon", "d:Description": "Dedicated to the music of the 1950's, 60's and 70's along with the radio stations and disc jockeys who played those hits. Currently about 250 hit record surveys posted from the two big top 40 stations in Minneapolis/St. Paul of that time period. Some DJ information plus links to other sites with airchecks.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.oldiesloon.com/"} +{"d:Title": "KBEM 88.5 FM", "d:Description": "Twin Cities 'jazz and traffic information station.' Site features program information, staff bios, and jazz links. \"Jazz 88.\" Minneapolis Public School\u2019s voice for education and jazz.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://jazz88.mpls.k12.mn.us/"} +{"d:Title": "KTLK 100.3 FM", "d:Description": "Conservative News Talk with local and nationally syndicated hosts. It is also the FM flagship for the Minnesota Vikings.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.ktlkfm.com/"} +{"d:Title": "KLCI 106.1 FM", "d:Description": "BOB-FM. Minnesota's total country radio station, mixing today's country with the classic artists and hits from the past. It is also the home of the Minnesota Timberwolves.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.dothebob.com/"} +{"d:Title": "The Christopher Gabriel Program", "d:Description": "Official home of The Christopher Gabriel Program. A radio talk show that mixes news, sports, politics, pop culture and parenting with a splash of humor.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.cgabriel.com/"} +{"d:Title": "KMNB 102.9 FM", "d:Description": "Twin Cities country hits format station \"Buz'n.\" Concert information, contests.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://kmnb.cbslocal.com/"} +{"d:Title": "KUOM 770 AM", "d:Description": "Twin Cities college radio station from the University of Minnesota. Site features program schedule, live 'Net broadcast, \"Radio K\" gear. \"The best college radio station imaginable.\"", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.radiok.org/"} +{"d:Title": "A Prairie Home Companion with Garrison Keillor", "d:Description": "Minnesota Public Radio Presents A Prairie Home Companion with Garrison Keillor. PHC is a radio variety show featuring singing, drama, and humor, plus the news from Lake Woebegone. Show archives in RealAudio format.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://prairiehome.org/"} +{"d:Title": "Minnesota Public Radio", "d:Description": "Includes, news, music and information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Minnesota", "url": "http://www.mpr.org/"} +{"d:Title": "Listen to the Eagle", "d:Description": "Weekly talk radio show on outdoor issues in the South.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Mississippi", "url": "http://www.listentotheeagle.com/"} +{"d:Title": "WNSL 100.3FM Today's Best Music", "d:Description": "Today's Best Music on the radio. WNSL services the Laurel - Hattiesburg area of Mississippi.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Mississippi", "url": "http://www.sl100.com/"} +{"d:Title": "\"The Score\" - Sports Radio 620AM", "d:Description": "Jackson MS", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Mississippi", "url": "http://www.wjdx.com/"} +{"d:Title": "KDHX 88.1 FM", "d:Description": "Eclectic. Commercial free, listener supported. Covering the Saint Louis area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.kdhx.org/"} +{"d:Title": "KFUO 99.1 FM", "d:Description": "Classical music. Includes playlist, programs, cultural events and staff information. Saint Louis area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.classic99.com/"} +{"d:Title": "KSHE 95 FM", "d:Description": "Rock. Includes information on contests, music, and station. Dating service and other affiliate links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.kshe95.com/"} +{"d:Title": "KSD 93.7 FM", "d:Description": "Contemporary Country. The Bull. Includes staff, contests, cellular phone ring tones and other affiliate links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://mix937.com/"} +{"d:Title": "KTTS 94.7 FM", "d:Description": "Contemporary country. Includes concert, weather alert, events and staff information. Springfield areas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.ktts.com/"} +{"d:Title": "KSPQ 93.9 FM", "d:Description": "Classic Hits. Staff, promotions, calendar and volunteer advisory committee. Covers the West Plains area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.kspq.com/"} +{"d:Title": "KSPW 96.5 FM", "d:Description": "Rock music. Events, staff profiles, pictures, and station news. Springfield.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.power965jams.com/"} +{"d:Title": "KMZU 100.7 FM", "d:Description": "Country music and agriculture information for Carrollton and surrounding areas. Staff, news and contest information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.kmzu.com/"} +{"d:Title": "WIL 92.3 FM", "d:Description": "Contemporary Country. Promotions, photographs, events, staff, and concert calendar. Covers the Saint Louis area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.wil92.com/"} +{"d:Title": "Z107-7", "d:Description": "Hit music, contests, interactive playlist, artist and music features. An iHeartRadio station. Free smartphone app available. St. Louis.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.z1077.com/"} +{"d:Title": "Fox Radio Network", "d:Description": "Stations include KAHR FM 96.7, Adult Contemporary, KOEA FM 97.5, Country, KPPL FM 92.5, Country, KXOQ FM 1043, Rock and Oldies Mix, KFEB FM 1075, Modern Rock, KOTC AM 830, Classic Country, and KDFN AM 1500, Oldies. Poplar Bluff area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://foxradionetwork.com/"} +{"d:Title": "KTXR 101.3 FM", "d:Description": "Contemporary Mellow Hits. Features personalities, programming schedule and area weather. Springfield area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.ktxrfm.com/"} +{"d:Title": "KEZK 102.5 FM", "d:Description": "Soft Rock. Features play schedule, calendar of events, weather and concert information. Saint Louis area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://kezk.cbslocal.com/"} +{"d:Title": "KHITS 96.2 FM", "d:Description": "All the hits. Includes advertising, staff, and programming information as well as links for the Saint Louis area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://www.now963.com/"} +{"d:Title": "KLOU 103.3 FM", "d:Description": "Classic oldies. Programming, sports coverage, online listening, events, photos and contests. Saint Louis area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Missouri", "url": "http://klou.iheart.com/"} +{"d:Title": "KALS 97.1 FM - Christian Radio - Kalispell", "d:Description": "Contemporary Christian music and the Christian classics.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Montana", "url": "http://www.kals.com/"} +{"d:Title": "KUFM", "d:Description": "Montana Public Radio located on the campus of the University of Montana in Missoula. Includes profile, schedule, news, information on supporting the station, and contact details.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Montana", "url": "http://mtpr.org/"} +{"d:Title": "Lexington - KRVN - 880 AM - Farm Radio", "d:Description": "Agricultural news.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.krvn.com/"} +{"d:Title": "Omaha - KSRZ - 104.5 FM", "d:Description": "Adult contemporary music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.104star.com/"} +{"d:Title": "Omaha - KEZO - 92 FM - Z92", "d:Description": "New and classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.z92.com/"} +{"d:Title": "Omaha - KQCH - 94.1 FM", "d:Description": "Top 40 music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.channel941.com/"} +{"d:Title": "Omaha - KQKQ - 98.5 FM", "d:Description": "Plays modern tunes.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.q985fm.com/"} +{"d:Title": "Nebraska Educational Telecommunications (NET) - Statewide", "d:Description": "National Public Radio station. Classical, jazz, folk and blues music. Also, has news, humorous shows and book reviews.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://netnebraska.org/radio/"} +{"d:Title": "O'Neill - KBRX - 102.9 FM", "d:Description": "Country music, news, sports, and contests.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.kbrx.com/"} +{"d:Title": "Scottsbluff - KNEB-94.1 FM and 960 AM", "d:Description": "Features news, agriculture, markets, weather, sports, and livestock auctions.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.kneb.com/"} +{"d:Title": "Kearney - KQKY - 105 FM", "d:Description": "Talk radio, sports, and weather. Covers Grand Island, Kearney, and Hastings. Page includes program descriptions and contact information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://kqky.com/"} +{"d:Title": "Alliance - KAAQ FM - 105.9 FM - Double Q Country", "d:Description": "Country music, sports, local news, and community events. KCOW 1400 AM, KAAQ FM 105.9 - Alliance, KQSK FM 97.5 - Chadron.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.doubleqcountry.com/"} +{"d:Title": "Lincoln - KLIN - 1400 AM", "d:Description": "News, sports and weather talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.klin.com/"} +{"d:Title": "Lincoln - KFOR - 1240 AM", "d:Description": "Local news, weather, and sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.kfor1240.com/"} +{"d:Title": "Lincoln - KLMS - 1480 AM", "d:Description": "Sports talk.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.espn1480.com/"} +{"d:Title": "Grand Island - GI Family Radio", "d:Description": "Country 96.5 FM, News Talk 750 AM, Variety Music Star 97.3, KRGI 1430 AM, News Talk KAMB 1260 AM, Coyote Country 105.3 FM, Music Mix US 93.9.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.gifamilyradio.com/"} +{"d:Title": "Omaha - KVNO - 90.7 FM", "d:Description": "Classical, Jazz, Folk, and Latino music, in addition to current art news. From the University of Nebraska at Omaha.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://kvno.org/"} +{"d:Title": "Ogallala - KMCX- 106.5 FM", "d:Description": "Hot Country music, news, and local happenings.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.kmcx.com/"} +{"d:Title": "North Platte - KOOQ - 1410 AM", "d:Description": "24 hour ESPN sports radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.1410amespn.com/"} +{"d:Title": "Omaha - Power 106.0 FM", "d:Description": "Hip-hop, rhythm and blues.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.power1069fm.com/"} +{"d:Title": "Columbus Radio Stations", "d:Description": "KJSK 900 AM - Talk Radio, KKOT 93.5 - Classic hits, KZEN 100 FM - Country music, KLIR 100 FM - 80s and 90s hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.mycentralnebraska.com/"} +{"d:Title": "McCook - KIOD 105.3 FM - Coyote Country", "d:Description": "Country music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.coyote105.com/"} +{"d:Title": "Omaha - KGOR - 99.9 FM", "d:Description": "Oldies from the1960s - 1980s. Page includes contest information, photos and community and community calendar.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://kgor.iheart.com/main.html"} +{"d:Title": "Omaha - KOIL - 1290 AM", "d:Description": "News and talk radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://www.newstalk1290koil.com/"} +{"d:Title": "Ogallala - KOGA - AM 930", "d:Description": "Adult standards music, news, weather, and sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nebraska", "url": "http://930koga.iheart.com/"} +{"d:Title": "KXTE 107.5 FM - Xtreme Radio.FM", "d:Description": "Broadcasts Las Vegas' alternative rock, events information, local bands and concert information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nevada", "url": "http://xtremeradio.fm/"} +{"d:Title": "FRS Channel 1 - CSTSS Subchannel 00", "d:Description": "Chris and Ster in the Evening at Sunrise Mountain area of Las Vegas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nevada", "url": "http://www.angelfire.com/nv/sterchris/"} +{"d:Title": "Nevada Radio Stations Live on the Web", "d:Description": "Radio stations broadcasting live on the web.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Nevada", "url": "http://www.mikesradioworld.com/us_nv.html"} +{"d:Title": "New Hampshire Public Radio", "d:Description": "To help create a more informed public, one challenged and enriched by a deeper understanding and appreciation of state, national and worldwide events, ideas and culture.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Hampshire", "url": "http://www.nhpr.org/"} +{"d:Title": "WERZ 107.1 FM", "d:Description": "Out of Portsmouth.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Hampshire", "url": "http://www.werz.com/"} +{"d:Title": "WKXW 101.5 FM", "d:Description": "Talk radio station offers programming geared to issues important to state residents. Includes programming, on-air talent, current weather, traffic information, school closings and events from around the state.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.nj1015.com/"} +{"d:Title": "WDHA 105.5FM", "d:Description": "New Jersey's Own Rock Radio Staton", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wdhafm.com/"} +{"d:Title": "WBGO 88.3FM", "d:Description": "Jazz station. Includes schedule, play list, live streaming, archived shows, station and jazz events, jazz venues, and a blog.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wbgo.org/"} +{"d:Title": "WFMU-FM 91.1 Jersey City, NJ/ 90.1FM Hudson Valley, NY", "d:Description": "WFMU is an independent free form radio station broadcasting at 91.1 FM in the New York City area, at 90.1 FM in the Hudson Valley, and with a live Real Audio stream on the Web!", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wfmu.org/"} +{"d:Title": "WNTI 91.9 FM", "d:Description": "WNTI-FM and WNTI2 live internet radio featuring original music of Northern NJ and PA.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wnti.org/"} +{"d:Title": "WFPG 96.9FM Online!", "d:Description": "Lite Rock 96.9 plays South Jersey's most popular artists like Celine Dion, Eric Clapton, Elton John, Rod Stewart, Gloria Estefan, Billy Joel and Mariah Carey.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.literock969.com/"} +{"d:Title": "The Rat Rocks!", "d:Description": "95.9 WRAT, Belmar/Pt. Pleasant, New Jersey. Rock music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wrat.com/"} +{"d:Title": "Radio Pinoy USA", "d:Description": "Radio broadcasting for Pinay or Pinoy listeners looking for Philippine news. Affiliated with RMN in Manila.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://radiopinoyusa.com/"} +{"d:Title": "WFMU Podcasts", "d:Description": "Links to podcasts of the various shows offered by WFMU.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://wfmu.org/podcast"} +{"d:Title": "90.3 FM WMSC Montclair State's Underground Radio", "d:Description": "A student run 10 watt college station that also broadcasts on the web with an incredibly diverse range of music and programming other stations won't touch.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wmscradio.com/"} +{"d:Title": "90.5 Hope FM", "d:Description": "Radio ministry of Calvary Chapel of Marlton. Includes live streaming and schedule.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://hopefm.net/"} +{"d:Title": "WLFR 91.7 FM - Lake Fred Radio", "d:Description": "Free form format station at Richard Stockton State College in Pomona", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wlfr.fm/"} +{"d:Title": "WZBZ - 88.9 FM", "d:Description": "Burlington County College non-commercial FM station used for student training and public service.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.z889.org/"} +{"d:Title": "Overnight Sensations on WRSU 88.7 FM", "d:Description": "A two hour show broadcast on a non-commercial station in New Brunswick, NJ dedicated to exposing local music and artists. Site lists guest artists that have been featured on WRSU with links to their websites.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.nice1up.com/"} +{"d:Title": "WNJC 1360 AM", "d:Description": "Station licensed to Washington Township with a variety of brokered programs.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wnjc1360.com/"} +{"d:Title": "Good News Radio WYGG 88.1 FM - Radio Bonne Nouvelle", "d:Description": "Ethnic radio broadcasting from Asbury Park, N.J.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.goodnewsradiofm.com/"} +{"d:Title": "WCHR 920 AM - Trenton", "d:Description": "Nationally syndicated Christian programs are heard daily on WCHR including James Dobson, Chuck Swindoll, Charles Stanley, Adrian Rogers, R.C. Sproul, Tony Evans, Kay Arthur and David Jeremiah.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wchram.net/"} +{"d:Title": "AM 970 The Apple", "d:Description": "WNYM, licensed to Hackensack, NJ is Conservative Talk Radio for Northern New Jersey and the New York metro. Daily programs include Bill Bennett, Dennis Miller, Mike Gallagher, Michael Medved, Michael Savage, Hugh Hewitt and Dennis Prager.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.am970theapple.com/"} +{"d:Title": "WOBM AM - 1160 and 1310", "d:Description": "Serving Monmouth and Ocean counties with Good Time Oldies music, news and information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wobmam.com/"} +{"d:Title": "EBC Radio WWTR 1170", "d:Description": "Programs South Asian languages from Bridgewater.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.ebcmusic.com/"} +{"d:Title": "Classic Oldies WMTR 1250 AM", "d:Description": "Broadcasts the hits of the 50's, 60's and 70's from Morristown.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wmtram.com/"} +{"d:Title": "WFJS 1260 AM", "d:Description": "Roman Catholic radio station transmitting from Trenton and licensed to the Domestic Church Media Foundation.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.domesticchurchmedia.org/"} +{"d:Title": "WIMG 1300 AM\u00a0Great Gospel", "d:Description": "The pulse of New Jersey\u2019s capital city, Trenton, programming urban gold music and diverse programming.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wimg1300.com/"} +{"d:Title": "Classic Oldies WMID", "d:Description": "Transmits from Atlantic City on 1340 AM with timeless Classic Oldies from the 50's and early 60's.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.classicoldieswmid.com/"} +{"d:Title": "107.9 FM, WWPH, Princeton Junction, NJ", "d:Description": "West Windsor/Plainsboro High School student FM radio station operating on 107.9 MHz", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.wwph1079fm.com/"} +{"d:Title": "Lift FM - South Jersey's Christian Hit Music Station", "d:Description": "Christian non-commercial LPFM radio station broadcasting on 98.5 MHz from Bridgeton, NJ", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.lift985.com/"} +{"d:Title": "WSNJ 1240 AM", "d:Description": "Local information station licensed to Bridgeton and serving Cumberland and Salem counties in South Jersey since 1937.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Jersey", "url": "http://www.snjtoday.com/"} +{"d:Title": "KENW-FM 89.5FM - Portales", "d:Description": "Eastern New Mexico University Radio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Mexico", "url": "http://www.kenw.org/"} +{"d:Title": "KTAO 101.9FM -", "d:Description": "Solar, powered rock and roll DOES sound different. KTAO, the World's Most Powerful Solar Radio Station, broadcasts from the heart of the sun in Taos, New Mexico, Solar Capital of the World.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Mexico", "url": "http://www.ktao.com/"} +{"d:Title": "KZRR 94 Rock", "d:Description": "Albuquerque rock FM radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_Mexico", "url": "http://www.94rock.com/"} +{"d:Title": "WBTA AM 1490", "d:Description": "Adult Contemporary/Talk Station serving the Batavia area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wbta1490.com/"} +{"d:Title": "WSYR AM 570", "d:Description": "NewsRadio 570. Syracuse's news-talk station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wsyr.com/"} +{"d:Title": "WYJB FM 95.5", "d:Description": "\"B-95\". Albany based Adult Contemporary Station. Carries Delilah.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.b95.com/"} +{"d:Title": "WKLI FM 100.9", "d:Description": "\"Magic 100.9\". Nostalgic/Soft Adult Contemporary station based in Albany.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.albanymagic.com/"} +{"d:Title": "WGNA FM 107.7", "d:Description": "Country 107.7 - Albany based Country Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wgna.com/"} +{"d:Title": "WRRV FM 92.7/WRRB FM 96.9", "d:Description": "The new rock alternative. Poughkeepsie based modern rock stations.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wrrv.com/"} +{"d:Title": "WPHR FM 106.9", "d:Description": "Power 106.9 - Syracuse area hip-hop station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.power1069jamz.com/"} +{"d:Title": "WBAB FM 102.3/WHFM FM 95.3", "d:Description": "Long Island based rock stations.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wbab.com/"} +{"d:Title": "WYSL AM 1040", "d:Description": "News 1040 - Rochester based all-news station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wysl1040.com/"} +{"d:Title": "WKKF FM 102.3", "d:Description": "102.3 KISS FM - Albany based Top 40 Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.1023kissfm.com/"} +{"d:Title": "WBLK FM 93.7", "d:Description": "Buffalo's Rhythm and Blues and Hip-Hop station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wblk.com/"} +{"d:Title": "WTSS FM 102.5", "d:Description": "Variety station broadcasting from Buffalo.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.mystar1025.com/"} +{"d:Title": "WINS AM 1010", "d:Description": "Local news, traffic and weather.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.1010wins.com/"} +{"d:Title": "WENE AM 1430", "d:Description": "\"AM 1430 The Team\". Endwell based Sports Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.1430theteam.com/"} +{"d:Title": "WTNY AM 790", "d:Description": "Watertown's news, talk and weather station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.790wtny.com/"} +{"d:Title": "WAQX FM 95.7", "d:Description": "\"95-X\". Syracuse-based Rock Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.95x.com/"} +{"d:Title": "WBZO FM 103.1", "d:Description": "\"B-103\". Long Island-based oldies station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.b103.com/"} +{"d:Title": "WBBS FM 104.7/WXBB FM 105.1", "d:Description": "\"B-104.7\" Syracuse's Country Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.b1047.net/"} +{"d:Title": "Family Life Ministries", "d:Description": "Based out of Bath, NY broadcasting on many frequencies throughout the country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.fln.org/"} +{"d:Title": "WFRY FM 97.5", "d:Description": "\"Froggy 97\". Watertown's Country Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.froggy97.com/"} +{"d:Title": "Jazz - 90.1 FM", "d:Description": "Non-commercial station in Rochester offering broadcast and online jazz programming. Performance calendar, membership.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.jazz901.org/"} +{"d:Title": "WCDB FM 90.9", "d:Description": "Radio Station Based at SUNY Albany.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://www.wcdbfm.com/"} +{"d:Title": "WKCR 89.9FM - New York City", "d:Description": "Broadcasting to the New York Metropolitan area, Jazz, Classical, New Music, American, Latin, International, Arts, Sports, and News...", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "https://www.cc-seas.columbia.edu/wkcr/"} +{"d:Title": "PYX 106", "d:Description": "Albany's classic rock station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/New_York", "url": "http://pyx106.iheart.com/"} +{"d:Title": "1440 WLXN News Talk Radio", "d:Description": "Serving Lexington and Davidson County. News, sports, and local event information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.wlxn.com/"} +{"d:Title": "WGQR FM Oldies and Beach 105.7", "d:Description": "Southeastern North Carolina's oldies and Beach Music radio station with live audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.wgqr1057.com/"} +{"d:Title": "WIOZ Soft Rock Star 102.5", "d:Description": "Plays a variety of music from Southern Pines, NC, and provides Moore County and the Sandhills information about schools, the many parks and recreational activities, local entertainment and cultural events.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.star1025fm.com/"} +{"d:Title": "WTQR - 104.1", "d:Description": "Country music station. Information on staff, local concerts and events, contests and photos.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.wtqr.com/"} +{"d:Title": "WNKS 95.1 FM", "d:Description": "Charlotte's home for Top 40 music. Concert information and promotions.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.kiss951.com/"} +{"d:Title": "WBAV 101.9 FM", "d:Description": "Featuring the current rhythm and blues hits. Charlotte.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.v1019.com/"} +{"d:Title": "WFNZ 610 AM", "d:Description": "Charlotte's sports/talk station featuring CBS Sports and local team broadcasts.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.wfnz.com/"} +{"d:Title": "WVBZ 100.3 FM", "d:Description": "Features, concert listing, news and streaming audio out of Greensboro.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Carolina", "url": "http://www.wxra945.com/"} +{"d:Title": "Prairie Public Radio", "d:Description": "Provides public radio service to western and central North Dakota with transmitters in Bismarck, Minot, Williston, Dickinson, and Jamestown.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/North_Dakota", "url": "http://www.prairiepublic.org/"} +{"d:Title": "WAIF Radio 88.3 FM - Cincinnati, Ohio", "d:Description": "Cincinnati and Ohio River Valley Radio Stations.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://www.waif883.org/"} +{"d:Title": "WCSB Homepage", "d:Description": "WCSB 89.3 FM", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://www.wcsb.org/"} +{"d:Title": "WFOB AM Stereo 1430 and Mix 96.7", "d:Description": "Provides local and national news, local and area movies, events and weather for Findlay and the Tri County areas of Ohio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://www.wbvi.com/"} +{"d:Title": "WELW Star 1330", "d:Description": "Family oriented radio station serving Northeast Ohio and the Internet. Programs include local news, sports broadcasts, and polka music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://welw.com/"} +{"d:Title": "Flyer Radio, 99.5FM", "d:Description": "From the University of Dayton. Listen live.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://campus.udayton.edu/~flyer-radio/"} +{"d:Title": "WVXU | WMUB - 91.7FM&88.5 FM", "d:Description": "Public radio station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://wvxu.org/"} +{"d:Title": "WWCD - 102.5 FM", "d:Description": "Columbus, Ohio. Adult alternative, progressive radio station guide.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://cd1025.com/"} +{"d:Title": "WCLV 95/5 FM", "d:Description": "Cleveland, Ohio, USA. Commercial classical music station programming guide and web-audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://wclv.ideastream.org/"} +{"d:Title": "Cleveland's Q104 WQAL", "d:Description": "Specializes in 80s, 90s and today's music with an exclusive blend of music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://q104.cbslocal.com/"} +{"d:Title": "WHIO 1290 AM", "d:Description": "News/talk radio for Dayton, with Clark Howard, Dr. Laura and local news and weather.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://www.whio.com/"} +{"d:Title": "WCOL - 92.3 FM", "d:Description": "Country music station. Personalities, program schedule, events, photographs, mailing list, news, sports, and related links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://wcol.iheart.com/"} +{"d:Title": "101.5 the River, WRVF FM, Toledo, Ohio", "d:Description": "101.5 the River, WRVF, Toledo", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://1015theriver.iheart.com/"} +{"d:Title": "WNCI - 97.9 FM", "d:Description": "Plays the top 40. Columbus.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://wnci.iheart.com/"} +{"d:Title": "WEBN 102.7", "d:Description": "Cincinnati, playing hard rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://webn.iheart.com/"} +{"d:Title": "WGAR - 99.5 FM", "d:Description": "Country music radio station programming guide and news for Cleveland, Ohio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://wgar.iheart.com/"} +{"d:Title": "WMJI, MAJIC 105.7 FM", "d:Description": "Plays oldies music from the late 50's, 60's and early 70's.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://wmji.iheart.com/"} +{"d:Title": "WMMS - 100.7 FM", "d:Description": "Plays rock music and Cleveland Browns broadcasts.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Ohio", "url": "http://wmms.iheart.com/"} +{"d:Title": "KRMG 740 AM", "d:Description": "News/talk radio for Tulsa, OK. Live audio feed.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Oklahoma", "url": "http://www.krmg.com/"} +{"d:Title": "KMGL 104.1FM - Magic - Oklahoma City", "d:Description": "Magic is Oklahoma City's adult contemporary radio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Oklahoma", "url": "http://www.magic104.com/"} +{"d:Title": "KCCU", "d:Description": "A listener-supported service of Cameron University, KCCU, The Classic FM is National Public Radio for Southwest and South Central Oklahoma.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Oklahoma", "url": "http://www.kccu.org/"} +{"d:Title": "The Portland Radio Guide", "d:Description": "Gives detailed information about AM, FM radio, industry news, programs and radio station news for Portland, Oregon.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Oregon", "url": "http://www.pdxradio.com/"} +{"d:Title": "JeffNet", "d:Description": "The community based internet service of the Jefferson Public Radio Listeners Guild, owned and operated by Southern Oregon University.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Oregon", "url": "http://www.ijpr.org/"} +{"d:Title": "Sunny 107", "d:Description": "KKRB 106.9 fm Klamath Falls, Oregon. Soft rock station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Oregon", "url": "http://www.klamathradio.com/sunny107/index.html"} +{"d:Title": "WDIY 88.1&93.9FM - Lehigh Valley, Pa.", "d:Description": "Lehigh Valley Community Public Radio. Source for NPR News, local public affairs and outstanding music programming 24 hours a day.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://www.wdiyfm.org/"} +{"d:Title": "WDAS FM", "d:Description": "Philadelphia,listen online. Rhythm and blues format.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://www.wdasfm.com/"} +{"d:Title": "Q102", "d:Description": "Listen live online. Philadelphia.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://www.q102philly.com/"} +{"d:Title": "WBCB 1490 AM", "d:Description": "Broadcasting to lower Bucks County and vicinity. Music, sports, and talk radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://www.wbcb1490.com/"} +{"d:Title": "3WS Radio", "d:Description": "Pittsburgh's station for great oldies hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://www.3wsradio.com/"} +{"d:Title": "Philly Talk Radio", "d:Description": "Directory and guide.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://www.phillytalkradioonline.com/"} +{"d:Title": "WFIL Radio", "d:Description": "56.com is the place to remember WFIL Radio, in the 60s, and 70s. Jingles, airchecks, and jock information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://www.famous56.com/"} +{"d:Title": "WUBA Rumba 1480 AM", "d:Description": "Philadelphia, featuring Spanish language music, news and sports.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Pennsylvania", "url": "http://rumba1480.com/"} +{"d:Title": "WRIU FM 90.3", "d:Description": "Kingston Based station broadcasting from the University of Rhode Island.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.wriu.org/"} +{"d:Title": "95.5 WBRU", "d:Description": "Broadcasting out of Providence, RI, since 1966, WBRU is Southern New England's modern rock station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.wbru.com/"} +{"d:Title": "92 PRO-FM", "d:Description": "WPRO-FM 92.3 is Rhode Island's home for today's hottest hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.92profm.com/"} +{"d:Title": "HOT 106 FM", "d:Description": "WWKX 106.3 - Providence's home for Hip-Hop and Rhythm and Blues.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://hot1063.com/"} +{"d:Title": "NewsRadio 630", "d:Description": "WPRO - Providence news/talk station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.630wpro.com/"} +{"d:Title": "Cat Country 98.1", "d:Description": "WCTK - Providence Home for today's country hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.wctk.com/"} +{"d:Title": "Classical 95.9", "d:Description": "WCRI - Westerly based Classical station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://classical959.com/"} +{"d:Title": "FM - 88.1 - WBSR", "d:Description": "Brown University student radio. Operates 7PM-2AM or 7PM-5AM depending on what day of the week it is on WELH.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://bsrlive.com/"} +{"d:Title": "O-N Radio 1240", "d:Description": "WOON - Woonsocket based station featuring a wide-variety of programming.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.onworldwide.com/"} +{"d:Title": "News-Talk 1380", "d:Description": "WNRI - Woonsocket based station featuring news/talk, oldies and big band music, and foreign language programming.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.wnri.com/"} +{"d:Title": "RIPR", "d:Description": "Rhode Island's NPR station; 88.1, 91.5 and 102.7 FM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.ripr.org/"} +{"d:Title": "B-101", "d:Description": "WWBB 101.5 is Rhode Island's 70s and 80s Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://b101.iheart.com/"} +{"d:Title": "94 HJY", "d:Description": "The home of Rock and Roll, New Rock and Classic Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://94hjy.iheart.com/"} +{"d:Title": "Lite Rock 105", "d:Description": "WWLI 105.1 - Rhode Island's Listen at Work Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://www.literock105fm.com/"} +{"d:Title": "News Radio 920", "d:Description": "WHJJ. News/talk/weather station in Providence.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Rhode_Island", "url": "http://920whjj.iheart.com/"} +{"d:Title": "WTMA 1250 AM", "d:Description": "Information and programming for this talk radio station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Carolina", "url": "http://www.wtma.com/"} +{"d:Title": "WKZQ 101.7 FM", "d:Description": "Local radio station, plays rock and alternative music. Station provides information on music news and local concert connection.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Carolina", "url": "http://www.wkzq.net/"} +{"d:Title": "WYAV 104.1 FM", "d:Description": "Classic rock station with Howard Stern in the morning; includes programming schedule, news, a community guide, and an events calendar.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Carolina", "url": "http://www.wave104.net/"} +{"d:Title": "WNAX 570 AM", "d:Description": "Yankton, South Dakota-based talk station featuring news, sports, and agricultural auctions and markets.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.wnax.com/"} +{"d:Title": "KKLS FM (Hot 104.7)", "d:Description": "Sioux Falls Top 40 station. DJ biographies, music, news, pictures, contests, and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.hot1047.com/"} +{"d:Title": "KELO FM 92.5", "d:Description": "Sioux Falls soft rock station. DJ biographies, playlist, news, weather, contests, and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.kelofm.com/"} +{"d:Title": "KRRO 103.7 FM", "d:Description": "Sioux Falls rock station. News, concerts, contests, playlist, photos, and links", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.krro.com/"} +{"d:Title": "KTWB 101.9 FM", "d:Description": "Sioux Falls country station. DJ biographies, news, weather, contests, photo gallery, advice, coupons, and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.ktwb.com/"} +{"d:Title": "KWSN AM 1230", "d:Description": "Sioux Falls sports station. Program schedule, calendar of events, message board, and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.kwsn.com/"} +{"d:Title": "KDDX FM (X-Rock)", "d:Description": "Spearfish rock station. DJ profiles, music links, and events calendar.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.xrock.fm/"} +{"d:Title": "KFXS 100.3 FM (The Fox)", "d:Description": "Rapid City classic rock station. Home of Bob and Tom in the morning. Link to Bob and Tom's site, a local calendar of events, concert information, and artist links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.foxradio.com/"} +{"d:Title": "KSLT 107.3 FM", "d:Description": "Rapid City Christian station. Schedule, playlist, links and contact information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.kslt.com/"} +{"d:Title": "KOTA AM 1380", "d:Description": "Rapid City news/talk station. Program schedule, news, weather, sports, employment, guestbook and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.kotaradio.com/"} +{"d:Title": "KUQL 98.3 FM (Kool 98)", "d:Description": "Mitchell/Wessington Springs oldies station. Program schedule, news, weather, sports, and station information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.kool98.com/"} +{"d:Title": "KMIT 105.9 FM", "d:Description": "Mitchell country station. Program schedule, news, weather, sports and online streaming audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/South_Dakota", "url": "http://www.kmit.com/"} +{"d:Title": "WDVX 89.9 FM Online", "d:Description": "Regionally focused public-supported radio station for East Tennessee. Emphasis on bluegrass and old-time music. No government funds. No ads. Not NPR.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wdvx.com/"} +{"d:Title": "Nashville Public Radio", "d:Description": "WPLN 90.3 in Nashville and WHRS 91.7 in Cookeville", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wpln.org/"} +{"d:Title": "WJLE Radio 101.7", "d:Description": "News, weather, sports, and local advertising for the Smithville/DeKalb County area of Middle Tennessee.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wjle.com/"} +{"d:Title": "103.5 WIMZ", "d:Description": "WIMZ, Knoxville plays classic rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wimz.com/"} +{"d:Title": "WQUT 101.5 FM", "d:Description": "Classic and new rock for Bristol. MP3 samples, and tickets to concerts for sale online.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wqut.com/"} +{"d:Title": "Rock 105.9 WRZK", "d:Description": "East Tennessee mainstream rock radio station - 105.9 WRZK", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wrzk.com/"} +{"d:Title": "WSM Online", "d:Description": "Radio home of the Grand Ole Opry and a variety of hit country music. Nashville.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wsmonline.com/"} +{"d:Title": "WKPT AM", "d:Description": "AM broadcasts of \"adult standards\" for East Tennessee and Southwest Virginia. From Kingsport.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wkptam.com/"} +{"d:Title": "WUOT 91.9 FM", "d:Description": "Listener supported public radio from University of Tennessee at Knoxville.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wuot.org/"} +{"d:Title": "Tennessee Radio Stations", "d:Description": "A directory, with call letters, frequencies, and internet links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://fortpayne.net/tennradio.htm"} +{"d:Title": "Lite Rock 95.9", "d:Description": "Cookeville FM station. Features profiles of on-air staff and contest information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.literock959.com/"} +{"d:Title": "WJCW 910 AM", "d:Description": "AM station in Johnson City offering a talk format.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Tennessee", "url": "http://www.wjcw.com/"} +{"d:Title": "KOOP 91.7 FM", "d:Description": "News, schedule information, and play lists for this co-op radio station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.koop.org/"} +{"d:Title": "KTEP 88.5 FM", "d:Description": "KTEP is a non-commercial radio station broadcasting from the Communication Department at the University of Texas at El Paso. KTEP is one of the oldest FM stations in the state of Texas, and has been broadcasting continuously since 1950.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.ktep.org/"} +{"d:Title": "KPLX - 99.5", "d:Description": "The Wolf - Country Music, Dallas", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.995thewolf.com/"} +{"d:Title": "KISS 99.5 FM", "d:Description": "Classic and new rock for San Antonio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kissrocks.com/"} +{"d:Title": "KIXS 108 FM", "d:Description": "Broadcasts country music, 24 hours daily, from Victoria.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kixs.com/"} +{"d:Title": "KTBB AM 600", "d:Description": "East Texas Oldest news station, sports with David Smoak, and weather with Dr. Bob Peters.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.ktbb.com/"} +{"d:Title": "KNUE 101.5 FM", "d:Description": "Tyler - Longview, East Texas Country Music Station", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.knue.com/"} +{"d:Title": "KPYK", "d:Description": "Terrell city council meetings, community events.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kpyk.com/"} +{"d:Title": "Texas Radio Stations Online", "d:Description": "Directory of online Texas radio stations.", "priority": "1", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://metalinks.com/txradio.htm"} +{"d:Title": "KDOK 92.1 FM", "d:Description": "Oldies, News, Weather and Sports for Tyler, Texas", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kdok.com/"} +{"d:Title": "KOOI 1065.FM", "d:Description": "Lite Adult Contemporary Radio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kooi.com/"} +{"d:Title": "Majic 955", "d:Description": "Majic is known for its morning show, Majic in the Morning.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.majic.com/"} +{"d:Title": "KGSR 107.1", "d:Description": "Austin, Texas Rock, Blues Station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kgsr.com/"} +{"d:Title": "KVRX 91.7 FM", "d:Description": "Student Radio for Austin.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kvrx.org/"} +{"d:Title": "KPAN Radio", "d:Description": "Hereford, Texas hometwon radio station since 1948.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.kpanradio.com/"} +{"d:Title": "KAMU FM 90.9", "d:Description": "Texas A&M University College Station/Bryan", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://kamu.tamu.edu/"} +{"d:Title": "KGEE 99.9", "d:Description": "West Texas Country.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.todayschristianmusic.com/"} +{"d:Title": "KJCE 1370 AM", "d:Description": "News talk 1370 AM, Austin, TX.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.talkradio1370am.com/"} +{"d:Title": "KRBE 104 FM", "d:Description": "Top 40 radio station in Houston. Live audio feed and mp3s.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.krbe.com/"} +{"d:Title": "KKDA 104FM", "d:Description": "Hip hop", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.myk104.com/"} +{"d:Title": "KKTX 96 X", "d:Description": "Tyler- Longview, East Texas Classic Rock station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://classicrock961.com/"} +{"d:Title": "KWED 1580AM", "d:Description": "Seguin, Texas Country Music", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://www.seguintoday.com/"} +{"d:Title": "WOAI 1200 AM", "d:Description": "News Radio 1200 WOAI - San Antonio, Texas.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://woai.iheart.com/"} +{"d:Title": "KZEP 104.5", "d:Description": "San Antonio Classic Rock Station", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://kzep.iheart.com/"} +{"d:Title": "KZZN AM 1490", "d:Description": "Country music station in Littlefield.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://kzzn1490.com/"} +{"d:Title": "KDGE 94.5", "d:Description": "Alternative Edge", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://kdge.iheart.com/"} +{"d:Title": "KTBZ-FM 94.5", "d:Description": "Information on programming, jocks, playlists, webcam, city news and upcoming events from this modern and alternative rock station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://thebuzz.iheart.com/"} +{"d:Title": "KKRW 93.7", "d:Description": "Hip-hop and R&B.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://937thebeathouston.iheart.com/"} +{"d:Title": "KNCN C-101", "d:Description": "Corpus Christi's Rock Station", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://c101.iheart.com/"} +{"d:Title": "KODA 99.1 FM", "d:Description": "Sunny 99.1 FM", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://sunny99.iheart.com/"} +{"d:Title": "KODM 97.9 FM", "d:Description": "Music from the 80s and 90s. Midland.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Texas", "url": "http://979litefm.com/"} +{"d:Title": "WRUV - 90.1 FM - Burlington", "d:Description": "WRUV exists, in general, to provide an educational broadcast over the airwaves.To be more specific, WRUV fills the voids in local (Burlington, Vermont, USA) radio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Vermont", "url": "http://www.wruv.org/"} +{"d:Title": "Central Virginia's Public Broadcasting", "d:Description": "88.9FM WCVE celebrates its 10th anniversary with Central Virginia's Public Broadcasting", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.wcve.org/"} +{"d:Title": "Q94 - Today's Hit Music", "d:Description": "Top 40 station. Staff, contests, photographs, news, and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.wrvq94.com/"} +{"d:Title": "WOWI--103 JAMZ", "d:Description": "Hampton Roads, VA, site features entertainment news, and Top 10 Picks Of The Week.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.103jamz.com/"} +{"d:Title": "New Rock 102.1 The X", "d:Description": "Concerts, XL 102 events, and meet the air staff.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.wrxl.com/"} +{"d:Title": "WREL 96.7FM Country and WREL AM 1450 News/Talk", "d:Description": "Internet home of Virginia radio stations Country 96.7 WREL-FM, News/Talk AM1450 WREL-AM, in Lexington, Rockbridge County, Virginia, USA", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.wrel.com/"} +{"d:Title": "WVTF Public Radio", "d:Description": "Celebrating 25 years of public radio service. WVTF is the public radio service of Virginia Tech, a university putting knowledge to work.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.wvtf.org/"} +{"d:Title": "FM 94 - Super Country Radio", "d:Description": "Information about Smyth County's radio station, airing programs on 93.9 FM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.fm94.com/"} +{"d:Title": "WRNL - AM 910 Sports Radio", "d:Description": "Offers live sports programming and talk shows.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.sportsradio910.com/main.html"} +{"d:Title": "WNIS 790am", "d:Description": "Virginia news and talk radio station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.wnis.com/"} +{"d:Title": "WXBX 95.3FM", "d:Description": "Golden Oldies in the southern Virginia/ Wytheville area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://www.wxbx.com/"} +{"d:Title": "WQMZ 95.1FM", "d:Description": "Z95 Today's hits and yesterday's favorites, music for women.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Virginia", "url": "http://literockz951.com/"} +{"d:Title": "KBCS-FM (91.3, Bellevue)", "d:Description": "Jazz and folk; Bellevue Community College.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://kbcs.fm/"} +{"d:Title": "KMTT-FM (103.7, Seattle)", "d:Description": "\"The Mountain\" plays old and new adult rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kmtt.com/"} +{"d:Title": "KING-FM (98.1, Seattle)", "d:Description": "NBC, classical music. A commercial station owned by non-profit Classic Radio, which supports music-arts organizations in Seattle that include the Seattle Symphony and Seattle Opera. Broadcasts classical music, live Internet music, real audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.king.org/"} +{"d:Title": "KVI-AM (570, Seattle)", "d:Description": "News-talk from a conservative perspective; Rush Limbaugh", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kvi.com/"} +{"d:Title": "KNDD.FM (107.7, Seattle)", "d:Description": "Classic and modern rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.1077theend.com/"} +{"d:Title": "KISW-FM (99.9, Seattle)", "d:Description": "Hard rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kisw.com/"} +{"d:Title": "KYSN-FM (97.7, Wenatchee)", "d:Description": "Country music station. News, entertainment, program schedule, staff, and links [requires Flash].", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kysn.com/"} +{"d:Title": "KWWW-FM (96.7, Wenatchee)", "d:Description": "\"KW3\"; hit music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kw3.com/"} +{"d:Title": "KRWM 106.9 FM", "d:Description": "Adult contemporary. Contests, listener panel, DJ images and biographies, employment and internships, events calendar, photo gallery, news, poll, links, and contact information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.warm1069.com/"} +{"d:Title": "KIXI-AM (880, Seattle)", "d:Description": "Classic easy-listening hits from the '50s, '60s, '70s and '80s.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kixi.com/"} +{"d:Title": "KXRO-AM (1320, Aberdeen)", "d:Description": "News-talk, sports and weather serving the Grays Harbor County area.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kxro.com/"} +{"d:Title": "KONP-AM (1450, Port Angeles)", "d:Description": "News-talk; serving Clallam County on the Olympic Peninsula of Washington state.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.konp.com/"} +{"d:Title": "KPQ-AM (560, Wenatchee)", "d:Description": "News-talk; serving central Washington state.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kpq.com/"} +{"d:Title": "KPLU-FM (88.5, Tacoma)", "d:Description": "NPR and local news, jazz; serving Tacoma and Seattle. Pacific Lutheran University.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kplu.org/"} +{"d:Title": "KUOW-FM (94.9, Seattle)", "d:Description": "NPR, BBC and local news and talk. University of Washington.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kuow.org/"} +{"d:Title": "KPBX-FM (91.1, Spokane)", "d:Description": "NPR, BBC and local news and talk; classical, jazz and folk music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kpbx.org/"} +{"d:Title": "Bonneville Seattle Media Group", "d:Description": "Offers radio/digital advertising with KIRO Radio 97.3 FM, AM 770 KTTH, and MyNorthwest.com.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://radioads.homestead.com/"} +{"d:Title": "KGY", "d:Description": "Country Radio in Olympia, Wash.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kgyradio.com/"} +{"d:Title": "KUGS 89.3&102.5FM! - Bellingham", "d:Description": "Western Washington University's Student Run Radio Station - College and Alternative Rock.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kugs.org/"} +{"d:Title": "North Cascades Broadcasting Family of Radio Stations", "d:Description": "KOMW, KNCW and KZBE bring music, news, sports and many local features to North Central Washington State.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.komw.net/"} +{"d:Title": "The Quake 102.1 FM", "d:Description": "Programs rock and roll music, aired from Wenatchee, WA. Give information about upcoming concerts, sister AM KPQ - 560, KWNC -1370 and NCW Broadcasting research.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.thequake1021.com/"} +{"d:Title": "KAAP-FM (99.5, Wenatchee)", "d:Description": "\"Apple FM\"; soft hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.applefm.com/"} +{"d:Title": "KIRO-FM (97.3, Seattle)", "d:Description": "CBS station offering news, sports, weather, traffic, and community information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.mynorthwest.com/"} +{"d:Title": "MOViN 92.5", "d:Description": "Plays hits from pop, hip hop, alternative, and dance.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.movin925.com/"} +{"d:Title": "KPLZ-FM (101.5, Seattle)", "d:Description": "\"Star 101.5\"; hits from the '80s, '90s and today.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.star1015.com/"} +{"d:Title": "KMNT-FM (102.9, Centralia)", "d:Description": "Country music; serving Centralia, Chehalis and Olympia, Wash.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kmnt.com/"} +{"d:Title": "KNHC-FM (89.5, Seattle)", "d:Description": "\"C-89.5 FM.\" Run by students of Nathan Hale High School in Seattle. Dance-oriented hits and public-affairs programming.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.c895.org/"} +{"d:Title": "KELA-AM (1470, Centralia)", "d:Description": "News-talk; serving Centralia and Chehalis, Wash.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://www.kelaam.com/"} +{"d:Title": "KBTB 95.7 FM (\"The Beat\")", "d:Description": "Formerly KJR-FM; Rhythm and blues and dance hits from the '70s and '80s. Seattle.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://957thebeat.iheart.com/"} +{"d:Title": "Alt 102.9 FM", "d:Description": "Alternative and rhythmic rock. Contests, jokes, play lists, and artists links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://alt1029.iheart.com/"} +{"d:Title": "KJR-AM (950, Seattle)", "d:Description": "ESPN, sports talk, Seattle Sonics.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://sportsradiokjr.iheart.com/"} +{"d:Title": "KMPS-FM (94.1, Seattle)", "d:Description": "Country music.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://kmps.cbslocal.com/"} +{"d:Title": "KUBE-FM (104.9, Seattle)", "d:Description": "Hip hop hits.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington", "url": "http://kube1049.iheart.com/"} +{"d:Title": "WAMU 88.5 FM", "d:Description": "NPR news, talk and information. Includes schedule, live audio, community pages and HD channels.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://wamu.org/"} +{"d:Title": "WTOP 103.9 FM/103.5 FM/107.7 FM", "d:Description": "Covers breaking news, traffic, sports and business news; headlines, area weather, charities and features.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://wtop.com/"} +{"d:Title": "Early Radio History: Washington, DC, AM Station History", "d:Description": "Brief history of AM radio stations that have operated since 1921, including a map, frequency charts, station histories and sources. By Thomas H. White.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://earlyradiohistory.us/hist-dc.htm"} +{"d:Title": "The Joy Boys: Ed Walker and Willard Scott", "d:Description": "Fan site dedicated to Ed Walker and Willard Scott, announcers for WRC radio in Washington from 1955 to 1972. Includes audio clips, history, pictures, stories and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.thejoyboys.com/"} +{"d:Title": "WMAL 630 AM/105.9 FM", "d:Description": "News/talk. Live audio, schedule, hosts, contests, concerts and events, clubs and community information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.wmal.com/"} +{"d:Title": "WHUR 96.3 FM", "d:Description": "Adult contemporary. Offers programming, contact information and live audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.whur.com/"} +{"d:Title": "WFRE 99.9 FM", "d:Description": "\"Free Country.\" Concerts, events, personalities, news, photos, sound files, contests, newsletter and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.wfre.com/"} +{"d:Title": "WUST 1120 AM", "d:Description": "New World Radio, the multicultural voice of the nation's capital. Spanish/English site has programming, coverage, photos and archived audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.wust1120.com/"} +{"d:Title": "WACA 1540 AM", "d:Description": "Radio America broadcasts Spanish talk and contemporary music. Bilingual site includes programming, staff, media kit and live audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.radioamerica.net/"} +{"d:Title": "WBJC 91.5 FM", "d:Description": "Classical music. Offers live audio, host profiles, program schedule and contact information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.wbjc.com/"} +{"d:Title": "WINC 92.5 FM", "d:Description": "Adult contemporary. Station information, events, contests, news and weather, features and club.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.winc.fm/"} +{"d:Title": "WFLS 93.3 FM", "d:Description": "Country music. Concerts and events, news, DJs, photos, club, artist links and contact information.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.wfls.com/"} +{"d:Title": "WIYY 97.9 FM", "d:Description": "\"98 Rock.\" Concerts and events, station information, programming, hosts, photos, contests, merchandise, \"Twisted Tunes\" and contact page.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.98online.com/"} +{"d:Title": "The Great 98", "d:Description": "Tribute site for defunct NBC Top 40 station WRC, including history, DJs, sound clips, message forum, links and CDs.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.98wrc.com/"} +{"d:Title": "WETA 90.9 FM", "d:Description": "Public station airs classical music and NPR news. Offers streaming audio, as well as host profiles, schedule and community arts calendar.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.weta.org/fm/"} +{"d:Title": "Metropolitan Washington Old Time Radio Club (MWOTRC)", "d:Description": "Founded to collect and share audio tapes, records, books, scripts, magazines and related memorabilia from the Golden Age of Broadcasting.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.mwotrc.com/"} +{"d:Title": "ESPN 980 AM", "d:Description": "\"Home of the Redskins\" offers scores and breaking sports news, plus commentators' pages, teams, photos, contests and streaming audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.espn980.com/"} +{"d:Title": "Washington Jewish Radio", "d:Description": "Jewish music of all genres hosted by Larry and Ben Shor. Heard on WCTN 950 AM in Washington, WYRE 810 AM in Annapolis and WKHZ 1590 AM in Ocean City, Md.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.washingtonjewishradio.com/"} +{"d:Title": "WSPZ 570 AM", "d:Description": "\"SportsTalk 570.\" Streaming audio, local teams and scores, program schedule.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://sportstalk570.com/"} +{"d:Title": "WPWC 1480 AM", "d:Description": "\"We Act Radio.\" Talk format broadcasting from the Anacostia neighborhood. Programming, live stream, video library and mailing list.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.weactradio.com/"} +{"d:Title": "WKYS 93.9 FM", "d:Description": "Urban hits, rhythm and blues, hip-hop and gospel. Personalities, playlists and features, concerts and events, calendar, listener survey and club.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://kysdc.com/"} +{"d:Title": "Radio CPR 97.5 FM", "d:Description": "Community station founded by residents of the Mount Pleasant and Columbia Heights neighborhoods offers eclectic musical and local affairs programming.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://radiocpr.tumblr.com/"} +{"d:Title": "WCSP 90.1 FM", "d:Description": "C-SPAN Radio. Also available over the Internet and satellite radio networks. Schedule, news and audio links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.c-span.org/live/?channel=radio"} +{"d:Title": "CBS DC", "d:Description": "Including stations 106.7 FM The Fan, WNEW All News 99.1 FM and CBS Sports Radio 1580 AM.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://washington.cbslocal.com/"} +{"d:Title": "WMMJ 102.3 FM", "d:Description": "\"Majic 102.3\" plays rhythm and blues hits. News, schedule, hosts, contests, calendar and events.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://mymajicdc.hellobeautiful.com/"} +{"d:Title": "WOL 1450 AM", "d:Description": "News and talk radio for the African-American community. News, personalities and station profile.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://woldcnews.newsone.com/"} +{"d:Title": "WPGC 95.5 FM", "d:Description": "Urban hits, rhythm and blues, hip-hop and go-go. News, concerts and events, hosts, music, VIP Club, contests, community calendar and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://wpgc.cbslocal.com/"} +{"d:Title": "WTGB 94.7 FM", "d:Description": "\"Fresh FM\" contemporary. News and events, features, clubs, contests and streaming audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://947freshfm.cbslocal.com/"} +{"d:Title": "WWMX 106.5 FM", "d:Description": "Adult contemporary. Includes events, photos, contests and staff.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://mix1065fm.cbslocal.com/"} +{"d:Title": "Metro Connection", "d:Description": "WAMU's local news magazine.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://wamu.org/programs/metro_connection"} +{"d:Title": "WASH 97.1 FM", "d:Description": "Music of the '80s, '90s and today. Includes playlist, audio stream, hosts, contests and newsletter.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://washfm.iheart.com/"} +{"d:Title": "WBIG 100.3 FM", "d:Description": "\"The Greatest Rock 'n Roll of All Time.\" Clubs, contests, news, events and live streams.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://wbig.iheart.com/"} +{"d:Title": "WIHT 99.5 FM", "d:Description": "\"Hot 99.5\" plays Top 40. Features, audio and video, concerts and events, hosts, schedule, contests, listener club and live audio.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://hot995.iheart.com/"} +{"d:Title": "WMZQ 98.7 FM", "d:Description": "\"Today's Best Country.\" Live audio, hosts, news and features, schedule, playlists, photos, concerts and events, listener club, contests and music downloads.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://wmzq.iheart.com/"} +{"d:Title": "WRQX 107.3 FM", "d:Description": "Adult mix/contemporary. Personalities, concerts, events and appearances, programs, playlist, contests, photos and community announcements.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://www.mix1073.com/"} +{"d:Title": "WWDC 101.1 FM", "d:Description": "\"DC's alternative rock.\" Music news, DJs, playlists, concerts and events, loyal listener club, downloads and live audio stream.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC", "url": "http://dc101.iheart.com/"} +{"d:Title": "BluegrassCountry.org", "d:Description": "All-bluegrass channel owned by D.C. public radio station WAMU. Includes Windows Media and MP3 streams, news, programs and playlists, personnel, membership information and FAQ.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC/Internet", "url": "http://www.bluegrasscountry.org/"} +{"d:Title": "Washington Chinese Media", "d:Description": "Chinese-language site.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Washington,_DC/Internet", "url": "http://wcmi.us/"} +{"d:Title": "WMUL 88.1FM - Marshall University - Huntington", "d:Description": "College Radio", "topic": "Top/Arts/Radio/Regional/North_America/United_States/West_Virginia", "url": "http://www.marshall.edu/wmul/"} +{"d:Title": "WDGG-FM Radio", "d:Description": "\"The DAWG\" serves the tri-state area by providing sports news and Country music. Provides staff profiles, programs, contests, and contact details.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/West_Virginia", "url": "http://www.937thedawg.com/"} +{"d:Title": "92.7 FM and 98.5 FM The Planet", "d:Description": "Huntington, West Virginia. Locally owned and operated stations featuring real rock and Lex and Terry.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/West_Virginia", "url": "http://www.planet927.com/"} +{"d:Title": "The New 102.1 - The River", "d:Description": "Marietta-Parkersburg contemporary adult radio station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/West_Virginia", "url": "http://102theriver.iheart.com/"} +{"d:Title": "WORT 89.9 FM, Madison WI", "d:Description": "Listener-sponsored community radio. Archived audio online.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Wisconsin", "url": "http://www.wort-fm.org/"} +{"d:Title": "WUWM 89.7FM - Milwaukee Public Radio", "d:Description": "General information, news, program schedule, information for listeners, contact details, and links.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Wisconsin", "url": "http://www.wuwm.com/"} +{"d:Title": "WKLH 96.5FM Milwaukee", "d:Description": "Classic Rock N' Roll", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Wisconsin", "url": "http://www.wklh.com/"} +{"d:Title": "100.7 KOLT FM", "d:Description": "Country music station.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Wyoming", "url": "http://www.koltfm.com/"} +{"d:Title": "97.9 KING FM", "d:Description": "All Rock and Roll hits. DJs, Dave Pal, Doug Guyer,Tim Davidson, and Jeff Sheridan.", "topic": "Top/Arts/Radio/Regional/North_America/United_States/Wyoming", "url": "http://www.kingfm.com/"} +{"d:Title": "ABC-Radio Australia", "d:Description": "Radio Australia online in RealAudio and Windows Media formats, with multiple language shortwave schedules, and instructions for receiving Australian satellite radio and TV (AusTV).", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.radioaustralia.net.au/"} +{"d:Title": "2SER 107.3 FM Underground Radio", "d:Description": "One of the largest underground Community based Radio stations in Australia. Indie and Underground music.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.2ser.com/"} +{"d:Title": "ABC Classic FM", "d:Description": "National classical music network.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.abc.net.au/classic/"} +{"d:Title": "ABC NewsRadio", "d:Description": "The Australian Government run broadcaster's national 24 hour radio news service. All news, all the time.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.abc.net.au/newsradio"} +{"d:Title": "ABC Triple J", "d:Description": "Australia's National Youth Radio Network", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://triplej.abc.net.au/"} +{"d:Title": "Wave Fm", "d:Description": "Featuring the latest competitions and personalities at Wave Fm Wollongong.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.wavefm.com.au/"} +{"d:Title": "Nova100 Melbourne", "d:Description": "Commercial Broadcaster playing a bigger variety of techno, top 40 and other based music.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.nova100.com.au/"} +{"d:Title": "City Park Radio 103.7 FM", "d:Description": "Official website to the Launceston community radio station.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.tased.edu.au/tasonline/cpr/"} +{"d:Title": "99.9 Voice FM", "d:Description": "Ballarat's Community Radio Station, 99.9 Voice FM. Includes the presenters, volunteers, station, and the music.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.voicefm.com.au/"} +{"d:Title": "Austereo Network", "d:Description": "Operates radio stations in all mainland Australian capital cities, along with Newcastle and Canberra. Corporate site provides overview for each program, news, station profiles and promotion details.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.austereo.com.au/"} +{"d:Title": "Triple J", "d:Description": "Australia wide radio station with topics concerning all the youth's of Australia.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.abc.net.au/triplej/"} +{"d:Title": "9inety6ix.1", "d:Description": "Make online requests, listen to live streaming music broadcasts, and get exclusive giveaways.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.961.com.au/"} +{"d:Title": "MIX101.1", "d:Description": "Melbourne's Best Mix - MIX101.1. Chat Online, Listen Live and check the TV Guide.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.mix1011.com.au/"} +{"d:Title": "DIG Internet Radio", "d:Description": "Plays a range of blues, rock, soul, and jazz, with programmes available on demand. From The Australian Broadcasting Corporation.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.abc.net.au/dig"} +{"d:Title": "ABC Radio National", "d:Description": "Extensive specialist programs.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia", "url": "http://www.abc.net.au/rn/"} +{"d:Title": "Nova969 Sydney", "d:Description": "Sydney Commercial Broadcaster playing techno, top 40 and other based music.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/New_South_Wales", "url": "http://www.nova969.com.au/"} +{"d:Title": "FM 107.1 2AAA", "d:Description": "Community radio station broadcasting in Wagga Wagga, NSW.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/New_South_Wales", "url": "http://www.2aaa.net/"} +{"d:Title": "Tumut's FM 96.3 Sounds of the Mountains", "d:Description": "A non profit community based radio station covering the Tumut Shire.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/New_South_Wales", "url": "http://www.fm963.com.au/"} +{"d:Title": "89.7FM Eastside Radio", "d:Description": "Eastside Radio broadcasts to the greater eastern Sydney area and beyond. Providing specialist music, community and arts programs, plus sport on Saturday.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/New_South_Wales", "url": "http://www.eastsidefm.org/"} +{"d:Title": "NetRadio from Sydney Australia", "d:Description": "Internet Radio Station broadcasting the latest hits in clear digital streaming. Home of the Vinyl Lounge. NetFM is a privately owned company broadcasting to the world from a modern studio located in the centre of Sydney's business district. NetFM operates 24/7 playing popular music as well as some of the old classics.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/New_South_Wales", "url": "http://www.netfmradio.net/"} +{"d:Title": "2NCR-FM 92.9", "d:Description": "Community radio station operating from Lismore.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/New_South_Wales", "url": "http://www.2ncr.org.au/"} +{"d:Title": "ABC Darwin - 105.7", "d:Description": "Find schedule, history, and tuning details.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/Northern_Territory", "url": "http://www.abc.net.au/darwin/"} +{"d:Title": "Triple M - 104.5 FM", "d:Description": "Brisbane's best rock. Show and music information along with members area and profiles.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/Queensland", "url": "http://www.triplemrocks.com.au/"} +{"d:Title": "4TOFM - 102.3 FM", "d:Description": "Townsville. Information about the radio station, competitions, a virtual tour, and forms to put lost and found or birthday greetings on air.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/Queensland", "url": "http://www.4tofm.com.au/"} +{"d:Title": "Edge 102.1 FM", "d:Description": "Northeast Victorian commercial station playing today's best music 24 hours a day, 7 days a week.", "topic": "Top/Arts/Radio/Regional/Oceania/Australia/Victoria", "url": "http://www.edgefm.com.au/"} +{"d:Title": "Audionet.co.nz", "d:Description": "A live listening portal for several stations including 91ZM (90.9 FM), 99-100 More FM, Radio New Zealand International, and Wellington's easy listening, The Breeze at 94.1 FM, 98.1 FM and 891 AM, using Real Player.", "topic": "Top/Arts/Radio/Regional/Oceania/New_Zealand", "url": "http://www.audionet.co.nz/"} +{"d:Title": "Radio Active 89 FM", "d:Description": "Includes its local on air services, regular features, events and giveaways, and online listening.", "topic": "Top/Arts/Radio/Regional/Oceania/New_Zealand", "url": "http://www.radioactive.co.nz/"} +{"d:Title": "Radio Continental", "d:Description": "Radio Continental broadcasts his AM and FM signals through the internet.", "topic": "Top/Arts/Radio/Regional/South_America/Argentina", "url": "http://www.continental.com.ar/"} +{"d:Title": "Howstuffworks: How Satellite Radio Works", "d:Description": "Includes graphics and basic introduction into the satellite operational system.", "topic": "Top/Arts/Radio/Resources", "url": "http://www.howstuffworks.com/satellite-radio.htm"} +{"d:Title": "Web Ring for Arts and Radio", "d:Description": "Annotated links to various radio web rings.", "topic": "Top/Arts/Radio/Resources", "url": "http://dir.webring.com/rw?d=Entertainment___Arts/Radio"} +{"d:Title": "GlobalStreams.com", "d:Description": "Listeners can customize their own radio dial from over 2,500 streaming stations.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://www.globalstreams.com/"} +{"d:Title": "RadioTower.com", "d:Description": "Online directory of over 850 radio stations from around the world in dozens of genres.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://radiotower.com/"} +{"d:Title": "Radio Roadtrip.com", "d:Description": "Small list of sports and conservative radio programs schedules, listed by highway routes in the US and Canada.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://radioroadtrip.com/"} +{"d:Title": "RadioLinks.net", "d:Description": "Searchable directory of links aimed for professionals in the radio field.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://www.radiolinks.net/"} +{"d:Title": "Funsites.com", "d:Description": "Catalog of live radio and TV links.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://www.funsites.com/in-live-radio.html"} +{"d:Title": "About.com: Radio", "d:Description": "News and links to radio sites.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://radio.about.com/"} +{"d:Title": "Mike's Radio World", "d:Description": "Links to over 3000 radio stations in the WWW.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://www.mikesradioworld.com/"} +{"d:Title": "On The Radio.Net", "d:Description": "Find phone numbers and websites for commercials heard on the radio.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://www.ontheradio.net/"} +{"d:Title": "Radio World Newspaper", "d:Description": "The definitive source for radio information on technology, industry news, management techniques, applications-oriented engineering and production articles and new product information.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://www.radioworld.com/"} +{"d:Title": "Danoday.com", "d:Description": "Offers free articles, instruction, workshops, advice, radio software, and streaming audio for radio professionals.", "topic": "Top/Arts/Radio/Resources/Guides", "url": "http://danoday.com/"} +{"d:Title": "Capital Radio 604", "d:Description": "Tribute to this South African station, with jingles, recent interviews, videos, message board, and downloads.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.capital604.com/"} +{"d:Title": "WHEN Radio - The Wonder Years", "d:Description": "A look back at a former legendary station and some of the people who made it happen.", "topic": "Top/Arts/Radio/Tributes", "url": "http://classa.net/62when/basebreakers.htm"} +{"d:Title": "Little Orley", "d:Description": "Lumpy Brannum created and narrated the \"Little Orley\" stories for Fred Waring's radio program of the late 1940s. Lumpy was best known as Mr. Greenjeans on The Captain Kangaroo Show.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.littleorley.com/"} +{"d:Title": "KROQ Top 106.7 Coun tdowns of the 80's", "d:Description": "Lists of all the countdowns (artist/title) of the 80's for KROQ, the new wave station from Pasadena USA", "topic": "Top/Arts/Radio/Tributes", "url": "http://earlhoward.com/kroq.htm"} +{"d:Title": "WOLF1490 Tribute Site", "d:Description": "Syracuse's WOLF The Big 15. Where greats like Dick Clark, Marv Albert, Bud Ballou, Dale Dorman, Hank Greenwalk and others started.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.wolf1490.net/"} +{"d:Title": "Radio Days!", "d:Description": "Highlights of AM Radio Broadcasting with historical tribute to Michigan stations WCEN (1150, Mount Pleasant) and WMTE (1340, Manistee).", "topic": "Top/Arts/Radio/Tributes", "url": "http://radio.barkis.net/"} +{"d:Title": "WRKO/The BIG 68 Remembered", "d:Description": "Also WMEX, WNAC and other former Top 40 New England Radio stations. Airchecks, history and memorabilia.", "topic": "Top/Arts/Radio/Tributes", "url": "http://wrko.org/"} +{"d:Title": "The Unforgettable WRDR-FM 104.9", "d:Description": "Tribute to WRDR-FM 104.9, the \"Unforgettable\" standards station based in Egg Harbor, New Jersey. The site features articles, pictures of personalities and various studio shots.", "topic": "Top/Arts/Radio/Tributes", "url": "http://wrdrfm1049.tripod.com/"} +{"d:Title": "KSAN - Jive95", "d:Description": "Dedicated to the memories and spirit of KSAN-FM, San Francisco. For more than a decade, starting in 1968, under the hands of FM godfather, Tom Donahue, KSAN led a free-form revolution that produced incredible, inspiring and outrageous radio.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.jive95.com/"} +{"d:Title": "The Classic CKLW Page", "d:Description": "This page remembers the Big 8, CKLW (Windsor/Detroit) - one of the top radio stations in the world in the 1960's and 1970's.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.thebig8.net/"} +{"d:Title": "NY Radio Memories", "d:Description": "A tribute to New York Radio in the late 50s, the 60s and the early 70s", "topic": "Top/Arts/Radio/Tributes", "url": "http://nyradiomemories.com/"} +{"d:Title": "KWFM 92 Tucson", "d:Description": "KWFM launched some legendary radio careers, all of whom helped build KWFM's rock sound. Includes photos, biographies, airchecks, jingles, commercials, print ads, and trivia contributed by the former staff.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.las-solanas.com/kwfm/"} +{"d:Title": "RadioHitlist.com", "d:Description": "Top song countdowns from radio stations such as LA's KROQ and San Diego's 91X. Includes artist, song and album title information plus a searchable database.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.radiohitlist.com/"} +{"d:Title": "Radio Eric", "d:Description": "Web-site linked to web-radio station celebrating vintage British land based pirate radio stations of the 60's, 70's and 80's.", "topic": "Top/Arts/Radio/Tributes", "url": "http://radio.eric.tripod.com/"} +{"d:Title": "Steve Cichon's staffannouncer.com", "d:Description": "a huge WBEN, Buffalo 75th anniversary tribute, plus a zany hodge podge of Broadcasting memories, mostly revolving Around Buffalo, NY.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.staffannouncer.com/"} +{"d:Title": "The History of WLS Radio 1924-2000", "d:Description": "Tribute to the history of the Chicago AM radio station.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.wlshistory.com/"} +{"d:Title": "Bill Dulmage Radio&Television Archive", "d:Description": "Historical look at radio and television in Southern Ontario and Upstate New York with tributes to CTFR and CHUM (Toronto), WGR and WKBW (Buffalo), and WHEN (Syracuse). Includes pictures, promotional items, technical information, and airchecks.", "topic": "Top/Arts/Radio/Tributes", "url": "http://billdulmage.tripod.com/"} +{"d:Title": "The Mercia Sound History Website", "d:Description": "A history of Mercia Sound, the UK local radio station for Coventry, Warwickshire and South West Leicestershire. Includes audio clips, presenter profile, playlists, schedules, jingles and articles.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.kps.org.uk/"} +{"d:Title": "KHJ Boss Radio: A Look Back", "d:Description": "Features a collection of images related to radio station KHJ in Los Angeles during the Boss Radio years (1965-73).", "topic": "Top/Arts/Radio/Tributes", "url": "http://93khj.blogspot.com/"} +{"d:Title": "Hello Children Everywhere", "d:Description": "A celebration of the BBC Light Programme's Saturday morning \"Children's Favourites\" with Uncle Mac, with record listings and some audio.", "topic": "Top/Arts/Radio/Tributes", "url": "http://www.sterlingtimes.co.uk/children.htm"} +{"d:Title": "Dejay Multi-Media Awards", "d:Description": "Manufacturer of Gold and Platinum Awards, RIAA Certified or non-certified for the entertainment industry.", "topic": "Top/Arts/Television/Awards", "url": "http://www.dejaygold.com/"} +{"d:Title": "Aurora Awards Independent Film and Video Competition", "d:Description": "Honors commercials, cable programming, documentaries, industrial, instructional, and corporate videos. Includes information on entries, rules, winners, and categories.", "topic": "Top/Arts/Television/Awards", "url": "http://www.auroraawards.com/"} +{"d:Title": "The Videographer Awards", "d:Description": "International awards competition honoring excellence in commercials, video production, broadcast television and cable. Includes categories, entry form, and 2004 winners.", "topic": "Top/Arts/Television/Awards", "url": "http://www.videoawards.com/"} +{"d:Title": "National Academy of Television Arts&Sciences", "d:Description": "Official site with information on awards (national daytime, sports, news and documentary), the Academy, Academy chapters and job bank.", "topic": "Top/Arts/Television/Awards/Emmy_Awards", "url": "http://www.emmyonline.org/"} +{"d:Title": "International Academy of Television Arts&Sciences", "d:Description": "Official site with information on awards (international), the show, entry forms, member information and press articles.", "topic": "Top/Arts/Television/Awards/Emmy_Awards", "url": "http://www.iemmys.tv/"} +{"d:Title": "How Stuff Works - How the Emmy Awards Work", "d:Description": "Explains what the Emmy award means, how the winners are chosen and where the name comes from. Includes related links.", "topic": "Top/Arts/Television/Awards/Emmy_Awards", "url": "http://www.howstuffworks.com/emmy.htm"} +{"d:Title": "AOL Television - Emmy Awards", "d:Description": "Presents live coverage, video, and event photographs.", "topic": "Top/Arts/Television/Awards/Emmy_Awards", "url": "http://television.aol.com/emmys"} +{"d:Title": "Academy of Television Arts&Sciences", "d:Description": "Official site with information on awards (national primetime), the Academy, the Foundation, Emmy magazine, events, membership, media center and archive.", "topic": "Top/Arts/Television/Awards/Emmy_Awards", "url": "http://www.emmys.com/"} +{"d:Title": "Wikipedia - Emmy Award", "d:Description": "Hyperlinked encyclopedia article about the awards and their three related but separate organizations: Academy of Television Arts&Sciences (national prime time entertainment excluding sports); National Academy of Television Arts&Sciences (daytime, sports, news and documentary programming); International Academy of Television Arts&Sciences (programming produced and originally aired outside the United States).", "topic": "Top/Arts/Television/Awards/Emmy_Awards", "url": "http://en.wikipedia.org/wiki/Emmy_Award"} +{"d:Title": "LA Times -The Envelope: Emmy Awards", "d:Description": "Complete coverage including news, interviews and photos with Emmy predictions, nominations and winners.", "topic": "Top/Arts/Television/Awards/Emmy_Awards", "url": "http://theenvelope.latimes.com/awards/emmys/"} +{"d:Title": "Cable Addicts Broadband Lounge", "d:Description": "Cable telecommunications and catv industry related discussion forums, resources, mailing list archives, search engine, job listings, tv and movie sound tracks.", "topic": "Top/Arts/Television/Cable_Television", "url": "http://www.cabl.com/"} +{"d:Title": "Multichannel News: The Cable TV Industry Book Of Record", "d:Description": "Reports on all breaking news relevant to the cable TV and telecommunications industries, here and abroad, as well as related developments in broadcast, MDS, DBS, telco and home video.", "topic": "Top/Arts/Television/Cable_Television", "url": "http://www.multichannel.com/"} +{"d:Title": "CLIPS-Cinema Lovers Info Program Site", "d:Description": "Monthly cable TV series featuring current theatrical releases. Created and written by BFCA member Roger Tennis. Available to cable systems nationwide.", "topic": "Top/Arts/Television/Cable_Television", "url": "http://cinemaclips.com/"} +{"d:Title": "National Cable Television Association", "d:Description": "Learn all about cable technology, programming and new developments in the industry.", "topic": "Top/Arts/Television/Cable_Television", "url": "http://www.ncta.com/"} +{"d:Title": "Alexandria City Public Schools TV", "d:Description": "Operated by Alexandria, Va., City Public Schools. Includes program listings and staff information, as well as resources for educators.", "topic": "Top/Arts/Television/Cable_Television", "url": "http://www.acps.k12.va.us/acpstv.php"} +{"d:Title": "NATOA's Home Page", "d:Description": "The National Association of Telecommunications Officers and Advisors provides a forum for individuals employed by, or primarily retained by a municipal, county or state government, or a regional authority engaged in the regulation, administration, programming or planning of cable and/or telecommunications systems.", "topic": "Top/Arts/Television/Cable_Television/Franchise_Administration", "url": "http://www.natoa.org/"} +{"d:Title": "Metropolitan Area Communications Commission", "d:Description": "Cable franchise administrator for jurisdictions in and around the Tualatin Valley, Oregon", "topic": "Top/Arts/Television/Cable_Television/Franchise_Administration", "url": "http://www.maccor.org/"} +{"d:Title": "PrimaCom AG", "d:Description": "Acquires, constructs, owns and operates cable television networks which serve primarily medium- and small-sized communities in Germany. [English/German]", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://www.primacom.de/"} +{"d:Title": "Cox Communications", "d:Description": "Broadband communications company offering digital television service, high-speed Internet access and work-at-home services to residential customers, and local telephone and long distance services.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://www.cox.com/"} +{"d:Title": "British Sky Broadcasting", "d:Description": "Provider of sports, movies, entertainment and news. Services include digital television, satellite broadcasts, and broadcast via mobile phones, personal digital assistants and portals including Sky.com and Skysports.com.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://www.sky.com/"} +{"d:Title": "Armstrong Zoom Cable Internet Service", "d:Description": "Provides a high-speed cable modem Internet connection to customers in western Pennsylvania and eastern Ohio.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://armstrongonewire.com/"} +{"d:Title": "Austar", "d:Description": "Regional and rural service in Australia, and in cities of Hobart and Darwin. Channel guides, program details, and subscription information.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://www.austar.com.au/"} +{"d:Title": "Charter Communications", "d:Description": "Operator of cable television systems in the US. Offers a full range of traditional cable television services as well as digital cable television services, interactive video programming, and high-speed Internet access.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://www.charter.com/"} +{"d:Title": "Comcast Corporation", "d:Description": "Develops, manages and operates cable communications systems offering regular cable service, direct to home satellite television and high-speed cable modem service.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://www.xfinity.com/"} +{"d:Title": "Altice USA", "d:Description": "A telecommunications and entertainment company. Its portfolio of operations ranges from high-speed Internet access and cable television packages to championship professional sports teams and national television program networks.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://alticeusa.com/"} +{"d:Title": "SKY Cable Corporation", "d:Description": "Philippines cable company providing entertainment, news and multimedia services.", "topic": "Top/Arts/Television/Cable_Television/Operators", "url": "http://www.mysky.com.ph/"} +{"d:Title": "Oceanic Cable", "d:Description": "Offers high-speed internet cable modems and fiber coax hybrid signal delivery systems in Hawaii.", "topic": "Top/Arts/Television/Cable_Television/Operators/Time_Warner_Cable", "url": "http://www.oceanic.com/"} +{"d:Title": "Discovery Health Channel", "d:Description": "A cable channel offering programming about medical breakthroughs, healthy stories and the ever changing world of health care.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://health.discovery.com/"} +{"d:Title": "Cambridge Community Television", "d:Description": "CCTV's community-based media center is located in Central Square, the heart and soul of Cambridge, Massachusetts. CCTV provides a public forum for Cambridge residents to participate in both local and global interactions using electronic media.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.cctvcambridge.org/"} +{"d:Title": "Grand Rapids Public Access Television", "d:Description": "GRTV is the Community Access Television Channel for the city of Grand Rapids, Michigan.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.grtv.org/"} +{"d:Title": "Kalamazoo Community Access Center", "d:Description": "Access television provider that serves the cities of Kalamazoo and Parchment and the townships of Comstock, Kalamazoo and Oshtemo via Cablevision of Michigan channels 30, 31, 32 and 33.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.visioncouncil.org/cableaccess/"} +{"d:Title": "Dayton Access Television", "d:Description": "DATV is a nonprofit organization providing local program producers access to cable television in Dayton, Ohio.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.datv.org/"} +{"d:Title": "Chicago Access Network Television", "d:Description": "CAN TV is one of the largest and most widely used public access TV centers in the country located in Chicago, Illinois.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.cantv.org/"} +{"d:Title": "Miami Valley Communications Council", "d:Description": "MVCC is a council of governments serving eight member cities in the South Dayton, Ohio suburbs. Managing four cable TV access channels, overseeing two cable television franchises and is involved in a variety of intergovernmental activities.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.mvcc.net/"} +{"d:Title": "Community Television of Santa Cruz", "d:Description": "Community Television for Santa Cruz County, California.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.communitytv.org/"} +{"d:Title": "Paper Tiger Television NYC", "d:Description": "Appears across the country on public access cable channels with non-commercial, uncensored channels available for public use. The series is produced by a volunteer collective of media producers, educators and activists.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://papertiger.org/"} +{"d:Title": "Akaku Maui Community Television", "d:Description": "Community TV station located Kahului, Maui, Hawaii that provides training and equipment.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://akaku.org/"} +{"d:Title": "ETC 33 - Fridley Communications Workshop", "d:Description": "Everyone's television channel, bringing public access to the web.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.etc33.org/"} +{"d:Title": "Lockport Community Television", "d:Description": "Provides public, education, and government access programming for Lockport, New York's Community.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.lctv.net/"} +{"d:Title": "DCTV", "d:Description": "Davis Community Television is a non-profit, membership-based public access television center offering community members the tools and training to produce their own programs for the cable channel located in Davis, California.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.dctv.davis.ca.us/"} +{"d:Title": "L@36", "d:Description": "The Los Angeles cable television cable access corporation, LACTAC is a non-profit corporation dedicated to bringing the communities of Los Angeles quality educational programming.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.la36.org/"} +{"d:Title": "KOCT", "d:Description": "Community access television center serving Oceanside, California.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.koct.org/"} +{"d:Title": "Mountain Community Television", "d:Description": "Public information site for Channel 15 Mount Shasta, California.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.mctv15.org/"} +{"d:Title": "Bolingbrook Community Television", "d:Description": "Public access facility located in Illinois. Studio and production information.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://bct6.org/"} +{"d:Title": "The Peoples Channel", "d:Description": "Chapel Hill's community TV station. Includes programming information, events, and news.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.thepeopleschannel.org/"} +{"d:Title": "NewTV", "d:Description": "Mission statement, programming, and information about channel in Newton, Massachusetts.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.newtv.org/"} +{"d:Title": "Connecticut Public Access", "d:Description": "Cox Communications franchises located in Cheshire, Enfield and Manchester. Includes news, programming, and training.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.patv15.com/"} +{"d:Title": "Tampa Bay Public Access Television", "d:Description": "Public, educational and government access in Tampa, Florida.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.speakuptampabay.org/"} +{"d:Title": "Community Media of the Foothills", "d:Description": "KGEM's cable access stations located in Monrovia, California, a small city 20 miles northeast of Los Angeles.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.kgem.tv/"} +{"d:Title": "Tuckahoe Educational Access", "d:Description": "A service of the Tuckahoe Public School District, serving Tuckahoe, Eastchester and Bronxville New York on Channel 77.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www2.lhric.org/ths1/district/tv.htm"} +{"d:Title": "Mountain View Community Television", "d:Description": "KMVT is an independent, non-profit 501C3 organization, serving the community of Mountain View, California.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.kmvt15.org/"} +{"d:Title": "Holden Community Television Channel 11", "d:Description": "Show schedules, forthcoming events, studio calendar, and profiles of producers.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.hctv11.com/"} +{"d:Title": "Randolph Community Television", "d:Description": "Community television station serving the Town of Randolph, Massachusetts. Events, channels, and information about studios.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://randolphcommunitytv.com/"} +{"d:Title": "North Liberty Television - NLTV", "d:Description": "Local public, education, and government access cable channel in North Liberty, Iowa. Includes channel and schedule information, staff profiles, and history.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.northlibertyiowa.org/nltv/"} +{"d:Title": "Access Sacramento", "d:Description": "Access Sacramento is a nonprofit public service organization located in Sacramento, California.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.accesssacramento.org/"} +{"d:Title": "Modern Media Barn", "d:Description": "Supports research and education in the broadband industry. Includes edited links, resource material, discussion board and classes.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.modernmediabarn.com/"} +{"d:Title": "Tri-Valley Community Television", "d:Description": "A California non-profit local public television corporation serving Pleasanton, Livermore, Dublin and San Ramon.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://tv30.org/"} +{"d:Title": "Waycross Community Media", "d:Description": "The public, education and government access television provider for the communities of Forest Park, Greenhills, and Springfield Township in Ohio.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.waycross.tv/"} +{"d:Title": "Bedford Community Television", "d:Description": "Community television located in Bedford, New Hampshire.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.bedfordnh.org/Pages/BedfordNH_BCTV/index"} +{"d:Title": "MetroEast Community Media", "d:Description": "A non-profit community television station in Gresham, Oregon. Administers of eight cable channels and offers tv production workshops at the facility located on the campus of Mt. Hood Community College.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.metroeast.org/"} +{"d:Title": "Public Access TV Producers WebRing", "d:Description": "Directory of sites with content related to public access television.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.webring.org/hub?ring=publicaccesstv"} +{"d:Title": "Alliance for Community Media", "d:Description": "Committed to assuring everyone's access to electronic media by creating public education, advancing a positive legislative and regulatory environment, building coalitions, and supporting local organizing.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.allcommunitymedia.org/"} +{"d:Title": "Somerville Community Access TV", "d:Description": "Home of station in Somerville, Massachusetts. Includes information on programming, news, events, and internships.", "topic": "Top/Arts/Television/Cable_Television/Public_Access", "url": "http://www.scatvsomerville.org/"} +{"d:Title": "The Bill and Clay Show", "d:Description": "Created by Bill Goff and Clay Butler, comedy television video show featuring puppetry, animation, and skit sketch comedy.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://www.billandclay.com/"} +{"d:Title": "Pure Cheese", "d:Description": "Comedy show created by the minds of Tory Nixon and Trevor Steinmeyer.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://purecheesekwsctv.tripod.com/"} +{"d:Title": "Show, The", "d:Description": "Produced in Santa Rosa, California, a sketch comedy series.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://itstheshow.tripod.com/"} +{"d:Title": "Backyard, The", "d:Description": "A sketch comedy airing out of Tampa Bay. Features include \"The Bum of the Week,\" and the world's smallest gospel singer.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://www.thebackyard.com/"} +{"d:Title": "Wiltshire and Jefferies Live", "d:Description": "Brings you comedy on their show with special guests week to week.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://wilshjefflive.tripod.com/wiltshireandjefferislive"} +{"d:Title": "Short Cuts", "d:Description": "From Somerville, Maryland, a comedy series with crazy characters and zany ideas.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://sc_stoneham.tripod.com/Pages/homepage.html"} +{"d:Title": "Andy Jones and Friends", "d:Description": "Upcoming Andy Jones and Eric Sheridan comedy TV show featuring news, biographies, music, and pictures.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://www.angelfire.com/celeb/andyjones/"} +{"d:Title": "The HarryMan Show", "d:Description": "Public access television program featuring down loadable episodes and pictures.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://harrymanx.tripod.com/"} +{"d:Title": "RhondaVision", "d:Description": "Award-winning Los Angeles cable access show that transcends reality by following the surreal, often campy and abstract, life of RhondaVision.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://www.rhondavision.com/"} +{"d:Title": "Rock Thomas Show", "d:Description": "Comedy series.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://www.angelfire.com/crazy/rockthomas/"} +{"d:Title": "According To Whim", "d:Description": "Fort Worth, Texas based public access sketch comedy.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://www.accordingtowhim.com/"} +{"d:Title": "WTF Wrestling Outlaws", "d:Description": "New York City's underground wrestling comedy show.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://www.wtfworld.com/"} +{"d:Title": "The Special Without Brett Davis", "d:Description": "Variety show on New York's Manhattan Neighborhood Network. The successor to the popular Chris Gethard Show, it is hosted by a different character every week.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://brettdavis.tumblr.com/"} +{"d:Title": "The World We Live In", "d:Description": "A sketch comedy show on New Haven's public access station, CTV. Full episodes and exclusive online content.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Comedy", "url": "http://theworldwelivein.info/"} +{"d:Title": "At Home on the Range", "d:Description": "Hosted by John Crean and Barbara Venezia, comedy cooking TV show with recipes, jokes, chat room, and streaming video.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Food", "url": "http://www.hotrange.com/"} +{"d:Title": "Pat's Kitchen", "d:Description": "Pat Moran's cooking show in Dayton, Ohio. Site features the recipes from the show.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Food", "url": "http://patskitchen.50megs.com/"} +{"d:Title": "The Inebriated Kitchen", "d:Description": "Seen on The Manhattan Neighborhood Network, series dedicated to the culinary education of the finer Manhattan alcoholic.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Food", "url": "http://www.inebriatedkitchen.com/"} +{"d:Title": "Delicious TV: Totally Vegetarian", "d:Description": "Hosted by Toni Avtges and produced in Portland, Maine, cooking and lifestyle series featuring a culinary style that's casual and Mediterranean.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Food", "url": "http://www.delicioustv.com/"} +{"d:Title": "The Austin Connection", "d:Description": "Homepage of the ground-breaking public access show that brings you live DJs and computer graphics in Austin.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.angelfire.com/electronic/djkid/"} +{"d:Title": "Mustard Music", "d:Description": "New music television show in the northern Pittsburgh area.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.mustardmusic.tvheaven.com/"} +{"d:Title": "Burn My Eye", "d:Description": "Reflection of the healthy rock and roll scene in San Francisco.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.burnmyeye.com/"} +{"d:Title": "Groove Parlor TV", "d:Description": "Produced in Chicago, an urban entertainment program featuring hip-hop and rhythm and blues music videos, celebrity interviews and concert footage.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.grooveparlortv.com/"} +{"d:Title": "Artist Perspective", "d:Description": "Hosted by Anne` DuBois, an AEO Consortium TV show featuring soul/gospel/jazz music seen in Connecticut.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.aeotv.biz/"} +{"d:Title": "MixShow, The", "d:Description": "One hour live urban video show from Alabama featuring the latest videos, news, and interviews.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.themixshow.net/"} +{"d:Title": "Go-Kustom TV", "d:Description": "Music videos, live performances, burlesque performances, and hot rod events in the greater Seattle area. Hosted by Lindsay Calkins.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.go-kustom.com/go-kustomtv.html"} +{"d:Title": "Terry Love Presents", "d:Description": "Music videos of local Seattle bands and the nightlife.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.terrylove.com/tv"} +{"d:Title": "Punk Life", "d:Description": "Reno, Nevada-based show on punk rock.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.angelfire.com/nv2/punklifereno/News.htm"} +{"d:Title": "Local Noise", "d:Description": "Music show featuring performances by bands and musical acts from the New Jersey area. Band links, streaming video and behind the scenes information.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://members.tripod.com/~stefanidis/"} +{"d:Title": "It's All Good In The Hood", "d:Description": "Produced in Baton Rouge, Louisiana, weekly TV show showcasing upcoming and national talent.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.allgoodinthehoodtvshow.20megsfree.com/"} +{"d:Title": "Underground Video Television", "d:Description": "TV show and podcast featuring music videos and live performances of metal, rock artists. Offers on demand videos.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Music", "url": "http://www.uvtv.info/"} +{"d:Title": "Hot Spots", "d:Description": "Magazine-format TV show about fun things to do in Orlando and all of Central Florida. \"Spots\" features include attractions, activities, events, shopping, dining, and nightlife.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.hotspotsonline.com/"} +{"d:Title": "Boathouse TV Show", "d:Description": "The world of boating with Captain Mike Whitehead.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.boathousetv.com/"} +{"d:Title": "Brini Maxwell", "d:Description": "Recipes, tips, and information about Brini's weekly New York-based television show.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.brinimaxwell.com/"} +{"d:Title": "New York Skate Videos", "d:Description": "Skating show from the Big Apple. Site featuring Real Video clips.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.centralparkskate.com/"} +{"d:Title": "Perils for Pedestrians", "d:Description": "Produced by John Z. Wetmore, monthly cable television series that promotes safety for people who walk. Airs on public access TV channels around the US.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.pedestrians.org/"} +{"d:Title": "Out and About with Roger Martin", "d:Description": "The Los Angeles-based TV newsmagazine series is a source of information relating to some of the hottest activities around: everything from the latest sports and museum exhibitions, to pot-bellied pigs, pet shows and UFOs.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.outandabouttv.com/"} +{"d:Title": "Termite Television", "d:Description": "Multi-faceted and multi-voiced programs which address issues of cultural, political, and aesthetic concern.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.termite.org/"} +{"d:Title": "Inside / Outside", "d:Description": "The new tv/webcast show that is a resource for locals and travelers alike to find the latest in music, entertainment, fitness, and business news.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://tv.groups.yahoo.com/group/insideoutside/"} +{"d:Title": "Train Show, The", "d:Description": "In production since 1996, television show about trains.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.thetrainshow.com/"} +{"d:Title": "Let's Paint TV", "d:Description": "John Kilduff's how to paint TV show seen in Los Angeles and New York.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Reality-Based", "url": "http://www.letspainttv.com/"} +{"d:Title": "Eightcount Boxing Hour", "d:Description": "Hosted by Dan Sisneros, a public access boxing program from New Mexico.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Sports", "url": "http://www.angelfire.com/nm/eightcount/"} +{"d:Title": "Masterz Of Mayhem", "d:Description": "From Cleveland, Ohio, program features independent wrestling matches and music videos.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Sports", "url": "http://members.tripod.com/~MASTERZOFMAYHEM/homeindex.html"} +{"d:Title": "Outlook Video - Gay TV", "d:Description": "A monthly GLBT community access cable program cablecast in many California cities and beyond, and available on YouTube. Associated with the Billy DeFrank Lesbian and Gay Community Center of San Jose CA.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Talk_Shows", "url": "http://www.outlookvideo.org/"} +{"d:Title": "Malibu Gossip with Cookie Cutter", "d:Description": "Brings you the latest entertainment news/gossip, movie review and celebrity interview.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Talk_Shows", "url": "http://members.tripod.com/malibugossip/"} +{"d:Title": "Tea With Marie", "d:Description": "Focusing on beauty, gentility, and tranquility, host Marie Younkin-Waldman, author of Simply South County, interviews authors, writers, scientists, and social advocates. Seen in Rhode Island.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Talk_Shows", "url": "http://teawithmarie.com/"} +{"d:Title": "Happiness Show, The", "d:Description": "TV program about helping viewers become happier. Episodes are available to view online.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Talk_Shows", "url": "http://thehappinessshow.com/"} +{"d:Title": "The 1/2 Hour Show", "d:Description": "Hosted by Christopher Dolciato and Gary Maher, a unique look at Hudson, Ohio as viewed from a couple of our favorite lawn chairs.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Talk_Shows", "url": "http://halfhour.tripod.com/"} +{"d:Title": "About the Arts", "d:Description": "Created in 1995, this series brings the works of today's visual, performing, media and literary artists.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.aboutthearts.com/"} +{"d:Title": "Rox", "d:Description": "From Bloomington, Indiana. Not sketch comedy, not a talk show, just our own real-life adventures.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.rox.com/"} +{"d:Title": "Tenize", "d:Description": "Hip hop meets soul showcase and urban connection in New York City.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.tenize.com/"} +{"d:Title": "The Imperial Orgy TV Show", "d:Description": "Half-hour variety series with arts, music, rage, and pop culture.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.orgytv.0catch.com/"} +{"d:Title": "Industrial Television", "d:Description": "Created by Edmund Varuolo and Brian Powell, film clips, trailers, and edited-down features of disturbing violence are shown on this New York-based series.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.2droogies.com/"} +{"d:Title": "The Sammy Gouti Show", "d:Description": "30-minute weekly show with a focus on community issues, cultural and social events throughout the Houston area, as well as provides entertainment news, interviews, and film reviews.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://sammygouti.tvheaven.com/"} +{"d:Title": "The Midnight Movie", "d:Description": "Seen in Cleveland, Ohio, old films with host and guests.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.themidnightmovie.net/"} +{"d:Title": "The Edge TV Show", "d:Description": "This is an all new celebrity based cable TV show hosted in Atlanta, GA.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.angelfire.com/ga2/theedge17/index.html"} +{"d:Title": "Wolftoob", "d:Description": "Seen in the New York area, cable TV show showcasing rock and roll music and really bizarre humor.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.wolftoob.com/"} +{"d:Title": "Dr. Destruction's Crimson Theatre", "d:Description": "Produced in Kenosha, Wisconsin, every week a new horror and science fiction movie will be featured, along with interviews with actors, musicians, magicians and anyone interesting and with an interest in horror.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://drdestruction.tripod.com/"} +{"d:Title": "Big Mouth TV", "d:Description": "Long Beach, California variety show featuring Jazmine and Venice.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.angelfire.com/tv2/bigmouthtvshow/"} +{"d:Title": "Santa Rosa Live", "d:Description": "Talk, variety, and game show featuring Sonoma County events.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://www.socool.com/srlive"} +{"d:Title": "Creative Vision Television", "d:Description": "Produced by Barbara Januszkiewicz, public access series showcasing artists and their works from the greater Washington Metropolitan Area.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://users.erols.com/januszkb/"} +{"d:Title": "Nude Hippo Chicago", "d:Description": "Chicago talk and variety show. NBC5.", "topic": "Top/Arts/Television/Cable_Television/Public_Access/Programs/Variety", "url": "http://tonylossano.blogspot.com/2013/10/nude-hippo-true-chicago-story.html"} +{"d:Title": "India Forums", "d:Description": "Discussion board for TV viewers living in India and abroad. Topic threads include various shows, actors, and characters.", "topic": "Top/Arts/Television/Chats_and_Forums", "url": "http://www.india-forums.com/"} +{"d:Title": "AnimeForum.com", "d:Description": "Discussion forum for anime shows ranging from the generic to the more popular.", "topic": "Top/Arts/Television/Chats_and_Forums", "url": "http://www.animeforum.com/"} +{"d:Title": "TV Fan Forums", "d:Description": "Forum devoted to the discussion of both regular and reality television shows ranging in variety, from 24 to Dancing with the Stars.", "topic": "Top/Arts/Television/Chats_and_Forums", "url": "http://www.tvfanforums.net/"} +{"d:Title": "The Media Access Mailing List", "d:Description": "Subscription information for list dedicated to closed-captioning, audio description, Web, CD-ROM, multimedia access, and related issues.", "topic": "Top/Arts/Television/Closed_Captioning", "url": "http://www.joeclark.org/axxlist.html"} +{"d:Title": "Joe Clark: Media Access", "d:Description": "Large collection of writings by a recognized expert on accessibility issues related to TV, movies, and the Internet.", "topic": "Top/Arts/Television/Closed_Captioning", "url": "http://www.joeclark.org/access/"} +{"d:Title": "Evertz Microsystems, Ltd.", "d:Description": "Supplier of digital encoders and software. Includes news, downloads, tutorials and user resources, and corporate information.", "topic": "Top/Arts/Television/Closed_Captioning/Equipment", "url": "http://www.evertz.com/"} +{"d:Title": "EEG Enterprises", "d:Description": "Provider of encoders, broadcast decoders, and software. Includes news, product details, and corporate information.", "topic": "Top/Arts/Television/Closed_Captioning/Equipment", "url": "http://www.eegent.com/"} +{"d:Title": "SoftTouch, Inc.", "d:Description": "Encoders, broadcast decoders, set-top decoders, and software consulting. Includes corporate and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Equipment", "url": "http://www.softtouch-inc.com/"} +{"d:Title": "Image Logic", "d:Description": "Provides Windows-based program for adding captions and subtitles. Includes product descriptions and information on requesting a demonstration disc.", "topic": "Top/Arts/Television/Closed_Captioning/Equipment/Software", "url": "http://www.imagelogic.com/"} +{"d:Title": "SoftNI", "d:Description": "Subtitling, offline captioning, and Teletext software. Includes news and product details.", "topic": "Top/Arts/Television/Closed_Captioning/Equipment/Software", "url": "http://softni.com/"} +{"d:Title": "Spot Subtitling Software", "d:Description": "Subtitle editing for translators and captioners. Includes product details, list of features, FAQ, and corporate information.", "topic": "Top/Arts/Television/Closed_Captioning/Equipment/Software", "url": "http://www.spotsoftware.nl/"} +{"d:Title": "ProCAT", "d:Description": "Providers of online and offline captioning software. Includes product and staff lists.", "topic": "Top/Arts/Television/Closed_Captioning/Equipment/Software", "url": "http://procat.com/"} +{"d:Title": "Al Media Movers, Inc.", "d:Description": "Offers Closed Captioning services for deaf&hard of hearing viewers.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.media-movers.com/"} +{"d:Title": "Captionmax, Inc.", "d:Description": "Captioning, subtitling, and audio description services for Web and broadcast media. Includes company profile, links for viewers, and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captionmax.com/"} +{"d:Title": "NCI: The National Captioning Institute", "d:Description": "Supplier of DVD subtitles and captioning. Includes history, corporate sponsorships, FAQ, and information on related laws.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.ncicap.org/"} +{"d:Title": "ClosedCaption Maker", "d:Description": "Offers post-production captioning services. Includes client list and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.ccmaker.com/"} +{"d:Title": "Caption Reporters Inc.", "d:Description": "Supplier of real-time, offline, and transcript services. Includes company profile, list of services, and information about corporate sponsorship.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captionreporters.com/"} +{"d:Title": "Caption Advantage", "d:Description": "Company profile and FAQ.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captionadvantage.com/"} +{"d:Title": "Caption Colorado, LLC", "d:Description": "Offers real-time and offline services, including Spanish translation. Includes company profile, product and service details, news, and client list.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captioncolorado.com/"} +{"d:Title": "Caption First", "d:Description": "Communication Access Realtime Translation services. Includes staff list, corporate information, and explanation of services. Based in Chicago.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captionfirst.com/"} +{"d:Title": "US Captioning Company, L.L.C.", "d:Description": "Provides captioning and sponsorship to local television stations, as well as cable and syndicated programmers for news and sports.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.uscaptioning.com/"} +{"d:Title": "Armour Closed Captioning", "d:Description": "Provides captioning and subtitling for videos or DVDs. Includes client list and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.armourcaptioning.com/"} +{"d:Title": "VITAC Corporation", "d:Description": "Supplier of realtime, offline, and video description services for TV programming, Web media, and DVDs. Includes company profile, guide to services, and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.vitac.com/"} +{"d:Title": "Captioning Australia", "d:Description": "Provider of remote captioning services. CART, transcription services, and television production facility.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captioning.com.au/"} +{"d:Title": "Talking Type Captions", "d:Description": "Provider of closed captioning and subtitles for television and Internet video. Site features client list, overview of services, rates, and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.talkingtypecaptions.com/"} +{"d:Title": "Aberdeen Captioning", "d:Description": "Provider of closed captions and subtitles for live and pre-recorded video in any tape format, video CD, DVD or webcast.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.abercap.com/"} +{"d:Title": "The Captioning Studio", "d:Description": "Broadcast, theater, and remote captioning. Includes information on services and company details. Australia.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://captioningstudio.com/"} +{"d:Title": "ProCaption", "d:Description": "Christian closed captioning and transcription services. Site features pricing and rates comparison, overview of services and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://procaption.com/"} +{"d:Title": "Impact Media", "d:Description": "Closed captioning services; provides closed captioning and subtitling services for broadcast television, DVDs, blue-ray, or internet videos.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.impactmediaonline.com/"} +{"d:Title": "Caption Associates LLC", "d:Description": "Captioning, translation, subtitling and transcription services.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captionassociates.com/"} +{"d:Title": "Network Captioning Services", "d:Description": "Offering broadcast quality English and Spanish closed captioning (real-time and offline),", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://dynamiccaptioning.com/"} +{"d:Title": "Caption Labs, LLC", "d:Description": "Offering closed captioning for broadcast and web. Site includes company profile, services, information on digital delivery, and contact information.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.captionlabs.com/"} +{"d:Title": "Caption Source", "d:Description": "Site offers information about onsite Communication Access Realtime Translation (CART), Remote CART, webcast captioning and/or broadcast captioning.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://captionsource.com/"} +{"d:Title": "CaptionLink", "d:Description": "Captioning, subtitling, and audio description services for Web and broadcast media. Including HD services and all Web format captioning.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://captionlink.com/"} +{"d:Title": "121 Captions", "d:Description": "Services include onsite speech to text, Communication Access Realtime Translation, remote CART, transcription, captioning and sub-titling for web and broadcast media.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.121captions.com/"} +{"d:Title": "Subsandcaps", "d:Description": "This site helps users find companies that provide closed captioning and/or subtitling services. Users may compare rates and reserve projects for free.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.subsandcaps.com/"} +{"d:Title": "Video Caption Corporation", "d:Description": "Closed captioning, subtitling, and internet captioning services. Includes contact information and FAQ.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.vicaps.com/"} +{"d:Title": "LNS Captioning", "d:Description": "Assisting with Americans with Disabilities Act, Section 508 compliance, Sports captioning, Graduations, Commencement ceremonies, Public Education and Government Access.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://lnscaptioning.com/"} +{"d:Title": "CaptionSync", "d:Description": "Provider of automated captioning and transcription services to educational institutions, government agencies, broadcasters, and corporations.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.automaticsync.com/captionsync/"} +{"d:Title": "Riverside Captioning Company", "d:Description": "Offers captioning services for businesses and organizations of all types.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.closed-captioning.com/"} +{"d:Title": "Zibanka Media Services", "d:Description": "Subtitling, dubbing, and closed captioning service provider", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.zibanka.com/"} +{"d:Title": "Accurate Secretarial LLC", "d:Description": "Service provider for closed captioning and transcription.", "topic": "Top/Arts/Television/Closed_Captioning/Service_Providers", "url": "http://www.accuratesecretarial.com/"} +{"d:Title": "Clipland", "d:Description": "A huge media database with information regarding music videos, movie trailers, TV commercials and short films.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.clipland.com/"} +{"d:Title": "USA TV Ads", "d:Description": "Views and sales of commercials from the 1970s to the present.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.usatvads.com/"} +{"d:Title": "Cellular Phone TV Commercials", "d:Description": "Television commercials from around the world, all featuring cellular phones and services.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.cellular-news.com/tv_commercials/"} +{"d:Title": "UK TV Adverts", "d:Description": "Discover the identity of actors and actresses who appear in, or do voice-overs for British commercials.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.uktvadverts.com/"} +{"d:Title": "Commercials I Hate", "d:Description": "TV viewers sound off about the worst of the television advertising world.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.commercialsihate.com/"} +{"d:Title": "My Dog Spot", "d:Description": "Archives of commercials with Cal Worthington, advertising his southern California automobile dealerships.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.mydogspot.com/"} +{"d:Title": "Japander.com", "d:Description": "Western movie and TV stars endorsing products and services in Japanese commercials.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.japander.com/japander/"} +{"d:Title": "Admack", "d:Description": "Website dedicated to a small selection of famous TV commercials, old and new.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.admack.com/"} +{"d:Title": "The Living Room Candidate", "d:Description": "Presidential campaign commercials since 1952 including video clips, information about the candidates, and election results.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.livingroomcandidate.org/"} +{"d:Title": "Adland.tv", "d:Description": "Advertising and marketing news, commentary, archives ads and commercials.", "topic": "Top/Arts/Television/Commercials", "url": "http://adland.tv/"} +{"d:Title": "Best Ads On TV", "d:Description": "A categorized view of ads from TV, Radio, and print.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.bestadsontv.com/"} +{"d:Title": "tonystoyshop.com", "d:Description": "From Tony Pipes featuring inspiring moments from advertising, design, art and film.", "topic": "Top/Arts/Television/Commercials", "url": "http://tonystoyshop.com/"} +{"d:Title": "tellyAds", "d:Description": "Most UK TV commercials from September 2006, and hundreds of older ads, available to watch free of charge.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.tellyads.com/"} +{"d:Title": "AdWhois", "d:Description": "A site to help people who want to know who an actor in a commercial is.", "topic": "Top/Arts/Television/Commercials", "url": "http://adwhois.com/"} +{"d:Title": "TV Commercialpedia", "d:Description": "A web site containing descriptions, videos and commentary on today's TV commercials.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.commercialpedia.com/"} +{"d:Title": "AdForum.com", "d:Description": "A place to express opinions, comment, share, and vote on a weekly selection of the world's top creative advertising.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.adforum.com/creative-work"} +{"d:Title": "AdStasher", "d:Description": "Commentary about commercials and advertising campaigns.", "topic": "Top/Arts/Television/Commercials", "url": "http://www.adstasher.com/"} +{"d:Title": "My Bud Light Beer Commercial Page", "d:Description": "Downloadable Real American Heroes and Real Men of Genius radio spots.", "topic": "Top/Arts/Television/Commercials/Funny_Commercials", "url": "http://corky52547.tripod.com/Index.htm"} +{"d:Title": "Funny Commercials", "d:Description": "A collection of famous and funny commercials from all over the world. Includes some famous viral spots and Super Bowl commercials.", "topic": "Top/Arts/Television/Commercials/Funny_Commercials", "url": "http://giesbers.net/video"} +{"d:Title": "Funnyville Commercials", "d:Description": "Collection of funny commercial videos and jokes.", "topic": "Top/Arts/Television/Commercials/Funny_Commercials", "url": "http://www.funnyville.com/funnycommercials.html"} +{"d:Title": "Stupid Funny Commercials", "d:Description": "Repository of old and new funny commercials. Updated regularly.", "topic": "Top/Arts/Television/Commercials/Funny_Commercials", "url": "http://www.stupidfunnycommercials.com/"} +{"d:Title": "Funny Commercials World", "d:Description": "Funny commercials from all over the world. Get inspiration from the best advertisements ever created.", "topic": "Top/Arts/Television/Commercials/Funny_Commercials", "url": "http://www.funnycommercialsworld.com/"} +{"d:Title": "Return Of Infomercials", "d:Description": "Article that examines claims made by some of the most popular infomercials.", "topic": "Top/Arts/Television/Commercials/Infomercials", "url": "http://www.x-entertainment.com/messages/512.html"} +{"d:Title": "Infomercial Evaluator", "d:Description": "Gives a second opinion of some of the popular infomercials.", "topic": "Top/Arts/Television/Commercials/Infomercials", "url": "http://www.infomercial-evaluator.com/"} +{"d:Title": "As Seen On TV Videos", "d:Description": "View a large selection of 'As Seen On' infomercials and television commercials.", "topic": "Top/Arts/Television/Commercials/Infomercials", "url": "http://www.asseenontvvideos.com/"} +{"d:Title": "As Seen On TV Video", "d:Description": "Commercials for hundreds of direct response products advertised on TV. Constantly updated with the latest offer. Watch, rate and comment, with links to buy products online.", "topic": "Top/Arts/Television/Commercials/Infomercials", "url": "http://www.asseenontvvideo.com/"} +{"d:Title": "Infomercial Review", "d:Description": "A place for consumers to share their reviews, stories and complaints on infomercial, drtv and as seen on TV products.", "topic": "Top/Arts/Television/Commercials/Infomercials", "url": "http://www.infomercial-review.org/"} +{"d:Title": "Infomercial Hell", "d:Description": "Pokes fun at the form and the products it markets.", "topic": "Top/Arts/Television/Commercials/Infomercials", "url": "http://www.infomercial-hell.com/"} +{"d:Title": "Songtitle.Info", "d:Description": "Listing of songs from television commercials (U.S. broadcasts).", "topic": "Top/Arts/Television/Commercials/Songs_in_Commercials", "url": "http://www.songtitle.info/"} +{"d:Title": "Adtunes.com", "d:Description": "Blog and forums about music used in television commercials, TV shows, movie trailers, soundtracks, and video games.", "topic": "Top/Arts/Television/Commercials/Songs_in_Commercials", "url": "http://adtunes.com/"} +{"d:Title": "SplendAd", "d:Description": "Information about music in television commercials, including videos, descriptions, actors.", "topic": "Top/Arts/Television/Commercials/Songs_in_Commercials", "url": "http://www.splendad.com/"} +{"d:Title": "CommercialTunage", "d:Description": "Find song and artist information for songs used in television commercials.", "topic": "Top/Arts/Television/Commercials/Songs_in_Commercials", "url": "http://www.commercialtunage.com/"} +{"d:Title": "TV Ad Music", "d:Description": "Song and artist information about songs used in UK television commercials.", "topic": "Top/Arts/Television/Commercials/Songs_in_Commercials", "url": "http://www.tvadmusic.co.uk/"} +{"d:Title": "Sounds Familiar", "d:Description": "Find music from, and watch the latest UK TV adverts and soundtracks.", "topic": "Top/Arts/Television/Commercials/Songs_in_Commercials", "url": "http://www.sounds-familiar.info/"} +{"d:Title": "Coca-Cola Television Advertisements", "d:Description": "Collection of historic advertisements donated by the Coca Cola corporation to the Library of Congress. Videos available in RealPlayer, QuickTime, and MPEG formats.", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://memory.loc.gov/ammem/ccmphtml/colahome.html"} +{"d:Title": "The Unofficial Noid homePage", "d:Description": "A site on the Dominos Noid. Promotional items, history, and information. Also information on the yo!noid game for NES.", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://www.angelfire.com/80s/noid/"} +{"d:Title": "Topher's Breakfast Cereal Character Guide", "d:Description": "Featuring all the great characters in cereal advertising from the early 1900s to the present-- Quisp to Cookie Crisp, and everything in between!", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://www.lavasurfer.com/cereal-guide.html"} +{"d:Title": "Saturday Morning Commercials 1960-1970", "d:Description": "Clips of famous fast food, toy, and cereal ads, including Keds, McDonalds, AlphaBits and Tang.", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://www.tvparty.com/vaultcomsat.html"} +{"d:Title": "Retro Junk", "d:Description": "A retro collection of TV commercials, movie trailers and theme songs - all from the 1980s.", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://www.retrojunk.com/"} +{"d:Title": "Videomercials", "d:Description": "Purchase old broadcast commercials from 1950s to 1990s on VHS or DVD.", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://www.80scommercials.com/"} +{"d:Title": "Tootsie TV Spots", "d:Description": "Classic versions of Tootsie Roll's \"How Many Licks?\" commercials.", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://www.tootsie.com/gal_commercial.php"} +{"d:Title": "AdViews", "d:Description": "A digital collection of historic commercials from the 1950s - 1980s.", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://library.duke.edu/digitalcollections/adviews/"} +{"d:Title": "Retro Commercial", "d:Description": "Watch old commercials from the 1980's and 1990's", "topic": "Top/Arts/Television/Commercials/Vintage_Commercials", "url": "http://www.retrocommercial.com/"} +{"d:Title": "Seattle Post-Intelligencer: TV shows old and new send DVD sales soaring", "d:Description": "Article focusing on the booming market for shows released on disc.", "topic": "Top/Arts/Television/DVD", "url": "http://www.seattlepi.com/ae/tv/article/TV-shows-old-and-new-send-DVD-sales-soaring-1122977.php"} +{"d:Title": "Memorable TV", "d:Description": "General and cast information for a wide variety of shows produced in Australia, Canada, the UK, and the US.", "topic": "Top/Arts/Television/Guides", "url": "http://www.memorabletv.com/"} +{"d:Title": "TV Guide", "d:Description": "News and reviews. Show listings available upon registration.", "topic": "Top/Arts/Television/Guides", "url": "http://www.tvguide.com/"} +{"d:Title": "Net Broadcast Center", "d:Description": "Portal with local US television and radio sites and links to entertainment news and gossip.", "topic": "Top/Arts/Television/Guides", "url": "http://www.netbroadcastcenter.com/"} +{"d:Title": "TeeVee", "d:Description": "Hard-hitting opinion and comment on shows, actors and television in general.", "topic": "Top/Arts/Television/Guides", "url": "http://www.teevee.org/"} +{"d:Title": "First Spot Television", "d:Description": "Links to television networks, reviews and guides.", "topic": "Top/Arts/Television/Guides", "url": "http://1st-spot.net/topic_tv.html"} +{"d:Title": "Modern Humorist's Fall TV Preview", "d:Description": "On primetime schedules.", "topic": "Top/Arts/Television/Guides", "url": "http://www.modernhumorist.com/mh/0009/tv"} +{"d:Title": "Television Programmes", "d:Description": "News, schedules, information on how shows are produced, and list of TV programmes along with previews for the following week, provided by Irish network RTE.", "topic": "Top/Arts/Television/Guides", "url": "http://www.rte.ie/tv/"} +{"d:Title": "Ryan's British TV Show Reviews", "d:Description": "Extensive reviews of British TV shows from an American's point of view.", "topic": "Top/Arts/Television/Guides", "url": "http://www.eskimo.com/~rkj/brit.htm"} +{"d:Title": "The Futon Critic", "d:Description": "Television news, reviews, and ratings.", "topic": "Top/Arts/Television/Guides", "url": "http://www.thefutoncritic.com/"} +{"d:Title": "Bullz Eye.", "d:Description": "TV reviews, DVDs, news and weblogs.", "topic": "Top/Arts/Television/Guides", "url": "http://www.bullz-eye.com/television_reviews/default.htm"} +{"d:Title": "Aerial Telly", "d:Description": "Irreverent reviews of TV shows. Includes some film reviews and comment on media events.", "topic": "Top/Arts/Television/Guides", "url": "http://aerialtelly.co.uk/index.php"} +{"d:Title": "Episode World", "d:Description": "Community based website for episode guides and information about classic and new TV shows in multiple languages. Includes an episode scheduler.", "topic": "Top/Arts/Television/Guides", "url": "http://www.episodeworld.com/"} +{"d:Title": "On The Box", "d:Description": "Features details on television programmes for over 160 UK channels.", "topic": "Top/Arts/Television/Guides", "url": "http://www.onthebox.com/"} +{"d:Title": "Yahoo! TV", "d:Description": "A guide including TV show descriptions, episode guides, photos, video clips, news, recaps, cast and credits, and celebrity photos.", "topic": "Top/Arts/Television/Guides", "url": "http://tv.yahoo.com/"} +{"d:Title": "Virgin Media TV&Radio", "d:Description": "Includes full television and radio listings, features, and television specials.", "topic": "Top/Arts/Television/Guides", "url": "http://www.virginmedia.com/tvradio/"} +{"d:Title": "TV Fanatic", "d:Description": "A source for television news, spoilers, photos and information. Includes quotes and recaps.", "topic": "Top/Arts/Television/Guides", "url": "http://www.tvfanatic.com/"} +{"d:Title": "ShareTV", "d:Description": "Show guides on every major television show with emphasis on member contributions for content.", "topic": "Top/Arts/Television/Guides", "url": "http://sharetv.com/"} +{"d:Title": "MSN - Entertainment", "d:Description": "Television listings, guide, gossip and ratings from Microsoft.", "topic": "Top/Arts/Television/Guides", "url": "http://www.msn.com/en-us/tv"} +{"d:Title": "Alexandra Palace Television Society", "d:Description": "Preserving the history of television's pioneers.", "topic": "Top/Arts/Television/History", "url": "http://www.apts.org.uk/"} +{"d:Title": "MHP", "d:Description": "Television nostalgia, the history of teletext, test cards and idents.", "topic": "Top/Arts/Television/History", "url": "http://www.meldrum.co.uk/mhp"} +{"d:Title": "Photomusications", "d:Description": "Printed broadcasting history - schedules, newspaper cuttings and yearbooks.", "topic": "Top/Arts/Television/History", "url": "http://www.transdiffusion.org/pmc"} +{"d:Title": "Electromusications", "d:Description": "Media news, articles, idents and broadcasting history.", "topic": "Top/Arts/Television/History", "url": "http://www.transdiffusion.org/emc"} +{"d:Title": "Television History - The First 75 Years", "d:Description": "Features technical information, program guides, timelines, hardware, TV facts and examples of early televisions.", "topic": "Top/Arts/Television/History", "url": "http://tvhistory.tv/"} +{"d:Title": "Independent TeleWeb", "d:Description": "Explains the development and history of commercial (independent) broadcasting in the United Kingdom.", "topic": "Top/Arts/Television/History", "url": "http://www.itw.org.uk/"} +{"d:Title": "Early Television Foundation", "d:Description": "Early television hardware, restoration tips, database of prewar sets and historical TV information.", "topic": "Top/Arts/Television/History", "url": "http://www.earlytelevision.org/"} +{"d:Title": "ULB History of Television", "d:Description": "An online anthology of early texts about television.", "topic": "Top/Arts/Television/History", "url": "http://histv2.free.fr/indexen.htm"} +{"d:Title": "History of American Broadcasting", "d:Description": "Broadcasting history, technical information and station listings.", "topic": "Top/Arts/Television/History", "url": "http://jeff560.tripod.com/broadcasting.html"} +{"d:Title": "MZTV Museum of Television", "d:Description": "Online collection showing the development of television.", "topic": "Top/Arts/Television/History", "url": "http://www.mztv.com/"} +{"d:Title": "Whirligig", "d:Description": "Focuses on the \"golden age\" of British TV. Includes milestones, viewer memories, sound and video clips.", "topic": "Top/Arts/Television/History", "url": "http://www.turnipnet.com/whirligig/"} +{"d:Title": "National Cable Television Center", "d:Description": "Education, training and research about cable telecommunications and the history of the American cable industry and its pioneers.", "topic": "Top/Arts/Television/History/Cable_and_Satellite", "url": "http://www.cablecenter.org/"} +{"d:Title": "DMC - Irish Television", "d:Description": "Development of Irish television presentation, covering RTE1, Network 2, TnaG, TV3, TG4, Ulster Television, BBC Northern Ireland and Eurovision.", "topic": "Top/Arts/Television/History/Continuity", "url": "http://www.iol.ie/~dmcahill/"} +{"d:Title": "The Closing Logo Group", "d:Description": "Online club for people with a passion for front and end captions.", "topic": "Top/Arts/Television/History/Continuity", "url": "http://tv.groups.yahoo.com/group/closinglogogroup/"} +{"d:Title": "Classic TV Database", "d:Description": "Listings and reviews of popular American television programs from the 1950s to the present day.", "topic": "Top/Arts/Television/History/Programs", "url": "http://www.classic-tv.com/"} +{"d:Title": "The Fifties TV", "d:Description": "U.S.-orientated catalog of popular television in the 1950s.", "topic": "Top/Arts/Television/History/Programs", "url": "http://www.fiftiesweb.com/tv50.htm"} +{"d:Title": "TV Acres", "d:Description": "Archives of TV program facts arranged by subject. Easy to use database search engine for programs from 1940s to present.", "topic": "Top/Arts/Television/History/Programs", "url": "http://www.tvacres.com/"} +{"d:Title": "TV Single Dads Hall of Fame", "d:Description": "Directory of lone-parent father characters on television.", "topic": "Top/Arts/Television/History/Programs", "url": "http://www.tvdads.com/"} +{"d:Title": "TVparty.com", "d:Description": "Unseen footage, descriptions and information on older shows.", "priority": "1", "topic": "Top/Arts/Television/History/Programs", "url": "http://www.tvparty.com/"} +{"d:Title": "Television Heaven", "d:Description": "Reviews of over 350 classic and modern television shows from Britain and the USA.", "topic": "Top/Arts/Television/History/Programs", "url": "http://www.televisionheaven.co.uk/"} +{"d:Title": "Haunted TV", "d:Description": "Comprehensive database of British supernatural television drama, comedy, and documentaries from the 1930s to the present day.", "topic": "Top/Arts/Television/History/Programs", "url": "http://webspace.webring.com/people/th/hauntedtv/"} +{"d:Title": "TV Cream", "d:Description": "Directory of classic UK, US, Australian and European television shows from the 1960s to the 1980s.", "topic": "Top/Arts/Television/History/Programs", "url": "http://www.tvcream.co.uk/"} +{"d:Title": "Soap Opera and Social Order", "d:Description": "Academic paper on the development of soap opera in Ireland and its influence on contemporary life.", "topic": "Top/Arts/Television/History/Programs/Soap_Opera", "url": "http://www.comms.dcu.ie/sheehanh/itvsoap.htm"} +{"d:Title": "Radio Television Brunei", "d:Description": "One-page history of the state-run television service in Brunei Darussalam.", "topic": "Top/Arts/Television/History/Stations", "url": "http://www.rtb.gov.bn/"} +{"d:Title": "Broadcasting in Chicago", "d:Description": "Personal history of broadcasting in Chicago, concentrating on NBC-affiliates WTTW and WMAQ.", "topic": "Top/Arts/Television/History/Stations", "url": "http://www.richsamuels.com/"} +{"d:Title": "KARD", "d:Description": "Color television history of Wichita, Kansas. Personal memories and contemporary photographs from the NBC affiliate.", "topic": "Top/Arts/Television/History/Stations", "url": "http://www.ev1.pair.com/kard.html"} +{"d:Title": "ABC at Large", "d:Description": "History, programmes, studios and presentation of the north of England and midlands weekend ITV contractor from 1956 until 1968.", "topic": "Top/Arts/Television/History/Stations", "url": "http://www.transdiffusion.org/tmc/abc/"} +{"d:Title": "Test Card Circle", "d:Description": "The history and details of television Trade Test Transmissions in the UK. Includes profile, articles based on those in the Journal of the Test Card Circle, CD releases, and CEEFAX logs.", "topic": "Top/Arts/Television/History/Testcards", "url": "http://www.testcardcircle.org.uk/"} +{"d:Title": "Television and the Web", "d:Description": "W3Cs section on specifications for the emerging technology.", "topic": "Top/Arts/Television/Interactive", "url": "http://www.w3.org/TV/"} +{"d:Title": "Broadband Bananas", "d:Description": "Industry networking group for digital interactive television.", "priority": "1", "topic": "Top/Arts/Television/Interactive", "url": "http://www.broadbandbananas.com/"} +{"d:Title": "CableLabs", "d:Description": "Research and development consortium of cable TV companies for North and South America with initiatives for cable modems and digital cable TV.", "topic": "Top/Arts/Television/Interactive", "url": "http://www.cablelabs.com/"} +{"d:Title": "Digital Television Group", "d:Description": "UK-based industry group of companies devoted to standards for DVB and digital television.", "topic": "Top/Arts/Television/Interactive", "url": "http://www.dtg.org.uk/"} +{"d:Title": "Media Visions Interactive TV Trade Reports", "d:Description": "Articles and essays on interactive TV by Ken Freed.", "topic": "Top/Arts/Television/Interactive", "url": "http://media-visions.com/itv.html"} +{"d:Title": "The Parents, The Kids, and The Interactive TV", "d:Description": "SURPRISE 96 journal report on interactive TV by Keval Pindoria and Gerald Wong.", "topic": "Top/Arts/Television/Interactive", "url": "http://www.doc.ic.ac.uk/~nd/surprise_96/journal/vol4/khp1/report.html"} +{"d:Title": "On2", "d:Description": "Watch the latest movie trailers, behind-the-scenes footage, interviews with celebrities and original content. Full motion, full screen, streaming video for broadband users.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.on2.com/"} +{"d:Title": "BBC Digital", "d:Description": "Internet, TV and Radio merge.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.bbc.co.uk/digital/"} +{"d:Title": "Sky", "d:Description": "UK Satellite TV broadcaster run by Rupert Murdoch. Offers Pay Per View channels and a variety of subscription packages.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.sky.com/"} +{"d:Title": "DIRECTV", "d:Description": "Delivers over 200 channels of sports, news, movies, adult, family, network and cable programming via satellite.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.directv.com/"} +{"d:Title": "LikeTelevision", "d:Description": "Broadband entertainment on the web using RealAudio, RealVideo, shockwave and java. Someday the computer will be LikeTelevision !", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.liketelevision.com/"} +{"d:Title": "PBS Digital TV", "d:Description": "As one of the first television networks to broadcast programs digitally, PBS stands at the forefront of this technological revolution.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.pbs.org/digitaltv/"} +{"d:Title": "Decisionmark TitanTV", "d:Description": "Provides dtv interested consumers and digital television businesses with information about how to receive DTV in the US.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.titantv.com/"} +{"d:Title": "Broadband-Television.com", "d:Description": "A directory of broadband netcasts. A high speed connection such as cable, xDSL, ISDN or TI is required.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://broadband-television.com/"} +{"d:Title": "PlayJam", "d:Description": "Static's interactive channel on sky.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.playjam.com/"} +{"d:Title": "IO Interactive Optimum", "d:Description": "Provider of interactive digital cable and satellite tv service for consumers.", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.io.tv/"} +{"d:Title": "Kingston Vision", "d:Description": "Multi-Channel Television, Video-On-Demand, Internet access, E-mail, and \"Local Link\" information services via ADSL", "topic": "Top/Arts/Television/Interactive/Broadcasters_and_Channels", "url": "http://www.kcom.com/"} +{"d:Title": "MyWeb Inc.com", "d:Description": "An Internet lifestyle content provider targeting emerging markets that uses set top boxes.", "topic": "Top/Arts/Television/Interactive/Content_Providers", "url": "http://www.mywebinc.com/"} +{"d:Title": "Cloverleaf Digital, LLC", "d:Description": "Designs, builds and manages interactive TV walled garden portals and virtual channels, and provides iTV navigation and user interface design services to the media industry.", "topic": "Top/Arts/Television/Interactive/Content_Providers", "url": "http://cloverleafdigital.com/"} +{"d:Title": "Linux TV.org", "d:Description": "Developmental information for Linux open source software for digital TV, DVB, receivers, Linux DVD players, and streaming video.", "topic": "Top/Arts/Television/Interactive/Development", "url": "http://www.linuxtv.org/"} +{"d:Title": "Alticast Inc.", "d:Description": "Provides interactive television software solutions based on DVB-MHP.", "topic": "Top/Arts/Television/Interactive/Development", "url": "http://www.alticast.com/"} +{"d:Title": "Sofia Digital", "d:Description": "Provider of interactive TV and video solutions.", "topic": "Top/Arts/Television/Interactive/Development", "url": "http://www.sofiadigital.com/"} +{"d:Title": "Nativ", "d:Description": "UK-based development, design, and consultancy company specialising in interactive television, broadband, and broadcast technologies.", "topic": "Top/Arts/Television/Interactive/Development", "url": "http://nativ.tv/"} +{"d:Title": "Cabot Communications Ltd.", "d:Description": "Provides products and services for digital TV including the MHEG-5 platform for development for UK Digital Terrestrial standards.", "topic": "Top/Arts/Television/Interactive/Development", "url": "http://www.cabot.co.uk/"} +{"d:Title": "Advanced Media Strategies", "d:Description": "Consulting firm helping clients conceptualize, define, develop, validate and introduce revenue-rich TV-based converged-media services and products.", "topic": "Top/Arts/Television/Interactive/Development", "url": "http://www.tvstrategies.com/"} +{"d:Title": "Java Technology in Digital TV", "d:Description": "Java information for interactive digital television.", "topic": "Top/Arts/Television/Interactive/Development", "url": "http://www.oracle.com/technetwork/java/embedded/javame/java-tv"} +{"d:Title": "Fedtecs Set top Box", "d:Description": "The STB Engine from Fedtec has a processor independent architecture.", "topic": "Top/Arts/Television/Interactive/Hardware", "url": "http://www.flextronics.com/"} +{"d:Title": "GCT-Allwell", "d:Description": "GCT-Allwell's set top box is used for interactive TV, Residential gateway, and MTU/MDU ADSL service platform.", "topic": "Top/Arts/Television/Interactive/Hardware", "url": "http://www.gctglobal.com/"} +{"d:Title": "Netgem : Internet technology for Interactive TV", "d:Description": "Netgem is a provider of interactive TV technology based on open, DVB and Internet standards.", "topic": "Top/Arts/Television/Interactive/Hardware", "url": "http://www.netgem.com/"} +{"d:Title": "Scientific-Atlanta", "d:Description": "A world leader in digi boxes.", "topic": "Top/Arts/Television/Interactive/Hardware", "url": "http://www.sciatl.com/"} +{"d:Title": "Amino Communications", "d:Description": "Provides technologies for set-top boxes and residential gateways.", "topic": "Top/Arts/Television/Interactive/Hardware", "url": "http://www.aminocom.com/"} +{"d:Title": "MipTv", "d:Description": "International content market for interactive media. Includes content-providers and creatives from the on-line, multimedia, games and digital sectors. Cannes, France.", "topic": "Top/Arts/Television/Interactive/Industry_Events", "url": "http://www.miptv.com/"} +{"d:Title": "TV Predictions", "d:Description": "News and predictions on interactive TV, digital TV, and broadband TV from author Phillip Swann.", "topic": "Top/Arts/Television/Interactive/Industry_News_Portals", "url": "http://www.tvpredictions.com/"} +{"d:Title": "Interactive TV Today", "d:Description": "News and analysis on interactive television and broadband industries.", "topic": "Top/Arts/Television/Interactive/Industry_News_Portals", "url": "http://www.itvt.com/"} +{"d:Title": "Mixed Signals Technologies", "d:Description": "Interactive television production solutions provider.", "topic": "Top/Arts/Television/Interactive/Production_Companies", "url": "http://www.mixedsignals.com/"} +{"d:Title": "Futurescape", "d:Description": "Adapting soap operas/lifestyle programmes for Enhanced, Interactive and Web TV.", "topic": "Top/Arts/Television/Interactive/Production_Companies", "url": "http://www.futurescape.co.uk/"} +{"d:Title": "Extend Media", "d:Description": "Production company focused on creating interactive tv content.", "topic": "Top/Arts/Television/Interactive/Production_Companies", "url": "http://www.extend.com/"} +{"d:Title": "Buzztime", "d:Description": "Developer and distributor of real-time interactive television entertainment programming", "topic": "Top/Arts/Television/Interactive/Production_Companies", "url": "http://www.buzztime.com/"} +{"d:Title": "EBU - European Broadcasting Union", "d:Description": "At the forefront of research and development of new broadcast media, and has led or contributed to the development of many new digital standards.", "topic": "Top/Arts/Television/Interactive/Standards", "url": "http://www.ebu.ch/"} +{"d:Title": "ATSC (Advanced Television Systems Committee)", "d:Description": "An international organization establishing broadcasting standards for digital (including hd and data broadcasting) television.", "topic": "Top/Arts/Television/Interactive/Standards", "url": "http://www.atsc.org/"} +{"d:Title": "DVB The Standard for the Digital World", "d:Description": "Works to develop, set and promote technical standards to advance digital media markets world-wide.", "topic": "Top/Arts/Television/Interactive/Standards", "url": "http://www.dvb.org/"} +{"d:Title": "ETSI - European Telecommunications Standards Institute", "d:Description": "A non-profit making organization whose mission is to produce the telecommunications standards that will be used throughout Europe and beyond.", "topic": "Top/Arts/Television/Interactive/Standards", "url": "http://www.etsi.org/"} +{"d:Title": "TV-Anytime Forum", "d:Description": "The TV Anytime Forum is an association of organisations which seeks to develop specifications to enable audio-visual and other services based on mass-market high volume digital storage.", "topic": "Top/Arts/Television/Interactive/Standards", "url": "http://www.tv-anytime.org/"} +{"d:Title": "MHEG.org", "d:Description": "An entry point to information about the ISO standard MHEG (ISO/IEC 13522) established by the Multimedia and Hypermedia information coding Expert Group.", "topic": "Top/Arts/Television/Interactive/Standards", "url": "http://www.mheg.org/"} +{"d:Title": "Tivo, Inc.", "d:Description": "Tivo digitally records TV programs to a hard disk. Programs can be found automatically and transferred to other devices.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.tivo.com/"} +{"d:Title": "Alticast Inc.", "d:Description": "Alticast provides interactive television software solutions based on DVB-MHP.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.alticast.com/"} +{"d:Title": "Cabot Software", "d:Description": "Software solutions for Interactive TV including DOTRANS HTML to MHEG-5 converter.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.cabot.co.uk/"} +{"d:Title": "Advanced Media Strategies", "d:Description": "Consulting services to help leading-edge service providers and technology developers succeed and profit by delivering interactive converged-media products, services and content, via broadband distribution platforms", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.tvstrategies.com/"} +{"d:Title": "SeaChange", "d:Description": "MPEG-2 server solutions", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.schange.com/"} +{"d:Title": "Advanced Interactive Inc.", "d:Description": "Core technology for cable internet and interactive television, by use of a set-top box.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.advancedinteractive.com/"} +{"d:Title": "QUICKSCAN Indexed-Video electronic publishing", "d:Description": "A simple patented methodology for achieving interactivity on material timeshifted to vcrs and digital video recorders.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.quickscan.org/"} +{"d:Title": "Gotuit Media Inc.", "d:Description": "Usrs PVRs and set-top boxes and licensing patents and technology for the broadcast industry.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.gotuit.com/"} +{"d:Title": "Strategy and Technology Ltd", "d:Description": "Provider of carousel creation and play-out systems for digital terrestrial television.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.s-and-t.com/"} +{"d:Title": "Snapstream Media", "d:Description": "Provides networked personal video recording software for TV tuner cards and video capture cards for PC.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.snapstream.com/"} +{"d:Title": "Avtrex, Inc.", "d:Description": "Personal video recorder (PVR/DVR) software and technology", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.avtrex.com/"} +{"d:Title": "NDS Ltd.", "d:Description": "Provides end-to-end solutions including conditional access software systems and interactive systems for digital television, digital pay-tv, and set-top boxes.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.nds.com/"} +{"d:Title": "Minerva Networks, Inc.", "d:Description": "Provides interactive television infrastructure over IP broadband networks: MPEG encoders; DVB gateways and middleware.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.minervanetworks.com/"} +{"d:Title": "Prevalent Devices LLC", "d:Description": "Interactive Video and Audio Display Systemfor simultaneous viewing and interacting with multiple interactive display devices, including streaming or retrieving related content.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.prevalentdevices.com/"} +{"d:Title": "CSTI", "d:Description": "CSTI, Multimedia and Broadcast subsidiary of \"Communication and Syst\u00e8me\" group.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.csti.pm.gouv.fr/uk/home-uk.html"} +{"d:Title": "Craftwork", "d:Description": "A professional services company specializing in software development for embedded devices and the digital TV industry.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.craftwork.dk/"} +{"d:Title": "UniSoft Corporation", "d:Description": "Broadcast, development and testing tools for MHP and OCAP", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.unisoft.com/"} +{"d:Title": "Java TV", "d:Description": "Suns interactive TV operating system and environment based on Java.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.oracle.com/technetwork/java/embedded/javame/java-tv"} +{"d:Title": "Viaccess-Ocra", "d:Description": "Solutions and services for mobile DRM (Digital Rights Management) and pay television, providing content protection.", "topic": "Top/Arts/Television/Interactive/Technologies", "url": "http://www.viaccess-orca.com/"} +{"d:Title": "Google TV", "d:Description": "Official site, includes a presentation, a blog, a newsletter and information on devices.", "priority": "1", "topic": "Top/Arts/Television/Interactive/Technologies/Google_TV", "url": "http://www.google.com/tv/"} +{"d:Title": "Wikipedia: Google TV", "d:Description": "Encyclopedia article covering the history of the platform, its features and a summary of reviews.", "topic": "Top/Arts/Television/Interactive/Technologies/Google_TV", "url": "https://en.wikipedia.org/wiki/Google_TV"} +{"d:Title": "GTVHacker", "d:Description": "A community of hackers interested in gaining full access to the platform and studying its inner workings. Includes a blog, a forum and tutorials.", "topic": "Top/Arts/Television/Interactive/Technologies/Google_TV", "url": "http://www.gtvhacker.com/"} +{"d:Title": "Google TV Forums", "d:Description": "Unofficial discussion forum covering the platform, provides community support and tips and tricks.", "topic": "Top/Arts/Television/Interactive/Technologies/Google_TV", "url": "http://www.googletvforum.org/"} +{"d:Title": "Google TV - Google Developers", "d:Description": "Official guidelines and resources for application and website developers.", "topic": "Top/Arts/Television/Interactive/Technologies/Google_TV", "url": "https://developers.google.com/tv/"} +{"d:Title": "Modern Times Group MTG AB", "d:Description": "Operates broadcast television stations in Scandinavia.", "topic": "Top/Arts/Television/Networks", "url": "http://www.mtg.se/"} +{"d:Title": "The Word Network Urban Religious Channel", "d:Description": "The source for urban ministries and gospel music. Sensitive to, and touches the fabric of, the urban African American community.", "topic": "Top/Arts/Television/Networks", "url": "http://www.thewordnetwork.org/"} +{"d:Title": "Classic Arts Showcase", "d:Description": "Includes video samplings of art, music, ballet, theatrical performances and classic films. Broadcast by satellite and made available free and unscrambled.", "topic": "Top/Arts/Television/Networks", "url": "http://classicartsshowcase.org/"} +{"d:Title": "MHz Networks", "d:Description": "Broadcasts international programming for the Washington, DC area and the nation. Includes information on programming, schedules and how to watch.", "topic": "Top/Arts/Television/Networks", "url": "http://www.mhznetworks.org/"} +{"d:Title": "Smart Digital Television", "d:Description": "Live Internet feeds from dozens of networks around the world, as well as links to their Web sites. Live feeds require RealMedia or Windows Media Player.", "topic": "Top/Arts/Television/Networks", "url": "http://www.smartdigitaltelevision.com/"} +{"d:Title": "In2TV", "d:Description": "Broadband TV network with the largest collection of free TV shows online. Offers full-length TV episodes and many interactive features.", "topic": "Top/Arts/Television/Networks", "url": "http://television.aol.com/in2tv"} +{"d:Title": "3ABN: Three Angels Broadcasting Network", "d:Description": "Television and radio programming on cable and satellite includes gospel music, vegetarian cuisine, weight loss, exercise, organic gardening, and Christian lifestyle topics.", "topic": "Top/Arts/Television/Networks", "url": "http://www.3abn.org/"} +{"d:Title": "Channel One Network", "d:Description": "Daily television newscast that is shown to 12,000 middle, junior and senior high school students. Offers content for students and supplements to broadcasts.", "topic": "Top/Arts/Television/Networks", "url": "http://www.channelone.com/"} +{"d:Title": "TV5 USA", "d:Description": "French-language network, broadcasting commercial-free programming in the U.S., including subtitled films, news, sports, and documentaries.", "topic": "Top/Arts/Television/Networks", "url": "http://www.tv5.org/usa"} +{"d:Title": "Australian Broadcasting Corporation", "d:Description": "The ABC is Australia's public broadcaster. Includes national and local television and radio schedules.", "topic": "Top/Arts/Television/Networks", "url": "http://www.abc.net.au/"} +{"d:Title": "Free Speech TV", "d:Description": "An independent, 24-hour television network with progressive news, stories and perspectives. A non-profit, public interest network, FSTV is publically supported by its viewers and by philanthropic foundations. Television broadcasts are commercial-free.", "topic": "Top/Arts/Television/Networks", "url": "https://www.freespeech.org/"} +{"d:Title": "LinkTV", "d:Description": "National network using digital technology to offers news, current events, and culture stories, including first-run documentaries on global issues, current affairs series, international news, classic foreign feature films, and world music.", "topic": "Top/Arts/Television/Networks", "url": "http://www.linktv.org/"} +{"d:Title": "Comedy Network", "d:Description": "Comedy network airing stand-up and other performers 24 hours a day. Links to plenty of comedians and humor events and sites.", "topic": "Top/Arts/Television/Networks", "url": "http://www.thecomedynetwork.ca/"} +{"d:Title": "Sun Group", "d:Description": "Web-site of the Sun TV network that includes Gemini TV, Udaya TV, Surya TV and other language satellite television channels.", "topic": "Top/Arts/Television/Networks", "url": "http://www.sun.in/"} +{"d:Title": "EiTB - Euskal Irrati Telebista", "d:Description": "The media group in the Basque Country with four television channels and four radio stations. ETB 1, ETB 2, ETB Sat, Euskadi Irratia, Radio Euskadi, Radio Vitoria, Euskadi Gaztea.", "topic": "Top/Arts/Television/Networks", "url": "http://www.eitb.eus/"} +{"d:Title": "ABC Sports", "d:Description": "Broadcast schedule, announcer information, and sports news.", "topic": "Top/Arts/Television/Networks/ABC", "url": "http://www.abcsports.com/"} +{"d:Title": "ABCNews.com", "d:Description": "Includes American and world news headlines, articles, chatrooms, message boards, news alerts, video and audio webcasts, shopping, and wireless news service. As well as news television show information and content.", "topic": "Top/Arts/Television/Networks/ABC", "url": "http://abcnews.go.com/"} +{"d:Title": "ABC", "d:Description": "Official site. Includes behind-the-scenes information, cast biographies, photos, and video clips.", "topic": "Top/Arts/Television/Networks/ABC", "url": "http://abc.go.com/"} +{"d:Title": "ABN Africa", "d:Description": "Broadcasting network for free-to-air television industry in sub-Saharan Africa.", "topic": "Top/Arts/Television/Networks/ABN", "url": "http://www.abnafrica.tv/"} +{"d:Title": "VH1", "d:Description": "Music video network.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.vh1.com/"} +{"d:Title": "Spike TV", "d:Description": "Include series guides, schedules, and a newsletter.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.spike.com/"} +{"d:Title": "A&E - The Arts and Entertainment Network", "d:Description": "Programs include Biography, Law and Order, movies, mysteries, Simon and Simon, Bob Vila's Guide to Historic Homes.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.aetv.com/"} +{"d:Title": "E! Online", "d:Description": "Programs include Celebrity Homes, True Hollywood Story, Celebrity Profile.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.eonline.com/"} +{"d:Title": "History Channel", "d:Description": "Historical information ranging from Great Speeches (in audio) to facts about This Day in History. Show guide and scheduled air times, discussion boards, and classroom study guides.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.history.com/"} +{"d:Title": "Turner Classic Movies", "d:Description": "Features information on classic movies, schedules for TCM and interviews with movie stars.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.tcm.com/"} +{"d:Title": "Women's Entertainment Network", "d:Description": "Lists programming for WE TV.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.wetv.com/"} +{"d:Title": "Eternal Word Television Network (EWTN)", "d:Description": "The Catholic television network, featuring Catholic questions and answers, document library, audio library, Catholic news, and programming information for EWTN TV and WEWN radio.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.ewtn.com/"} +{"d:Title": "Home and Garden Television (HGTV)", "d:Description": "Shows about home decorating, gardening, remodeling, crafts, and entertainment. Includes show guide, do-it-yourself tutorials, and message board.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.hgtv.com/"} +{"d:Title": "C-SPAN", "d:Description": "Network airing political and public affairs programming for the United States, including live video feeds of Congress in session.", "topic": "Top/Arts/Television/Networks/Cable", "url": "https://www.c-span.org/"} +{"d:Title": "FX Network", "d:Description": "Programs include Beverly Hills 90210, FX Movies, MASH, Married with Children, Millennium, NASCAR, NYPD Blue, The X-Files. Includes programming schedule by show or time, and message boards.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.fxnetworks.com/"} +{"d:Title": "Great American Country", "d:Description": "Network which airs country music videos.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.countrystars.com/"} +{"d:Title": "Ovation", "d:Description": "Network devoted to the arts, featuring programming on visual arts, theater, opera, music and dance.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.ovationtv.com/"} +{"d:Title": "USA Network", "d:Description": "Cable network featuring off-network television shows, original series and feature movies, sports events, and broadcast versions of blockbuster films.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.usanetwork.com/"} +{"d:Title": "Pennsylvania Cable Network", "d:Description": "PCN is a non-profit cable network, C-SPAN for Pennsylvania, covering PA House and Senate, PA History, business, books, sports, people, culture. Now seen on 105 cable systems serving 2.4 million homes", "topic": "Top/Arts/Television/Networks/Cable", "url": "https://pcntv.com/"} +{"d:Title": "BET - Black Entertainment Television", "d:Description": "Network programming schedule for the BET network.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.bet.com/"} +{"d:Title": "Trinity Broadcasting Network", "d:Description": "Original programs include G-Rock, Real Videos and the Virtual Memory game show.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.tbn.org/"} +{"d:Title": "Oxygen", "d:Description": "Oxygen TV network for women.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.oxygen.com/"} +{"d:Title": "INSP", "d:Description": "A 24 hour-a-day network available to U.S. households via cable and satellite television.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.insp.com/"} +{"d:Title": "Cornerstone TeleVision Network", "d:Description": "Original Christian programming includes Lightmusic videos and the His Place talk show. Offers many music specials.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.ctvn.org/"} +{"d:Title": "TBS Superstation", "d:Description": "Action movies along with WCW Thunder, the Atlanta Braves, NASCAR, the NBA, and shows like Ripley's Believe It Or Not.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.superstation.com/"} +{"d:Title": "Fine Living Network", "d:Description": "Features shows about making one's dreams come true and experiencing the good things in life. Provides show guide and monthly calendar, articles related to shows with web links, and a message board.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.fineliving.com/"} +{"d:Title": "LATV", "d:Description": "Latino music and entertainment channel based in Los Angeles.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.latv.com/"} +{"d:Title": "Hallmark Channel", "d:Description": "Programs include older series such as Bonanza, Perry Mason, and family movies. Includes guide of shows with scheduled air times, categorized by show, time, genre, or country.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.hallmarkchannel.com/"} +{"d:Title": "W Network", "d:Description": "Canadian specialty channel featuring information and entertainment programming for, by and about women. Formerly known as Women's Television Network.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.wnetwork.com/"} +{"d:Title": "The Documentary Channel", "d:Description": "Created to show exclusively documentaries. Production and distribution information, petition to request carriage on local systems, film festivals and screenings.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.documentarychannel.com/"} +{"d:Title": "The Word Network - The Urban Religious Channel", "d:Description": "Urban ministries and gospel music.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.thewordnetwork.org/"} +{"d:Title": "RFD-TV", "d:Description": "Cable channel aimed at rural America. Includes program schedule and show information.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.rfdtv.com/"} +{"d:Title": "DIY Network", "d:Description": "Program guide and archives, newsletter, message boards, community features and additional information for projects featured on their programs.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.diynetwork.com/"} +{"d:Title": "National Geographic Channel", "d:Description": "Adventure video, pictures, world exploration, educational cable TV programming. Includes show schedule, plus multimedia previews.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://channel.nationalgeographic.com/"} +{"d:Title": "ABC Family Channel", "d:Description": "Programming includes America's Funniest Home Videos, 7th Heaven, made for tv movies. Formerly the Fox Family Channel.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://abcfamily.go.com/"} +{"d:Title": "The Science Network", "d:Description": "Broadcasts the best of science lectures, news, interviews, publications, demonstrations and history.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://thesciencenetwork.org/"} +{"d:Title": "WGN America", "d:Description": "Cable television home of the Chicago Cubs, White Sox and Bulls. Offers movies, sports, news and tv series.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.wgnamerica.com/"} +{"d:Title": "Syfy", "d:Description": "Channel specializing in science fiction, horror, and fantasy.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.syfy.com/"} +{"d:Title": "TNT Drana", "d:Description": "TNT (Time-Warner) network featuring network series, original movies, broadcast versions of popular films, and sports.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.tntdrama.com/"} +{"d:Title": "Independent Film Channel (IFC)", "d:Description": "Channel dedicated to independent films presented uncut and commercial-free. Includes 30-day show schedule, list of film festivals, and reviews by viewers.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.ifc.com/"} +{"d:Title": "GSN: The Network For Games", "d:Description": "Official page for the network. Includes information on shows, airtimes, online versions of the games, and message board.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://gsntv.com/"} +{"d:Title": "JUCE TV", "d:Description": "Contemporary Christian music videos and teen related programming.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.jctv.org/"} +{"d:Title": "SundanceTV", "d:Description": "Network featuring independent films, documentaries and shorts.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.sundance.tv/"} +{"d:Title": "Comedy Central", "d:Description": "All-comedy television network. Programs include Dilbert, Battlebots, Saturday Nite Live, The Critic.", "topic": "Top/Arts/Television/Networks/Cable", "url": "http://www.cc.com/"} +{"d:Title": "BBC America", "d:Description": "The best and the latest of British television in the United States. 60% of the programming on this new US cable channel is original to the American market. The rest are the British cult classics everyone loves.", "topic": "Top/Arts/Television/Networks/Cable/BBC", "url": "http://www.bbcamerica.com/"} +{"d:Title": "BBC Online", "d:Description": "The BBC Homepage - Your gateway to BBC Online", "topic": "Top/Arts/Television/Networks/Cable/BBC", "url": "http://www.bbc.co.uk/"} +{"d:Title": "BBC Digital", "d:Description": "Explains the BBC's digital broadcasting plans. Shockwave required.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/BBC", "url": "http://www.bbc.co.uk/digital/"} +{"d:Title": "BBC Four", "d:Description": "Includes program listings, schedule, channel FAQ and interviews.", "topic": "Top/Arts/Television/Networks/Cable/BBC", "url": "http://www.bbc.co.uk/bbcfour"} +{"d:Title": "BBC Three", "d:Description": "Includes program listings, news and information about the interactive service available on Sundays.", "topic": "Top/Arts/Television/Networks/Cable/BBC", "url": "http://www.bbc.co.uk/bbcthree/"} +{"d:Title": "BBC Trust", "d:Description": "Governing body, working on behalf of licence fee payers to ensure that the BBC provides high quality output, good value, and independence. Provides details about the trust and its work, along with consultations, and the complaints procedure.", "topic": "Top/Arts/Television/Networks/Cable/BBC", "url": "http://www.bbc.co.uk/bbctrust/"} +{"d:Title": "Cartoon Network.com", "d:Description": "The home of cartoons online - the best cartoons from the past and best new cartoons, on TV and on the web.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network", "url": "http://www.cartoonnetwork.com/"} +{"d:Title": "Cartoon Network UK", "d:Description": "UK version of the network, featuring interactive games, video clips, news and media, and behind the scenes details", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network", "url": "http://www.cartoonnetwork.co.uk/"} +{"d:Title": "Boomerang", "d:Description": "Cartoon Network spin-off channel and home for classic Hanna-Barbera cartoons", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network", "url": "http://www.boomerangtv.co.uk/"} +{"d:Title": "Come Together: Online and On-Air Converge on CartoonNetwork.com", "d:Description": "By Brett Rogers. [Animation World Magazine] When it comes to media convergence, CartoonNetwork.com is innovating and reaping the rewards.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network", "url": "http://www.awn.com/animationworld/come-together-online-and-air-converge-cartoonnetworkcom"} +{"d:Title": "CartoonOrbit", "d:Description": "Cartoon Network official site - community hub for cToons, contests, polls, trading, cToon worlds, and other information.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Cartoon_Orbit", "url": "http://cartoonorbit.cartoonnetwork.com/"} +{"d:Title": "Cartoon Clubhouse", "d:Description": "News, new releases, cToon giveaways and contests, want lists, trades, Orbit codes, and links.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Cartoon_Orbit", "url": "http://cartoonclubhouse.tripod.com/"} +{"d:Title": "Adult Swim", "d:Description": "Cartoon Network official site with schedule, profiles, video clips and MP3s from Home Movies, Harvey Birdman: Attorney At Law, Space Ghost: Coast to Coast, Baby Blues, Sealab 2021, Aqua Teen Hunger Force and other original programming.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Adult_Swim", "url": "http://adultswim.com/"} +{"d:Title": "Whoosh! Adult Swim Episode Guide", "d:Description": "Episode guide listings for all the series that have aired on Cartoon Network.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Adult_Swim", "url": "http://www.whoosh.org/epguide/adultswim/adultswim.html"} +{"d:Title": "Yahoo! Groups: Adult Swim", "d:Description": "Discussion group sponsored by Whoosh.org.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Adult_Swim/Chats_and_Forums", "url": "http://groups.yahoo.com/group/adultswim/"} +{"d:Title": "GameFAQs: Anime and Manga", "d:Description": "Discussion of the Action programming block among video gamers.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Adult_Swim/Chats_and_Forums", "url": "http://boards.gamefaqs.com/gfaqs/gentopic.php?board=224"} +{"d:Title": "GameFAQs: Adult Swim Comedy", "d:Description": "Discussion of the humor programming block among video gamers.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Adult_Swim/Chats_and_Forums", "url": "http://boards.gamefaqs.com/gfaqs/boardlist.php?id=5"} +{"d:Title": "Toonami.com", "d:Description": "Official site with comics, schedules, video clips, character bios, fan art, FAQs, interactive games, and behind-the-scenes details.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Toonami", "url": "http://www.toonami.com/"} +{"d:Title": "Toonami: Digital Arsenal", "d:Description": "A stockpile of movie files, images, articles, video games, and other information on Toonami and its shows.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Toonami", "url": "http://www.toonamiarsenal.com/"} +{"d:Title": "Toonami Infolink", "d:Description": "A community-driven news/discussion site for the online Toonami fanbase.", "topic": "Top/Arts/Television/Networks/Cable/Cartoon_Network/Programs/Toonami", "url": "http://www.toonamiinfolink.com/"} +{"d:Title": "CNN/Money", "d:Description": "Information about finance and current topics.", "topic": "Top/Arts/Television/Networks/Cable/CNN", "url": "http://money.cnn.com/"} +{"d:Title": "CNN Interactive", "d:Description": "News, weather, sports, and services including e-mail news alerts and downloadable audio/video reports.", "topic": "Top/Arts/Television/Networks/Cable/CNN", "url": "http://www.cnn.com/"} +{"d:Title": "CNNInternational", "d:Description": "Programming highlights, anchor bios and international scheduling information.", "topic": "Top/Arts/Television/Networks/Cable/CNN", "url": "http://www.cnn.com/CNNI/"} +{"d:Title": "Today on CNN", "d:Description": "Main page for CNN networks with links to program information, transcripts,and anchor bios.", "topic": "Top/Arts/Television/Networks/Cable/CNN", "url": "http://www.cnn.com/CNN/Programs/"} +{"d:Title": "HLN", "d:Description": "Network home page including programming and background information.", "topic": "Top/Arts/Television/Networks/Cable/CNN", "url": "http://www.hlntv.com/"} +{"d:Title": "The Learning Channel Online", "d:Description": "Including TLC shows, detailed program descriptions, stories, games, events, and news.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://www.tlc.com/"} +{"d:Title": "Discovery Channel", "d:Description": "Flagship network site features videos, news and links to TV shows and affiliated networks.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://www.discovery.com/"} +{"d:Title": "Discovery Health Channel", "d:Description": "Channel focused on health issues. Programs include Healthy Home, Fitness Fantasy, Birth Day, Healthy Retreats.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://health.discovery.com/"} +{"d:Title": "Science Channel", "d:Description": "Discovery's Science channel programs include Hard Wired, Lake Vostok, Science Daily.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://science.discovery.com/"} +{"d:Title": "The Learning Channel", "d:Description": "Adventures for your mind.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://tlc.discovery.com/"} +{"d:Title": "Discovery Home and Leisure Channel", "d:Description": "Home to programs such as Men in Toolbelts, Weird Homes, Weird Wheels, Neat Stuff.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://home.discovery.com/"} +{"d:Title": "Animal Planet Channel Online", "d:Description": "Information on shows featuring animal stories and cams.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://animal.discovery.com/"} +{"d:Title": "Travel Channel", "d:Description": "Plan a trip, forums, gallery of images, TV schedule, and a store.", "topic": "Top/Arts/Television/Networks/Cable/Discovery_Channel", "url": "http://www.travelchannel.com/"} +{"d:Title": "Disney Channel", "d:Description": "Official Page. Offers information on original programming and movies.", "topic": "Top/Arts/Television/Networks/Cable/Disney_Channel", "url": "http://www.disneychannel.com/"} +{"d:Title": "Disney Channel UK", "d:Description": "Home of the Disney Channel UK.", "topic": "Top/Arts/Television/Networks/Cable/Disney_Channel", "url": "http://www.disney.co.uk/disney-tv/disney-channel/"} +{"d:Title": "Disney XD", "d:Description": "A 24 hour children channel run by Disney including more action shows.", "topic": "Top/Arts/Television/Networks/Cable/Disney_Channel", "url": "http://disney.go.com/disneyxd/"} +{"d:Title": "Food Network", "d:Description": "Includes cooking and wine guides, and recipes. Provides information and schedule of television shows featuring celebrity chefs, cooking instruction and food-related travel and entertainment.", "topic": "Top/Arts/Television/Networks/Cable/Food_Network", "url": "http://www.foodnetwork.com/"} +{"d:Title": "Jill Cordes", "d:Description": "Devoted to the host of \"The Best of\" the Food Network. Biography, questions and answers, and press clippings.", "topic": "Top/Arts/Television/Networks/Cable/Food_Network", "url": "http://www.jillcordes.com/"} +{"d:Title": "FOXNews.com", "d:Description": "Cable news channel. Includes schedule and news articles.", "topic": "Top/Arts/Television/Networks/Cable/Fox_News_Channel", "url": "http://www.foxnews.com/"} +{"d:Title": "Salon.com - When Roger Ailes was honest about what he does", "d:Description": "Retrospective of the career of the head of Fox News.", "topic": "Top/Arts/Television/Networks/Cable/Fox_News_Channel", "url": "http://www.salon.com/2011/01/21/roger_ailes_fehrman/"} +{"d:Title": "ZDTV starts broadcasting", "d:Description": "CNET news article regarding the 1998 launch of ZDTV which was later renamed TechTV.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV", "url": "http://news.cnet.com/2100-1023-211074.html"} +{"d:Title": "Report: Allen puts TechTV up for sale", "d:Description": "News report regarding potential buyers for the TechTV network. [St. Louis Business Journal]", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV", "url": "http://stlouis.bizjournals.com/stlouis/stories/2003/08/25/daily36.html"} +{"d:Title": "UserFriendly Comic Strip", "d:Description": "The User Friendly comic strip series of G4TechTV related cartoons.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV", "url": "http://ars.userfriendly.org/cartoons/?id=20040617"} +{"d:Title": "G4 TV", "d:Description": "Video gamers network. Get tech help, product reviews, news, and entertainment. Includes streaming video and radio.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV", "url": "http://www.g4tv.com/"} +{"d:Title": "Call for Help Wikipedia", "d:Description": "Wikipedia page regarding the Call for Help television program that has aired on ZDTV, TechTV and now on G4TV.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV", "url": "http://en.wikipedia.org/wiki/Call_for_Help_(television_program)"} +{"d:Title": "TechTV lays off San Francisco staff", "d:Description": "The technology cable channel hands 285 employees their walking papers, a move that was widely feared by workers after Comcast announced in March that it would acquire TechTV. [CNET News]", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV", "url": "http://news.cnet.com/2100-1026_3-5207821.html"} +{"d:Title": "IMDb: Call for Help", "d:Description": "Includes a cast overview of this ZD/Tech/G4TV program.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV", "url": "http://www.imdb.com/title/tt0186737/"} +{"d:Title": "ZDTV Multimedia", "d:Description": "ZDTV show promos, openings, and other miscellaneous multimedia.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV/Fan_Pages", "url": "http://www.angelfire.com/tv2/zdtv/"} +{"d:Title": "Long Live TechTV Email List", "d:Description": "Discussions of TechTV and ZDTV on this Yahoo Groups list.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV/Fan_Pages", "url": "http://groups.yahoo.com/group/Long_Live_TechTV/"} +{"d:Title": "Steve Gibson Visits the Screensavers and Call for Help Programs", "d:Description": "Video highlights from Steve Gibson's visits to the ZDTV and TechTV channel's programs.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV/Fan_Pages", "url": "http://grc.com/media.htm"} +{"d:Title": "Tech TV Fan Webring", "d:Description": "Webring for fan Sites regarding Tech TV or ZDTV.", "topic": "Top/Arts/Television/Networks/Cable/G4tech_TV/Fan_Pages", "url": "http://www.webring.org/hub?ring=techtvfanwebring"} +{"d:Title": "HBO Online", "d:Description": "HBO's website includes program descriptions, schedules, and contests.", "topic": "Top/Arts/Television/Networks/Cable/HBO", "url": "http://www.hbo.com/"} +{"d:Title": "HDNet", "d:Description": "The first all-high definition national television network. Programs include Smallville, Jake 2.0, Clubhouse, concerts.", "topic": "Top/Arts/Television/Networks/Cable/HD", "url": "http://www.hd.net/"} +{"d:Title": "Discovery HD Theater", "d:Description": "The educational Discovery Channel programs in high definition.", "topic": "Top/Arts/Television/Networks/Cable/HD", "url": "http://dhd.discovery.com/"} +{"d:Title": "Universal HD", "d:Description": "Universal HD offers unedited uninterrupted films, dramas, series, sports, specials and performance arts programs.", "topic": "Top/Arts/Television/Networks/Cable/HD", "url": "http://www.universalhd.com/"} +{"d:Title": "TNT in HD", "d:Description": "The Turner Network Television channel in high definition. Includes dramatic series, movies, live sports events.", "topic": "Top/Arts/Television/Networks/Cable/HD", "url": "http://www.tntdrama.com/hd/"} +{"d:Title": "Showtime", "d:Description": "Cable network featuring theatrical movies, original pictures and series, championship boxing, and family entertainment. [Accessible to US-based IP addresses only]", "topic": "Top/Arts/Television/Networks/Cable/Movies", "url": "http://www.sho.com/"} +{"d:Title": "AMC", "d:Description": "Offers classic films from the 1930s through the 1980s along with original series and documentaries.", "topic": "Top/Arts/Television/Networks/Cable/Movies", "url": "http://www.amc.com/"} +{"d:Title": "Bravo", "d:Description": "Bravo - the Film and Arts Network", "topic": "Top/Arts/Television/Networks/Cable/Movies", "url": "http://www.bravotv.com/"} +{"d:Title": "Cinemax", "d:Description": "Cinemax's website includes program descriptions, schedules, and special features.", "topic": "Top/Arts/Television/Networks/Cable/Movies", "url": "http://www.cinemax.com/"} +{"d:Title": "Turner Classic Movies", "d:Description": "Network showcasing classic films.", "topic": "Top/Arts/Television/Networks/Cable/Movies", "url": "http://tcm.turner.com/"} +{"d:Title": "Movie Network", "d:Description": "Three movie channels based in Australia. Includes schedule, screening guide, and movie news.", "topic": "Top/Arts/Television/Networks/Cable/Movies", "url": "http://www.movienetwork.tv/"} +{"d:Title": "Starz!", "d:Description": "Includes program listings, previews, and information about how to get Starz.", "topic": "Top/Arts/Television/Networks/Cable/Movies", "url": "http://www.starz.com/"} +{"d:Title": "MTV Asia", "d:Description": "Features music news, videos, artist features, and charts.", "topic": "Top/Arts/Television/Networks/Cable/MTV", "url": "http://www.mtvasia.com/"} +{"d:Title": "MTV", "d:Description": "Extensive site which includes news articles, video clips, schedules, and features.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/MTV", "url": "http://www.mtv.com/"} +{"d:Title": "MTV UK and Ireland", "d:Description": "Find free music, charts, videos and reviews with Cat Deeley and Richard Blackwood at this UK online magazine. Including radio, chat, screensavers, hip-hop, and dance news.", "topic": "Top/Arts/Television/Networks/Cable/MTV", "url": "http://www.mtv.co.uk/"} +{"d:Title": "MTVE", "d:Description": "Links to MTV sites for a variety of European countries. Includes live music and news, games, online radio, shows, charts, VJs, and competitions.", "topic": "Top/Arts/Television/Networks/Cable/MTV", "url": "http://www.mtve.com/"} +{"d:Title": "CNBC", "d:Description": "Headline news, articles, reports, stocks and quotes, message boards, and a stock ticker.", "topic": "Top/Arts/Television/Networks/Cable/NBC_Cable", "url": "http://www.cnbc.com/"} +{"d:Title": "TV Land", "d:Description": "Classic television programs include The Brady Bunch, Facts of Life, I Love Lucy and Gilligan's Island.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://www.tvland.com/"} +{"d:Title": "Nickelodeon", "d:Description": "The official site. Nickelodeon TV stuff, hot games, cool jokes and celebrity gossip. Check out favorite your Nickelodeon shows.", "priority": "1", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://www.nick.com/"} +{"d:Title": "Nick at Nite&TV Land", "d:Description": "Program information for both networks.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://www.nick-at-nite.com/"} +{"d:Title": "Nick Jr.", "d:Description": "Nickelodeon's site for preschoolers and their grown-ups. TV schedules, education activities and play Blue's Clues with a new game every week.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://www.nickjr.com/"} +{"d:Title": "Classic Nick", "d:Description": "Personal site devoted to 1980s-era shows, including network history, program schedules, downloadable theme songs, profiles of shows, forums, and links.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://www.johnnorrisbrown.com/classic-nick/"} +{"d:Title": "The '90s Are All That", "d:Description": "Weeknight block on TeenNick featuring archive programming. Includes video clips and social media feeds.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://90sareallthat.teennick.com/"} +{"d:Title": "TeenNick", "d:Description": "Nickelodeon channel aimed at 13- to 19-year-olds. Show pages, videos, games, blog, quizzes and message boards.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://www.teennick.com/"} +{"d:Title": "Nickdisk Nickelodeon TV&Nicktoons Message Board", "d:Description": "Message board for network viewers. Topic threads include discussion of programs and cartoons.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://superyo.nuxit.net/nickdisk/index.php"} +{"d:Title": "The Nickelodeon Webring", "d:Description": "List of sites in the ring, with brief descriptions.", "topic": "Top/Arts/Television/Networks/Cable/Nickelodeon", "url": "http://www.webring.org/hub?ring=nick1"} +{"d:Title": "DMX", "d:Description": "Provides digital music by subscription to businesses (work) and consumers (home) via cable, satellite and disc.", "topic": "Top/Arts/Television/Networks/Cable/Radio", "url": "http://www.dmx.com/"} +{"d:Title": "The Outdoor Channel", "d:Description": "Programs include Cooking on the Wild Side, Personal Watercraft Television, Ted Nugent's Spirit of the Wild, On RVing.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://www.outdoorchannel.com/"} +{"d:Title": "NFL Network", "d:Description": "National Football League-produced programming. Includes schedule, availability, and show information.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://www.nfl.com/nflnetwork"} +{"d:Title": "The Sportsman Channel", "d:Description": "Hunting and fishing shows. Includes program schedule and information, news, and online store.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://www.thesportsmanchannel.com/"} +{"d:Title": "The Sports Network", "d:Description": "Canada's national sports channel.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://www.tsn.ca/"} +{"d:Title": "MLB Network", "d:Description": "Live baseball games, original programming, highlights, classic games, and coverage of major league baseball events.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://mlb.mlb.com/network/"} +{"d:Title": "ESPN", "d:Description": "Sports news network. Includes broadcast schedule, game scores and results, and articles on college and professional sports.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://www.espn.com/"} +{"d:Title": "Fox Sports Network", "d:Description": "News, features, statistics, scores, standings, and game and programming schedules.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://www.foxsports.com/"} +{"d:Title": "College Sports Live", "d:Description": "Includes video highlights, live scores, news, and statistics.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://www.collegesportslive.com/"} +{"d:Title": "ESPN - X Games", "d:Description": "Skateboarding, Snowboarding, BMX and MotoX.", "topic": "Top/Arts/Television/Networks/Cable/Sports", "url": "http://xgames.espn.com/"} +{"d:Title": "CBC Television", "d:Description": "Canadian radio and TV network. Includes news, air schedules, corporate profile, and message forums.", "topic": "Top/Arts/Television/Networks/CBC", "url": "http://www.cbc.ca/"} +{"d:Title": "CBS News", "d:Description": "Online news provided by television broadcast company CBS.", "topic": "Top/Arts/Television/Networks/CBS", "url": "http://cbsnews.com/"} +{"d:Title": "CBS.com", "d:Description": "Official site of CBS television network.", "topic": "Top/Arts/Television/Networks/CBS", "url": "http://www.cbs.com/"} +{"d:Title": "Wikipedia - CBS", "d:Description": "Provides a history of the network, ownership information, logos and broadcast affiliates.", "topic": "Top/Arts/Television/Networks/CBS", "url": "http://en.wikipedia.org/wiki/CBS"} +{"d:Title": "Christian Television Network", "d:Description": "Network program information, webcast, local events calendar and a kids only page.", "topic": "Top/Arts/Television/Networks/CTN", "url": "http://www.ctnonline.com/"} +{"d:Title": "CTV", "d:Description": "The main network site. Contact information, schedules for most stations, and links to other holdings (eg. cable channels).", "topic": "Top/Arts/Television/Networks/CTV", "url": "http://www.ctv.ca/"} +{"d:Title": "The CW Television Network", "d:Description": "Official network site. Includes news, downloads, messge boards, show profiles, and list of stations.", "topic": "Top/Arts/Television/Networks/CW", "url": "http://www.cwtv.com/"} +{"d:Title": "CNNMoney.com - Gilmore Girls' Meet Smackdown", "d:Description": "Article discussing the creation of a new broadcast television network, called CW, to replace the WB and UPN networks in the fall of 2006.", "topic": "Top/Arts/Television/Networks/CW", "url": "http://money.cnn.com/2006/01/24/news/companies/cbs_warner/"} +{"d:Title": "Wikipedia - The CW Television Network", "d:Description": "Provides information on the network origins, stations, programming, and repercussions of the WB/UPN merge.", "topic": "Top/Arts/Television/Networks/CW", "url": "http://en.wikipedia.org/wiki/The_CW_Television_Network"} +{"d:Title": "FOX.com", "d:Description": "Official site. [Requires Netscape 4.0+ or Internet Explorer 4.0+ on Windows or Mac.]", "topic": "Top/Arts/Television/Networks/FOX", "url": "http://www.fox.com/"} +{"d:Title": "Fox Family Channel", "d:Description": "Official site.", "topic": "Top/Arts/Television/Networks/FOX/FOX_Family_Channel", "url": "http://foxfamilychannel.com/"} +{"d:Title": "The Anti-Fox Sleaze Show HomePage", "d:Description": "Offering opinions on the shows shown on Fox Television.", "topic": "Top/Arts/Television/Networks/FOX/Protest", "url": "http://www.angelfire.com/tv/antifox/millionaire.html"} +{"d:Title": "Global Television", "d:Description": "Canada's third national network. Very little network information on this site; see individual affiliates for listings and station information.", "topic": "Top/Arts/Television/Networks/Global", "url": "http://www.canada.com/globaltv/"} +{"d:Title": "Wikipedia - i", "d:Description": "Provides history and overview of the broadcast network (formerly Pax TV),", "topic": "Top/Arts/Television/Networks/i", "url": "http://en.wikipedia.org/wiki/I_(TV_network)"} +{"d:Title": "ITV", "d:Description": "British network. Includes programme details, schedules, local news, sport, weather, community and advertiser information.", "topic": "Top/Arts/Television/Networks/ITV", "url": "http://www.itvregions.com/"} +{"d:Title": "MyNetworkTV", "d:Description": "United States network, featuring 13 week drama series. Includes schedules, information about the shows and characters, and prequels.", "topic": "Top/Arts/Television/Networks/My_Network_TV", "url": "http://www.mynetworktv.com/"} +{"d:Title": "NBC.com", "d:Description": "Official site of NBC television network. Includes celebrity chat events and original interactive programming.", "topic": "Top/Arts/Television/Networks/NBC", "url": "http://www.nbc.com/"} +{"d:Title": "Independent Television Service (ITVS)", "d:Description": "Provides funding, production and promotion of independent filmmaking for public television documentaries, narratives, interstitial and children's programming.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.itvs.org/"} +{"d:Title": "PBS Online", "d:Description": "This Web site from the Public Broadcasting Service (PBS) features companion Web sites for nearly 250 PBS programs and specials, as well as seven online neighborhoods that aggregate content by genre and interest.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.pbs.org/"} +{"d:Title": "Corporation for Public Broadcasting", "d:Description": "CPB funds Public Television and Public Radio programs.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.cpb.org/"} +{"d:Title": "NETA Online", "d:Description": "National Educational Telecommunications Association.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.netaonline.org/"} +{"d:Title": "Current Online", "d:Description": "The web service of \"Current\", the biweekly newspaper about Public Television and Public Radio in the United States.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.current.org/"} +{"d:Title": "Association of America's Public Television Stations", "d:Description": "A nonprofit membership organization established in 1980 to support the continued growth and development of a strong and financially sound noncommercial television service for the American public.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.apts.org/"} +{"d:Title": "Independent Lens", "d:Description": "Showcases independent dramas and documentaries. Includes overview, broadcast schedule, and resources.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.pbs.org/independentlens"} +{"d:Title": "PBS - Station Finder", "d:Description": "Contact information for every PBS affiliate. Linked to web site if possible.", "topic": "Top/Arts/Television/Networks/PBS", "url": "http://www.pbs.org/stationfinder/index.html"} +{"d:Title": "TBN Europe", "d:Description": "European version of Trinity Broadcasting Network with 24-hours quality Christian programming.", "topic": "Top/Arts/Television/Networks/TBN", "url": "http://www.tbneurope.org/"} +{"d:Title": "Wikipedia - Trinity Broadcasting Network", "d:Description": "Brief history, network overview, and programming information.", "topic": "Top/Arts/Television/Networks/TBN", "url": "http://en.wikipedia.org/wiki/Trinity_Broadcasting_Network"} +{"d:Title": "TBS", "d:Description": "The station features popular movies, original programming, sports, and comedies. Includes viewing schedules, series information and branded downloads.", "topic": "Top/Arts/Television/Networks/TBS", "url": "http://www.tbs.com/"} +{"d:Title": "TNT Drama", "d:Description": "Offers classic and original programming. Includes program schedules, series plot details, games, and discussion forums.", "topic": "Top/Arts/Television/Networks/TNT", "url": "http://www.tntdrama.com/"} +{"d:Title": "Vidiot's UPN Web Pages", "d:Description": "Insider site with videos of upcoming shows. In depth information about past shows and episode lists.", "topic": "Top/Arts/Television/Networks/UPN", "url": "http://mrvideo.vidiot.com/UPN/"} +{"d:Title": "Kids WB!", "d:Description": "Official site.", "topic": "Top/Arts/Television/Networks/WB/Kids_WB", "url": "http://kidswb.com/"} +{"d:Title": "CBS News", "d:Description": "Columbia Broadcasting System", "topic": "Top/Arts/Television/News", "url": "http://cbsnews.com/"} +{"d:Title": "FNC", "d:Description": "Fox News Channel", "topic": "Top/Arts/Television/News", "url": "http://www.foxnews.com/"} +{"d:Title": "CNN", "d:Description": "Cable News Network", "topic": "Top/Arts/Television/News", "url": "http://www.cnn.com/"} +{"d:Title": "CTV News", "d:Description": "Canadian Television", "topic": "Top/Arts/Television/News", "url": "http://www.ctv.ca/"} +{"d:Title": "ABC News", "d:Description": "American Broadcasting Company", "topic": "Top/Arts/Television/News", "url": "http://abcnews.go.com/"} +{"d:Title": "C-SPAN", "d:Description": "National Cable Satellite Corporation", "topic": "Top/Arts/Television/News", "url": "https://www.c-span.org/"} +{"d:Title": "ABC News [Australia]", "d:Description": "Australian Broadcasting Corporation", "topic": "Top/Arts/Television/News", "url": "http://www.abc.net.au/news/"} +{"d:Title": "NDTV", "d:Description": "New Delhi Television", "topic": "Top/Arts/Television/News", "url": "http://www.ndtv.com/"} +{"d:Title": "CBC News", "d:Description": "Canadian Broadcasting Company", "topic": "Top/Arts/Television/News", "url": "http://www.cbc.ca/news/"} +{"d:Title": "ITN", "d:Description": "Independent Television News", "topic": "Top/Arts/Television/News", "url": "http://www.itn.co.uk/"} +{"d:Title": "CCTV News", "d:Description": "China Central Television", "topic": "Top/Arts/Television/News", "url": "http://www.cctv.com/english/news/index.html"} +{"d:Title": "PBS News", "d:Description": "Public Broadcasting Service", "topic": "Top/Arts/Television/News", "url": "http://www.pbs.org/news/"} +{"d:Title": "Sky News", "d:Description": "Presenting global reports in videos, articles and pictures.", "topic": "Top/Arts/Television/News", "url": "http://news.sky.com/"} +{"d:Title": "NBC News", "d:Description": "National Broadcasting Company.", "topic": "Top/Arts/Television/News", "url": "http://www.nbcnews.com/"} +{"d:Title": "BBC News", "d:Description": "British Broadcasting Corporation", "topic": "Top/Arts/Television/News", "url": "http://www.bbc.com/news"} +{"d:Title": "RNN", "d:Description": "Privately owned network covering New York, New Jersey and Connecticut in the United States. Provides information about the company, their services and global partners.", "topic": "Top/Arts/Television/News", "url": "http://www.rnntv.com/"} +{"d:Title": "KOMU TV8", "d:Description": "[University of Missouri] The university's own commercial station operates as an NBC affiliate. Students run the daily news operation. Site offers updated local news, weather, sports and backgrounders.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://www.komu.com/"} +{"d:Title": "Maryland Newsline", "d:Description": "[University of Maryland] News and information about state and national politics are offered. Site also features RealVideo of the nightly news magazine.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://www.newsline.umd.edu/"} +{"d:Title": "Carolina Week", "d:Description": "[University of North Carolina at Chapel Hill] Site featuring RealVideo and transcripts of stories featured on the weekly news magazine.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://www.carolinaweek.org/"} +{"d:Title": "WOUB Online - Newswatch", "d:Description": "[Ohio University] The student-produced program focuses on news from its locale, Southern Ohio. Site offers RealVideo of the live nightly newscasts, as well as text stories.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://woub.org/news/"} +{"d:Title": "M.U. Report", "d:Description": "[Marshall University] Site offers the weekly broadcast of the news program in Windows Media Player format.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://www.marshall.edu/sojmc/"} +{"d:Title": "News 3 New Mexico", "d:Description": "[Eastern New Mexico University] Site offers news briefs and staff biographies.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://www.angelfire.com/nm2/news3newmexico/"} +{"d:Title": "Annenberg TV News", "d:Description": "[University of Southern California] Site of the student-produced newscasts offers extended information on topics explored in the nightly newscasts. RealVideo selections of special programs and nightly newscasts are available.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://www.atvn.org/"} +{"d:Title": "Prime Time Palomar", "d:Description": "[Palomar College] Site offers behind-the-scenes photos, air schedule, staff, and contact and sponsorship information.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://www.palomar.edu/primetimepalomar"} +{"d:Title": "WRED-TV", "d:Description": "[St. John's University] TV club's site with member list, calendar, forum, alumni information, and airdates for Eye of the Storm News.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://wred_tv.tripod.com/"} +{"d:Title": "KBYU News", "d:Description": "[Brigham Young University] Student news site for the twice-daily news broadcast. Site is a joint effort by its print and online journalism counterparts offering live webcasts in Windows Media format and full articles.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://universe.byu.edu/"} +{"d:Title": "Northwestern News Network", "d:Description": "[Northwestern University] Producers of the Northwestern News Report, a television newscast that covers news, issues and events of interest to the University and Evanston communities.", "topic": "Top/Arts/Television/News/College_and_Educational", "url": "http://nnn.medill.northwestern.edu/"} +{"d:Title": "PBS NewsHour", "d:Description": "Analysis, background reports and news updates to put the day's news in context.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.pbs.org/newshour/"} +{"d:Title": "The National", "d:Description": "[CBC News] The network's flagship nightly news and current affairs program offers its newscast in RealVideo format along with transcripts of its reports.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.cbc.ca/national/"} +{"d:Title": "CBS Evening News", "d:Description": "Articles and breaking news from the news show.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://feeds.cbsnews.com/CBSNewsEveningNews"} +{"d:Title": "CBS News: The Early Show", "d:Description": "Stories and news from the morning news program.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://feeds.cbsnews.com/CBSNewsEarlyShow"} +{"d:Title": "The Situation Room with Wolf Blitzer", "d:Description": "[CNN] Offers expanded coverage and transcripts for the program. Show backgrounders are also available.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.cnn.com/CNN/Programs/situation.room/"} +{"d:Title": "BBC News: Newsnight", "d:Description": "Articles and news from the news program.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://newsrss.bbc.co.uk/rss/newsonline_world_edition/programmes/newsnight/rss.xml"} +{"d:Title": "CBS This Morning", "d:Description": "[CBS News] Charlie Rose, Gayle King and Erica Hill cover breaking news, politics, health, money, lifestyle and pop culture. Includes Rundown with video.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.cbsnews.com/cbsthismorning/"} +{"d:Title": "Today", "d:Description": "[NBC News] Program information, recent and archived stories, and personal finance, health, and recipe tips.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.nbcnews.com/"} +{"d:Title": "Good Morning America", "d:Description": "[ABC News] Site for morning program including schedules for live web events, multimedia clips of recent airings, and biographical information on the anchors.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "https://gma.yahoo.com/"} +{"d:Title": "PBS NewsHour", "d:Description": "News, analysis, and articles.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.pbs.org/newshour/feed/"} +{"d:Title": "Today: Top Stories", "d:Description": "Feeds from the morning news show.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://feeds.nbcnews.com/feeds/todaytopstories"} +{"d:Title": "NBC News: Nightly News", "d:Description": "Streaming video of selected reports and links to continuing coverage.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.nbcnews.com/nightly-news"} +{"d:Title": "ABC News: World News Tonight", "d:Description": "Articles and headline news.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://feeds.abcnews.com/abcnews/worldnewsheadlines"} +{"d:Title": "Newsnight", "d:Description": "[BBC News] Nightly current affairs, investigative program offers RealVideo of its recent broadcasts and excerpts from special reports.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.bbc.co.uk/programmes/b006mk25"} +{"d:Title": "Early Start", "d:Description": "[CNN] Offers expanded coverage and transcripts for the program. Show backgrounders are also available.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.cnn.com/shows/early-start"} +{"d:Title": "ABC News: Good Morning America", "d:Description": "Articles and headline news from the morning news program.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://feeds.abcnews.com/abcnews/gmaheadlines"} +{"d:Title": "CNN Newsroom", "d:Description": "[CNN] Offers expanded coverage and transcripts for the program. Show backgrounders are also available.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://newsroom.blogs.cnn.com/"} +{"d:Title": "HLN", "d:Description": "[CNN] 24-hour news headlines presented in a thirty-minute cyclic format.", "topic": "Top/Arts/Television/News/Programs/Breaking_News", "url": "http://www.hlntv.com/"} +{"d:Title": "Inside Indiana Business with Gerry Dick", "d:Description": "Business and technology news and information network. It combines a weekly 60-minute business television program with the Internet site. [Syndicated]", "topic": "Top/Arts/Television/News/Programs/Business_and_Stocks", "url": "http://www.insideindianabusiness.com/"} +{"d:Title": "Moneywise with Kelvin Boston", "d:Description": "Official web site for the public television program, \"Moneywise with Kelvin Boston.\" Articles on investing, wealth-building, budgeting, credit, home ownership, and small business management.", "topic": "Top/Arts/Television/News/Programs/Business_and_Stocks", "url": "http://www.moneywise.tv/"} +{"d:Title": "Business Today", "d:Description": "[BBC News] Nightly business newscast offers RealVideo of its latest program and a biography of host Nils Blythe.", "topic": "Top/Arts/Television/News/Programs/Business_and_Stocks", "url": "http://news.bbc.co.uk/1/hi/business/1373905.stm"} +{"d:Title": "The Money Programme", "d:Description": "[BBC News] Weekly in-depth business news magazine offers text versions of its reports and limited RealVideo selections.", "topic": "Top/Arts/Television/News/Programs/Business_and_Stocks", "url": "http://news.bbc.co.uk/1/hi/programmes/the_money_programme/default.stm"} +{"d:Title": "The Charlie Rose Show", "d:Description": "[PBS] Program information and archives plus biographical information on Charlie Rose.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.charlierose.com/"} +{"d:Title": "Fox News Sunday", "d:Description": "[FNC] Sunday cable political talk show offers its transcripts and guest list.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.foxnews.com/fns/"} +{"d:Title": "Hannity&Colmes", "d:Description": "[FNC] Partial video and transcripts from recent shows, links to related stories, and short biographies of Sean Hannity and Alan Colmes.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.foxnews.com/hannityandcolmes/"} +{"d:Title": "Late Edition with Wolf Blitzer", "d:Description": "[CNN] Background, U.S. and international schedules, and archive of transcripts.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.cnn.com/CNN/Programs/late.edition/index.html"} +{"d:Title": "The McLaughlin Group", "d:Description": "[PBS] Program and viewing information, host and panelist biographies, video archives, and contact information for transcripts.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.mclaughlin.com/"} +{"d:Title": "Special Report with Brit Hume", "d:Description": "[FNC] Host bio, partial transcripts, and fan newsletter.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.foxnews.com/specialreport/"} +{"d:Title": "On The Record", "d:Description": "[BBC News] The weekly political program offers RealVideo of its program, full transcripts of interviews, and a profile of presenter John Humphrys and his reporters.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.bbc.co.uk/otr/"} +{"d:Title": "Nightline", "d:Description": "[ABC News] Site for the late-night program offers video of recent airings, and continuing coverage of recent stories.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://abcnews.go.com/Nightline/"} +{"d:Title": "This Week Index", "d:Description": "[ABC News] Site offers interview transcripts and RealVideo clips.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.abcnews.go.com/ThisWeek/"} +{"d:Title": "Face The Nation", "d:Description": "Feeds from past programs.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://feeds.cbsnews.com/CBSNewsFTN"} +{"d:Title": "Politics", "d:Description": "[CBC News] The nightly political interview show based in Ottawa. Site offers RealVideo of its hour-long newscasts.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.cbc.ca/politics/"} +{"d:Title": "Cutting Edge - a talk show", "d:Description": "Political information for US and California with appropriate links, video, audio and comments from former Congressmen and others.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.cuttingedge-atalkshow.com/"} +{"d:Title": "All In with Chris Hayes", "d:Description": "[MSNBC] Evening weekday news and opinion television program, focused on national news and politics.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://tv.msnbc.com/shows/all-in/"} +{"d:Title": "Up with Steve Kornackl", "d:Description": "[MSNBC] Saturday morning news and opinion show.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://tv.msnbc.com/shows/up/"} +{"d:Title": "The O'Reilly Factor", "d:Description": "[FNC] Preview for the day's show, video clips and partial transcripts, links to Bill O'Reilly's outside columns and articles, and merchandise.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.foxnews.com/on-air/oreilly/index.html"} +{"d:Title": "Face the Nation", "d:Description": "[CBS News] The Sunday morning public affairs programs offers an archive of transcripts of its programs, in-depth online reports, and limited RealVideo selections.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.cbsnews.com/face-the-nation/"} +{"d:Title": "Meet the Press", "d:Description": "[NBC News] Full transcripts for the political talk show. Upcoming program information and video clips are also available.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://www.nbcnews.com/meet-the-press/"} +{"d:Title": "ABC News: Nightline", "d:Description": "Headline feed for the program.", "topic": "Top/Arts/Television/News/Programs/Interview_and_Debate", "url": "http://feeds.abcnews.com/abcnews/nightlineheadlines"} +{"d:Title": "Frontline", "d:Description": "[PBS] Companion web site to the investigative journalism series. Contains extended interviews, video, teacher's resources, and additional material related to the series' weekly documentaries.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://www.pbs.org/wgbh/pages/frontline/"} +{"d:Title": "Think Tank", "d:Description": "[PBS] Includes series overview, profile of host Ben Wattenberg and featured guests, transcripts, and airdate information.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://www.pbs.org/thinktank/"} +{"d:Title": "NOW", "d:Description": "[PBS] Weekly news series offering analysis of events and issues.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://www.pbs.org/now/"} +{"d:Title": "Primetime", "d:Description": "[ABC News] Supplementary materials to stories featured in the newsmagazine.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://www.abcnews.go.com/Primetime/"} +{"d:Title": "20/20", "d:Description": "[ABC News] Supplementary materials to stories featured in the newsmagazine.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://abcnews.go.com/2020/"} +{"d:Title": "CBS News: 48 Hours", "d:Description": "Newsfeeds from the show.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://feeds.cbsnews.com/CBSNews48Hours"} +{"d:Title": "CBS News: 60 Minutes", "d:Description": "Feeds from stories appearing on the program.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://feeds.cbsnews.com/CBSNews60Minutes"} +{"d:Title": "Washington Week", "d:Description": "[PBS] Includes series overview, Web cast versions of the show, transcripts, and resources for educators.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://www.pbs.org/weta/washingtonweek/"} +{"d:Title": "48 Hours", "d:Description": "[CBS News] Video clips and interactive features on recently aired stories.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://www.cbsnews.com/48-hours/"} +{"d:Title": "NBC News: Dateline", "d:Description": "Program information for the news magazine with transcripts and video clips from recent segments.", "topic": "Top/Arts/Television/News/Programs/News_Magazines", "url": "http://www.nbcnews.com/dateline"} +{"d:Title": "Scientific American Frontiers", "d:Description": "[PBS] From alien invasions to the bionic body, the series explores science with host Alan Alda. Site has an air schedule, summaries and past and future shows.", "topic": "Top/Arts/Television/News/Programs/Science_and_Technology", "url": "http://www.pbs.org/saf/"} +{"d:Title": "Yahoo! Groups: Jules Asner", "d:Description": "Message board and photo archive. [Yahoo! registration required.]", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Asner,_Jules", "url": "http://groups.yahoo.com/group/Jules_Asner/"} +{"d:Title": "The Tonight Show Starring Johnny Carson", "d:Description": "Official site includes clip licensing of Carson show material, bios, information on guests, and related links.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Carson,_Johnny", "url": "http://www.johnnycarson.com/"} +{"d:Title": "Johnny Carson Park", "d:Description": "A look at a Burbank park dedicated to the former Tonight Show host.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Carson,_Johnny", "url": "http://www.seeing-stars.com/Immortalized/JohnnyCarsonPark.shtml"} +{"d:Title": "Johnny Carson", "d:Description": "Boston Phoenix writer remembers the Tonight Show host.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Carson,_Johnny", "url": "http://www.bostonphoenix.com/archive/tv/97/11/JOHNNY_CARSON.html"} +{"d:Title": "CNN: Johnny Carson, late-night TV legend, dead at 79", "d:Description": "Obituary about the former host of the Tonight Show who passed away from emphysema. Includes highlights from his lengthy career in show business.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Carson,_Johnny", "url": "http://www.cnn.com/2005/SHOWBIZ/TV/01/23/carson.obit/"} +{"d:Title": "Johnny Carson", "d:Description": "Carson's filmography and TV appearances at IMDb.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Carson,_Johnny", "url": "http://www.imdb.com/name/nm0001992/"} +{"d:Title": "Alycia's Going Wild for Jeff Corwin Page", "d:Description": "A fan site including chats and interviews with Jeff, photos, sounds files, and links to sites about wild animals and their environments.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Corwin,_Jeff", "url": "http://members.tripod.com/~Poet70/"} +{"d:Title": "The Unofficial Jeff Corwin Web Site", "d:Description": "Polls, images and message board.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Corwin,_Jeff", "url": "http://www.angelfire.com/my/JeffCorwin/"} +{"d:Title": "Kristin's Jeff Corwin Experience", "d:Description": "Contains a biography, images, contact details, episode guides, polls and related links.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Corwin,_Jeff", "url": "http://kristin_luvs_jeff.tripod.com/"} +{"d:Title": "IMDb: Bill Cullen", "d:Description": "Includes vital statistics, trivia, and list of game shows he appeared on or hosted.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Cullen,_Bill", "url": "http://www.imdb.com/name/nm0191439/"} +{"d:Title": "Jill Dando Tribute Page", "d:Description": "Message board for reflections on her life and work.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill", "url": "http://www.weston-super-mare.com/jilldando/"} +{"d:Title": "BBC News | UK | Dando case: Man released", "d:Description": "Detectives hunting the killer of Crimewatch presenter Jill Dando have released a man who was arrested in connection with the theft of a Range Rover.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/474914.stm"} +{"d:Title": "BBC News | UK | Mystery man traced in Dando murder hunt", "d:Description": "A mystery blond man seen near BBC presenter Jill Dando's home less than hour before her murder has been traced by the police and ruled out of the inquiry.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/456749.stm"} +{"d:Title": "BBC News | UK | Friends to celebrate Dando's life", "d:Description": "More than 700 people are expected to attend a service in central London to remember the life and work of murdered TV presenter Jill Dando.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/459090.stm"} +{"d:Title": "BBC News | UK | BBC sets up Dando bursary", "d:Description": "The BBC is to offer an annual journalism training bursary in memory of murdered TV presenter Jill Dando.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/691884.stm"} +{"d:Title": "BBC News | UK | Dando leaves \u00a31m", "d:Description": "Murdered BBC presenter Jill Dando left more than \u00a31m but did not have a will, official papers have revealed.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/387565.stm"} +{"d:Title": "BBC News | UK | The elusive killer", "d:Description": "Despite huge publicity and hundreds of calls to police, Jill Dando's murder remains unsolved.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/725436.stm"} +{"d:Title": "BBC News | UK | Emotional farewell to Dando", "d:Description": "The funeral of the murdered BBC presenter Jill Dando has heard of her \"sensitive humanity\" during a remarkable career in television.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/349661.stm"} +{"d:Title": "BBC News | UK | Defendant requests Dando talks", "d:Description": "A man accused in connection with a shooting spree across London has asked to speak to police about the Jill Dando murder.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/417622.stm"} +{"d:Title": "BBC News | UK | In memoriam: Jill Dando, 1961-99", "d:Description": "Tributes from friends, colleagues and members of the public, published in a special memorial booklet.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/456357.stm"} +{"d:Title": "BBC News | UK | TV plea to catch Dando killer", "d:Description": "Detectives will appeal for more witnesses to come forward as a reconstruction of the murder of Jill Dando is broadcast on the very show she used to host.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/347152.stm"} +{"d:Title": "BBC News | UK | Dando crime-fighting legacy", "d:Description": "Family and friends of the murdered BBC presenter Jill Dando launch a charitable appeal to set up a crime-fighting academy.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/678143.stm"} +{"d:Title": "BBC News | UK | Dando funeral route revealed", "d:Description": "Police release details of cortege route for the funeral of murdered BBC TV presenter Jill Dando to be held on 21 May.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/345739.stm"} +{"d:Title": "BBC News | UK | Dando's killer 'could be a woman'", "d:Description": "Police hunting the killer of TV presenter Jill Dando are exploring the possibility that she may have been killed by a woman, it is reported.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/414562.stm"} +{"d:Title": "BBC News | UK | In memoriam: Jill Dando, 1961-99", "d:Description": "Part 2 of a special tribute from friends and fans.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/456365.stm"} +{"d:Title": "BBC News | UK | Dando reconstruction filmed for Crimewatch", "d:Description": "A reconstruction of the murder of Jill Dando has been filmed for the Crimewatch programme she once presented.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/344619.stm"} +{"d:Title": "BBC News | UK | Dando stalker theory in doubt", "d:Description": "Sources close to the investigation into the murder of TV presenter Jill Dando say it appears likely that she was shot by a professional criminal - not a crazed fan.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/371078.stm"} +{"d:Title": "BBC News | UK | Crack team to tackle Dando inquiry", "d:Description": "Police are to call in a team of top detectives to review the hunt for the killer of BBC presenter Jill Dando.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/339999.stm"} +{"d:Title": "BBC News | Entertainment | BBC will screen Dando's last show", "d:Description": "The BBC has decided to screen the remainder of Jill Dando's Antiques Inspectors series following discussions with the murdered presenter's family.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/393273.stm"} +{"d:Title": "BBC News | UK | Police 'confident' of finding Dando killer", "d:Description": "A senior officer investigating the murder of TV presenter Jill Dando tells BBC News Online he is confident police will catch her killer.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/360033.stm"} +{"d:Title": "BBC News | UK | Jill Dando: Memorial pictures", "d:Description": "Jill Dando: Memorial pictures.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/456546.stm"} +{"d:Title": "BBC News | UK | Dando reward rises to \u00a3150,000", "d:Description": "The reward for information leading to the capture of TV presenter Jill Dando's killer has risen to \u00a3150,000.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/343738.stm"} +{"d:Title": "BBC News | UK | Man bailed in Dando murder hunt", "d:Description": "A man arrested by police investigating the murder of Jill Dando is released on bail pending further enquiries.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/355461.stm"} +{"d:Title": "BBC News | UK | Private funeral for Dando", "d:Description": "A private burial for murdered BBC presenter Jill Dando is to be held in her home town next Friday, after her funeral cortege travels through the resort.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/342748.stm"} +{"d:Title": "BBC News | UK | BBC mourns Jill Dando", "d:Description": "Jill Dando's BBC colleagues have been struggling to come to terms with her death - the BBC newsroom held a minute's silence in her memory.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/328919.stm"} +{"d:Title": "BBC News | UK | Stars pay tribute to Dando", "d:Description": "Friends of Jill Dando gather at an emotional service to pay tribute to the life and work of the murdered BBC presenter.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/459928.stm"} +{"d:Title": "BBC News | UK | Reward to catch Dando killer", "d:Description": "The Daily Mail newspaper puts up a \u00a3100,000 reward for the capture of the person who killed BBC television presenter Jill Dando.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/342526.stm"} +{"d:Title": "BBC News | UK | Top detective joins Dando inquiry", "d:Description": "A senior detective will scrutinise the Jill Dando murder investigation to find out why the BBC presenter's killer has not been found within 28 days.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/351417.stm"} +{"d:Title": "BBC News | UK | Sir John Birt's tribute to Jill Dando", "d:Description": "The tribute to Jill Dando address by Sir John Birt in full - given at All Souls Church Tuesday 28 September.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/459941.stm"} +{"d:Title": "BBC News | UK | Clues to the Dando murder", "d:Description": "A run-down of the eyewitness evidence so far collected by police hunting the killer of BBC presenter Jill Dando.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/336473.stm"} +{"d:Title": "BBC News | UK | Public shock and sadness at Jill Dando's death", "d:Description": "Her Majesty the Queen, the Prime Minister and other leading public figures are stunned and saddened by the death of BBC presenter Jill Dando.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/328911.stm"} +{"d:Title": "BBC News | UK | Press says farewell to Jill Dando", "d:Description": "Most of the UK newspapers report the emotional farewell to BBC TV presenter Jill Dando with pictures of the funeral cortege in her home town Weston-super-Mare.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/350162.stm"} +{"d:Title": "BBC News | UK | Hunt for Dando's 'well-dressed' killer", "d:Description": "Police are focusing the hunt for the killer of BBC presenter Jill Dando on a man seen outside her home before the murder.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/329326.stm"} +{"d:Title": "BBC News | UK | The service of thanksgiving", "d:Description": "Family, friends and colleagues of murdered TV presenter Jill Dando gathered in Central London for a service to give thanks for her life.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/456654.stm"} +{"d:Title": "BBC News | UK | Dando funeral address in full", "d:Description": "The full text of the address by Reverend Marc Owen at the service of Jill Dando in her home town of Weston-super-Mare.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/349614.stm"} +{"d:Title": "BBC News | UK | Jill Dando: Her brilliant career", "d:Description": "BBC TV presenter Jill Dando was known for her beauty, grace and sweet, natural elegance. Her warm smile, as seen in these photos from her 14-year career, will be well missed.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/328891.stm"} +{"d:Title": "BBC News | UK | Fresh leads on Dando killing", "d:Description": "Police uncover fresh evidence that television presenter Jill Dando was killed by a stalker obsessed with her.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/556938.stm"} +{"d:Title": "BBC News | UK | 'Good response' to Dando appeal", "d:Description": "Detectives hunting the killer of Crimewatch UK presenter Jill Dando say an appeal for information on the programme prompted hundreds of calls.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/347348.stm"} +{"d:Title": "BBC News | UK | The 'Golden Girl' of TV", "d:Description": "Described as the \"Golden Girl of television\", Jill Dando was one of a small handful of presenters able to turn her hand both to light entertainment and news.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/328888.stm"} +{"d:Title": "BBC News | UK | Police defend 'unmanned' Dando hotline", "d:Description": "Scotland Yard denies \"incompetence\" after leaving the Jill Dando murder inquiry telephone hotline unmanned at the weekend.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/458689.stm"} +{"d:Title": "BBC News | UK | Dando police intensify hunt", "d:Description": "Detectives investigating the murder of BBC presenter Jill Dando say they have eliminated hundreds of people from their inquiries, including old boyfriends.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/443044.stm"} +{"d:Title": "BBC News | UK | Dando police chase new suspects", "d:Description": "Police investigating the murder of Jill Dando receive more than 400 calls following a fresh appeal for information on the BBC's Crimewatch programme.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/718743.stm"} +{"d:Title": "BBC News | UK | 'Clear leads' to Dando's killer", "d:Description": "Detectives hunting the killer of TV presenter Jill Dando have say they have \"clear leads\" and are confident of solving her murder.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/483360.stm"} +{"d:Title": "BBC News | UK | Crimewatch tribute to Jill Dando", "d:Description": "Victims of crime who have been helped by BBC's Crimewatch programme are to pay a \"people's tribute\" to murdered presenter Jill Dando on Tuesday's edition of the show.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/346237.stm"} +{"d:Title": "BBC News | UK | Dando's fiance faces pain of wedding day", "d:Description": "On what would have been his wedding day, Jill Dando's fiance Alan Farthing is being comforted by friends.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/457253.stm"} +{"d:Title": "BBC News | UK | Dando detectives rule out boyfriends", "d:Description": "Detectives investigating the murder of Jill Dando say they have now ruled out all her previous boyfriends from the inquiry.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/415627.stm"} +{"d:Title": "BBC News | UK | Dando murder case reviewed", "d:Description": "The internal review of the inquiry into the murder of TV presenter Jill Dando has been completed - but it seems police are no nearer to finding her killer.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/379877.stm"} +{"d:Title": "BBC News | UK | Dando reward reaches \u00a3250,000", "d:Description": "A UK newspaper (Daily Mail) offers an extra \u00a3100,000 to help catch the killer of BBC presenter Jill Dando, 100 days after she was shot dead in west London.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/410443.stm"} +{"d:Title": "BBC News | UK | Dando 'was being stalked'", "d:Description": "Detectives hunting Jill Dando's killer have mounting evidence that the television star was being stalked by an obsessed fan.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/717522.stm"} +{"d:Title": "BBC News | UK | Dando inquiry: The first 100 days", "d:Description": "On the 100th day of the Jill Dando murder inquiry, police remain confident that their network of clues will lead them to the killer.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/410450.stm"} +{"d:Title": "BBC News | UK | Police: We may not get Dando killer", "d:Description": "Metropolitan police chief Sir Paul Condon admits detectives may never catch the murderer of BBC presenter Jill Dando.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/595175.stm"} +{"d:Title": "BBC News | UK | Dando fianc\u00e9 tells of murder ordeal", "d:Description": "Alan Farthing, the fianc\u00e9 of murdered BBC television presenter Jill Dando, has described how he identified her after her death and reissued an appeal for help to catch her killer.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/372962.stm"} +{"d:Title": "BBC News | UK | Bullet clue in Dando murder", "d:Description": "Police say unique markings on the cartridge case of the bullet that killed BBC presenter Jill Dando may provide an important new lead in the murder inquiry.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/407006.stm"} +{"d:Title": "BBC News | UK | Dando murder will be solved - police", "d:Description": "A new appeal to help find the killer of Jill Dando has been broadcast on the BBC's Crimewatch UK programme, 50 days after her death.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/369192.stm"} +{"d:Title": "BBC News | UK | Your tributes to Jill Dando", "d:Description": "Read your tributes to BBC presenter Jill Dando who died today after being attacked outside her London home.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/uk/328861.stm"} +{"d:Title": "BBC News | UK |Dando namesake's mystery call", "d:Description": "A namesake of murdered TV broadcaster Jill Dando tells of the mystery phone call she received months before the Crimewatch presenter was killed.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/uk_news/723109.stm"} +{"d:Title": "BBC News | UK | BBC mourns Jill Dando", "d:Description": "Jill Dando's BBC colleagues have been struggling to come to terms with her death - the BBC newsroom held a minute's silence in her memory.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dando,_Jill/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/low/uk/328919.stm"} +{"d:Title": "Unofficial Daljit Dhaliwal Appreciation Page", "d:Description": "Fan site with background information, articles, transcripts, and a picture gallery.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dhaliwal,_Daljit", "url": "http://zapatopi.net/daljit/"} +{"d:Title": "Laurie Dhue Message Board", "d:Description": "A Yahoo based fan club with a chat room, news, photos, and links.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dhue,_Laurie", "url": "http://tv.groups.yahoo.com/group/LaurieDhue2/"} +{"d:Title": "Charlie Dimmock", "d:Description": "Includes pictures, news, and reviews.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dimmock,_Charlie", "url": "http://www.beaubritain.freeuk.com/charlie_dimmock.htm"} +{"d:Title": "CNN: Dr. Drew Prescribes an Internet Startup", "d:Description": "The cohost of Loveline plans a Web site to advise teens on health issues.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Dr._Drew", "url": "http://www.cnn.com/TECH/computing/9906/23/doc.idg/"} +{"d:Title": "Let's Make A Deal Website: Monty Hall", "d:Description": "Biography with photos.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Hall,_Monty", "url": "http://www.letsmakeadeal.com/mh-Bio.htm"} +{"d:Title": "Wikipedia: Monty Hall", "d:Description": "Canadian-born actor, singer and sportscaster, but is best known for being the host of popular American television game shows.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Hall,_Monty", "url": "http://en.wikipedia.org/wiki/Monty_Hall"} +{"d:Title": "History of Canadian Broadcasting: Monty Hall", "d:Description": "A biography for Monty Hall. Game show host, sportscaster, actor and singer.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Hall,_Monty", "url": "http://www.broadcasting-history.ca/index3.html"} +{"d:Title": "Arlene Herson", "d:Description": "Official site for radio/TV personality, interviewer, and public speaker with credits including The Arlene Herson Show and Florida Forum. Includes news and photo gallery.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Herson,_Arlene", "url": "http://www.arleneherson.com/"} +{"d:Title": "IMDb: Shana Hiatt", "d:Description": "Filmography, awards, biography, and agent.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Hiatt,_Shana", "url": "http://www.imdb.com/name/nm0382456/"} +{"d:Title": "Yahoo! Groups: Horrorhosts", "d:Description": "Discussion of various shows, local and nationwide. [Yahoo! registration required.]", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror", "url": "http://groups.yahoo.com/group/Horrorhosts/"} +{"d:Title": "CountDracula.com", "d:Description": "Home of the show \"Horror Incorporated\" and its host, Count Dracula. Includes airtimes, message forum, contests, information about live appearances by the host, and list of upcoming movies.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror", "url": "http://www.countdracula.com/"} +{"d:Title": "Doctor Madblood's Web Site", "d:Description": "Focuses on the host of Virginia's \"Doctor Madblood's Friday Night Frights.\" Includes biography, show history, pictures, downloads, and information on the cast, crew, props, and sets.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror", "url": "http://www.madblood.net/"} +{"d:Title": "E-gor's Chamber of TV Horror Hosts", "d:Description": "Details about the stars and shows in the heyday of the genre, from Dr. Acula to The Great Zucchini. Includes link to mailing list.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror", "url": "http://myweb.wvnet.edu/~u0e53/horhosts.html"} +{"d:Title": "WebRing: TV's Greatest Horror Hosts", "d:Description": "Provides links to shows broadcast in a variety of locations.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror", "url": "http://www.webring.org/hub/jeepersring"} +{"d:Title": "Elvira - Mistress of the Dark", "d:Description": "Official website. Includes merchandise, fun stuff, news and information.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror/Elvira", "url": "http://www.elvira.com/"} +{"d:Title": "Elvira, Mistress of the Dark", "d:Description": "Contains background information, story, and pictures.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror/Elvira", "url": "http://www.tangibledreams.net/elvira/"} +{"d:Title": "The Ghoul: Turn Blue, Stay Sick, Climb Walls", "d:Description": "Official site of host seen in Michigan and Cleveland. Includes airtimes, live appearances, pictures, and links to merchandise.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror/Ghoul,_The", "url": "http://www.theghoul.com/index2.html"} +{"d:Title": "Horror of the Svengoolies", "d:Description": "Svengoolie and Son of Svengoolie Late Night Horror Host website featuring information, merchandise and sounds.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Horror/Svengoolie", "url": "http://usersites.horrorfind.com/home/horror/svengoolie/"} +{"d:Title": "Yahoo! Groups: Elyse Luray Fan Club", "d:Description": "Message board for the presenter of shows including History Detectives and Antiques Roadshow. [Yahoo! registration required.]", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Luray,_Elyse", "url": "http://groups.yahoo.com/group/elyse_luray_fan_club/"} +{"d:Title": "IMDb: Ed McMahon", "d:Description": "Profile, photographs, news articles, television and film credits, and other related career information.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/McMahon,_Ed", "url": "http://www.imdb.com/name/nm0573012/"} +{"d:Title": "Broadcast Pioneers of Philadelphia: Ed McMahon", "d:Description": "Detailed profile of his private and professional life, as well as photo gallery.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/McMahon,_Ed", "url": "http://www.broadcastpioneers.com/mcmahon.html"} +{"d:Title": "Sonya Saul", "d:Description": "Filmography, awards, biography, and agent.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Saul,_Sonya", "url": "http://www.imdb.com/name/nm1394853/"} +{"d:Title": "RyanSeacrest.com", "d:Description": "News about Ryan Seacrest, breaking news from Hollywood, photo gallery, audio from Ryan's radio show, video clips, style and downloads.", "priority": "1", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Seacrest,_Ryan", "url": "http://www.ryanseacrest.com/"} +{"d:Title": "Ryan Seacrest Fan Zone", "d:Description": "A place for fans to find news, views, gossip, and video. Unofficial and unaffiliated.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Seacrest,_Ryan", "url": "http://www.ryanseacrest.co.uk/"} +{"d:Title": "Wikipedia: Ryan Seacrest", "d:Description": "Comprehensive page about Ryan Seacrest covering personal background and career history, including a list of television, radio and film appearances.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Seacrest,_Ryan", "url": "http://en.wikipedia.org/wiki/Ryan_Seacrest"} +{"d:Title": "YouTube: Ryan Seacrest", "d:Description": "Exclusive behind the scenes footage of Ryan Seacrest on American Idol and on air. Productions shows including Momma's Boys and Bromance.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Seacrest,_Ryan", "url": "http://www.youtube.com/ryanseacrest"} +{"d:Title": "Twitter: Ryan Seacrest", "d:Description": "Ryan and his producers post updates with breaking news from Hollywood and Ryan tweets during his radio show and American Idol commercial breaks.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Seacrest,_Ryan", "url": "http://twitter.com/ryanseacrest"} +{"d:Title": "Facebook: Ryan Seacrest", "d:Description": "Official Facebook page for Ryan Seacrest containing a forum, contact details and photo albums.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Seacrest,_Ryan", "url": "http://www.facebook.com/ryanseacrest"} +{"d:Title": "The Amanda Walsh Fansite", "d:Description": "Includes news, biography, photographs, articles from newspapers, a poll, and forums.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Walsh,_Amanda", "url": "http://amandawalshfan.tripod.com/main.htm"} +{"d:Title": "Yahoo! Groups", "d:Description": "Club for fans and news.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/Walsh,_Amanda", "url": "http://tv.groups.yahoo.com/group/amandawalsh/"} +{"d:Title": "IMDb: Vanna White", "d:Description": "Biography and filmography.", "topic": "Top/Arts/Television/People/Announcers_and_Hosts/White,_Vanna", "url": "http://www.imdb.com/name/nm0001846/"} +{"d:Title": "IMDb: Chuck Barris", "d:Description": "Filmography, awards, biography, and agent.", "topic": "Top/Arts/Television/People/Producers/Barris,_Chuck", "url": "http://www.imdb.com/name/nm0057567/"} +{"d:Title": "IMDb - Steven Bochco", "d:Description": "Producer and writer filmography, biography, and a photo.", "topic": "Top/Arts/Television/People/Producers/Bochco,_Steve", "url": "http://www.imdb.com/name/nm0004766/"} +{"d:Title": "IMDb: David E. Kelley", "d:Description": "Filmography, awards, biography.", "topic": "Top/Arts/Television/People/Producers/Kelley,_David_E.", "url": "http://www.imdb.com/name/nm0005082/"} +{"d:Title": "Rod Serling Memorial Foundation", "d:Description": "Photos, links, news, and biographical information.", "topic": "Top/Arts/Television/People/Producers/Serling,_Rod", "url": "http://www.rodserling.com/"} +{"d:Title": "The Rod Serling Resource Site", "d:Description": "Biography, filmography, organizations information, and primary sources about Sterling.", "topic": "Top/Arts/Television/People/Producers/Serling,_Rod", "url": "http://www.danville.lib.il.us/Pathfinder/serling.html"} +{"d:Title": "Rod Serling's Night Gallery", "d:Description": "Photos, episode listing, trivia and an email group.", "topic": "Top/Arts/Television/People/Producers/Serling,_Rod", "url": "http://www.nightgallery.net/"} +{"d:Title": "IMDb - Rod Serling", "d:Description": "Filmography and biography for the person.", "topic": "Top/Arts/Television/People/Producers/Serling,_Rod", "url": "http://www.imdb.com/name/nm0785245/"} +{"d:Title": "IMDb: Dick Wolf (I)", "d:Description": "Filmography, awards, biography, and agent.", "topic": "Top/Arts/Television/People/Producers/Wolf,_Dick", "url": "http://www.imdb.com/name/nm0937725/"} +{"d:Title": "Dick Wolf", "d:Description": "Article discussing the early years of Law and Order and the role of his working in branding at Procter and Gamble in the development of the show.", "topic": "Top/Arts/Television/People/Producers/Wolf,_Dick", "url": "http://www.rotten.com/library/bio/misc/dick-wolf/"} +{"d:Title": "TV.com: Dick Wolf", "d:Description": "Biography and credits.", "topic": "Top/Arts/Television/People/Producers/Wolf,_Dick", "url": "http://www.tv.com/people/dick-wolf/"} +{"d:Title": "Tom and Nev", "d:Description": "Tom Jamieson and Nev Fountain are writers for Radio 4's Dead Ringers, 2DTV, Have I Got News for You and Private Eye. Offers a behind the scenes look at the writing process and includes scripts and audio clips.", "topic": "Top/Arts/Television/People/Writers", "url": "http://www.tomandnev.co.uk/"} +{"d:Title": "IMDb: Coral Drouyn", "d:Description": "Filmography, awards, biography, agent, and discussion board.", "topic": "Top/Arts/Television/People/Writers/Drouyn,_Coral", "url": "http://www.imdb.com/name/nm0238389/"} +{"d:Title": "IMDb: Tracey Forbes", "d:Description": "Filmography and links to articles.", "topic": "Top/Arts/Television/People/Writers/Forbes,_Tracey", "url": "http://www.imdb.com/name/nm0285414/"} +{"d:Title": "Twitter: Tracey Forbes", "d:Description": "Television writer's official account on microblogging website.", "topic": "Top/Arts/Television/People/Writers/Forbes,_Tracey", "url": "https://twitter.com/tracey4bs"} +{"d:Title": "TV.com: Tracey Forbes", "d:Description": "List of crew credits, with area for biography and news for this screenwriter.", "topic": "Top/Arts/Television/People/Writers/Forbes,_Tracey", "url": "http://www.tv.com/people/tracey-forbes/"} +{"d:Title": "Janice Hally's Home Page", "d:Description": "Includes the writers biography, background, and latest news about work.", "topic": "Top/Arts/Television/People/Writers/Hally,_Janice", "url": "http://www.janicehally.com/"} +{"d:Title": "IMDb: Gillian Horvath", "d:Description": "Filmography, awards, biography, agent, discussions, photos, news articles, fan sites", "topic": "Top/Arts/Television/People/Writers/Horvath,_Gillian", "url": "http://www.imdb.com/name/nm0395741/"} +{"d:Title": "Athena TV", "d:Description": "Personal blog.", "topic": "Top/Arts/Television/People/Writers/Horvath,_Gillian", "url": "http://athenatv.blogspot.com/"} +{"d:Title": "Wikipedia: Terry Nation", "d:Description": "Presents a biography, links and career information.", "topic": "Top/Arts/Television/People/Writers/Nation,_Terry", "url": "http://en.wikipedia.org/wiki/Terry_Nation"} +{"d:Title": "IMDb: Terry Nation", "d:Description": "Provides a complete filmography, with biographical details and links.", "topic": "Top/Arts/Television/People/Writers/Nation,_Terry", "url": "http://www.imdb.com/name/nm0622334/"} +{"d:Title": "Find A Grave: Terry Nation", "d:Description": "Black and white photograph.", "topic": "Top/Arts/Television/People/Writers/Nation,_Terry", "url": "http://www.findagrave.com/cgi-bin/fg.cgi?page=pis&GRid=20750&PIgrid=20750&PIpi=147098&pt=Terry+Nation&"} +{"d:Title": "Jump The Shark", "d:Description": "Interactive site chronicling the moments when TV shows go downhill. Browse categories such as \"Same Character, Different Actor,\" \"Birth, Death,\" and other thematic topics. Subscribe to the Shark Bait newsletter, and contribute to reader polls.", "topic": "Top/Arts/Television/Programs", "url": "http://www.tvguide.com/jumptheshark"} +{"d:Title": "Star Seeker TV shows", "d:Description": "Large, searchable database of mostly older shows, with plot summaries and links to actors.", "topic": "Top/Arts/Television/Programs", "url": "http://www.starseeker.com/shows.htm"} +{"d:Title": "Television Without Pity", "d:Description": "Commentary, recaps of episodes, and forums related to a wide variety of shows.", "topic": "Top/Arts/Television/Programs", "url": "http://www.televisionwithoutpity.com/"} +{"d:Title": "The TV MegaSite", "d:Description": "Summaries, reviews, transcripts, episode guides, and tape trading for numerous daytime and prime time shows.", "topic": "Top/Arts/Television/Programs", "url": "http://tvmegasite.net/"} +{"d:Title": "Memorable TV", "d:Description": "Brief overviews of a variety of UK and U.S. shows, with cast lists. Includes video finder.", "topic": "Top/Arts/Television/Programs", "url": "http://www.memorabletv.com/"} +{"d:Title": "Sci-Fi&Telefantasy Databanks", "d:Description": "Covers series including: Doctor Who, The Avengers, The X-Files, Star Trek, Tomorrow People, Buffy the Vampire Slayer, and Angel.", "topic": "Top/Arts/Television/Programs", "url": "http://www.clivebanks.co.uk/"} +{"d:Title": "SolitaryPhoenix", "d:Description": "Variety of information on shows including Angel, Charmed, Buffy the Vampire Slayer, The Lost World, and Witchblade. Also includes section on witches and witchcraft in entertainment.", "topic": "Top/Arts/Television/Programs", "url": "http://solitaryphoenix.com/"} +{"d:Title": "A Beautiful Haze", "d:Description": "News and fan fiction based on Angel, Buffy, Charmed, and Everwood.", "topic": "Top/Arts/Television/Programs", "url": "http://www.angelfire.com/vamp/abeautifulhaze/"} +{"d:Title": "Angel Bacchae's Screencaptures", "d:Description": "Images from Charmed, Dark Angel, Lexx and Xena - Warrior Princess. Includes tips and tricks for making captures.", "topic": "Top/Arts/Television/Programs", "url": "http://www.angelbacchae.com/"} +{"d:Title": "TV Forge", "d:Description": "Archive of episode guides, analysis, quotes, and news.", "topic": "Top/Arts/Television/Programs", "url": "http://www.tvforge.com/"} +{"d:Title": "Longest Running TV Shows By Number of Episodes", "d:Description": "List of shows with more than 160 episodes.", "topic": "Top/Arts/Television/Programs", "url": "http://www.angelfire.com/trek/proutsy/"} +{"d:Title": "Rate A Show", "d:Description": "Visitors can vote for their favorite shows and networks. Organized by time slots.", "topic": "Top/Arts/Television/Programs", "url": "http://www.rateashow.com/"} +{"d:Title": "TVGeekSpeak.com", "d:Description": "Daily TV news, gossip, and commentary on a variety of programming. Features columns, recaps, trivia, and polls.", "topic": "Top/Arts/Television/Programs", "url": "http://tvgeekspeak.blogspot.com/"} +{"d:Title": "TV Series Finale", "d:Description": "Show endings, revivals, and reunions. Includes news, articles, petitions, polls, and videos.", "topic": "Top/Arts/Television/Programs", "url": "http://tvseriesfinale.com/"} +{"d:Title": "The All-New Anorak Zone", "d:Description": "Episode and character information for a variety of shows, including science fiction, children's, and comedy.", "topic": "Top/Arts/Television/Programs", "url": "http://www.anorakzone.com/"} +{"d:Title": "TV Shrine", "d:Description": "Photos, sounds, episode reviews, and downloads for Emergency!, The Brady Bunch, The X Files, and Buffy the Vampire Slayer.", "topic": "Top/Arts/Television/Programs", "url": "http://tvshrine.com/"} +{"d:Title": "SpoilerFix.com", "d:Description": "Spoilers, rumors, and information about upcoming episodes of shows including Alias, Charmed, JAG, Tru Calling, and Smallville.", "topic": "Top/Arts/Television/Programs", "url": "http://spoilerfix.blogspot.com/"} +{"d:Title": "The A-Team On The Web", "d:Description": "Episode guide, movie and series information, FAQ, sounds, links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.xs4all.nl/~jmm/a-team/"} +{"d:Title": "Murdock's Howlin Mad A-Team Page", "d:Description": "Polls, cast information, sounds and an episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.angelfire.com/pa/insaneateam/"} +{"d:Title": "GMC VAN's A-Team Extravaganza", "d:Description": "Site dedicated to the A-Team van. Contains fan fiction, Hannibal's plans, quotes, van statistics and site links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://members.tripod.com/~GMC_VAN/index.html"} +{"d:Title": "The A-Team Game", "d:Description": "A Shockwave game based on the hit TV show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.angelfire.com/tv/ateamgame/"} +{"d:Title": "Grudge-Match.com: A-Team vs. MacGyver", "d:Description": "80's masters of construction and destruction duke it out using whatever weapons they have time enough to assemble. [Humor.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.grudge-match.com/History/ateam-macgyver.shtml"} +{"d:Title": "The Number One A-Team Page", "d:Description": "Sounds, episode guide, music, games, biographies, downloads and pictures.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://phily_uk.tripod.com/"} +{"d:Title": "The A-Team Fan Society", "d:Description": "A zine for fans from all over the world. Site includes membership information, episode guide, archives, FAQ, actor information and news.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.a-team-fansociety.de/"} +{"d:Title": "A Good Kind of Crazy", "d:Description": "Fan fiction, episode reviews, transcripts, and gallery of screen captures.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.users.totalise.co.uk/~leiafee/ateam/ateam.htm"} +{"d:Title": "The Fool Files", "d:Description": "Information and pictures about the A-Team show, members and van.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.orangecup.com/fool/"} +{"d:Title": "A-Team Canon", "d:Description": "All new updated A-Team FAQ, information for fan fiction writers and fans on details of the characters lives, settings, bad guys, clients, and the Team's military history.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://ateamcanon.livejournal.com/"} +{"d:Title": "A-Team Resource Page", "d:Description": "Offers all the latest news, information, downloads, and a discussion forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The", "url": "http://www.ateamresource.info/"} +{"d:Title": "Yahoo! Groups: H.M. Murdock Fans", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/HMMurdockFans/"} +{"d:Title": "Yahoo! Groups: The A-Team 83", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/TheA-Team83/"} +{"d:Title": "Yahoo! Groups: Virtual Asylum", "d:Description": "A chat group for discussing the A-Team. Fan fiction welcome. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/VA/"} +{"d:Title": "The A-Team Forum", "d:Description": "Fan run forum about the TV show and movie. Discuss each season.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Chats_and_Forums", "url": "http://theateamforum.proboards.com/index.cgi"} +{"d:Title": "A-Team Fan Fiction", "d:Description": "An archive of completed and continually updated stories, writing competitions, and awards.", "priority": "1", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://www.ateamfanfic.org/"} +{"d:Title": "Ivanova's A-Team Fan Fiction", "d:Description": "Five stories by Ivanova.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://www.angelfire.com/tv/ivanova/at.html"} +{"d:Title": "A-Team", "d:Description": "Six fan fiction stories, and links to other fan sites.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://salmorris.20m.com/nubiangeek/Donna2/a-team.htm"} +{"d:Title": "Yahoo! Groups: Where's That A-Team Fic?", "d:Description": "Get help finding certain A-Team stories and fiction that fits certain requirements. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://groups.yahoo.com/groups/WheresThatAteamFic"} +{"d:Title": "Yahoo! Groups: H.M. Murdock Fan Fiction", "d:Description": "A list for posting fan fiction about the A-Team character of Murdock. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://tv.groups.yahoo.com/group/HMMurdockFanFiction/"} +{"d:Title": "Shadowwalker's A-Team Fanfic", "d:Description": "Contains all my A-Team stories, long and very short (written as Shadowwalker213).", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://home.mchsi.com/%7Eostarella/home.html"} +{"d:Title": "The A-Team Story Board", "d:Description": "For anyone who loves A-Team fan fiction and wants to share or comment on stories. Welcomes authors of all levels of ability who want to receive honest, substantive comments and any commentators who want to give them.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://tv.groups.yahoo.com/group/ATeamSB-2/"} +{"d:Title": "FanFiction.net: A-Team", "d:Description": "Large collection of over 1000 fan fictions about the A-Team TV series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Fan_Fiction", "url": "http://www.fanfiction.net/tv/A-Team/"} +{"d:Title": "The Dutch A-Team Photo Archive", "d:Description": "A collection of images featuring all members of the team.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Image_Galleries", "url": "http://home.kpn.nl/marte163/"} +{"d:Title": "EpGuides.com: The A-Team", "d:Description": "A guide listing the title and air date for each episode.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Resources", "url": "http://epguides.com/ATeam/"} +{"d:Title": "IMDb: The A-Team", "d:Description": "Show synopsis, cast biographies, crew details, user comments, trivia, goofs, production information, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/A-Team,_The/Resources", "url": "http://www.imdb.com/title/tt0084967/"} +{"d:Title": "Yahoo! Groups: Adventure Inc Fiction", "d:Description": "Show and character fan-fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Adventure,_Inc.", "url": "http://groups.yahoo.com/group/AdventureIncFic/"} +{"d:Title": "IMDb: Adventure Inc.", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Adventure,_Inc.", "url": "http://www.imdb.com/title/tt0324681/"} +{"d:Title": "IMDb: Adventures in Paradise", "d:Description": "Cast biographies, crew details, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Adventures_in_Paradise", "url": "http://www.imdb.com/title/tt0052440/"} +{"d:Title": "IMDb: Adventures in Rainbow Country", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Adventures_in_Rainbow_Country", "url": "http://www.imdb.com/title/tt0063861/"} +{"d:Title": "Airwolf Themes", "d:Description": "Official music soundtrack for the Airwolf TV series. Includes episode guide and sound clips.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Airwolf", "url": "http://www.airwolfthemes.com/"} +{"d:Title": "Yahoo! Groups: Airwolf", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Airwolf", "url": "http://tv.groups.yahoo.com/group/airwolf/"} +{"d:Title": "IMDb: Airwolf (1987)", "d:Description": "Cast, crew, and production information, as well as user comments for the follow-up series that featured a new cast and revamped story-line.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Airwolf", "url": "http://www.imdb.com/title/tt0166030/"} +{"d:Title": "IMDb: Airwolf", "d:Description": "Cast, crew, and production information, as well as viewer comments, for the original series starring Jan Michael Vincent and Ernest Borgnine.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Airwolf", "url": "http://www.imdb.com/title/tt0086862/"} +{"d:Title": "TV.com: Airwolf", "d:Description": "Show overview, cast details, user reviews, episode guide, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Airwolf", "url": "http://www.tv.com/shows/airwolf/"} +{"d:Title": "IMDb: The Amazing Spider-Man", "d:Description": "Cast biographies, crew details, user reviews, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Amazing_Spider-Man,_The", "url": "http://www.imdb.com/title/tt0076975/"} +{"d:Title": "Original Avengers", "d:Description": "Arts and Entertainment Television are releasing the videos of the original series in the U.S.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://www.originalavengers.com/home.html"} +{"d:Title": "The Authorised Guide to The New Avengers", "d:Description": "Episode guide, show overview, images, news, and postcards.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://www.mark-1.co.uk/NewAvengers/"} +{"d:Title": "The Avengers' Escape In Time Site", "d:Description": "Features episode guide, character information, quotes, and question of the month.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://www.angelfire.com/ok3/mrspeel731/index.html"} +{"d:Title": "Westray.org Avengers Web Page", "d:Description": "Images, art, and cast biographies.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://calwestray.tripod.com/avengers.htm"} +{"d:Title": "Mrs Peel : We're Needed!", "d:Description": "Includes information, pictures, and cast information. Fashions, Cars, Stars and all the Emma Peel episodes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://www.dissolute.com.au/avweb/"} +{"d:Title": "Police Surgeon", "d:Description": "An obscure little site devoted to an obscure little 1960 crime drama from Great Britain. Predecesor to The Avengers.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://theavengers.tv/police"} +{"d:Title": "IMDb: The Avengers", "d:Description": "Cast bios, user comments, crew details, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://www.imdb.com/title/tt0054518/"} +{"d:Title": "Yahoo! Groups: The Avengers", "d:Description": "Show news and role playing game. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://games.groups.yahoo.com/group/the_avengers_tv_show_rpg/"} +{"d:Title": "The Avengers - Noon: Doomsday", "d:Description": "Covers some of the lesser-trodden areas, such as Avengers press material and merchandise.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://deadduck.theavengers.tv/"} +{"d:Title": "Wikipedia: The Avengers (TV series)", "d:Description": "Open content encyclopedia article covers premise, production team, episodes, and spinoffs.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://en.wikipedia.org/wiki/The_Avengers_(TV_series)"} +{"d:Title": "The Avengers Mailing List", "d:Description": "Subscribe, contribute, and discuss.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://suburbia.com.au/mailman/listinfo/avengers"} +{"d:Title": "The Avengers on the Radio", "d:Description": "Covers Sonovision's radio adaptation of The Avengers, broadcast in South Africa in the 1970s. Produced in association with the radio Steed himself, Donald Monat.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://aor.theavengers.tv/index.htm"} +{"d:Title": "Two Such People", "d:Description": "Fan fiction in PDF format.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://thethunderchild.com/TwoSuchPeople/"} +{"d:Title": "Tv.com: The Avengers", "d:Description": "A reference guide to The Avengers series, with episode guide, cast and crew information, reviews and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Avengers,_The", "url": "http://www.tv.com/shows/the-avengers/"} +{"d:Title": "Batusi Fever", "d:Description": "A humorous, unofficial site for the dance that Adam West can do, but John Travolta can't.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Batman", "url": "http://www.arune.com/batusi/index.html"} +{"d:Title": "1966 Batman Webpage!", "d:Description": "Devoted to the 1966 television show starring Adam West. Show history, episode guide, heroes and villains guide, and a bulletin board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Batman", "url": "http://www.angelfire.com/tv2/batman66/"} +{"d:Title": "The Original 1966 Batmobile", "d:Description": "Car history, specifications, photographs, replicas, models, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Batman", "url": "http://www.1966batmobile.com/"} +{"d:Title": "IMDb: Batman", "d:Description": "Show synopsis, cast biographies, crew details, trivia, goofs, soundtrack and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Batman", "url": "http://www.imdb.com/title/tt0059968/"} +{"d:Title": "The Bat Pages", "d:Description": "Articles, video, show history, cast bios, photo gallery, and newsletter.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Batman", "url": "http://www.batfriend.com/"} +{"d:Title": "The Batman Tribute Pages", "d:Description": "Includes quotes, villains, tour of Gotham City, episode guide, friends and allies, true identities, traps and escapes, and fan letters.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Batman", "url": "http://adamwest.tripod.com/"} +{"d:Title": "Gotham City Ring", "d:Description": "Directory of show related sites.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Batman", "url": "http://www.webring.org/hub?ring=batman1966"} +{"d:Title": "Baywatch: Life on the beach", "d:Description": "History of the show, index of the episodes, photos and a chat room for fans.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Baywatch", "url": "http://www.iol.ie/~wayneh/baywatch/"} +{"d:Title": "IMDb: Baywatch", "d:Description": "Cast and crew information, reviews regarding the popular TV series of the 90s.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Baywatch", "url": "http://www.imdb.com/title/tt0096542/"} +{"d:Title": "WebRing: The Official Baywatch Web-Ring", "d:Description": "Provides links to sites with series-related content.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Baywatch", "url": "http://www.webring.org/hub?ring=bay"} +{"d:Title": "Gotham Clock Tower", "d:Description": "News, spoilers, cast list, photo gallery, and music guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Birds_of_Prey", "url": "http://www.gothamclocktower.com/"} +{"d:Title": "Birds of Prey Archive", "d:Description": "Includes trailers, image galleries, cast biographies, episode guide, and virtual seasons.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Birds_of_Prey", "url": "http://www.tonyznet.com/birdsofprey.html"} +{"d:Title": "Yahoo! Groups: Birds of Prey TV", "d:Description": "Discussion group for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Birds_of_Prey", "url": "http://tv.groups.yahoo.com/group/birdsofpreytv/"} +{"d:Title": "Television Without Pity: Birds of Prey", "d:Description": "Show and episode commentary.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Birds_of_Prey", "url": "http://www.televisionwithoutpity.com/show/birds-of-prey/"} +{"d:Title": "IMDb: Birds of Prey", "d:Description": "Cast, crew, and production information, as well as quotes, trivia, goofs, and user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Birds_of_Prey", "url": "http://www.imdb.com/title/tt0312098/"} +{"d:Title": "Birds Of Prey", "d:Description": "Article about Rachel Skarsten, who portrays Black Canary, and how hockey helped her land the part.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Birds_of_Prey", "url": "http://jam.canoe.com/Television/TV_Shows/B/Birds_Of_Prey/2002/10/09/734958.html"} +{"d:Title": "IMDb: B.J. and the Bear", "d:Description": "Cast and crew information, user comments and reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/BJ_and_the_Bear", "url": "http://www.imdb.com/title/tt0078564/"} +{"d:Title": "Yahoo! Groups: BJ and the Bear Fans", "d:Description": "Show, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/BJ_and_the_Bear", "url": "http://tv.groups.yahoo.com/group/bjandthebearfans/"} +{"d:Title": "TV.com: B.J. and the Bear", "d:Description": "Show overview, episode guide, cast information, and user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/BJ_and_the_Bear", "url": "http://www.tv.com/shows/bj-and-the-bear/"} +{"d:Title": "Black Sheep Squadron Episode Guide", "d:Description": "Episode guide and cast list.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Black_Sheep_Squadron", "url": "http://www.jodavidsmeyer.com/combat/bookstore/blacksheeptv.html"} +{"d:Title": "Baa Baa Black Sheep", "d:Description": "Show overview and episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Black_Sheep_Squadron", "url": "http://www.acepilots.com/misc_baa_baa.html"} +{"d:Title": "IMDb: Baa Baa Black Sheep", "d:Description": "Cast, crew, and production information, as well as user comments, trivia, goofs, and quotes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Black_Sheep_Squadron", "url": "http://www.imdb.com/title/tt0073961/"} +{"d:Title": "TV.com: Black Sheep Squadron", "d:Description": "Show overview, episode guide, cast and crew information, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Black_Sheep_Squadron", "url": "http://www.tv.com/shows/black-sheep-squadron/"} +{"d:Title": "CHiPs Online", "d:Description": "Includes forums, FAQ, episode guide, caption contest, video and audio clips, and show overview.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/CHiPs", "url": "http://www.chips-tv.com/"} +{"d:Title": "Yahoo! Groups: CHiPs", "d:Description": "Show, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/CHiPs", "url": "http://tv.groups.yahoo.com/group/chips/"} +{"d:Title": "CHiPs", "d:Description": "Cast information, episode guide, screen captures, fan fiction, gallery, and downloads.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/CHiPs", "url": "http://www.chipseurope.info/"} +{"d:Title": "IMDb: CHiPs", "d:Description": "Cast, crew, and production information, as well as user comments and trivia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/CHiPs", "url": "http://www.imdb.com/title/tt0075488/"} +{"d:Title": "IMDb: Chuck", "d:Description": "Show synopsis, cast details, production information, photograph gallery, episode list, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Chuck", "url": "http://www.imdb.com/title/tt0934814/"} +{"d:Title": "NBC: Chuck", "d:Description": "Official network site. Show overview, cast and character details, episode guide, photograph galleries, episode videos, message board, and games.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Chuck", "url": "http://www.nbc.com/Chuck/"} +{"d:Title": "New York Times: Nerds After Our Hearts, and Maybe Even Our Respect", "d:Description": "Alessandra Stanley of the Times cites a likable cast, and relatable characters in her positive review.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Chuck", "url": "http://www.nytimes.com/2007/09/24/arts/television/24stan.html"} +{"d:Title": "Earl Parker's Combat! Photos", "d:Description": "Behind the scenes slides taken by actor and stuntman Earl Parker during the Combat series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Combat", "url": "http://www.steveandmarta.com/graveyards/parker_photos.htm"} +{"d:Title": "Yahoo! Groups: Combat Fan", "d:Description": "Mailing list for fans to discuss the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Combat", "url": "http://tv.groups.yahoo.com/group/combatfan/"} +{"d:Title": "Combat! Fan Site", "d:Description": "Detailed cast and character information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Combat", "url": "http://www.jodavidsmeyer.com/combat/personnel/cast.html"} +{"d:Title": "IMDb: Combat", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Combat", "url": "http://www.imdb.com/title/tt0055666/"} +{"d:Title": "TV.com: Combat!", "d:Description": "Show overview, episode guide, cast information, and user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Combat", "url": "http://www.tv.com/shows/combat/"} +{"d:Title": "IMDb: Drive", "d:Description": "Cast biographies, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Drive", "url": "http://imdb.com/title/tt0770521/"} +{"d:Title": "Cooter's Place", "d:Description": "Dukes of Hazzard convention information and pictures, as well as memorabilia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.cootersplace.com/"} +{"d:Title": "Rosco: In His Own Words", "d:Description": "Official page of James Best, better known as Rosco P. Coltrane.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.jamesbest.com/"} +{"d:Title": "John's Dukes of Hazzard Site", "d:Description": "Sounds, pictures, episode list, news, cartoon information, and downloads.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.angelfire.com/tv/dukes01/"} +{"d:Title": "Duane's Dukes of Hazzard Page", "d:Description": "Images from the show, cast and character information, theme song lyrics, fan club information, and memorial to departed cast members.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.angelfire.com/pa3/DukesofHazzard/"} +{"d:Title": "The Dukes of Hazzard by Justin", "d:Description": "Pictures, sounds, episode guides, and games.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.thedukesofhazzard.net/"} +{"d:Title": "HazzardNet.com: A Dukes of Hazzard fan site", "d:Description": "Character/actor biographies, sounds, images, message boards, chat, and fan fiction.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.hazzardnet.com/"} +{"d:Title": "Dave's Dukes Of Hazzard Homepage", "d:Description": "Cast information, forums, memorabilia, and replica general lee photographs.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.dukesonline.com/"} +{"d:Title": "The Italian Dukes of Hazzard Website", "d:Description": "News, picture galleries from the series and the reunion movie, and audio and video clips.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://digilander.libero.it/italiandoh/"} +{"d:Title": "General Lee - 1969 Dodge Charger R/T", "d:Description": "Find technical specifications of this classic car, pictures and its background in the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.classictvcars.com/69-charger.php"} +{"d:Title": "Ted Blake's The Dukes of Hazzard Page", "d:Description": "An academic analysis of the Southern themes present in the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://xroads.virginia.edu/~UG97/blake/duke1.html"} +{"d:Title": "Wikipedia: The Dukes of Hazzard", "d:Description": "Show synopsis, character descriptions, episode list, related spinoffs, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://en.wikipedia.org/wiki/The_Dukes_of_Hazzard"} +{"d:Title": "Yahoo! Groups: The Dukes of Hazzard", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://tv.groups.yahoo.com/group/dukes/"} +{"d:Title": "IMDb: The Dukes of Hazzard", "d:Description": "Cast info, crew details, user reviews, quotes, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.imdb.com/title/tt0078607/"} +{"d:Title": "The Dukes of Hazzard Webring", "d:Description": "Directory of sites with series-related content.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Dukes_of_Hazzard", "url": "http://www.webring.org/hub?ring=dukes"} +{"d:Title": "IMDb: Electra Woman and Dyna Girl", "d:Description": "Cast biographies, crew details, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Electra_Woman_and_Dyna_Girl", "url": "http://www.imdb.com/title/tt0147762/"} +{"d:Title": "The Girl from U.N.C.L.E.", "d:Description": "Photographs, cast, crew, and episode information, as well as message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Girl_from_U.N.C.L.E.,_The", "url": "http://stefanie-powers.tripod.com/Girl-from-UNCLE/"} +{"d:Title": "Background and History: The Girl from U.N.C.L.E.", "d:Description": "Background information and analysis of the spin-off and short lived series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Girl_from_U.N.C.L.E.,_The", "url": "http://www.manfromuncle.org/kcgfu.htm"} +{"d:Title": "IMDb: The Girl from U.N.C.L.E.", "d:Description": "Show overview, cast biographies, crew details, production information, and user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Girl_from_U.N.C.L.E.,_The", "url": "http://www.imdb.com/title/tt0059988/"} +{"d:Title": "Yahoo! Groups: The Greatest American Hero", "d:Description": "Discussion forum for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Greatest_American_Hero,_The", "url": "http://tv.groups.yahoo.com/group/TheGreatestAmericanHero/"} +{"d:Title": "IMDb: The Greatest American Hero", "d:Description": "Cast, crew, and production information, as well as user comments, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Greatest_American_Hero,_The", "url": "http://www.imdb.com/title/tt0081871/"} +{"d:Title": "Yahoo! Groups: The Green Hornet", "d:Description": "Show discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Green_Hornet,_The", "url": "http://groups.yahoo.com/group/The-Green-Hornet/"} +{"d:Title": "IMDb: Green Hornet, The", "d:Description": "Cast, crew, and production information, as well as viewer comments and photo gallery.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Green_Hornet,_The", "url": "http://www.imdb.com/title/tt0059991/"} +{"d:Title": "The Black Beauty", "d:Description": "History, discussion, and photographs of cars from the TV series The Green Hornet, as well as replicas.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Green_Hornet,_The", "url": "http://www.theblackbeauty.com/"} +{"d:Title": "Epguides: Hardcastle and McCormick", "d:Description": "Episode list including title and original air date.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Hardcastle_&_McCormick", "url": "http://epguides.com/HardcastleandMcCormick/"} +{"d:Title": "IMDb: Hardcastle and McCormick", "d:Description": "Show overview, cast biographies, crew details, production information, and user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Hardcastle_&_McCormick", "url": "http://www.imdb.com/title/tt0085029/"} +{"d:Title": "Yahoo! Groups: Hardcastle&McCormick", "d:Description": "Show, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Hardcastle_&_McCormick", "url": "http://tv.groups.yahoo.com/group/GullsWay/"} +{"d:Title": "Wikipedia: In the Heat of the Night", "d:Description": "Show synopsis, cast bios, character info, episode list, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/In_the_Heat_of_the_Night", "url": "http://en.wikipedia.org/wiki/In_the_Heat_of_the_Night_(TV)"} +{"d:Title": "IMDb: In the Heat of the Night", "d:Description": "Show synopsis, cast biographies, crew details, production information, user comments, and trivia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/In_the_Heat_of_the_Night", "url": "http://www.imdb.com/title/tt0094484/"} +{"d:Title": "I Spy", "d:Description": "Detailed episode guide, cast profiles, and image gallery. Also offers information about related films, books, and comics.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/I_Spy", "url": "http://ispy65.tripod.com/"} +{"d:Title": "IMDb: I Spy", "d:Description": "Show synopsis, cast biographies, crew details, production information, user comments, and quotes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/I_Spy", "url": "http://www.imdb.com/title/tt0058816/"} +{"d:Title": "TV.com: I Spy", "d:Description": "Show summary, episode guide, cast biographies, reviews, and forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/I_Spy", "url": "http://www.tv.com/shows/i-spy/"} +{"d:Title": "Jack of all Trades Oneliner site", "d:Description": "Dedicated to the main character's trademark one-liners. Includes quotes, wallpapers, audio clips, and polls.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Jack_of_All_Trades", "url": "http://jackoneliner.tripod.com/"} +{"d:Title": "Governor Croque's Study", "d:Description": "Fan fiction, photo gallery, fan listing, and convention review.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Jack_of_All_Trades", "url": "http://www.jordanna.net/librarie/jack"} +{"d:Title": "Yahoo! Groups: Jack of All Trades", "d:Description": "Show, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Jack_of_All_Trades", "url": "http://tv.groups.yahoo.com/group/jack_of_all_trades/"} +{"d:Title": "IMDb: Jack of All Trades", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Jack_of_All_Trades", "url": "http://www.imdb.com/title/tt0220906/"} +{"d:Title": "IMDb: Johnny Zero", "d:Description": "Cast biographies, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Johnny_Zero", "url": "http://www.imdb.com/title/tt0412158/"} +{"d:Title": "Yahoo! Groups: LargoWinch", "d:Description": "Show, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Largo_Winch", "url": "http://tv.groups.yahoo.com/group/LargoWinch/"} +{"d:Title": "IMDb: Largo Winch", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Largo_Winch", "url": "http://www.imdb.com/title/tt0224902/"} +{"d:Title": "TV.com: Largo Winch", "d:Description": "Show synopsis, episode guide, and cast biographies.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Largo_Winch", "url": "http://www.tv.com/shows/largo-winch/"} +{"d:Title": "WWWF Grudge Match: MacGyver vs. A-Team", "d:Description": "A humorous look at the 80's masters of construction and destruction.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver", "url": "http://www.grudge-match.com/History/ateam-macgyver.shtml"} +{"d:Title": "Live and Learn", "d:Description": "Show synopsis, episode guide, quotes, lessons learned, great moments, photo gallery, show frequently asked questions, and trivia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver", "url": "http://www.rusted-crush.com/macgyver"} +{"d:Title": "MacGyver News", "d:Description": "News about the show and MacGyver related things, such as reviews of DVDs, books, and DIY projects.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver", "url": "http://macgyverbook.blogspot.com/atom.xml"} +{"d:Title": "IMDb: MacGyver", "d:Description": "Cast biographies, crew details, user reviews, quotes, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver", "url": "http://www.imdb.com/title/tt0088559/"} +{"d:Title": "Yahoo! Groups: MacGyver Fan Club", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver", "url": "http://tv.groups.yahoo.com/group/macgyverfanclub/"} +{"d:Title": "Wikipedia: MacGyver", "d:Description": "Show synopsis, cast biographies, character information, vehicle guide, social influence, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver", "url": "http://en.wikipedia.org/wiki/Macgyver"} +{"d:Title": "MacGyver Online", "d:Description": "Show timeline, frequently asked questions, character guide, cast biographies, episode guide, nitpicks, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver", "url": "http://www.macgyveronline.org/"} +{"d:Title": "Richard Dean Anderson Fan Fiction Sources", "d:Description": "Links to fan fiction based on the work of actor Richard Dean Anderson (MacGyver, Legend, and Stargate Sg-1). Includes archives, mailing lists, and fanzines.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/MacGyver/Fan_Fiction", "url": "http://eblack.tripod.com/rdafic.htm"} +{"d:Title": "IMDb: Manimal", "d:Description": "Cast information, user reviews, plot summary and links for the short lived TV series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Manimal", "url": "http://www.imdb.com/title/tt0085051/"} +{"d:Title": "Yahoo! Groups: Manimal", "d:Description": "Show and cast discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Manimal", "url": "http://groups.yahoo.com/group/manimalforanimal/"} +{"d:Title": "Tv.com: Manimal", "d:Description": "Episode guide for the stories of professor Jonathan Chase, the man who turned into animals.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Manimal", "url": "http://www.tv.com/shows/manimal/"} +{"d:Title": "The Fans From U.N.C.L.E.", "d:Description": "A guide to The Man From U.N.C.L.E., the 60's cult television show - episode reviews, actors, mailing lists, articles, and soundtrack.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Man_from_U.N.C.L.E.,_The", "url": "http://www.manfromuncle.org/"} +{"d:Title": "The U.N.C.L.E Chronology", "d:Description": "A timeline of the television episodes, novels, comics, and digest magazine stories.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Man_from_U.N.C.L.E.,_The", "url": "http://www.pjfarmer.com/woldnewton/UNCLE.htm"} +{"d:Title": "The Man From U.N.C.L.E. Image Library", "d:Description": "A library of images captured from videotapes. Features over 100 pictures arranged by episode.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Man_from_U.N.C.L.E.,_The", "url": "http://www.framecaplib.com/mfulib.htm"} +{"d:Title": "Yahoo! Groups: The Man From U.N.C.L.E.", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Man_from_U.N.C.L.E.,_The", "url": "http://tv.groups.yahoo.com/group/Channel_D/"} +{"d:Title": "Wikipedia: The Man from U.N.C.L.E.", "d:Description": "Show synopsis, trivia, spin-offs, and show background.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Man_from_U.N.C.L.E.,_The", "url": "http://en.wikipedia.org/wiki/The_man_from_uncle"} +{"d:Title": "IMDb: Man from U.N.C.L.E., The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Man_from_U.N.C.L.E.,_The", "url": "http://www.imdb.com/title/tt0057765/"} +{"d:Title": "Mission: Impossible", "d:Description": "Includes episode listings, brief synopses and pictures.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Mission_Impossible", "url": "http://web.onetel.net.uk/~gnudawn/mission/"} +{"d:Title": "Wikipedia: Mission Impossible", "d:Description": "Show summary, detailed cast info, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Mission_Impossible", "url": "http://en.wikipedia.org/wiki/Mission_Impossible"} +{"d:Title": "IMDb: Mission Impossible", "d:Description": "Show synopsis, cast bios, crew details, user comments, quotes, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Mission_Impossible", "url": "http://www.imdb.com/title/tt0060009/"} +{"d:Title": "Yahoo! Groups: Mission Impossible", "d:Description": "Show, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Mission_Impossible", "url": "http://tv.groups.yahoo.com/group/Mission_Impossible_1966/"} +{"d:Title": "TV.com: Mission Impossible", "d:Description": "Episode guide with summaries and airdates, as well as cast, crew, and production information. With a goofs section.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Mission_Impossible", "url": "http://www.tv.com/shows/mission-impossible/"} +{"d:Title": "IMDb: Mr.&Mrs. Smith", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Mr._&_Mrs._Smith", "url": "http://www.imdb.com/title/tt0115277/"} +{"d:Title": "National Velvet TV Series Memories", "d:Description": "Series history, photos, and information on related collectibles.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/National_Velvet", "url": "http://horsefame.tripod.com/Nvelvet.html"} +{"d:Title": "IMDb: National Velvet", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/National_Velvet", "url": "http://www.imdb.com/title/tt0053526/"} +{"d:Title": "Yahoo! Groups: Nowhere Man", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Nowhere_Man", "url": "http://tv.groups.yahoo.com/group/NwM/"} +{"d:Title": "IMDb: Nowhere Man", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Nowhere_Man", "url": "http://www.imdb.com/title/tt0112104/"} +{"d:Title": "TV.com: Nowhere Man", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Nowhere_Man", "url": "http://www.tv.com/shows/nowhere-man/"} +{"d:Title": "IMDb: Once a Thief", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Once_a_Thief", "url": "http://www.imdb.com/title/tt0118359/"} +{"d:Title": "Yahoo! Groups: John Woo's Once a Thief", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Once_a_Thief", "url": "http://tv.groups.yahoo.com/group/oat/"} +{"d:Title": "Pensacola - Wings of Gold: The Original Cast", "d:Description": "Character guide and images for the first season cast.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Pensacola_-_Wings_of_Gold", "url": "http://www.cyberpursuits.com/heckifiknow/pwog/default.asp"} +{"d:Title": "Leigh's Pensacola: Wings of Gold Website", "d:Description": "Pictures and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Pensacola_-_Wings_of_Gold", "url": "http://www.angelfire.com/nc/Burner/"} +{"d:Title": "IMDb: Pensacola - Wings of Gold", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Pensacola_-_Wings_of_Gold", "url": "http://www.imdb.com/title/tt0128889/"} +{"d:Title": "IMDb: Prison Break", "d:Description": "Show synopsis, user comments, cast bios, trivia, goofs, soundtrack info, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Prison_Break", "url": "http://imdb.com/title/tt0455275/"} +{"d:Title": "Wikipedia: Prison Break", "d:Description": "Show overview, cast bios, character bios, trivia, and quotes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Prison_Break", "url": "http://en.wikipedia.org/wiki/Prison_Break"} +{"d:Title": "Yahoo! Groups: Prison Break", "d:Description": "Show, episode, cast and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Prison_Break", "url": "http://tv.groups.yahoo.com/group/Prison_Break/"} +{"d:Title": "Washington Post: Prison Break", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Prison_Break", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2005/08/28/AR2005082801134.html"} +{"d:Title": "Entertainment Weekly: Prison Break", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Prison_Break", "url": "http://www.ew.com/ew/article/0,,1098340,00.html"} +{"d:Title": "77 Sunset Strip", "d:Description": "A guide to titles and air dates for all six seasons of the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/77_Sunset_Strip", "url": "http://epguides.com/77SunsetStrip/"} +{"d:Title": "77 Sunset Strip", "d:Description": "Description of the show from its inception in 1958 to the last season in 1963-64.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/77_Sunset_Strip", "url": "http://www.tvparty.com/77.html"} +{"d:Title": "77 Sunset Strip", "d:Description": "Synopsis, cast guide, and episode list.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/77_Sunset_Strip", "url": "http://www.thrillingdetective.com/77sunset.html"} +{"d:Title": "IMDb: 77 Sunset Strip", "d:Description": "Cast biographies, crew details, production information, and user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/77_Sunset_Strip", "url": "http://www.imdb.com/title/tt0051247/"} +{"d:Title": "Barnaby Jones", "d:Description": "Show overview, cast biographies, and episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Barnaby_Jones", "url": "http://www.actorbuddyebsen.info/bjones/"} +{"d:Title": "IMDb: Barnaby Jones", "d:Description": "Provides synopsis, cast, and crew information, as well as user comments, and trivia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Barnaby_Jones", "url": "http://www.imdb.com/title/tt0069557/"} +{"d:Title": "USA Network: Burn Notice", "d:Description": "Official network site. Show overview, image gallery, video clips, schedule, and message boards.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Burn_Notice", "url": "http://www.usanetwork.com/series/burnnotice/"} +{"d:Title": "IMDb: Burn Notice", "d:Description": "Show synopsis, cast biographies, episode list, image gallery, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Burn_Notice", "url": "http://www.imdb.com/title/tt0810788/"} +{"d:Title": "Angelic Heaven", "d:Description": "News, cast information, episode guide, interviews, and photo gallery.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Charlie's_Angels", "url": "http://www.charliesangels.com/"} +{"d:Title": "Angel Net", "d:Description": "Includes a photo gallery, audio clips, video clips, episode guide, links, and cast information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Charlie's_Angels", "url": "http://home.swipnet.se/~w-78172/"} +{"d:Title": "WWWF Grudge Match: Miami Vice vs. Charlie's Angels", "d:Description": "Humorous account of these 70's and 80's fashion and sex appeal icons trying to take down the same drug dealer.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Charlie's_Angels", "url": "http://www.grudge-match.com/History/vice-angels.shtml"} +{"d:Title": "Charlies Angels", "d:Description": "Episode guide, episode trivia, and polls.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Charlie's_Angels", "url": "http://charlies_angels_page.tripod.com/"} +{"d:Title": "TV.com: Charlie's Angels", "d:Description": "Episode guide, show overview, cast biographies, message board, and user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Charlie's_Angels", "url": "http://www.tv.com/shows/charlies-angels/"} +{"d:Title": "IMDb: The Fall Guy", "d:Description": "Cast information, plot outline, production details, user comments, trivia, and quotes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Fall_Guy,_The", "url": "http://www.imdb.com/title/tt0081859/"} +{"d:Title": "Hart to Hart by Meredy", "d:Description": "Assorted media downloads including wallpapers, photographs, application skins, music, audio clips, and calendar.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Hart_to_Hart", "url": "http://www.meredy.com/hart2hart/"} +{"d:Title": "Yahoo! Groups: Hart 2 Hart", "d:Description": "Show, cast, character, and episode discussion group. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Hart_to_Hart", "url": "http://tv.groups.yahoo.com/group/hart2hart/"} +{"d:Title": "Yahoo! Groups: Hart to Hart", "d:Description": "Mailing list and photo sharing. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Hart_to_Hart", "url": "http://tv.groups.yahoo.com/group/harttohart/"} +{"d:Title": "IMDb: Hart to Hart", "d:Description": "Cast, crew, and production information, as well as viewer comments and quotes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Hart_to_Hart", "url": "http://www.imdb.com/title/tt0078622/"} +{"d:Title": "TV.com: Hart to Hart", "d:Description": "Episode guide, user reviews, cast details, video clips, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Hart_to_Hart", "url": "http://www.tv.com/shows/hart-to-hart/"} +{"d:Title": "IMDb: Magnum P.I.", "d:Description": "Cast biographies, crew details, user comments, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Magnum_P.I.", "url": "http://www.imdb.com/title/tt0080240/"} +{"d:Title": "Yahoo! Groups: Magnum P.I.", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Magnum_P.I.", "url": "http://tv.groups.yahoo.com/group/Magnum_PI/"} +{"d:Title": "Magnum Mania!", "d:Description": "Articles, audio clips, episode guide, cast information, trivia, video clips, photograph gallery, and message boards.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Magnum_P.I.", "url": "http://magnum-mania.com/"} +{"d:Title": "IMDb: Riptide", "d:Description": "Cast, crew, and production information, as well as viewer comments and episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Riptide", "url": "http://www.imdb.com/title/tt0086789/"} +{"d:Title": "The Rockford Files Homepage", "d:Description": "News, episode guide, reviews, transcripts of Jim's answering machine messages, pictures, and sounds.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Rockford_Files,_The", "url": "http://www.thesandbox.net/arm/rockford/"} +{"d:Title": "Mysteries on TV: The Rockford Files", "d:Description": "Essay about the show, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Rockford_Files,_The", "url": "http://www.mysterynet.com/tv/profiles/rockford/"} +{"d:Title": "IMDb: The Rockford Files", "d:Description": "Cast, crew, and production information, as well as user comments, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Rockford_Files,_The", "url": "http://www.imdb.com/title/tt0071042/"} +{"d:Title": "Spenser: For Hire", "d:Description": "Episode list.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Spenser_For_Hire", "url": "http://epguides.com/SpenserForHire/"} +{"d:Title": "IMDb: Spenser: For Hire", "d:Description": "Cast biographies, crew details, production information, user reviews, and trivia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/Spenser_For_Hire", "url": "http://www.imdb.com/title/tt0088612/"} +{"d:Title": "IMDb: V.I.P.", "d:Description": "Cast, crew, and production information, as well as goofs and user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/V.I.P.", "url": "http://www.imdb.com/title/tt0134269/"} +{"d:Title": "TV.com: V.I.P.", "d:Description": "Episode guide, cast and crew information, message board, reviews and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Private_Detective_Shows/V.I.P.", "url": "http://www.tv.com/shows/vip/"} +{"d:Title": "Whoosh! Queen of Swords Episode Guide", "d:Description": "News, articles, and episode information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Queen_of_Swords", "url": "http://www.whoosh.org/epguide/queen/general.html"} +{"d:Title": "Yahoo! Groups: Queen of Swords RPG", "d:Description": "Show role playing game. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Queen_of_Swords", "url": "http://games.groups.yahoo.com/group/Queen_of_Swords_RPG/"} +{"d:Title": "Yahoo! Groups: The Queen of Swords", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Queen_of_Swords", "url": "http://tv.groups.yahoo.com/group/TheQueenOfSwords/"} +{"d:Title": "IMDb: Queen of Swords", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Queen_of_Swords", "url": "http://www.imdb.com/title/tt0252781/"} +{"d:Title": "SunCompass - Navigating with the Rat Patrol", "d:Description": "Discover real history while exploring the fictional universe of the series. Includes cast and crew details, quotes, and information on gear, uniforms, and geography.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Rat_Patrol", "url": "http://www.fandom.tv/suncompass"} +{"d:Title": "The Rat Patrol: Classified Dossier", "d:Description": "Provides character profiles, photographs, filmographies of cast, mailing list, message board, and fan information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Rat_Patrol", "url": "http://www.klio.net/RATPATROL/"} +{"d:Title": "Yahoo! Groups: Rat Patrol", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Rat_Patrol", "url": "http://tv.groups.yahoo.com/group/ratpatrol/"} +{"d:Title": "IMDb: Rat Patrol", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Rat_Patrol", "url": "http://www.imdb.com/title/tt0060018/"} +{"d:Title": "RavenShrine", "d:Description": "Episode guides, biographies and pictures from the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Raven", "url": "http://ravenshrine.tripod.com/"} +{"d:Title": "IMDb: Raven", "d:Description": "Cast, crew, and production details, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Raven", "url": "http://www.imdb.com/title/tt0103518/"} +{"d:Title": "TV.com: Raven", "d:Description": "Episode guide, including airdates and summaries.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Raven", "url": "http://www.tv.com/shows/raven/"} +{"d:Title": "Renegade", "d:Description": "Fan site with episode guides, trivia, picture galleries, biographies, filmographies, and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Renegade", "url": "http://www.angelfire.com/nc/bobby6k/"} +{"d:Title": "Official Renegade Fan Site", "d:Description": "Includes cast and character details, multimedia, episode list, and information on weapons and vehicles that appeared in the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Renegade", "url": "http://www.torgo.us/renegade/"} +{"d:Title": "Yahoo! Groups: Renegade", "d:Description": "Discussion of the series and the actors, as well as fan fiction and fan art. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Renegade", "url": "http://tv.groups.yahoo.com/group/renegade/"} +{"d:Title": "IMDb: Renegade", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Renegade", "url": "http://www.imdb.com/title/tt0103524/"} +{"d:Title": "TV.com: Renegade", "d:Description": "Episode guide with summaries and airdates, as well as cast and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Renegade", "url": "http://www.tv.com/shows/renegade/"} +{"d:Title": "Ian Ogilvy", "d:Description": "Information about the actor, novelist, playwright, director and star of The Return of The Saint.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Return_of_the_Saint,_The", "url": "http://www.saint.org/actor-ian-ogilvy.htm"} +{"d:Title": "IMDB: Return of the Saint (1978)", "d:Description": "The Internet Movie Database includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Return_of_the_Saint,_The", "url": "http://www.imdb.com/title/tt0077069/"} +{"d:Title": "IMDB: Saint and the Brave Goose, The (1979)", "d:Description": "Includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Return_of_the_Saint,_The", "url": "http://www.imdb.com/title/tt0083023/"} +{"d:Title": "TV.com: The Return of The Saint", "d:Description": "Information about the television show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Return_of_the_Saint,_The", "url": "http://www.tv.com/shows/return-of-the-saint/"} +{"d:Title": "IMDb: Run Buddy Run", "d:Description": "Cast and crew information, user reviews and plot summary for the television show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Run,_Buddy,_Run", "url": "http://www.imdb.com/title/tt0136662/"} +{"d:Title": "Tv.com: Run, Buddy, Run", "d:Description": "A reference guide to the series, with episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Run,_Buddy,_Run", "url": "http://www.tv.com/shows/run-buddy-run/"} +{"d:Title": "The Saint", "d:Description": "An online guide to the titles and air dates of the 1960's television series starring Roger Moore.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.epguides.com/Saint/"} +{"d:Title": "The Saint's Volvo P1800", "d:Description": "Information about the car used in the Saint television series from TV Acres.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.tvacres.com/autos_volvo.htm"} +{"d:Title": "The Saint: The Roger Moore Years", "d:Description": "Website devoted to Roger Moore's protrayal of Leslie Charteris' Simon Templar.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.therogermooreyears.50megs.com/"} +{"d:Title": "The Saint at A&E", "d:Description": "The Saint series of the 1960's featuring Roger Moore is now available on video and DVD.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.saint.org/aevideos.htm"} +{"d:Title": "The Saint Novels in French", "d:Description": "Illustrated history of the French Saint novels.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.lofficier.com/saint.html"} +{"d:Title": "The Saint on TV", "d:Description": "Listing of television episodes and downloads of the soundtrack theme.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.saint.org/sttv.htm"} +{"d:Title": "The Saint's Volvo 1800", "d:Description": "Information about the Volvo P1800, driven by Simon Templar, alias The Saint.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.saint.org/volvo.htm"} +{"d:Title": "IMDB: The Saint (1962)", "d:Description": "Includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.imdb.com/title/tt0055701/"} +{"d:Title": "IMDB: The Fiction Makers (1967)", "d:Description": "Includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.imdb.com/title/tt0061662/"} +{"d:Title": "IMDB: Vendetta for the Saint (1969)", "d:Description": "Includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Saint,_The", "url": "http://www.imdb.com/title/tt0066521/"} +{"d:Title": "Tamara's Scarecrow and Mrs. King Page", "d:Description": "Episode guide, pictures, sounds, and memorabilia.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Scarecrow_and_Mrs._King", "url": "http://comp.uark.edu/~tsnyder/SAMK.html"} +{"d:Title": "IFF: Film Library", "d:Description": "Fan fiction arranged by author.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Scarecrow_and_Mrs._King", "url": "http://www.angelfire.com/tv/smkfanfic/"} +{"d:Title": "IMDb - \"Scarecrow and Mrs. King\" (1983)", "d:Description": "Cast, awards and nominations, and credits.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Scarecrow_and_Mrs._King", "url": "http://imdb.com/title/tt0085088/"} +{"d:Title": "Yahoo! Groups: Scarecrow and Mrs. King", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Scarecrow_and_Mrs._King", "url": "http://tv.groups.yahoo.com/group/ScarecrowMrsKing/"} +{"d:Title": "Yahoo! Groups: Scarecrow and Mrs. King Fanfic", "d:Description": "Fan fiction and mailing list. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Scarecrow_and_Mrs._King", "url": "http://tv.groups.yahoo.com/group/smkfanfic/"} +{"d:Title": "IMDb: Sea Hunt", "d:Description": "Cast and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Sea_Hunt", "url": "http://www.imdb.com/title/tt0051311/"} +{"d:Title": "Sons of Thunder Fan Fiction Treasury", "d:Description": "Stories based upon the series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Sons_of_Thunder", "url": "http://sot_treasury.tripod.com/"} +{"d:Title": "Yahoo! Groups: Sons of Thunder Fan Club", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Sons_of_Thunder", "url": "http://tv.groups.yahoo.com/group/sonsofthunderfanclub/"} +{"d:Title": "Yahoo! Groups: Sons of Thunder Fan Fiction", "d:Description": "Show discussion and fan-fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Sons_of_Thunder", "url": "http://tv.groups.yahoo.com/group/sotfiction/"} +{"d:Title": "IMDb: Sons of Thunder", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Sons_of_Thunder", "url": "http://www.imdb.com/title/tt0185114/"} +{"d:Title": "Yahoo! Groups: Special Ops Force", "d:Description": "Show and cast discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Special_Ops_Force", "url": "http://tv.groups.yahoo.com/group/SOF_FOF/"} +{"d:Title": "IMDb: Soldier of Fortune, Inc.", "d:Description": "Cast bios, crew details, user comments, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Special_Ops_Force", "url": "http://www.imdb.com/title/tt0118469/"} +{"d:Title": "IMDb: Spy Game", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Spy_Game", "url": "http://www.imdb.com/title/tt0118478/"} +{"d:Title": "TV.com: Spy Game", "d:Description": "Episode guide with airdates and summaries, as well as cast and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Spy_Game", "url": "http://www.tv.com/shows/spy-game/"} +{"d:Title": "IMDb: Stranded", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Stranded", "url": "http://www.imdb.com/title/tt0286989/"} +{"d:Title": "Street Hawk", "d:Description": "Series background, character profiles, and video and sound clips.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Street_Hawk", "url": "http://streethawk.s5.com/"} +{"d:Title": "Street Hawk", "d:Description": "Dedicated to the 80s TV show. Episode guide, pictures, videos, information on the bike, links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Street_Hawk", "url": "http://www.streethawkonline.com/"} +{"d:Title": "IMDb: Street Hawk", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Street_Hawk", "url": "http://www.imdb.com/title/tt0088618/"} +{"d:Title": "Tv.com: Street Hawk", "d:Description": "A reference guide to Street Hawk, with episode guide, cast and crew information, analysis, polls, reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Street_Hawk", "url": "http://www.tv.com/shows/street-hawk/"} +{"d:Title": "Tales of the Gold Monkey", "d:Description": "Series information, episode guide, pictures and sounds.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tales_of_the_Gold_Monkey", "url": "http://www.goldmonkey.com/"} +{"d:Title": "Yahoo! Groups: Tales of the Gold Monkey", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tales_of_the_Gold_Monkey", "url": "http://tv.groups.yahoo.com/group/tales_of_the_gold_monkey/"} +{"d:Title": "IMDb: Tales of the Gold Monkey", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tales_of_the_Gold_Monkey", "url": "http://www.imdb.com/title/tt0083488/"} +{"d:Title": "Loincloth Message Board", "d:Description": "E-mail forum for fans of the series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tarzan_-_1966", "url": "http://members.boardhost.com/loincloth/index.html?1054015555"} +{"d:Title": "IMDb: Tarzan", "d:Description": "Cast bios, crew details, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tarzan_-_1966", "url": "http://www.imdb.com/title/tt0060033/"} +{"d:Title": "IMDb: Tarzan (2003)", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tarzan_-_2003", "url": "http://www.imdb.com/title/tt0367424/"} +{"d:Title": "Yahoo! Groups: Tarzan Fan-Fics", "d:Description": "Show discussion and fan-fictions. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tarzan_-_2003", "url": "http://groups.yahoo.com/group/TarzanFic-and-More/"} +{"d:Title": "IMDb: Threat Matrix", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Threat_Matrix", "url": "http://www.imdb.com/title/tt0364888/"} +{"d:Title": "Spike TV: TNA Impact", "d:Description": "Official network site. Show overview, episode guide, cast biographies, photo gallery, and video clips.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/TNA_Impact", "url": "http://www.spiketv.com/#shows/tnawrestling/index.jhtml"} +{"d:Title": "IMDb: TNA Impact!", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/TNA_Impact", "url": "http://www.imdb.com/title/tt0421463/"} +{"d:Title": "Noonie's Tour of Duty Pages", "d:Description": "A fan account, including photos, of meeting with cast members.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tour_of_Duty", "url": "http://www.nooniefortin.com/tod.htm"} +{"d:Title": "Firebase Ladybird", "d:Description": "Fan fiction, fan art, quiz, and drinking game.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tour_of_Duty", "url": "http://tour_of_duty.tripod.com/tod.html"} +{"d:Title": "Tour of Duty Info", "d:Description": "Show summary, episode guide, cast and character info, and show timeline.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tour_of_Duty", "url": "http://www.tourofdutyinfo.com/"} +{"d:Title": "Yahoo! Groups: Tour of Duty", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tour_of_Duty", "url": "http://tv.groups.yahoo.com/group/Tour-Of-Duty/"} +{"d:Title": "Yahoo! Groups: Tour of Duty Slash", "d:Description": "Show fan-fiction.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tour_of_Duty", "url": "http://tv.groups.yahoo.com/group/ToD_Notes/"} +{"d:Title": "IMDb: Tour of Duty", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Tour_of_Duty", "url": "http://www.imdb.com/title/tt0092468/"} +{"d:Title": "IMDb: Two", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Two", "url": "http://www.imdb.com/title/tt0115404/"} +{"d:Title": "IMDb: The Unit", "d:Description": "Cast bios, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Unit,_The", "url": "http://www.imdb.com/title/tt0460690/"} +{"d:Title": "SF Chronicle: They kill off terrorists (and take out the garbage)", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Unit,_The", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2006/03/07/DDGHMHJDTR1.DTL&type=tvradio"} +{"d:Title": "Seattle Post-Intelligencer 'The Unit' could be a hit", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Unit,_The", "url": "http://www.seattlepi.com/ae/tv/article/On-TV-Conviction-lacks-conviction-but-The-1197370.php"} +{"d:Title": "Sheree J. Wilson Official Website", "d:Description": "Sheree plays Alex Cahill on WTR. Includes information on past work as includes a neat story as to how she got the job on WTR.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Walker,_Texas_Ranger", "url": "http://www.shereejwilson.com/index.htm"} +{"d:Title": "IMDb: Walker, Texas Ranger", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Walker,_Texas_Ranger", "url": "http://www.imdb.com/title/tt0106168/"} +{"d:Title": "Fifties TV Westerns", "d:Description": "Dedicated to TV Westerns. Photos, games, and list of actors/actresses starring in over 100 Westerns including Wagon Train, Bonanza, Gunsmoke, Rawhide, Have Gun Will Travel, and Maverick.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns", "url": "http://www.fiftiesweb.com/western.htm"} +{"d:Title": "Shadows of the Past", "d:Description": "Information and images from classic TV Westerns.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns", "url": "http://www.sptddog.com/sotp/tvwesterns.html"} +{"d:Title": "Melody Ranch Western Town and Motion Picture Studio", "d:Description": "Gene Autry's ranch where famous westerns were made such as The Lone Ranger, Wyatt Earp, Gunsmoke, Hopalong Cassidy, Annie Oakly, Rin Tin Tin and the Cisco Kid.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns", "url": "http://www.melodyranchstudio.com/"} +{"d:Title": "The Ultimate Brisco County Jr. Guidebook", "d:Description": "Series encyclopedia, episode guide, articles, and interviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Brisco_County,_Jr.,_The", "url": "http://theoasis.com/brisco/"} +{"d:Title": "IMDb: The Adventures of Brisco County Jr.", "d:Description": "Cast bios, crew details, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Brisco_County,_Jr.,_The", "url": "http://www.imdb.com/title/tt0105932/"} +{"d:Title": "The Adventures of Brisco County, Jr.", "d:Description": "Episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Brisco_County,_Jr.,_The", "url": "http://www.epguides.com/AdventuresofBriscoCountyJr/"} +{"d:Title": "Yahoo! Groups: Adventures of Brisco County Jr.", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Brisco_County,_Jr.,_The", "url": "http://tv.groups.yahoo.com/group/briscocountyjr/"} +{"d:Title": "TV.com: The Adventures of Brisco County, Jr.", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Brisco_County,_Jr.,_The", "url": "http://www.tv.com/shows/the-adventures-of-brisco-county-jr/"} +{"d:Title": "IMDb: Adventures of Champion, The", "d:Description": "Cast, crew, and production information, as well as user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Champion", "url": "http://www.imdb.com/title/tt0047703/"} +{"d:Title": "TV.com: The Adventures of Champion", "d:Description": "Episode list with original airdates, cast information, and forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Champion", "url": "http://www.tv.com/shows/the-adventures-of-champion/"} +{"d:Title": "Swagazine: Rin Tin Tin Speaks!", "d:Description": "Article originally published in Santa Barbara News and Review.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Rin_Tin_Tin,_The", "url": "http://www.swagazine.com/misc/rintintin.html"} +{"d:Title": "The Adventures of Rin Tin Tin", "d:Description": "Episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Rin_Tin_Tin,_The", "url": "http://www.epguides.com/AdventuresofRinTinTin/"} +{"d:Title": "Yahoo! Groups: The Adventures Of Rin Tin Tin", "d:Description": "Show, cast, character, and episode discussion, as well as episode downloads. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Rin_Tin_Tin,_The", "url": "http://groups.yahoo.com/group/OTRRinTinTin/"} +{"d:Title": "IMDb: The Adventures of Rin Tin Tin", "d:Description": "Cast bios, crew details, user reviews, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Rin_Tin_Tin,_The", "url": "http://www.imdb.com/title/tt0046576/"} +{"d:Title": "TV.com: The Adventures of Rin Tin Tin", "d:Description": "Episode guide, cast info, and forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Adventures_of_Rin_Tin_Tin,_The", "url": "http://www.tv.com/shows/the-adventures-of-rin-tin-tin/"} +{"d:Title": "Alias Smith and Jones Collection", "d:Description": "Articles, photos, biographies, sounds, message boards, information on star Pete Duel's death as well as bios of Ben Murphy and Roger Davis.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://www.asjcollection.com/"} +{"d:Title": "Yahoo! Groups: The Devil's Hole Gang", "d:Description": "A group for fans of the old tv series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://groups.yahoo.com/group/smithandjones/"} +{"d:Title": "Alias Smith and Jones", "d:Description": "Pictures and information about the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://tvparty.com/alias.html"} +{"d:Title": "IMDb: Alias Smith and Jones", "d:Description": "Info about the cast, reviews by visitors, and plot summary.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://www.imdb.com/title/tt0066625/"} +{"d:Title": "Alias Smith and Jones", "d:Description": "Episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://www.epguides.com/AliasSmithandJones/"} +{"d:Title": "Yahoo! Groups: Alias Smith and Jones Collection", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://tv.groups.yahoo.com/group/asjcollection/"} +{"d:Title": "Yahoo! Groups: Alias Smith and Jones Fans", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://tv.groups.yahoo.com/group/kansascousins/"} +{"d:Title": "Tv.com: Alias Smith and Jones", "d:Description": "Includes an episode guide, cast and crew information, links and a forum about the tv series of the two unlikely outlaws.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Alias_Smith_and_Jones", "url": "http://www.tv.com/shows/alias-smith-and-jones/"} +{"d:Title": "The Big Valley Episode Guide", "d:Description": "Episode guide including synopsis and credits for each of the show's 112 episodes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Big_Valley", "url": "http://www.tc.umn.edu/~frede005/BVepg.html"} +{"d:Title": "Big Valley TV Show", "d:Description": "Pictures, trivia, synopsis, and episode guide with featured players", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Big_Valley", "url": "http://www.fiftiesweb.com/tv/big-valley.htm"} +{"d:Title": "The Big Valley TV Show", "d:Description": "Trivia, description, cast list, and episodes list with original air dates.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Big_Valley", "url": "http://www.crazyabouttv.com/bigvalley.html"} +{"d:Title": "The Big Valley", "d:Description": "Episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Big_Valley", "url": "http://www.epguides.com/BigValley/"} +{"d:Title": "Yahoo! Groups: The Big Valley", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Big_Valley", "url": "http://tv.groups.yahoo.com/group/thebigvalley/"} +{"d:Title": "IMDb: The Big Valley", "d:Description": "Cast and credits, plot summary, user reviews, awards and nominations.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Big_Valley", "url": "http://www.imdb.com/title/tt0058791/"} +{"d:Title": "Little Joe&Bonanza FAQ", "d:Description": "Basic information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.angelfire.com/tv2/littlejoefactor/"} +{"d:Title": "Bonanza: Scenery of the Ponderosa", "d:Description": "A Bonanza history, pictures of and directions to major filming locales, cast biographies, photos, episode guide, forum, and TV schedule.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://ponderosascenery.homestead.com/index.html"} +{"d:Title": "Bonanza Legacy", "d:Description": "The official site of Bonanza Ventures Inc., includes picture galleries, fan fiction, news and numerous links to other Bonanza sites.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.bonanza-legacy.com/"} +{"d:Title": "Bonanza episodes on TVLand", "d:Description": "Current schedule, actor and character profiles, cast sound files and an episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.tvland.com/shows/bonanza/"} +{"d:Title": "BonanzaFan Website", "d:Description": "Quotes, pictures, fan fiction, artwork, and quizzes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://bonanza_fan.tripod.com/"} +{"d:Title": "Bonanza fan fiction: Grotto Cafe", "d:Description": "Fan fiction archive.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.stormpages.com/grottocafe/bstories.html"} +{"d:Title": "Eagle Station: Bonanza", "d:Description": "Episode guide, forums, and photographs.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.eaglestation.net/bonanza/index.html"} +{"d:Title": "IMDb: Bonanza", "d:Description": "Cast bios, crew details, user reviews, quotes, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.imdb.com/title/tt0052451/"} +{"d:Title": "Bonanza (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Bonanza.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.epguides.com/Bonanza/"} +{"d:Title": "Yahoo! Groups: Bonanza Fanfiction", "d:Description": "Show fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://groups.yahoo.com/group/Bonanza_Fanfiction/"} +{"d:Title": "LikeTelevision: Bonanza Videos", "d:Description": "Full broadband episodes with a synopsis for each and a Bonanza bulletin board. [Requires membership]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://tesla.liketelevision.com/liketelevision/search/search.php?q=bonanza&theme=guide"} +{"d:Title": "TV.com's Bonanza Guide", "d:Description": "Episode guide, cast and crew biographies, facts, bloopers list and forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bonanza", "url": "http://www.tv.com/shows/bonanza/"} +{"d:Title": "IMDb: Bordertown", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bordertown", "url": "http://www.imdb.com/title/tt0026129/"} +{"d:Title": "Yahoo! Groups: Bordertown", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bordertown", "url": "http://tv.groups.yahoo.com/group/Bordertown-the-television-series/"} +{"d:Title": "Chuck Connors gets \"Branded\"", "d:Description": "Article from \"The Television Chronicles.\" Synopsis and stills. Automatically plays a wav file of the beginning of the memorable theme song.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Branded", "url": "http://members.tripod.com/~northfork/branded.html"} +{"d:Title": "IMDb: Branded", "d:Description": "Cast bios, crew details, user comments, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Branded", "url": "http://imdb.com/title/tt0058792/"} +{"d:Title": "Branded (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Branded.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Branded", "url": "http://www.epguides.com/Branded/"} +{"d:Title": "TV.com: Branded", "d:Description": "Episode list with titles, air dates, names of guest stars.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Branded", "url": "http://www.tv.com/shows/branded/"} +{"d:Title": "Bronco TV Show", "d:Description": "Trivia, cast member information, and episode listing.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bronco", "url": "http://www.crazyabouttv.com/bronco.html"} +{"d:Title": "Bronco (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Bronco.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bronco", "url": "http://www.epguides.com/Bronco/"} +{"d:Title": "IMDb: Bronco", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bronco", "url": "http://www.imdb.com/title/tt0051261/"} +{"d:Title": "TV.com: Bronco", "d:Description": "Episode guide with original airdates.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Bronco", "url": "http://www.tv.com/shows/bronco/"} +{"d:Title": "IMDb: Casey Jones", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Casey_Jones", "url": "http://www.imdb.com/title/tt0051263/"} +{"d:Title": "Cimarron Strip (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Cimarron Strip.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Cimarron_Strip", "url": "http://www.epguides.com/CimarronStrip/"} +{"d:Title": "IMDb: Cimarron Strip", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Cimarron_Strip", "url": "http://www.imdb.com/title/tt0061241/"} +{"d:Title": "Daniel Boone (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Daniel Boone.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Daniel_Boone", "url": "http://www.epguides.com/DanielBoone/"} +{"d:Title": "Yahoo! Groups: Daniel Boone TV Series", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Daniel_Boone", "url": "http://tv.groups.yahoo.com/group/danielboonetv/"} +{"d:Title": "Daniel Boone TV Series", "d:Description": "Episode guide, newsletter, schedule, theme song, favorite episode poll, and fan fiction archive.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Daniel_Boone", "url": "http://danielboonetv.com/"} +{"d:Title": "IMDb: Daniel Boone", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Daniel_Boone", "url": "http://www.imdb.com/title/tt0057742/"} +{"d:Title": "IMDb: Deadwood", "d:Description": "Cast, crew, production information, and user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Deadwood", "url": "http://imdb.com/title/tt0348914/"} +{"d:Title": "HBO: Deadwood", "d:Description": "Official network site, featuring a synopsis, actor and character bios, interviews, games, and forum.", "priority": "1", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Deadwood", "url": "http://www.hbo.com/deadwood/"} +{"d:Title": "Yahoo! Groups: Deadwood HBO", "d:Description": "Mailing list for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Deadwood", "url": "http://tv.groups.yahoo.com/group/deadwoodhbo/"} +{"d:Title": "Coffeerooms ~ Deadwood", "d:Description": "A gathering place for fans of HBO's \"Deadwood\".", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Deadwood", "url": "http://www.coffeerooms.com/forums/tv/deadwood/"} +{"d:Title": "Deadwood (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Deadwood.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Deadwood", "url": "http://www.epguides.com/Deadwood/"} +{"d:Title": "Yahoo! Groups: Deadwood", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Deadwood", "url": "http://tv.groups.yahoo.com/group/HBO_Deadwood/"} +{"d:Title": "TV.com: Deadwood", "d:Description": "Episode guide, cast and crew information, and forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Deadwood", "url": "http://www.tv.com/shows/deadwood/"} +{"d:Title": "Dead Man's Gun (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Dead Man's Gun.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dead_Man's_Gun", "url": "http://www.epguides.com/DeadMansGun/"} +{"d:Title": "IMDb: Dead Man's Gun", "d:Description": "Cast, crew, production information, and user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dead_Man's_Gun", "url": "http://www.imdb.com/title/tt0140735/"} +{"d:Title": "IMDb: Death Valley Days", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Death_Valley_Days", "url": "http://www.imdb.com/title/tt0044259/"} +{"d:Title": "Dr. Quinn, Medicine Woman", "d:Description": "Official site. Including a photo gallery, episode guide, links, trivia, cast information, production information, greeting cards, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://www.drquinnmd.com/"} +{"d:Title": "Branduin's Dr. Quinn Medicine Woman Website", "d:Description": "Features a photo gallery, cast information, links, episode guide, and message board.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://www.branduin.com/dq/"} +{"d:Title": "Memories of Dr. Quinn, Medicine Woman", "d:Description": "Includes photos from the filming of For Better or Worse, A New Beginning, and Revolutions.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://www.angelfire.com/co/dqmwpics/home.html"} +{"d:Title": "The DQ Times", "d:Description": "Offers fans a subscription to their magazine with the latest information and news about the Dr. Quinn cast members.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://www.thedqtimes.com/"} +{"d:Title": "Dr. Quinn Medicine Woman", "d:Description": "Cast listing, wallpapers, avatars, and drawings of the Dr. Quinn characters.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://www.drquinn.8m.com/index2.html"} +{"d:Title": "Dr. Quinn, Medicine Woman Forum", "d:Description": "Message board including discussion of fan fiction, nitpicks, and cast appearances.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://forum.drquinn.us/"} +{"d:Title": "IMDb: Dr. Quinn, Medicine Woman", "d:Description": "Cast bios, crew details, user reviews, goofs, trivia, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://www.imdb.com/title/tt0103405/"} +{"d:Title": "Dr. Quinn, Medicine Woman (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Dr. Quinn, Medicine Woman.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://www.epguides.com/DrQuinnMedicineWoman/"} +{"d:Title": "Yahoo! Groups: Jane Seymour&Dr. Quinn", "d:Description": "JaneSeymour: A place for fans of the actress Jane Sey", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://movies.groups.yahoo.com/group/JaneSeymour/"} +{"d:Title": "Yahoo! Groups: Dr. Quinn Medicine Woman", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://tv.groups.yahoo.com/group/dr-quinn-medicine-woman/"} +{"d:Title": "Dr. Quinn Episode Guide", "d:Description": "Titles of episodes and descriptions for all six seasons. Includes information about Dr. Quinn, The Movie.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Dr._Quinn_Medicine_Woman", "url": "http://actressatplay.tripod.com/episode.html"} +{"d:Title": "IMDb: Fury", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Fury", "url": "http://www.imdb.com/title/tt0047734/"} +{"d:Title": "EpGuides: F Troop", "d:Description": "Episode guide with titles and air dates. Also cast list and lyrics to the theme song.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/F_Troop", "url": "http://epguides.com/FTroop/"} +{"d:Title": "IMDb: F Troop", "d:Description": "Cast and credits, plot summary, viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/F_Troop", "url": "http://www.imdb.com/title/tt0058800/"} +{"d:Title": "Tamara's Gunsmoke Web Page", "d:Description": "Information on both the TV and radio series. Also photos, messageboard, and audio.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://comp.uark.edu/~tsnyder/gunsmoke/index.html"} +{"d:Title": "Gunsmoke: The Great American Western", "d:Description": "Trivia, FAQ, TV schedule, episode guide, audio and video clips.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://www.gunsmokenet.com/"} +{"d:Title": "TV Land: Gunsmoke", "d:Description": "Episode descriptions, sounds, pictures, and character and actor biographies.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://www.tvland.com/shows/gunsmoke/"} +{"d:Title": "IMDb: Gunsmoke", "d:Description": "Cast bios, crew details, user reviews, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://www.imdb.com/title/tt0047736/"} +{"d:Title": "Gunsmoke (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Gunsmoke.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://www.epguides.com/Gunsmoke/"} +{"d:Title": "Yahoo! Groups: Gunsmoke", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://tv.groups.yahoo.com/group/Gunsmoke/"} +{"d:Title": "Yahoo! Groups: Gunsmoke Fan Fiction", "d:Description": "Show and character fan-fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://groups.yahoo.com/group/GunsmokeFanFiction/"} +{"d:Title": "Yahoo! Groups: Miss Kitty List", "d:Description": "Discussion of Kitty Russell from Gunsmoke and the actress who portrayed her, Amanda Blake. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://tv.groups.yahoo.com/group/MissKittyList/"} +{"d:Title": "Yahoo! Groups: Gunsmoke's Marshal Dillon", "d:Description": "Discussion of the Marshal Dillon character. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://tv.groups.yahoo.com/group/GunsmokesMarshalDillon/"} +{"d:Title": "Delphi Gunsmoke Forum", "d:Description": "Interactive message board where fans discuss their love for the longest running drama in the history of television. (Free registration required for posting).", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Gunsmoke", "url": "http://forums.delphiforums.com/gunsmokeforum"} +{"d:Title": "Have Gun - Will Travel: A Tribute to One of TV's Finest Shows", "d:Description": "Episode guides, history, pictures, sounds, and Richard Boone biography.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Have_Gun_-_Will_Travel", "url": "http://www.hgwt.com/"} +{"d:Title": "Have Gun - Will Travel (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Have Gun - Will Travel.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Have_Gun_-_Will_Travel", "url": "http://www.epguides.com/HaveGunWillTravel/"} +{"d:Title": "Yahoo! Groups: For the Fans of TV Westerns!", "d:Description": "Have Gun - Will Travel discussion as well as other famous tv westerns. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Have_Gun_-_Will_Travel", "url": "http://tv.groups.yahoo.com/group/TV_Westerns/"} +{"d:Title": "IMDb: Have Gun - Will Travel", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Have_Gun_-_Will_Travel", "url": "http://www.imdb.com/title/tt0050025/"} +{"d:Title": "IMDb: Here Come the Brides", "d:Description": "Cast bios, crew details, trivia, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Here_Come_the_Brides", "url": "http://www.imdb.com/title/tt0062569/"} +{"d:Title": "Here Come the Brides", "d:Description": "Page from the official website of one of the show's stars, Bobby Sherman. Includes episode guide, photos from the series, and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Here_Come_the_Brides", "url": "http://www.bobbysherman.com/"} +{"d:Title": "Yahoo! Groups: Here Come the Brides", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Here_Come_the_Brides", "url": "http://tv.groups.yahoo.com/group/hctb/"} +{"d:Title": "Yahoo! Groups: hcdg", "d:Description": "High Chaparral discussion group. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/High_Chaparral", "url": "http://groups.yahoo.com/group/hcdg"} +{"d:Title": "High Chaparral", "d:Description": "Photos, synopsis, show information, and episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/High_Chaparral", "url": "http://www.fiftiesweb.com/tv/high-chaparral.htm"} +{"d:Title": "High Chaparral, The", "d:Description": "Includes an episode guide, photos, cast biographies, articles, and quotes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/High_Chaparral", "url": "http://www.thehighchaparral.com/"} +{"d:Title": "The High Chaparral (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series The High Chaparral.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/High_Chaparral", "url": "http://www.epguides.com/HighChaparral/"} +{"d:Title": "Yahoo! Groups: High Chaparral Fan Fiction", "d:Description": "Show fan-fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/High_Chaparral", "url": "http://tv.groups.yahoo.com/group/HC-FanFic/"} +{"d:Title": "IMDb: High Chaparral, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/High_Chaparral", "url": "http://www.imdb.com/title/tt0061263/"} +{"d:Title": "How the West Was Won Page", "d:Description": "Links and lots of photos from the series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/How_the_West_Was_Won", "url": "http://www.maestravida.com/Zeb/HTWWW.html"} +{"d:Title": "IMDb: How the West Was Won", "d:Description": "Cast, crew, and production information as well as user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/How_the_West_Was_Won", "url": "http://www.imdb.com/title/tt0252764/"} +{"d:Title": "The Johnny Ringo Series", "d:Description": "Interviews, bios, episode guide, photos (many rare), and sound clips.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Johnny_Ringo", "url": "http://www.johnnyringo.net/"} +{"d:Title": "IMDb: Johnny Ringo", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Johnny_Ringo", "url": "http://www.imdb.com/title/tt0052478/"} +{"d:Title": "Laramie TV Show", "d:Description": "Pictures, trivia, screensaver, episode guide with featured players, and show information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Laramie", "url": "http://www.fiftiesweb.com/tv/laramie.htm"} +{"d:Title": "IMDb: Laramie", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Laramie", "url": "http://www.imdb.com/title/tt0052481/"} +{"d:Title": "TV.com: Laramie", "d:Description": "Episode guide with original airdates and cast information as well as forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Laramie", "url": "http://www.tv.com/shows/laramie/"} +{"d:Title": "Laredo TV Show", "d:Description": "Episode guide with airdates.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Laredo", "url": "http://www.crazyabouttv.com/laredo.html"} +{"d:Title": "IMDb: Laredo", "d:Description": "Cast bios, crew details, user reviews, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Laredo", "url": "http://www.imdb.com/title/tt0058819/"} +{"d:Title": "Laredo (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Laredo.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Laredo", "url": "http://www.epguides.com/Laredo/"} +{"d:Title": "Debra E.'s Lonesome Dove Page", "d:Description": "Photograph galleries, and fan fiction.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lonesome_Dove", "url": "http://meadowlark59901.homestead.com/lonesomedovepage.html"} +{"d:Title": "Filmtracks: Lonesome Dove", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lonesome_Dove", "url": "http://www.filmtracks.com/titles/lonesome_dove.html"} +{"d:Title": "Ambrosia Fanfic Club", "d:Description": "Original stories and art relating to characters from the Lonesome Dove series, mini-series, and books.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lonesome_Dove", "url": "http://home.earthlink.net/~ambrosiaclub/index.html"} +{"d:Title": "IMDb: Lonesome Dove - 1989", "d:Description": "Features information about the western mini-series broadcast on tv. Includes cast, crew, and production credits.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lonesome_Dove", "url": "http://www.imdb.com/title/tt0096639/"} +{"d:Title": "TV.com: Lonesome Dove: The Series", "d:Description": "Episode guide includes cast lists, crew lists, and synopses for all 21 episodes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lonesome_Dove", "url": "http://www.tv.com/shows/lonesome-dove-the-series/"} +{"d:Title": "TV.com: Lonesome Dove: The Outlaw Years", "d:Description": "Episode guide includes cast lists, crew lists, and synopses for all 22 episodes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lonesome_Dove", "url": "http://www.tv.com/shows/lonesome-dove-the-outlaw-years/"} +{"d:Title": "Colleen's Lonesome Dove Fanfiction", "d:Description": "Fan fiction about Clay Mosby and Robert Shelby from LD: The Series and The Outlaw Years.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lonesome_Dove/The_Outlaw_Years", "url": "http://home.earthlink.net/~cjmac444/"} +{"d:Title": "Masked Men: A Chronology of the Lone Ranger and the Green Hornet", "d:Description": "A timeline of the Reid family compiled from radio adventures, television episodes, books, and comics.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lone_Ranger", "url": "http://www.pjfarmer.com/woldnewton/Reid.htm"} +{"d:Title": "IMDb: The Lone Ranger", "d:Description": "Cast bios, crew details, user reviews, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lone_Ranger", "url": "http://www.imdb.com/title/tt0041038/"} +{"d:Title": "The Lone Ranger - Episode #4", "d:Description": "Full video of the fourth episode from season one.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Lone_Ranger", "url": "http://tesla.liketelevision.com/liketelevision/tuner.php?channel=211&format=tv&theme=guide"} +{"d:Title": "Tribute to the Magnificent Seven", "d:Description": "Brief history of this western series, links to art, music, literature, and educational sites inspired by the American West.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Magnificent_Seven", "url": "http://www.angelfire.com/tn/bluescaster1/index.html"} +{"d:Title": "Magnificent Seven News", "d:Description": "E-mail discussion group for news, announcements, and reviews about the series and its actors.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Magnificent_Seven", "url": "http://groups.yahoo.com/group/Mag7News"} +{"d:Title": "IMDb: The Magnificent Seven", "d:Description": "Cast bios, crew details, user comments, trivia, goofs, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Magnificent_Seven", "url": "http://www.imdb.com/title/tt0129695/"} +{"d:Title": "The Darlin' Idea Page", "d:Description": "Compilation of fan fiction ideas regarding character JD Dunne.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Magnificent_Seven/Fan_Fiction", "url": "http://www.angelfire.com/oh3/fantasmada/oldwest.html"} +{"d:Title": "Stefanie's Fan Fiction Page", "d:Description": "The Magnificent Seven stories, with descriptions and ratings.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Magnificent_Seven/Fan_Fiction", "url": "http://www.angelfire.com/ms2/stefanie/fanfic.html"} +{"d:Title": "One Destiny Place", "d:Description": "Fan fiction stories and related articles based on the television series \"The Magnificent Seven\".", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Magnificent_Seven/Fan_Fiction", "url": "http://www.members.tripod.com/1destinyplace/index.htm"} +{"d:Title": "Blackraptor Magnificent Seven Fan Fiction", "d:Description": "Archive of Fan Fiction relating to the series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Magnificent_Seven/Fan_Fiction", "url": "http://www.blackraptor.net/m7fic/index.htm"} +{"d:Title": "Maverick TV Show", "d:Description": "Trivia, description, episodes and cast lists for the Maverick TV show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Maverick", "url": "http://www.crazyabouttv.com/maverick.html"} +{"d:Title": "IMDb: Maverick", "d:Description": "Cast bios, crew details, user reviews, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Maverick", "url": "http://www.imdb.com/title/tt0050037/"} +{"d:Title": "Maverick (a Titles and Air Dates Guide)", "d:Description": "A guide listing the titles and air dates for each episode of this television series.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Maverick", "url": "http://epguides.com/Maverick/"} +{"d:Title": "IMDb: My Friend Flicka", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/My_Friend_Flicka", "url": "http://www.imdb.com/title/tt0048887/"} +{"d:Title": "TV.com: My Friend Flicka", "d:Description": "Episode guide with original airdates, as well as a forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/My_Friend_Flicka", "url": "http://www.tv.com/shows/my-friend-flicka/"} +{"d:Title": "Tales of the Ponderosa", "d:Description": "Fan fiction about the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Ponderosa", "url": "http://www.angelfire.com/tv2/theponderosa/"} +{"d:Title": "Eagle Station - Ponderosa", "d:Description": "Episode guide, photos, video clips, discussion forum, and chat.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Ponderosa", "url": "http://www.eaglestation.net/"} +{"d:Title": "The Ponderosa (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series The Ponderosa.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Ponderosa", "url": "http://www.epguides.com/Ponderosa/"} +{"d:Title": "IMDb: Ponderosa", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Ponderosa", "url": "http://www.imdb.com/title/tt0287260/"} +{"d:Title": "Rawhide", "d:Description": "Episode guide, theme song with lyrics, an associated discussion list, and episode reviews submitted by visitors.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rawhide", "url": "http://www.dhamel.com/rawhide"} +{"d:Title": "Yahoo! Groups: Rawhide", "d:Description": "Members discuss the show, cast, episodes, and the historical period. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rawhide", "url": "http://groups.yahoo.com/group/rawhide/"} +{"d:Title": "IMDb: Rawhide", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rawhide", "url": "http://www.imdb.com/title/tt0052504/"} +{"d:Title": "Rawhide (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Rawhide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rawhide", "url": "http://www.epguides.com/Rawhide/"} +{"d:Title": "The Rifleman: Welcome to the McCain Ranch", "d:Description": "Detailed episode guide and pictures.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rifleman", "url": "http://www.riflemanconnors.com/"} +{"d:Title": "EpGuides: The Rifleman", "d:Description": "Episode list with air dates and episode titles.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rifleman", "url": "http://www.epguides.com/Rifleman/"} +{"d:Title": "The Rifleman", "d:Description": "Chuck Conners full biography with pictures, information on the show and the gun, episode guide plus the audio theme song and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rifleman", "url": "http://northfork.tripod.com/index.html"} +{"d:Title": "IMDb: The Rifleman", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Rifleman", "url": "http://www.imdb.com/title/tt0051308/"} +{"d:Title": "The Official Roy Rogers - Dale Evans Web Site", "d:Description": "Information and archives on the TV and radio shows.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Roy_Rogers_Show", "url": "http://www.royrogers.com/"} +{"d:Title": "IMDb: The Roy Rogers Show", "d:Description": "Cast bios, crew details, user reviews, quotes, trivia, soundtrack listing, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Roy_Rogers_Show", "url": "http://www.imdb.com/title/tt0043225/"} +{"d:Title": "The Roy Rogers Show (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series The Roy Rogers Show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Roy_Rogers_Show", "url": "http://www.epguides.com/RoyRogersShow/"} +{"d:Title": "The Virginian (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series The Virginian.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Virginian", "url": "http://www.epguides.com/Virginian/"} +{"d:Title": "IMDb: Virginian, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Virginian", "url": "http://www.imdb.com/title/tt0055710/"} +{"d:Title": "Wagon Train Website", "d:Description": "Pictures, episode guides, games, screensavers, guest stars, and fan pages for Robert Horton and Robert Fuller.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wagon_Train", "url": "http://www.fiftiesweb.com/wt/wagon-train.htm"} +{"d:Title": "Wagon Train (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Wagon Train.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wagon_Train", "url": "http://www.epguides.com/WagonTrain/"} +{"d:Title": "IMDb: Wagon Train", "d:Description": "Cast, crew, and production information as well as user reviews and a soundtrack listing.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wagon_Train", "url": "http://www.imdb.com/title/tt0050073/"} +{"d:Title": "The Wild Wild West Chronology", "d:Description": "A timeline of all the television series episodes, comics, and novels.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wild_Wild_West", "url": "http://www.pjfarmer.com/woldnewton/WWWest.htm"} +{"d:Title": "Wild Wild West - The Wildest Page in the West", "d:Description": "Cast biographies, sound pages, pictures, articles and collectibles.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wild_Wild_West", "url": "http://www.wildwildwest.org/"} +{"d:Title": "The Wild Wild West TV Show", "d:Description": "Trivia, episode guide, episode descriptions, and cast member information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wild_Wild_West", "url": "http://www.crazyabouttv.com/wildwildwest.html"} +{"d:Title": "IMDb: The Wild Wild West", "d:Description": "Cast bios, crew details, quotes, user comments, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wild_Wild_West", "url": "http://www.imdb.com/title/tt0058855/"} +{"d:Title": "IMDb: Life and Legend of Wyatt Earp, The", "d:Description": "Cast, crew, and production information, as well as user reviews.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Wyatt_Earp,_Life_and_Legend_of", "url": "http://www.imdb.com/title/tt0047750/"} +{"d:Title": "The Young Riders Express Station", "d:Description": "Cast biographies, photos, episode guide, audio, fan fiction, trading post, chat room, and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/ks/TheYoungRiders/"} +{"d:Title": "The Young Riders Saddlin' Station", "d:Description": "Providing character, actor and show information, as well as quotes and fan fiction.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/or/ridersridin/mainpage.html"} +{"d:Title": "Lady for an Era", "d:Description": "Dedicated to the character Louise McCloud and the actress who portrayed her Yvonne Suhor.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/ks/expressladies/"} +{"d:Title": "The Young Riders Fan Fiction", "d:Description": "Fan written stories about both the main characters and guest characters.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/ks/YRFanFiction/"} +{"d:Title": "Riding Tall", "d:Description": "Dedicated to both The Young Riders and The Magnificent 7, with fan fiction, character guides, episode information, and links.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/or/ridingtall/"} +{"d:Title": "The Young Riders Way Station", "d:Description": "Includes show background, cast biographies and filmographies, picture galleries, fan fiction, contests, and an interactive forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/ny2/tyr/"} +{"d:Title": "The Young Riders Are Cool", "d:Description": "Dedicated to the western TV series, The Young Riders. Episode guide, articles, limmericks, facts sheets for the actors, and quotes.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/me3/tyrRcool/index.html"} +{"d:Title": "The Kidnation", "d:Description": "Fan fiction, pictures and information about the Kid and Ty Miller who portrayed him.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/mo2/divaspage/kidnation.html"} +{"d:Title": "The Young Rider--FAQ", "d:Description": "Catalogs and answers Frequently Asked Questions about The Young Riders.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/tv/TYRFAQ/"} +{"d:Title": "Saddle&Spurs", "d:Description": "Contains good information about the show, and a complete listing of sites, message boards, chat rooms all divided by category.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/md/saddlesandspurs/"} +{"d:Title": "The Rival Riders", "d:Description": "This site is a Jimmy/Kid rival site. Jimmy and The Kid are two of the favorite characters of The Young Riders. This site brings the two rivals together.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/tv2/jimmynkidsite/"} +{"d:Title": "The Rider Review", "d:Description": "Johnny Betts' hilarious and satirical reviews of The Young Riders.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://thedoorlessstall.tripod.com/theriderreview/riderreview.html"} +{"d:Title": "Riders Coming", "d:Description": "Episode guide, character and actor biographies, fan fiction, and image galleries.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/tv2/theyoungriders1/"} +{"d:Title": "Welcome to Sweetwater - A 'The Young Riders' site", "d:Description": "Fan fiction, episode guides, puzzles, Pony Express non-fiction", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.angelfire.com/ks/kathysonline/"} +{"d:Title": "IMDb: The Young Riders", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.imdb.com/title/tt0096732/"} +{"d:Title": "The Young Riders (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series The Young Riders.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Young_Riders", "url": "http://www.epguides.com/YoungRiders/"} +{"d:Title": "The Official Home of Zorro", "d:Description": "Merchandise, links to other Zorro sites, information on both film and television versions.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://www.zorro.com/"} +{"d:Title": "Walt Disney's Zorro", "d:Description": "Dedicated to Walt Disney's 1957-59 TV version of Zorro, starring Guy Williams. History and episode guide. Multimedia gallery includes video clips and books.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://www.billcotter.com/zorro/"} +{"d:Title": "Enmascarado", "d:Description": "Many articles of fan fiction, art and poetry.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://www.angelfire.com/home/GWFriendslistFanFic/"} +{"d:Title": "IMDb: Zorro", "d:Description": "Cast bios, crew details, user reviews, quotes, trivia, soundtrack listing, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://www.imdb.com/title/tt0050079/"} +{"d:Title": "Zorro (1957) (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Zorro (1957).", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://www.epguides.com/Zorro_1957/"} +{"d:Title": "A Tribute to the New World Zorro - \"Swashbuckling Never Looked So Good\"", "d:Description": "Tribute to show starring Duncan Regehr, airing from 1990 to 1993.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://www.newworldzorro.com/"} +{"d:Title": "Zorro: The Legend Through The Years", "d:Description": "History of Zorro in print, film and TV. Screen shots, cast and episode information. Extensive guide to the New World Zorro.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://www.zorrolegend.com/"} +{"d:Title": "The Legend Of Zorro - From Guy Williams To Antonio Banderas", "d:Description": "History of the legend, audio clip of the TV show theme song, covers the '57-'59 Disney TV series, the 1990's Family Channel cable series, and various movie versions.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Westerns/Zorro", "url": "http://zorro23.tripod.com/index.html"} +{"d:Title": "Epguide: Whiz Kids", "d:Description": "A guide to this television series, with episode descriptions, original air dates, cast listing, writers and directors.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Whiz_Kids", "url": "http://epguides.com/WhizKids/guide.shtml"} +{"d:Title": "Tv of your life: Whiz Kids", "d:Description": "A guide with brief synopsis of the episodes of the show.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Whiz_Kids", "url": "http://www.tvofyourlife.com/whizkids.htm"} +{"d:Title": "IMDb: Whiz Kids", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Whiz_Kids", "url": "http://www.imdb.com/title/tt0085110/"} +{"d:Title": "Tv.com: Whiz Kids", "d:Description": "Episode guide, info about the characters and links for yet another forgotten son of the 80's.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Whiz_Kids", "url": "http://www.tv.com/shows/whiz-kids/"} +{"d:Title": "IMDb: The Young Indiana Jones Chronicles", "d:Description": "Cast biographies, crew details, trivia, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Young_Indiana_Jones_Chronicles", "url": "http://www.imdb.com/title/tt0103586/"} +{"d:Title": "Unofficial Young Indiana Jones Page", "d:Description": "Show synopsis, news, pictures, audio, polls, and a forum.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Young_Indiana_Jones_Chronicles", "url": "http://www.innermind.com/youngindy/"} +{"d:Title": "The Raider", "d:Description": "Show summary, cast information, trivia, photo gallery, forum, production, special effects, and episode guide.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Young_Indiana_Jones_Chronicles", "url": "http://www.theraider.net/films/young_indy/"} +{"d:Title": "IMDb: The Young Rebels", "d:Description": "Show synopsis, user comments, cast info, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Young_Rebels,_The", "url": "http://www.imdb.com/title/tt0065358/"} +{"d:Title": "Yahoo! Groups: The Young Rebels", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Action_and_Adventure/Young_Rebels,_The", "url": "http://tv.groups.yahoo.com/group/youngrebels1970/"} +{"d:Title": "AllExperts: TV and Radio", "d:Description": "Volunteer \"experts\" answer questions about various programs. Includes archives of past answers.", "topic": "Top/Arts/Television/Programs/Chats_and_Forums", "url": "http://www.allexperts.com/browse.cgi?catLvl=1&catID=22"} +{"d:Title": "MyMedia-Forum.com", "d:Description": "Discussion of television, shows and news.", "topic": "Top/Arts/Television/Programs/Chats_and_Forums", "url": "http://www.losttv-forum.com/"} +{"d:Title": "Carole and Paula: Friends Forever: The Magic Garden", "d:Description": "Official site of The Magic Garden and Friends Forever, maintained by hosts Carole Demas and Paula Janis. Includes hosts' biographies and events calendar.", "topic": "Top/Arts/Television/Programs/Children's", "url": "http://www.caroleandpaula.com/"} +{"d:Title": "PBS Kids!", "d:Description": "Information for all PBS kids' shows, including Arthur, Barney, and Teletubbies.", "topic": "Top/Arts/Television/Programs/Children's", "url": "http://pbskids.org/"} +{"d:Title": "TVO Kids Shows from the 70's&80's", "d:Description": "Pictures and credits for Barbapapa, Fables of the Green Forest, Jeremy, Readalong, Dr. Snuggles, Today's Special and other children's shows. Includes message forum.", "topic": "Top/Arts/Television/Programs/Children's", "url": "http://www.angelfire.com/tv2/tvo2/"} +{"d:Title": "The Internet Movie Database (IMDb): 100 Deeds for Eddie McDowd", "d:Description": "Cast, crew, and production information, as well as viewer reviews.", "topic": "Top/Arts/Television/Programs/Children's/100_Deeds_for_Eddie_McDowd", "url": "http://www.imdb.com/title/tt0218744/"} +{"d:Title": "2030CE Fansite", "d:Description": "Cast biographies, story line, episode guide, and message forum.", "topic": "Top/Arts/Television/Programs/Children's/2030CE", "url": "http://www.angelfire.com/scifi2/2030ce/"} +{"d:Title": "Winnipeg Sun: The Future Tense", "d:Description": "Interview with Corey Sevier that explains the show's premise.", "topic": "Top/Arts/Television/Programs/Children's/2030CE", "url": "http://jam.canoe.com/Television/TV_Shows/T/2030CE/2002/02/06/732998.html"} +{"d:Title": "The Kids on Cranson Street", "d:Description": "Episode guide, quotes, facts about the characters and actors, poll, pictures, message board and FAQs.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_of_Pete_and_Pete,_The", "url": "http://www.angelfire.com/nc/witchbaby/pete/"} +{"d:Title": "The AV Club: Inside the \"Adventures of Pete and Pete\" Reunion", "d:Description": "Recap of a February 2012 event in New York City featuring cast and crew members, including video clips.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_of_Pete_and_Pete,_The", "url": "http://www.avclub.com/articles/inside-the-adventures-of-pete-and-pete-reunion,70177/"} +{"d:Title": "Internet Movie Database: The Adventures of Pete and Pete", "d:Description": "Cast and crew listing, guest stars, user comments, synopsis, quotes, and technical details.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_of_Pete_and_Pete,_The", "url": "http://www.imdb.com/title/tt0105933/combined"} +{"d:Title": "Canoe: The Adventures of Pete and Pete", "d:Description": "Review and synopsis of the show.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_of_Pete_and_Pete,_The", "url": "http://jam.canoe.com/Television/TV_Shows/A/Adventures_of_Pete_and_Pete/2004/07/21/551399.html"} +{"d:Title": "The Web Site of Pete and Pete", "d:Description": "Includes cast information, episode guide, pictures, press, merchandise list, and a campaign to resurrect the show.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_of_Pete_and_Pete,_The", "url": "http://pnp.norecess.org/"} +{"d:Title": "TV Club: The Adventures of Pete and Pete", "d:Description": "Episode recaps for the show's first two seasons.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_of_Pete_and_Pete,_The", "url": "http://www.avclub.com/tv/the-adventures-of-pete-and-pete/"} +{"d:Title": "Katie Stuart and The Kanga Roddy Kids.", "d:Description": "Screen captures from the series, arranged by actor.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_with_Kanga_Roddy", "url": "http://www.angelfire.com/ok2/koolkids/"} +{"d:Title": "The Internet Movie Database (IMDb): Adventures with Kanga Roddy", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Adventures_with_Kanga_Roddy", "url": "http://www.imdb.com/title/tt0138998/"} +{"d:Title": "The Internet Movie Database: All That", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/All_That", "url": "http://www.imdb.com/title/tt0111875/"} +{"d:Title": "Are You Afraid of the Dark: The New Midnight Society", "d:Description": "Pictures and brief profiles of the last season's cast.", "topic": "Top/Arts/Television/Programs/Children's/Are_You_Afraid_of_The_Dark", "url": "http://www.angelfire.com/oh2/ruafraidofthedark/"} +{"d:Title": "Nagina's Barney Page", "d:Description": "Includes songs and pictures.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends", "url": "http://members.tripod.com/~Barney5/index.html"} +{"d:Title": "I Love Barney", "d:Description": "Coloring pages, pictures, fan club information, and postcards.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends", "url": "http://princess5.tripod.com/"} +{"d:Title": "Barney Online", "d:Description": "Official site, featuring news, coloring pages, music, stories, merchandise, and information.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends", "url": "http://www.hitentertainment.com/barney/index2.asp"} +{"d:Title": "Classic Barney", "d:Description": "Show synopsis, cast and character information, episode guide, pictures, video, and song lyrics.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends", "url": "http://classicbarney.tripod.com/"} +{"d:Title": "PBS: Barney", "d:Description": "Includes songs, activities, and series information.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends", "url": "http://pbskids.org/barney/"} +{"d:Title": "IMDb: Barney&Friends", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends", "url": "http://www.imdb.com/title/tt0144701/"} +{"d:Title": "The Jihad to Destroy Barney", "d:Description": "Features essays, fan fiction, and games.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends/Opposing_Views", "url": "http://www.jihad.net/"} +{"d:Title": "The Barney Fun Page", "d:Description": "Visitors can make holes in Barney with various weapons.", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends/Opposing_Views", "url": "http://impressive.net/games/barney/fun.cgi"} +{"d:Title": "WWWF Grudge Match: Barney vs. Ensign Wesley Crusher", "d:Description": "What if two of the most hated icons on the internet battle it out with chainsaws?", "topic": "Top/Arts/Television/Programs/Children's/Barney_&_Friends/Opposing_Views", "url": "http://www.grudge-match.com/History/barney-wesley.shtml"} +{"d:Title": "Yahoo! Groups: Basil Brush", "d:Description": "Discussion list for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Children's/Basil_Brush_Show,_The", "url": "http://groups.yahoo.com/group/basilbrush/"} +{"d:Title": "BBC Online: Basil Brush", "d:Description": "Official network site for the series. Includes trivia, reviews, downloads, and video clips.", "topic": "Top/Arts/Television/Programs/Children's/Basil_Brush_Show,_The", "url": "http://www.bbc.co.uk/cult/classic/basilbrush/index.shtml"} +{"d:Title": "IMDb: Basil Brush Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Basil_Brush_Show,_The", "url": "http://www.imdb.com/title/tt0364790/"} +{"d:Title": "The Internet Movie Database: Beakman's World (1993)", "d:Description": "Cast and crew listings, summary and user comments.", "topic": "Top/Arts/Television/Programs/Children's/Beakman's_World", "url": "http://imdb.com/title/tt0106367/"} +{"d:Title": "Internet Movie Database: Big Bad BeetleBorgs", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/BeetleBorgs", "url": "http://www.imdb.com/title/tt0115674/"} +{"d:Title": "Internet Movie Database: Beetleborgs Metallix", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Children's/BeetleBorgs", "url": "http://www.imdb.com/title/tt0126144/"} +{"d:Title": "The Unofficial Bill Nye Page Of Science", "d:Description": "Photos and trivia related to the show's host.", "topic": "Top/Arts/Television/Programs/Children's/Bill_Nye_the_Science_Guy", "url": "http://members.tripod.com/~berniematt/UnofficialNyePageOfScience.htm"} +{"d:Title": "WWWF Grudge Match", "d:Description": "What if Bill Nye tried to solve the mysteries of Area 51?", "topic": "Top/Arts/Television/Programs/Children's/Bill_Nye_the_Science_Guy", "url": "http://www.grudge-match.com/History/nye-beakman.shtml"} +{"d:Title": "The Internet Movie Database: Bill Nye, the Science Guy (1993)", "d:Description": "Cast, crew and user comments.", "topic": "Top/Arts/Television/Programs/Children's/Bill_Nye_the_Science_Guy", "url": "http://imdb.com/title/tt0173528/"} +{"d:Title": "Nye Labs", "d:Description": "Official site with experiments, a \"Question of the Week,\" episode guides, e-cards, pictures and Nye's biography and curriculum vitae. [Requires Flash.]", "topic": "Top/Arts/Television/Programs/Children's/Bill_Nye_the_Science_Guy", "url": "http://www.billnye.com/"} +{"d:Title": "The Bill Nye the Science Guy Drinking Game", "d:Description": "Game involving frequent elements on the show.", "topic": "Top/Arts/Television/Programs/Children's/Bill_Nye_the_Science_Guy", "url": "http://www.drinkiwiki.com/Bill_Nye_The_Science_Guy"} +{"d:Title": "DLTK's Crafts for Kids: Blue's Clues", "d:Description": "Craft and cake templates, coloring pages, games and printable cards.", "topic": "Top/Arts/Television/Programs/Children's/Blue's_Clues", "url": "http://www.dltk-kids.com/crafts/cartoons/bluesclues.html"} +{"d:Title": "Blue's Clues", "d:Description": "Fan site with links, activities and downloads.", "topic": "Top/Arts/Television/Programs/Children's/Blue's_Clues", "url": "http://kidtoons.tripod.com/blue.html"} +{"d:Title": "Cincinnati Enquirer: \"Blue's Clues\" puts on new host, new shirts", "d:Description": "Article discussing the switch from Steve to Joe, along with other show changes.", "topic": "Top/Arts/Television/Programs/Children's/Blue's_Clues", "url": "http://enquirer.com/editions/2002/04/29/tem_blues_clues_puts_on.html"} +{"d:Title": "Blue's Clues Gallery", "d:Description": "Gallery of images.", "topic": "Top/Arts/Television/Programs/Children's/Blue's_Clues", "url": "http://www.angelfire.com/planet/blues_clues_blue/index.html"} +{"d:Title": "Tranquility Forest: The Bugaloos", "d:Description": "Episode guide, song lyrics, pictures, sounds, and message board.", "topic": "Top/Arts/Television/Programs/Children's/Bugaloos,_The", "url": "http://www.bugaloos.com/"} +{"d:Title": "The Internet Movie Database: The Bugaloos", "d:Description": "Cast and production information.", "topic": "Top/Arts/Television/Programs/Children's/Bugaloos,_The", "url": "http://www.imdb.com/title/tt0065280/"} +{"d:Title": "Caitlin's Way Fansite", "d:Description": "Cast information, photos, episode guide and multimedia.", "topic": "Top/Arts/Television/Programs/Children's/Caitlin's_Way", "url": "http://www.angelfire.com/nf/caitlinsway/"} +{"d:Title": "Caitlins Way Web Site", "d:Description": "Biographies, multimedia, and links.", "topic": "Top/Arts/Television/Programs/Children's/Caitlin's_Way", "url": "http://www.angelfire.com/stars2/CaitlinsWay/"} +{"d:Title": "Unofficial Caitlin's Way Web Site", "d:Description": "Images, information, links and an episode guide.", "topic": "Top/Arts/Television/Programs/Children's/Caitlin's_Way", "url": "http://www.angelfire.com/mi2/2im/"} +{"d:Title": "Epguides.com: Caitlin's Way", "d:Description": "Title listings and original air dates.", "topic": "Top/Arts/Television/Programs/Children's/Caitlin's_Way", "url": "http://epguides.com/CaitlinsWay/"} +{"d:Title": "Internet Movie Database: Caitlin's Way", "d:Description": "Cast and crew list, trivia, and reviews.", "topic": "Top/Arts/Television/Programs/Children's/Caitlin's_Way", "url": "http://www.imdb.com/title/tt0209665/"} +{"d:Title": "TV Party: Captain Kangaroo and Tom Terrific", "d:Description": "History of the show and its various puppet and animated segments, along with video clips and answers to visitors' questions.", "topic": "Top/Arts/Television/Programs/Children's/Captain_Kangaroo", "url": "http://www.tvparty.com/lostterrytoons.html"} +{"d:Title": "Yahoo! Groups: Bob Keeshan", "d:Description": "Message board also includes chat and photos.", "topic": "Top/Arts/Television/Programs/Children's/Captain_Kangaroo", "url": "http://groups.yahoo.com/group/keeshanbob/"} +{"d:Title": "Wikipedia: Captain Kangaroo", "d:Description": "An encyclopedia article, on the history of the program.", "topic": "Top/Arts/Television/Programs/Children's/Captain_Kangaroo", "url": "http://en.wikipedia.org/wiki/Captain_Kangaroo"} +{"d:Title": "TVGuide.com: Captain Kangaroo", "d:Description": "News about the cast of theseries.", "topic": "Top/Arts/Television/Programs/Children's/Captain_Kangaroo", "url": "http://www.tvguide.com/tvshows/captain-kangaroo/200364/"} +{"d:Title": "TV.com: Captain Kangaroo", "d:Description": "Show information, stars, episode guide, area for view comments.", "topic": "Top/Arts/Television/Programs/Children's/Captain_Kangaroo", "url": "http://www.tv.com/shows/captain-kangaroo/"} +{"d:Title": "Whirligig: Circus Boy", "d:Description": "Overview of the show, pictures, and theme song lyrics and audio.", "topic": "Top/Arts/Television/Programs/Children's/Circus_Boy", "url": "http://www.whirligig-tv.co.uk/tv/children/circusboy/circusboy.htm"} +{"d:Title": "The Internet Movie Database: Circus Boy", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Circus_Boy", "url": "http://www.imdb.com/title/tt0048855/"} +{"d:Title": "Cookin' with Cutty", "d:Description": "Includes episode guide, series overview, cast and crew profiles, and recipes.", "topic": "Top/Arts/Television/Programs/Children's/Cookin'_with_Cutty", "url": "http://www.cuttytv.com/"} +{"d:Title": "Epguides.com: Cousin Skeeter", "d:Description": "Episode titles and air dates.", "topic": "Top/Arts/Television/Programs/Children's/Cousin_Skeeter", "url": "http://epguides.com/CousinSkeeter/"} +{"d:Title": "The Internet Movie Database (IMDb): Cousin Skeeter", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Cousin_Skeeter", "url": "http://www.imdb.com/title/tt0167567/"} +{"d:Title": "TV.com: Cousin Skeeter", "d:Description": "Overview, FAQs, character descriptions, theme song lyrics and credits.", "topic": "Top/Arts/Television/Programs/Children's/Cousin_Skeeter", "url": "http://www.tv.com/shows/cousin-skeeter/"} +{"d:Title": "The Internet Movie Database (IMDb): Cyberchase", "d:Description": "Includes user comments, plot information, and cast lists.", "topic": "Top/Arts/Television/Programs/Children's/Cyberchase", "url": "http://www.imdb.com/title/tt0309141/"} +{"d:Title": "Cyberchase Online", "d:Description": "Official PBS Kids site with games, show information, polls, and downloads.", "topic": "Top/Arts/Television/Programs/Children's/Cyberchase", "url": "http://pbskids.org/cyberchase/"} +{"d:Title": "The Big Cartoon Database: Cyberchase Episode Guide", "d:Description": "Synopses, production notes, and details on the math principles presented.", "topic": "Top/Arts/Television/Programs/Children's/Cyberchase", "url": "http://www.bcdb.com/cartoons/Other_Studios/N/Nelvana_Limited/Cyberchase/"} +{"d:Title": "Kentucky Educational Television Instructional TV: Cyberchase", "d:Description": "Show description, episode summaries, Kentucky academic correlations, and show times.", "topic": "Top/Arts/Television/Programs/Children's/Cyberchase", "url": "http://www.ket.org/itvvideos/offering/math/cyberchase.htm"} +{"d:Title": "TV.com: Cyberchase", "d:Description": "Episode guide, cast and crew information, analysis, a list of goofs, a forum, and show news.", "topic": "Top/Arts/Television/Programs/Children's/Cyberchase", "url": "http://www.tv.com/shows/cyberchase/"} +{"d:Title": "PBS: Cyberchase", "d:Description": "Official network site. Lesson plans, episode descriptions and resources to teach math.", "topic": "Top/Arts/Television/Programs/Children's/Cyberchase", "url": "http://www.pbs.org/parents/cyberchase/"} +{"d:Title": "The Internet Movie Database (IMDb): Dumbo's Circus", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Dumbo's_Circus", "url": "http://www.imdb.com/title/tt0252399/"} +{"d:Title": "Internet Movie Database: \"Hannah Montana\" (2006)", "d:Description": "Cast and crew listing, plot synopsis, trivia, quotes, episode details and pictures.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Hannah_Montana", "url": "http://www.imdb.com/title/tt0493093/"} +{"d:Title": "Wikipedia: Hannah Montana", "d:Description": "Article provides an overview of the show, cast and list of episodes.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Hannah_Montana", "url": "http://en.wikipedia.org/wiki/Hannah_Montana"} +{"d:Title": "Disney Channel: Hannah Montana", "d:Description": "Official site for the show features U.S. schedule, show synopsis and character guide, games, videos, pictures and \"e-mail a character\" feature.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Hannah_Montana", "url": "http://disneychannel.disney.com/hannah-montana"} +{"d:Title": "Disney Channel: Lizzie McGuire", "d:Description": "Official site includes games, quizzes, photos and downloads.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Lizzie_McGuire", "url": "http://movies.disney.com/the-lizzie-mcguire-movie"} +{"d:Title": "The Internet Movie Database (IMDb): Lizzie McGuire", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Lizzie_McGuire", "url": "http://www.imdb.com/title/tt0273366/"} +{"d:Title": "Mouseketeer Lonnie's Clubhouse", "d:Description": "Lonnie Burr's page with pictures, updates and article on being one of the original Mouseketeers.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Mickey_Mouse_Club,_The", "url": "http://www.mouseketeerlonnieburr.com/"} +{"d:Title": "The Internet Movie Database (IMDb): Mickey Mouse Club, The (1955)", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Mickey_Mouse_Club,_The", "url": "http://www.imdb.com/title/tt0047757/"} +{"d:Title": "MMC Online", "d:Description": "Cast pictures and episode guide.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Mickey_Mouse_Club,_The/MMC_-_1989", "url": "http://www.geneofun.on.ca/mmconline/"} +{"d:Title": "Yahoo! Groups: All New Mouseketeers", "d:Description": "Role-playing stories based on the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Children's/Disney/Mickey_Mouse_Club,_The/MMC_-_1989", "url": "http://groups.yahoo.com/group/allnewmouseketeers/"} +{"d:Title": "The Internet Movie Database (IMDb): MMC (1989)", "d:Description": "Details on the production and cast. Includes viewer comments.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Mickey_Mouse_Club,_The/MMC_-_1989", "url": "http://www.imdb.com/title/tt0096641/"} +{"d:Title": "The New Mickey Mouse Club Renaissance Project", "d:Description": "Dedicated to preserving and promoting the memory of 1977's version of the show and its cast.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Mickey_Mouse_Club,_The/New_Mickey_Mouse_Club,_The_-_1977", "url": "http://members.tripod.com/~DM_One/index.html"} +{"d:Title": "The Internet Movie Database (IMDb): New Mickey Mouse Club, The (1977)", "d:Description": "Information on the show's cast and production.", "topic": "Top/Arts/Television/Programs/Children's/Disney/Mickey_Mouse_Club,_The/New_Mickey_Mouse_Club,_The_-_1977", "url": "http://www.imdb.com/title/tt0075545/"} +{"d:Title": "Donna's Day", "d:Description": "Official site for the series with newsletter and activities.", "topic": "Top/Arts/Television/Programs/Children's/Donna's_Day", "url": "http://www.donnasday.com/"} +{"d:Title": "The Internet Movie Database (IMDb): Donna's Day", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Donna's_Day", "url": "http://www.imdb.com/title/tt0310445/"} +{"d:Title": "PBS Kids: Dragonfly TV", "d:Description": "Official site with series overview, games, experiments, schedule, forum, science fair projects and scientist profiles.", "topic": "Top/Arts/Television/Programs/Children's/Dragonfly_TV", "url": "http://pbskids.org/dragonflytv/"} +{"d:Title": "Project Dragonfly", "d:Description": "Information on the magazine produced by Miami University and the National Science Teachers Association on which the series is based, including lessons divided into units.", "topic": "Top/Arts/Television/Programs/Children's/Dragonfly_TV", "url": "http://www.units.miamioh.edu/dragonfly/"} +{"d:Title": "Wikipedia: The Electric Company", "d:Description": "Synopsis of the show, along with a list of regular sketches and performers and lyrics to the show's theme song.", "topic": "Top/Arts/Television/Programs/Children's/Electric_Company,_The", "url": "http://en.wikipedia.org/wiki/The_Electric_Company"} +{"d:Title": "June Angela: The Electric Company", "d:Description": "Pictures and recollections from the longest-serving member of the Short Circus.", "topic": "Top/Arts/Television/Programs/Children's/Electric_Company,_The", "url": "http://www.juneangela.com/electric.html"} +{"d:Title": "The Internet Movie Database (IMDb): The Electric Company", "d:Description": "Cast and crew listings, synopsis and user comments.", "topic": "Top/Arts/Television/Programs/Children's/Electric_Company,_The", "url": "http://www.imdb.com/title/tt0066651/"} +{"d:Title": "TV.com: The Electric Company", "d:Description": "Episode listing and guide, cast and crew, goofs and nitpicks, and viewer comments.", "topic": "Top/Arts/Television/Programs/Children's/Electric_Company,_The", "url": "http://www.tv.com/electric-company/show/2402/summary.html"} +{"d:Title": "Wikipedia: Ghostwriter", "d:Description": "Show synopsis, cast member listing, season overview, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Ghostwriter", "url": "http://en.wikipedia.org/wiki/Ghostwriter_(television_series)"} +{"d:Title": "The Internet Movie Database (IMDb): Ghostwriter", "d:Description": "Cast and crew listings, guest stars, quotes, trivia and user comments.", "topic": "Top/Arts/Television/Programs/Children's/Ghostwriter", "url": "http://www.imdb.com/title/tt0108787/"} +{"d:Title": "TV.com: Ghostwriter", "d:Description": "Cast and crew lists, episode guide with airdates, news, goofs and forum.", "topic": "Top/Arts/Television/Programs/Children's/Ghostwriter", "url": "http://www.tv.com/shows/ghostwriter/"} +{"d:Title": "The Internet Movie Database (IMDb): Goosebumps", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Children's/Goosebumps", "url": "http://www.imdb.com/title/tt0111987/"} +{"d:Title": "Scholastic: Goosebumps TV", "d:Description": "Episode guide and list of shows on video.", "topic": "Top/Arts/Television/Programs/Children's/Goosebumps", "url": "http://goosebumps.scholastic.com/"} +{"d:Title": "The Internet Movie Database: \"Hey Dude\" (1989)", "d:Description": "Cast and crew, plot summary and user comments.", "topic": "Top/Arts/Television/Programs/Children's/Hey_Dude", "url": "http://imdb.com/title/tt0096610/"} +{"d:Title": "The Hey Dude Petition", "d:Description": "Petition to get the show put back on the air.", "topic": "Top/Arts/Television/Programs/Children's/Hey_Dude", "url": "http://www.thepetitionsite.com/takeaction/260369370?ts=1093853786&sign[partnerID]=1&sign[memberID]=530840531&sign[partner_userID]=530840531#body"} +{"d:Title": "Home Farm Twins", "d:Description": "Images, episode guide, and news about the series and its stars.", "topic": "Top/Arts/Television/Programs/Children's/Home_Farm_Twins", "url": "http://kim64uk.tripod.com/homefarmtwins/"} +{"d:Title": "IMDb: Home Farm Twins", "d:Description": "Cast and production information.", "topic": "Top/Arts/Television/Programs/Children's/Home_Farm_Twins", "url": "http://www.imdb.com/title/tt0173902/"} +{"d:Title": "TV.com: Home Farm Twins", "d:Description": "Overview of the show, news and cast.", "topic": "Top/Arts/Television/Programs/Children's/Home_Farm_Twins", "url": "http://www.tv.com/shows/home-farm-twins/"} +{"d:Title": "The Internet Movie Database (IMDb): Huggabug Club, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Huggabug_Club,_The", "url": "http://www.imdb.com/title/tt0112010/"} +{"d:Title": "The Internet Movie Database (IMDb): The Journey of Allen Strange", "d:Description": "Cast, crew, and production information about the show.", "topic": "Top/Arts/Television/Programs/Children's/Journey_of_Allen_Strange,_The", "url": "http://www.imdb.com/title/tt0143325/"} +{"d:Title": "The Internet Movie Database (IMDb): Kids Incorporated", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Kids_Incorporated", "url": "http://www.imdb.com/title/tt0086744/"} +{"d:Title": "IMDb: LazyTown", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/LazyTown", "url": "http://www.imdb.com/title/tt0396991/"} +{"d:Title": "GrnRngr.com", "d:Description": "Includes facts and trivia from the show's history, including coverage of toys, monsters, and zords.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "https://www.grnrngr.com/"} +{"d:Title": "Nak's Power Rangers", "d:Description": "Episode guide, character descriptions, pictures and links.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.nakspowerrangers.com/"} +{"d:Title": "1RANGER.com", "d:Description": "Episode summaries with commentary, information, and episode news.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.1ranger.com/"} +{"d:Title": "Power Rangers On Air Central", "d:Description": "Deals with the various television airings of the show.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.angelfire.com/scifi/prstuff/"} +{"d:Title": "Power Rangers Central", "d:Description": "Includes episode guide, series information and character descriptions.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.rangercentral.com/"} +{"d:Title": "Wikipedia: Power Rangers", "d:Description": "Includes information on the plot, characters, and seasons.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://en.wikipedia.org/wiki/Power_Rangers"} +{"d:Title": "Power Rangers Retrocenter", "d:Description": "Includes a season by season database, news, pictures, and downloads.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.ranger-retrocenter.com/"} +{"d:Title": "Power Rangers Gateway", "d:Description": "Includes information on Power Rangers Ninja Storm through Power Rangers Samurai.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.powerrangersgateway.com/"} +{"d:Title": "GKA Times", "d:Description": "A weblog with news, opinions, interviews, and other articles on the show.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://thegkatimes.blogspot.com/"} +{"d:Title": "Power Rangers Spoiler Warnings.", "d:Description": "Archive of episode summaries and episode lists. Includes a cast and crew guide.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://sirstack.db-destiny.net/prsw/default.htm"} +{"d:Title": "The OPR Network", "d:Description": "Includes MMPR website, a shrine to Rocky and Aisha, and a Ninja Storm gallery.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.angelfire.com/tv2/theoriginalprs/index.html"} +{"d:Title": "Linear Ranger's Power Rangers Site", "d:Description": "Includes episode guide, news, toy information, pictures and character, zord and vehicle guides for Space Patrol Delta, Mystic Force, and Operation Overdrive.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://linearranger.com/"} +{"d:Title": "Paladin's Power Rangers Toy Identification Guide", "d:Description": "Includes toy descriptions.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.green-ranger.com/prtoys/index.html"} +{"d:Title": "Megazord.net", "d:Description": "Includes an archive of Power Rangers Toys.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.megazord.net/"} +{"d:Title": "Morphing Gird Wiki", "d:Description": "Wiki that included information on the show's history, characters, timeline.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://powerrangers.wikia.com/wiki/Main_Page"} +{"d:Title": "Sodder's Power Rangers Gateway", "d:Description": "Compares some the recent seasons with their Japanese counterparts.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://sodders.phpwebhosting.com/"} +{"d:Title": "Power Morphicon", "d:Description": "The official website for official Power Rangers Fan Convention.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.officialpowermorphicon.com/"} +{"d:Title": "Power Rangers Official Website", "d:Description": "Official site for the TV series with profiles, pictures, games, and downloads.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://powerrangers.com/"} +{"d:Title": "Power Rangers Online", "d:Description": "Includes episode guide, season summaries, a character database, and message board.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.powerrangersonline.com/"} +{"d:Title": "Samurai Times", "d:Description": "News on Power Rangers Samurai and the franchise in general.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://prstimes.wordpress.com/2011/01/"} +{"d:Title": "Morphing Legacy", "d:Description": "A website that has a database for every season of the show. It also contains a news blog and links.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://morphinlegacy.com/"} +{"d:Title": "Overdrive 2007", "d:Description": "Includes information on the Rangers, zords, villains, and weapons.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://overdrive2007.wikifoundry.com/"} +{"d:Title": "Power Rangers TV.com", "d:Description": "Includes episode guide, episode reviews, and rumors.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://www.tv.com/shows/power-rangers-1993/"} +{"d:Title": "The Spandex Report", "d:Description": "A web portal with links to fan fiction, message board posts, new communities, multimedia, fan art, merchandise, and fandom events.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers", "url": "http://spandex-report.livejournal.com/"} +{"d:Title": "A Shrine to Aisha Campbell", "d:Description": "Information on the character and the actresses who played the role, video, episodes, and pictures.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Cast_and_Crew", "url": "http://www.purplelagoon.org/Aisha/main.html"} +{"d:Title": "Henshin Justice", "d:Description": "A message board to discuss Power Rangers, Super Sentai, and other shows featuring superheros.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Chats_and_Forums", "url": "http://www.henshinjustice.com/"} +{"d:Title": "Rangerboard", "d:Description": "Show discussion forum including fan fiction.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Chats_and_Forums", "url": "http://www.rangerboard.com/"} +{"d:Title": "Rangercrew", "d:Description": "A Message Board to discuss Power Rangers. Is visited by some of the cast and crew.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Chats_and_Forums", "url": "http://www.rangercrew.com/"} +{"d:Title": "Rangervision", "d:Description": "Includes Power Rangers General Discussion forum, merchandise, RPG, Fan Fiction, Site Comments, and Advirtisement forums", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Chats_and_Forums", "url": "http://www.rangervision.com/"} +{"d:Title": "Paladar`'s World of FanFics", "d:Description": "Fanfic archive for the show.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://www.paladar.com/fanware/index2.htm"} +{"d:Title": "Power Rangers Crystal Saga", "d:Description": "Includes picture, power ranger information, and links.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://www.angelfire.com/extreme3/crystalsaga/"} +{"d:Title": "Power Rangers", "d:Description": "Listing of fan fiction stories submitted by fan writers.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://unicornprincess_1999.tripod.com/power/index.html"} +{"d:Title": "Power Rangers For Infinity: Fan Fiction", "d:Description": "Fan Fiction section of Power Rangers For Infinity.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://www.members.tripod.com/chibicelchanprfi/id43.htm"} +{"d:Title": "Fanfiction.net: Power Rangers", "d:Description": "Includes stories based on the tv show.", "priority": "1", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://www.fanfiction.net/tv/Power_Rangers/"} +{"d:Title": "C&S FanFic Chamber", "d:Description": "Includes stories written by several authors.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://cawooden.tripod.com/"} +{"d:Title": "WWWF Grudge Match: Mighty Morphin Power Rangers vs. Teenage Mutant Ninja Turtles", "d:Description": "A kung-fu battle royale.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://www.grudge-match.com/History/tmnt-mmpr.shtml"} +{"d:Title": "Writers Intuition", "d:Description": "An interactive Message Board to post Power Rangers and other Toku fan fics.", "topic": "Top/Arts/Television/Programs/Children's/Mighty_Morphin_Power_Rangers/Fan_Fiction", "url": "http://writersintuition.b1.jcink.com/index.php?"} +{"d:Title": "WWWF Grudge Match", "d:Description": "What if Mister Rogers took on Captain Kangaroo?", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood", "url": "http://www.grudge-match.com/History/captaink-mrrogers.shtml"} +{"d:Title": "Family Communications, Inc.", "d:Description": "The producers of Mister Rogers' Neighborhood and other educational materials for families, schools, childcare providers, and other family support professionals.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood", "url": "http://www.fci.org/"} +{"d:Title": "PBS Kids - Mister Rogers' Neighborhood", "d:Description": "Several activities for parents and children, song lyrics, fun facts about Mister Rogers, input from children and parents, TV-show schedule, and timeline.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood", "url": "http://pbskids.org/rogers/"} +{"d:Title": "The Internet Movie Database (IMDb): Mister Rogers' Neighborhood", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood", "url": "http://www.imdb.com/title/tt0062588/"} +{"d:Title": "Dartmouth College 2002 Commencement Address", "d:Description": "Text of Rogers' address to the graduating class delivered on June 9, 2002.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood/Rogers,_Fred_McFeely", "url": "http://www.dartmouth.edu/~news/releases/2002/june/060902c.html"} +{"d:Title": "Salon.com: Fred Rogers", "d:Description": "A 1998 Brilliant Careers biography and career profile of the children's television star.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood/Rogers,_Fred_McFeely", "url": "http://www.salon.com/people/bc/1999/08/10/rogers/"} +{"d:Title": "AARP/NRTA Education Watch: Mr. Rogers' Passion for Learning", "d:Description": "A short, informal interview in which Fred Rogers discusses retirement, his childhood, spirituality, role models, and other subjects.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood/Rogers,_Fred_McFeely", "url": "http://www.aarp.org/nrta/Articles/a2002-12-19-nrta_rogers"} +{"d:Title": "NPR: Mister Rogers - A Remembrance", "d:Description": "Fred Rogers, the host of Mister Rogers' Neighborhood, dies of cancer at the age of 74. NPR's All Things Considered offers a remembrance. [Collection of Realaudio streams of show segments from NPR radio.]", "priority": "1", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood/Rogers,_Fred_McFeely", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1177280"} +{"d:Title": "Pittsburgh Post-Gazette - Fred Rogers' 'retirement' busy with books, songs, appearances", "d:Description": "Summary of Mr. Rogers' many activities after ending production of his show.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood/Rogers,_Fred_McFeely", "url": "http://www.post-gazette.com/ae/20020409rogersside0409fnp4.asp"} +{"d:Title": "Pittsburgh Post-Gazette Magazine - There goes the Neighborhood: Mister Rogers will make last episodes of show in December", "d:Description": "Long article which discusses the taping of the show's final episodes as well as the legacy of Mr. Rogers' Neighborhood to PBS and to television in general.", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood/Rogers,_Fred_McFeely", "url": "http://www.post-gazette.com/tv/20001112rogers2.asp"} +{"d:Title": "Pittsburgh Post-Gazette - 'Farewell, Neighbor': Fred Rogers, 1928 - 2003", "d:Description": "Hometown newspaper provides articles from continuing news coverage and from a special section published Feb. 28, 2003 in which writers, artists and photographers chronicle the reaction to Rogers' passing and the breadth of his legacy.", "priority": "1", "topic": "Top/Arts/Television/Programs/Children's/Mr._Rogers'_Neighborhood/Rogers,_Fred_McFeely", "url": "http://www.post-gazette.com/ae/20030228rogersindexae1p9.asp"} +{"d:Title": "Epguides.com: The Mystery Files of Shelby Woo", "d:Description": "Cast list and episode titles with air dates.", "topic": "Top/Arts/Television/Programs/Children's/Mystery_Files_of_Shelby_Woo,_The", "url": "http://epguides.com/MysteryFilesofShelbyWoo/"} +{"d:Title": "The Internet Movie Database (IMDb): The Mystery Files of Shelby Woo", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Mystery_Files_of_Shelby_Woo,_The", "url": "http://www.imdb.com/title/tt0115283/"} +{"d:Title": "PeeWee Herman's Page", "d:Description": "Collection of show and merchandise images.", "topic": "Top/Arts/Television/Programs/Children's/Pee-Wee's_Playhouse", "url": "http://members.tripod.com/~Pweee/"} +{"d:Title": "Conky 2000 of Pee Wee Herman's Playhouse", "d:Description": "Fan page with pictures and an audio clip of the show's homemade robot.", "topic": "Top/Arts/Television/Programs/Children's/Pee-Wee's_Playhouse", "url": "http://www.jeffbots.com/conky2000.html"} +{"d:Title": "Pee Wee's Playhouse", "d:Description": "Official site providing characters, gallery, downloads and DVD information.", "topic": "Top/Arts/Television/Programs/Children's/Pee-Wee's_Playhouse", "url": "http://www.peewee.com/"} +{"d:Title": "IMDb: Pee-wee's Playhouse", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Pee-Wee's_Playhouse", "url": "http://www.imdb.com/title/tt0090500/"} +{"d:Title": "K&Chieko's Pingu Gallery", "d:Description": "Fan page with collected items, art, and a research report.", "topic": "Top/Arts/Television/Programs/Children's/Pingu", "url": "http://www.asahi-net.or.jp/~ef4m-tkn/"} +{"d:Title": "HIT Entertainment: Pingu", "d:Description": "Official site from the show's distributor with games, news, and photos. [Requires Macromedia Shockwave.]", "topic": "Top/Arts/Television/Programs/Children's/Pingu", "url": "http://www.pingu.net/uk/"} +{"d:Title": "The Internet Movie Database (IMDb): Popular Mechanics for Kids", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Popular_Mechanics_for_Kids", "url": "http://www.imdb.com/title/tt0126169/"} +{"d:Title": "TV.com: Popular Mechanics for Kids", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Children's/Popular_Mechanics_for_Kids", "url": "http://www.tv.com/shows/popular-mechanics-for-kids/"} +{"d:Title": "The Internet Movie Database (IMDb): Powerhouse", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Powerhouse", "url": "http://www.imdb.com/title/tt0211846/"} +{"d:Title": "PBS Kids: Reading Rainbow", "d:Description": "Features activities, games, book reviews, young authors' and illustrators' stories, and information on the host.", "topic": "Top/Arts/Television/Programs/Children's/Reading_Rainbow", "url": "http://pbskids.org/readingrainbow/"} +{"d:Title": "Victoria Advocate: \"Reading Rainbow\" fights for survival", "d:Description": "In a plea for the life of \"Reading Rainbow,\" host LeVar Burton returned to a familiar setting: the stage where he picked up the PBS show's seventh Emmy Award for best children's television series. Associated Press article.", "topic": "Top/Arts/Television/Programs/Children's/Reading_Rainbow", "url": "http://news.google.com/newspapers?id=iLFdAAAAIBAJ&sjid=-F0NAAAAIBAJ&pg=6748,482146&dq=reading-rainbow+fights+for+survival&hl=en"} +{"d:Title": "Reading Rainbow Index of Programs", "d:Description": "Program descriptions and activity suggestions for many books featured on the show.", "topic": "Top/Arts/Television/Programs/Children's/Reading_Rainbow", "url": "http://www.canlearn.com/ReadingR/rrlist.html"} +{"d:Title": "The Internet Movie Database (IMDb): Reading Rainbow", "d:Description": "Credits, message boards, awards, and nominations.", "topic": "Top/Arts/Television/Programs/Children's/Reading_Rainbow", "url": "http://www.imdb.com/title/tt0085075/"} +{"d:Title": "Forbes.com: \"'Reading Rainbow' Closes Kickstarter Campaign At Over $5.4 Million\"", "d:Description": "Article on the successful 2014 Kickstarter campaign to reboot the program as an iPad and Kindle Fire app.", "topic": "Top/Arts/Television/Programs/Children's/Reading_Rainbow", "url": "http://www.forbes.com/sites/amitchowdhry/2014/07/03/reading-rainbow-closes-kickstarter-crowdfunding-campaign-at-over-5-4-million/"} +{"d:Title": "Reading Rainbow official website", "d:Description": "Home of the television series and 2014 apps. Includes videos, downloads, and community.", "topic": "Top/Arts/Television/Programs/Children's/Reading_Rainbow", "url": "https://www.readingrainbow.com/"} +{"d:Title": "TV.com: Reading Rainbow", "d:Description": "Show overview, episode guide, and user reviews.", "topic": "Top/Arts/Television/Programs/Children's/Reading_Rainbow", "url": "http://www.tv.com/shows/reading-rainbow/"} +{"d:Title": "Clash17", "d:Description": "Episode guide, sound clips, and links.", "topic": "Top/Arts/Television/Programs/Children's/Salute_Your_Shorts", "url": "http://www.angelfire.com/nj/Saluteyourshorts/"} +{"d:Title": "The Internet Movie Database (IMDb): Salute Your Shorts", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Salute_Your_Shorts", "url": "http://www.imdb.com/title/tt0101190/"} +{"d:Title": "On the Set: Alex Mack: The Secret World of the Alex Mack Prop Guy", "d:Description": "Propmaker Burk Sauls's experiences working on the show, including the making of the robot of the episode \"Operation Breakout,\" which he wrote.", "topic": "Top/Arts/Television/Programs/Children's/Secret_World_of_Alex_Mack,_The", "url": "http://www.racprops.com/issue1/onset_alexmack/"} +{"d:Title": "The Internet Movie Database (IMDb): Secret World of Alex Mack, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Children's/Secret_World_of_Alex_Mack,_The", "url": "http://www.imdb.com/title/tt0108921/"} +{"d:Title": "Sesame Street Live", "d:Description": "Live shows based on the series; includes tour dates, characters, biographical and contact information.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://www.sesamestreetlive.com/"} +{"d:Title": "The Alexander Home Page: Sesame Street's 25th Birthday - A Musical Celebration", "d:Description": "A review of a video that gave great enjoyment and comfort to a toddler, so the parents decided to write a review.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://www.leaptoad.com/ahp/sesamestreet.shtml"} +{"d:Title": "Muppet Central Articles - Sesame Street Quiz", "d:Description": "Twenty challenging questions about the show. Most deal with plotlines from the earlier years.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://www.muppetcentral.com/guides/trivia/sesame.shtml"} +{"d:Title": "Hooperfan's Page", "d:Description": "A tribute to the show's early, classic years. Includes photographs and music, as well as a transcript of \"All About Sesame Street\", a rare 1971 book.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://members.tripod.com/~hooperfan/index-2.html"} +{"d:Title": "Sesame Workshop", "d:Description": "The non-profit educational organization behind the show and related educational outreach.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://www.sesameworkshop.org/"} +{"d:Title": "PBS Kids: Sesame Street", "d:Description": "Officials complementing the series, including games, coloring pages, and information for parents and caregivers.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://pbskids.org/sesame/"} +{"d:Title": "Sesame Street Lyrics Archive", "d:Description": "Words to some of the show's more memorable songs and segments.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://members.tripod.com/Tiny_Dancer/sesame1.html"} +{"d:Title": "Wikipedia: Sesame Street", "d:Description": "An article profiling the series Sesame Street, its characters, guest stars, history, specials, merchandise, spin-offs, and international co-productions.", "priority": "1", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://en.wikipedia.org/wiki/Sesame_Street"} +{"d:Title": "Muppet Wiki: Sesame Street", "d:Description": "Extensive information on specific characters, episodes, merchandise, etc.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://muppet.wikia.com/wiki/Sesame_Street"} +{"d:Title": "The Internet Movie Database (IMDb): Sesame Street", "d:Description": "Contains plot outline, cast information, and guest star listings.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://www.imdb.com/title/tt0063951/"} +{"d:Title": "Sesame Workshop Books", "d:Description": "Directory of Random House's collection of Sesame Street books for sale.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://www.randomhousekids.com/brand/sesame-street/books/"} +{"d:Title": "TV.com: Sesame Street", "d:Description": "Show information, acting and producing credits, news links, episode guide.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street", "url": "http://www.tv.com/shows/sesame-street/"} +{"d:Title": "CNN - Big Bird and Kmart do business on the Web - May 6, 1999", "d:Description": "How do you get past the sticky issue of marketing kids' products on the Internet without exploiting children? The answer may lie in the company you keep.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.cnn.com/TECH/computing/9905/06/bigbird.idg/index.html"} +{"d:Title": "CNN - 'Sesame Street' takes a bow to 30 animated years - November 12, 1998", "d:Description": "It's been 30 years since Kermit the Frog and Big Bird first sang their way into the hearts of TV-viewing kids. Back then, \"Sesame Street\" could only be seen on PBS in the United States. It's now an institution in 140 countries.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.cnn.com/SHOWBIZ/TV/9811/13/sesame.street/index.html"} +{"d:Title": "BBC News: Big Bird's big day", "d:Description": "The series which started as a way of teaching children their ABCs is celebrating its 30th anniversary.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://news.bbc.co.uk/2/hi/entertainment/215701.stm"} +{"d:Title": "BBC News- Sesame Street breaks Iraqi POWs", "d:Description": "US interrogators are using Western children's tunes and heavy metal music to break the will of Iraqi prisoners.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/world/middle_east/3042907.stm"} +{"d:Title": "NPR : Remembering Jeffrey Moss", "d:Description": "Remembrance of the creator of Oscar the Grouch, Cookie Monster, and the bathtime classic, \"Rubber Ducky.\" Jeffrey Moss, longtime Sesame Street collaborator, died this week at the age of 56. Jon Kalish has an appreciation. [2:43 Realaudio broadcast]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1006529"} +{"d:Title": "NPR : Sesame Street's 30th", "d:Description": "As the 30th anniversary of the famed children's program approaches, Weekend Edition popular culture commentator Steven Stark looks at its longevity. [2:43 Realaudio broadcast]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://discover.npr.org/features/feature.jhtml?wfId=1065724"} +{"d:Title": "Muppet Central Reviews - Sesame Street Unpaved", "d:Description": "Various reviews of a guide book to the show.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.muppetcentral.com/articles/reviews/merchandise/unpaved.shtml"} +{"d:Title": "Muppet Central Reviews - Strong Museum Sesame Street Exhibit", "d:Description": "About an interactive exhibit in Rochester, New York.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.muppetcentral.com/articles/reviews/exhibits/strongexhibit.shtml"} +{"d:Title": "NPR : 'Sesame Street' Shrinks in Crowded Kids' TV Market", "d:Description": "The pioneering PBS children's show Sesame Street, which is in its 35th season, has substantially reduced its writing staff and will only create 26 new episodes this year. The cuts come as PBS faces increased competition for preschoolers' attention from fare on cable TV and video. Jon Kalish reports. [4:27 Realaudio broadcast]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1917686"} +{"d:Title": "NPR : Interrogation Music", "d:Description": "NPR's Linda Wertheimer speaks with Christopher Cerf, who has written songs for Sesame Street for over 30 years, and is most recently the co-editor of the Iraq War Reader, about a new tactic used by the United States military on Iraqi prisoners of war. U.S. Psy Ops is playing heavy metal music and songs from the children's programs Sesame Street and Barney for extended periods in order to persuade the prisoners to reveal secret information. [4:25 Realaudio broadcast]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1290449"} +{"d:Title": "NPR : Retrospective on Composer and Writer Jim Moss", "d:Description": "Moss was one of the original creators and writers of Sesame Street. Moss created Cookie Monster and Oscar the Grouch, and wrote such songs as 'Rubber Ducky' and 'People in Your Neighborhood.' He won 14 Emmys, four Grammys and an Academy Award nomination for his work on Sesame Street and with the Muppets. Moss was also the author of books for children, including Hieronymus White: A Bird Who Believed That He Always Was Right. He died in 1998 at the age of 56. [20:26 Realaudio broadcast]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1576637"} +{"d:Title": "NPR : William Galison's Harmonica Jazz", "d:Description": "William Galison is one of the world's leading harmonica players. He is heard on the theme of the children's TV show Sesame Street, and has also worked on a number of movie soundtracks. Now Galison is teaming with jazz singer Madi Peyroux on a recording entitled Got You On My Mind, to be released in February. Hear NPR's Liane Hansen and Galison about the album, his career, and how he got involved with Sesame Street. [9:18 Realaudio broadcast]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1603560"} +{"d:Title": "NPR : A Life Inside Big Bird", "d:Description": "At eight-foot-two, he's easily the biggest star in children's television. But it's a small world inside the costume of Sesame Street's Big Bird. Caroll Spinney, who also performs Oscar the Grouch, discusses the evolution of Big Bird with NPR's Bob Edwards. See a video clip of Spinney's characters.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1249919"} +{"d:Title": "NPR : 'Sesame Street' Turns 35: Kevin Clash and Elmo", "d:Description": "NPR's Tavis Smiley talks with Kevin Clash, puppeteer and the voice of the popular Sesame Street character Elmo, about his career working with puppets and the 35th anniversary of Sesame Street. [9:09 streaming audio broadcast.]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://www.npr.org/templates/story/story.php?storyId=1816191"} +{"d:Title": "Wikinews: Sesame Street to promote healthy lifestyles", "d:Description": "PBS has recently decided it was time their Cookie Monster was sent on a diet. Sesame Street's cookie-loving Muppet icon developed a sudden interest in vegetables, as part of PBS's drive to instill a healthy lifestyle in children.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Articles_and_Interviews", "url": "http://en.wikinews.org/wiki/Sesame_Street_to_promote_healthy_lifestyles"} +{"d:Title": "Rick Lyon - Elmo's World", "d:Description": "CGI puppeteer for the new show segment.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Cast_and_Crew", "url": "http://www.lyonpuppets.com/elmosworld.html"} +{"d:Title": "Bob McGrath's Official Website", "d:Description": "Includes a biography, story posting board and details on his work on the show and as a tenor opera singer.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Cast_and_Crew", "url": "http://www.bobmcgrath.com/"} +{"d:Title": "Wikipedia: Bob McGrath", "d:Description": "Encyclopedia article on the actor.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Cast_and_Crew", "url": "http://en.wikipedia.org/wiki/Bob_McGrath"} +{"d:Title": "Wikipedia: List of celebrity guest stars on Sesame Street", "d:Description": "The most complete list on the internet of guest stars on the show.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Cast_and_Crew/Guest_Stars", "url": "http://en.wikipedia.org/wiki/List_of_celebrity_guest_stars_on_Sesame_Street"} +{"d:Title": "Welcome to Sesame Street", "d:Description": "Character profiles and pictures.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters", "url": "http://sesamestreet.freeservers.com/"} +{"d:Title": "Characters on Sesame Street and the Muppet Show", "d:Description": "List of characters from the Muppet Show, Sesame Street, and Muppets Tonight. Sesame Street section features the American Muppets and humans, as well as foreign Muppets.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters", "url": "http://www-cs-students.stanford.edu/~csilvers/muppet-characters.html"} +{"d:Title": "Sesame Street: Muppets", "d:Description": "A series of dozens of pages for recurring Muppets on \"Sesame Street\", past and present.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters", "url": "http://www.sesamestreet.org/muppets?onInit=showAll"} +{"d:Title": "Yahoo! Groups : bigbirdsnest", "d:Description": "Message board, chat, picture.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Big_Bird", "url": "http://groups.yahoo.com/group/bigbirdsnest/"} +{"d:Title": "DLTK's Printable Crafts for Kids: Big Bird", "d:Description": "Printable template for a Big Bird toilet paper roll craft.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Big_Bird", "url": "http://www.dltk-kids.com/crafts/cartoons/mbigbird.html"} +{"d:Title": "Wikipedia: Big Bird", "d:Description": "An article on the Sesame Street character, including his family and international adaptations.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Big_Bird", "url": "http://en.wikipedia.org/wiki/Big_Bird"} +{"d:Title": "WWWF Grudge Match: Keebler Elves vs. Cookie Monster", "d:Description": "The Cookie Monster attacks the Keebler Hollow Tree in search of the sweet treasures inside. Can the Elves fend him off? [Humor]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Cookie_Monster", "url": "http://www.grudge-match.com/History/cookie-keebler.shtml"} +{"d:Title": "Yahoo! Groups : Cookie Monster Is Cool", "d:Description": "Message board, chat.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Cookie_Monster", "url": "http://groups.yahoo.com/group/CookieMonsterIsCool/"} +{"d:Title": "BBC News: Cookie Monster 'assaulted'", "d:Description": "A man is accused of assaulting the Cookie Monster at a Sesame Street theme park in the US.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Cookie_Monster", "url": "http://news.bbc.co.uk/2/hi/world/americas/1400817.stm"} +{"d:Title": "Yahoo! Groups: The Cookie Monster Society", "d:Description": "Message board, chat, photo, links.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Cookie_Monster", "url": "http://groups.yahoo.com/group/thecookiemonstersociety/"} +{"d:Title": "Yahoo! Groups: The Cookie Monster", "d:Description": "Message board, chat, photos, links. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Cookie_Monster", "url": "http://groups.yahoo.com/group/thecookiemonster/"} +{"d:Title": "ABCNEWS.com : Man Arrested for Assaulting Cookie Monster", "d:Description": "A man's plan to have his young daughter meet the Cookie Monster crumbled when he was arrested for allegedly assaulting the furry blue Sesame Street character.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Cookie_Monster", "url": "http://abcnews.go.com/US/story?id=93043&page=1"} +{"d:Title": "Wikipedia: Cookie Monster", "d:Description": "An article on the Sesame Street Muppet, including his roles on the show, appearances, and other uses of the name.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Cookie_Monster", "url": "http://en.wikipedia.org/wiki/Cookie_Monster"} +{"d:Title": "Elmo's Alphabet", "d:Description": "Series of Elmo pictures for each letter of the alphabet.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://kreutzer_laura.tripod.com/"} +{"d:Title": "Elmo and Friends", "d:Description": "A list of companies that produce Elmo dolls. Includes pictures of Elmo dolls and his friends.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://www.elmoandfriends.com/"} +{"d:Title": "Elmo's Page", "d:Description": "Some pictures, sounds, and a virtual \"Tickle Me Elmer.\"", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/~tickleme/"} +{"d:Title": "Sleeping Elmo's Sesame Place", "d:Description": "A guide to Sesame Street featuring Elmo.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/sesame_st/"} +{"d:Title": "Kirsten's Elmo Page", "d:Description": "Some sights and sounds of Elmo.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/anton_wierenga/"} +{"d:Title": "Elmo's Schoolhouse", "d:Description": "Learn how to count and the letters of the alphabet with Elmo.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/kreutzer_laura/"} +{"d:Title": "Elmo's Photo Storybook", "d:Description": "A story about Elmo.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/denevan/elmo_story_photo.htm"} +{"d:Title": "Jessica's Elmo Page", "d:Description": "An Elmo fan site.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/sabbyj/jessielm.html"} +{"d:Title": "Elmo", "d:Description": "Some Elmo facts and songs.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/vivi_vivian/elmo.htm"} +{"d:Title": "Skeeter Loves Elmo", "d:Description": "Discover everyday life of this little Elmo fan.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/emily_dances/indexELMO.html"} +{"d:Title": "Elmo's Baby of the Month", "d:Description": "A photo of a little Elmo fan is selected every month for this honor.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://www.members.tripod.com/~dlray/elmobaby.html"} +{"d:Title": "Terri's Elmo Page", "d:Description": "This fan site includes some original Elmo graphics.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://members.tripod.com/~lemonade16/elmo/"} +{"d:Title": "Wikipedia: Elmo", "d:Description": "Encyclopedia article about the Sesame Street character Elmo.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo", "url": "http://en.wikipedia.org/wiki/Elmo"} +{"d:Title": "Elmo's Lover Room", "d:Description": "Message board and chat for Elmo's fans.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo/Clubs", "url": "http://groups.yahoo.com/group/elmosloverroom/"} +{"d:Title": "Elmo's House", "d:Description": "For anyone who enjoys the little fuzzy monster from Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo/Clubs", "url": "http://groups.yahoo.com/group/elmoshouse/"} +{"d:Title": "Elmoland", "d:Description": "Discussion for Elmo addicts.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo/Clubs", "url": "http://groups.yahoo.com/group/elmoland/"} +{"d:Title": "Yahoo! Groups: The Elmo Support Group", "d:Description": "Message board, chat, and photos. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo/Clubs", "url": "http://groups.yahoo.com/group/theelmosupportgroup/"} +{"d:Title": "Wikipedia: Tickle Me Elmo", "d:Description": "Encyclopedia article on the toy.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Elmo/Tickle_Me_Elmo", "url": "http://en.wikipedia.org/wiki/Tickle_Me_Elmo"} +{"d:Title": "ABCNEWS.com : 'Sesame Street' Threatens Lawsuit Over Gay Muppet Rumors", "d:Description": "Sesame Workshop is threatening to sue, in an effort to prove their Muppets Ernie and Bert are not gay.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert", "url": "http://abcnews.go.com/US/story?id=91748&page=1"} +{"d:Title": "Wikipedia: Bert and Ernie", "d:Description": "Encyclopedia article on the comedy duo, leading to separate articles on each.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert", "url": "http://en.wikipedia.org/wiki/Bert_and_Ernie"} +{"d:Title": "Urban Legends Reference Pages: Toe Tag Ernie", "d:Description": "About a false rumor after puppeteer Jim Henson died, that Ernie death would be mentioned.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert", "url": "http://www.snopes.com/radiotv/tv/deadmuppet.asp"} +{"d:Title": "Muppet Wiki: Ernie and Bert Sketches", "d:Description": "A complete guide to sketches on Sesame Street with Bert, Ernie, or both, organized into 17 separate pages.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert", "url": "http://muppet.wikia.com/wiki/Category:Ernie_and_Bert_Sketches"} +{"d:Title": "Sesame Street: Ernie", "d:Description": "Official character page, with videos, playlists, games, and printables.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert", "url": "http://www.sesamestreet.org/muppets/ernie"} +{"d:Title": "Sesame Street: Bert", "d:Description": "Official character page, with videos, playlists, games, and printables.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert", "url": "http://www.sesamestreet.org/muppets/bert"} +{"d:Title": "Urban Legends Reference Pages: Open Sesame", "d:Description": "Are the beloved mupperts Bert and Ernie of Sesame Street fame live-in lovers?", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert", "url": "http://www.snopes.com/radiotv/tv/gaymuppet.asp"} +{"d:Title": "BBC: Bert in the frame with Bin Laden", "d:Description": "The makers of the children's TV programme are angered by the images of the yellow Muppet on Bin Laden placards.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert/Bert_Is_Evil", "url": "http://news.bbc.co.uk/1/hi/world/south_asia/1594600.stm"} +{"d:Title": "Fox News: Bin Laden's Felt-Skinned Henchman?", "d:Description": "Do the global terror links reach even as far as Sesame Street? Is Bert the Muppet a henchman of terrorist mastermind Usama bin Laden?", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert/Bert_Is_Evil", "url": "http://www.foxnews.com/story/0,2933,36218,00.html"} +{"d:Title": "Slashdot: Bert Is Evil", "d:Description": "Discussion board.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert/Bert_Is_Evil", "url": "http://slashdot.org/article.pl?sid=01/10/10/2346248&mode=nested"} +{"d:Title": "Wikipedia: Bert is Evil", "d:Description": "An article about the website and resultant incident, surrounding supporters of terrorist Osama Bin Laden.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert/Bert_Is_Evil", "url": "http://en.wikipedia.org/wiki/Bert_is_Evil"} +{"d:Title": "Urban Legends Reference Pages: Rumors of War (Bert Is Evil!)", "d:Description": "Bert appears on posters carried by supporters of Osama bin Laden.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Ernie_and_Bert/Bert_Is_Evil", "url": "http://www.snopes.com/rumors/bert.asp"} +{"d:Title": "Wikipedia: Grover", "d:Description": "Encyclopedia article on Sesame Street's Grover Monster, his appearances (including Global Grover)", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Grover", "url": "http://en.wikipedia.org/wiki/Grover"} +{"d:Title": "Tough Pigs: My Week with Grover's Mom", "d:Description": "A look at the changing appearance and life of Grover's Mommy, throughout Sesame Street books.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Grover", "url": "http://www.toughpigs.com/myweekgroversmom04.htm"} +{"d:Title": "Muppet Wiki: Grover", "d:Description": "Extensive article on the character, linking to pages about his sketches, books, puppet variants through the years, international dubs.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Grover", "url": "http://muppet.wikia.com/wiki/Grover"} +{"d:Title": "Sesame Street: Grover", "d:Description": "Official page for the character, featuring extensive videos, themed video playlists, games, colouring sheets of Grover.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Grover", "url": "http://www.sesamestreet.org/muppets/grover"} +{"d:Title": "Yahoo! Clubs: Yip yips", "d:Description": "A club for fans of the yip yips on Sesame Street. Photo, chat, posting board.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Yip_Yips", "url": "http://groups.yahoo.com/group/yipyips/"} +{"d:Title": "Wikipedia: Yip-Yips", "d:Description": "An encyclopedia article on these elusive Sesame Street characters.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/Characters/Yip_Yips", "url": "http://en.wikipedia.org/wiki/Yip-Yips"} +{"d:Title": "AWN: Can You Tell Me How To Get To Sesamstrasse?", "d:Description": "CTW is bringing Sesame Street to children all over the world by using a variety of techniques, including local co-productions. Karen Raugust explains how this cultural sensitivity keeps the learning coming.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://www.awn.com/mag/issue4.07/4.07pages/raugustctw.php3"} +{"d:Title": "CNN - Chinese children to come and play on Sesame Street", "d:Description": "Chinese children to come and play on Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://www.cnn.com/SHOWBIZ/9711/07/china.sesame.street/index.html"} +{"d:Title": "Muppet Central News - South Africa's Sesame Street Gets HIV+ Muppet", "d:Description": "Photo and article about the new muppet on Takalani Sesame.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://www.muppetcentral.com/news/2002/091702.shtml"} +{"d:Title": "Time Daily: A Muppet Middle East Peace", "d:Description": "Israelis, Palestinians produce joint Sesame Street to teach their children well.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://www.time.com/time/nation/article/0,8599,10772,00.html"} +{"d:Title": "Wikipedia: 5, Rue S\u00e9same", "d:Description": "Encyclopedia article on the second French version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/5,_Rue_S%C3%A9same"} +{"d:Title": "Wikipedia: Sesame India", "d:Description": "Encyclopedia article on the Indian version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Sesame_India"} +{"d:Title": "Wikipedia: Takalani Sesame", "d:Description": "Encyclopedia article on the South African version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Takalani_Sesame"} +{"d:Title": "Wikipedia: Rechov Sumsum", "d:Description": "Encyclopedia article on the Israeli version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Rechov_Sumsum"} +{"d:Title": "Wikipedia: Ulitsa Sezam", "d:Description": "Encyclopedia article on the Russian version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Ulitsa_Sezam"} +{"d:Title": "Wikipedia: Sesam Stasjon", "d:Description": "Encyclopedia article on the Norwegian version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Sesam_Stasjon"} +{"d:Title": "Wikipedia: Vila S\u00e9samo", "d:Description": "Encyclopedia article on the Brazilian version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Vila_S%C3%A9samo"} +{"d:Title": "Wikipedia: Plaza S\u00e9samo", "d:Description": "Encyclopedia article on the Mexican version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Plaza_S%C3%A9samo"} +{"d:Title": "Wikipedia: Sesamstra\u00dfe", "d:Description": "Encyclopedia article on the German version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Sesamstra%C3%9Fe"} +{"d:Title": "Wikipedia: Susam Sokagi", "d:Description": "Encyclopedia article on the Turkish version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://en.wikipedia.org/wiki/Susam_Sokagi"} +{"d:Title": "Tough Pigs News Extra -- Israeli-Palestinian Battles Intrude on Sesame Street", "d:Description": "Reviews the partnership of the two nations in creating this version of Sesame Street, in order to teach the new generation civilty.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://toughpigs.com/extrasesamebattles.htm"} +{"d:Title": "Tough Pigs News Extra -- South Africa's Sesame Street Gets HIV-Positive Muppet", "d:Description": "About the new Muppet on Takalani Sesame.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions", "url": "http://toughpigs.com/extratakalanikami.htm"} +{"d:Title": "Wikipedia: Sesame Park", "d:Description": "Encyclopedia article on the Canadian version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions/Canada's_Sesame_Park", "url": "http://en.wikipedia.org/wiki/Sesame_Park"} +{"d:Title": "Canadian Journal of Communication: CBC Sesame Street: A Description and Discussion of Issues", "d:Description": "A 1992 essay on the reason behind the Canadian variation, because of social issues, environment and ratios of minorities in Canada's population. Richard F. Lewis, University of Windsor.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions/Canada's_Sesame_Park", "url": "http://www.cjc-online.ca/index.php/journal/article/view/683/589"} +{"d:Title": "Muppet Wiki: Sesame Park", "d:Description": "A series of webpages about the series, including an episode guide for the fourth and fifth seasons. History of the program, photographs, links to performer profiles.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions/Canada's_Sesame_Park", "url": "http://muppet.wikia.com/wiki/Sesame_Park"} +{"d:Title": "Muppet Central News - Sesame debuts in Russia", "d:Description": "About the development of a Russian version of the classic American show. Lists main characters, describing differences in the format and setting for a unique audience.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions/Street-Ulitsa_Sezam", "url": "http://www.muppetcentral.com/news/1999/090899.shtml"} +{"d:Title": "The Almanac - 96.09", "d:Description": "Page includes information on the 15th international version of the show.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions/Street-Ulitsa_Sezam", "url": "http://www.theatlantic.com/issues/96sep/9609am/9609am.htm"} +{"d:Title": "Wikipedia: Zhima Jie", "d:Description": "Encyclopedia article on the Chinese version of Sesame Street.", "topic": "Top/Arts/Television/Programs/Children's/Sesame_Street/International_Versions/Zhima_Jie", "url": "http://en.wikipedia.org/wiki/Zhima_Jie"} +{"d:Title": "Shining Time Station", "d:Description": "Fan site with brief episode summaries, theme song lyrics, audio samples, pictures and a history of Thomas the Tank Engine.", "topic": "Top/Arts/Television/Programs/Children's/Shining_Time_Station", "url": "http://www.angelfire.com/nc/shiningtime/"} +{"d:Title": "John's Word Search Puzzles: Shining Time Station", "d:Description": "Puzzle featuring words and characters from the show.", "topic": "Top/Arts/Television/Programs/Children's/Shining_Time_Station", "url": "http://www.thepotters.com/puzzles/shining.html"} +{"d:Title": "The Internet Movie Database: \"Shining Time Station\" (1990)", "d:Description": "Cast and crew listings, quotes, reviews and shooting locations.", "topic": "Top/Arts/Television/Programs/Children's/Shining_Time_Station", "url": "http://www.imdb.com/title/tt0098910/"} +{"d:Title": "So Weird Webpage", "d:Description": "Pictures, information, news, polls, and contests.", "topic": "Top/Arts/Television/Programs/Children's/So_Weird", "url": "http://members.tripod.com/tiggertiger185/home_summer.htm"} +{"d:Title": "The Internet Movie Database (IMDb): So Weird", "d:Description": "Cast and crew listings, guest stars, quotes, user comments and message board.", "topic": "Top/Arts/Television/Programs/Children's/So_Weird", "url": "http://imdb.com/title/tt0169247/"} +{"d:Title": "mastHEAD: Mathematicians\u2026 Freeze!", "d:Description": "Newsletter issue dedicated to Square One. Features fan fiction based on segments such as Man on the Street, Oops!, Backstage with Blackstone, and MathNet.", "topic": "Top/Arts/Television/Programs/Children's/Square_One_TV", "url": "http://mathnews.uwaterloo.ca/wp-content/uploads/2014/08/v78i51.pdf"} +{"d:Title": "Square One TV", "d:Description": "Official page from Sesame Workshop with program goals, notable quotes, and cast members.", "topic": "Top/Arts/Television/Programs/Children's/Square_One_TV", "url": "http://www.sesameworkshop.org/archive/square-one-tv/"} +{"d:Title": "The Mathnet Website", "d:Description": "Includes show history, fan fiction, sound and video clips, and mailing list.", "topic": "Top/Arts/Television/Programs/Children's/Square_One_TV/Mathnet", "url": "http://www.jillthepill.net/mathnet/"} +{"d:Title": "Mathnet", "d:Description": "Includes downloadable Excel worksheet, survey, photos, and personal collection list.", "topic": "Top/Arts/Television/Programs/Children's/Square_One_TV/Mathnet", "url": "http://www.tvring.8m.com/mathnet.html"} +{"d:Title": "PBS: Standard Deviants TV", "d:Description": "Show information, episode guide, teachers' guide and screen savers.", "topic": "Top/Arts/Television/Programs/Children's/Standard_Deviants", "url": "http://www.pbs.org/standarddeviantstv/"} +{"d:Title": "SuperSentai.com", "d:Description": "Includes information on every Super Sentai Season.", "topic": "Top/Arts/Television/Programs/Children's/Super_Sentai", "url": "http://www.supersentai.com/"} +{"d:Title": "Sentai Sanctorum", "d:Description": "Includes information on Super Sentai and the toku genre.", "topic": "Top/Arts/Television/Programs/Children's/Super_Sentai", "url": "http://rovang.org/sentai/"} +{"d:Title": "Super Sentai Wikipedia", "d:Description": "Interactive resource that includes information on each series.", "topic": "Top/Arts/Television/Programs/Children's/Super_Sentai", "url": "http://en.wikipedia.org/wiki/Super_Sentai"} +{"d:Title": "TV.com: Super Sentai", "d:Description": "Includes episode guide and fan reviews.", "topic": "Top/Arts/Television/Programs/Children's/Super_Sentai", "url": "http://www.tv.com/shows/super-sentai/"} +{"d:Title": "The Internet Movie Database - That's So Raven", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Children's/That's_So_Raven", "url": "http://www.imdb.com/title/tt0300865/"} +{"d:Title": "That's So Raven Forum", "d:Description": "Discussions about the show. [Yahoo membership required.]", "topic": "Top/Arts/Television/Programs/Children's/That's_So_Raven", "url": "http://groups.yahoo.com/group/Raven_Fan1"} +{"d:Title": "IMDb: Today's Special (1981)", "d:Description": "Features program, cast, and crew information.", "topic": "Top/Arts/Television/Programs/Children's/Today's_Special", "url": "http://www.imdb.com/title/tt0198253/"} +{"d:Title": "Today's Special", "d:Description": "A guide with episode descriptions,air dates, cast listing, writers, and directors.", "topic": "Top/Arts/Television/Programs/Children's/Today's_Special", "url": "http://epguides.com/TodaysSpecial/guide.shtml"} +{"d:Title": "Tribeworld", "d:Description": "Official site for the show. Features a guide to the series, a fan club and chat.", "topic": "Top/Arts/Television/Programs/Children's/Tribe,_The", "url": "http://www.tribeworld.com/"} +{"d:Title": "The Tribe!", "d:Description": "Character profiles, including tributes to on-screen romances and characters who have died, series overview, polls, and UK airtimes.", "topic": "Top/Arts/Television/Programs/Children's/Tribe,_The", "url": "http://www.tribealstuff.freeservers.com/"} +{"d:Title": "Tribe Zip", "d:Description": "Small collection of pictures from the show.", "topic": "Top/Arts/Television/Programs/Children's/Tribe,_The", "url": "http://www.angelfire.com/stars4/zip/index.html"} +{"d:Title": "IMDb: Tribe, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Children's/Tribe,_The", "url": "http://www.imdb.com/title/tt0274988/"} +{"d:Title": "TV.com: The Tribe", "d:Description": "Episode guide with airdates and summaries, as well as series overview and cast information.", "topic": "Top/Arts/Television/Programs/Children's/Tribe,_The", "url": "http://www.tv.com/shows/the-tribe/"} +{"d:Title": "IMDB: V.R. Troopers (1994)", "d:Description": "Includes cast, episode list, trivia, and quotes.", "topic": "Top/Arts/Television/Programs/Children's/VR_Troopers", "url": "http://www.imdb.com/title/tt0108978/"} +{"d:Title": "VR Troopers on Wikipedia", "d:Description": "Includes information on production history, characters, a series overview and an episode list.", "topic": "Top/Arts/Television/Programs/Children's/VR_Troopers", "url": "http://en.wikipedia.org/wiki/VR_Troopers"} +{"d:Title": "Weirdsister College: The Unofficial Fan Club", "d:Description": "Photo gallery, episode guide, spells guide, fan fiction, fan art, and role-playing game.", "topic": "Top/Arts/Television/Programs/Children's/Weirdsister_College", "url": "http://jennifermoonbeam.tripod.com/"} +{"d:Title": "The Internet Movie Database (IMDb): The Weird Al Show", "d:Description": "Cast and crew listing, synopsis, trivia, quotes and user comments.", "topic": "Top/Arts/Television/Programs/Children's/Weird_Al_Show,_The", "url": "http://www.imdb.com/title/tt0118513/"} +{"d:Title": "Miss Cat's Academy", "d:Description": "Features news, fan art and fiction, Mildred paper dolls, episode guide, message board, FAQs and favorite Miss Hardbroom quotes.", "topic": "Top/Arts/Television/Programs/Children's/Worst_Witch,_The", "url": "http://www.miss-cats-worst-witch-academy.de/index.html"} +{"d:Title": "Worst Witch", "d:Description": "Images and brief synopses of each episode.", "topic": "Top/Arts/Television/Programs/Children's/Worst_Witch,_The", "url": "http://www.angelfire.com/biz7/worstwitch/"} +{"d:Title": "The Internet Movie Database: \"Zack Files, The\" (2000)", "d:Description": "Cast and crew listings, plot synopsis, production details, quotes and message board.", "topic": "Top/Arts/Television/Programs/Children's/Zack_Files,_The", "url": "http://imdb.com/title/tt0267237/"} +{"d:Title": "The Zack Files", "d:Description": "Picture gallery, character information, episode summaries, and related links.", "topic": "Top/Arts/Television/Programs/Children's/Zack_Files,_The", "url": "http://thezackfiles.tvheaven.com/"} +{"d:Title": "TV Party: ZOOM - Interactive Television Pioneers", "d:Description": "Article on the 1972-1979 show, with pictures and fans' reminiscences.", "topic": "Top/Arts/Television/Programs/Children's/Zoom", "url": "http://www.tvparty.com/lostzoom.html"} +{"d:Title": "The New Zoom Fan Club", "d:Description": "Character profiles with commentary and photos.", "topic": "Top/Arts/Television/Programs/Children's/Zoom", "url": "http://www.angelfire.com/biz5/newzoomfanclub/"} +{"d:Title": "ZOOM", "d:Description": "Official PBS site features activities from the show, items submitted by viewers, cast information, games, newsletter, music, and resources for parents and teachers.", "priority": "1", "topic": "Top/Arts/Television/Programs/Children's/Zoom", "url": "http://pbskids.org/zoom/"} +{"d:Title": "How A Charlie Brown Christmas Came to Pass", "d:Description": "Includes facts about this holiday special and how it almost wasn't produced.", "topic": "Top/Arts/Television/Programs/Christmas/Charlie_Brown_Christmas,_A", "url": "http://www.factmonster.com/spot/cbrown1.html"} +{"d:Title": "Xmas Fun: A Charlie Brown Christmas", "d:Description": "Includes sound files and lyrics.", "topic": "Top/Arts/Television/Programs/Christmas/Charlie_Brown_Christmas,_A", "url": "http://www.xmasfun.com/Stories/CB/"} +{"d:Title": "IMDb: Christmas Shoes, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Christmas/Christmas_Shoes,_The", "url": "http://www.imdb.com/title/tt0330152/"} +{"d:Title": "IMDb: Scrooge and Marley", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Christmas/Scrooge_&_Marley", "url": "http://www.imdb.com/title/tt0304677/"} +{"d:Title": "TV Land", "d:Description": "Official site of this classic TV station. Includes favorite shows along with retro TV commercials, theme songs, online games, and show schedules.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://www.tvland.com/"} +{"d:Title": "Classic TV: Those Old TV Shows", "d:Description": "Focuses on older shows from both the U.S. and the UK. Includes airdates, theme songs, details of cast members and their current status, trivia, games, and ratings.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://www.fiftiesweb.com/tv50.htm"} +{"d:Title": "Television Heaven", "d:Description": "Plot summaries listed alphabetically, history of television, news, and celebrity obituaries.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://www.televisionheaven.co.uk/"} +{"d:Title": "About.com: Classic TV Site", "d:Description": "Articles, links, chat room, bulletin board, trivia, and polls.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://classictv.about.com/"} +{"d:Title": "Classic TV Hits", "d:Description": "Episode guides, photo galleries, and sounds for many older shows.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://www.classictvhits.com/"} +{"d:Title": "The Ultimate TV Cult Page", "d:Description": "Episode guides and information on many UK and U.S. science fiction, drama, and comedy shows.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://homepages.nildram.co.uk/~culttv/"} +{"d:Title": "Classic Telly: Leave Your Television Tributes!", "d:Description": "Visitor can read and submit tributes to shows and characters. Includes \"this week on TV\" and information on a variety of shows produced in the U.S. and the UK.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://www.classictelly.com/"} +{"d:Title": "Educating Marmalade", "d:Description": "A complete episode guide to the classic Thames children's series starring Charlotte Coleman.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://www.angelfire.com/planet/marmalade/"} +{"d:Title": "Hawkeye and the Last of the Mohicans", "d:Description": "Fan site with info and photo links.", "topic": "Top/Arts/Television/Programs/Classic_Television", "url": "http://members.tripod.com/%7EJohnHart/pickeringhawkeye.html"} +{"d:Title": "About.com: TV Comedy", "d:Description": "Articles, discussion boards, and directory of sites related to television comedy.", "topic": "Top/Arts/Television/Programs/Comedy", "url": "http://tvcomedy.about.com/"} +{"d:Title": "Kuklapolitan", "d:Description": "Dedicated to the work of Burr Tillstrom, with articles, pictures, sound and video clips of the classic Kukla, Fran and Ollie, television puppet show.", "topic": "Top/Arts/Television/Programs/Comedy", "url": "http://kukla.tv/"} +{"d:Title": "Comedy Central", "d:Description": "The official site of the Comedy Central cable station.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy", "url": "http://www.cc.com/"} +{"d:Title": "Wikipedia: According to Jim", "d:Description": "Show overview and cast bios.", "topic": "Top/Arts/Television/Programs/Comedy/According_to_Jim", "url": "http://en.wikipedia.org/wiki/According_to_Jim"} +{"d:Title": "IMDb: According to Jim", "d:Description": "Includes cast bios, crew details, trivia, quotes, and user comments.", "topic": "Top/Arts/Television/Programs/Comedy/According_to_Jim", "url": "http://imdb.com/title/tt0285351/"} +{"d:Title": "TV.com: According to Jim", "d:Description": "Show summary, episode guide, cast bios, news, picture gallery, reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Comedy/According_to_Jim", "url": "http://www.tv.com/shows/according-to-jim/"} +{"d:Title": "IMDb: American Body Shop", "d:Description": "Show synopsis, cast biographies, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/American_Body_Shop", "url": "http://www.imdb.com/title/tt0876296/"} +{"d:Title": "IMDb: Big Day", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Big_Day", "url": "http://www.imdb.com/title/tt0758741/"} +{"d:Title": "USA Today: Another Soggy Serial Idea", "d:Description": "Show review from Robert Bianco of USA Today.", "topic": "Top/Arts/Television/Programs/Comedy/Big_Day", "url": "http://usatoday30.usatoday.com/life/television/reviews/2006-11-27-review-big-day_x.htm"} +{"d:Title": "IMDb: The Class", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Class,_The", "url": "http://www.imdb.com/title/tt0484082/"} +{"d:Title": "Wikipedia: The Colbert Report", "d:Description": "Encyclopedia entry including background information, recurring segment details, and biographical sketch of Stephen Colbert, the character.", "topic": "Top/Arts/Television/Programs/Comedy/Colbert_Report,_The", "url": "http://en.wikipedia.org/wiki/The_Colbert_Report"} +{"d:Title": "NoFactZone.Net", "d:Description": "Stephen Colbert-centric weblog featuring news, show recaps and notable moments, links, and a calendar of appearances.", "topic": "Top/Arts/Television/Programs/Comedy/Colbert_Report,_The", "url": "http://www.nofactzone.net/"} +{"d:Title": "Colbert National Guard", "d:Description": "Includes rules and regulations, a Colbanglish dictionary, and members-only forums.", "topic": "Top/Arts/Television/Programs/Comedy/Colbert_Report,_The", "url": "http://www.freewebs.com/colbertnationalguard/"} +{"d:Title": "CBS News: Morley Safer Profiles Comedy Central's 'Fake' Newsman", "d:Description": "Interview with Stephen Colbert.", "topic": "Top/Arts/Television/Programs/Comedy/Colbert_Report,_The", "url": "http://www.cbsnews.com/news/the-colbert-report/"} +{"d:Title": "Colbert Nation", "d:Description": "Official site. Includes show information, videos, message board, downloads, and newsletter.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Colbert_Report,_The", "url": "http://www.cc.com/shows/the-colbert-report"} +{"d:Title": "IMDb: Crank Yankers", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Crank_Yankers", "url": "http://www.imdb.com/title/tt0318959/"} +{"d:Title": "IMDb: Daily Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Daily_Show,_The", "url": "http://www.imdb.com/title/tt0115147/"} +{"d:Title": "IMDb: George Lopez", "d:Description": "Cast biographies, user comments, episode list, crew details, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/George_Lopez", "url": "http://www.imdb.com/title/tt0310460/"} +{"d:Title": "The Unofficial Glass House Site", "d:Description": "News and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Glass_House,_The", "url": "http://www.angelfire.com/realm/theglasshouse/"} +{"d:Title": "Grapevine", "d:Description": "Cast, character, and episode information about the 1992 CBS series.", "topic": "Top/Arts/Television/Programs/Comedy/Grapevine", "url": "http://stefan317.tripod.com/grape/index.html"} +{"d:Title": "IMDb: Grapevine", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Grapevine", "url": "http://www.imdb.com/title/tt0103430/"} +{"d:Title": "IMDb: Jake in Progress", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Jake_in_Progress", "url": "http://imdb.com/title/tt0415456/"} +{"d:Title": "Interview with Tim Scott", "d:Description": "Short interview with one of the producers of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Let's_Bowl", "url": "http://makinrent.tripod.com/bowl.index.html"} +{"d:Title": "IMDb: Let's Bowl", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Let's_Bowl", "url": "http://www.imdb.com/title/tt0288370/"} +{"d:Title": "IMDb: \"Lucy Sullivan Is Getting Married\"", "d:Description": "Plot summary, cast, crew, user comments and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Lucy_Sullivan_Is_Getting_Married", "url": "http://www.imdb.com/title/tt0206105/"} +{"d:Title": "\"Lucy Sullivan Is Getting Married\": Titles and Air Dates Guide", "d:Description": "A guide listing the title and air date for each episode of the TV series \"Lucy Sullivan Is Getting Married.\"", "topic": "Top/Arts/Television/Programs/Comedy/Lucy_Sullivan_Is_Getting_Married", "url": "http://epguides.com/LucySullivanIsGettingMarried/"} +{"d:Title": "IMDb: Maniac Mansion", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Maniac_Mansion", "url": "http://www.imdb.com/title/tt0098851/"} +{"d:Title": "TV.com: Maniac Mansion", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Maniac_Mansion", "url": "http://www.tv.com/shows/maniac-mansion/"} +{"d:Title": "IMDb: Reno 911!", "d:Description": "Show overview, cast information, crew details, user reviews, and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Reno_911", "url": "http://www.imdb.com/title/tt0370194/"} +{"d:Title": "Comedy Central - Reno 911", "d:Description": "Includes cast information, photos, episode guides, and games.", "topic": "Top/Arts/Television/Programs/Comedy/Reno_911", "url": "http://www.cc.com/shows/reno-911-"} +{"d:Title": "The Sifl&Olly Archive", "d:Description": "Images, sounds, polls, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sifl_and_Olly", "url": "http://www.najical.com/s-o/"} +{"d:Title": "Sitcoms Online", "d:Description": "Links to pages devoted to your favorite tv sitcoms of the 50's, 60's, 70's, 80's, and 90's.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms", "url": "http://www.sitcomsonline.com/"} +{"d:Title": "TV Comedy", "d:Description": "Examine shows, genres, personalities, and ways to rationalize watching TV every week.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms", "url": "http://tvcomedy.about.com/"} +{"d:Title": "Situation Comedies", "d:Description": "Analysis of several series and an essay on the sociological implications of shows sending the message that life is good even though people are imperfect and routinely get themselves into ridiculous situations.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms", "url": "http://www.transparencynow.com/tablesitcom.htm"} +{"d:Title": "Scully's Scrapbook", "d:Description": "Includes photos, TV guide synopis, magazine covers of shows from the 70's and 80's.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms", "url": "http://tvland.50megs.com/"} +{"d:Title": "IMDb: 'Til Death", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/'Til_Death", "url": "http://www.imdb.com/title/tt0759475/"} +{"d:Title": "Washington Post: Yuk, Yuk, Yuck", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/'Til_Death", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2006/09/06/AR2006090602022.html"} +{"d:Title": "IMDb: 30 Rock", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/30_Rock", "url": "http://www.imdb.com/title/tt0496424/"} +{"d:Title": "NY Times: 30 Rock", "d:Description": "In her mixed review, Alessandra Stanley cites Alec Baldwin's performance as the sole reason to watch the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/30_Rock", "url": "http://www.nytimes.com/2006/10/11/arts/television/11stan.html"} +{"d:Title": "NBC: 30 Rock", "d:Description": "Official network site. Show overview, episode guide, cast biographies, photo gallery, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/30_Rock", "url": "http://www.nbc.com/30-rock"} +{"d:Title": "WWWF Grudge Match: Coneheads vs. Solomons", "d:Description": "What if two alien families compete in the most American of events: bowling?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/3rd_Rock_from_the_Sun", "url": "http://www.grudge-match.com/History/coneheads-solomons.shtml"} +{"d:Title": "3rd Rock from the Sun", "d:Description": "Official website. Includes show synopsis, episode guide, and episode trailers for all seasons.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/3rd_Rock_from_the_Sun", "url": "http://www.carseywerner.com/shows_about.php?showid=3"} +{"d:Title": "EpisodeList.com: 3rd Rock From The Sun", "d:Description": "Episode list with synopsis, photos, and lists of writers, directors, and character appearances.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/3rd_Rock_from_the_Sun", "url": "http://www.episodelist.com/shows/3rd-rock-from-the-sun/54"} +{"d:Title": "TvHeaven.com: 8 Simple Rules", "d:Description": "Synopsis of the episodes, sounds and information from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/8_Simple_Rules", "url": "http://8simplerules.tvheaven.com/"} +{"d:Title": "The 8 Simple Rules Unofficial Site", "d:Description": "Fansite for the show, with updated news, episode guide, cast biographies and global schedules. Plus, forums and photo galleries.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/8_Simple_Rules", "url": "http://the8rules.co.uk/"} +{"d:Title": "IMDb: 8 Simple Rules", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/8_Simple_Rules", "url": "http://www.imdb.com/title/tt0312081/"} +{"d:Title": "Action (Air&Titles guide)", "d:Description": "Episode guide for the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Action", "url": "http://epguides.com/Action/"} +{"d:Title": "IMDb - Action", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Action", "url": "http://www.imdb.com/title/tt0206467/combined"} +{"d:Title": "Brenna and Brittany's New Addams Family Home Page", "d:Description": "Everything you would ever want to know about the 1998 New Addams Family TV Series. Show summaries, interviews, pictures, character lists, ...", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.lkessler.com/addams.shtml"} +{"d:Title": "The Unofficial Addams Family Web Site", "d:Description": "The New Addams Family Series airs on the Fox Family Channel Monday - Friday at 7:30 pm.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.addamsfamily.com/"} +{"d:Title": "Addams Family Online", "d:Description": "SitComsOnline - Show and cast information, theme song and video clips, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.sitcomsonline.com/theaddamsfamily.html"} +{"d:Title": "The Page of Gomez", "d:Description": "Includes sounds and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.afn.org/~afn46526/home.html"} +{"d:Title": "Addams Family Episode Guide", "d:Description": "A listing of all the Episodes from the 60's classic.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://epguides.com/AddamsFamily/"} +{"d:Title": "WWWF Grudge Match: The Addams Family vs. The Munsters on Family Feud", "d:Description": "Humorous analysis of Addams Family as game show contestants.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.grudge-match.com/History/feud.shtml"} +{"d:Title": "ClassicTVHits.com: Addams Family", "d:Description": "Includes an episode guide, photo gallery, links, message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.classictvhits.com/shows/addamsfamily"} +{"d:Title": "The Addams Family TV Show", "d:Description": "Trivia, description, episode, and cast member information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.crazyabouttv.com/addamsfamily.html"} +{"d:Title": "The Addams Family (IMDb)", "d:Description": "IMDb database listing for original program.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Addams_Family,_The", "url": "http://www.imdb.com/title/tt0057729/"} +{"d:Title": "The Adventures of Ozzie and Harriet Online", "d:Description": "Sitcoms online listing for one of TVs longest-running family comedies. (1952-1966).", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Adventures_of_Ozzie_and_Harriet,_The", "url": "http://www.sitcomsonline.com/theadventuresofozzieandharriet.html"} +{"d:Title": "ClassicTVHits.com: Adventures of Ozzie and Harriet", "d:Description": "Includes cast and show information, photos, links and sounds.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Adventures_of_Ozzie_and_Harriet,_The", "url": "http://www.classictvhits.com/showcards/adventuresofozzieandharriet"} +{"d:Title": "IMDb", "d:Description": "Includes cast list and plot information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Adventures_of_Ozzie_and_Harriet,_The", "url": "http://www.imdb.com/title/tt0044230/"} +{"d:Title": "IMDb - AfterMash", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/AfterMASH", "url": "http://www.imdb.com/title/tt0084969/"} +{"d:Title": "ALF Fanspace", "d:Description": "An Alf episode guide with cast listings, show titles, photos and links. Fans can send a postcard or color Alf in the online coloring book.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/ALF", "url": "http://alf.fanspace.com/"} +{"d:Title": "Sitcomsonline: Alf", "d:Description": "An episode list with a brief history and series summary, includes an ALF message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/ALF", "url": "http://sitcomsonline.com/alf.html"} +{"d:Title": "Alf: The Animated Series", "d:Description": "A listing of episodes for the animated ALF series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/ALF", "url": "http://epguides.com/Alf_anim/"} +{"d:Title": "WWWF Grudge Match: Alf vs. E.T.", "d:Description": "The battle of short, goofy aliens.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/ALF", "url": "http://www.grudge-match.com/History/alf-et.shtml"} +{"d:Title": "ALF TV | The Official Unofficial ALF Fan Site", "d:Description": "Contains sound clips, video clips, trivia, links, message board, and cast information", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/ALF", "url": "http://www.alftv.com/"} +{"d:Title": "IMDb: ALF", "d:Description": "Series and cast information for the sitcom.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/ALF", "url": "http://www.imdb.com/title/tt0090390/"} +{"d:Title": "Alice Online", "d:Description": "Show and cast information, theme songs, and video clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Alice", "url": "http://www.sitcomsonline.com/alice.html"} +{"d:Title": "Alice Tribute", "d:Description": "A fan page with episode index, character profiles, theme lyrics, mailing list, photos and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Alice", "url": "http://www.alicehyatt.com/"} +{"d:Title": "Alice (IMDb)", "d:Description": "IMDb Database Listing", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Alice", "url": "http://www.imdb.com/title/tt0073955/"} +{"d:Title": "Aliens in the Family (IMDb)", "d:Description": "IMDb Database Listing.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Aliens_in_the_Family", "url": "http://www.imdb.com/title/tt0115090/"} +{"d:Title": "TVparty: All in the Family", "d:Description": "It's safe to say that a character like Archie Bunker had never been seen before on American TV screens, and this sitcom clobbered the competition. Analysis by Sam Hieb.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/All_in_the_Family", "url": "http://www.tvparty.com/family.html"} +{"d:Title": "Archie Bunker Quotes", "d:Description": "Quotes from the show organized by topic.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/All_in_the_Family", "url": "http://www.archiebunkerquotes.com/"} +{"d:Title": "IMDb: \"All in the Family\" (1971)", "d:Description": "Cast and credits for the sitcom, which ran from 1971 to 1978. Viewer comments, guest appearances, awards and nominations, trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/All_in_the_Family", "url": "http://www.imdb.com/title/tt0066626/"} +{"d:Title": "epguides.com - Amos 'n' Andy", "d:Description": "Cast and episode list with summaries and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Amos_'n'_Andy", "url": "http://epguides.com/AmosandAndy/"} +{"d:Title": "IMDb: Amos 'n' Andy", "d:Description": "Credits, summary, reviews, and other details.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Amos_'n'_Andy", "url": "http://www.imdb.com/title/tt0043175/"} +{"d:Title": "TVparty: The Andy Griffith Show", "d:Description": "History of the show's production, chronicling casting, writer changes, and details on several spin-off series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://www.tvparty.com/andy.html"} +{"d:Title": "BarneyFife.com", "d:Description": "Bible studies based upon the morals and values presented within show episodes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://www.barneyfife.com/"} +{"d:Title": "IMDb: The Andy Griffith Show", "d:Description": "Cast, plot summary, photos, quotes and trivia", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://imdb.com/title/tt0053479/"} +{"d:Title": "The Andy Griffith Show And The Real Mayberry", "d:Description": "Details of the television studio backlot that served as the Mayberry set. Behind the scene photographs, alternate set usage, and cast trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://www.radoknews.com/andy-griffith-show.html"} +{"d:Title": "Drew's Mayberry Tribute", "d:Description": "Tribute featuring Mayberry background, character and cast biographies, trivia, and an episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://mymayberry.i8.com/"} +{"d:Title": "Sitcoms Online: The Andy Griffith Show", "d:Description": "Message board devoted to the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://www.sitcomsonline.com/boards/forumdisplay.php?f=32"} +{"d:Title": "The Revenge of Warren Ferguson", "d:Description": "Dedicated to the character that replaced Deputy Barney Fife. Screenshots and synopsis of all Warren Ferguson episodes, and biographical information on the career of actor Jack Burns.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://www.henrybwalthall.com/JackBurnsWarrenFergusonRevenge.html"} +{"d:Title": "LikeTelevision: The Andy Griffith Show", "d:Description": "Complete episodes available for streaming video or download, and a message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://tesla.liketelevision.com/liketelevision/search/search.php?q=andy+alacart&theme=guide"} +{"d:Title": "iMayberry.com", "d:Description": "Andy Griffith Show information. Features bulletin board, episode guide, cast information, quizzes, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://www.imayberry.com/"} +{"d:Title": "TV.com: The Andy Griffith Show", "d:Description": "Episode guide, cast and crew information, show goofs, and a message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The", "url": "http://www.tv.com/shows/the-andy-griffith-show/"} +{"d:Title": "The Andy Griffith Show Rerun Watchers Club", "d:Description": "Official site of organization dedicated to watching show reruns. Features newsletter, guestbook, games, and information on local chapters.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The/Fan_Clubs", "url": "http://www.tagsrwc.com/"} +{"d:Title": "Who's Been Messin' Up The Bulletin Board? Chapter", "d:Description": "Online chapter. Includes membership list, photos, and application.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The/Fan_Clubs", "url": "http://www.wbmutbb.com/"} +{"d:Title": "Mayberry Giants: Hit One for the Ol' Goober Chapter", "d:Description": "Killen, Alabama. Includes accounts of show relevant events with photos.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The/Fan_Clubs", "url": "http://www.angelfire.com/tv/hoftog/"} +{"d:Title": "TennesseeTAGS Chapter", "d:Description": "Internet chapter for fans in Tennessee. Features a message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The/Fan_Clubs", "url": "http://tv.groups.yahoo.com/group/TennesseeTags/"} +{"d:Title": "Malcolm Merriweather's Merrymakers Chapter", "d:Description": "England, Arkansas.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The/Fan_Clubs", "url": "http://www.englandfbc.org/message.php?topicID=3233"} +{"d:Title": "Mother Figure Chapter", "d:Description": "Huntsville, Alabama.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Andy_Griffith_Show,_The/Fan_Clubs", "url": "http://www.imayberry.com/tagsrwc/wbmutbb/anewsome/private/motherfg.htm"} +{"d:Title": "IMDb: Archie Bunker's Place", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Archie_Bunker's_Place", "url": "http://www.imdb.com/title/tt0078562/"} +{"d:Title": "TV.com: Archie Bunker's Place", "d:Description": "Episode list and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Archie_Bunker's_Place", "url": "http://www.tv.com/shows/archie-bunkers-place/"} +{"d:Title": "IMDb: Arrested Development", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Arrested_Development", "url": "http://www.imdb.com/title/tt0367279/"} +{"d:Title": "The Bluth Family", "d:Description": "Episodes summary, quotes and pictures from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Arrested_Development", "url": "http://www.bluthfamily.com/"} +{"d:Title": "Atlantis High", "d:Description": "Features general information, downloads, character information, competitions, and community.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Atlantis_High", "url": "http://www.atlantishigh.com/"} +{"d:Title": "Marks Atlantis World", "d:Description": "Includes second series speculation, story line, and quiz.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Atlantis_High", "url": "http://markstribalworld.tripod.com/marksatlantisworld/index.html"} +{"d:Title": "IMDb: Atlantis High", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Atlantis_High", "url": "http://www.imdb.com/title/tt0295066/"} +{"d:Title": "TV.com: Atlantis High", "d:Description": "Episode guide with original airdates and cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Atlantis_High", "url": "http://www.tv.com/shows/atlantis-high/"} +{"d:Title": "Atlantis High Official Site", "d:Description": "Official site from production company Cloud 9. Includes series overview and character profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Atlantis_High", "url": "http://www.entercloud9.com/atlantis-high/"} +{"d:Title": "IMDb: Barney Miller", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Barney_Miller", "url": "http://www.imdb.com/title/tt0072472/"} +{"d:Title": "Becker (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Becker.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Becker", "url": "http://www.epguides.com/Becker/"} +{"d:Title": "PopMatters - Bette", "d:Description": "Detailed review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bette", "url": "http://popmatters.com/tv/reviews/b/bette.html"} +{"d:Title": "IMDb: Bette", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bette", "url": "http://www.imdb.com/title/tt0247079/"} +{"d:Title": "TV.com: The Bette Show", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bette", "url": "http://www.tv.com/shows/bette/"} +{"d:Title": "IMDb: Better Off Ted", "d:Description": "Show synopsis, cast details, production information, episode list, and photograph gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Better_Off_Ted", "url": "http://www.imdb.com/title/tt1235547/"} +{"d:Title": "SF Chronicle: 'Better Off Ted' Develops Laughs", "d:Description": "Tim Goodman cites the show's veteran creator, talented cast, and unique comedic style in his positive review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Better_Off_Ted", "url": "http://www.sfgate.com/news/article/TV-review-Better-Off-Ted-develops-laughs-3167989.php"} +{"d:Title": "Sitcoms Online: The Beverly Hillbillies", "d:Description": "Features cast and show history, show summary, theme songs and video clips, message board, and related links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Beverly_Hillbillies,_The", "url": "http://www.sitcomsonline.com/thebeverlyhillbillies.html"} +{"d:Title": "TBH Theme Song", "d:Description": "All the words to the TBH theme song.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Beverly_Hillbillies,_The", "url": "http://www.guntheranderson.com/v/data/beverlyh.htm"} +{"d:Title": "Beverly Hillbillies Casino", "d:Description": "A short news story about the TBH casino started by Max Baer Jr., who played Jethro Bodine.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Beverly_Hillbillies,_The", "url": "http://www.lasvegassun.com/news/1998/nov/19/reno-planners-give-nod-to-beverly-hillbillies-casi/"} +{"d:Title": "LikeTelevision.com: The Beverly Hillbillies", "d:Description": "View full broadband episodes on the internet.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Beverly_Hillbillies,_The", "url": "http://www.liketelevision.com/liketelevision/search/search.php?q=Beverly+Hillbillies&theme=guide"} +{"d:Title": "The Beverly Hillbillies and Buick", "d:Description": "Pictures and an article focused on the Oldsmobile truck used in the popular TV series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Beverly_Hillbillies,_The", "url": "http://www.prewarbuick.com/features/jed_clampetts_buick"} +{"d:Title": "Bewitched And Elizabeth Montgomery Site", "d:Description": "The original Bewitched site, with extensive articles, sounds, and current news on stars.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://www.bewitched.net/"} +{"d:Title": "Broph's Bewitched Site", "d:Description": "Message board, chat room, links, and home of the Bewitched Web Ring.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://broph.homesite.net/Bewitched/"} +{"d:Title": "Bewitched Online", "d:Description": "Show and cast information, theme song, and video clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://www.sitcomsonline.com/bewitched.html"} +{"d:Title": "Bewitched @ Harpies Bizarre", "d:Description": "Includes articles, pictures, cast information, and an episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://www.harpiesbizarre.com/"} +{"d:Title": "WWWF Grudge Match", "d:Description": "What if Samantha was pitted against the genie from I Dream of Jeannie?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://www.grudge-match.com/History/jeannie-samantha.shtml"} +{"d:Title": "ClassicTVHits.com: Bewitched", "d:Description": "Episode guide, photo gallery, and sound gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://www.classictvhits.com/shows/bewitched/"} +{"d:Title": "Mischa's Bewitched", "d:Description": "Sounds and images from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://mischahof.com/bewitched/"} +{"d:Title": "Vic's Bewitched Page", "d:Description": "Graphics, sound wavs, original animations, vintage articles, episode reviews by the Bewitched Critic, rare memorabilia, photos, cast interviews, biographies, the life and career of Elizabeth Montgomery.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://bewitchvic.tripod.com/main.html"} +{"d:Title": "Tv.com: Bewitched", "d:Description": "Contains episode guides, cast information and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bewitched", "url": "http://www.tv.com/shows/bewitched/"} +{"d:Title": "Wikipedia: The Big Bang Theory", "d:Description": "Show synopsis, cast and character list, production information, and weekly episode rating numbers.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Big_Bang_Theory,_The", "url": "http://en.wikipedia.org/wiki/The_Big_Bang_Theory_(TV_series)"} +{"d:Title": "IMDb: The Big Bang Theory", "d:Description": "Plot summary, cast details, production information, user reviews, episode list, and photograph gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Big_Bang_Theory,_The", "url": "http://www.imdb.com/title/tt0898266/"} +{"d:Title": "Variety: The Big Bang Theory Review", "d:Description": "Brian Lowry notes a strong cast and unique subject matter in his mixed review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Big_Bang_Theory,_The", "url": "http://www.variety.com/review/VE1117934783.html"} +{"d:Title": "Sheldon Shirts", "d:Description": "Presents galleries of shirts worn by Sheldon and Leonard on Big Bang Theory episodes, along with links to sources for purchasing them. Also Howard belt buckles and memorable quotes from Sheldon.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Big_Bang_Theory,_The", "url": "http://www.sheldonshirts.com/"} +{"d:Title": "CBS: The Big Bang Theory", "d:Description": "Official network site. Show synopsis, cast biographies, episode summaries, video clips, photograph gallery, and message boards.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Big_Bang_Theory,_The", "url": "http://www.cbs.com/shows/big_bang_theory/"} +{"d:Title": "USA Today: 'Big Bang Theory' Both Smart, Funny", "d:Description": "Robert Bianco cites a veteran producer, a proven formula, and a standout actor (Parsons) in his positive review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Big_Bang_Theory,_The", "url": "http://usatoday30.usatoday.com/life/television/news/2007-09-23-big-bang_N.htm"} +{"d:Title": "IMDb: The Bill Engvall Show", "d:Description": "Show overview, cast biographies, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bill_Engvall_Show,_The", "url": "http://www.imdb.com/title/tt0926732/"} +{"d:Title": "IMDb: Bob Newhart Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bob_Newhart_Show,_The", "url": "http://www.imdb.com/title/tt0068049/"} +{"d:Title": "Bosom Buddies Online", "d:Description": "Show and cast information, theme song, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bosom_Buddies", "url": "http://www.sitcomsonline.com/bosombuddies.html"} +{"d:Title": "John Adams High: A Boy Meets World Fansite", "d:Description": "Cast biographies, pictures, and episode list.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Boy_Meets_World", "url": "http://www.angelfire.com/celeb2/johnadamshigh/"} +{"d:Title": "Boy Meets World Central", "d:Description": "Offers news, media, pictures, an episode guide, and fan fiction.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Boy_Meets_World", "url": "http://www.angelfire.com/celeb2/bmw87/"} +{"d:Title": "Brady World", "d:Description": "The Brady Bunch, Episode Guide, Collectibles, Guestbook, Chat, Digest, Mailing-list, Trivia", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://www.bradyworld.com/"} +{"d:Title": "The Brady Brides", "d:Description": "Devoted to the spinoff of The Brady Bunch. Includes show and cast information, theme song, and episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://www.sitcomsonline.com/thebradybrides.html"} +{"d:Title": "Brady Bunch Internet Resources", "d:Description": "A list of links to Brady Bunch-related internet sites.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://davidbrady.com/eb/"} +{"d:Title": "The Brady Bunch Hour", "d:Description": "History of the 1977 variety show, episode guide, photo gallery, and articles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://www.bradyhour.com/"} +{"d:Title": "WWWF Grudge Match", "d:Description": "What if the Brady Bunch competed for the Roller Derby championship?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://www.grudge-match.com/History/brady-partridge.shtml"} +{"d:Title": "Story of the Brady Bunch House", "d:Description": "A history of the Southern California home used as the residence of television's Brady Bunch.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://davidbrady.com/times/latbrady.html"} +{"d:Title": "IMDb - The Brady Bunch", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://www.imdb.com/title/tt0063878/"} +{"d:Title": "Brady Bunch Shrine", "d:Description": "Includes pictures, sounds, episode guide, and trivia questions.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Brady_Bunch,_The", "url": "http://www.bradybunchshrine.com/"} +{"d:Title": "IMDB: Bram and Alice", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bram_and_Alice", "url": "http://www.imdb.com/title/tt0319963/"} +{"d:Title": "USATODAY.com: 'Bram and Alice': Get Me Rewrite", "d:Description": "Negative review of the series that suggests it needs better writing and acting.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Bram_and_Alice", "url": "http://usatoday30.usatoday.com/life/television/reviews/2002-10-03-bram-and-alice_x.htm"} +{"d:Title": "IMDb: California Dreams", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/California_Dreams", "url": "http://www.imdb.com/title/tt0103380/"} +{"d:Title": "IMDb: Caroline in the City", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Caroline_in_the_City", "url": "http://www.imdb.com/title/tt0111910/"} +{"d:Title": "IMDb: Carpoolers", "d:Description": "Cast details, production information, and user reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Carpoolers", "url": "http://www.imdb.com/title/tt0928410/"} +{"d:Title": "Boston Globe: 'Carpoolers' May Need to Shift Gears", "d:Description": "Matthew Gilbert of The Boston Globe cites the show's predictability in his negative review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Carpoolers", "url": "http://www.boston.com/ae/tv/articles/2007/10/02/carpoolers_may_need_to_shift_gears/"} +{"d:Title": "USA Today: 'Carpoolers' Crashes Before Getting Out of the Driveway", "d:Description": "Robert Bianco cites the show's lack of realism in his negative review. Includes user comments and ratings.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Carpoolers", "url": "http://usatoday30.usatoday.com/life/television/reviews/2007-10-01-carpoolers_N.htm"} +{"d:Title": "IMDb: Car 54, Where Are You?", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Car_54,_Where_Are_You", "url": "http://www.imdb.com/title/tt0054528/"} +{"d:Title": "IMDb: Cavemen", "d:Description": "Show synopsis, photograph gallery, cast details, production information, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Cavemen", "url": "http://www.imdb.com/title/tt0981216/"} +{"d:Title": "Charles in Charge Online", "d:Description": "Show and cast information, theme songs video clips, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Charles_in_Charge", "url": "http://www.sitcomsonline.com/charlesincharge.html"} +{"d:Title": "Charles in Charge", "d:Description": "Cast, pictures, episode guide, quotes, the theme, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Charles_in_Charge", "url": "http://ch-i-ch.narod.ru/"} +{"d:Title": "IMDb: Check It Out", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Check_It_Out", "url": "http://www.imdb.com/title/tt0088493/"} +{"d:Title": "WWWF Grudge Match: Sam Malone vs. The Fonz", "d:Description": "What if Sam Malone and The Fonz both try to pick up the same woman?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Cheers", "url": "http://www.grudge-match.com/History/sam-fonz.shtml"} +{"d:Title": "Cheers Trivia", "d:Description": "Quizzes on the show, the characters, the bar, and various other topics.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Cheers", "url": "http://fmg-www.cs.ucla.edu/ratner/trivia.html"} +{"d:Title": "IMDb: Cheers", "d:Description": "Plot and credits information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Cheers", "url": "http://www.imdb.com/title/tt0083399/"} +{"d:Title": "Chico and the Man Online", "d:Description": "Show description, actor biographies, theme song, pictures, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Chico_and_the_Man", "url": "http://www.sitcomsonline.com/chicoandtheman.html"} +{"d:Title": "Ed Brown's Garage", "d:Description": "Dedicated to the character with screen captures and background.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Chico_and_the_Man", "url": "http://edbrownsgarage.tripod.com/"} +{"d:Title": "IMDb: Chico and the Man", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Chico_and_the_Man", "url": "http://www.imdb.com/title/tt0070975/"} +{"d:Title": "TV.com: Chico and the Man", "d:Description": "Provides information on the cast and episode guides.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Chico_and_the_Man", "url": "http://www.tv.com/shows/chico-and-the-man/"} +{"d:Title": "IMDb: Chimp Channel, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Chimp_Channel,_The", "url": "http://www.imdb.com/title/tt0181205/"} +{"d:Title": "Melissa Joan Hart Information Archives: Clarissa Explains It All", "d:Description": "Includes news, detailed episode guide, FAQs, credits, information on promos and opening/closing sequences information, and questions answered by the show's creator.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Clarissa_Explains_It_All", "url": "http://www.obkb.com/info/mjhpages/clarissa.html"} +{"d:Title": "The Way Cool Clarissa Explains It All Website", "d:Description": "History of the show and character profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Clarissa_Explains_It_All", "url": "http://waycoolclarissa.tripod.com/"} +{"d:Title": "The Internet Movie Database (IMDb): Clarissa Explains It All", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Clarissa_Explains_It_All", "url": "http://www.imdb.com/title/tt0101065/"} +{"d:Title": "IMDb: Clueless", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Clueless", "url": "http://www.imdb.com/title/tt0115137/"} +{"d:Title": "The Cosby Show and A Different World", "d:Description": "The story behind the Cosby spin-off that became a hit with Jasmine Guy, Kadeem Hardison, and Sinbad.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Cosby_Show,_The", "url": "http://www.poobala.com/cosbyanddifferent.html"} +{"d:Title": "Collectors Weekly: Bill Cosby Schools Us About Those Crazy Sweaters", "d:Description": "Cosby, costume designer Sarah Lemire and Koos Van der Aker discuss the origins of the show's ubiquitous gaudy \"Cosby sweaters.\"", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Cosby_Show,_The", "url": "http://www.collectorsweekly.com/articles/bill-cosby-schools-us-about-those-crazy-sweaters/"} +{"d:Title": "The Courtship of Eddie's Father Online", "d:Description": "Message board, show and cast information, episode guide, theme song, pictures, and \"Where are they now?\"", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Courtship_of_Eddie's_Father,_The", "url": "http://www.sitcomsonline.com/eddiesfather.html"} +{"d:Title": "The Courtship of Eddie's Father Photos", "d:Description": "Gallery of screen captures from the series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Courtship_of_Eddie's_Father,_The", "url": "http://www.angelfire.com/celeb/billbixby/court.html"} +{"d:Title": "IMDb: The Courtship of Eddie's Father", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Courtship_of_Eddie's_Father,_The", "url": "http://www.imdb.com/title/tt0063887/"} +{"d:Title": "IMDb: Crumbs", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Crumbs", "url": "http://www.imdb.com/title/tt0460634/"} +{"d:Title": "Curb Your Enthusiasm", "d:Description": "Photos, quotes, videos, DVD information, and an episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Curb_Your_Enthusiasm", "url": "http://www.stanthecaddy.com/cye/"} +{"d:Title": "Blog Your Enthusiasm", "d:Description": "This is a Curb Your Enthusiasm Blog run by a devoted fan. Features discussion on all aspects of the show including favorite scenes, episodes, seasons, characters, and actors.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Curb_Your_Enthusiasm", "url": "http://blogyourenthusiasm.blogspot.com/"} +{"d:Title": "Yahoo! Groups: Curb Your Enthusiasm", "d:Description": "Show, cast, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Curb_Your_Enthusiasm", "url": "http://tv.groups.yahoo.com/group/curb-your-enthusiasm/"} +{"d:Title": "HBO: Curb Your Enthusiasm", "d:Description": "The official site featuring pictures, episode guides and interviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Curb_Your_Enthusiasm", "url": "http://www.hbo.com/curb-your-enthusiasm"} +{"d:Title": "IMDb: Curb Your Enthusiasm", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Curb_Your_Enthusiasm", "url": "http://www.imdb.com/title/tt0264235/"} +{"d:Title": "ClassicTVHits.com: Dave's World", "d:Description": "Production history, show description, cast, photo, theme song.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dave's_World", "url": "http://www.classictvhits.com/showcards/davesworld"} +{"d:Title": "Epguides: Dave's World", "d:Description": "A list of titles and original air dates for the 98 episodes of this US television sitcom compiled by George Fergus.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dave's_World", "url": "http://epguides.com/DavesWorld/"} +{"d:Title": "IMDB: Dave's World", "d:Description": "Cast links and message board for the show based loosely on columnist Dave Barry's life.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dave's_World", "url": "http://www.imdb.com/title/tt0105982/"} +{"d:Title": "The Internet Movie Database (IMDb): Dennis the Menace", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dennis_the_Menace", "url": "http://www.imdb.com/title/tt0052461/"} +{"d:Title": "'Designing Women' reunite on 'Any Day Now'", "d:Description": "By Sherri Sylvester. [CNN]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Designing_Women", "url": "http://www.cnn.com/SHOWBIZ/TV/9812/08/delta.burke.reunion/"} +{"d:Title": "Designing Women - Title and Air Date Guide", "d:Description": "Includes a brief description of each episode.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Designing_Women", "url": "http://epguides.com/DesigningWomen/"} +{"d:Title": "IMDb: Designing Women", "d:Description": "Internet Movie Database entry for Designing Women.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Designing_Women", "url": "http://www.imdb.com/title/tt0090418/combined"} +{"d:Title": "IMDb: Dharma&Greg", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dharma_and_Greg", "url": "http://www.imdb.com/title/tt0118303/"} +{"d:Title": "The Dick Van Dyke Show Online", "d:Description": "Show and cast information, sounds, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dick_Van_Dyke_Show,_The", "url": "http://www.sitcomsonline.com/thedickvandykeshow.html"} +{"d:Title": "Dick Van Dyke Show", "d:Description": "The official site with episode guide, cast information, DVD news, and Emmy list.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dick_Van_Dyke_Show,_The", "url": "http://www.dickvandykeshow.com/"} +{"d:Title": "IMDb: Dick Van Dyke Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dick_Van_Dyke_Show,_The", "url": "http://www.imdb.com/title/tt0054533/"} +{"d:Title": "Diff'rent Strokes Online", "d:Description": "Cast information, episode guide, pictures, theme song, and memorabilia on this Gary Coleman, Todd Bridges, Dana Plato, and Conrad Bain 80s sitcom.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Diff'rent_Strokes", "url": "http://www.sitcomsonline.com/diffrentstrokes.html"} +{"d:Title": "IMDb: Diff'rent Strokes", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Diff'rent_Strokes", "url": "http://www.imdb.com/title/tt0077003/"} +{"d:Title": "IMDb: Dinosaurs", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Dinosaurs", "url": "http://www.imdb.com/title/tt0101081/"} +{"d:Title": "IMDb: Donna Reed Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Donna_Reed_Show,_The", "url": "http://www.imdb.com/title/tt0051267/"} +{"d:Title": "TV.com: The Donna Reed Show", "d:Description": "Includes cast and crew guides and episode list.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Donna_Reed_Show,_The", "url": "http://www.tv.com/shows/the-donna-reed-show/"} +{"d:Title": "Rian's Drew Carey Site", "d:Description": "Fan site with cool pictures of Drew and the gang, reviews and personal opinions.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Drew_Carey_Show,_The", "url": "http://quinstreet.tripod.com/drewcarey/index.html"} +{"d:Title": "IMDb: The Drew Carey Show", "d:Description": "Credits and information about The Drew Carey Show, from the Internet Movie Database.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Drew_Carey_Show,_The", "url": "http://www.imdb.com/title/tt0111945/"} +{"d:Title": "IMDb: Ellen", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Ellen", "url": "http://www.imdb.com/title/tt0108761/"} +{"d:Title": "IMDb: Emily's Reasons Why Not", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Emily's_Reasons_Why_Not", "url": "http://www.imdb.com/title/tt0460636/"} +{"d:Title": "IMDb: Empty Nest", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Empty_Nest", "url": "http://www.imdb.com/title/tt0094455/"} +{"d:Title": "IMDb: Entourage", "d:Description": "Cast, crew, and production information, as well as viewer comments and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Entourage", "url": "http://www.imdb.com/title/tt0387199/"} +{"d:Title": "HBO: Entourage", "d:Description": "Official network site. Includes series overview, episode guide, cast and crew information, news, downloads, and forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Entourage", "url": "http://www.hbo.com/entourage/?ntrack_para1=leftnav_category0_show2"} +{"d:Title": "Seattle Post-Intelligencer: 'Entourage' OD's on showbiz excess", "d:Description": "Review that says the show \"lacks a believably strong central character and fails to lay out any plot direction or coming tension.\"", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Entourage", "url": "http://www.seattlepi.com/ae/tv/article/Entourage-OD-s-on-showbiz-excess-1149537.php"} +{"d:Title": "Yahoo TV!: Entourage", "d:Description": "Show overview, episode guide, cast details, video clips, image gallery, and user reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Entourage", "url": "https://tv.yahoo.com/shows/entourage/"} +{"d:Title": "TV.com: Entourage", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Entourage", "url": "http://www.tv.com/shows/entourage/"} +{"d:Title": "IMDB: Even Stevens", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Even_Stevens", "url": "http://www.imdb.com/title/tt0206511/"} +{"d:Title": "IMDb: Everybody Hates Chris", "d:Description": "Cast bios, crew details, user reviews, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Everybody_Hates_Chris", "url": "http://www.imdb.com/title/tt0460637/"} +{"d:Title": "Everybody Loves Ray", "d:Description": "The official site of the syndicated television show Everybody Loves Raymond starring Ray Romano and Emmy winner Patricia Heaton.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Everybody_Loves_Raymond", "url": "http://www.everybodylovesray.com/"} +{"d:Title": "epguides.com - Everybody Loves Raymond", "d:Description": "Series guide with episode descriptions, original air dates, cast listing, writers and directors.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Everybody_Loves_Raymond", "url": "http://www.epguides.com/EverybodyLovesRaymond/"} +{"d:Title": "IMDb: Everybody Loves Raymond", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Everybody_Loves_Raymond", "url": "http://www.imdb.com/title/tt0115167/"} +{"d:Title": "Everybody Loves Raymond - TV.com", "d:Description": "Contains information on episode listings and guide, goofs, cast, crew and viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Everybody_Loves_Raymond", "url": "http://www.tv.com/shows/everybody-loves-raymond/"} +{"d:Title": "SitcomsOnline - Facts Of Life", "d:Description": "Offers show and cast information, theme songs, video clips, active message board and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Facts_of_Life,_The", "url": "http://www.sitcomsonline.com/thefactsoflife.html"} +{"d:Title": "Just The Facts Of Life", "d:Description": "Offers cast news, sounds, wallpaper, downloads, desktop theme, games, trivia and chat.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Facts_of_Life,_The", "url": "http://justthefactsoflife.tripod.com/"} +{"d:Title": "Liz's Facts of Life Site", "d:Description": "Includes episode guide, pictures, downloads and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Facts_of_Life,_The", "url": "http://fol4ever.tripod.com/lizfolsite2/"} +{"d:Title": "Beyond The Facts of Life", "d:Description": "Offers a photo gallery, cast biographies, multimedia area, trivia and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Facts_of_Life,_The", "url": "http://factsoflifeshow.tripod.com/"} +{"d:Title": "TelevisionHits - Facts of Life", "d:Description": "Offers character profiles, detailed episode guide, multimedia, facts, transcripts and guest appearance listing.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Facts_of_Life,_The", "url": "http://www.televisionhits.com/factsoflife/"} +{"d:Title": "ClassicTVHits - Facts of Life", "d:Description": "Includes episode guides, image gallery and sound gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Facts_of_Life,_The", "url": "http://www.classictvhits.com/show.php?id=179"} +{"d:Title": "WWWF Grudge Match: Urkel vs. Tattoo", "d:Description": "Who would win a street fight between Fantasy Island's Tattoo and Family Matters' Steve Urkel?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Family_Matters", "url": "http://www.grudge-match.com/History/urkel-tatoo.shtml"} +{"d:Title": "Family Ties Online", "d:Description": "Show and cast information, episode guide, and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Family_Ties", "url": "http://www.sitcomsonline.com/familyties.html"} +{"d:Title": "IMDb: Family Ties", "d:Description": "The entry about Family Ties in the Internet Movie Database, with credits and information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Family_Ties", "url": "http://www.imdb.com/title/tt0083413/"} +{"d:Title": "IMDb: Father Knows Best", "d:Description": "User comments and cast overview.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Father_Knows_Best", "url": "http://www.imdb.com/title/tt0046600/"} +{"d:Title": "The Internet Movie Database (IMDb): Flash Forward", "d:Description": "Cast and crew listings, along with user comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Flash_Forward", "url": "http://www.imdb.com/title/tt0115173/"} +{"d:Title": "IMDb: Four Kings", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Four_Kings", "url": "http://www.imdb.com/title/tt0461721/"} +{"d:Title": "alt.tv.frasier FAQ", "d:Description": "Recommended reading for anyone using this newsgroup. FAQ also answers a lot of trivia questions that non-newsgroup users may find interesting.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://www.faqs.org/faqs/tv/frasier/faq/part1"} +{"d:Title": "Jeff Durling's Frasier Page", "d:Description": "Jeff is a Lighting Board Operator for Frasier. This page tells a little about his four seasons on Frasier and how a television show is made.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://www.jeffdurling.com/Frasier.html"} +{"d:Title": "Frasier Fannepage", "d:Description": "Favorite moments and quotes from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://www.neloo.com/fannesite/frasier.html"} +{"d:Title": "Frasier Fans Inc.", "d:Description": "Episode reviews, biographies, a chat room, plus a message board and poll for fans to speak their mind.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://docfrasiercrane.tripod.com/frasierfansinc/"} +{"d:Title": "The House of Frasier", "d:Description": "Contains episode guide, pictures, multimedia, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://www.rsmith.org.uk/frasier/"} +{"d:Title": "Ask Frasier Crane", "d:Description": "Visitors can ask a question, then click to hear the psychologist's advice.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://www.roweweb.com/ramblinrowes/frasier.html"} +{"d:Title": "Frasier Online", "d:Description": "News, episode information, multimedia, series background, and message board. Visitors can rate episodes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://www.frasieronline.co.uk/"} +{"d:Title": "Abfras's Frasier Site", "d:Description": "Photos, character profiles, and games.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Frasier", "url": "http://abfras.tripod.com/"} +{"d:Title": "IMDb: Freddie", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Freddie", "url": "http://imdb.com/title/tt0460640/"} +{"d:Title": "Washington Post: Freddie", "d:Description": "Show synopsis and review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Freddie", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2005/10/11/AR2005101101835.html"} +{"d:Title": "Metacritic: Freddie", "d:Description": "User and major newspaper reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Freddie", "url": "http://www.metacritic.com/tv/freddie"} +{"d:Title": "TV.com: Freddie", "d:Description": "Features episode guide, cast bios, show news, picture gallery, user reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Freddie", "url": "http://www.tv.com/shows/freddie/"} +{"d:Title": "The Fresh Prince Place", "d:Description": "Cast and crew information, episode list, image gallery, and lyrics to theme song.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Fresh_Prince_of_Bel-Air", "url": "http://thefreshprinceplace.tripod.com/"} +{"d:Title": "TBS Superstation - Friends", "d:Description": "The story, cast, images, TBS episode schedule, clips, and daily trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends", "url": "http://tbssuperstation.com/nonstopcomedyblock/friends/0,,,00.html"} +{"d:Title": "Ground Zero: Friends vs. Seinfeld", "d:Description": "It seems that both groups want the same couch.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends", "url": "http://www.grudge-match.com/History/seinfeld_friends.shtml"} +{"d:Title": "IMDB: Friends", "d:Description": "Cast and plot information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends", "url": "http://www.imdb.com/title/tt0108778/"} +{"d:Title": "Friends Trivia", "d:Description": "Large collection of Friends trivia quizzes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends", "url": "http://www.absurdtrivia.com/trivia/friends-trivia/"} +{"d:Title": "EpisodeList.com : Friends", "d:Description": "Offering an episode list with synopsis and character appearances.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends", "url": "http://www.episodelist.com/shows/friends/49"} +{"d:Title": "TV.com: Friends", "d:Description": "Episode guide with summaries, cast and crew, goofs.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends", "url": "http://www.tv.com/shows/friends/"} +{"d:Title": "Warner Bros: Friends", "d:Description": "Official site which includes episode guides, character biographies, a message board, and multimedia.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends", "url": "http://www.warnerbros.com/tv/friends-season-1"} +{"d:Title": "PopMatters - Friends", "d:Description": "Review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Articles_and_Interviews", "url": "http://popmatters.com/tv/reviews/f/friends.html"} +{"d:Title": "Battle: Friends: Monica vs Rachel vs Phoebe", "d:Description": "Askmen.com article comparing the female characters of Friends.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Articles_and_Interviews", "url": "http://www.askmen.com/toys/battle/battle7.html"} +{"d:Title": "Friends Boards", "d:Description": "Includes many message boards where you can post about everything related to the TV show Friends with many other fans of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Chats_and_Forums", "url": "http://friendsboard.proboards.com/"} +{"d:Title": "Friends Message Board", "d:Description": "Message board with spoilers and discussions.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Chats_and_Forums", "url": "http://friendsmessageboard15456.yuku.com/"} +{"d:Title": "Cow's Chandler and Monica Fanfiction", "d:Description": "Index of works.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Fiction", "url": "http://www.angelfire.com/ri/mycnmseries/"} +{"d:Title": "Friends Fanfic", "d:Description": "Available in script format. Submit script.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Fiction", "url": "http://beeper117.tripod.com/fanfic.html"} +{"d:Title": "Alexis's Tribute", "d:Description": "Pictures, Phoebe's songs, addresses, and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv/chocgal/main.html"} +{"d:Title": "Friends Obsession", "d:Description": "Friends site with the latest news, spoilers, articles, and scripts.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv2/Friendsobsession/"} +{"d:Title": "Jeniffer's Friends Page", "d:Description": "Includes biographies, introduction to show, soundtrack listings, episode guide, couples, downloads and program details.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv2/friendspage/"} +{"d:Title": "Friends NYC", "d:Description": "Including pictures, merchandise, games, quizzes, polls, biographies, ticket information, links, tribute to the city, map, chat room, and encounters.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv2/friendsnyc/"} +{"d:Title": "Friends Rocks", "d:Description": "Photo gallery, screen savers, theme songs, and ticket information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv/friendsrocks/"} +{"d:Title": "Interactive Friends", "d:Description": "Daily quotes, polls, quiz, and games.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv/FriendsTV/"} +{"d:Title": "Friends Trivia", "d:Description": "Test one's knowledge about Friends with quizzes and games.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv/genfriendstrivia/home.html"} +{"d:Title": "Roni's Ross and Rachel Page", "d:Description": "A dedication to Ross and Rachel from Friends, with movie clips, pictures, and sounds.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.rossandrachel.com/"} +{"d:Title": "Elizabeth's Friends Page", "d:Description": "Contains pictures, soundclips, and scripts.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv2/elizabethkro/"} +{"d:Title": "Alexis' Tribute to Friends", "d:Description": "Includes scripts, Phoebe's songs, pictures, sounds and lyrics.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv/chocgal/"} +{"d:Title": "Ultimate Friends Quiz", "d:Description": "Are you the ultimate fan? Try and find out.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/pa5/suburbandecay/index.html"} +{"d:Title": "Friends: The End of an Era", "d:Description": "Includes pictures, sounds, biographies, sounds, news, and games.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv2/friendsend/"} +{"d:Title": "Pheeb's World of Friends", "d:Description": "Includes an episode guide, links, Emma's biography, cast information, and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://pheebs8.tripod.com/"} +{"d:Title": "Planet Friends", "d:Description": "Pictures, games, meanings of characters' names, and actor, character, and writer profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://www.angelfire.com/tv2/planetfriends/"} +{"d:Title": "Beeper's Friends", "d:Description": "Trivia, fan fiction, spoilers, as well as hard to find articles and magazine covers.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://beeper117.tripod.com/index.html"} +{"d:Title": "Friends Fanworks", "d:Description": "Livejournal community where fans can view and post fanworks: wallpapers, icons, music videos, and fan art related to the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Friends/Fan_Pages", "url": "http://friendsfanworks.livejournal.com/"} +{"d:Title": "ClassicTV Hits: Full House", "d:Description": "Provides photo galleries, episode list, links, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Full_House", "url": "http://www.classictvhits.com/shows/fullhouse"} +{"d:Title": "Sara's Ultimate Full House Site", "d:Description": "Features cast biographies and mailing addresses, episode guide, news, and a photo album.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Full_House", "url": "http://www.angelfire.com/wi3/fullhouse/"} +{"d:Title": "Yahoo! Groups: Full House 4 Life", "d:Description": "Includes pictures, polls, news, fan fiction, and chat. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Full_House", "url": "http://tv.groups.yahoo.com/group/fullhouse4life/"} +{"d:Title": "Tanner Central", "d:Description": "Includes pictures, facts, quizzes and music clips from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Full_House", "url": "http://perander.no/fullhouse/"} +{"d:Title": "Full House Trivia", "d:Description": "Collection of user submitted trivia quizzes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Full_House", "url": "http://www.absurdtrivia.com/trivia/full-house/"} +{"d:Title": "IMDb: The Game", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Game,_The", "url": "http://www.imdb.com/title/tt0772137/"} +{"d:Title": "epguide.com - The Geena Davis Show", "d:Description": "Features episode guides for the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Geena_Davis_Show,_The", "url": "http://epguides.com/GeenaDavisShow/"} +{"d:Title": "PopMatters - The Geena Davis Show", "d:Description": "Review of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Geena_Davis_Show,_The", "url": "http://popmatters.com/tv/reviews/g/geena-davis-show.html"} +{"d:Title": "IMDb - The Geena Davis Show", "d:Description": "Cast and crew filmography database.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Geena_Davis_Show,_The", "url": "http://www.imdb.com/title/tt0247098/"} +{"d:Title": "TV.com - The Geena Davis Show Guide", "d:Description": "Show overview with guides to the cast and crew with episode summaries.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Geena_Davis_Show,_The", "url": "http://www.tv.com/shows/the-geena-davis-show/"} +{"d:Title": "Jam Showbiz - The Geena Davis Show", "d:Description": "Archive of news articles from the Canoe Network about the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Geena_Davis_Show,_The", "url": "http://jam.canoe.com/Television/TV_Shows/G/Geena_Davis_Show/"} +{"d:Title": "Classic Themes - The Gertrude Berg Show", "d:Description": "Authorship, copyright, and publication data on the program's two theme songs.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gertrude_Berg_Show,_The", "url": "http://www.classicthemes.com/50sTVThemes/themePages/gertrudeBergShow.html"} +{"d:Title": "IMDb - Gertrude Berg Show, The (1961)", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gertrude_Berg_Show,_The", "url": "http://www.imdb.com/title/tt0054542/"} +{"d:Title": "WouldYouBelieve.com", "d:Description": "An unofficial Get Smart home page. Dedicated to providing information about the 1960's TV series. Episode guide, collectible information, FAQs, and a mailing list.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Get_Smart", "url": "http://www.wouldyoubelieve.com/"} +{"d:Title": "Get Smart Scripts", "d:Description": "Original scripts written by Roy Kaiser, downloadable in PDF format.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Get_Smart", "url": "http://getsmartscripts.tripod.com/"} +{"d:Title": "Kristina's Get Smart Site", "d:Description": "Pictures, quotes, episode transcripts, and illustrated list of the women in Maxwell Smart's life.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Get_Smart", "url": "http://www.angelfire.com/tv2/GetSmart/"} +{"d:Title": "ClassicTVHits.com: Get Smart", "d:Description": "Includes an episode guide, photo gallery, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Get_Smart", "url": "http://www.classictvhits.com/shows/getsmart"} +{"d:Title": "The King of Caronia's Get Smart Archive", "d:Description": "Fan site that contains information about the show, various episode synopses, articles about the actors, numerous photos, and downloadable logo images and desktop wallpapers.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Get_Smart", "url": "http://caronia.tvheaven.com/"} +{"d:Title": "The Unclassified Get Smart Site", "d:Description": "Pictures, Smartian controversies, links galore, articles, and memorabilia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Get_Smart", "url": "http://www.ilovegetsmart.com/"} +{"d:Title": "IMDb: Ghost&Mrs. Muir, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Ghost_&_Mrs._Muir,_The", "url": "http://www.imdb.com/title/tt0062565/"} +{"d:Title": "Gilligan Fan Club", "d:Description": "Bob Denver's official site with news, photos, and insider trivia details about the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gilligan's_Island", "url": "http://bobdenver.com/"} +{"d:Title": "Gilligan's Island Online", "d:Description": "Show and cast information, theme songs, video clips, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gilligan's_Island", "url": "http://www.sitcomsonline.com/gilligansisland.html"} +{"d:Title": "Gilligan's Hut", "d:Description": "Images, sounds, scripts, humor and other musings.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gilligan's_Island", "url": "http://www.angelfire.com/ca2/GilligansHut/"} +{"d:Title": "Gilligan's Island FAQ: Episode Guide", "d:Description": "Cast lists, song lyrics, and other data from Usenet archives.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gilligan's_Island", "url": "http://www.faqs.org/faqs/tv/gilligans-isle/guide/"} +{"d:Title": "ClassicTVHits.com: Gilligan's Island", "d:Description": "Includes episode guides, photo galleries, sound galleries, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gilligan's_Island", "url": "http://www.classictvhits.com/show.php?id=186"} +{"d:Title": "Gilligan's Island Fan Club", "d:Description": "Features show information, character profiles, photographs, and news on the cast.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gilligan's_Island", "url": "http://www.gilligansisle.com/"} +{"d:Title": "Tv.com: Gilligan's Island", "d:Description": "An episode guide, information about cast and crew, links to the classic show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gilligan's_Island", "url": "http://www.tv.com/shows/gilligans-island/"} +{"d:Title": "Gimme A Break Online", "d:Description": "Show and cast information, episode guide, and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gimme_a_Break", "url": "http://www.sitcomsonline.com/gimmeabreak.html"} +{"d:Title": "IMDb: Gimme a Break", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gimme_a_Break", "url": "http://www.imdb.com/title/tt0081869/"} +{"d:Title": "The Golden Girls Episode Guide", "d:Description": "A guide listing the titles and air dates for each episode.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Golden_Girls,_The", "url": "http://www.epguides.com/GoldenGirls/"} +{"d:Title": "Shady Pines Online", "d:Description": "Basic character information, quotes, trivia, the theme song, and poetry.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Golden_Girls,_The", "url": "http://www.shadypines.8m.com/Index.html"} +{"d:Title": "Golden Girls Central", "d:Description": "Includes show and cast information, photos, quotes, a message board, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Golden_Girls,_The", "url": "http://www.goldengirlscentral.com/"} +{"d:Title": "Your Golden Girls USA", "d:Description": "Offers cast information, pictures, wallpapers, quotes, and related links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Golden_Girls,_The", "url": "http://www.members.tripod.com/yourggusaashg/"} +{"d:Title": "Golden Girls", "d:Description": "Pictures, trivia, advice, character profiles, actress biographies, quotes, and photos.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Golden_Girls,_The", "url": "http://fabgalnms.tripod.com/"} +{"d:Title": "Golden Girls Quotes", "d:Description": "Listing of character dialogue.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Golden_Girls,_The", "url": "http://goldengirlfan456.tripod.com/cgi-bin/tgoldengquotes.html"} +{"d:Title": "IMDb: Gomer Pyle, U.S.M.C.", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Gomer_Pyle,_USMC", "url": "http://www.imdb.com/title/tt0057752/"} +{"d:Title": "IMDb: Good Morning, Miami", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Good_Morning,_Miami", "url": "http://www.imdb.com/title/tt0320018/"} +{"d:Title": "TV.com: Good Morning, Miami", "d:Description": "guide to the sitcom.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Good_Morning,_Miami", "url": "http://www.tv.com/shows/good-morning-miami/"} +{"d:Title": "Good Times", "d:Description": "Good Times - They Weren't! What really happened to the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Good_Times", "url": "http://www.tvparty.com/70good.html"} +{"d:Title": "Green Acres is the Place To Be", "d:Description": "Reviews, images, fun facts, trivia, memorabilia, and contests.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Green_Acres", "url": "http://www.maggiore.net/greenacres/"} +{"d:Title": "Golly Mister Douglas", "d:Description": "Tom Lester's official web site", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Green_Acres", "url": "http://www.gollymisterdouglas.com/"} +{"d:Title": "IMDb: Green Acres", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Green_Acres", "url": "http://www.imdb.com/title/tt0058808/"} +{"d:Title": "TV.com: Green Acres", "d:Description": "Cast list, including all guest stars. Episode guide, list of writers, and broadcast history.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Green_Acres", "url": "http://www.tv.com/shows/green-acres/"} +{"d:Title": "Television Without Pity: Grosse Pointe", "d:Description": "Detailed episode descriptions.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grosse_Pointe", "url": "http://www.televisionwithoutpity.com/show/grosse-pointe/"} +{"d:Title": "Lindzi.com's Al Santos Interview", "d:Description": "The actor discusses the series and his roles in it, as well as dating and his life.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grosse_Pointe", "url": "http://www.lindzi.com/interviews/al.htm"} +{"d:Title": "TVShowsonDVD.com", "d:Description": "Vote for Grosse Pointe (and other shows) to be released on DVD.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grosse_Pointe", "url": "http://www.tvshowsondvd.com/shows/Grosse-Pointe/1991"} +{"d:Title": "IMDb: Grosse Pointe", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grosse_Pointe", "url": "http://www.imdb.com/title/tt0247104/"} +{"d:Title": "TV.com: Grosse Pointe", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grosse_Pointe", "url": "http://www.tv.com/shows/grosse-pointe/"} +{"d:Title": "Grounded for Life", "d:Description": "Production company Carsey-Werner-Mandabach's official site for the show. Includes episode guide, cast biographies, Finnerty family tree, and Sean and Claudia's parenting advice.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grounded_for_Life", "url": "http://www.groundedforlife.com/"} +{"d:Title": "Yahoo! Groups: Grounded for Life", "d:Description": "Discussion and photos related to the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grounded_for_Life", "url": "http://groups.yahoo.com/group/groundedforlife"} +{"d:Title": "Epguides.com: Grounded for Life", "d:Description": "Lists episodes with summaries and airdates,as well as providing links to cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grounded_for_Life", "url": "http://epguides.com/GroundedforLife/"} +{"d:Title": "IMDb: Grounded for Life", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Grounded_for_Life", "url": "http://www.imdb.com/title/tt0255734/"} +{"d:Title": "The Growing Pains Archive", "d:Description": "Photos, articles, news, quizzes, downloads, and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Growing_Pains", "url": "http://gparchive.tripod.com/TheArchivetextfiles/index.html"} +{"d:Title": "ClassicTVHits.com: Growing Pains", "d:Description": "Includes an episode guide, photo gallery, video and sound clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Growing_Pains", "url": "http://www.classictvhits.com/shows/growingpains"} +{"d:Title": "Happy Days Online", "d:Description": "Cast and show information, episode guide, sounds, pictures, video clips, articles, memorabilia, mailing list, where are they now?, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Happy_Days", "url": "http://www.sitcomsonline.com/happydays.html"} +{"d:Title": "ClassicTVHits.com: Happy Days", "d:Description": "Includes episode guides, photo galleries, sound galleries, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Happy_Days", "url": "http://www.classictvhits.com/shows/happydays"} +{"d:Title": "IMDb - Happy Days", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Happy_Days", "url": "http://www.imdb.com/title/tt0070992/"} +{"d:Title": "International Happy Days Fan Club", "d:Description": "Fan club which includes pictures, episode guides, and show information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Happy_Days", "url": "http://www.happydaysfanclub.it/"} +{"d:Title": "IMDb: Head Cases", "d:Description": "Show overview, cast information, crew details, quotes, trivia, and user reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Head_Cases", "url": "http://imdb.com/title/tt0460645/"} +{"d:Title": "Head of the Class Site", "d:Description": "Dedicated to maintaining the memory of the series. Contains links and polls.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Head_of_the_Class", "url": "http://www.angelfire.com/ny5/headoftheclass/"} +{"d:Title": "IMDb: Head of the Class", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Head_of_the_Class", "url": "http://www.imdb.com/title/tt0090444/"} +{"d:Title": "Hello, Larry Online", "d:Description": "Information, and episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hello,_Larry", "url": "http://www.sitcomsonline.com/hellolarry.html"} +{"d:Title": "Hello, Larry Information Page", "d:Description": "Fan page containing detailed information about the show, when it was broadcast, a series overview and cast information. Includes links to other show related sites.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hello,_Larry", "url": "http://dspages.tripod.com/hellolarry.html"} +{"d:Title": "IMDb: Help Me Help You", "d:Description": "Cast biographies, crew details, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Help_Me_Help_You", "url": "http://www.imdb.com/title/tt0802146/"} +{"d:Title": "SF Chronicle: Laugh therapy for serial drama daze", "d:Description": "Positive show review by Tim Goodman.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Help_Me_Help_You", "url": "http://www.sfgate.com/entertainment/article/Help-Me-Help-You-laugh-therapy-for-serial-2550716.php"} +{"d:Title": "Hogan's Heroes How to Win Friends and Influence Nazis", "d:Description": "List of Hogan's Heroes collectibles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan's_Heroes", "url": "http://www.nokilli.com/hogan/index.htm"} +{"d:Title": "Yahoo! Groups: Hogan's Barracks Club", "d:Description": "Fan club with mailing list. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan's_Heroes", "url": "http://groups.yahoo.com/group/hogansbarracks"} +{"d:Title": "Hogan's Heroes HQ", "d:Description": "Pictures and multimedia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan's_Heroes", "url": "http://degnan.8m.com/hogan.htm"} +{"d:Title": "Cpl. Newkirk's Stalag", "d:Description": "Series overview and trivia, character and cast profiles, image gallery, episode guide, and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan's_Heroes", "url": "http://www.members.tripod.com/hogansheroes/index.htm"} +{"d:Title": "The Hogan's Heroes Experience", "d:Description": "Tributes to Werner Klemperer, Bob Crane, and Richard Dawson. Includes pictures and sounds.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan's_Heroes", "url": "http://www.angelfire.com/celeb/richarddawson/hhe.html"} +{"d:Title": "Hogans Heroes Fanclub Website", "d:Description": "Form to join and image gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan's_Heroes", "url": "http://www.hogansheroes.org/"} +{"d:Title": "Hogan's Barracks", "d:Description": "Hogan's Heroes trivia, photos, and character profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan's_Heroes", "url": "http://barracks.4t.com/"} +{"d:Title": "The Hogan Family Online", "d:Description": "Show and cast information, episode guide, and picture gallery on this 1986-1991 sitcom which starred Valerie Harper, Sandy Duncan, Jason Bateman, Danny Ponce, Jeremy Licht, and Josh Taylor.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hogan_Family,_The", "url": "http://www.sitcomsonline.com/thehoganfamily.html"} +{"d:Title": "Home Improvement Fan Community", "d:Description": "Information on the series, image galleries, and downloads.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Home_Improvement", "url": "http://2ltime.com/"} +{"d:Title": "Home Improvement Archive", "d:Description": "Episode guide (title lists and summaries), cast and crew lists, character and profession lists, family trees, quotes, images, scripts, and archived articles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Home_Improvement", "url": "http://www.hiarchive.co.uk/"} +{"d:Title": "Home Improvement Fan Fiction Archive", "d:Description": "Original fan fiction.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Home_Improvement", "url": "http://www.angelfire.com/az/hific/"} +{"d:Title": "The Home Improvement Zone", "d:Description": "Newsletter, cast and movie pages, information, news, downloads, store, message board, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Home_Improvement", "url": "http://www.angelfire.com/mi2/HomeImprovement/"} +{"d:Title": "Yahoo! Groups: You're a Riot", "d:Description": "Club to talk about the show. Daily trivia. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Honeymooners,_The", "url": "http://groups.yahoo.com/group/youreariot/"} +{"d:Title": "Yahoo! Groups: The Racoon Lodge", "d:Description": "Mesage board for discussiono of the show. Members only. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Honeymooners,_The", "url": "http://groups.yahoo.com/group/theracoonlodge/"} +{"d:Title": "TV.com: The Honeymooners", "d:Description": "Includes show synopsis, cast and crew information, goofs and episode guides.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Honeymooners,_The", "url": "http://www.tv.com/shows/the-honeymooners/"} +{"d:Title": "IMDb: Hot Properties", "d:Description": "Features plot outline, user comments, cast bios, production information, and photo gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hot_Properties", "url": "http://imdb.com/title/tt0460648/"} +{"d:Title": "Washington Post: Hot Properties", "d:Description": "Show synopsis and review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hot_Properties", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2005/10/06/AR2005100601838.html"} +{"d:Title": "TV.com: Hot Properties", "d:Description": "Includes episode recaps, cast bios, show news, picture gallery, user reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hot_Properties", "url": "http://www.tv.com/shows/hot-properties/"} +{"d:Title": "USA Today: Hot Properties", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Hot_Properties", "url": "http://usatoday30.usatoday.com/life/television/reviews/2005-10-06-hot-properties_x.htm"} +{"d:Title": "IMDb: How I Met Your Mother", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/How_I_Met_Your_Mother", "url": "http://www.imdb.com/title/tt0460649/"} +{"d:Title": "CBS: How I Met Your Mother", "d:Description": "Official network site. Show summary, cast bios, video clips, and weblog.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/How_I_Met_Your_Mother", "url": "http://www.cbs.com/shows/how_i_met_your_mother/"} +{"d:Title": "IMDb: In-Laws", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/In-Laws", "url": "http://www.imdb.com/title/tt0315682/"} +{"d:Title": "IFC: The Increasingly Poor Decisions of Todd Margaret", "d:Description": "American network site features overview, video clips, episode guide and cast profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Increasingly_Poor_Decisions_of_Todd_Margaret,_The", "url": "http://www.ifc.com/shows/todd-margaret"} +{"d:Title": "Channel 4: Todd Margaret", "d:Description": "U.K. network's site features episode guide, interview with David Cross, behind-the-scenes extras and cast biographies. Full episodes available for streaming within the United Kingdom and Republic of Ireland.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Increasingly_Poor_Decisions_of_Todd_Margaret,_The", "url": "http://www.channel4.com/programmes/todd-margaret"} +{"d:Title": "The A.V. Club: The Increasingly Poor Decisions of Todd Margaret", "d:Description": "Recaps of the series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Increasingly_Poor_Decisions_of_Todd_Margaret,_The", "url": "http://www.avclub.com/tv/the-increasingly-poor-decisions-of-todd-margaret/"} +{"d:Title": "IMDb: In Case of Emergency", "d:Description": "Show overview, cast biographies, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/In_Case_of_Emergency", "url": "http://www.imdb.com/title/tt0491296/"} +{"d:Title": "Washington Post: Try Changing Channels", "d:Description": "Show review from John Maynard of the Washington Post.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/In_Case_of_Emergency", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2007/01/02/AR2007010201144.html"} +{"d:Title": "IMDb: It's Always Sunny in Philadelphia", "d:Description": "Show synopsis, cast details, episode list, episode videos, user reviews, quotes, message board, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/It's_Always_Sunny_in_Philadelphia", "url": "http://www.imdb.com/title/tt0472954/"} +{"d:Title": "Chicago Tribune: It's So Wrong, But It's Also Funny", "d:Description": "The Tribune's Maureen Ryan cites the show's inventiveness and improvements from the first few seasons in her positive review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/It's_Always_Sunny_in_Philadelphia", "url": "http://featuresblogs.chicagotribune.com/entertainment_tv/2008/09/sunny-philly-fx.html"} +{"d:Title": "IMDb: I Dream of Jeannie", "d:Description": "Cast and crew information, reviews and links for the classical comedy.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Dream_of_Jeannie", "url": "http://www.imdb.com/title/tt0058815/"} +{"d:Title": "Tv.com: I Dream of Jeannie", "d:Description": "Episode guide, cast and crew information, analysis, polls and reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Dream_of_Jeannie", "url": "http://www.tv.com/shows/i-dream-of-jeannie/"} +{"d:Title": "Yahoo Groups: I Dream of Jeannie", "d:Description": "A free and simple e-mail list for people who like I Dream of Jeannie.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Dream_of_Jeannie/Chats_and_Forums", "url": "http://groups.yahoo.com/group/idreamofjeannie/"} +{"d:Title": "The Blink", "d:Description": "A free monthly electronic I Dream of Jeannie Newsletter by BillPrint.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Dream_of_Jeannie/Fan_Pages", "url": "http://www.herrnsdorf.com/jeannie/theblink/index.html"} +{"d:Title": "Jeannie Sisters", "d:Description": "Episode guide, fan-fiction, bios, news, production information, and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Dream_of_Jeannie/Fan_Pages", "url": "http://www.jeanniesisters.com/"} +{"d:Title": "We Love Desilu Fan Club", "d:Description": "Club remembering Lucy, Desi and \"I Love Lucy\". Includes a monthly newsletter.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.angelfire.com/hi/welovedesilu/"} +{"d:Title": "Laughs, Luck...and Lucy", "d:Description": "A laugh-filled memoir by the show's creator-producer, Jess Oppenheimer, and his son, Gregg, plus complete Lucy scripts, backstage photos, and the exclusive \"Lucy's Lost Scenes\" Audio CD", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.lucynet.com/"} +{"d:Title": "A Tribute to the Zaniest Redhead in TV History", "d:Description": "Includes biographies, pictures, episode guide, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.angelfire.com/hi/crazyaboutlucy/"} +{"d:Title": "Popentertainment.com - Gregg Oppenheimer", "d:Description": "The son of \"I Love Lucy\" producer Jess Oppenheimer discusses his new book on his dad and Lucy with Ronald Sklar.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.popentertainment.com/oppen.htm"} +{"d:Title": "I Love Lucy Online", "d:Description": "Show and cast information, theme song, video clips and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.sitcomsonline.com/ilovelucy.html"} +{"d:Title": "Susan's I Love Lucy Page", "d:Description": "Includes weekly trivia, polls, information, song lyrics, and a Lucy mall to shop in.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.angelfire.com/al3/boo/"} +{"d:Title": "Kathryn's Lucy Site", "d:Description": "Includes Pictures, Lucy Links, and Lyrics.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.angelfire.com/tv2/Lucy/"} +{"d:Title": "Lucy! I'm Home!", "d:Description": "Quotes from Lucy, Ricky, Fred, and Ethel for download in WAV format.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.seahaas.com/lucyimhome.html"} +{"d:Title": "ClassicTVHits.com: I Love Lucy", "d:Description": "Includes episode guides, photo galleries, sound galleries, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.classictvhits.com/shows/ilovelucy"} +{"d:Title": "IMDb: I Love Lucy", "d:Description": "Contains plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.imdb.com/title/tt0043208/"} +{"d:Title": "East 68th Street", "d:Description": "Show overview, episode guide, cast details, trivia, quotes, transcripts, and bloopers.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://east68street.50webs.com/"} +{"d:Title": "Loving Lucy", "d:Description": "A Lucy fan website that features trivia, facts, and information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.lovinglucy.com/"} +{"d:Title": "I Love Lucy", "d:Description": "Information, pictures and quotes about the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.angelfire.com/tv/DaydreamersAvenue/"} +{"d:Title": "WebRing: Lucy Ricardo", "d:Description": "Web ring for the star of \"I Love Lucy\".", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://www.webring.org/hub/lucyricardo"} +{"d:Title": "I Love Lucy World", "d:Description": "Includes contests, games, trivia, club, chat, pictures and merchandise.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://kategymnast.tripod.com/"} +{"d:Title": "I Love Lucy Zone", "d:Description": "Bloopers, links, lyrics, quotes, biographies, pictures, news and episode guides.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://ilovelucyzone.tripod.com/"} +{"d:Title": "The Lucypedia", "d:Description": "Includes cast biographies, pictures, trivia, quotes and episode guides.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://ldvb112.tripod.com/"} +{"d:Title": "The Tropicana Nightclub", "d:Description": "Includes cast information, favorite moments, postcards, guest stars, song lyrics, sounds, original airdates, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/I_Love_Lucy", "url": "http://tropicananightclub.tripod.com/"} +{"d:Title": "TKTV - Jack and Jill", "d:Description": "Current season information, previews, and news.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Jack_and_Jill", "url": "http://jackandjill.tktv.net/"} +{"d:Title": "Warner Bros.: Jack and Jill", "d:Description": "Official UK site. Includes episode and behind-the-scenes photos, cast biographies, episode guide, and games.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Jack_and_Jill", "url": "http://www.jackandjilltv.co.uk/"} +{"d:Title": "ClassicTVHits.com: The Jeffersons", "d:Description": "Includes episode guides, photo galleries, sound galleries, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Jeffersons,_The", "url": "http://www.classictvhits.com/shows/jeffersons"} +{"d:Title": "IMDb: Jesse", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Jesse", "url": "http://www.imdb.com/title/tt0156196/"} +{"d:Title": "TV.com: Jesse", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Jesse", "url": "http://www.tv.com/shows/jesse/"} +{"d:Title": "Jesse", "d:Description": "Character profiles, episode guide, image galleries, multimedia, and ratings.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Jesse", "url": "http://jessetvfan.tripod.com/index.htm"} +{"d:Title": "Joanie Loves Chachi", "d:Description": "Includes an episode guide, pictures, and a mailing list for this short-lived spinoff of Happy Days.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Joanie_Loves_Chachi", "url": "http://www.sitcomsonline.com/joanielchachi.html"} +{"d:Title": "IMDb: Joanie Loves Chachi", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Joanie_Loves_Chachi", "url": "http://www.imdb.com/title/tt0083433/"} +{"d:Title": "IMDb: Joey", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Joey", "url": "http://www.imdb.com/title/tt0375355/"} +{"d:Title": "TV.com: Joey", "d:Description": "Contains links, cast biographies, news, and episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Joey", "url": "http://www.tv.com/shows/joey/"} +{"d:Title": "Episode List: Joey", "d:Description": "Offers cast list, plot summaries, and plot tracker.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Joey", "url": "http://www.episodelist.com/shows/joey/161"} +{"d:Title": "The Original Just Shoot Me Website", "d:Description": "Episode guide, sounds, pictures, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Just_Shoot_Me", "url": "http://www.angelfire.com/ga/hikeeba/jsm.html"} +{"d:Title": "Dedicated Kenan and Kel Fans Club", "d:Description": "Yahoo discussion group on the show and actors, along with pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Kenan_and_Kel", "url": "http://groups.yahoo.com/group/dedicatedkenanandkelfans/"} +{"d:Title": "The King Of Queens | 2.b.ass", "d:Description": "Includes an episode guide, pictures, multimedia, and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/King_of_Queens,_The", "url": "http://kingofqueens.engblad.com/"} +{"d:Title": "IMDB: The King of Queens", "d:Description": "Show overview, user comments, cast biographies, crew details, episode list, message board, trivia, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/King_of_Queens,_The", "url": "http://www.imdb.com/title/tt0165581/"} +{"d:Title": "IMDb: Kitchen Confidential", "d:Description": "Show synopsis, cast bios, crew info, user reviews, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Kitchen_Confidential", "url": "http://imdb.com/title/tt0460654/"} +{"d:Title": "TV IV: Kitchen Confidential", "d:Description": "Cast bios, episode guide, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Kitchen_Confidential", "url": "http://tviv.org/Kitchen_Confidential"} +{"d:Title": "IMDb: The Knights of Prosperity", "d:Description": "Show overview, user reviews, cast biographies, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Knights_of_Prosperity,_The", "url": "http://www.imdb.com/title/tt0494287/"} +{"d:Title": "Schlamiel Schlamazel", "d:Description": "Lavern and Shirley fan site with episode guide and images.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Laverne_and_Shirley", "url": "http://www.angelfire.com/ny4/shirleyfeeney/"} +{"d:Title": "Leave it to Beaver Online", "d:Description": "Show and cast information, theme songs, video clips, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Leave_It_to_Beaver", "url": "http://www.sitcomsonline.com/leaveittobeaver.html"} +{"d:Title": "Wikipedia: Less Than Perfect", "d:Description": "Show synopsis and cast bios.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Less_Than_Perfect", "url": "http://en.wikipedia.org/wiki/Less_Than_Perfect"} +{"d:Title": "Yahoo! Groups: Less Than Perfect", "d:Description": "Show, episode, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Less_Than_Perfect", "url": "http://tv.groups.yahoo.com/group/less_than_perfect/"} +{"d:Title": "IMDb: Less Than Perfect", "d:Description": "Includes show synopsis, user reviews, cast bios, crew details, production information, and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Less_Than_Perfect", "url": "http://imdb.com/title/tt0320052/"} +{"d:Title": "TV.com: Less Than Perfect", "d:Description": "Show summary, episode guide, cast bios, show news, picture gallery, user reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Less_Than_Perfect", "url": "http://www.tv.com/shows/less-than-perfect/"} +{"d:Title": "IMDb: Life with Bonnie", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Life_With_Bonnie", "url": "http://www.imdb.com/title/tt0320053/"} +{"d:Title": "IMDb: Living with Fran", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Living_With_Fran", "url": "http://www.imdb.com/title/tt0402471/"} +{"d:Title": "TV.com: Living with Fran", "d:Description": "Episode guide, cast and crew information, and reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Living_With_Fran", "url": "http://www.tv.com/shows/living-with-fran/"} +{"d:Title": "In Praise of Madigan Men", "d:Description": "News, actor biographies, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Madigan_Men", "url": "http://www.cinemind.com/MadiganMen/"} +{"d:Title": "IMDb: Madigan Men", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Madigan_Men", "url": "http://www.imdb.com/title/tt0247110/"} +{"d:Title": "TV.com: Madigan Men", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Madigan_Men", "url": "http://www.tv.com/shows/madigan-men/"} +{"d:Title": "Myk's Mad About You Commentary", "d:Description": "A complete episode guide, with a review and comments on each episode.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mad_About_You", "url": "http://maycommentary.tripod.com/"} +{"d:Title": "Make Room for Daddy - TV.com", "d:Description": "Plot synopsis, broadcast history, cast list, and other details.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Make_Room_for_Daddy", "url": "http://www.tv.com/shows/make-room-for-daddy/"} +{"d:Title": "IMDb: Malcolm&Eddie", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_and_Eddie", "url": "http://www.imdb.com/title/tt0115259/"} +{"d:Title": "Malcolm in the Middle", "d:Description": "Photos, theme song, Psychic Stevie.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://www.angelfire.com/80s/malcominthemiddle/"} +{"d:Title": "EpGuides - Malcolm in the Middle", "d:Description": "Episode guides and guest stars.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://epguides.com/MalcolmintheMiddle/"} +{"d:Title": "PopMatters - Malcolm in the Middle", "d:Description": "Detailed review and analysis of the show along with nationwide TV listings.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://popmatters.com/tv/reviews/m/malcolm-in-the-middle.html"} +{"d:Title": "Malcolm in the Middle", "d:Description": "Quotes, pictures, cast biographies, links, and the video clip for the theme song.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://www.deweyrules.bravepages.com/"} +{"d:Title": "TKtv: Malcolm in the Middle", "d:Description": "Includes an episode guide, news, cast information and previews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://malcolminthemiddle.tktv.net/"} +{"d:Title": "MalcolmMania", "d:Description": "Quotes, polls, sounds, pictures, and links for the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://www.angelfire.com/tv/reese/"} +{"d:Title": "Malcolm in the Middle Voting Community", "d:Description": "News, episode guide, photograph gallery, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://www.malcolminthemiddle.co.uk/"} +{"d:Title": "BBC Cult", "d:Description": "Official BBC site for Malcolm in the UK on BBC 2, with Picture gallery, Quiz, and background information", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://www.bbc.co.uk/programmes/b006w6rc"} +{"d:Title": "IMDb - Malcolm in the Middle", "d:Description": "Information on the cast, crew, and production company.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Malcolm_in_the_Middle", "url": "http://www.imdb.com/title/tt0212671/"} +{"d:Title": "MamasFamily.Com", "d:Description": "Transcripts, episode guide, sounds, and news.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mama's_Family", "url": "http://www.mamasfamily.8m.com/"} +{"d:Title": "Mama's Family Site", "d:Description": "Includes information, episode guide, pictures, audio/video clips, and cast and character profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mama's_Family", "url": "http://www.televisionhits.com/mamasfamily/"} +{"d:Title": "The Many Loves of Dobie Gillis", "d:Description": "TV. episode guide, with cast list and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Many_Loves_of_Dobie_Gillis,_The", "url": "http://www.tv.com/shows/the-many-loves-of-dobie-gillis/"} +{"d:Title": "Al Bundy Quotes", "d:Description": "A large collection of classic Al Bundy quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://www.rcade.pp.se/al.html"} +{"d:Title": "Married... With Children FAQ [alt.tv.mwc]", "d:Description": "Official FAQ for the usenet group alt.tv.mwc.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://www.faqs.org/faqs/tv/married-w-c-faq/"} +{"d:Title": "Al Bundy Site", "d:Description": "Pictures, biographies, forum, sounds, videos, background stories, and news on the stars. German and English.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://www.albundy.net/"} +{"d:Title": "Married With Children", "d:Description": "Episode guide and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://www.nyx.net/~dnadams/mwc.html"} +{"d:Title": "Bundyology", "d:Description": "Contains many facts about the show, house plans, family trees and scripts.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://www.bundyology.com/"} +{"d:Title": "WWWF Grudge Match", "d:Description": "What if the Bundys, Bunkers, and Simpsons competed on The Newlywed Game?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://www.grudge-match.com/History/newlywed.shtml"} +{"d:Title": "Married...With Children Picture Pages", "d:Description": "Images arranged by character, message board, and episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://www.angelfire.com/tv2/mwcpicturepage/"} +{"d:Title": "What a Bundyful World", "d:Description": "Includes cast biographies, trivia questionnaires, a forum, songs and the series' episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Married..._With_Children", "url": "http://liranru.tripod.com/"} +{"d:Title": "IMDb: Martin", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Martin", "url": "http://www.imdb.com/title/tt0103488/"} +{"d:Title": "IMDb: Mary Hartman, Mary Hartman", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mary_Hartman,_Mary_Hartman", "url": "http://www.imdb.com/title/tt0074021/"} +{"d:Title": "The Mary and Rhoda list", "d:Description": "A discussion group for the series 'Mary and Rhoda', and the classic television sitcoms which preceded it.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mary_Tyler_Moore_Show,_The", "url": "http://www.jyanet.com/mtm/"} +{"d:Title": "The Mary Tyler Moore Show", "d:Description": "Contains information on the show and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mary_Tyler_Moore_Show,_The", "url": "http://www.transparencynow.com/mary.htm"} +{"d:Title": "M*A*S*H Online", "d:Description": "Contains live chat, news, and discussion.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/MASH", "url": "http://joehollywood.com/mash/"} +{"d:Title": "M*A*S*H FAQ: Episode Guide", "d:Description": "List of episodes with original network airdates, cast, plot summaries, writers, directors, and guest stars.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/MASH", "url": "http://www.faqs.org/faqs/tv/mash/guide/"} +{"d:Title": "Which M*A*S*H Character Are You?", "d:Description": "Visitors can take the quiz to discover which character they most resemble.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/MASH", "url": "http://www.bloggerheads.com/mash_quiz/"} +{"d:Title": "Andrew's M*A*S*H Homepage", "d:Description": "Quotes, pictures, and character profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/MASH", "url": "http://4077th_mash_1.tripod.com/"} +{"d:Title": "Best Care Anywhere", "d:Description": "Includes cast and character information, pictures, sounds, quotes, and fan fiction.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/MASH", "url": "http://www.bestcareanywhere.net/"} +{"d:Title": "Llinos and Poppy's Hawkeye and Margaret Site of Love", "d:Description": "Includes fan fiction, episode guide, character profiles, polls, e-cards, and the BJ Shrine.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/MASH", "url": "http://www.angelfire.com/tv2/mashlove/"} +{"d:Title": "ClassicTVHits.com: M*A*S*H", "d:Description": "Includes photo galleries, links, cast information and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/MASH", "url": "http://www.classictvhits.com/show.php?id=203"} +{"d:Title": "Mayberry R.F.D. (1968)", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mayberry_R.F.D.", "url": "http://www.imdb.com/title/tt0062587/"} +{"d:Title": "Bill Liblick Stars on Maybe This Time", "d:Description": "Pictures and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Maybe_This_Time", "url": "http://www.talkerbill.com/mtt.html"} +{"d:Title": "IMDb: Maybe This Time", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Maybe_This_Time", "url": "http://www.imdb.com/title/tt0112066/"} +{"d:Title": "McHale's Navy Online", "d:Description": "With cast credits, a message board and series summary.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/McHale's_Navy", "url": "http://www.sitcomsonline.com/mchalesnavy.html"} +{"d:Title": "IMDb: McHale's Navy (1962)", "d:Description": "Cast and crew credits for the TV series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/McHale's_Navy", "url": "http://www.imdb.com/title/tt0055689/"} +{"d:Title": "PopMatters: Michael Richards Show", "d:Description": "Review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Michael_Richards_Show,_The", "url": "http://popmatters.com/tv/reviews/m/michael-richards-show.html"} +{"d:Title": "IMDb: The Michael Richards Show", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Michael_Richards_Show,_The", "url": "http://www.imdb.com/title/tt0247113/"} +{"d:Title": "TV.com: The Michael Richards Show", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Michael_Richards_Show,_The", "url": "http://www.tv.com/shows/the-michael-richards-show/"} +{"d:Title": "IMDb: Mind of the Married Man, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mind_of_the_Married_Man,_The", "url": "http://www.imdb.com/title/tt0275520/"} +{"d:Title": "ClassicTVHits.com: Mister Ed", "d:Description": "Includes an episode list, screenshots, cast information and show multimedia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mister_Ed", "url": "http://www.classictvhits.com/shows/mistered"} +{"d:Title": "IMDb: Mister Ed", "d:Description": "Complete cast and crew credits.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mister_Ed", "url": "http://www.imdb.com/title/tt0054557/"} +{"d:Title": "A Mr. Ed Scrapbook", "d:Description": "Photo scrapbook of memories of the talking horse TV star.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mister_Ed", "url": "http://horsefame.tripod.com/MrEdS.htm"} +{"d:Title": "IMDb: Modern Men", "d:Description": "Cast bios, crew details, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Modern_Men", "url": "http://www.imdb.com/title/tt0445126/"} +{"d:Title": "Mork&Mindy Online", "d:Description": "Show and cast information, episode guide, pictures, theme song, and memorabilia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mork_and_Mindy", "url": "http://www.sitcomsonline.com/morkandmindy.html"} +{"d:Title": "TV MegaSite: Mork and Mindy", "d:Description": "Pictures, episode guide, and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mork_and_Mindy", "url": "http://www.tvmegasite.net/prime/shows/mork/"} +{"d:Title": "ClassicTVHits.com: Mork&Mindy", "d:Description": "Includes an episode guide, photo gallery, sound clips, links, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mork_and_Mindy", "url": "http://www.classictvhits.com/shows/morkandmindy"} +{"d:Title": "Mork and Mindy TV Show", "d:Description": "Trivia, description, episode and cast list.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mork_and_Mindy", "url": "http://www.crazyabouttv.com/morkandmindy.html"} +{"d:Title": "Mr. Belvedere Online", "d:Description": "Show and cast information, theme song, and video clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mr._Belvedere", "url": "http://www.sitcomsonline.com/mrbelvedere.html"} +{"d:Title": "IMDb: Mr. Belvedere", "d:Description": "Cast, crew, and productioninformation.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Mr._Belvedere", "url": "http://www.imdb.com/title/tt0088576/"} +{"d:Title": "MunsterKoach.COM", "d:Description": "Information and pictures about the Munster Koach and Drag-u-la that were built by George Barris for the Munsters TV series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Munsters,_The", "url": "http://www.munsterkoach.com/"} +{"d:Title": "Munsters.com", "d:Description": "Butch Patrick's site that includes biographies, character pages, a Fred Gwynne tribute, and information on his fan club.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Munsters,_The", "url": "http://www.munsters.com/"} +{"d:Title": "The Munsters vs. The Addams Family", "d:Description": "A fight breaks out on the set of the Family Feud.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Munsters,_The", "url": "http://www.grudge-match.com/History/feud2.shtml"} +{"d:Title": "Steve's Munsters Site", "d:Description": "Includes information, episode guides, and pictures from the 1960's series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Munsters,_The", "url": "http://www.classictvhits.com/munsters/"} +{"d:Title": "The Munster Mansion, Waxahachie, Texas", "d:Description": "The official site of the nearly exact replica of the mansion featured on the 1960s sitcom The Munsters, including an online tour and information about visiting.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Munsters,_The", "url": "http://munstermansion.com/"} +{"d:Title": "IMDb: Murphy Brown", "d:Description": "Cast and crew, and user comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Murphy_Brown", "url": "http://www.imdb.com/title/tt0094514/"} +{"d:Title": "TV Classic: My Favorite Martian", "d:Description": "Episode listing, character information, a commentary on the new film, trivia and photos.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/My_Favorite_Martian", "url": "http://members.tripod.com/~jhh_2/TVMFM.htm"} +{"d:Title": "TV Party: My Mother the Car", "d:Description": "Brief profile of the show, with still photos and an audio file of the theme song.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/My_Mother_the_Car", "url": "http://www.tvparty.com/recmothercar.html"} +{"d:Title": "My Mother the Car", "d:Description": "Lists the 29 episodes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/My_Mother_the_Car", "url": "http://gdtvd.tripod.com/my_mother_the_car.html"} +{"d:Title": "IMDb: My Name Is Earl", "d:Description": "Cast, user reviews, quotes, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/My_Name_Is_Earl", "url": "http://www.imdb.com/title/tt0460091/"} +{"d:Title": "NBC: My Name Is Earl", "d:Description": "Official network site. Show summary, episode guide, cast bios, karma guide, photo gallery, and video clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/My_Name_Is_Earl", "url": "http://www.nbc.com/my-name-is-earl"} +{"d:Title": "IMDb: My Wife and Kids", "d:Description": "Cast and crew information, reviews, plot summary and comments about the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/My_Wife_and_Kids", "url": "http://www.imdb.com/title/tt0273855/"} +{"d:Title": "TV.com: My Wife and Kids", "d:Description": "A reference guide to the show. Episode guide, cast and crew information, analysis, polls and reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/My_Wife_and_Kids", "url": "http://www.tv.com/shows/my-wife-and-kids/"} +{"d:Title": "The Nanny Nest", "d:Description": "A fan's tribute to the show, includes a voteline, trivia and favourite quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Nanny,_The/Fan_Pages", "url": "http://www.angelfire.com/ms/sheFField/index.html"} +{"d:Title": "IMDb: Nanny and the Professor", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Nanny_and_the_Professor", "url": "http://www.imdb.com/title/tt0065326/"} +{"d:Title": "IMDb: Newhart", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Newhart", "url": "http://www.imdb.com/title/tt0083455/"} +{"d:Title": "NewsRadio Info Site", "d:Description": "Descriptions of the cast members and characters, images, and sound clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://newsradio.8k.com/"} +{"d:Title": "Epguide: NewsRadio", "d:Description": "Listing of the titles and air dates for each NewsRadio episode, along with summaries of each one.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://epguides.com/NewsRadio"} +{"d:Title": "DeAnna's NewsRadio Website", "d:Description": "It has trivia, quote of the week, polls, and greeting cards.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://newsradiod.tripod.com/newsradioi/"} +{"d:Title": "W.N.Y.X. News Radio", "d:Description": "Character descriptions, images, and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://www.angelfire.com/tv/newsradio/"} +{"d:Title": "Fort Awesome", "d:Description": "Hub for NewsRadio fans. Weekly polls, daily quotes, transcripts, sounds, U.S. syndication schedules, Internet Explorer skins, and other graphics.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://fortawesome.www7.50megs.com/"} +{"d:Title": "Newsradio Forever", "d:Description": "Episode guide, cast biographies, fan fiction, and chat.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://www.angelfire.com/film/newsradioforever/"} +{"d:Title": "NewsRadio .WAVs", "d:Description": "Selection of sound clips from the smart sitcom formerly on NBC, currently in syndication.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://sundae1212.tripod.com/sounds.htm"} +{"d:Title": "People of alt.tv.newsradio", "d:Description": "Bios of some of the diehard fans who congregate on the sitcom's newsgroup.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/NewsRadio", "url": "http://limezinger.tripod.com/atnr.html"} +{"d:Title": "The New Addams Family", "d:Description": "Epguides.com Air date and title Guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/New_Addams_Family,_The", "url": "http://epguides.com/NewAddamsFamily/"} +{"d:Title": "The New Addams Family (IMDb)", "d:Description": "IMDb Database listing.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/New_Addams_Family,_The", "url": "http://www.imdb.com/title/tt0172031/"} +{"d:Title": "IMDb: The New Adventures of Old Christine", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/New_Adventures_of_Old_Christine,_The", "url": "http://www.imdb.com/title/tt0462128/"} +{"d:Title": "SF Chronicle Review", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/New_Adventures_of_Old_Christine,_The", "url": "http://www.sfgate.com/entertainment/article/What-about-that-Seinfeld-curse-Christine-2501965.php"} +{"d:Title": "USA TODAY: Review", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/New_Adventures_of_Old_Christine,_The", "url": "http://usatoday30.usatoday.com/life/television/reviews/2006-03-12-old-christine_x.htm"} +{"d:Title": "PopMatters - Nikki", "d:Description": "Show review by Jessica Harbour.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Nikki", "url": "http://popmatters.com/tv/reviews/n/nikki.html"} +{"d:Title": "IMDb: Nikki", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Nikki", "url": "http://www.imdb.com/title/tt0247121/"} +{"d:Title": "TV.com: Nikki", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Nikki", "url": "http://www.tv.com/shows/nikki/"} +{"d:Title": "PopMatters: Normal, Ohio", "d:Description": "Review of the show, with an addendum about its cancellation.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Normal,_Ohio", "url": "http://popmatters.com/tv/reviews/n/normal-ohio.html"} +{"d:Title": "IMDb: Don't Ask", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Normal,_Ohio", "url": "http://www.imdb.com/title/tt0247090/"} +{"d:Title": "TV.com: Normal, Ohio", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Normal,_Ohio", "url": "http://www.tv.com/shows/normal-ohio/"} +{"d:Title": "IMDb: Notes from the Underbelly", "d:Description": "Cast, crew, and production information as well as user comments, episode list, and photograph gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Notes_from_the_Underbelly", "url": "http://www.imdb.com/title/tt0801428/"} +{"d:Title": "San Francisco Chronicle: Joke's on Them. They're Going to Have a Baby!", "d:Description": "Tim Goodman writes that the show is formulaic, but executed very well.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Notes_from_the_Underbelly", "url": "http://www.sfgate.com/entertainment/article/REVIEW-Joke-s-on-them-They-re-going-to-have-a-2602854.php"} +{"d:Title": "IMDb.com: Odd Couple, The", "d:Description": "Includes cast overview and user comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Odd_Couple,_The", "url": "http://www.imdb.com/title/tt0065329/"} +{"d:Title": "Wikipedia: The Office", "d:Description": "Show summary, episode list, cast bios, character info, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Office,_The_-_2005", "url": "http://en.wikipedia.org/wiki/The_Office_(US)"} +{"d:Title": "IMDb: The Office", "d:Description": "Cast bios, user reviews, crew info, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Office,_The_-_2005", "url": "http://www.imdb.com/title/tt0386676/"} +{"d:Title": "The Office Quotes", "d:Description": "Extensive collection of quotes categorized by season and episode number.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Office,_The_-_2005", "url": "http://www.theofficequotes.com/"} +{"d:Title": "Classic Themes - Our Miss Brooks", "d:Description": "Authorship, copyright, and publication data on the program's two theme songs.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Our_Miss_Brooks", "url": "http://www.classicthemes.com/50sTVThemes/themePages/ourMissBrooks.html"} +{"d:Title": "Our Miss Brooks Episode Guide", "d:Description": "Covers only those episodes in which Gene Barry portrays Gene Talbot (1955-56).", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Our_Miss_Brooks", "url": "http://user.xmission.com/~emailbox/missbrooks.htm"} +{"d:Title": "IMDb - Our Miss Brooks (1952)", "d:Description": "Cast, credits, production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Our_Miss_Brooks", "url": "http://www.imdb.com/title/tt0044287/combined"} +{"d:Title": "IMDb: Out of Practice", "d:Description": "Cast bios, user comments, quotes, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Out_of_Practice", "url": "http://www.imdb.com/title/tt0460666/"} +{"d:Title": "Parker Lewis Can't Lose (a Titles and Air Dates Guide)", "d:Description": "A guide listing the title and air date for each episode of the TV series Parker Lewis Can't Lose.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Parker_Lewis_Can't_Lose", "url": "http://epguides.com/ParkerLewisCantLose/"} +{"d:Title": "IMDb: Parker Lewis Can't Lose", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Parker_Lewis_Can't_Lose", "url": "http://www.imdb.com/title/tt0098888/"} +{"d:Title": "WWWF Grudge Match", "d:Description": "What if the Partridge Family competed for the Roller Derby championship?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Partridge_Family,_The", "url": "http://www.grudge-match.com/History/brady-partridge.shtml"} +{"d:Title": "The Come on Get Happy Gallery", "d:Description": "Pictures of cast members and screen captures from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Partridge_Family,_The", "url": "http://www.ycdtotv.de/cogh/index.htm"} +{"d:Title": "C'mon, Get Happy", "d:Description": "Mailing list, message board, episodes, collectibles, and music.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Partridge_Family,_The", "url": "http://www.cmongethappy.com/"} +{"d:Title": "Sound Magazine", "d:Description": "Tribute band; includes pictures, song list and press.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Partridge_Family,_The", "url": "http://www.soundmagazine.net/soundmag.html"} +{"d:Title": "Sitcoms Online Message Board", "d:Description": "Show, cast, character, and episode discussion.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Patty_Duke_Show,_The", "url": "http://www.sitcomsonline.com/boards/forumdisplay.php?forumid=185"} +{"d:Title": "TV.com: The Patty Duke Show", "d:Description": "Episode guide, cast and crew member list, and a short description of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Patty_Duke_Show,_The", "url": "http://www.tv.com/shows/the-patty-duke-show/"} +{"d:Title": "Balki", "d:Description": "A tribute page for Balki.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Perfect_Strangers", "url": "http://members.tripod.com/~preTender_316/balki.html"} +{"d:Title": "Drucker's General Store", "d:Description": "Discussion of the 60s sitcom Petticoat Junction. [Yahoo Groups]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Petticoat_Junction", "url": "http://groups.yahoo.com/group/druckersgeneralstore/"} +{"d:Title": "Epguides.com: Police Squad!", "d:Description": "Lists titles and airdates for episodes. Includes information on the related theatrical films.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Police_Squad", "url": "http://www.epguides.com/PoliceSquad/"} +{"d:Title": "Police Squad! In Color!", "d:Description": "A-Z encyclopedia of the series. Includes episode information and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Police_Squad", "url": "http://mrrob.home.xs4all.nl/policesquad/"} +{"d:Title": "The Internet Movie Database (IMDb): Punky Brewster", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Punky_Brewster", "url": "http://www.imdb.com/title/tt0086787/"} +{"d:Title": "The Red Green Show", "d:Description": "Official site includes character descriptions, episode guide, news, photos, audio and video files, chat, merchandise, and ticket information.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Red_Green_Show,_The", "url": "http://www.redgreen.com/"} +{"d:Title": "The GI Red Green Page", "d:Description": "Tribute to the show includes Quicktime movies of skits produced by employees of the Geophysical Institute at the University of Alaska.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Red_Green_Show,_The", "url": "http://www.gi.alaska.edu/~jesse/redgreen/"} +{"d:Title": "The New Red Green Page", "d:Description": "Red, Harold, Bill, and the whole gang up at Possum Lodge. Includes photos and news.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Red_Green_Show,_The", "url": "http://www.execulink.com/~bobnet/redgreen/index.html"} +{"d:Title": "Dragon and the Pearl", "d:Description": "Valerie Harper's biography from the Dragon and the Pearl, an on-line version of theatrical program", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Rhoda", "url": "http://www.orlok.com/cyberbil/pearl2/vhbio.html"} +{"d:Title": "The Mary&Rhoda list", "d:Description": "A discussion group for the series 'Mary and Rhoda', and the classic MTM television sitcoms which preceded it.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Rhoda", "url": "http://www.jyanet.com/mtm/"} +{"d:Title": "IMDb: Rhoda", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Rhoda", "url": "http://www.imdb.com/title/tt0071040/"} +{"d:Title": "The Official Roger That! Fan Page", "d:Description": "Episode guide, cast information, and fan comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Roger_That", "url": "http://www.tvdads.com/roger/"} +{"d:Title": "WWWF Grudge Match: Rosie O'Donnell vs. Roseanne", "d:Description": "What if the two TV divas battled it out in the mud wrestling ring?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Roseanne", "url": "http://www.grudge-match.com/History/rosie-roseanne.shtml"} +{"d:Title": "ClassicTVHits.com: Roseanne", "d:Description": "Provides an episode list, photo gallery, video gallery, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Roseanne", "url": "http://www.classictvhits.com/shows/roseanne"} +{"d:Title": "Roseanne - 1988", "d:Description": "Credits for the sitcom which ran from 1988 to 1997.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Roseanne", "url": "http://www.imdb.com/title/tt0094540/"} +{"d:Title": "IMDb: Rules of Engagement", "d:Description": "Show overview, cast biographies, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Rules_of_Engagement", "url": "http://imdb.com/title/tt0790772/"} +{"d:Title": "IMDb: Run of the House", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Run_of_the_House", "url": "http://www.imdb.com/title/tt0363368/"} +{"d:Title": "STTW", "d:Description": "FAQ and news about Sabrina the Teenage Witch.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sabrina,_the_Teenage_Witch", "url": "http://www.obkb.com/info/mjhpages/sabrina.html"} +{"d:Title": "Beth Broderick's Page", "d:Description": "Autographed pictures of Beth and the entire cast.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sabrina,_the_Teenage_Witch", "url": "http://www.angelfire.com/nv/Deevapage/page2.html"} +{"d:Title": "PopMatters: Sabrina, The Teenage Witch", "d:Description": "Review of the show's new season on the WB network.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sabrina,_the_Teenage_Witch", "url": "http://popmatters.com/tv/reviews/s/sabrina-the-teenage-witch.html"} +{"d:Title": "The StTW Ring", "d:Description": "Web ring with several non-English sites.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sabrina,_the_Teenage_Witch", "url": "http://www.webring.org/hub?ring=gothicwitch"} +{"d:Title": "IMDb: Sanford and Son", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sanford_and_Son", "url": "http://www.imdb.com/title/tt0068128/"} +{"d:Title": "MovieProp.com - Saved by the Bell", "d:Description": "Profiles of major and minor characters, episode guide, pictures and essays.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Saved_by_the_Bell", "url": "http://www.movieprop.com/tvandmovie/savedbythebell/"} +{"d:Title": "The Daily Ping: A Close Study of Bayside School Dances", "d:Description": "List of all dances with episode references and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Saved_by_the_Bell", "url": "http://www.dailyping.com/archive/2000/02/11/"} +{"d:Title": "Maria's Saved by the Bell Site", "d:Description": "Features cast information, history, photographs, trivia, and an episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Saved_by_the_Bell", "url": "http://www.angelfire.com/80s/sbtbsite/"} +{"d:Title": "Yahoo! Groups: NBC's Scrubs", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Scrubs", "url": "http://tv.groups.yahoo.com/group/NBCsScrubs/"} +{"d:Title": "Wikipedia: Scrubs", "d:Description": "Encyclopedia article that describes the show's broadcast history, awards, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Scrubs", "url": "http://en.wikipedia.org/wiki/Scrubs_(TV_series)"} +{"d:Title": "My Guest Appearance", "d:Description": "Scrubs guest appearance story.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Scrubs", "url": "http://www.justbecauseican.com/scrubs/"} +{"d:Title": "IMDb: Scrubs", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Scrubs", "url": "http://www.imdb.com/title/tt0285403/"} +{"d:Title": "TV.com: Scrubs", "d:Description": "Episode guide, cast and crew information polls and reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Scrubs", "url": "http://www.tv.com/shows/scrubs/"} +{"d:Title": "Kenny Kramer", "d:Description": "Home of the \"Real Kramer,\" inspiration for Cosmo. Generally a sales pitch for his Reality Tour.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.kennykramer.com/"} +{"d:Title": "MovieProp.com - Seinfeld", "d:Description": "Episode guide, biographies, movie references, and information about reoccurring characters. Site also features information about the real J Peterman, Elaine's boyfriends, Jerry's girlfriends, Jerry's fixation on Superman, as well as essays.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.movieprop.com/tvandmovie/Seinfeld/index.htm"} +{"d:Title": "Seinfeld Blog", "d:Description": "Includes episode videos, photos, scripts, links, and a forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.stanthecaddy.com/"} +{"d:Title": "Seinology", "d:Description": "Video, audio, episode guide, lists, message board, chat, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.seinology.com/"} +{"d:Title": "Seinaholic", "d:Description": "Schedule, icons, episode guide, pictures, sounds, cast biographies, trivia, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://seinaholic.tripod.com/"} +{"d:Title": "Seinfeld", "d:Description": "Character details, poll, quiz, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.angelfire.com/tv2/seinfeld4/welcome.html"} +{"d:Title": "Yahoo Groups: Seinfeld Preservation Society", "d:Description": "Email discussion list for fans of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://groups.yahoo.com/group/seinfeldpreservationsociety/"} +{"d:Title": "Totally Seinfilled", "d:Description": "Pictures, sounds, scripts, movies, character information and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://seinfilled.tripod.com/"} +{"d:Title": "Seinfeld", "d:Description": "Official site features show and star information, audio and video clips, pictures, live chat and online store from Columbia TriStar.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.sonypictures.com/tv/seinfeld/"} +{"d:Title": "IMDb: Seinfeld", "d:Description": "Cast information, plot summaries, writing credits, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.imdb.com/title/tt0098904/"} +{"d:Title": "TBS Superstation - Seinfeld", "d:Description": "The story, cast, TBS episode schedule, favorite clips, photos, and daily trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld", "url": "http://www.tbs.com/shows/seinfeld.html"} +{"d:Title": "Kramer&Co", "d:Description": "Images, sounds, and video clips of Cosmo Kramer and Newman from Seinfeld.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld/Characters", "url": "http://grayafro.tripod.com/kramer.html"} +{"d:Title": "WWWF Grudge Match: Cliff Clavin vs. Newman", "d:Description": "Humor site with a wrestling match between the two very well known TV mailmen.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld/Characters", "url": "http://www.grudge-match.com/History/cliff-newman.shtml"} +{"d:Title": "WWWF Grudge Match: Jim Ignatowski vs. Cosmo Kramer", "d:Description": "Kramer battles Iggy (from 'Taxi') over a chicken suit.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld/Characters/Kramer,_Cosmo", "url": "http://www.grudge-match.com/History/iggy-kramer.shtml"} +{"d:Title": "Seinfeld Quotes", "d:Description": "Browsable list of quotes, divided by episode.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Seinfeld/Quotations", "url": "http://www.pkmeco.com/seinfeld/"} +{"d:Title": "Sex and the City", "d:Description": "Complete episode breakdowns from season one to the present. Brought to you by Epguides.com.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "http://epguides.com/SexandtheCity/"} +{"d:Title": "Coffeerooms: Sex and the City", "d:Description": "Message board for fans.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "http://www.coffeerooms.com/forums/tv/sex/index.html"} +{"d:Title": "Sex and the City", "d:Description": "Review of the show by a resident of London, Colin Babb.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "http://h2so4.net/reviews/satc.html"} +{"d:Title": "SATC Fan Club", "d:Description": "Online club for the show, with pictures, message board, and chat room.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "http://de.groups.yahoo.com/group/satc/"} +{"d:Title": "Carrie's Diary", "d:Description": "Cast information, episode guide, music, and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "http://www.carriesdiary.com/"} +{"d:Title": "Carrie's Style", "d:Description": "Analyzes Carrie Bradshaw's fashion sense. Pictures and commentary on various ensembles and pieces.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "http://carriesstyle.tvheaven.com/"} +{"d:Title": "Sex And the City", "d:Description": "HBO's series that reveals the hidden truths about sex and contemporary relationships with four female proactive heroines. Some Adult Content.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "http://www.hbo.com/sex-and-the-city"} +{"d:Title": "Sex, Sadness, and the City", "d:Description": "Article from City Journal by Wendy Shalit.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sex_and_the_City", "url": "https://www.city-journal.org/html/sex-sadness-and-city-11970.html"} +{"d:Title": "Silver Spoons Online", "d:Description": "Show and cast information, episode guide, and picture gallery on this 1982-1987 sitcom which starred Ricky Schroder, Joel Higgins, and Erin Gray.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Silver_Spoons", "url": "http://www.sitcomsonline.com/silverspoons.html"} +{"d:Title": "ClassicTVHits.com: Silver Spoons", "d:Description": "Provides an episode guide, photo gallery, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Silver_Spoons", "url": "http://www.classictvhits.com/shows/silverspoons"} +{"d:Title": "IMDb: Silver Spoons", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Silver_Spoons", "url": "http://www.imdb.com/title/tt0083479/"} +{"d:Title": "The Sledge Hammer! Arsenal", "d:Description": "Episode guide and reviews, pictures, sounds, and news clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sledge_Hammer", "url": "http://www.phrank.com/sh/"} +{"d:Title": "The Official \"Sledge Hammer!\" Website", "d:Description": "Official site for the cult TV series from its creator. Includes images, sounds, episode guide, credits sequence, and show history.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sledge_Hammer", "url": "http://www.sledgehammeronline.com/"} +{"d:Title": "Sledge Hammer On DVD", "d:Description": "A petition to get the television series on DVD for both the United States and Europe.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sledge_Hammer", "url": "http://www.thepetitionsite.com/takeaction/963/466/061/"} +{"d:Title": "IMDb: Sledge Hammer!", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sledge_Hammer", "url": "http://www.imdb.com/title/tt0090525/"} +{"d:Title": "IMDb: Small Wonder", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Small_Wonder", "url": "http://www.imdb.com/title/tt0088610/"} +{"d:Title": "IMDb: Soap", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Soap", "url": "http://www.imdb.com/title/tt0075584/"} +{"d:Title": "Epguides.com: Spin City", "d:Description": "Guide listing the title and air date for each episode of the sitcom.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Spin_City", "url": "http://epguides.com/SpinCity/"} +{"d:Title": "Unofficial Spin City Club", "d:Description": "Chat room and message board for fans of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Spin_City", "url": "http://groups.yahoo.com/group/unofficialspincityclub/"} +{"d:Title": "IMDb: Spin City", "d:Description": "Information and credits.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Spin_City", "url": "http://www.imdb.com/title/tt0115369/"} +{"d:Title": "Yahoo TV: Spin City", "d:Description": "Contains news, pictures, and information about the cast.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Spin_City", "url": "https://tv.yahoo.com/shows/spin-city/"} +{"d:Title": "TV.com: Spin City", "d:Description": "Episode guide, information about the crew, cast and viewers comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Spin_City", "url": "http://www.tv.com/shows/spin-city/"} +{"d:Title": "EpisodeList.com: Spin City", "d:Description": "Offers character images, episode list with synopses, and database of appearances by characters.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Spin_City", "url": "http://www.episodelist.com/shows/spin-city/77"} +{"d:Title": "TKTV - Sports Night", "d:Description": "News, episode guide, cast information, and articles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night", "url": "http://sportsnight.tktv.net/"} +{"d:Title": "Sports Night (a Title and Air Dates Guide)", "d:Description": "Episode summaries are brief. Also lists writer, director, and guest stars for each episode.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night", "url": "http://epguides.com/SportsNight/"} +{"d:Title": "Television Without Pity: Sports Night", "d:Description": "Includes episode recaps, polls and discussion boards.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night", "url": "http://www.televisionwithoutpity.com/show/sports-night/"} +{"d:Title": "Sports Night Web Ring", "d:Description": "Web ring for sites about the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night", "url": "http://www.webring.org/hub?ring=snwr"} +{"d:Title": "IMDb: Sports Night", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night", "url": "http://www.imdb.com/title/tt0165961/"} +{"d:Title": "Review by Charlie Patton for the Jacksonville Times-Union", "d:Description": "\"ABC's 'Sports Night' hard to categorize, but very enjoyable\"", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Articles_and_Interviews", "url": "http://www.jacksonville.com/tu-online/stories/111098/ent_S1110TVR.html"} +{"d:Title": "'Sports Night' Scores with Offbeat Mix", "d:Description": "Review by John Kiesewetter of the Cincinnati Enquirer.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Articles_and_Interviews", "url": "http://enquirer.com/columns/kiese/1998/12/121498jki.html"} +{"d:Title": "More viewers needed for 'Sports Night'", "d:Description": "John Kiesewetter for The Cincinnati Enquirer. article about the show's rankings and demographics", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Articles_and_Interviews", "url": "http://enquirer.com/columns/kiese/1999/02/08/jki_more_viewers_needed.html"} +{"d:Title": "'Sports Night' one of best players in season's starting lineup", "d:Description": "Rob Owen for the Pittsburgh Post-Gazette", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Articles_and_Interviews", "url": "http://www.postgazette.com/magazine/19980922sports5.asp"} +{"d:Title": "Sitcom survival", "d:Description": "Nancy McAlister for the Jacksonville Times-Union. about the prospects for Frasier, Becker, Sports Night, and Home Improvement", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Articles_and_Interviews", "url": "http://www.jacksonville.com/tu-online/stories/013199/ent_0131Sitc.html"} +{"d:Title": "SlashSN", "d:Description": "To discuss the inherently slashy properties of Sports Night, to drool over the cast, and to post slash fan fiction. Must be 17 or older to join.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Chats_and_Forums", "url": "http://groups.yahoo.com/group/slashsn/"} +{"d:Title": "All4josh", "d:Description": "Discussion about Josh Charles, who plays Dan Rydell.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Chats_and_Forums", "url": "http://groups.yahoo.com/group/all4josh/"} +{"d:Title": "JoshCharles", "d:Description": "For fans of Josh Charles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Chats_and_Forums", "url": "http://groups.yahoo.com/group/JoshCharles/"} +{"d:Title": "1_Sports_Night", "d:Description": "High-traffic mailing list for discussion of anything and everything related to the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Chats_and_Forums", "url": "http://groups.yahoo.com/group/1_Sports_Night/"} +{"d:Title": "Snfanfic 1", "d:Description": "Archive of digests for the mailing list.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Fan_Fiction", "url": "http://groups.yahoo.com/group/snfanfic_1_/"} +{"d:Title": "The CSC Newsroom", "d:Description": "Dan/Casey slash by Owlet. Features a series of post-episode stories.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Fan_Fiction", "url": "http://www.squidge.org/~theforest/sportsnight/sportsnight.html"} +{"d:Title": "Casey Loves Dana Land", "d:Description": "Post-episode tales in which Casey loves Dana and the Sports Night team anchor some Thoroughbred racing.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Sports_Night/Fan_Fiction", "url": "http://sary-t.tripod.com/apostep.html"} +{"d:Title": "IMDb: Square Pegs", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Square_Pegs", "url": "http://www.imdb.com/title/tt0083482/"} +{"d:Title": "Boston Globe: Stacked", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Stacked", "url": "http://www.boston.com/ae/tv/articles/2005/11/09/in_season_two_stacked_ogles_openly/"} +{"d:Title": "Wikipedia: Stacked", "d:Description": "Show summary, cast bios, and character descriptions.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Stacked", "url": "http://en.wikipedia.org/wiki/Stacked"} +{"d:Title": "IMDb: Stacked", "d:Description": "Show synopsis, user reviews, quotes, cast bios, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Stacked", "url": "http://imdb.com/title/tt0448303/"} +{"d:Title": "IMDb: Step by Step", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Step_By_Step", "url": "http://www.imdb.com/title/tt0101205/"} +{"d:Title": "TV.com: Step by Step", "d:Description": "Episode guide, including guest stars, and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Step_By_Step", "url": "http://www.tv.com/shows/step-by-step/"} +{"d:Title": "WWWF Grudge Match: Jim Ignatowski vs. Cosmo Kramer", "d:Description": "What if two bad-haired oddballs battle it out over a chicken suit?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Taxi", "url": "http://www.grudge-match.com/History/iggy-kramer.shtml"} +{"d:Title": "Jim's Mario's: The Taxi Fansite", "d:Description": "Includes cast biographies, an episode guide, picture galleries, and a message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Taxi", "url": "http://jimsmarios.tripod.com/"} +{"d:Title": "Tara's Taxi Page", "d:Description": "A site dedicated to the comedy show, with pictures, episode guide and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Taxi", "url": "http://80stuff.tripod.com/taxi.html"} +{"d:Title": "IMDb: Teachers", "d:Description": "Cast biographies, production information, episode list, and user reviews.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Teachers", "url": "http://www.imdb.com/title/tt0494188/"} +{"d:Title": "TVN: That '70s Show", "d:Description": "News, episode guide, and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/That_'70s_Show", "url": "http://tvnet.tvheaven.com/70s.html"} +{"d:Title": "PopMatters - That '70s Show", "d:Description": "Review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/That_'70s_Show", "url": "http://popmatters.com/tv/reviews/t/that-70s-show.html"} +{"d:Title": "Inside The Circle", "d:Description": "News, episode guide, cast and character details, pictures, quotes, and celebrity look-alikes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/That_'70s_Show", "url": "http://that_70s_grrl.tripod.com/insidethecircle/"} +{"d:Title": "That '70s Show Hangout", "d:Description": "Cast biographies, photo gallery, interviews, downloads, sounds, and episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/That_'70s_Show", "url": "http://70shangout.tripod.com/"} +{"d:Title": "Fatso Burger", "d:Description": "Serving up the meatiest viewing guide for That 70's Show on the web.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/That_'70s_Show", "url": "http://t70ss.tripod.com/"} +{"d:Title": "IMDb: That Girl", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/That_Girl", "url": "http://www.imdb.com/title/tt0060034/"} +{"d:Title": "Three's Company [from Sitcoms Online]", "d:Description": "Show and cast information, theme songs to download, and related links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Three's_Company", "url": "http://www.sitcomsonline.com/threescompany.html"} +{"d:Title": "I Luv Three's Company", "d:Description": "Pictures, fan fiction, sound clips, and addresses for writing to cast members.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Three's_Company", "url": "http://www.angelfire.com/tv2/iluv3scompany/"} +{"d:Title": "Three's Company", "d:Description": "Official DLT Entertainment fan network. Offers videos and DVDs and includes episode guide, history, trivia game and schedule of airings.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Three's_Company", "url": "http://www.threescompany.com/"} +{"d:Title": "Wikipedia - Three's Company", "d:Description": "Encyclopedia detailing the history and cast.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Three's_Company", "url": "http://en.wikipedia.org/wiki/Three's_Company"} +{"d:Title": "Three's Company: Jack's Bistro", "d:Description": "News, episode guide, specials, multimedia, and theme lyrics.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Three's_Company", "url": "http://jacksbistro.freeservers.com/"} +{"d:Title": "Jeff Lawlor's Three's Company Site", "d:Description": "Show summary, episode guide, video clips, sound clips, and photo gallery.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Three's_Company", "url": "http://www.jefflawlor.com/ThreesCompany/"} +{"d:Title": "IMDb: Three's Company", "d:Description": "Plot summary, cast and crew, photographs, and sound clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Three's_Company", "url": "http://www.imdb.com/title/tt0075596/"} +{"d:Title": "IMDb: Titus", "d:Description": "Show overview, cast information, crew details, user reviews, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Titus", "url": "http://www.imdb.com/title/tt0205700/"} +{"d:Title": "IMDb: Too Close for Comfort", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Too_Close_For_Comfort", "url": "http://www.imdb.com/title/tt0080299/"} +{"d:Title": "IMDb: Two and a Half Men", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Two_and_a_Half_Men", "url": "http://www.imdb.com/title/tt0369179/"} +{"d:Title": "Kristen's Unofficial Two Guys, A Girl, And A Pizza Place Page", "d:Description": "Pictures, trivia, quotes, information, and cast profiles.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Two_Guys,_a_Girl,_and_a_Pizza_Place", "url": "http://www.angelfire.com/ca/JaysGirl/"} +{"d:Title": "IMDb: Two Guys, a Girl, and a Pizza Place", "d:Description": "Information and credits from the Internet Movie Database.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Two_Guys,_a_Girl,_and_a_Pizza_Place", "url": "http://www.imdb.com/title/tt0137330/"} +{"d:Title": "Ronnie!", "d:Description": "Episode guide, show history, tape trading, photos, and biography of Kirstie Alley.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Veronica's_Closet", "url": "http://savy333fl.tripod.com/ronnie/"} +{"d:Title": "Sitcoms Online: Veronica's Closet", "d:Description": "Message board about the series.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Veronica's_Closet", "url": "http://www.sitcomsonline.com/boards/forumdisplay.php?forumid=125"} +{"d:Title": "Boston Globe: The War at Home", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/War_at_Home,_The", "url": "http://www.boston.com/ae/tv/articles/2005/09/10/before_war_even_begins_the_casualties_are_high/"} +{"d:Title": "IMDb: The War at Home", "d:Description": "Show synopsis, cast bios, user comments, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/War_at_Home,_The", "url": "http://imdb.com/title/tt0460692/"} +{"d:Title": "Wikipedia: The War at Home", "d:Description": "Show overview, cast bios, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/War_at_Home,_The", "url": "http://en.wikipedia.org/wiki/The_War_at_Home_(TV)"} +{"d:Title": "TV.com: The War at Home", "d:Description": "Show summary, episode recaps, cast bios, news, picture gallery, user reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/War_at_Home,_The", "url": "http://www.tv.com/shows/the-war-at-home/"} +{"d:Title": "IMDb: Wayans Bros., The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wayans_Brothers", "url": "http://www.imdb.com/title/tt0112220/"} +{"d:Title": "Webster Online", "d:Description": "Show and cast information, episode guide, and pictures on this 1983-1989 sitcom which starred Emmanuel Lewis, Alex Karras, and Susan Clark.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Webster", "url": "http://www.sitcomsonline.com/webster.html"} +{"d:Title": "IMDb: Wednesday 9:30 (8:30 Central)", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wednesday_Nine-Thirty_-_Eight-Thirty_Central", "url": "http://www.imdb.com/title/tt0306220/"} +{"d:Title": "IMDb: Welcome Back, Kotter", "d:Description": "Presents plot outline, cast overview, trivia and user comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Welcome_Back,_Kotter", "url": "http://www.imdb.com/title/tt0072582/"} +{"d:Title": "PopMatters: Welcome to New York", "d:Description": "Review of the first two episodes.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Welcome_to_New_York", "url": "http://popmatters.com/tv/reviews/w/welcome-to-new-york.html"} +{"d:Title": "IMDb: Welcome to New York", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Welcome_to_New_York", "url": "http://www.imdb.com/title/tt0247142/"} +{"d:Title": "TV.com: Welcome To New York", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Welcome_to_New_York", "url": "http://www.tv.com/shows/welcome-to-new-york/"} +{"d:Title": "IMDb.com: What's Happening", "d:Description": "Show and cast information from the Internet Movie Database.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/What's_Happening", "url": "http://www.imdb.com/title/tt0074071/combined"} +{"d:Title": "IMDb.com: What's Happening Now", "d:Description": "Cast and show information from the Internet Movie Database.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/What's_Happening", "url": "http://www.imdb.com/title/tt0088640/combined"} +{"d:Title": "Unofficial What About Joan Website", "d:Description": "Plot and cast information, news, pictures, links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/What_About_Joan", "url": "http://www.kellie.de/whataboutjoan.htm"} +{"d:Title": "And Then There's Joan", "d:Description": "Show basics, news, links, episode guide, forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/What_About_Joan", "url": "http://earlydues.usanethosting.com/waj/index.htm"} +{"d:Title": "TV.com: What About Joan", "d:Description": "Basic series information, episode list.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/What_About_Joan", "url": "http://www.tv.com/shows/what-about-joan/"} +{"d:Title": "IMDb: What I Like About You", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/What_I_Like_About_You", "url": "http://www.imdb.com/title/tt0321018/"} +{"d:Title": "The Who's the Boss Resource", "d:Description": "Fan site about the show, starring Tony Danza, Judith Light, Alyssa Milano, Danny Pintauro and Katherine Helmond. Features cast information, episode guide, fan fiction, message forums, links, pictures, and FAQs.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Who's_the_Boss", "url": "http://www.wtbr.com/"} +{"d:Title": "My WTB Page", "d:Description": "Features episode transcripts, links and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Who's_the_Boss", "url": "http://wtb.tvheaven.com/"} +{"d:Title": "The Who's the Boss? Resource - Message Forums", "d:Description": "Allows fans to discuss the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Who's_the_Boss", "url": "http://forums.wtbr.com/"} +{"d:Title": "Jessie_chan presents:", "d:Description": "Features fan fiction, cast biographies, pictures, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Who's_the_Boss", "url": "http://www.angelfire.com/80s/tna/"} +{"d:Title": "IMDb: Who's the Boss", "d:Description": "Contains cast and crew information, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Who's_the_Boss", "url": "http://www.imdb.com/title/tt0086827/"} +{"d:Title": "Who's The Boss Online", "d:Description": "Show summary and pictures.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Who's_the_Boss", "url": "http://wtbonline.tvheaven.com/"} +{"d:Title": "Who's the Boss? - TV.com", "d:Description": "Features a cast and crew guide, an episode guide and a show synopsis.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Who's_the_Boss", "url": "http://www.tv.com/shows/whos-the-boss/"} +{"d:Title": "Rob's 'Will&Grace' Web Page", "d:Description": "Rob Durfee's Will&Grace Web Page. A fan site devoted to the NBC sitcom.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Will_&_Grace", "url": "http://www.durfee.net/will/default.htm"} +{"d:Title": "PopMatters: Will&Grace", "d:Description": "Review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Will_&_Grace", "url": "http://popmatters.com/tv/reviews/w/will-and-grace.html"} +{"d:Title": "My Will and Grace Page", "d:Description": "Series overview, quotes, and contact address for the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Will_&_Grace", "url": "http://x_files_chick.tripod.com/willandgrace.html"} +{"d:Title": "Will&Grace Chapel", "d:Description": "A small selection of quotes and sound clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Will_&_Grace", "url": "http://www.angelfire.com/tv2/wgc/"} +{"d:Title": "IMDb: Will&Grace", "d:Description": "Casting information, user comments, and plot summary.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Will_&_Grace", "url": "http://www.imdb.com/title/tt0157246/"} +{"d:Title": "IMDb: Wings", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wings", "url": "http://www.imdb.com/title/tt0098948/"} +{"d:Title": "ClassicTVHits.com: WKRP", "d:Description": "Includes episode guides, photo galleries, sound galleries, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/WKRP_in_Cincinnati", "url": "http://www.classictvhits.com/shows/wkrp"} +{"d:Title": "WKRP in Cincinnati", "d:Description": "Tribute to the TV series with exclusive voice clips of the cast members.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/WKRP_in_Cincinnati", "url": "http://www.angelfire.com/oh2/wkrp/"} +{"d:Title": "The Cincinnati Beat", "d:Description": "Fan fiction, links, episode guide, polls, and message board.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/WKRP_in_Cincinnati", "url": "http://wkrp_fan.tripod.com/"} +{"d:Title": "WWWF Grudge Match: WKRP vs. the Sweathogs", "d:Description": "Who would win in a game of basketball?", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/WKRP_in_Cincinnati", "url": "http://www.grudge-match.com/History/wkrp-sweathogs.shtml"} +{"d:Title": "The Wonder Years as an American Narrative", "d:Description": "A project studying the show and the culture surrounding it.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wonder_Years,_The", "url": "http://www-personal.umich.edu/~kpearce/wy.html"} +{"d:Title": "The All-New Wonder Years Website", "d:Description": "Includes an episode guide, quotes, pictures, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wonder_Years,_The", "url": "http://www.angelfire.com/tx2/thewonderyears/index.html"} +{"d:Title": "The Wonder Years", "d:Description": "Character guide and news.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wonder_Years,_The", "url": "http://www.angelfire.com/mo2/WonderYears/"} +{"d:Title": "ClassicTVHits.com: The Wonder Years", "d:Description": "Includes episode guides, photo galleries, sound galleries, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wonder_Years,_The", "url": "http://www.classictvhits.com/shows/wonderyears"} +{"d:Title": "IMDb - Wonder Years, The", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wonder_Years,_The", "url": "http://www.imdb.com/title/tt0094582/"} +{"d:Title": "The Wonder Years", "d:Description": "Episode guide and information about music from the show. Also information about series-related book.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Wonder_Years,_The", "url": "http://home.datacomm.ch/mpuppis/"} +{"d:Title": "IMDb: Yes, Dear", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Comedy/Sitcoms/Yes,_Dear", "url": "http://www.imdb.com/title/tt0247144/"} +{"d:Title": "The Internet Movie Database: \"Ben Stiller Show, The\" (1992)", "d:Description": "Cast and crew listings, trivia, quotes and technical details.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Ben_Stiller_Show,_The", "url": "http://imdb.com/title/tt0103360/"} +{"d:Title": "IMDb - In Living Color", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/In_Living_Color", "url": "http://www.imdb.com/title/tt0098830/"} +{"d:Title": "TV.com: In Living Color", "d:Description": "Show information, stars, episode guide, news, and a forum.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/In_Living_Color", "url": "http://www.tv.com/shows/in-living-color/"} +{"d:Title": "KITH blog", "d:Description": "Collection of news and other information about the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Kids_in_the_Hall", "url": "http://kithblog.tripod.com/"} +{"d:Title": "A Whole Lotta Kids in the Hall", "d:Description": "An extensive collection of transcripts from the show, merchandise available for purchase, photographs, and reviews of the movie Brain Candy.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Kids_in_the_Hall", "url": "http://www.kithfan.org/"} +{"d:Title": "Creative Possibilities -Kids in the Hall", "d:Description": "Looking at the world in a fresh and exciting way. Office, submarine. Revel in the Kids in the Hall goodness.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Kids_in_the_Hall", "url": "http://www.angelfire.com/tv/kith/"} +{"d:Title": "The Kids in the Hall Web Ring", "d:Description": "Listing of sites participating in the KITH webring.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Kids_in_the_Hall", "url": "http://www.webring.org/hub?ring=kith"} +{"d:Title": "Planet MADtv", "d:Description": "Home of the largest MADtv community on the internet. Also includes news and downloads.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Mad_TV", "url": "http://www.planetmadtv.com/"} +{"d:Title": "IMDb: Mad TV", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Mad_TV", "url": "http://www.imdb.com/title/tt0112056/"} +{"d:Title": "TV.com: MADtv", "d:Description": "An episode guide.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Mad_TV", "url": "http://www.tv.com/shows/madtv/"} +{"d:Title": "FrankCaliendo.com", "d:Description": "Current cast member Frank Caliendo's official site.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Mad_TV/Cast_and_Crew", "url": "http://www.frankcaliendo.com/"} +{"d:Title": "AlexBorstein.com", "d:Description": "Former cast member Alex Borstein's official site.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Mad_TV/Cast_and_Crew", "url": "http://www.alexborstein.com/"} +{"d:Title": "JillyOnline", "d:Description": "Former cast member Jill-Michele Melean's official site.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Mad_TV/Cast_and_Crew", "url": "http://www.jillyonline.com/"} +{"d:Title": "The Internet Movie Database: \"Mr. Show\" (1995)", "d:Description": "Cast and crew listing, reviews, quotes, award nominations, articles and shooting locations.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Mr._Show", "url": "http://www.imdb.com/title/tt0112084/"} +{"d:Title": "Petition", "d:Description": "Want to get Roundhouse back on the air? Print out a petition and get it signed by fans of the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Roundhouse", "url": "http://locket.net/roundhouse/"} +{"d:Title": "Roundhouse House", "d:Description": "Pictures, sounds, and clips from the Nickelodeon TV show.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Roundhouse", "url": "http://roundhouse.tvheaven.com/"} +{"d:Title": "The Internet Movie Database (IMDb): Roundhouse", "d:Description": "Cast and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Roundhouse", "url": "http://www.imdb.com/title/tt0103530/"} +{"d:Title": "Laugh-In Sound Clip Collection", "d:Description": "WAV files from the series and the anniversary reunion show.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Rowan_&_Martin's_Laugh-In", "url": "http://ejones.tripod.com/"} +{"d:Title": "IMDb: Rowan&Martin's Laugh-In", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Rowan_&_Martin's_Laugh-In", "url": "http://www.imdb.com/title/tt0062601/"} +{"d:Title": "TV.com: Rowan&Martin's Laugh-In", "d:Description": "Episode guide with original airdates and links to cast information.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Rowan_&_Martin's_Laugh-In", "url": "http://www.tv.com/shows/rowan-and-martins-laugh-in/"} +{"d:Title": "NetTable.com", "d:Description": "Saturday Night Live guide, message board, and chat.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live", "url": "http://www.nettable.com/"} +{"d:Title": "Mr. Bill Official Website", "d:Description": "Mr. Bill the Play-doh character from Saturday Night Live. Watch videos from his glory days on SNL as well as new adventures from his new series. Also includes an online store.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live", "url": "http://www.mrbill.com/"} +{"d:Title": "Saturday Night Live", "d:Description": "News, episode reviews, extensive FAQ, cast biographies, Nielsen ratings, weekend update transcripts, links, and information about upcoming guests.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live", "url": "http://www.saturday-night-live.com/"} +{"d:Title": "The Saturday Night Live Information Desk", "d:Description": "Cast biographies, news, rumors, and upcoming guests.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live", "url": "http://www.angelfire.com/ny5/bizmotch/index.html"} +{"d:Title": "Ladies Man Online", "d:Description": "Sounds, images, downloads, games, information about character Leon Phelps and his creator Tim Meadows.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live", "url": "http://ladiesman.jt.org/"} +{"d:Title": "The SNL Archives", "d:Description": "Includes impressions, episode guides, cartoons, and sketches from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live", "url": "http://snl.jt.org/"} +{"d:Title": "NBC: Saturday Night Live", "d:Description": "Official site. Screening room, players, message board, viewer poll, behind the scenes, musical guests, and online store.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live", "url": "http://www.nbc.com/saturday-night-live"} +{"d:Title": "Brian Fellow's Safari Planet", "d:Description": "Site dedicated to the loud mouth animal show host played by Tracy Morgan.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live/Characters", "url": "http://brian-fellow.50megs.com/"} +{"d:Title": "It's Mango Madness", "d:Description": "Pictures, sounds, and quotes from Chris Kattan's Mango skit.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Saturday_Night_Live/Characters", "url": "http://www.angelfire.com/ri/MyMango/"} +{"d:Title": "The SCTV Guide", "d:Description": "News, FAQ, articles and interviews, cast and guests details, and information about what the alumni are doing currently.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Second_City_TV", "url": "http://sctvguide.ca/"} +{"d:Title": "The Second City : History&Alumni", "d:Description": "History of the show and character guide, from the official Second City site.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Second_City_TV", "url": "http://secondcity.com/?id=tv-film/sctv"} +{"d:Title": "SCTV: On The Air!", "d:Description": "Sound clips, news, and air schedules.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Second_City_TV", "url": "http://www.execulink.com/~bobnet/sctv/"} +{"d:Title": "IMDb: Second City TV", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Second_City_TV", "url": "http://www.imdb.com/title/tt0075578/"} +{"d:Title": "Skithouse", "d:Description": "Includes articles, cast information, episode guides, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Skithouse", "url": "http://www.angelfire.com/comics/skithouse/skithouse.html"} +{"d:Title": "IMDb: Skithouse", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Skithouse", "url": "http://www.imdb.com/title/tt0350457/"} +{"d:Title": "The Upright Citizens Brigade Internal Communication Board", "d:Description": "Semi-official site, with information and media.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/Upright_Citizens_Brigade", "url": "http://www.uprightcitizens.org/"} +{"d:Title": "The Slime Society", "d:Description": "Site for the show's official fan club features news, show history, FAQs, episode guide, articles, and images, as well as streaming video of full episodes.", "priority": "1", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/You_Can't_Do_That_on_Television", "url": "http://www.ycdtotv.com/"} +{"d:Title": "You Can't Do That on Television World Service", "d:Description": "Fan site with images, interviews, list of favorite skits, information on cast members' later work, FAQs and links list.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/You_Can't_Do_That_on_Television", "url": "http://ycdtot.com/"} +{"d:Title": "Barth's Burgery", "d:Description": "Episode guide, pictures and episodes offered on FTP and DVD.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/You_Can't_Do_That_on_Television", "url": "http://www.barthsburgery.com/"} +{"d:Title": "Allan's YCDTOTV Trading Page", "d:Description": "Fan's list of tapes for trade, along with pictures and video clips.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/You_Can't_Do_That_on_Television", "url": "http://strikecruiserycdtotvtrader.20m.com/index.html"} +{"d:Title": "Internet Movie Database: \"You Can't Do That on Television\" (1979)", "d:Description": "Cast and crew listings, trivia, alternate versions, user comments and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/You_Can't_Do_That_on_Television", "url": "http://www.imdb.com/title/tt0078714/"} +{"d:Title": "Whatever Turns You On", "d:Description": "Official fan site for the short-lived CTV prime-time version of the series. History, cast information and interviews, pictures, episode guide, musical guests, message board and tape trading.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/You_Can't_Do_That_on_Television", "url": "http://wtyo.50megs.com/"} +{"d:Title": "Wikipedia: You Can't Do That on Television", "d:Description": "Overview of the series.", "topic": "Top/Arts/Television/Programs/Comedy/Sketch_Comedy/You_Can't_Do_That_on_Television", "url": "http://en.wikipedia.org/wiki/You_Can't_Do_That_On_Television"} +{"d:Title": "Wikipedia: Still Standing", "d:Description": "Plot synopsis, cast bios, and character bios.", "topic": "Top/Arts/Television/Programs/Comedy/Still_Standing", "url": "http://en.wikipedia.org/wiki/Still_Standing"} +{"d:Title": "IMDb: Still Standing", "d:Description": "Includes cast and production information, user reviews, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Comedy/Still_Standing", "url": "http://imdb.com/title/tt0320970/"} +{"d:Title": "Entertain your Brain: Still Standing", "d:Description": "Show synopsis and review.", "topic": "Top/Arts/Television/Programs/Comedy/Still_Standing", "url": "http://www.entertainyourbrain.com/stillstandingrev.htm"} +{"d:Title": "USA Today: Still Standing", "d:Description": "Article discussing the people and characters behind the show.", "topic": "Top/Arts/Television/Programs/Comedy/Still_Standing", "url": "http://usatoday30.usatoday.com/life/television/2002/2002-07-05-standing-players.htm"} +{"d:Title": "Comics Continuum", "d:Description": "First look at Patrick Warburton in full Tick array.", "topic": "Top/Arts/Television/Programs/Comedy/Tick,_The", "url": "http://www.comicscontinuum.com/stories/0005/19/tick.htm"} +{"d:Title": "Leggman's Tick Page", "d:Description": "Includes images and information about the comics, the live-action show, and the character. Also offers multimedia downloads.", "topic": "Top/Arts/Television/Programs/Comedy/Tick,_The", "url": "http://www.thetick.ws/"} +{"d:Title": "Episode List: The Tick", "d:Description": "Offers character images and an episode list with synopses.", "topic": "Top/Arts/Television/Programs/Comedy/Tick,_The", "url": "http://www.episodelist.com/shows/the-tick-2001/51"} +{"d:Title": "This is the Tom Green Show", "d:Description": "Pictures, video clips and sounds.", "topic": "Top/Arts/Television/Programs/Comedy/Tom_Green_Show,_The", "url": "http://tomgreen.iwarp.com/"} +{"d:Title": "Philgiroux.com", "d:Description": "The official Phil Giroux (from the Tom Green show) web site.", "topic": "Top/Arts/Television/Programs/Comedy/Tom_Green_Show,_The", "url": "http://www.philgiroux.com/"} +{"d:Title": "The Tom Green Base", "d:Description": "Another Tom Green Site, including Tom Green sounds from the MTV show.", "topic": "Top/Arts/Television/Programs/Comedy/Tom_Green_Show,_The", "url": "http://www.angelfire.com/il2/tgbase2/"} +{"d:Title": "Tom Green Underground Unit", "d:Description": "Photos and phone numbers supporting campaign to get comic back on the air.", "topic": "Top/Arts/Television/Programs/Comedy/Tom_Green_Show,_The", "url": "http://rhyme.50megs.com/"} +{"d:Title": "Tom Green is a God", "d:Description": "Pictures, sounds, videos, wallpapers, and news.", "topic": "Top/Arts/Television/Programs/Comedy/Tom_Green_Show,_The", "url": "http://iowetinkinc.tripod.com/"} +{"d:Title": "Vaseline and Hair", "d:Description": "Contains pictures of the cast, audio clips, links, and video clips.", "topic": "Top/Arts/Television/Programs/Comedy/Tom_Green_Show,_The", "url": "http://humplik.tripod.com/index-vaseline.html"} +{"d:Title": "Tom Green", "d:Description": "Articles and interviews about Tom Green.", "topic": "Top/Arts/Television/Programs/Comedy/Tom_Green_Show,_The", "url": "http://jam.canoe.com/Television/TV_Shows/T/Tom_Green/"} +{"d:Title": "IMDb: Uncle Floyd Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Comedy/Uncle_Floyd_Show,_The", "url": "http://www.imdb.com/title/tt0147793/"} +{"d:Title": "Whose Line Madness", "d:Description": "Cast information and images, lists of games, and description of the differences between the US and British versions.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.angelfire.com/ny5/whoselinemadness/"} +{"d:Title": "Elyse's \"Whose Line is it Anyway?\" Site", "d:Description": "Tips of attending tapings of the show, cast appearances, and quotes.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.angelfire.com/tv2/elyse/enter.html"} +{"d:Title": "Who Has a Life, Anyway?", "d:Description": "Two obsessed fans' take on the game show WLIIA. Offers fan fiction, images, sounds, links, and original drawings of the cast.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.angelfire.com/tv/wliia00/"} +{"d:Title": "Hey! Whose Line Is It Anyway?", "d:Description": "Series overview, cast contact information, favorite moments, and images.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.angelfire.com/celeb2/whoseline/"} +{"d:Title": "The Whose Line Shrine", "d:Description": "Biographies, pictures, sounds, and games.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.angelfire.com/tv/whoselineisitanyway/"} +{"d:Title": "The Ultamite Who's Line Fan Site", "d:Description": "Profiles of cast and guest stars, as well as a list of games used in the series.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.angelfire.com/ab7/whosline/index.html"} +{"d:Title": "Twiglet", "d:Description": "Whose Line? fan page, with a Greg Proops appreciation list, news page, information about related TV series, and links.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.angelfire.com/bc/twiglets/wliia.html"} +{"d:Title": "WLiiA.Net", "d:Description": "Informative site with news, articles, reviews, tour dates, and interviews.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.wliia.net/"} +{"d:Title": "The Narratesite", "d:Description": "Downloads and transcripts for playings of the game Narrate from the show.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://narrate.tripod.com/"} +{"d:Title": "Whose Line is it Anyway? on DVD", "d:Description": "Campaigns to get the show released on disc. Offers photos, forum, and show history.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway", "url": "http://www.whose-line.com/"} +{"d:Title": "WLIIA E-greeting Cards", "d:Description": "Interface for sending e-cards with scenes and sounds from the series.", "topic": "Top/Arts/Television/Programs/Comedy/Whose_Line_Is_It_Anyway/Interactive", "url": "http://pub24.bravenet.com/postcard/post.php?usernum=2047488020&password=8067df14d7d5"} +{"d:Title": "The First Unofficial ZDJJ Website", "d:Description": "Information about the characters and actors/actresses.", "topic": "Top/Arts/Television/Programs/Comedy/Zoe,_Duncan,_Jack_and_Jane", "url": "http://www.angelfire.com/ga/unzdjj/"} +{"d:Title": "Zoe Online", "d:Description": "A Yahoo fan club for the show \"Zoe\", formally known as \"Zoe, Duncan, Jack, and Jane\". Lots of pictures and news.", "topic": "Top/Arts/Television/Programs/Comedy/Zoe,_Duncan,_Jack_and_Jane", "url": "http://groups.yahoo.com/group/zoeonline/"} +{"d:Title": "Kennedy's TV SF Guide", "d:Description": "Links to information on broadcast and direct-to-video science fiction, fantasy, horror, and supernatural shows.", "topic": "Top/Arts/Television/Programs/Directories", "url": "http://www.hotkey.net.au/~nval/scifi/"} +{"d:Title": "Serial TV", "d:Description": "Features databank of television show links.", "topic": "Top/Arts/Television/Programs/Directories", "url": "http://www.serialtv.org/"} +{"d:Title": "TV Hell", "d:Description": "A list of canceled and ended TV shows, with discussion forum and a \"what if\" section dealing with how networks have been impacted since a program has been axed.", "topic": "Top/Arts/Television/Programs/Directories", "url": "http://www.tvhell.net/"} +{"d:Title": "WebRing: U.S. Comedy and Sitcoms", "d:Description": "Provides links to sites with related content.", "topic": "Top/Arts/Television/Programs/Directories", "url": "http://www.webring.org/hub?ring=sitcom"} +{"d:Title": "PBS Independent Lens - A Touch of Greatness", "d:Description": "Companion material to a documentary of the lives transformed by maverick teacher and author Albert Cullum.", "topic": "Top/Arts/Television/Programs/Documentaries", "url": "http://www.pbs.org/independentlens/touchofgreatness/"} +{"d:Title": "Briars in the Cotton Patch", "d:Description": "Documentary film that explores the unusual story of a community founded on the principles of non-violence and sharing. Includes overview, film clips and crew.", "topic": "Top/Arts/Television/Programs/Documentaries", "url": "http://www.briarsdocumentary.com/"} +{"d:Title": "The Nazi Drawings", "d:Description": "Explores the history and passion behind artist Mauricio Lasansky's powerful graphic series depicting the horrors of Nazi atrocities. Includes the drawings, production information and trailer.", "topic": "Top/Arts/Television/Programs/Documentaries", "url": "http://www.nazidrawings.com/"} +{"d:Title": "IMDb: 30 Days", "d:Description": "Cast information, crew details, user reviews, and quotes.", "topic": "Top/Arts/Television/Programs/Documentaries/30_Days", "url": "http://imdb.com/title/tt0437696/"} +{"d:Title": "Kindertransport", "d:Description": "Official site for the program about 10,000 mostly Jewish children sent to Britain to escape the Nazis during World War II. Includes Background information, credits, and photographs.", "topic": "Top/Arts/Television/Programs/Documentaries/Children_Who_Cheated_the_Nazis,_The", "url": "http://www.childrenwhocheatedthenazis.co.uk/"} +{"d:Title": "Flight from Death: The Quest for Immortality", "d:Description": "Details of an upcoming show about humankind's struggles to conquer mortality and the toll it's taken on humanity. Includes diary of the production, downloadable trailer, and crew information. [QuickTime]", "topic": "Top/Arts/Television/Programs/Documentaries/Flight_from_Death", "url": "http://www.flightfromdeath.com/"} +{"d:Title": "Frank Lloyd Wright", "d:Description": "Companion site for the Ken Burns/Lynn Novick film. Includes biographical information, drawings and blueprints, analysis of parallel architectural movements, critical reviews, and lesson plans centered around America's most famous architect.", "topic": "Top/Arts/Television/Programs/Documentaries/Frank_Lloyd_Wright", "url": "http://www.pbs.org/flw/"} +{"d:Title": "Freedom Machines", "d:Description": "Series description, sponsors, and information on the production team.", "topic": "Top/Arts/Television/Programs/Documentaries/Freedom_Machines", "url": "http://www.freedommachines.com/"} +{"d:Title": "IMDb: Half Past Autumn: The Life and Works of Gordon Parks", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Documentaries/Half_Past_Autumn_-_The_Life_and_Works_of_Gordon_Parks", "url": "http://www.imdb.com/title/tt0265218/"} +{"d:Title": "ITVS: Girls in America", "d:Description": "From rugby fields to beauty pageant runways, teenage girls tell their own stories about what its like growing up female in America today. Includes episode guide, filmmakers' biographies, and the Girls' Bill of Rights.", "topic": "Top/Arts/Television/Programs/Documentaries/Independent_Television_Service/Girls_in_America", "url": "http://archive.itvs.org/girlsinamerica/"} +{"d:Title": "Golden Threads", "d:Description": "Profiles the life of lesbian activist Christine Burton, founder of Golden Threads. Includes synopsis and biographies.", "topic": "Top/Arts/Television/Programs/Documentaries/Independent_Television_Service/Golden_Threads", "url": "http://archive.itvs.org/goldenthreads/"} +{"d:Title": "Kontum Diary: The Journey Home", "d:Description": "North Vietnamese army veteran Nguyen Van Nghia journeys to the U.S. in a trip sponsored by his former enemy, American veteran Paul Reed. Includes broadcast schedule, information on the filmmakers, and story behind the program.", "topic": "Top/Arts/Television/Programs/Documentaries/Independent_Television_Service/Kontum_Diary", "url": "http://archive.itvs.org/kontum/"} +{"d:Title": "Wannabe: Life and Death in a Small Town Gang", "d:Description": "The gang-related murder/suicide of four teenagers in Appleton, WI in May 1995. Includes overview, broadcast schedule, and profiles of the filmmakers.", "topic": "Top/Arts/Television/Programs/Documentaries/Independent_Television_Service/Wannabe", "url": "http://archive.itvs.org/wannabe/"} +{"d:Title": "IMDb: Investigative Reports", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Documentaries/Investigative_Reports", "url": "http://www.imdb.com/title/tt0290358/"} +{"d:Title": "PBS: Jazz, A Film By Ken Burns", "d:Description": "Official site for the documentary, with biographies, maps, articles, and classroom resources.", "topic": "Top/Arts/Television/Programs/Documentaries/Jazz", "url": "http://www.pbs.org/jazz/"} +{"d:Title": "Jazz: A Film by Ken Burns", "d:Description": "Review of the documentary, along with a detailed episode guide.", "topic": "Top/Arts/Television/Programs/Documentaries/Jazz", "url": "http://www.documentaryfilms.net/Jazz/"} +{"d:Title": "Cosmopolis: Ken Burns' Jazz", "d:Description": "Review of the PBS series and the DVD and CD materials.", "topic": "Top/Arts/Television/Programs/Documentaries/Jazz", "url": "http://www.cosmopolis.ch/english/cosmo14/kenburnsjazz.htm"} +{"d:Title": "NPR Jazz Feature: \"Jazz\" A Film by Ken Burns", "d:Description": "Review, outtakes, and audio features.", "topic": "Top/Arts/Television/Programs/Documentaries/Jazz", "url": "http://www.npr.org/templates/story/story.php?storyId=1450210"} +{"d:Title": "IMDb: \"Jazz\" (2001)", "d:Description": "Cast and production information.", "topic": "Top/Arts/Television/Programs/Documentaries/Jazz", "url": "http://www.imdb.com/title/tt0221300/"} +{"d:Title": "The Lost World", "d:Description": "Making of the film focusing on Tepuis in South America for \"The Living Edens\" series. Includes history of exploration of the Tepuis, producer's daily log, references, and image galleries.", "topic": "Top/Arts/Television/Programs/Documentaries/Lost_World,_The", "url": "http://lastrefuge.co.uk/data/articles/tepuis/tepuis_Main.html"} +{"d:Title": "Napoleon", "d:Description": "Companion site to PBS special. Includes timeline, interactive battlefield simulator, reference materials, and online discussion.", "topic": "Top/Arts/Television/Programs/Documentaries/Napoleon", "url": "http://www.pbs.org/empires/napoleon/"} +{"d:Title": "New York: A Documentary Film Online", "d:Description": "Featuring a virtual tour of the city, interviews and episode guides, an interactive trivia game, and an educational component encouraging kids to get involved in community issues.", "topic": "Top/Arts/Television/Programs/Documentaries/New_York_-_A_Documentary_Film", "url": "http://www.pbs.org/wnet/newyork/"} +{"d:Title": "Learning Adventures in Citizenship: From New York to Your Town", "d:Description": "The educational Web companion to the series New York: A Documentary Film on PBS.", "topic": "Top/Arts/Television/Programs/Documentaries/New_York_-_A_Documentary_Film", "url": "http://pbskids.org/bigapplehistory/"} +{"d:Title": "Seniors for Peace", "d:Description": "Show details, distribution information, viewer comments, and credits.", "topic": "Top/Arts/Television/Programs/Documentaries/Seniors_for_Peace", "url": "http://www.dlbfilms.com/seniorsforpeace.html"} +{"d:Title": "Tracking Distance", "d:Description": "Focuses on an abandoned Pinetree Line radar station from the Cold War era, CFS Dana, Saskatchewan. Includes video clips, program overview, credits, and archival photos.", "topic": "Top/Arts/Television/Programs/Documentaries/Tracking_Distance", "url": "http://www3.telus.net/public/gfmarsh/"} +{"d:Title": "A Walk Around Brooklyn", "d:Description": "Companion web site to the public television program. A fun-filled virtual jaunt through Brooklyn that highlights the borough's rich history and profiles its diverse neighborhoods and landmarks.", "topic": "Top/Arts/Television/Programs/Documentaries/Walk_Around_Brooklyn,_A", "url": "http://www.thirteen.org/brooklyn/"} +{"d:Title": "USDrama Boards", "d:Description": "Discussion forum for a variety of shows.", "topic": "Top/Arts/Television/Programs/Dramas", "url": "http://s9.invisionfree.com/USDrama/"} +{"d:Title": "About.com: TV Shows", "d:Description": "Collection of links for many shows.", "topic": "Top/Arts/Television/Programs/Dramas", "url": "http://tvdramas.about.com/"} +{"d:Title": "The Fifties TV Shows", "d:Description": "Nostalgic look at 1950s programming, including Dragnet, Perry Mason, and Alfred Hitchcock Presents, with images and sounds.", "topic": "Top/Arts/Television/Programs/Dramas", "url": "http://www.fiftiesweb.com/tv-shows.htm"} +{"d:Title": "The 24 Wikia", "d:Description": "Show encyclopedia. Includes episode guide, cast details, crew information, images, and character guides.", "topic": "Top/Arts/Television/Programs/Dramas/24", "url": "http://24.wikia.com/wiki/Main_Page"} +{"d:Title": "Television Without Pity: 24", "d:Description": "Offers weekly episode commentary and analysis.", "topic": "Top/Arts/Television/Programs/Dramas/24", "url": "http://www.televisionwithoutpity.com/show/24/"} +{"d:Title": "IMDb: 24", "d:Description": "Series overview and user reviews, as well as cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/24", "url": "http://www.imdb.com/title/tt0285331/"} +{"d:Title": "TV.com: 24", "d:Description": "Episode guide, cast biographies, photograph gallery, news, video clips, and discussion forum.", "topic": "Top/Arts/Television/Programs/Dramas/24", "url": "http://www.tv.com/shows/24/"} +{"d:Title": "Visimag.com: Fox's '24' previewed", "d:Description": "Preview of the show with comments from Kiefer Sutherland and Dennis Haysbert.", "topic": "Top/Arts/Television/Programs/Dramas/24/Articles_and_Interviews", "url": "http://www.visimag.com/exclusive/tca2001_feat.htm"} +{"d:Title": "Yahoo! Groups: 24-FanFiction", "d:Description": "Members post stories based on the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/24/Chats_and_Forums", "url": "http://groups.yahoo.com/group/24-FanFiction/"} +{"d:Title": "Yahoo! Groups: Twenty_Four", "d:Description": "Discussion of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/24/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Twenty_Four/"} +{"d:Title": "Yahoo! Groups: 24_fans", "d:Description": "Topics focus on the show as well as Kiefer Sutherland. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/24/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/24_fans/"} +{"d:Title": "Yahoo! Groups: 24_Over_30", "d:Description": "Discussion board with membership limited to people older than 30. Includes spoilers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/24/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/24_Over_30/"} +{"d:Title": "Yahoo! Groups: fox_24", "d:Description": "Discussion board for viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/24/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/fox_24/"} +{"d:Title": "24Natic", "d:Description": "Episode, cast, character, spoiler, and fan fiction discussion. [Registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/24/Chats_and_Forums", "url": "http://s7.invisionfree.com/24natic"} +{"d:Title": "Beth's Page", "d:Description": "A fan site including images, trivia, and links.", "topic": "Top/Arts/Television/Programs/Dramas/7th_Heaven", "url": "http://members.tripod.com/~Beth26/main.html"} +{"d:Title": "The Heavenly Show", "d:Description": "Fan site that includes a weekly picture, photo album, and interviews with the cast.", "topic": "Top/Arts/Television/Programs/Dramas/7th_Heaven", "url": "http://www.angelfire.com/ca4/7thHeaven/"} +{"d:Title": "Total 7th Heaven", "d:Description": "Series information, cast biographies, updates, and links to other fan sites.", "topic": "Top/Arts/Television/Programs/Dramas/7th_Heaven", "url": "http://total7thheaven.tripod.com/"} +{"d:Title": "The Seventh Heaven Journal", "d:Description": "Review and satire on the television series.", "topic": "Top/Arts/Television/Programs/Dramas/7th_Heaven", "url": "http://www.savetherobot.com/seventhheaven/seventhheaven.htm"} +{"d:Title": "Television Without Pity: 7th Heaven", "d:Description": "Includes episode recaps, polls, and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/7th_Heaven", "url": "http://www.televisionwithoutpity.com/show/7th-heaven/"} +{"d:Title": "The Adventures of Robinson Crusoe", "d:Description": "Episode guide, information on Daniel Defoe, and opening and closing sequences.", "topic": "Top/Arts/Television/Programs/Dramas/Adventures_of_Robinson_Crusoe,_The", "url": "http://www.davidsemporium.co.uk/_SIXTEEN.html"} +{"d:Title": "IMDb: Robinson Cruso\u00e9", "d:Description": "Cast, crew, and production information, as well as series overview and viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Adventures_of_Robinson_Crusoe,_The", "url": "http://www.imdb.com/title/tt0167516/"} +{"d:Title": "Shirley Holmes Central", "d:Description": "Includes fan fiction, fan artworks, media articles, and links to related resources.", "topic": "Top/Arts/Television/Programs/Dramas/Adventures_of_Shirley_Holmes,_The", "url": "http://shirleyholmes.damonford.com/"} +{"d:Title": "ShirleyHolmes.5u.com", "d:Description": "Fan site has fan fiction, interactive games, message board, and chat room.", "topic": "Top/Arts/Television/Programs/Dramas/Adventures_of_Shirley_Holmes,_The", "url": "http://www.shirleyholmes.5u.com/"} +{"d:Title": "Yahoo! Groups: The Agency", "d:Description": "Discussion of the show, cast, and/or episodes. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The", "url": "http://groups.yahoo.com/group/AgencyCBS"} +{"d:Title": "Yahoo Group", "d:Description": "Mailing list for show discussion. [Yahoo! membership required]", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The", "url": "http://tv.groups.yahoo.com/group/AgencyCBS/"} +{"d:Title": "The Agency", "d:Description": "Email groups for fan discussion of the series. [Yahoo! registration required]", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The", "url": "http://tv.groups.yahoo.com/group/the-agency/"} +{"d:Title": "Yahoo! Groups: Terri&Stiles Fan Fiction", "d:Description": "Fan fiction featuring the characters Terri Lowell and A.B. Stiles.", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The", "url": "http://tv.groups.yahoo.com/group/Stiles_Terri_FF/"} +{"d:Title": "TV.com: The Agency", "d:Description": "Episode, cast and crew guides, forum, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The", "url": "http://www.tv.com/agency/show/2126/summary.html"} +{"d:Title": "Television Without Pity: The Agency", "d:Description": "Recaps of episodes 2 through 5.", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The", "url": "http://www.televisionwithoutpity.com/show/the-agency/"} +{"d:Title": "IMDb: The Agency", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The", "url": "http://www.imdb.com/title/tt0285332/"} +{"d:Title": "Yahoo! Groups: Jason O'Mara&Paige Turco Fans", "d:Description": "Mailing list for fans of both actors.", "topic": "Top/Arts/Television/Programs/Dramas/Agency,_The/Cast_and_Crew", "url": "http://tv.groups.yahoo.com/group/Jasonomaraandpaigeturcofans_group/"} +{"d:Title": "IMDb: Alfred Hitchcock Presents (1955)", "d:Description": "Cast and crew, reviews, comments, and discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Alfred_Hitchcock_Presents", "url": "http://imdb.com/title/tt0047708/"} +{"d:Title": "TV.com: Alfred Hitchcock Presents", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Alfred_Hitchcock_Presents", "url": "http://www.tv.com/shows/alfred-hitchcock-presents/"} +{"d:Title": "Li n k g u r l ~ Alias links", "d:Description": "News and links for Alias the series.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.neloo.com/linkgurl/alias.html"} +{"d:Title": "Alias: Sometimes the Truth Hurts", "d:Description": "Episode guide, downloads, music information, and related links.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.have-dog.com/alias/"} +{"d:Title": "TKTV: Alias", "d:Description": "Cast and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://alias.tktv.net/"} +{"d:Title": "Alias fannesite", "d:Description": "Reviews, comments, quotes, favorite moments, lessons learned and links.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.neloo.com/alias/"} +{"d:Title": "Shrouded Soul", "d:Description": "Includes an episode guides, character biographies, and multimedia. [Requires Java Script]", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.angelfire.com/anime2/briansmith/index.html"} +{"d:Title": "Alias Freak", "d:Description": "Includes show information, cast biographies, quotes, episode guides, and multimedia.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.angelfire.com/pop2/muncho/index.html"} +{"d:Title": "Alias: The TV Show", "d:Description": "Actor information, episode summaries, character guide, news, pictures, and spoilers.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.alias-tv.com/"} +{"d:Title": "Alias", "d:Description": "Articles and image galleries.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://evelyn-k.tripod.com/Alias.html"} +{"d:Title": "Two Evil Monks Guide", "d:Description": "Illustrated episode recaps for the show.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.twoevilmonks.org/alias/aliasintro.htm"} +{"d:Title": "A.P.O.- Authorised Personnel Only", "d:Description": "A fan website with a message board, backgrounds, character information and links to related sites.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://sydney_alias.tripod.com/"} +{"d:Title": "Television Without Pity: Alias", "d:Description": "Humorous episode recaps and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.televisionwithoutpity.com/show/alias/"} +{"d:Title": "IMDb: Alias", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.imdb.com/title/tt0285333/"} +{"d:Title": "Episode List : Alias", "d:Description": "Offers episode list with synopsis.", "topic": "Top/Arts/Television/Programs/Dramas/Alias", "url": "http://www.episodelist.com/shows/alias/48"} +{"d:Title": "SD-6 HQ: Alias Discussion", "d:Description": "Message board for episode, music, and cast and character discussions.", "topic": "Top/Arts/Television/Programs/Dramas/Alias/Chats_and_Forums", "url": "http://sd-1.net/"} +{"d:Title": "Alias Web Puzzle", "d:Description": "A group of Alias fans that work together online to solve the puzzles involved with Alias.", "topic": "Top/Arts/Television/Programs/Dramas/Alias/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/aliaswebpuzzle/"} +{"d:Title": "Yahoo Groups: Alias Club", "d:Description": "Yahoo regarding Alias", "topic": "Top/Arts/Television/Programs/Dramas/Alias/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/aliasclub/"} +{"d:Title": "YahooGroups: aliases", "d:Description": "Discussion list for Alias.", "topic": "Top/Arts/Television/Programs/Dramas/Alias/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/aliases/"} +{"d:Title": "IMDb: \"Eveui modeun geot\" (2000)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/All_About_Eve", "url": "http://imdb.com/title/tt0313028/"} +{"d:Title": "Sitcoms Online: American Dreams", "d:Description": "Cast and crew, show information, pictures, episode guide, message board, downloadable theme song file, links.", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams", "url": "http://www.sitcomsonline.com/americandreams"} +{"d:Title": "Wikipedia: American Dreams", "d:Description": "Characters, ratings, episodes, timeline of events on the series, cancellation, plots for the unaired fourth season, historical accuracy, series guests, DVD releases, and soundtrack.", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams", "url": "http://en.wikipedia.org/wiki/American_Dreams"} +{"d:Title": "IMDb: American Dreams", "d:Description": "Notable features include cast, crew, awards and nominations, filming locations, user comments and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams", "url": "http://www.imdb.com/title/tt0319930/"} +{"d:Title": "TV.com: American Dreams", "d:Description": "Includes cast and crew, news, viewer comments, episode guide, and bloopers.", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams", "url": "http://www.tv.com/shows/american-dreams/"} +{"d:Title": "The Sunday Gazette: Brittany Snow puts glisten in 'Dreams'", "d:Description": "Associated Press article interviewing the lead actress of \"American Dreams\".", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams/Articles_and_Interviews", "url": "http://news.google.com/newspapers?id=Q7stAAAAIBAJ&sjid=FooFAAAAIBAJ&pg=1055,6173911&dq=american-dreams+brittany+snow&hl=en"} +{"d:Title": "The Deseret News: \"American\" emerges as top family drama", "d:Description": "Article about the series \"American Dreams\", reviewing the series as the best family drama on air, supplanting \"7th Heaven\".", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams/Articles_and_Interviews", "url": "http://news.google.com/newspapers?id=Lx1OAAAAIBAJ&sjid=zuwDAAAAIBAJ&pg=3271,4841340&dq=american-dreams+brittany+snow&hl=en"} +{"d:Title": "Pittsburgh Post-Gazette: 'American Dreams' lives up to the hype", "d:Description": "Review of the series \"American Dreams\".", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams/Articles_and_Interviews", "url": "http://www.post-gazette.com/tv/20020929owenp1.asp"} +{"d:Title": "The Vindicator: \"American Dreams\" moves to a classic \"Bandstand\" beat", "d:Description": "Zap2it article published in print, about the series, interviewing the cast.", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams/Articles_and_Interviews", "url": "http://news.google.com/newspapers?id=F-5IAAAAIBAJ&sjid=r4IMAAAAIBAJ&pg=4616,6245720&dq=american-dreams+brittany+snow&hl=en"} +{"d:Title": "The Seattle Post: 'American Dreams' strikes timely chord", "d:Description": "Article about the development of the show, and how it relates to current times.", "topic": "Top/Arts/Television/Programs/Dramas/American_Dreams/Articles_and_Interviews", "url": "http://www.seattlepi.com/ae/tv/article/American-Dreams-strikes-timely-chord-1092118.php"} +{"d:Title": "Yahoo! Groups: Saving AE", "d:Description": "Mailing list for campaign to get another network to pick up the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/American_Embassy,_The", "url": "http://groups.yahoo.com/group/savingae/"} +{"d:Title": "Save The American Embassy", "d:Description": "Campaign news and message to fans from the series' producer.", "topic": "Top/Arts/Television/Programs/Dramas/American_Embassy,_The", "url": "http://www.angelfire.com/grrl/kilverstone/americanembassy.html"} +{"d:Title": "Americanembassy.tripod.com", "d:Description": "Episode summaries, cast and crew information, contest, links, and images.", "topic": "Top/Arts/Television/Programs/Dramas/American_Embassy,_The", "url": "http://americanembassy.tripod.com/"} +{"d:Title": "WebRing: The American Embassy", "d:Description": "Provides links to sites with series-related content.", "topic": "Top/Arts/Television/Programs/Dramas/American_Embassy,_The", "url": "http://www.webring.org/hub?ring=americanembassy"} +{"d:Title": "IMDb: American Embassy, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/American_Embassy,_The", "url": "http://www.imdb.com/title/tt0284085/"} +{"d:Title": "TV.com: The American Embassy", "d:Description": "Episode guide, cast and crew information, analysis, polls, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/American_Embassy,_The", "url": "http://www.tv.com/shows/the-american-embassy/"} +{"d:Title": "IMDb: The Bedford Diaries", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Bedford_Diaries,_The", "url": "http://www.imdb.com/title/tt0460626/"} +{"d:Title": "IMDb: Big Love", "d:Description": "Features series synopsis and cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Big_Love", "url": "http://www.imdb.com/title/tt0421030/"} +{"d:Title": "HBO: Big Love", "d:Description": "Official homepage for series about man with three wives and the various problems associated with multiple households. Includes pictures, episodes, and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Big_Love", "url": "http://www.hbo.com/biglove/"} +{"d:Title": "NPR : HBO's Big Love: My Three Wives", "d:Description": "Article and audio commentary about the show that features modern day polygamy.", "topic": "Top/Arts/Television/Programs/Dramas/Big_Love", "url": "http://www.npr.org/templates/story/story.php?storyId=5254450"} +{"d:Title": "ABC News: Mormons Not Laughing About Polygamy Comedy 'Big Love'", "d:Description": "Article discusses Mormons reaction to comedy claiming it dredges up old stereotypes about the religion, which banned polygamy 100 years ago.", "topic": "Top/Arts/Television/Programs/Dramas/Big_Love", "url": "http://abcnews.go.com/Primetime/story?id=1651071&page=1"} +{"d:Title": "Wikipedia: Big Love", "d:Description": "HBO series featuring a polygamous family living in Utah. Includes cast, crew, pictures, and episode information.", "topic": "Top/Arts/Television/Programs/Dramas/Big_Love", "url": "http://en.wikipedia.org/wiki/Big_Love"} +{"d:Title": "IMDb: Big Shots", "d:Description": "Cast biographies, image gallery, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Big_Shots", "url": "http://www.imdb.com/title/tt0848539/"} +{"d:Title": "NBC: The Black Donnellys", "d:Description": "Official network site. Show overview, cast biographies, photo gallery, and video clips.", "topic": "Top/Arts/Television/Programs/Dramas/Black_Donnellys,_The", "url": "http://www.nbc.com/The_Black_Donnellys/"} +{"d:Title": "The Black Donnellys", "d:Description": "Information from IMDb includes photo gallery and information on cast members.", "topic": "Top/Arts/Television/Programs/Dramas/Black_Donnellys,_The", "url": "http://www.imdb.com/title/tt0805661/"} +{"d:Title": "Bones", "d:Description": "Official network site includes episode guides, cast biographies, video clips, full episode videos, photograph galleries, interactive mobile content, newsletter and message forum.", "topic": "Top/Arts/Television/Programs/Dramas/Bones", "url": "http://www.fox.com/bones/"} +{"d:Title": "IMDb: Bones", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Bones", "url": "http://imdb.com/title/tt0460627/"} +{"d:Title": "Television Without Pity: Boomtown", "d:Description": "Recaps for the first 18 episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Boomtown", "url": "http://www.televisionwithoutpity.com/show/alias/"} +{"d:Title": "IMDb: \"Boomtown\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Boomtown", "url": "http://imdb.com/title/tt0319960/"} +{"d:Title": "Yahoo! Groups: Boomtown", "d:Description": "Mailing list for fan discussion. [Yahoo! registration required]", "topic": "Top/Arts/Television/Programs/Dramas/Boomtown", "url": "http://tv.groups.yahoo.com/group/Boomtown/"} +{"d:Title": "BoomtownSite", "d:Description": "News, images, cast information, episode guides and transcripts, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Boomtown", "url": "http://boomtown.bravepages.com/"} +{"d:Title": "TV Tome: Boomtown", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Boomtown", "url": "http://www.tv.com/shows/boomtown-2002/"} +{"d:Title": "PopMatters: Boston Public", "d:Description": "Analysis of the show's 2000 season.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://popmatters.com/tv/reviews/b/boston-public.html"} +{"d:Title": "The Boston Publican", "d:Description": "Contains polls, pictures, episode summaries, cast members' filmographies, quotes, and definitions of terms used in the show.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://www.angelfire.com/hi5/bostonpublic/"} +{"d:Title": "The Boston Public Forum", "d:Description": "Message board for issues presented on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://www.voy.com/12018/"} +{"d:Title": "Winslow High", "d:Description": "Includes an episode guide, character information, a photo gallery, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://www.angelfire.com/ny5/winslowhigh/"} +{"d:Title": "TKtv: Boston Public", "d:Description": "Episode guide, news, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://bostonpublic.tktv.net/"} +{"d:Title": "Television Without Pity: Boston Public", "d:Description": "Episode recaps for the first 2 seasons.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://www.televisionwithoutpity.com/show/boston-public/"} +{"d:Title": "IMDb: Boston Public", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://www.imdb.com/title/tt0247081/"} +{"d:Title": "TV.com: Boston Public", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Boston_Public", "url": "http://www.tv.com/shows/boston-public/"} +{"d:Title": "Better Call Saul", "d:Description": "In-universe site for criminal attorney Saul Goodman; includes ads, testimonials and other videos.", "topic": "Top/Arts/Television/Programs/Dramas/Breaking_Bad", "url": "http://www.bettercallsaul.com/"} +{"d:Title": "Wikipedia: Breaking Bad", "d:Description": "User-contributed overview of the show and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Breaking_Bad", "url": "http://en.wikipedia.org/wiki/Breaking_Bad"} +{"d:Title": "LiveJournal: AMC's Breaking Bad", "d:Description": "Fan community features news and discussion of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Breaking_Bad", "url": "http://breaking-bad.livejournal.com/"} +{"d:Title": "Television Without Pity: Breaking Bad", "d:Description": "Episode recaps and discussion forums.", "topic": "Top/Arts/Television/Programs/Dramas/Breaking_Bad", "url": "http://www.televisionwithoutpity.com/show/breaking-bad/"} +{"d:Title": "Breaking Bad Locations in Albuquerque, N.M.", "d:Description": "Flickr image gallery of locations and landmarks seen on the show, including addresses and notes on the scenes in which they appear.", "topic": "Top/Arts/Television/Programs/Dramas/Breaking_Bad", "url": "http://www.flickr.com/photos/24869473@N02/sets/72157623732482564/"} +{"d:Title": "The A.V. Club's TV Club: Breaking Bad", "d:Description": "Episode recaps.", "topic": "Top/Arts/Television/Programs/Dramas/Breaking_Bad", "url": "http://www.avclub.com/tv/breaking-bad/"} +{"d:Title": "AMC: Breaking Bad", "d:Description": "Official site for the series features overview, a guide to the show for newcomers, cast and character profiles, episode guide, games, blog, pictures and videos.", "topic": "Top/Arts/Television/Programs/Dramas/Breaking_Bad", "url": "http://www.amc.com/shows/breaking-bad"} +{"d:Title": "IMDb: Brothers&Sisters", "d:Description": "Cast and crew listing, user comments and discussion forum.", "topic": "Top/Arts/Television/Programs/Dramas/Brothers_&_Sisters", "url": "http://www.imdb.com/title/tt0758737/"} +{"d:Title": "Wikipedia - Brothers&Sisters", "d:Description": "Article includes series outline and cast listing.", "topic": "Top/Arts/Television/Programs/Dramas/Brothers_&_Sisters", "url": "http://en.wikipedia.org/wiki/Brothers_&_Sisters_(TV_series)"} +{"d:Title": "TV.com - Brothers&Sisters", "d:Description": "Includes episode guide with reviews, cast and crew information, photographs and show overview.", "topic": "Top/Arts/Television/Programs/Dramas/Brothers_&_Sisters", "url": "http://www.tv.com/shows/brothers-sisters/"} +{"d:Title": "IMDb: Carniv\u00e0le", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Carnivale", "url": "http://www.imdb.com/title/tt0319969/"} +{"d:Title": "Brother Justin", "d:Description": "Character specific mailing list.", "topic": "Top/Arts/Television/Programs/Dramas/Carnivale", "url": "http://tv.groups.yahoo.com/group/BrotherJustin/"} +{"d:Title": "Television Without Pity: Carniv\u00e0le", "d:Description": "Humorous episode recaps and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Carnivale", "url": "http://www.televisionwithoutpity.com/show/carnivale/"} +{"d:Title": "The Midway", "d:Description": "Articles, reviews, episode guides and transcripts, images, video clips, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Carnivale", "url": "http://www.themidway.org/"} +{"d:Title": "Carniv\u00e0le web ring", "d:Description": "Directory of sites with content related to the show.", "topic": "Top/Arts/Television/Programs/Dramas/Carnivale", "url": "http://www.webring.org/hub?ring=carnivalering"} +{"d:Title": "TV.com: Carniv\u00e0le", "d:Description": "Episode guides, cast information, goofs, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Carnivale", "url": "http://www.tv.com/shows/carnivale/"} +{"d:Title": "IMDb: Cashmere Mafia", "d:Description": "Show synopsis, cast details, production information, episode list, user reviews, photograph gallery, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Cashmere_Mafia", "url": "http://www.imdb.com/title/tt0938567/"} +{"d:Title": "IMDb: China Beach", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Dramas/China_Beach", "url": "http://www.imdb.com/title/tt0094433/"} +{"d:Title": "TV.com: China Beach", "d:Description": "Episode guide, cast and crew information, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/China_Beach", "url": "http://www.tv.com/shows/china-beach/"} +{"d:Title": "Catherine Marshall's Masterpiece: Christy", "d:Description": "Introduction to the series and the book that inspired it, with images, articles, cast and character guides, trivia, and fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Christy", "url": "http://members.tripod.com/~Constance_2/"} +{"d:Title": "Dr. Neil MacNeill and Christy Huddleston: A Pastoral Romance", "d:Description": "All about the relationship between MacNeill and Christy, with episode guide, literary critical analysis, photo essays and links.", "topic": "Top/Arts/Television/Programs/Dramas/Christy", "url": "http://www.neilandchristy.com/"} +{"d:Title": "The Life Of Christy Huddleston", "d:Description": "Pictures, cast list, and fan fiction from the series Christy.", "topic": "Top/Arts/Television/Programs/Dramas/Christy", "url": "http://www.angelfire.com/mi/peachypenguin/christy.html"} +{"d:Title": "Stewart Finlay-McLennan Fanfic Story and Poem Page", "d:Description": "Archive of fan stories based on the 'Christy' novel, tv series and movies.", "topic": "Top/Arts/Television/Programs/Dramas/Christy", "url": "http://fanfic17.tripod.com/"} +{"d:Title": "Christy Web Ring", "d:Description": "Provides links to sites based on the novel, TV series, and movies.", "topic": "Top/Arts/Television/Programs/Dramas/Christy", "url": "http://www.webring.org/hub?ring=christyring"} +{"d:Title": "IMDb: Christy (1994) (TV)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Christy", "url": "http://www.imdb.com/title/tt0109426/"} +{"d:Title": "IMDb: ChromiumBlue.com", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/ChromiumBlue.com", "url": "http://www.imdb.com/title/tt0267160/"} +{"d:Title": "IMDb: \"City of Angels\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/City_of_Angels", "url": "http://imdb.com/title/tt0204765/"} +{"d:Title": "BBC News:US TV plays the race card", "d:Description": "Tom Brook reports on the launch of the medical drama series.", "topic": "Top/Arts/Television/Programs/Dramas/City_of_Angels", "url": "http://news.bbc.co.uk/1/hi/special_report/1999/03/99/tom_brook/603949.stm"} +{"d:Title": "TV.com; City of Angels", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/City_of_Angels", "url": "http://www.tv.com/shows/city-of-angels/"} +{"d:Title": "IMDb: Commander in Chief", "d:Description": "Cast bios, crew info, user comments, quotes, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Commander_in_Chief", "url": "http://imdb.com/title/tt0429455/"} +{"d:Title": "Wikipedia: Commander in Chief", "d:Description": "Show summary, character bios, episode recaps, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Commander_in_Chief", "url": "http://en.wikipedia.org/wiki/Commander_in_Chief_(television)"} +{"d:Title": "Watching the Detectives", "d:Description": "Weblog dealing with television detective and crime shows, from Columbo to Cracker, Monk to Morse, Waking the Dead to The Wire.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows", "url": "http://wearedetectives.blogspot.com/"} +{"d:Title": "21 Jump Street", "d:Description": "Episode guide, trivia, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/21_Jump_Street", "url": "http://www.angelfire.com/ak/penhall/"} +{"d:Title": "21 Jump Street Fan Fiction Archive", "d:Description": "Large collection of original fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/21_Jump_Street", "url": "http://h.t.ioki.tripod.com/Archive/21JSArchives_Main.htm"} +{"d:Title": "IMDb: 21 Jump Street", "d:Description": "Cast, crew, and production information, as well as user reviews and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/21_Jump_Street", "url": "http://www.imdb.com/title/tt0092312/"} +{"d:Title": "TV.com: 21 Jump Street", "d:Description": "Episode guide, cast and crew information, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/21_Jump_Street", "url": "http://www.tv.com/shows/21-jump-street/"} +{"d:Title": "240-Robert.com", "d:Description": "Pictures, videos, cast information, sounds, articles, posters, and scripts.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/240-Robert", "url": "http://www.240-robert.com/"} +{"d:Title": "TV.com: 240-Robert", "d:Description": "Episode guide, cast details, and show overview.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/240-Robert", "url": "http://www.tv.com/shows/240-robert/"} +{"d:Title": "Yahoo! Groups: Adam-12", "d:Description": "Show discussion group. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/ADAM-12", "url": "http://groups.yahoo.com/group/Adam-12/"} +{"d:Title": "IMDb: Adam-12", "d:Description": "Cast, crew, and production information, as well as viewer comments and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/ADAM-12", "url": "http://www.imdb.com/title/tt0062539/"} +{"d:Title": "TV.com: Adam-12", "d:Description": "Episode guide, user reviews, trivia, cast biographies, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/ADAM-12", "url": "http://www.tv.com/shows/adam-12/"} +{"d:Title": "The Official Kent McCord Home Page", "d:Description": "Biography, credits, image gallery, and archive of clippings, photos, and memorabilia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/ADAM-12/Cast_and_Crew", "url": "http://www.kentmccord.com/"} +{"d:Title": "IMDb: Baretta", "d:Description": "Cast biographies, crew details, user comments, episode list, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Baretta", "url": "http://www.imdb.com/title/tt0072471/"} +{"d:Title": "TV.com: Baretta", "d:Description": "Episode guide, cast and crew information, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Baretta", "url": "http://www.tv.com/shows/baretta/"} +{"d:Title": "IMDb: Big Apple", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Big_Apple,_The", "url": "http://www.imdb.com/title/tt0277471/"} +{"d:Title": "TV.com: Big Apple", "d:Description": "Episode guide, cast and crew information, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Big_Apple,_The", "url": "http://www.tv.com/shows/big-apple/"} +{"d:Title": "Yahoo! Groups: Cagney and Lacey", "d:Description": "Mailing list for discussion of the show, the television movies, and the stars. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Cagney_and_Lacey", "url": "http://groups.yahoo.com/group/cagneyandlacey"} +{"d:Title": "Cagney and Lacey", "d:Description": "Episode guide, fan fiction, articles, and link to email discussion group.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Cagney_and_Lacey", "url": "http://www.bookmice.net/darkchilde/candl/candl.html"} +{"d:Title": "IMDb: Cagney&Lacey", "d:Description": "Cast, crew, and production information, as well as user comments, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Cagney_and_Lacey", "url": "http://www.imdb.com/title/tt0083395/"} +{"d:Title": "IMDb: Castle", "d:Description": "Show synopsis, cast and crew details, episode guide, photograph gallery, full episode videos, message board, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Castle", "url": "http://www.imdb.com/title/tt1219024/"} +{"d:Title": "ABC: Castle", "d:Description": "Official network site. Includes show synopsis, character and cast biographies, episode guide, photograph gallery, blogs, full episode videos, and message boards.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Castle", "url": "http://beta.abc.go.com/shows/castle"} +{"d:Title": "Cold Case", "d:Description": "Offers sound clips from the series organized by episode.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Cold_Case", "url": "http://www.have-dog.com/coldcase"} +{"d:Title": "Look Again", "d:Description": "Message board for discussion of episodes, fan fiction, art, and music.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Cold_Case", "url": "http://coldcase2.proboards.com/"} +{"d:Title": "IMDb: Cold Case", "d:Description": "Show overview, user comments, cast biographies, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Cold_Case", "url": "http://www.imdb.com/title/tt0368479/"} +{"d:Title": "TV.com: Cold Case", "d:Description": "Episode guide, cast and crew information, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Cold_Case", "url": "http://www.tv.com/shows/cold-case/"} +{"d:Title": "The Ultimate Lieutenant Columbo Site", "d:Description": "Episode listings, trivia, audio clips, articles, biography of Peter Falk, and poll.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Columbo", "url": "http://www.columbo-site.freeuk.com/"} +{"d:Title": "The Columbo Drinking Game", "d:Description": "Instructions on how this game is played while watching the show.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Columbo", "url": "http://www.keegan.org/jeff/humor/columbodrinkinggame.html"} +{"d:Title": "TV.com: Columbo", "d:Description": "Episode guide, cast and crew information, video clips, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Columbo", "url": "http://www.tv.com/shows/columbo/"} +{"d:Title": "Just One More Thing", "d:Description": "A podcast looking at episodes of Columbo. Hosted by RJ White, Jon Morris and special guests.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Columbo", "url": "http://thecitydesk.net/justonemorething/"} +{"d:Title": "Columbo - Fun Trivia", "d:Description": "Questions to test Columbo trivia knowledge.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Columbo", "url": "http://www.funtrivia.com/quizzes/television/television_a-c/columbo.html"} +{"d:Title": "IMDb: \"The Commish\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Commish,_The", "url": "http://www.imdb.com/title/tt0101069/"} +{"d:Title": "TV.com: The Commish", "d:Description": "Episode guide, cast details, and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Commish,_The", "url": "http://www.tv.com/shows/the-commish/"} +{"d:Title": "CBS: Criminal Minds", "d:Description": "Show summary, cast biographies, profiler quiz, and video clips.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Criminal_Minds", "url": "http://www.cbs.com/primetime/criminal_minds/"} +{"d:Title": "IMDb: Criminal Minds", "d:Description": "Cast biographies, crew details, user reviews, quotes, goofs, soundtrack and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Criminal_Minds", "url": "http://imdb.com/title/tt0452046/"} +{"d:Title": "CSI Files", "d:Description": "Daily updated news, episode guides, a discussion board, and reviews on all the three shows.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series", "url": "http://www.csifiles.com/"} +{"d:Title": "CSI Forensics", "d:Description": "Extensive collection of fan fiction categorized by show, relationship, and crossover.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series", "url": "http://www.csi-forensics.com/"} +{"d:Title": "CBS: CSI", "d:Description": "Official network site. Detailed episode guide, video clips, cast biographies, message board, and wiki encyclopedia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation", "url": "http://www.cbs.com/primetime/csi/"} +{"d:Title": "Television Without Pity: CSI", "d:Description": "Episode commentary and message board for seasons one through five.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation", "url": "http://www.televisionwithoutpity.com/show/csi/"} +{"d:Title": "IMDb: CSI", "d:Description": "Cast, crew, and production information, as well as user comments, episode list, trivia, quotes, and photograph gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation", "url": "http://www.imdb.com/title/tt0247082/"} +{"d:Title": "Wikipedia: Gil Grissom", "d:Description": "Character overview, biography, personal details, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Characters", "url": "http://en.wikipedia.org/wiki/Gil_Grissom"} +{"d:Title": "Wikipedia: Sara Sidle", "d:Description": "Character biography, romantic relationships guide, character growth, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Characters", "url": "http://en.wikipedia.org/wiki/Sara_Sidle"} +{"d:Title": "Wikipedia: Catherine Willows", "d:Description": "Character overview, biography, personal details, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Characters", "url": "http://en.wikipedia.org/wiki/Catherine_Willows"} +{"d:Title": "CSI Group", "d:Description": "Mailing list for discussion of the show and its stars. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Chats_and_Forums", "url": "http://groups.yahoo.com/group/C-S-I/"} +{"d:Title": "Yahoo! Groups: CSI Grissom and Sara", "d:Description": "Discussion focused on the characters and their relationship. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CSI-GrissomSara/"} +{"d:Title": "The CSI Society", "d:Description": "Message board, and images from the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Chats_and_Forums", "url": "http://groups.yahoo.com/group/thecsisociety/"} +{"d:Title": "Yahoo! Groups: CSI Talk", "d:Description": "Show, cast, and character discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/csi-talk/"} +{"d:Title": "Yahoo! Groups: Thecsisociety", "d:Description": "Moderated forum that requires spoiler space for discussion of forthcoming episodes. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/thecsisociety/"} +{"d:Title": "Yahoo! Groups: CSI Fanbase Central", "d:Description": "Message board and archive of screen captures. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/csifanbasecentral/"} +{"d:Title": "Always Thinking: A Catherine Willows and Nick Stokes Fansite", "d:Description": "Includes fan created stories, poetry, and media.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Fan_Fiction", "url": "http://www.cathandnick.com/"} +{"d:Title": "FanFiction.Net: CSI Fan Fiction", "d:Description": "Large archive organized by date, number of stories, number of subscribers, and staff count.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Crime_Scene_Investigation/Fan_Fiction", "url": "http://www.fanfiction.net/communities/tv/CSI/"} +{"d:Title": "Television Without Pity: CSI Miami", "d:Description": "Season one episode commentary and forums.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Miami", "url": "http://www.televisionwithoutpity.com/show/csi-miami/"} +{"d:Title": "IMDb CSI: Miami", "d:Description": "Show overview, user comments, trivia, episode list, cast biographies, image gallery, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Miami", "url": "http://www.imdb.com/title/tt0313043/"} +{"d:Title": "TV.com: CSI: Miami", "d:Description": "Episode guide, cast biographies, video clips, photograph gallery, message board, and user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Miami", "url": "http://www.tv.com/shows/csi-miami/"} +{"d:Title": "Yahoo! Groups: CSI Miami", "d:Description": "Show, cast, character, and episode discussion. Must be 18 years of age to view. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Miami/Chats_and_Forums", "url": "http://groups.yahoo.com/group/C-S-I-Miami/"} +{"d:Title": "Yahoo! Groups: CSI Miami FL", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_Miami/Chats_and_Forums", "url": "http://groups.yahoo.com/group/CSI-Miami_FL/"} +{"d:Title": "IMDb: CSI: New York", "d:Description": "Cast, crew, and production information, as well as user comments, episode list, and image gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_New_York_City", "url": "http://www.imdb.com/title/tt0395843/"} +{"d:Title": "Yahoo! Groups: CSI New York", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_New_York_City", "url": "http://tv.groups.yahoo.com/group/CSI_NewYork/"} +{"d:Title": "USATODAY.com: 'CSI' opens New York franchise", "d:Description": "Article discussing the launch of the show as well as quotes from the show's production team.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_New_York_City", "url": "http://www.usatoday.com/life/television/news/2004-05-09-csi-ny_x.htm"} +{"d:Title": "CSI: NY Fan Site", "d:Description": "News, spoilers, episodes, guests, cast, photos, videos, ratings, dossiers, production, set, webcams, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_New_York_City", "url": "http://makina99.free.fr/csiny/en/"} +{"d:Title": "FanFiction.Net: CSI: New York Fan Fiction", "d:Description": "Large archive of stories organized by date, number of stories, number of subscribers, and staff count.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/CSI_Series/CSI_-_New_York_City", "url": "http://www.fanfiction.net/communities/tv/CSI_New_York/"} +{"d:Title": "IMDb: Dexter", "d:Description": "Show synopsis, episode guide, cast details, user reviews, message board, photograph gallery, videos, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Dexter", "url": "http://www.imdb.com/title/tt0773262/"} +{"d:Title": "San Francisco Chronicle: Killer 'Dexter' Slices and Dices Ethics, Humor", "d:Description": "Tim Goodman of the San Francisco Chronicle cites the show's strong lead actor, well placed humor, and daring story in his glowing review.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Dexter", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2006/09/29/DDGH8LDUS21.DTL"} +{"d:Title": "PopMatters: The District", "d:Description": "Review of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/District,_The", "url": "http://popmatters.com/tv/reviews/d/district-csi.html"} +{"d:Title": "The District Fansite", "d:Description": "Episode guide, news, cast biographies, and show overview.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/District,_The", "url": "http://www.thedistrict.8m.com/index.html"} +{"d:Title": "IMDb: The District", "d:Description": "Cast and crew information as well as user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/District,_The", "url": "http://www.imdb.com/title/tt0247087/"} +{"d:Title": "TV.com: The District", "d:Description": "Plot summary, cast information, episode list, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/District,_The", "url": "http://www.tv.com/shows/the-district/"} +{"d:Title": "LikeTelevision.com: Dragnet", "d:Description": "Selected episodes available for download.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Dragnet", "url": "http://tesla.liketelevision.com/liketelevision/search/search.php?q=dragnet&theme=guide"} +{"d:Title": "IMDb: Dragnet", "d:Description": "Cast, crew, and production information, as well as user comments, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Dragnet", "url": "http://imdb.com/title/tt0043194/"} +{"d:Title": "TV.com: Dragnet", "d:Description": "Episode guide, cast and crew information, reviews and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Dragnet", "url": "http://www.tv.com/shows/dragnet-1951/"} +{"d:Title": "IMDb: Dragnet", "d:Description": "Includes cast information, user comments, trivia, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Dragnet_-_2003", "url": "http://www.imdb.com/title/tt0319987/"} +{"d:Title": "Fastlane Union", "d:Description": "Message board for discussion of characters and episodes. [Registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Fastlane", "url": "http://fastlaneunion.hyperboards.com/"} +{"d:Title": "Television Without Pity: Fastlane", "d:Description": "Episode commentary for the first nine episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Fastlane", "url": "http://www.televisionwithoutpity.com/show/fastlane/"} +{"d:Title": "Yahoo! TV: Fastlane", "d:Description": "Show overview, user reviews, episode list, cast biographies, and photo gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Fastlane", "url": "http://tv.yahoo.com/fastlane/show/28181"} +{"d:Title": "IMDb: Fastlane", "d:Description": "Cast, crew, and production information, as well as user reviews, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Fastlane", "url": "http://www.imdb.com/title/tt0320000/"} +{"d:Title": "The Hawaii 5-0 Homepage", "d:Description": "Theme song downloads, episode guide with reviews, and news articles.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O", "url": "http://www.mjq.net/fiveo/"} +{"d:Title": "The Hawaii Five-0 Fan Club", "d:Description": "Mailing list, fan fiction, media, trivia, character information, and screen captures.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O", "url": "http://www.hawaiifive0.org/"} +{"d:Title": "IMDb: Hawaii Five-O", "d:Description": "Cast, crew, and production information, as well as user comments, trivia, quotes, and goofs.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O", "url": "http://imdb.com/title/tt0062568/"} +{"d:Title": "Joe Roberts' Five-O Page", "d:Description": "Quotes, sound files, and trivia quiz.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O", "url": "http://www.rocketroberts.com/hawaii/hawaiifi.htm"} +{"d:Title": "Hawaii Five-O", "d:Description": "A collection of photos from the show ordered by episode.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O", "url": "http://www.jamesmacarthur.com/HawaiiFiveO/FiveO.shtml"} +{"d:Title": "TV.com: Hawaii Five-O", "d:Description": "Episode guide, cast information, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O", "url": "http://www.tv.com/shows/hawaii-fiveo/"} +{"d:Title": "Peg's Hawaii Five-0 Site", "d:Description": "Fan fiction based on the series.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O/Fan_Fiction", "url": "http://peg_keeley.tripod.com/"} +{"d:Title": "Hawaiian Fan Fiction", "d:Description": "Large collection of fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hawaii_Five-O/Fan_Fiction", "url": "http://www.solosojourn.com/StoriesByAuthor.html"} +{"d:Title": "IMDb: Highway Patrol", "d:Description": "Includes plot and cast information, as we as user reviews, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Highway_Patrol", "url": "http://www.imdb.com/title/tt0047739/"} +{"d:Title": "Highway Patrol", "d:Description": "Includes photos, sounds, videos, episodes guides, FAQs, articles, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Highway_Patrol", "url": "http://www.highwaypatroltv.com/"} +{"d:Title": "IMDb: High Incident", "d:Description": "Cast and production information, as well as user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/High_Incident", "url": "http://www.imdb.com/title/tt0115202/"} +{"d:Title": "TV.com: High Incident", "d:Description": "Episode guide, cast and crew information, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/High_Incident", "url": "http://www.tv.com/shows/high-incident/"} +{"d:Title": "IMDb: Hill Street Blues", "d:Description": "Cast and crew information, as well as user reviews, and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hill_Street_Blues", "url": "http://www.imdb.com/title/tt0081873/"} +{"d:Title": "TV.com: Hill Street Blues", "d:Description": "Episode guide, cast and crew information, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hill_Street_Blues", "url": "http://www.tv.com/shows/hill-street-blues/"} +{"d:Title": "Homicide: Life on the Street - The Coffee Room", "d:Description": "Cast list, humor, pictures, message board, and polls.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Homicide_-_Life_on_the_Street", "url": "http://www.angelfire.com/tv/coffeeroom/index.htm"} +{"d:Title": "The Aquarium", "d:Description": "Show overview, fan created episode transcripts, favorite partnerships, and information on video and DVD releases.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Homicide_-_Life_on_the_Street", "url": "http://www.windowseat.org/homicide/"} +{"d:Title": "IMDb: Homicide Life on the Street", "d:Description": "Includes plot and cast information, as well as user reviews, and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Homicide_-_Life_on_the_Street", "url": "http://www.imdb.com/title/tt0106028/"} +{"d:Title": "The Unofficial Hunter Episode Guide", "d:Description": "Includes photos, articles, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hunter", "url": "http://www.angelfire.com/tv2/hunter/index.html"} +{"d:Title": "IMDb: Hunter", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Hunter", "url": "http://www.imdb.com/title/tt0087437/"} +{"d:Title": "IMDb: Justified", "d:Description": "Show synopsis, cast details, episode list, news, message board, photograph gallery, video clips, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Justified", "url": "http://www.imdb.com/title/tt1489428/"} +{"d:Title": "IMDb: Karen Sisco", "d:Description": "Show overview, user comments, cast biographies, crew information, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Karen_Sisco", "url": "http://www.imdb.com/title/tt0364823/"} +{"d:Title": "Karen Sisco: Music", "d:Description": "Offers sound clips from the series organized by episode.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Karen_Sisco", "url": "http://www.have-dog.com/karensisco"} +{"d:Title": "IMDb: Keen Eddie", "d:Description": "Cast, crew, and production information, as well as viewer comments and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Keen_Eddie", "url": "http://www.imdb.com/title/tt0318390/"} +{"d:Title": "Yahoo! Groups: Keen Eddie Over 30", "d:Description": "Message board for fans older than 30. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Keen_Eddie", "url": "http://tv.groups.yahoo.com/group/keen_eddie_over_30/"} +{"d:Title": "Yahoo! Groups: Keen Eddie", "d:Description": "Discussion forum for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Keen_Eddie", "url": "http://tv.groups.yahoo.com/group/Keen_Eddie/"} +{"d:Title": "TV.com: Keen Eddie", "d:Description": "Episode guide, cast and crew information, user reviews, and news.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Keen_Eddie", "url": "http://www.tv.com/shows/keen-eddie/"} +{"d:Title": "IMDb: Kidnapped", "d:Description": "Cast biographies, crew details, user reviews, message board, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Kidnapped", "url": "http://www.imdb.com/title/tt0771329/"} +{"d:Title": "IMDb: Killer Instinct", "d:Description": "Show synopsis, cast bios, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Killer_Instinct", "url": "http://imdb.com/title/tt0460643/"} +{"d:Title": "TV.com: Killer Instinct", "d:Description": "Episode guide, cast bios, user reviews, picture gallery, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Killer_Instinct", "url": "http://www.tv.com/shows/killer-instinct/"} +{"d:Title": "IMDb: Kojak", "d:Description": "User comments, cast biographies, crew details, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Kojak", "url": "http://www.imdb.com/title/tt0069599/"} +{"d:Title": "FOX: Lie to Me", "d:Description": "Official network site. Show summary, character guide, episode videos, photograph gallery, episode recapitulations, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Lie_to_Me", "url": "http://www.fox.com/lietome/"} +{"d:Title": "IMDb: Lie to Me", "d:Description": "Cast details, production information, user reviews, message board, photograph gallery, episode videos, and episode list.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Lie_to_Me", "url": "http://www.imdb.com/title/tt1235099/"} +{"d:Title": "SF Gate: 'The Mentalist' is good. 'Lie to Me' is better.", "d:Description": "Tim Goodman of the SF Chronicle praises the show's use of scientific detail in his positive review.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Lie_to_Me", "url": "http://www.sfgate.com/cgi-bin/article.cgi?file=/c/a/2009/01/19/DD8I15CP6O.DTL"} +{"d:Title": "TV.com: Martial Law", "d:Description": "Show overview, episode list, cast details, user reviews, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Martial_Law", "url": "http://www.tv.com/shows/martial-law/"} +{"d:Title": "McCloud", "d:Description": "Series guide with analysis and commentary.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/McCloud", "url": "http://cmulrooney.tripod.com/mccloud.html"} +{"d:Title": "IMDb: McCloud", "d:Description": "Show overview, cast biographies, quotes, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/McCloud", "url": "http://www.imdb.com/title/tt0065317/"} +{"d:Title": "USA Network: Monk", "d:Description": "Official site. Includes episode and cast information, character profiles, downloads, air schedules, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Monk", "url": "http://www.usanetwork.com/series/monk/"} +{"d:Title": "IMDb: Monk", "d:Description": "Cast, crew, and production information, as well as trivia, user comments, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Monk", "url": "http://www.imdb.com/title/tt0312172/"} +{"d:Title": "TV.com: Monk", "d:Description": "Episode guide, cast biographies, crew details, user reviews, forum, video clips, and photo gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Monk", "url": "http://www.tv.com/shows/monk/"} +{"d:Title": "Nash Bridges On Location", "d:Description": "Photos and description of the show's filming location.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Nash_Bridges", "url": "http://home.earthlink.net/~vrflyer/nash-2.htm"} +{"d:Title": "The Original Unofficial Nash Bridges Web Site", "d:Description": "Contains photos, episode guides, FAQ, news, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Nash_Bridges", "url": "http://www.lowtek.com/nash/"} +{"d:Title": "IMDb: Nash Bridges", "d:Description": "Cast biographies, crew details, user reviews, forum, goofs, trivia, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Nash_Bridges", "url": "http://www.imdb.com/title/tt0115285/"} +{"d:Title": "Yahoo! Groups: Night Heat Club", "d:Description": "Discussion of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Night_Heat", "url": "http://groups.yahoo.com/group/nightheatclub/"} +{"d:Title": "Yahoo! Groups: Club Night Heat", "d:Description": "Discussion for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Night_Heat", "url": "http://groups.yahoo.com/group/Club_Night_Heat/"} +{"d:Title": "Mid South Precinct", "d:Description": "Episode, character, and location guides, list of items Whitey is seen selling, autographs, bloopers, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Night_Heat", "url": "http://www.rickstv.com/midsouth/"} +{"d:Title": "IMDb: Night Heat", "d:Description": "Cast, crew, and production information, as well as user comments, goofs, trivia, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Night_Heat", "url": "http://www.imdb.com/title/tt0088582/"} +{"d:Title": "IMDb: Numb3rs", "d:Description": "Cast, crew, and production information, as well as user comments, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Numb3rs", "url": "http://www.imdb.com/title/tt0433309/"} +{"d:Title": "NUMB3RS.org", "d:Description": "Schedule, news, spoilers, image gallery, message board, cast information, and downloads.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Numb3rs", "url": "http://www.numb3rs.org/"} +{"d:Title": "Yahoo! Groups : Numb3rs RPG", "d:Description": "Members participate in a role-playing game based on the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Numb3rs", "url": "http://games.groups.yahoo.com/group/Numb3rs_RPG/"} +{"d:Title": "Numb3rs", "d:Description": "Fan fiction, episode guide, and information on using numbers to solve crimes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Numb3rs", "url": "http://www.redhawke.org/numb3rs/numb3rsindex.html"} +{"d:Title": "Wolfram Research: The Math Behind Numb3rs", "d:Description": "Mathematical concepts fourth season episodes. Includes active demos and information about the team.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Numb3rs", "url": "http://numb3rs.wolfram.com/"} +{"d:Title": "NYPD Blue: A Titles and Air Dates Guide", "d:Description": "Lists the titles and air dates for each episode of the series.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/NYPD_Blue", "url": "http://epguides.com/NYPDBlue/"} +{"d:Title": "Alan Sepinwall's NYPD Blue Homepage", "d:Description": "Extensive fan site includes FAQ, biographies of the characters and actors, sound files, drinking game, articles, and episode reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/NYPD_Blue", "url": "http://www.stwing.upenn.edu/~sepinwal/nypd.html"} +{"d:Title": "The Humanitas Prize", "d:Description": "Article discussing why the episode, 'Heart and Souls', won this prize in 1999. Also includes an interview with James McDaniel.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/NYPD_Blue", "url": "http://www.americancatholic.org/Messenger/Nov1999/feature1.asp"} +{"d:Title": "PopMatters", "d:Description": "Detailed review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/NYPD_Blue", "url": "http://popmatters.com/tv/reviews/n/nypd-blue.html"} +{"d:Title": "Television Without Pity: NYPD Blue", "d:Description": "Episode commentary.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/NYPD_Blue", "url": "http://www.televisionwithoutpity.com/show/nypd-blue/"} +{"d:Title": "Jam! Showbiz: NYPD Blue", "d:Description": "Several Canadian newspaper articles about the show.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/NYPD_Blue", "url": "http://jam.canoe.com/Television/TV_Shows/N/NYPD_Blue/"} +{"d:Title": "IMDb: One West Waikiki", "d:Description": "Cast and production information, as well as user comments, and episode list.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/One_West_Waikiki", "url": "http://www.imdb.com/title/tt0108889/"} +{"d:Title": "Yahoo! Groups: Pacific Blue Club", "d:Description": "Fan group with news, a forum, and pictures. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Pacific_Blue", "url": "http://groups.yahoo.com/group/pacificblueclub/"} +{"d:Title": "Yahoo! Groups: Pacific Blue Club", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Pacific_Blue", "url": "http://uk.groups.yahoo.com/group/thepacificblueclub/"} +{"d:Title": "IMDb: Pacific Blue", "d:Description": "Show overview, crew details, cast biographies, user comments, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Pacific_Blue", "url": "http://www.imdb.com/title/tt0112112/"} +{"d:Title": "Epguides: Police Woman", "d:Description": "Episode listing with titles and original airdates.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Police_Woman", "url": "http://epguides.com/PoliceWoman/"} +{"d:Title": "Peter Brown in Police Story Gamble", "d:Description": "Synopsis of the series pilot with photographs.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Police_Woman", "url": "http://www.peterbrown.tv/policestory.html"} +{"d:Title": "IMDb: Police Woman", "d:Description": "Includes cast information, guest appearances, awards and honors, fan comments, trivia, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Police_Woman", "url": "http://www.imdb.com/title/tt0071034/"} +{"d:Title": "IMDb: Raines", "d:Description": "Show overview, cast biographies, crew details, production information, photo gallery, and user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Raines", "url": "http://imdb.com/title/tt0802148/"} +{"d:Title": "IMDb: Robbery Homicide Division", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Robbery_Homicide", "url": "http://www.imdb.com/title/tt0315686/"} +{"d:Title": "The Rookies Episode Listing", "d:Description": "Provides titles and airdates.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Rookies,_The", "url": "http://members.tripod.com/~sjisasillyboy/rookies.html"} +{"d:Title": "IMDb: The Rookies", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Rookies,_The", "url": "http://www.imdb.com/title/tt0068126/"} +{"d:Title": "Starfox's Mansion", "d:Description": "Information, news, links, keepers, fan fiction, sounds, quotes, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The", "url": "http://www.wolfpanther.com/"} +{"d:Title": "Becky's Sentinel Page", "d:Description": "Fan fiction, links, screen captures, quotes, and episode transcripts.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The", "url": "http://www.kelesa.net/"} +{"d:Title": "Trish's Sentinel Universes", "d:Description": "Collection of works including crossovers and alternate universes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The/Fan_Fiction", "url": "http://wilcox.freeservers.com/"} +{"d:Title": "Blair Angst Fiction Page", "d:Description": "Fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The/Fan_Fiction", "url": "http://sentangst.tripod.com/"} +{"d:Title": "Wildeskind's Sentinel Fan Fiction", "d:Description": "Several smarm and humor fan fiction short pieces, as well as some virtual season episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The/Fan_Fiction", "url": "http://www.angelfire.com/md/wildchild/fanfic.html"} +{"d:Title": "Novation Productions", "d:Description": "Virtual season in which Blair Sandburg did not become a detective.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The/Fan_Fiction", "url": "http://novation.danawheels.net/"} +{"d:Title": "Graywulf's Home of SF/Fantasy", "d:Description": "Offers fan fiction from various authors.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The/Fan_Fiction", "url": "http://fandom.ljsmith.ca/index.shtml"} +{"d:Title": "MagPie's Nest", "d:Description": "Sentinel fan fiction by MagPie.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sentinel,_The/Fan_Fiction", "url": "http://www.magpie-fanfiction.de/"} +{"d:Title": "Yahoo! Groups: The Shield Talk", "d:Description": "Moderated group for discussion of the series. [Age statement and Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Shield,_The", "url": "http://groups.yahoo.com/group/theshield-talk/"} +{"d:Title": "The Shield", "d:Description": "Analysis of the episodes and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Shield,_The", "url": "http://www.morethings.com/fan/the_shield/"} +{"d:Title": "TheShieldRap", "d:Description": "Message board for discussion of episodes, characters, spoilers, and behind the scenes information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Shield,_The", "url": "http://theshieldrap.proboards.com/"} +{"d:Title": "IMDb: The Shield", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Shield,_The", "url": "http://www.imdb.com/title/tt0286486/"} +{"d:Title": "TKTV: Snoops", "d:Description": "Episode guide, quotes, and news.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Snoops", "url": "http://snoops.tktv.net/"} +{"d:Title": "IMDb: Snoops", "d:Description": "Cast biographies, crew details, trivia, quotes, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Snoops", "url": "http://www.imdb.com/title/tt0202752/"} +{"d:Title": "TV.com: Snoops", "d:Description": "Episode guide, cast and crew information, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Snoops", "url": "http://www.tv.com/shows/snoops/"} +{"d:Title": "Starsky and Hutch Episode Guide", "d:Description": "Includes title and air date information for each episode of the series.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://epguides.com/StarskyandHutch/"} +{"d:Title": "Starsky and Hutch Screen Saver", "d:Description": "Wallpaper.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://www.damonomania.com/starskyhutch/"} +{"d:Title": "Yahoo! Groups: I Love Starsky", "d:Description": "Mailing list for discussion of the show, Starsky in particular. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://groups.yahoo.com/group/ILoveStarsky/"} +{"d:Title": "Starsky and Hutch Online", "d:Description": "Offers a character and cast list. Provides information on the show, its episodes, and how to petition to keep the show in syndication.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://www.starskyandhutchonline.com/"} +{"d:Title": "The Starsky and Hutch Mego Gallery", "d:Description": "Information and pictures about the Mego dolls produced during the run of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://www.angelfire.com/tv/3amegos/starsky.html"} +{"d:Title": "Starsky and Hutch Fan Site", "d:Description": "Bloopers, fan fiction, videos, screen captures, icons, and photographs.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://starskyhutch.kassidyrae.com/"} +{"d:Title": "The Starsky and Hutch webring", "d:Description": "Webring for sites highlighting the series.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://www.webring.org/hub?ring=starskyhutch"} +{"d:Title": "IMDb: Starsky and Hutch", "d:Description": "Cast, crew, and production information, as well as user reviews, quotes, trivia, and goofs.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch", "url": "http://www.imdb.com/title/tt0072567/"} +{"d:Title": "Starsky and Hutch Gen Archive", "d:Description": "Fan fiction, zine information, and related links.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch/Fan_Fiction", "url": "http://www.squidge.org/~flamingo/starskyhutchgen/"} +{"d:Title": "The Starsky&Hutch Fiction Den", "d:Description": "Gen fanfiction by Striped Tomato, including several long and one novel-length mystery story.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch/Fan_Fiction", "url": "http://stripedtomato.tripod.com/"} +{"d:Title": "Starsky and Hutch Stories", "d:Description": "Gen fanfic by Starsky's Sweet Angel.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch/Fan_Fiction", "url": "http://starskyslady.tripod.com/"} +{"d:Title": "Agent With Style", "d:Description": "Source for many Starsky and Hutch zines.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Starsky_and_Hutch/Fan_Fiction/Fanzines", "url": "http://www.agentwithstyle.com/"} +{"d:Title": "IMDb: Sue Thomas: F.B.Eye", "d:Description": "Cast, crew, and production information, as well as user comments, trivia, and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sue_Thomas_-_FBEye", "url": "http://www.imdb.com/title/tt0329934/"} +{"d:Title": "Sue Thomas: F.B.Eye", "d:Description": "Review that rates the series as wholesome family viewing.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sue_Thomas_-_FBEye", "url": "http://www.christiananswers.net/spotlight/tv/2002/suethomas.html"} +{"d:Title": "TV.com: Sue Thomas: F.B.Eye", "d:Description": "Episode guide, cast biographies, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Sue_Thomas_-_FBEye", "url": "http://www.tv.com/shows/sue-thomas-fbeye/"} +{"d:Title": "IMDb: Touching Evil", "d:Description": "Cast, crew, and production information, as well as viewer comments, trivia, and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Touching_Evil", "url": "http://www.imdb.com/title/tt0383153/"} +{"d:Title": "Lost a Little Something", "d:Description": "Show overview, character biography of David Creegan, quotes, fan art, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Touching_Evil", "url": "http://www.angelfire.com/psy/tevil/"} +{"d:Title": "IMDb: Viper", "d:Description": "Cast, crew and, production information, as well as user comments, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Viper", "url": "http://www.imdb.com/title/tt0108983/"} +{"d:Title": "Pittsburgh Post-Gazette: CBS's 'Without a Trace' Adds to TV Crime Scene", "d:Description": "Review of the series that dubs it \"a decent crime drama.\"", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Without_a_Trace", "url": "http://www.post-gazette.com/tv/20020926owen3.asp"} +{"d:Title": "Without a Trace: Music", "d:Description": "Offers sound clips from the series organized by season.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Without_a_Trace", "url": "http://www.have-dog.com/withoutatrace"} +{"d:Title": "IMDb: Without a Trace", "d:Description": "Cast, crew, and production information, as well as quotes, trivia, and goofs.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Without_a_Trace", "url": "http://www.imdb.com/title/tt0321021/"} +{"d:Title": "TV.com: Without a Trace", "d:Description": "Episode guide with original air dates and cast information, as well as video clips, photos, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Cop_Shows/Without_a_Trace", "url": "http://www.tv.com/shows/without-a-trace/"} +{"d:Title": "NBC: Crossing Jordan", "d:Description": "Official site for the show, with episode guide, cast biographies, and image gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Crossing_Jordan", "url": "http://www.nbc.com/Crossing_Jordan/"} +{"d:Title": "Coffeerooms: Crossing Jordan", "d:Description": "Message board for fans of the series.", "topic": "Top/Arts/Television/Programs/Dramas/Crossing_Jordan", "url": "http://www.coffeerooms.com/forums/tv/jordan/index.html"} +{"d:Title": "MorgueMusings", "d:Description": "Fan fiction from a single author, also includes links.", "topic": "Top/Arts/Television/Programs/Dramas/Crossing_Jordan", "url": "http://www.morguemusings.1hwy.com/"} +{"d:Title": "IMDb: Crossing Jordan", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Crossing_Jordan", "url": "http://www.imdb.com/title/tt0284718/"} +{"d:Title": "TV.com: Crossing Jordan", "d:Description": "Episode guide, cast and crew information, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Crossing_Jordan", "url": "http://www.tv.com/shows/crossing-jordan/"} +{"d:Title": "IMDb: \"Danger Man\" (1960)", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Danger_Man", "url": "http://imdb.com/title/tt0053496/"} +{"d:Title": "Dawson's Creek - Columbia TriStar Television", "d:Description": "Official Dawson's Creek site; cast, crew, character, and episode guides, articles, and downloads.", "priority": "1", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek", "url": "http://www.dawsonscreek.com/"} +{"d:Title": "Television Without Pity: Dawson's Creek", "d:Description": "Episode recaps and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek", "url": "http://www.televisionwithoutpity.com/show/dawsons-creek/"} +{"d:Title": "IMDb: Dawson's Creek", "d:Description": "General facts about the show, cast list and cast member's filmographies.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek", "url": "http://www.imdb.com/title/tt0118300/"} +{"d:Title": "TV.com: Dawson's Creek", "d:Description": "Episode guide, cast and crew information, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek", "url": "http://www.tv.com/shows/dawsons-creek/"} +{"d:Title": "The Characters of Dawson's Creek", "d:Description": "Information about recurring characters, their connections and relationship to each other, and the actors and actresses that play them.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters", "url": "http://members.tripod.com/~nealj_3/dawsonsweb.htm"} +{"d:Title": "Dawson and Joey - True Love On The Creek", "d:Description": "Includes pictures, love songs, polls, quizzes, quotes, fan fiction, fan art, message board and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Dawson_and_Joey", "url": "http://www.angelfire.com/tv/jillscreek84/"} +{"d:Title": "Pacey and Andie's Sparring Page", "d:Description": "Fan fiction, message board, character quotes, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Pacey_and_Andie", "url": "http://www.angelfire.com/tx/PaceyAndie/"} +{"d:Title": "I Hate the Head: A Pacey&Joey Lovefest", "d:Description": "Includes photos, quotes, character profiles, and campaigns to reunite P/J. Also includes anti-Dawson material, including a drinking game.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Pacey_and_Joey", "url": "http://ihatethehead.s5.com/"} +{"d:Title": "M.O.M.E.N.T.S.", "d:Description": "Pictures, fan fiction, and character profiles.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Pacey_and_Joey", "url": "http://www.angelfire.com/tv2/silverangelsfic/Main.html"} +{"d:Title": "Pacey and Joey Heaven", "d:Description": "Pacey and Joey fan site. Includes pictures, news, fan fiction, quotes, and fan art.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Pacey_and_Joey", "url": "http://www.angelfire.com/tv/paceyandjoeyheaven/index.html"} +{"d:Title": "True Love: Ode to Pacey and Joey", "d:Description": "Fan fiction, fan art, quotes, and postcards.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Pacey_and_Joey", "url": "http://odetopj.tripod.com/"} +{"d:Title": "Pacey and Joey Saviors", "d:Description": "Fan fiction, quotes, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Pacey_and_Joey", "url": "http://www.angelfire.com/tv/paceyjoeysaviors/"} +{"d:Title": "The Pacey and Joey Lovers' Shrine", "d:Description": "Images, character and actor biographies, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Characters/Relationships/Pacey_and_Joey", "url": "http://members.tripod.com/PJLS/"} +{"d:Title": "Dawson's Creek Boards", "d:Description": "Includes a variety of topic threads on characters and episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Chats_and_Forums", "url": "http://dawsonscreek.proboards.com/"} +{"d:Title": "Dawson's Creek the Webring", "d:Description": "Webring to connect fan sites.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Directories", "url": "http://www.webring.org/hub?ring=dawsonscreekthew"} +{"d:Title": "Wayne's Episode Guide Season One Special", "d:Description": "Covers season 1 with transcripts, bloopers, reviews, and information about segment titles.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Episode_Guides", "url": "http://www.ocf.berkeley.edu/~kaow/dawsonsdvd/"} +{"d:Title": "Wikiquote", "d:Description": "A collection of quotes from the show, sorted by episode.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Episode_Guides", "url": "http://en.wikiquote.org/wiki/Dawson's_Creek"} +{"d:Title": "Dawson's Creek Fanfiction", "d:Description": "A collection of stories sorted by author. Includes a short description of each story.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Fiction", "url": "http://members.tripod.com/~Amoo282/fanfiction.html"} +{"d:Title": "Dawson's Creek Fan Fiction by Dana", "d:Description": "A collection of stories written by Dana, predominantly focusing on Joey and Pacey.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Fiction/Authors", "url": "http://members.tripod.com/deekay63/"} +{"d:Title": "Through the Years...", "d:Description": "Stories written by Abagail Snow about Pacey and Joey, organized by season.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Fiction/Authors", "url": "http://www.angelfire.com/creep/fanart/"} +{"d:Title": "DwsnsKreek", "d:Description": "Personal fan fiction along with interactive fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Fiction/Authors", "url": "http://dwsnskreek.freeservers.com/"} +{"d:Title": "Samantha's Dawson's Creek Fiction", "d:Description": "Stories involving a variety of characters.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Fiction/Authors", "url": "http://samanthacarlin.tripod.com/samsdcfic"} +{"d:Title": "Yahoo! Groups: paceyandjoey", "d:Description": "Fan fiction based on the Joey and Pacey relationship.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Fiction/Mailing_Lists", "url": "http://groups.yahoo.com/group/paceyandjoey/"} +{"d:Title": "All About Dawson's Creek", "d:Description": "Characters, pictures, and opinions on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/ny/Katnipp/"} +{"d:Title": "Alyssa's Dawson's Creek Page", "d:Description": "Pictures, links, episode summaries, polls, message board, news, books, and music.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/md/dawsontv/"} +{"d:Title": "Chesky's Dawson's Creek Heaven", "d:Description": "Pictures, sounds, videos, music, actor information, spoilers, rumors, interactive sections, and relationship tributes.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/hi/DawsonFan29/"} +{"d:Title": "Dawson's Creek", "d:Description": "Sounds, critique's views, chat, links, photos.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/nc/dawsoncreekcritique/"} +{"d:Title": "Dawson's Creek", "d:Description": "A few pictures and a mailing list.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/biz4/dawsonscreek1/"} +{"d:Title": "Jess's Dawson's Creek", "d:Description": "A few pictures and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/ct/dawsonscreekshome/"} +{"d:Title": "I Am Obsessed with Dawson's Creek", "d:Description": "Information, pictures, favorite lines, links and facts.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://members.tripod.com/DawsonFan19/celebrity/dawsons_creek.html"} +{"d:Title": "Dawson's Creek", "d:Description": "Short description of the four main cast members.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/pa/laxrules/main.html"} +{"d:Title": "Dawson's Creek", "d:Description": "Cast biographies, fan fiction, role playing game, pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/in/aSummerPlace/dawson.html"} +{"d:Title": "Dawson's Creek", "d:Description": "Cast biographies, poll, message board.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/hi/dawsonc/"} +{"d:Title": "Rose's Dawson's Creek Homepage", "d:Description": "Cast, episode guide, picture gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://members.tripod.com/~RoseLevine/DawsonsCreek.html"} +{"d:Title": "Samantha's Dawson's Creek Page", "d:Description": "Pictures, links, news, fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/hi/Samaly/"} +{"d:Title": "The Stars", "d:Description": "Short cast biographies and some pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/mo/claudialehner/dawsonscreek.html"} +{"d:Title": "Turlututu for Dawson's Creek", "d:Description": "Picture gallery, quizzes, calendars, lyrics.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/movies/ciao/index.html"} +{"d:Title": "Molly's Dawson's Creek Web Page", "d:Description": "Cast biographies, filmography, quotes, collages, episode guides, song lyrics, and polls.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/rnb/mb_dawsonscreek/"} +{"d:Title": "Dawson's Creek Information Zone", "d:Description": "Transcripts, news, and information about music appearing in the series.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.dawson-info.com/"} +{"d:Title": "Screen Play Video", "d:Description": "Show information, news, drinking game, quizzes, and articles.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Fan_Pages", "url": "http://www.angelfire.com/mi/littlestar/"} +{"d:Title": "The Dawson's Creek Picture Page", "d:Description": "Over 600 pictures of show members.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Image_Galleries", "url": "http://members.tripod.com/~dawsonscreek_pics/"} +{"d:Title": "Dawson's Creek Music Center", "d:Description": "Soundtrack, music log, lyrics, CD store.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Music", "url": "http://members.tripod.com/dc_music/"} +{"d:Title": "Dawson's Creek... An Adventure in Logic", "d:Description": "Discusses both the pros and cons of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Opposing_Views", "url": "http://members.tripod.com/~SecretAgentGirl/dawson.html"} +{"d:Title": "The Unofficial Dawson's Creek Script Pages", "d:Description": "Read all of the show's scripts from Seasons One, Two, Three and a couple from Season Four.", "topic": "Top/Arts/Television/Programs/Dramas/Dawson's_Creek/Transcripts", "url": "http://www.angelfire.com/ks/dcskrits/"} +{"d:Title": "IMDb: Day Break", "d:Description": "Show overview, cast biographies, crew details, production information, and user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Day_Break", "url": "http://www.imdb.com/title/tt0801425/"} +{"d:Title": "USA Today: Uneven 'Day Break' fails to illuminate", "d:Description": "Show review from Robert Bianco of USA Today.", "topic": "Top/Arts/Television/Programs/Dramas/Day_Break", "url": "http://usatoday30.usatoday.com/life/television/reviews/2006-11-14-review-day-break_x.htm"} +{"d:Title": "PopMatters: Deadline", "d:Description": "Review of the show, with a note about its cancellation.", "topic": "Top/Arts/Television/Programs/Dramas/Deadline", "url": "http://popmatters.com/tv/reviews/d/deadline.html"} +{"d:Title": "Television Without Pity: Deadline", "d:Description": "Recaps of the first 5 episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Deadline", "url": "http://www.televisionwithoutpity.com/show/deadline/"} +{"d:Title": "IMDb: Deadline", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Deadline", "url": "http://www.imdb.com/title/tt0247086/"} +{"d:Title": "TV.com: Deadline", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Deadline", "url": "http://www.tv.com/shows/deadline/"} +{"d:Title": "Television Without Pity: Dead Last", "d:Description": "Episode guide for the six broadcast episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Dead_Last", "url": "http://www.televisionwithoutpity.com/show/dead-last/"} +{"d:Title": "IMDb: Dead Last", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dead_Last", "url": "http://www.imdb.com/title/tt0239330/"} +{"d:Title": "TV.com: Dead Last", "d:Description": "Episode guide, cast information, and viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Dead_Last", "url": "http://www.tv.com/shows/dead-last/"} +{"d:Title": "Tammy Tillinghast's Degrassi Page", "d:Description": "Fact file, cast and character biographies, episode guide and links.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series", "url": "http://www.angelfire.com/80s/degrassicanada/"} +{"d:Title": "Degrassi Chat", "d:Description": "A chat room for all fans of the Degrassi series.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series", "url": "http://www.angelfire.com/de/degrassihigh/"} +{"d:Title": "Degrassi Daydreams", "d:Description": "An online club for Degrassi fans, with a message board, chat room, member profiles and links.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series", "url": "http://groups.yahoo.com/group/degrassidaydreams"} +{"d:Title": "AJ's Degrassi Universe", "d:Description": "Contains character information, pictures, episode guide, and cast biographies.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series", "url": "http://www.fu-manchu.com/degrassi/default.asp"} +{"d:Title": "Degrassi Online", "d:Description": "Contains current news, photos, a FAQ, an episode guide, newsletter, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series", "url": "http://www.degrassi.ca/"} +{"d:Title": "Psycho Key", "d:Description": "The musical group formed by Kyra Levy, who played Maya Goldberg on Degrassi, along with bassist Clint Adjodha and guitarist Nicolas Jolliet. Includes a biography of the group, audio and video clips, tour dates, press clippings, and current news", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series/Cast_and_Crew", "url": "http://www.psychokey.com/"} +{"d:Title": "Degrassi Fantasy RPG Message Boards", "d:Description": "Forum for players. Topic threads include characters, avatars, and classes.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series/Degrassi_-_The_Next_Generation", "url": "http://s8.invisionfree.com/Degrassi_Fantasy/index.php"} +{"d:Title": "View Askew Productions: The Kevin Smith/DeGrassi Press Conference", "d:Description": "Offers video clips of this October 20, 2004, conference about the actor/director's guest appearances on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series/Degrassi_-_The_Next_Generation", "url": "http://www.viewaskew.com/tv/degrassi/"} +{"d:Title": "Degrassi: The Next Generation Episode Guide", "d:Description": "Critiques episodes from all seasons.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series/Degrassi_-_The_Next_Generation", "url": "http://www.tv.com/shows/degrassi-the-next-generation/"} +{"d:Title": "The Degrassi Junior High Gallery", "d:Description": "Screen captures from the show.", "topic": "Top/Arts/Television/Programs/Dramas/Degrassi_Series/Degrassi_Junior_High", "url": "http://www.ycdtotv.de/djh/"} +{"d:Title": "IMDB - Desperate Housewives", "d:Description": "Information about the cast and crew, memorable quotes, show \"goofs,\" filming locations, episode list, trivia, a poll and quiz, photo gallery, viewer comments, news articles, and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://www.imdb.com/title/tt0410975/"} +{"d:Title": "Desperate For Desperate Housewives", "d:Description": "Spoilers, episode guide, speculation, and news.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://desperate-housewives.blogspot.com/"} +{"d:Title": "Desperate Housewives", "d:Description": "Video clips of episodes, season one episode descriptions, news articles, pictures, and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://www.desperate.tv/"} +{"d:Title": "Desperate Housewives", "d:Description": "News about the actors, episode summaries, quotes, pictures and video, and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://desperate.housewives.free.fr/en/"} +{"d:Title": "Quizzes - Desperate Housewives", "d:Description": "Trivia, questions, and quizzes about the show.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://www.funtrivia.com/quizzes/television/television_d-g/desperate_housewives.html"} +{"d:Title": "Desperate Housewives Meet-Up", "d:Description": "Meet-up with other local fans to talk about the show, or start a meet-up group in your area.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://desphousewives.meetup.com/"} +{"d:Title": "About.com - Desperate Housewives", "d:Description": "Articles about the actors and show and spoilers.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://tvdramas.about.com/od/desperatehousewives/"} +{"d:Title": "Television Without Pity - Desperate Housewives", "d:Description": "Recaps of every episode, show FAQs, and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://www.televisionwithoutpity.com/show/desperate-housewives/"} +{"d:Title": "Bringing Up Baby", "d:Description": "Article about the child characters on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://www.ew.com/ew/article/0,,1019949,00.html"} +{"d:Title": "TV.com - Desperate Housewives", "d:Description": "Background information on the show, next episode preview, community reviews, cast background information, articles about the show, pictures, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives", "url": "http://www.tv.com/shows/desperate-housewives/"} +{"d:Title": "Coffeerooms - Desperate Housewives", "d:Description": "Message board for discussion of general show topics.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives/Chats_and_Forums", "url": "http://www.coffeerooms.com/forums/tv/desperate_housewives/"} +{"d:Title": "SitcomsOnline - Desperate Housewives", "d:Description": "Message board for fan discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives/Chats_and_Forums", "url": "http://www.sitcomsonline.com/boards/forumdisplay.php?s=&forumid=548"} +{"d:Title": "Yahoo Group - Desperate Housewives", "d:Description": "Mailing list for show discussion. Must be a member to participate.", "topic": "Top/Arts/Television/Programs/Dramas/Desperate_Housewives/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/Desperate_Housewives_Show/"} +{"d:Title": "Diagnosis Murder.co.uk", "d:Description": "Episode guides, fan fiction, images, cast information, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Diagnosis_Murder", "url": "http://www.diagnosismurder.co.uk/"} +{"d:Title": "IMDb: \"Diagnosis Murder\" (1993)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Diagnosis_Murder", "url": "http://www.imdb.com/title/tt0105986/"} +{"d:Title": "DiAl Dm FoR DiAgNoSis MuRdEr", "d:Description": "Pictures, cast information, and reviews of books based on the series.", "topic": "Top/Arts/Television/Programs/Dramas/Diagnosis_Murder", "url": "http://charliesondm.tripod.com/index.html"} +{"d:Title": "TV.com: Diagnosis Murder", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Diagnosis_Murder", "url": "http://www.tv.com/shows/diagnosis-murder/"} +{"d:Title": "IMDb: Dirt", "d:Description": "Show synopsis, user comments, cast biographies, crew details, production information, and photograph gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Dirt", "url": "http://www.imdb.com/title/tt0496275/"} +{"d:Title": "IMDb: Dirty Sexy Money", "d:Description": "Show synopsis, cast details, production information, episode list, photograph gallery, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Dirty_Sexy_Money", "url": "http://imdb.com/title/tt0960136/"} +{"d:Title": "New York Times: Two Scheming Families, Both With Outsiders Rising", "d:Description": "Alessandra Stanley cites the show's strong cast in her positive review.", "topic": "Top/Arts/Television/Programs/Dramas/Dirty_Sexy_Money", "url": "http://www.nytimes.com/2007/09/25/arts/television/25cane.html"} +{"d:Title": "TKtv: Ally McBeal", "d:Description": "Episode summaries, cast and guest star information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://allymcbeal.tktv.net/"} +{"d:Title": "Coffeerooms: Ally McBeal", "d:Description": "Bulletin board, episode summaries, and cast lists.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://www.w3pg.com/ally/index.html"} +{"d:Title": "Arthur Tham's Ally McBeal Site", "d:Description": "Fan fiction, episode guides, news, and humor.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://dekland.tripod.com/allymcbeal/"} +{"d:Title": "Ally McBeal Interviews", "d:Description": "Clips of interviews with Vonda Sheppard, Gil Bellows, and Peter MacNichol in Real Audio.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://www.pmpnetwork.com/ally_mcbeal/"} +{"d:Title": "Meg's Ally McBeal Page", "d:Description": "Episode and character guides, cast biographies, news, gossip, and event listings.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://meg_an.tripod.com/Ally_McBeal/index.html"} +{"d:Title": "Tabibito's Ally", "d:Description": "Provides information on all Japanese dubbing artists that replaced the voices in the Japanese version.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://tabibito_lifefarer.tripod.com/index.htm"} +{"d:Title": "IMDb: Ally McBeal", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://imdb.com/title/tt0118254/"} +{"d:Title": "Television Without Pity: Ally McBeal", "d:Description": "Humorous episode recaps.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ally_McBeal", "url": "http://www.televisionwithoutpity.com/show/ally-mcbeal/"} +{"d:Title": "IMDb: Beggars and Choosers", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Beggars_and_Choosers", "url": "http://imdb.com/title/tt0199356/"} +{"d:Title": "IMDb: Brooklyn Bridge", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Brooklyn_Bridge", "url": "http://imdb.com/title/tt0101055/"} +{"d:Title": "IMDb: The Chris Isaak Show", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Chris_Isaak_Show,_The", "url": "http://www.imdb.com/title/tt0268789/"} +{"d:Title": "TV.com: The Chris Isaak Show", "d:Description": "Episode and cast guide.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Chris_Isaak_Show,_The", "url": "http://www.tv.com/shows/the-chris-isaak-show/"} +{"d:Title": "Rob Thomas - Author, Musician, Screenwriter", "d:Description": "Three unaired Cupid scripts.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Cupid", "url": "http://www.robthomasproductions.com/"} +{"d:Title": "Lola's Cupid Website", "d:Description": "Episode guide and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Cupid", "url": "http://members.tripod.com/LolaG/cupid.html"} +{"d:Title": "Yahoo's Cupid Lovers Club", "d:Description": "A Yahoo club devoted to the show. Includes a message board and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Cupid", "url": "http://groups.yahoo.com/group/cupidlovers/"} +{"d:Title": "IMDb: \"Cupid\" (1998)", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Cupid", "url": "http://www.imdb.com/title/tt0168326/"} +{"d:Title": "Katie's due South Archive", "d:Description": "Archive of sounds, pictures, and articles.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Due_South", "url": "http://www.angelfire.com/mi2/marymary/"} +{"d:Title": "BBC Online - Due South", "d:Description": "Guide to episodes on the BBC.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Due_South", "url": "http://www.bbc.co.uk/cult/duesouth/"} +{"d:Title": "The Due South Asylum", "d:Description": "Fan page with character information, gallery, transcripts and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Due_South", "url": "http://duesouth.tvheaven.com/"} +{"d:Title": "PaulGross.org Due South Page", "d:Description": "Retrospective of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Due_South", "url": "http://www.paulgross.org/ds1.htm"} +{"d:Title": "Belinda's Due South Page", "d:Description": "Information on the women in Benton's life, plus a downloadable video cover.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Due_South", "url": "http://members.ozemail.com.au/~blinda/belsds.htm"} +{"d:Title": "Due South Fiction Archive", "d:Description": "Stories arranged by title, with content ratings.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Due_South/Fan_Fiction", "url": "http://members.tripod.com/~fanfiction/duesouth.html"} +{"d:Title": "DIEF Mailing List", "d:Description": "Stories have from G to R ratings.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Due_South/Fan_Fiction", "url": "http://groups.yahoo.com/group/DIEF/"} +{"d:Title": "PopMatters: Ed", "d:Description": "Analysis of the show's premise and characters.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ed", "url": "http://popmatters.com/tv/reviews/e/ed.html"} +{"d:Title": "Yahoo Groups: Ed", "d:Description": "Mailing list for the NBC show.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ed", "url": "http://groups.yahoo.com/group/ED-NBC"} +{"d:Title": "IMDb: Ed", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ed", "url": "http://www.imdb.com/title/tt0247091/"} +{"d:Title": "TV.com: Ed", "d:Description": "Includes plot summary, episode guide, and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ed", "url": "http://www.tv.com/shows/ed/"} +{"d:Title": "Eight is Enough", "d:Description": "Episode guide, message board, mailing list, cast biographies, and history of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Eight_Is_Enough", "url": "http://www.eightisenough.com/"} +{"d:Title": "The Eight Is Enough Page", "d:Description": "Picture galleries and links to cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Eight_Is_Enough", "url": "http://www.becoming.net/eie/index.html"} +{"d:Title": "IMDb: Eight Is Enough", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Eight_Is_Enough", "url": "http://www.imdb.com/title/tt0075500/"} +{"d:Title": "TV.com: Eight is Enough", "d:Description": "Episode guide with summaries and original airdates, as well as cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Eight_Is_Enough", "url": "http://www.tv.com/shows/eight-is-enough/"} +{"d:Title": "The Flying Nun", "d:Description": "Cast member and episode information. Includes the name of all episodes and the dates they were originally shown on television.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Flying_Nun,_The", "url": "http://www.crazyabouttv.com/flyingnun.html"} +{"d:Title": "IMDb: The Flying Nun", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Flying_Nun,_The", "url": "http://www.imdb.com/title/tt0061252/"} +{"d:Title": "Freaks and Geeks: A Fan Page", "d:Description": "Free e-mail, news, cast, discussion list, images, sounds, episode guide, quotes, poll and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks", "url": "http://www.angelfire.com/mi2/freakygeek/FGmain.html"} +{"d:Title": "Freaks and Geeks", "d:Description": "Pictures and cast biographies.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks", "url": "http://freaksandgeeks.8m.com/"} +{"d:Title": "McKinley High", "d:Description": "Cast profiles, message board, episode guide, photos, fan club, news highlights and survey. Also a petition to save the show.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks", "url": "http://www.gbdesigns.com/freaksandgeeks/"} +{"d:Title": "Freaks&Geeks", "d:Description": "Fan site providing show, cast, and episode information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks", "url": "http://www.members.tripod.com/michele840/"} +{"d:Title": "Onelist: Freaks and Geeks", "d:Description": "Mailing list for discussion of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks", "url": "http://groups.yahoo.com/group/freaksNgeeks/"} +{"d:Title": "Sights and Bytes", "d:Description": "Freaks and Geeks sounds, music, and image gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks", "url": "http://www.angelfire.com/geek/freaksandgeeksrules/"} +{"d:Title": "IMDB: Freaks and Geeks", "d:Description": "Synopsis, cast overview, message board and user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks", "url": "http://www.imdb.com/title/tt0193676/"} +{"d:Title": "PopMatters: Freaks And Geeks", "d:Description": "\"Repeated exposure breeds a deep appreciation for its low-key charm.\" By Fred Kovey.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks/Articles_and_Interviews", "url": "http://popmatters.com/tv/reviews/f/freaks-and-geeks.html"} +{"d:Title": "Tuned In: Freaks and Geeks Needs Vocal Viewer Support", "d:Description": "\"The best new show of the season is in danger of cancellation. It's time to mobilize.\" By Rob Owen.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Freaks_and_Geeks/Articles_and_Interviews", "url": "http://post-gazette.com/tv/19991104owen.asp"} +{"d:Title": "IMDb: Girlfriends", "d:Description": "Show overview, cast biographies, user reviews, episode list, photograph gallery, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Girlfriends", "url": "http://imdb.com/title/tt0247102/"} +{"d:Title": "Fox Broadcasting Company: Glee", "d:Description": "Official site features an overview of the show, streaming episodes, videos, pictures, forums, music list, recaps and cast profiles.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Glee", "url": "http://www.fox.com/glee/"} +{"d:Title": "Internet Movie Database: \"Glee\" (2009)", "d:Description": "Cast and crew credits, episode guide, trivia, quotes, pictures and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Glee", "url": "http://www.imdb.com/title/tt1327801/"} +{"d:Title": "Glee Video HQ", "d:Description": "Collection of videos related to the show.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Glee", "url": "http://glee.videohq.tv/"} +{"d:Title": "Glee Forum", "d:Description": "Discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Glee", "url": "http://www.gleeforum.com/"} +{"d:Title": "Video Vault - The Goldbergs", "d:Description": "Essay, photographs, cast, production information, audio and video clips.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Goldbergs,_The", "url": "http://www.tvparty.com/vaultgold.html"} +{"d:Title": "Classic Themes - The Goldbergs", "d:Description": "Authorship, copyright, and publication data on the program's theme song.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Goldbergs,_The", "url": "http://www.classicthemes.com/50sTVThemes/themePages/goldbergs.html"} +{"d:Title": "IMDb: The Goldbergs", "d:Description": "Cast, crew, production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Goldbergs,_The", "url": "http://www.imdb.com/title/tt0041027/"} +{"d:Title": "IMDb: The Job", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Job,_The", "url": "http://www.imdb.com/title/tt0272980/"} +{"d:Title": "IMDb: Love Boat, The", "d:Description": "Cast, crew, and production information, as well as viewer comment.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Love_Boat,_The", "url": "http://www.imdb.com/title/tt0075529/"} +{"d:Title": "IMDb: The Love Boat: The Next Wave", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Love_Boat_-_The_Next_Wave,_The", "url": "http://imdb.com/title/tt0143050/"} +{"d:Title": "IMDb: Love Monkey", "d:Description": "Cast biographies, user reviews, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Love_Monkey", "url": "http://www.imdb.com/title/tt0457046/"} +{"d:Title": "David and Maddie: Moonlighting Fan Site", "d:Description": "Fan site with photo galleries, video clips, character analysis, fan contributions, cover gallery, episode guide, critical studies, ad gallery, and cast interviews.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://www.davidandmaddie.com/"} +{"d:Title": "Bring Moonlighting to DVD Campaign", "d:Description": "Fan campaign to bring TV series to DVD. Sample letters, online petition, banners for sites, and press releases featured here.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://www.moonlightingdvd.com/"} +{"d:Title": "Fannesite: Moonlighting", "d:Description": "Page of information about the series with links and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://www.neloo.com/fannesite/moon1.html"} +{"d:Title": "Tara's Moonlighting Page", "d:Description": "Information on the 80's TV show with pictures, links, news, and merchandise.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://members.tripod.com/80stuff/"} +{"d:Title": "Maddie Hayes and David Addison", "d:Description": "Thrilling Detective site's page about the Moonlighting duo.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://www.thrillingdetective.com/eyes/moonlighting.html"} +{"d:Title": "Moonlighting: From Wikipedia", "d:Description": "Recounts the history, genre, and innovations of the series.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://en.wikipedia.org/wiki/Moonlighting_(TV_series)"} +{"d:Title": "'Moonlighting': Mad About Maddie and David", "d:Description": "May 31, 2005 look back at Moonlighting and a review of the DVD Seasons 1 and 2 set.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2005/05/27/AR2005052700612.html"} +{"d:Title": "IMDb: Moonlighting", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://imdb.com/title/tt0088571/"} +{"d:Title": "Virtual Moonlighting", "d:Description": "Virtual episodes that continue the series along with information about the authors.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://members.tripod.com/sarahk15/"} +{"d:Title": "Moonlighting Shrine", "d:Description": "Episode guide, movie clips, and picture gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Moonlighting", "url": "http://tvshrine.com/moonlighting.htm"} +{"d:Title": "The Northern Exposure Scrapbook", "d:Description": "Offers information and pictures about the show. Information about the package being sent around the world by, and to, Northern Exposure fans for their contributions.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Northern_Exposure", "url": "http://www.moosebook.com/"} +{"d:Title": "Official Moosefest Web Site", "d:Description": "Official site for the annual festival for fans of Northern Exposure. Includes information on festival events, registration, lodging, and directions to the site.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Northern_Exposure", "url": "http://www.moosefest.org/"} +{"d:Title": "Roslyn/Cicely - 1992 Photographs", "d:Description": "Pictures from the town in Washington, where the series was filmed.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Northern_Exposure", "url": "http://www.curtcass.com/roslyn/"} +{"d:Title": "Moosechick's Notes - Northern Exposure Archives", "d:Description": "Cast, crew, and episode guides, news articles, fan gatherings, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Northern_Exposure", "url": "http://www.moosechick.com/"} +{"d:Title": "IMDb: Northern Exposure", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Northern_Exposure", "url": "http://imdb.com/title/tt0098878/"} +{"d:Title": "The Opposite Sex Fan Page", "d:Description": "Message board for discussion of episodes and characters.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Opposite_Sex", "url": "http://oppositesex.suddenlaunch2.com/"} +{"d:Title": "IMDb: Opposite Sex", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Opposite_Sex", "url": "http://www.imdb.com/title/tt0202513/"} +{"d:Title": "IMDb: Picket Fences", "d:Description": "Includes plot summary, user comments, and cast overview.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Picket_Fences", "url": "http://www.imdb.com/title/tt0103512/"} +{"d:Title": "The Novak: A Popular Website", "d:Description": "Pictures, biographies, articles, chat room, news, and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Popular", "url": "http://www.angelfire.com/tv/populartv/"} +{"d:Title": "PopMatters: Popular", "d:Description": "Review of the second season premiere.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Popular", "url": "http://popmatters.com/tv/reviews/p/popular.html"} +{"d:Title": "IMDb: Popular", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Popular", "url": "http://www.imdb.com/title/tt0202748/"} +{"d:Title": "The Internet Movie Database: \"Pushing Daisies\" (2007)", "d:Description": "Information on the show, including cast and crew listings, episodes, pictures and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Pushing_Daisies", "url": "http://www.imdb.com/title/tt0925266/"} +{"d:Title": "Pushing Daisies Insider", "d:Description": "Fan site with news, episode guides, pictures, quotes, forums and videos.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Pushing_Daisies", "url": "http://www.pushingdaisiesinsider.com/"} +{"d:Title": "IMDb: Radio Free Roscoe", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Radio_Free_Roscoe", "url": "http://www.imdb.com/title/tt0377248/"} +{"d:Title": "Emma's Wonderful World of WENN", "d:Description": "Episode and character guide, Scott and Betty images, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Remember_WENN", "url": "http://www.angelfire.com/nj/homewenn/"} +{"d:Title": "Rodney Walker's WENN Page", "d:Description": "Sounds, episode guide, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Remember_WENN", "url": "http://rabat.liquidweb.com/"} +{"d:Title": "IMDb: Remember WENN", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Remember_WENN", "url": "http://imdb.com/title/tt0115333/"} +{"d:Title": "Laura's Little Remember WENN Page", "d:Description": "Images, quotes, fan fiction, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Remember_WENN", "url": "http://rememberwenn.tripod.com/"} +{"d:Title": "Mouse's House", "d:Description": "Stories based on the series, as well as original fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Remember_WENN/Fan_Fiction", "url": "http://www.angelfire.com/my/mousehouse/index.html"} +{"d:Title": "Remember WENN", "d:Description": "Collected stories by Rina.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Remember_WENN/Fan_Fiction", "url": "http://mrs.spooky.tripod.com/wenn.html"} +{"d:Title": "IMDb: Rude Awakening", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Rude_Awakening", "url": "http://www.imdb.com/title/tt0165057/"} +{"d:Title": "Wikipedia: Ugly Betty", "d:Description": "Show overview, cast information, honors, ratings, trivia, and links to reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ugly_Betty", "url": "http://en.wikipedia.org/wiki/Ugly_Betty"} +{"d:Title": "IMDb: Ugly Betty", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ugly_Betty", "url": "http://www.imdb.com/title/tt0805669/"} +{"d:Title": "Washington Post: Look Homely, Angel", "d:Description": "Show review by staff writer Tom Shales.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ugly_Betty", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2006/09/27/AR2006092702094.html"} +{"d:Title": "SF Chronicle: Ugly Betty", "d:Description": "Show review by Tim Goodman.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ugly_Betty", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2006/09/27/DDG0CLCGAL1.DTL&type=tvradio"} +{"d:Title": "Channel4 - Ugly Betty", "d:Description": "Official UK Channel 4 Ugly Betty site. Information on characters, cast and episodes. Includes media gallery.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ugly_Betty", "url": "http://www.channel4.com/programmes/ugly-betty"} +{"d:Title": "Sisters", "d:Description": "A Betty/Hilda Fanlisting with codes and avatars.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Ugly_Betty/Fanlistings", "url": "http://bettyhilda.one-more-night.net/"} +{"d:Title": "Modern Humorist: The Freakiest Geek", "d:Description": "Interview with Judd Apatow about Undeclared and Freaks and Geeks.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Undeclared", "url": "http://www.modernhumorist.com/mh/0108/apatow/"} +{"d:Title": "IMDb: Undeclared", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Undeclared", "url": "http://imdb.com/title/tt0273028/"} +{"d:Title": "Television Without Pity: Undeclared", "d:Description": "Humorous episodes guides and interviews with cast and crew members.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Undeclared", "url": "http://www.televisionwithoutpity.com/show/undeclared/"} +{"d:Title": "TV.com: Undeclared", "d:Description": "Episode guide and cast list.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Undeclared", "url": "http://www.tv.com/shows/undeclared/"} +{"d:Title": "IMDb: Wonderfalls", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Wonderfalls", "url": "http://www.imdb.com/title/tt0361256/"} +{"d:Title": "Television Without Pity: Wonderfalls", "d:Description": "Recaps of the first four episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Wonderfalls", "url": "http://www.televisionwithoutpity.com/show/wonderfalls/"} +{"d:Title": "TV.com: Wonderfalls", "d:Description": "Episode guide, along with cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Dramedies/Wonderfalls", "url": "http://www.tv.com/shows/wonderfalls/"} +{"d:Title": "IMDb: E-Ring", "d:Description": "Includes cast, crew, and production information, as well as user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/E-Ring", "url": "http://imdb.com/title/tt0460084/"} +{"d:Title": "USA Today: E-Ring", "d:Description": "Show overview and review.", "topic": "Top/Arts/Television/Programs/Dramas/E-Ring", "url": "http://www.usatoday.com/life/television/reviews/2005-09-20-e-ring_x.htm"} +{"d:Title": "Washington Post: E-Ring", "d:Description": "Show synopsis and review.", "topic": "Top/Arts/Television/Programs/Dramas/E-Ring", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2005/09/20/AR2005092001866.html"} +{"d:Title": "Wikipedia: E-Ring", "d:Description": "Show synopsis and cast bios.", "topic": "Top/Arts/Television/Programs/Dramas/E-Ring", "url": "http://en.wikipedia.org/wiki/E-ring"} +{"d:Title": "Yahoo! Groups: E-Ring", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/E-Ring", "url": "http://tv.groups.yahoo.com/group/E_Ring/"} +{"d:Title": "EEAC - Early Edition Awareness Cause", "d:Description": "Episode ratings guide and EE wish list.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition", "url": "http://www.angelfire.com/ma/KJO/EEAC.html"} +{"d:Title": "Sybil's EE Site", "d:Description": "Ideas on how to save the show, cast biographies, show synopsis, and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition", "url": "http://www.angelfire.com/tv/earlyedition/"} +{"d:Title": "Vidiot's TV Shows: Early Edition", "d:Description": "Audio and video downloads.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition", "url": "http://www.vidiot.com/EarlyEdition/"} +{"d:Title": "Early Edition Keepers", "d:Description": "Submit a request to be Keeper of an Early Edition-related item. This is the original Early Edition Keepers site.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition", "url": "http://earlydues.usanethosting.com/eekeepers/index.htm"} +{"d:Title": "EarlyDues' Early Edition", "d:Description": "F.A.Q., episode guide, airing schedules, message board, trivia, images, transcripts, news, addresses. [Requires frames]", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition", "url": "http://earlydues.usanethosting.com/ee/index.htm"} +{"d:Title": "IMDb: \"Early Edition\" (1996)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition", "url": "http://www.imdb.com/title/tt0115163/"} +{"d:Title": "Early Edition Lovers Mail List", "d:Description": "E-mail discussion group that has been in existence since the show began - serious discussion about the show, its actors, writers, and staff is emphasized.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/earlyedition/"} +{"d:Title": "Tales From the Tavern: Early Edition Fan Fiction Archive", "d:Description": "Archive of all fan fiction sent through the Early Edition Fan Fiction mailing list.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition/Fan_Fiction", "url": "http://www.loony-archivist.com/eefanfic/"} +{"d:Title": "GTA Early Edition Fan Fic", "d:Description": "Archive of Early Edition fan fiction from the GTA.", "topic": "Top/Arts/Television/Programs/Dramas/Early_Edition/Fan_Fiction", "url": "http://earlydues.usanethosting.com/gta/index.html"} +{"d:Title": "On The Edge: Edgemont", "d:Description": "Fan site for the series offers episode summaries, cast biographies and a download of the theme song.", "topic": "Top/Arts/Television/Programs/Dramas/Edgemont", "url": "http://marieliz2004.tripod.com/OnTheEdgeEdgemont/"} +{"d:Title": "Yahoo! Group \"edgemont101\"", "d:Description": "Offers a forum for discussion for fans of Edgemont. [Free registration requested.]", "topic": "Top/Arts/Television/Programs/Dramas/Edgemont", "url": "http://groups.yahoo.com/group/edgemont101/"} +{"d:Title": "IMDb: Edgemont", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Edgemont", "url": "http://www.imdb.com/title/tt0272379/"} +{"d:Title": "Emergencyfans.com", "d:Description": "Episode, cast and character information, FAQs, images, audio clips, blooper video clips, message board, and syndication schedules.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://www.emergencyfans.com/"} +{"d:Title": "Jasmine's Emergency! Web Site", "d:Description": "Images, episode summaries, character guides, and journals written from the point of view of the characters.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://www.angelfire.com/tv2/emergencyweb/home.html"} +{"d:Title": "Emergency Tribute", "d:Description": "Show summary, and images of characters and vehicles.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://emergency51.freeservers.com/"} +{"d:Title": "Wojo's Page", "d:Description": "Character and mascot biographies with audio clips, Randolph Mantooth information, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://members.tripod.com/~wojospage/"} +{"d:Title": "Emergency! Perilous Parodies", "d:Description": "Humorous songs, games and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://www.angelfire.com/tv/squad51/"} +{"d:Title": "GuilderNet Emergency! Page", "d:Description": "Information of the plot, cast, and collectables.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://www.guildernet.com/emergency/index.html"} +{"d:Title": "Craig's Emergency! Fan Forum", "d:Description": "Message board for general show discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://www.voy.com/12191/"} +{"d:Title": "IMDb: Emergency!", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://imdb.com/title/tt0068067/"} +{"d:Title": "Area 51: Where the Paramedics Meet the Paranormal", "d:Description": "Humor site featuring images of Emergency characters involved in supernatural situations.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://www.angelfire.com/mt/flytech/Area51.html"} +{"d:Title": "Emergency! Shrine", "d:Description": "Images, audio clips, and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency", "url": "http://tvshrine.com/E!.htm"} +{"d:Title": "Emergency Theater Live", "d:Description": "Virtual season episodes, as well as photos and sounds. Story submissions accepted.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency/Fan_Fiction", "url": "http://voyagerliveaction.com/emergency.html"} +{"d:Title": "Audrey's Emergency! Fan Fiction", "d:Description": "Fan fiction grouped by author and character, includes holiday stories and challenges.", "topic": "Top/Arts/Television/Programs/Dramas/Emergency/Fan_Fiction", "url": "http://audreys-efanfic.freeservers.com/"} +{"d:Title": "Yahoo! Groups: Emily Of New Moon", "d:Description": "Discussion group for fans of the series and the books. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Emily_of_New_Moon", "url": "http://groups.yahoo.com/group/EmilyOfNewMoon/"} +{"d:Title": "IMDb: Emily of New Moon", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Emily_of_New_Moon", "url": "http://www.imdb.com/title/tt0135727/"} +{"d:Title": "Thrilling Detective: Robert McCall", "d:Description": "Information of the character, and how he fits into the detective genre.", "topic": "Top/Arts/Television/Programs/Dramas/Equalizer,_The", "url": "http://www.thrillingdetective.com/eyes/equalizer.html"} +{"d:Title": "The Equalizer Fan Fiction Page", "d:Description": "General fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Equalizer,_The", "url": "http://www.blueskydancers.com/"} +{"d:Title": "IMDb: \"Equalizer, The\" (1985)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Equalizer,_The", "url": "http://www.imdb.com/title/tt0088513/"} +{"d:Title": "TV.com: The Equalizer", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Equalizer,_The", "url": "http://www.tv.com/shows/the-equalizer/"} +{"d:Title": "Everwood Online", "d:Description": "Community site with image gallery and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Everwood", "url": "http://www.everwoodonline.com/"} +{"d:Title": "Everwood Online", "d:Description": "Cast and episode information, photo gallery, spoilers and forums.", "topic": "Top/Arts/Television/Programs/Dramas/Everwood", "url": "http://www.fanbolt.com/everwood/"} +{"d:Title": "IMDb: Everwood", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Everwood", "url": "http://www.imdb.com/title/tt0318883/"} +{"d:Title": "TV.com: Everwood", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Everwood", "url": "http://www.tv.com/shows/everwood/"} +{"d:Title": "Slate: Don't Fall for Falcone", "d:Description": "Review of the series before the premiere.", "topic": "Top/Arts/Television/Programs/Dramas/Falcone", "url": "http://slate.com/id/1004999/"} +{"d:Title": "IMDb: Falcone", "d:Description": "Cast, crew, and production information about the show.", "topic": "Top/Arts/Television/Programs/Dramas/Falcone", "url": "http://www.imdb.com/title/tt0233052/"} +{"d:Title": "TV.com: Falcone", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Falcone", "url": "http://www.tv.com/shows/falcone/"} +{"d:Title": "Saranno Famosi", "d:Description": "Song lyrics and samples, episode guide, video clips, images, Italian articles, and cast and character information. [English and Italian]", "topic": "Top/Arts/Television/Programs/Dramas/Fame", "url": "http://www.sarannofamosi.org/indexen.htm"} +{"d:Title": "IMdB: \"Fame\" (1982)", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Fame", "url": "http://imdb.com/title/tt0083412/"} +{"d:Title": "The Unofficial Fame Webpage", "d:Description": "Image galleries, cast information, episode guide, list of albums recorded by cast members, and song lyrics, as well as articles and interviews.", "topic": "Top/Arts/Television/Programs/Dramas/Fame", "url": "http://www.fameforever.com/"} +{"d:Title": "TV.com: Fame", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Fame", "url": "http://www.tv.com/shows/fame/"} +{"d:Title": "IMdB: \"Fame L.A.\" (1997)", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Fame_LA", "url": "http://imdb.com/title/tt0119101/"} +{"d:Title": "TV.com: Fame L.A.", "d:Description": "Episode guide, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Fame_LA", "url": "http://www.tv.com/shows/fame-la/"} +{"d:Title": "FelicityPage.com", "d:Description": "Actor and character information, episode guide, pictures, links, message board, and transcripts.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://www.felicitypage.com/"} +{"d:Title": "Noel's Room", "d:Description": "Picture gallery, spoilers, gossip, store, chat room, message board.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://www.angelfire.com/in/noelsroom/index.html"} +{"d:Title": "PopMatters: Felicity", "d:Description": "Review of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://popmatters.com/tv/reviews/f/felicity.html"} +{"d:Title": "Felicity: A Ben-Centric View", "d:Description": "Spoilers, episode recaps, pictures, and favorite moments focusing on Ben Covington.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://www.angelfire.com/ego/felicity/index.html"} +{"d:Title": "Yahoo! Groups: A Felicity Page Fan Club", "d:Description": "Post pictures, links, messages, and chat about Felicity.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://groups.yahoo.com/group/afelicitypagefanclub/"} +{"d:Title": "TKtv: Felicity", "d:Description": "News, articles, episode guides, fan fiction, and photos.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://felicity.tktv.net/"} +{"d:Title": "Juana's Felicity Fiction", "d:Description": "Fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://members.tripod.com/~juana37/"} +{"d:Title": "Save Julie: Campaign 2000", "d:Description": "Joke site about the character of Julie Emmerich.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://members.tripod.com/savejulie/savejulie/"} +{"d:Title": "Felicity Episode Summaries", "d:Description": "Detailed episode summaries.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://splendor.tvheaven.com/felicity/"} +{"d:Title": "Television Without Pity: Felicity", "d:Description": "Episode recaps and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Felicity", "url": "http://www.televisionwithoutpity.com/show/felicity/"} +{"d:Title": "Maxwell Limited: Finders of Lost Loves on the Web", "d:Description": "Episode guide, cast and character biographies, images, articles, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Finder_of_Lost_Loves", "url": "http://caronia.tvheaven.com/finder/"} +{"d:Title": "IMDb: Finder of Lost Loves", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Finder_of_Lost_Loves", "url": "http://www.imdb.com/title/tt0086712/"} +{"d:Title": "TV.com: Finder of Lost Loves", "d:Description": "Episode list with original airdates and summaries.", "topic": "Top/Arts/Television/Programs/Dramas/Finder_of_Lost_Loves", "url": "http://www.tv.com/shows/finder-of-lost-loves/"} +{"d:Title": "NBC: Friday Night Lights", "d:Description": "Official network site. Show summary, cast biographies, episode guide, video clips, photo gallery, downloads and forums.", "topic": "Top/Arts/Television/Programs/Dramas/Friday_Night_Lights", "url": "http://www.nbc.com/Friday_Night_Lights/"} +{"d:Title": "IMDb: Friday Night Lights", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Friday_Night_Lights", "url": "http://www.imdb.com/title/tt0758745/"} +{"d:Title": "IMDb: The Fugitive (1963)", "d:Description": "Cast, crew and production information from the Internet Movie Database.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://imdb.com/title/tt0056757/"} +{"d:Title": "Yahoo! Groups: The Fugitive Views and Reviews", "d:Description": "Email list for fan discussion and episode critiques, files section includes screen captures.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://tv.groups.yahoo.com/group/THE-FUGITIVE-VIEWS-AND-REVIEWS/"} +{"d:Title": "The David Janssen Archive: The Fugitive", "d:Description": "Series details and episode guide from the David Janssen Archive.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.davidjanssen.net/EpGuide_TheFugitive.htm"} +{"d:Title": "Justice for Johnson", "d:Description": "Tongue in cheek plea to exonerate the one-armed man.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.emailman.com/video/fugitive/justiceforjohnson.html"} +{"d:Title": "Richard Kimble The Fugitive", "d:Description": "Tribute to the series year by year. Also includes a section on \"the women in Richard Kimble's life.\"", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.richardkimblethefugitive.com/"} +{"d:Title": "DVD Movie Guide: The Fugitive", "d:Description": "Episode reviews by Colin Jacobson.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.dvdmg.com/fugitives1v1.shtml"} +{"d:Title": "Homepage of the Hunted", "d:Description": "Episode guides, Richard Kimble's identities, locations, jobs, and various ailments and injuries endured by the character over the course of the series.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.unchance.net/Fugitive/"} +{"d:Title": "Fugitive, David Janssen", "d:Description": "Synopsis and cast listings.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.fiftiesweb.com/tv/fugitive.htm"} +{"d:Title": "The Fugitive: The Fourth and Final Season, Volume Two", "d:Description": "Review of the DVD release of final half season of episodes, by Paul Mavis.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.dvdtalk.com/reviews/47125/fugitive-fourth-final-season-2/"} +{"d:Title": "DVDbeaver: The Fugitive", "d:Description": "Series synopsis and black&white photographs.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.dvdbeaver.com/film2/DVDReviews32/the_fugitive_tv_series.htm"} +{"d:Title": "Archive of American Television: The Fugitive", "d:Description": "Interviews with Roy Huggins, Alan Armer and others.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.emmytvlegends.org/interviews/shows/fugitive-the"} +{"d:Title": "Museum of Broadcast Communications: The Fugitive", "d:Description": "Summary and further reading on \"longest chase sequence in television history.\"", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.museum.tv/eotv/fugitivethe.htm"} +{"d:Title": "TV.com: The Fugitive (1963)", "d:Description": "Episode guides, cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_1963", "url": "http://www.tv.com/shows/the-fugitive-1963/"} +{"d:Title": "PopMatters: The Fugitive", "d:Description": "Review of the CBS revival of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_2000", "url": "http://popmatters.com/tv/reviews/f/fugitive.html"} +{"d:Title": "IMDb: \"The Fugitive\" (2000)", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_2000", "url": "http://www.imdb.com/title/tt0247097/"} +{"d:Title": "TV.com: The Fugitive", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Fugitive,_The_-_2000", "url": "http://www.tv.com/shows/fugitive/"} +{"d:Title": "Megan's Fx Page", "d:Description": "Fan fiction, polls, links, quotes and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/FX_-_The_Series", "url": "http://www.angelfire.com/in/MIrvin/fxpage.html"} +{"d:Title": "FX: The Web Site", "d:Description": "Episode summaries, set floor plan, fan fiction, images, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/FX_-_The_Series", "url": "http://www.fxthewebsite.com/index.html"} +{"d:Title": "Tyler FX 256 Brewery Lane", "d:Description": "Fan fiction with brief summaries.", "topic": "Top/Arts/Television/Programs/Dramas/FX_-_The_Series", "url": "http://www.fichound.com/tylerfx/index.html"} +{"d:Title": "Andrea's Get Real Page", "d:Description": "Cast and episode guide, mailing list, and screen captures.", "topic": "Top/Arts/Television/Programs/Dramas/Get_Real", "url": "http://getrealtribute.tripod.com/"} +{"d:Title": "Television Without Pity: Get Real", "d:Description": "Episode recaps and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Get_Real", "url": "http://www.televisionwithoutpity.com/show/get-real/"} +{"d:Title": "GilmoreGirls.org", "d:Description": "News, cast and character listing, episode guide, show spoilers, photographs and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.gilmoregirls.org/"} +{"d:Title": "Stars Hollow", "d:Description": "Information and images.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://gilmore_girls77.tripod.com/GilmoreGirlsSite/"} +{"d:Title": "Totally Gilmore", "d:Description": "Character profiles, games, images, quotes, and lists of literary and film references, bloopers and songs.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.angelfire.com/tv2/totallygilmore/"} +{"d:Title": "Television Without Pity: Gilmore Girls", "d:Description": "Episode analyses, FAQs and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.televisionwithoutpity.com/show/gilmore-girls/"} +{"d:Title": "The Official Narcoleptic Site", "d:Description": "Rory-Dean fan site features news, dictionary, \"Why We Love Them\" list, key scenes, pictures, and fan fiction and art.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.members.tripod.com/dramaqueen174/"} +{"d:Title": "Crazy-Internet-People: Gilmore Girls", "d:Description": "Cast profiles and news, along with pictures, video clips, promos, episode guide, reviews and details, and music list.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.crazy-internet-people.com/site/gilmoregirls/"} +{"d:Title": "IMDb: Gilmore Girls", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.imdb.com/title/tt0238784/"} +{"d:Title": "WB: Gilmore Girls", "d:Description": "Free streaming video of a rotating selection of episodes, along with a message board, image gallery and downloads.", "priority": "1", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.warnerbros.com/tv/gilmore-girls-season-1"} +{"d:Title": "Warner Video: Gilmore Girls DVD", "d:Description": "Information on all seven seasons, including pictures, video clips, downloadable wallpaper and icons, e-cards and guides to pop-culture references within the show.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls", "url": "http://www.wbshop.com/product/gilmore+girls%26trade--+the+complete+first+season+(repackage)(dvd)+1000045161.do"} +{"d:Title": "Gilmore Land", "d:Description": "Board for show and off-topic discussions.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls/Chats_and_Forums", "url": "http://gilmoreland.proboards.com/"} +{"d:Title": "Gilmore Girls", "d:Description": "Ring for sites related to the series, including show, cast, fan fiction, pairing and gallery fansites.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls/Directories", "url": "http://www.webring.org/hub?ring=gilmoregirls"} +{"d:Title": "Gilmore Girls Improv", "d:Description": "Yahoo group in which members are challenged to post works based on a theme or set of words.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls/Fan_Fiction", "url": "http://tv.groups.yahoo.com/group/gilmoregirlsimprov/"} +{"d:Title": "The Chilton Library", "d:Description": "Archive with stories sorted by title and author, as well as challenges, list of books mentioned on the show and information on the GGfanfic mailing list.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls/Fan_Fiction", "url": "http://smithereen.net/chilton/"} +{"d:Title": "Literati: Perfection", "d:Description": "Fan fiction site with art and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls/Fan_Fiction", "url": "http://www.angelfire.com/al4/alely7/"} +{"d:Title": "Secret Desire", "d:Description": "Luke-Lorelai fan fiction sorted by author.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls/Fan_Fiction", "url": "http://secretdesirell.tripod.com/"} +{"d:Title": "Fan Fiction.net: Gilmore Girls", "d:Description": "Listing of stories with ratings and user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Gilmore_Girls/Fan_Fiction", "url": "http://www.fanfiction.net/tv/Gilmore-Girls/"} +{"d:Title": "IMDb: Glory Days", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Glory_Days", "url": "http://www.imdb.com/title/tt0281445/"} +{"d:Title": "IMDb: Gossip Girl", "d:Description": "Show summary, cast information, photograph gallery, video clips, user reviews, episode list, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Gossip_Girl", "url": "http://www.imdb.com/title/tt0397442/"} +{"d:Title": "USA Today: Juicy 'Gossip' is Rich with Delusion", "d:Description": "Critic Robert Bianco thinks that a likable cast and veteran producer add up to a teen soap opera hit.", "topic": "Top/Arts/Television/Programs/Dramas/Gossip_Girl", "url": "http://www.usatoday.com/life/television/reviews/2007-09-18-gossip-girl_N.htm"} +{"d:Title": "IMDb: Greek", "d:Description": "Show synopsis, cast details, user reviews, episode list, message board, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Greek", "url": "http://www.imdb.com/title/tt0976014/"} +{"d:Title": "Nick&Lulu Wonderland", "d:Description": "Screen captures, fan fiction, episode summaries, and articles.", "topic": "Top/Arts/Television/Programs/Dramas/Guardian,_The", "url": "http://nick_lulu.tripod.com/"} +{"d:Title": "The Guardian: Nick and Lulu", "d:Description": "Message board for fans of the couple. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Guardian,_The", "url": "http://groups.yahoo.com/group/TheGuardian_NickandLulu/"} +{"d:Title": "TV.com: The Guardian", "d:Description": "Episode guide, cast and character details, and plot goofs.", "topic": "Top/Arts/Television/Programs/Dramas/Guardian,_The", "url": "http://www.tv.com/guardian/show/4902/summary.html"} +{"d:Title": "A Room for Nick", "d:Description": "Fan-fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Guardian,_The", "url": "http://roomfornick.tripod.com/index.htm"} +{"d:Title": "The Guardian and Simon Baker Message Board", "d:Description": "Message Board for fan discussion of episodes, fan fiction, tape trade, and the actor.", "topic": "Top/Arts/Television/Programs/Dramas/Guardian,_The", "url": "http://gillybear101.proboards.com/"} +{"d:Title": "WebRing: The Guardian on CBS", "d:Description": "Provides links to sites with series-related content.", "topic": "Top/Arts/Television/Programs/Dramas/Guardian,_The", "url": "http://www.webring.org/hub?ring=guardianoncbs"} +{"d:Title": "IMDb: The Guardian", "d:Description": "Cast, crew, and production details, as well as series overview and user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Guardian,_The", "url": "http://www.imdb.com/title/tt0285370/"} +{"d:Title": "EpGuide.com: Hack (a Titles and Air Dates Guide)", "d:Description": "Episode guide listing the titles and air dates for the TV series 'Hack.'", "topic": "Top/Arts/Television/Programs/Dramas/Hack", "url": "http://epguides.com/Hack/"} +{"d:Title": "ChristianAnswers.Net: Hack (TV Spotlight)", "d:Description": "Review from the Christian Answers Network and viewers' comments.", "topic": "Top/Arts/Television/Programs/Dramas/Hack", "url": "http://www.christiananswers.net/spotlight/tv/2002/hack.html"} +{"d:Title": "Parade Magazine: In Step with David Morse", "d:Description": "James Brady interviews the star of 'Hack.'", "topic": "Top/Arts/Television/Programs/Dramas/Hack", "url": "http://www.davidmorse.org/news/Parade322003.html"} +{"d:Title": "Popentertainment.com: George Dzundza Interview", "d:Description": "Veteran character actor chats with Jay S. Jacobs about his work on the TV series 'Hack' and his career.", "topic": "Top/Arts/Television/Programs/Dramas/Hack", "url": "http://www.popentertainment.com/dzundza.htm"} +{"d:Title": "Yahoo Groups: HardyBoysTV", "d:Description": "Mailing list for fans of the television series, with episode reviews, fan fiction, and discussion. [Registration required]", "topic": "Top/Arts/Television/Programs/Dramas/Hardy_Boys,_The", "url": "http://groups.yahoo.com/group/HardyBoysTV/"} +{"d:Title": "Hardy Detective Agency", "d:Description": "Fan fiction site with links and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/Hardy_Boys,_The", "url": "http://www.hardydetectiveagency.com/"} +{"d:Title": "IMDb: Heights, The", "d:Description": "Cast, crew, and production information, as well as series overview and user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Heights,_The", "url": "http://www.imdb.com/title/tt0103439/"} +{"d:Title": "IMDb - Heroes", "d:Description": "Provides cast, crew, reviews, plot summary, and discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.imdb.com/title/tt0813715/"} +{"d:Title": "NBC: Heroes", "d:Description": "Official network site features show overview, cast profiles, interviews, videos, forum, downloads and interactive graphic novel.", "priority": "1", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.nbc.com/Heroes/"} +{"d:Title": "Wikipedia - Heroes (TV series)", "d:Description": "Article provides show synopsis, cast details and episode list.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://en.wikipedia.org/wiki/Heroes_(TV_series)"} +{"d:Title": "Heroes Wiki", "d:Description": "User-contributed articles on topics related to the show. Includes information on cast, crew, characters, and episodes in addition to interviews, spoilers, photos, and fan theories.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://heroeswiki.com/"} +{"d:Title": "Beaming Beeman", "d:Description": "Weblog by Greg Beeman, one of the show's producer-directors.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://gregbeeman.blogspot.com/"} +{"d:Title": "HeroSite.net", "d:Description": "Episode and character guides, news, pictures, spoilers, forum and information on causes close to the cast.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.herosite.net/"} +{"d:Title": "Heroes Spoilers", "d:Description": "Weblog analyzing spoilers and rumors.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.heroes-spoilers.blogspot.com/"} +{"d:Title": "Heroes Fanatic", "d:Description": "Includes news, episode guides, spoilers, cast and crew details and information on the show's music.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.heroesfanatic.com/"} +{"d:Title": "Burnt Toast Diner", "d:Description": "Mock weblog entries by various characters on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.burnttoastdiner.com/"} +{"d:Title": "Television Without Pity: Heroes", "d:Description": "Detailed episode recaps and discussion forum.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.televisionwithoutpity.com/show/heroes/"} +{"d:Title": "Heroes RPG", "d:Description": "Role-playing game based on the series.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.heroestherpg.proboards.com/"} +{"d:Title": "TV.com - Heroes", "d:Description": "Episode guide, photos, videos, cast and crew information, forums, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Heroes", "url": "http://www.tv.com/shows/heroes/"} +{"d:Title": "My Higher Ground Page", "d:Description": "Cast biographies with contact addresses.", "topic": "Top/Arts/Television/Programs/Dramas/Higher_Ground", "url": "http://www.angelfire.com/tv2/myhighergroundpg/hg.html"} +{"d:Title": "IMDb: I'll Fly Away", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/I'll_Fly_Away", "url": "http://www.imdb.com/title/tt0101124/"} +{"d:Title": "Save Jack and Bobby", "d:Description": "Campaign to keep the show on the air. Includes petition, addresses to write to, and list of sponsors.", "topic": "Top/Arts/Television/Programs/Dramas/Jack_and_Bobby", "url": "http://jackandbobby.lmbdesign.com/"} +{"d:Title": "IMDb: Jack&Bobby", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Jack_and_Bobby", "url": "http://www.imdb.com/title/tt0410997/"} +{"d:Title": "The JAG Fan Site", "d:Description": "Photos, sounds, polls and links.", "topic": "Top/Arts/Television/Programs/Dramas/JAG", "url": "http://www.jagfan.8m.com/"} +{"d:Title": "Lisandra's JAG Page", "d:Description": "Pictures, sounds, and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/JAG", "url": "http://members.tripod.com/~jag7246/"} +{"d:Title": "Biloxi Boy's JAGnik Stuff", "d:Description": "Fan fiction, links and information about the series.", "topic": "Top/Arts/Television/Programs/Dramas/JAG", "url": "http://meingast.tripod.com/jag.html"} +{"d:Title": "IMDb: JAG", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/JAG", "url": "http://www.imdb.com/title/tt0112022/"} +{"d:Title": "Harriet on JAG", "d:Description": "Biography, trivia, quotes, polls and articles.", "topic": "Top/Arts/Television/Programs/Dramas/JAG/Cast_and_Crew", "url": "http://harrietonjag.tvheaven.com/"} +{"d:Title": "The HarmyBoard", "d:Description": "This message board is dedicated to the character of Harm.", "topic": "Top/Arts/Television/Programs/Dramas/JAG/Characters", "url": "http://www.voy.com/56685/"} +{"d:Title": "Mac on JAG", "d:Description": "Fansite of Sarah MacKenzie. Biography, photos, articles, FAQ.", "topic": "Top/Arts/Television/Programs/Dramas/JAG/Characters", "url": "http://www.maconjag.tvheaven.com/"} +{"d:Title": "Fans gung ho about Mac", "d:Description": "Message board for Mac fans.", "topic": "Top/Arts/Television/Programs/Dramas/JAG/Characters", "url": "http://www.voy.com/57744/"} +{"d:Title": "Meg Austin Archive", "d:Description": "Fan fiction involving the character Meg Austin.", "topic": "Top/Arts/Television/Programs/Dramas/JAG/Fan_Fiction", "url": "http://users.skynet.be/semperarchive"} +{"d:Title": "Wikipedia - Jericho (TV series)", "d:Description": "Article provides a synopsis, episode listing with screenshot with original airdate, characters and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Jericho", "url": "http://en.wikipedia.org/wiki/Jericho_(TV_series)"} +{"d:Title": "IMDb - Jericho (2005)", "d:Description": "Cast, crew, plot summary, user comments, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Jericho", "url": "http://www.imdb.com/title/tt0437013/"} +{"d:Title": "IMDb.com: Joan of Arcadia", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Joan_of_Arcadia", "url": "http://www.imdb.com/title/tt0367345/"} +{"d:Title": "On 'Joan,' God is in the Charming Details", "d:Description": "USAToday.com article exploring the various faces of God in the show.", "topic": "Top/Arts/Television/Programs/Dramas/Joan_of_Arcadia", "url": "http://www.usatoday.com/life/television/news/2003-12-18-joan-of-arcadia_x.htm"} +{"d:Title": "Television Without Pity: Joan of Arcadia", "d:Description": "Detailed episode descriptions and reviews as well as a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Joan_of_Arcadia", "url": "http://www.televisionwithoutpity.com/show/joan-of-arcadia/"} +{"d:Title": "TV.com - Joan of Arcadia", "d:Description": "Episode guide and reviews, cast and crew information, goofs, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Joan_of_Arcadia", "url": "http://www.tv.com/shows/joan-of-arcadia/"} +{"d:Title": "PopMatters: Judging Amy", "d:Description": "Review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Judging_Amy", "url": "http://popmatters.com/tv/reviews/j/judging-amy.html"} +{"d:Title": "Television Without Pity: Judging Amy", "d:Description": "Episode recaps, polls, and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Judging_Amy", "url": "http://www.televisionwithoutpity.com/show/judging-amy/"} +{"d:Title": "IMDb: Kingpin", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Kingpin", "url": "http://www.imdb.com/title/tt0324865/"} +{"d:Title": "TV.com: Kingpin", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Kingpin", "url": "http://www.tv.com/shows/kingpin/"} +{"d:Title": "WWWF Grudge Match: Kwai Chang Caine vs. Walker Texas Ranger", "d:Description": "Caine battles Walker Texas Ranger on a dusty Old West street.", "topic": "Top/Arts/Television/Programs/Dramas/Kung_Fu", "url": "http://www.grudge-match.com/History/caine-walker.shtml"} +{"d:Title": "Kung Fu", "d:Description": "Series guide and quotations.", "topic": "Top/Arts/Television/Programs/Dramas/Kung_Fu", "url": "http://www.kungfu-guide.com/"} +{"d:Title": "Kermit Griffin's Unofficial Kung Fu: The Legend Continues Page", "d:Description": "Character guide, pictures, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Kung_Fu_-_The_Legend_Continues", "url": "http://members.tripod.com/~KermitGriffin/index.html"} +{"d:Title": "The World of Kung Fu the Legend Continues", "d:Description": "Character and actor profiles, as well as episode list.", "topic": "Top/Arts/Television/Programs/Dramas/Kung_Fu_-_The_Legend_Continues", "url": "http://mariescott.fcpages.com/main.html"} +{"d:Title": "Lily's KFTLC Club", "d:Description": "Yahoo club for fans of the series, with chat room, message board, and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Kung_Fu_-_The_Legend_Continues", "url": "http://groups.yahoo.com/group/lillyskftlcpage/"} +{"d:Title": "MJ Mink and Friends FanFiction", "d:Description": "Relationship-oriented fiction about Kung Fu: The Legend Continues, featuring Peter and Pop.", "topic": "Top/Arts/Television/Programs/Dramas/Kung_Fu_-_The_Legend_Continues/Fan_Fiction", "url": "http://members.tripod.com/lyn-m/"} +{"d:Title": "IMDb: Las Vegas", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Las_Vegas", "url": "http://www.imdb.com/title/tt0364828/"} +{"d:Title": "Law&Order", "d:Description": "Includes episode guides, images, articles, episode schedule, and cast information for the series.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series", "url": "http://wolfstories2.tripod.com/"} +{"d:Title": "Law&Order - Alphabetical Episode List", "d:Description": "Alphabetical episode guide (with memorable quotes).", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order", "url": "http://members.tripod.com/~MindHarp/loalph.html"} +{"d:Title": "Law&Order Directory", "d:Description": "A collection of links to other show sites.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order", "url": "http://lawandorder.freeservers.com/index2.html"} +{"d:Title": "Law&Order - Repeat Offenders", "d:Description": "A comprehensive listing of \"repeat offenders\", the repeat actors who have played more than one role on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order", "url": "http://members.tripod.com/~MindHarp/lorepeat.html"} +{"d:Title": "Law&Order", "d:Description": "Containing pictures and sounds from the show.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order", "url": "http://www.members.tripod.com/law-order88/id19.htm"} +{"d:Title": "Law&Order - Kevin Bacon Game Results", "d:Description": "Extensive lists of all the actors who have appeared on the show, dates, and their characters.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order", "url": "http://members.tripod.com/~MindHarp/lokb.html"} +{"d:Title": "Loganette's Law&Order page", "d:Description": "Includes fan fiction, character profiles and Nothville.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order", "url": "http://members.tripod.com/~Loganette/index.html"} +{"d:Title": "Law&Order Meets the Tony Awards", "d:Description": "Guest actors on the television series who have also been nominated for Tony Awards.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order", "url": "http://members.tripod.com/~MindHarp/lotonys.html"} +{"d:Title": "Law and Order Directory: Fan Fiction", "d:Description": "Comprehensive list of fan fiction links.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order/Fan_Fiction", "url": "http://lawandorder.freeservers.com/fanfic/"} +{"d:Title": "In The Criminal Justice System", "d:Description": "Multi-chapter fan fiction based on the series, as well as network links.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order/Fan_Fiction", "url": "http://www.angelfire.com/tv2/LawAndOrderStory/"} +{"d:Title": "The Other Fan Fiction Page", "d:Description": "Index of links.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order/Fan_Fiction", "url": "http://members.tripod.com/~Leaper/fanfiction/lofanfic.html"} +{"d:Title": "apocrypha", "d:Description": "The online Law&Order fanzine. Includes fan fiction, essays, actor interviews, author interviews, and episode reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order/Fan_Fiction", "url": "http://www.podengo.com/apocrypha/index.html"} +{"d:Title": "Law&Order Fanfiction Web Ring", "d:Description": "A web ring of sites dedicated to Law&Order fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order/Fan_Fiction", "url": "http://www.webring.org/hub?ring=lofic"} +{"d:Title": "Epguides.com: Law&Order - Criminal Intent", "d:Description": "Lists the title and air date for each episode. Also offers links to cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order_-_Criminal_Intent", "url": "http://epguides.com/LawandOrderCriminalIntent/"} +{"d:Title": "Law&Order: Criminal Intent", "d:Description": "Official USA Studios site for the series. Includes production information, cast and crew biographies, episode guide, pictures, and viewer forum.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order_-_Criminal_Intent", "url": "http://www.usanetwork.com/series/criminalintent/"} +{"d:Title": "IMDb: Law&Order - Criminal Intent", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order_-_Criminal_Intent", "url": "http://www.imdb.com/title/tt0275140/"} +{"d:Title": "PopMatters: Law&Order SVU", "d:Description": "Review of the show's second season.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order_-_Special_Victims_Unit", "url": "http://popmatters.com/tv/reviews/l/law-and-order-svu.html"} +{"d:Title": "USA Network: Law&Order: SVU", "d:Description": "Official USA network site. Includes show summary, episode guide, character profiles, and forums.", "topic": "Top/Arts/Television/Programs/Dramas/Law_&_Order_Series/Law_&_Order_-_Special_Victims_Unit", "url": "http://www.usanetwork.com/series/svu2/"} +{"d:Title": "La Femme Nikita Forever", "d:Description": "Includes an encyclopedia, images, episode guide and transcripts, plus news, articles archive, fan fiction, and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita", "url": "http://lfnforever.tripod.com/"} +{"d:Title": "Nikita in Quebec", "d:Description": "News, photos, and reviews. [French and English]", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita", "url": "http://www.angelfire.com/mi4/amethyste62/"} +{"d:Title": "Codename Josephine", "d:Description": "Contains cast information, images, links, artwork, episode guide and related content.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita", "url": "http://codenamejosephine.tripod.com/index.html"} +{"d:Title": "Wikipedia - La Femme Nikita (TV series)", "d:Description": "The premise of the show, style and presentation, notes on the key characters, and broadcasting history.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita", "url": "http://en.wikipedia.org/wiki/La_Femme_Nikita_(TV_series)"} +{"d:Title": "Sounds of La Femme Nikita", "d:Description": "Includes music and band information, sound clips, and lyrics for songs heard in each episode.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita", "url": "http://cusmus.angelfire.com/"} +{"d:Title": "IMDb: Nikita (TV Series 1997)", "d:Description": "Cast information and links, viewer comments, and show synopsis.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita", "url": "http://www.imdb.com/title/tt0118379/"} +{"d:Title": "La Femme Nikita", "d:Description": "\"Premiering in 1997, TVs LFN attracted a small but dedicated audience with the first few episodes, and has since become an important part of USA's schedule.\" Review by Rhonda Baughman. [PopMatters]", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita/Articles_and_Interviews", "url": "http://popmatters.com/tv/reviews/l/la-femme-nikita.html"} +{"d:Title": "La Femme Nikita Star Savors Success", "d:Description": "\"Coming on 'The Rosie O'Donnell Show' after Madonna, however, is an act of true show-business courage.\" By Mark Lorando. [seattletimes.com]", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita/Articles_and_Interviews", "url": "http://community.seattletimes.nwsource.com/archive/?date=19980327&slug=2741789"} +{"d:Title": "Yahoo Groups: Peta Wilson", "d:Description": "Mailing list discussing Peta Wilson.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita/Chats_and_Forums", "url": "http://groups.yahoo.com/group/pw-fans/"} +{"d:Title": "Yahoo Groups: La Femme Nikita", "d:Description": "This mailing list is for discussion of La Femme Nikita in general.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita/Chats_and_Forums", "url": "http://groups.yahoo.com/group/la-femme-nikita/"} +{"d:Title": "La Femme Nikita: Story Board Archives", "d:Description": "Over 800 stories by numerous authors. Updated on a weekly basis. A review board provides reader's opinionions of the stories.", "topic": "Top/Arts/Television/Programs/Dramas/La_Femme_Nikita/Fan_Fiction", "url": "http://www.thesplitpersonality.net/lfn/writers/writers.shtml"} +{"d:Title": "One Hundred Centre Street", "d:Description": "Message board and chat room for fans of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/100_Centre_Street", "url": "http://groups.yahoo.com/group/onehundredcentrestreet2/"} +{"d:Title": "IMDb: \"100 Centre Street\" (2001)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/100_Centre_Street", "url": "http://www.imdb.com/title/tt0260596/"} +{"d:Title": "IMDb: Boston Legal", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Boston_Legal", "url": "http://www.imdb.com/title/tt0402711/"} +{"d:Title": "Yahoo! Groups: Boston Legal", "d:Description": "Discussion group that focuses on spoilers and news. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Boston_Legal", "url": "http://tv.groups.yahoo.com/group/Boston_Legal/"} +{"d:Title": "Boston Legal", "d:Description": "Cast information, images, quotes, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Boston_Legal", "url": "http://www.boston-legal.org/"} +{"d:Title": "IMDb: Close to Home", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Close_to_Home", "url": "http://imdb.com/title/tt0460631/"} +{"d:Title": "USA Today: Close to Home", "d:Description": "Show overview and review.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Close_to_Home", "url": "http://www.usatoday.com/life/television/reviews/2005-10-03-close-to-home_x.htm"} +{"d:Title": "TV.com: Close to Home", "d:Description": "Includes show summary, episode guide, cast bios, news, picture gallery, reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Close_to_Home", "url": "http://www.tv.com/shows/close-to-home/"} +{"d:Title": "IMDb: Conviction", "d:Description": "Cast details, crew information, episode list, user reviews, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Conviction", "url": "http://www.imdb.com/title/tt0494186/"} +{"d:Title": "IMDb: Damages", "d:Description": "Show synopsis, cast and crew details, production information, episode guide, user reviews, photograph gallery, video clips, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Damages", "url": "http://www.imdb.com/title/tt0914387/"} +{"d:Title": "Los Angeles Times: Damages Season 3", "d:Description": "Mary McNamara gives a glowing review and brief introduction to the show's third season.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Damages", "url": "http://articles.latimes.com/2010/jan/25/entertainment/la-et-damages25-2010jan25"} +{"d:Title": "IMDb: Eli Stone", "d:Description": "Show summary, cast details, production information, episode list, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Eli_Stone", "url": "http://www.imdb.com/title/tt0892535/"} +{"d:Title": "Entertainment Weekly: Eli Stone Review", "d:Description": "Ken Tucker notes the show's skilled producer and strong cast in his positive review. Article includes user reviews as well.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Eli_Stone", "url": "http://www.ew.com/ew/article/0,,20173574,00.html"} +{"d:Title": "USA Today: Say Halo to the Heavenly, Overly Cute 'Eli Stone'", "d:Description": "Robert Bianco praises the show's likable main character and fantasy sequences in his positive review.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Eli_Stone", "url": "http://www.usatoday.com/life/television/news/2008-01-30-eli-stone_N.htm"} +{"d:Title": "IMDb: Fairly Legal", "d:Description": "Show synopsis, cast and crew details, production information, user reviews, photograph gallery, full episode videos, and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Fairly_Legal", "url": "http://www.imdb.com/title/tt1586676/"} +{"d:Title": "IMDb: \"Family Law\" (1999)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Family_Law", "url": "http://www.imdb.com/title/tt0200337/"} +{"d:Title": "Yahoo! Groups: First Monday TV", "d:Description": "Discussion of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Legal/First_Monday", "url": "http://groups.yahoo.com/group/firstmondaytv/"} +{"d:Title": "IMDb: First Monday", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/First_Monday", "url": "http://www.imdb.com/title/tt0297560/"} +{"d:Title": "IMDb: In Justice", "d:Description": "Cast biographies, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/In_Justice", "url": "http://www.imdb.com/title/tt0460650/"} +{"d:Title": "IMDb: Jury, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Jury,_The", "url": "http://www.imdb.com/title/tt0398498/"} +{"d:Title": "L.A. Law: A Titles and Air Dates Guide", "d:Description": "Cast listing, episode titles and air dates.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/L.A._Law", "url": "http://epguides.com/LALaw/"} +{"d:Title": "ClassicTVHits.com: Matlock", "d:Description": "Includes a show description, cast information, pictures, and theme song.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Matlock", "url": "http://www.classictvhits.com/showcards/matlock"} +{"d:Title": "Total Danger: PerryBase", "d:Description": "Complete episode guide to the Perry Mason series.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Perry_Mason", "url": "http://www.totaldanger.com/td_pages/perrypages/perryframeset1.html"} +{"d:Title": "FatPat's Mason Page", "d:Description": "Downloadable books in German and English, pictures, theme song, and wallpapers.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Perry_Mason", "url": "http://www.angelfire.com/film/mason_fan/"} +{"d:Title": "The (Slightly Paul-Centric) Perry Mason Photos", "d:Description": "Includes screen captures from the show.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Perry_Mason", "url": "http://www.vividinfinity.com/perry_mason"} +{"d:Title": "ClassicTVHits.com: Perry Mason", "d:Description": "Includes a show summary, cast information, and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Perry_Mason", "url": "http://www.classictvhits.com/showcards/perrymason"} +{"d:Title": "Perry Mason TV Series", "d:Description": "Cast information, images, episode guide, and mailing list.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Perry_Mason", "url": "http://www.perrymasontvseries.com/"} +{"d:Title": "The Perry Mason TV Show Book", "d:Description": "Online version of the book by Brian Kelleher and Diana Merrill, which gives a history of the show, information on the actors and an episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Perry_Mason", "url": "http://www.perrymasontvshowbook.com/"} +{"d:Title": "IMDb: Perry Mason", "d:Description": "Cast and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Perry_Mason", "url": "http://www.imdb.com/title/tt0050051/"} +{"d:Title": "Yahoo! Groups : PhillyBoard", "d:Description": "Discussions about the TV series and related topics.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Philly", "url": "http://groups.yahoo.com/group/PhillyBoard/"} +{"d:Title": "IMDB: \"Philly\" (2001)", "d:Description": "Information about the tv series and the cast and crew.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Philly", "url": "http://www.imdb.com/title/tt0285395/"} +{"d:Title": "TV.com: Philly", "d:Description": "Information about cast and crew, episode guides and viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Philly", "url": "http://www.tv.com/shows/philly/"} +{"d:Title": "The Practice: A Fanpage", "d:Description": "News about the show and its actors, updates, spoilers, memorable quotes, and fun trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Practice,_The", "url": "http://members.tripod.com/Vickie_Fernandes/index.html"} +{"d:Title": "The Practice [Arthur Tham]", "d:Description": "News, spoilers, event listings, and information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Practice,_The", "url": "http://dekland.tripod.com/thepractice/"} +{"d:Title": "Yahoo! Groups: thepractice", "d:Description": "The place to discuss TVs best lawyer drama.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Practice,_The", "url": "http://groups.yahoo.com/group/thepractice3/"} +{"d:Title": "Yahoo! Groups thepracticeclub", "d:Description": "Let's talk about DEK's \"The Practice\".", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Practice,_The", "url": "http://groups.yahoo.com/group/thepracticeclub/"} +{"d:Title": "IMDb: The Practice", "d:Description": "Cast and plot information.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Practice,_The", "url": "http://www.imdb.com/title/tt0118437/"} +{"d:Title": "Episode List: The Practice", "d:Description": "Pictures, episode guide, and \"Plot Tracker\" lists of writers, directors, and character appearances.", "topic": "Top/Arts/Television/Programs/Dramas/Legal/Practice,_The", "url": "http://www.episodelist.com/shows/the-practice/8"} +{"d:Title": "IMDb - Line of Fire", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Line_of_Fire", "url": "http://www.imdb.com/title/tt0361200/"} +{"d:Title": "TV.com: Little House on the Prairie", "d:Description": "Episode guides, cast list, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Little_House_on_the_Prairie", "url": "http://www.tv.com/shows/little-house-on-the-prairie/"} +{"d:Title": "Little Men Convention Webpage", "d:Description": "Pictures from the fan gathering, as well as information on the campaign to save the show from cancellation.", "topic": "Top/Arts/Television/Programs/Dramas/Little_Men", "url": "http://www.angelfire.com/fl3/lmconvention/"} +{"d:Title": "Plumfield: Just Waiting To Be Explored", "d:Description": "Episode guide, images, polls, cast biographies, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Little_Men", "url": "http://lmen.tripod.com/"} +{"d:Title": "TVB: Where the Legend Begins", "d:Description": "Review of the series that praises performances by Ada Choi and Steven Ma.", "topic": "Top/Arts/Television/Programs/Dramas/Lok_Sun", "url": "http://www.spcnet.tv/tvb_loksun.shtml"} +{"d:Title": "The Fuselage", "d:Description": "Official site for the show's creative team includes forums for fan discussion and questions to cast and crew members.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://www.thefuselage.com/"} +{"d:Title": "Lost [music]", "d:Description": "List of songs played in episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://www.have-dog.com/lost/"} +{"d:Title": "Lost Hatch", "d:Description": "Episode guide, show facts, character profiles, character connections, quotes, quizzes, music list, chat, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://www.losthatch.com/"} +{"d:Title": "About.com: Lost News", "d:Description": "Episode summaries, spoilers, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://lost.about.com/"} +{"d:Title": "Lostpedia", "d:Description": "Fan edited wiki. Includes episode guide, character information, podcasts, event timeline, location guide, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://www.lostpedia.com/wiki/Main_Page"} +{"d:Title": "Lost Spoilers", "d:Description": "A great array of Lost spoilers, provides you with news, links and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://spoilerslost.blogspot.com/"} +{"d:Title": "Oceanic815.com", "d:Description": "By fans for fans, this site contains Lost news, spoilers, message boards and info on the characters.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://www.freewebs.com/lostsite01/comiccon07.htm"} +{"d:Title": "Television Without Pity: Lost", "d:Description": "Episode guide with commentary and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://www.televisionwithoutpity.com/show/lost/"} +{"d:Title": "AOL Television: Lost", "d:Description": "Includes news, episode guide, video clips, previews, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://television.aol.com/show/lost-2004/113094/main"} +{"d:Title": "Lost - TV.com", "d:Description": "Summaries, episodes, cast, news, videos and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Lost", "url": "http://www.tv.com/shows/lost/"} +{"d:Title": "USA Today: Theories about 'Lost'", "d:Description": "Article about the various theories that fans of the series have about the series.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Articles_and_Interviews", "url": "http://www.usatoday.com/life/television/news/2004-11-16-lost-mysteries_x.htm"} +{"d:Title": "Boston.com: Getting 'Lost'", "d:Description": "Discussion of the show's mythology arc.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Articles_and_Interviews", "url": "http://www.boston.com/ae/tv/articles/2004/10/27/getting_lost/"} +{"d:Title": "Entertainment Weekly's EW.com | TV Review: Lost", "d:Description": "Review of pilot episode with general background information on the series.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Articles_and_Interviews", "url": "http://ew.com/article/2004/09/24/lost/"} +{"d:Title": "IMDb: Lost", "d:Description": "Cast, crew, and production information, as well as user comments, trivia, episode list, and quotes.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0411008/"} +{"d:Title": "Lost on ABC", "d:Description": "A complete list of cast and crew, with biographies provided", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Cast_and_Crew", "url": "http://www.tvguide.com/tvshows/lost/cast/100272"} +{"d:Title": "Coffeerooms: Lost", "d:Description": "General show and episode discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Chats_and_Forums", "url": "http://www.coffeerooms.com/forums/tv/lost/index.html"} +{"d:Title": "The DarkUFO Forum", "d:Description": "Show, cast, character, episode, and theory discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Chats_and_Forums", "url": "http://z6.invisionfree.com/darkufo"} +{"d:Title": "The Coconut Internet", "d:Description": "A theories and games message board.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Chats_and_Forums", "url": "http://www.thecoconutinternet.com/"} +{"d:Title": "Lost Message Board", "d:Description": "General show discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Chats_and_Forums", "url": "http://www.fanforum.com/f178/"} +{"d:Title": "Lost Paradise", "d:Description": "Discussion of characters, episodes, and spoilers.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Chats_and_Forums", "url": "http://paradiselost.proboards.com/"} +{"d:Title": "Lost News and Images", "d:Description": "LiveJournal community.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Chats_and_Forums", "url": "http://castaways.livejournal.com/"} +{"d:Title": "Lost: The Show", "d:Description": "LiveJournal community.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Chats_and_Forums", "url": "http://lost-tv.livejournal.com/"} +{"d:Title": "Lost - Fanart Central", "d:Description": "Over 100 Lost character and theme fanart can be found at this site.", "topic": "Top/Arts/Television/Programs/Dramas/Lost/Fan_Art", "url": "http://www.fanart-central.net/cat-2644.php"} +{"d:Title": "The Lyon's Den", "d:Description": "Cast information, articles, photos, episode guide, news, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Lyon's_Den,_The", "url": "http://lyonsden.bravepages.com/index.html"} +{"d:Title": "Popentertainment.com: James Pickens, Jr. Interview", "d:Description": "The veteran actor discusses his long career and his latest TV series, The Lyon's Den.", "topic": "Top/Arts/Television/Programs/Dramas/Lyon's_Den,_The", "url": "http://www.popentertainment.com/pickens.htm"} +{"d:Title": "IMDb - The Lyon's Den", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Lyon's_Den,_The", "url": "http://www.imdb.com/title/tt0365458/"} +{"d:Title": "TV.com: Lyon's Den", "d:Description": "Episode guide and cast list.", "topic": "Top/Arts/Television/Programs/Dramas/Lyon's_Den,_The", "url": "http://www.tv.com/shows/the-lyons-den/"} +{"d:Title": "IMDb: L Word, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The", "url": "http://imdb.com/title/tt0330251/"} +{"d:Title": "Bette and Tina Chemistry Lessons", "d:Description": "Fan art and wallpapers based on the characters.", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The", "url": "http://tibette.tripod.com/"} +{"d:Title": "The L Word Online", "d:Description": "Features episode list, cast and crew biographies, and video clips.", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The", "url": "http://www.thelwordonline.com/"} +{"d:Title": "Yahoo! Groups : thelword", "d:Description": "Email fan discussion list. [Registration required]", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The", "url": "http://groups.yahoo.com/group/thelword/"} +{"d:Title": "L Word Fan Site", "d:Description": "Show news, cast info, fan-fiction, and discussion board.", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The", "url": "http://www.l-word.com/index.php"} +{"d:Title": "CBS 48 Hours Investigates: The 'L-Words': Love And Liberation", "d:Description": "Article discussing the show. \"The stories being told are intimate, complicated and very human.\"", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The/Articles_and_Interviews", "url": "http://www.cbsnews.com/stories/2004/01/19/48hours/main594100.shtml"} +{"d:Title": "Windy City Media Group: L is the Word Interview with Ilene Chaiken", "d:Description": "Ilene Chaiken interview.", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The/Articles_and_Interviews", "url": "http://www.windycitymediagroup.com/gay/lesbian/news/ARTICLE.php?AID=5162"} +{"d:Title": "Slate: Women in Love", "d:Description": "Review by Dennis Cass. \"I think this show finds its place as a dramatic substitute for Sex and the City.\"", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The/Articles_and_Interviews", "url": "http://slate.com/id/2094017/"} +{"d:Title": "SeattlePi.com", "d:Description": "Review by Melanie McFarland.\"Style points alone make the show a guilty pleasure at the very least, even if it needs a little tinkering to develop into appointment viewing.\"", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The/Articles_and_Interviews", "url": "http://www.seattlepi.com/ae/tv/article/L-Word-turns-on-the-lust-appeal-1134733.php"} +{"d:Title": "The Observer: Let's Hear it for the Girls", "d:Description": "Article discussing show concept with quotes from the creator, by Veronica Lee.", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The/Articles_and_Interviews", "url": "http://www.theguardian.com/theobserver/2004/jan/04/features.review27"} +{"d:Title": "New York Movies: Not Your Mother's Lesbians", "d:Description": "Review by Kera Bolonik, \"a powerful, wildly sexy new television series.\"", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The/Articles_and_Interviews", "url": "http://nymag.com/nymetro/news/features/n_9708/"} +{"d:Title": "Today: 'L Word' Has Promise", "d:Description": "Review by Gael Fashingbauer Cooper. \"I'm hoping that after the first few episodes, the writers will help the characters find their true, and original, selves.\"", "topic": "Top/Arts/Television/Programs/Dramas/L_Word,_The/Articles_and_Interviews", "url": "http://www.today.com/id/3939709"} +{"d:Title": "IMDb: Mad Men", "d:Description": "Show synopsis, cast details, episode list, photograph gallery, video clips, user reviews, message board, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Mad_Men", "url": "http://www.imdb.com/title/tt0804503/"} +{"d:Title": "SF Chronicle: New York in 1960, When the 'Mad Men' Were in Charge -- and Everything Was About to Change", "d:Description": "Tim Goodman of the Chronicle cites the show's exceptional writing, perfect casting, and beautiful cinematography in his glowing review.", "topic": "Top/Arts/Television/Programs/Dramas/Mad_Men", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2007/07/18/DDGLNR0JHA1.DTL"} +{"d:Title": "AMC: Mad Men", "d:Description": "Official network site. Show summary, episode guide, show weblog, cast and character guide, fashion guide, interviews, video clips, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Mad_Men", "url": "http://www.amc.com/shows/mad-men"} +{"d:Title": "ExxonMobil Masterpiece Theatre", "d:Description": "Official site for the series. Broadcast schedule, complete history of the series, teacher resources, and other features sparked by the literature, themes and storytelling that make up this legendary program.", "topic": "Top/Arts/Television/Programs/Dramas/Masterpiece_Theatre", "url": "http://www.pbs.org/wgbh/masterpiece/"} +{"d:Title": "IMDb: \"Masterpiece Theatre\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Masterpiece_Theatre", "url": "http://imdb.com/title/tt0066684/"} +{"d:Title": "TV.com: Masterpiece Theatre", "d:Description": "Episode and cast guide.", "topic": "Top/Arts/Television/Programs/Dramas/Masterpiece_Theatre", "url": "http://www.tv.com/shows/masterpiece-theatre/"} +{"d:Title": "The Age: The Hard Sell", "d:Description": "Article discussing efforts to sell the Australian series in Asian markets.", "topic": "Top/Arts/Television/Programs/Dramas/McLeod's_Daughters", "url": "http://www.theage.com.au/articles/2002/05/30/1022569805919.html"} +{"d:Title": "Yahoo Groups: McLeod's Daughters", "d:Description": "Mailing list and chat for fans of the show.", "topic": "Top/Arts/Television/Programs/Dramas/McLeod's_Daughters", "url": "http://groups.yahoo.com/group/McLeods_Daughters/"} +{"d:Title": "IMDb: McLeod's Daughters", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/McLeod's_Daughters", "url": "http://www.imdb.com/title/tt0292414/"} +{"d:Title": "TV.com: McLeod's Daughters", "d:Description": "Episode guide with original airdates and links to cast information.", "topic": "Top/Arts/Television/Programs/Dramas/McLeod's_Daughters", "url": "http://www.tv.com/shows/mcleods-daughters/"} +{"d:Title": "Yahoo! Groups: All Saints", "d:Description": "Show, cast, character and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/All_Saints", "url": "http://tv.groups.yahoo.com/group/allsaintsmedicaltvshowchat/"} +{"d:Title": "IMDb: All Saints", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/All_Saints", "url": "http://www.imdb.com/title/tt0163924/"} +{"d:Title": "TV.com: All Saints", "d:Description": "Show synopsis, episode guide, cast bios, reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/All_Saints", "url": "http://www.tv.com/shows/all-saints/"} +{"d:Title": "IMDb: Chicago Hope", "d:Description": "Cast, crew and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Chicago_Hope", "url": "http://imdb.com/title/tt0108724/"} +{"d:Title": "Chicago Hope Homepage", "d:Description": "Episode guide, images, audio downloads, cast information, and drinking game.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Chicago_Hope", "url": "http://www-cs-students.stanford.edu/~clee/chicagohope.html"} +{"d:Title": "Chicago Hope Photo Gallery II", "d:Description": "Cast images from the show and live events.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Chicago_Hope", "url": "http://members.tripod.com/~c_hope_photos/"} +{"d:Title": "Chicago Hope", "d:Description": "Email list and show discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Chicago_Hope", "url": "http://tv.groups.yahoo.com/group/chicago_hope/"} +{"d:Title": "Chicago Hope", "d:Description": "Dedicated to the characters of Billy and Diane; includes images, video clips, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Chicago_Hope", "url": "http://members.tripod.com/~sammysam/"} +{"d:Title": "Yahoo! Groups: Chicago Hope", "d:Description": "Email list for discussion and sharing of fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Chicago_Hope/Fan_Fiction", "url": "http://tv.groups.yahoo.com/group/chfanfic/"} +{"d:Title": "IMDb: Dr. Kildare", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Dr._Kildare", "url": "http://www.imdb.com/title/tt0054535/"} +{"d:Title": "TV.com: Dr. Kildare", "d:Description": "Episode guide with original airdates and summaries.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Dr._Kildare", "url": "http://www.tv.com/shows/dr-kildare/"} +{"d:Title": "IMDb: Dr. Vegas", "d:Description": "User reviews, quotes, trivia, cast bios, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Dr._Vegas", "url": "http://www.imdb.com/title/tt0410978/"} +{"d:Title": "ER Videos", "d:Description": "Video clips and bloopers.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://bewarehedard.free.fr/Urgences.html"} +{"d:Title": "ER World", "d:Description": "Includes trivia, polls, fan fiction, and cast information through year 2000 (season 6).", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://www.angelfire.com/yt/erworld/erframes.html"} +{"d:Title": "WWWF Ground Zero: M*A*S*H vs. ER", "d:Description": "The battle for surgical supremacy. [Humor]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://www.grudge-match.com/History/hospital.shtml"} +{"d:Title": "ER Star Edwards to Leave", "d:Description": "Actor Anthony Edwards says he is to leave top-rated medical drama ER at the end of his current contract.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://news.bbc.co.uk/1/hi/entertainment/1134290.stm"} +{"d:Title": "The Lounge", "d:Description": "Fansite includes songs, fan fiction, spoilers, multimedia, and TV schedules.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://er.neoxer.com/"} +{"d:Title": "About.com: ER", "d:Description": "Links, episode guide, photos, and news.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://primetimetv.about.com/od/er/"} +{"d:Title": "The ER Site", "d:Description": "Episode guide for seasons 1 through 8 and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://members.tripod.com/theersite/"} +{"d:Title": "Television Without Pity: ER", "d:Description": "Episode recaps, polls, and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://www.televisionwithoutpity.com/show/er/"} +{"d:Title": "IMDb: ER", "d:Description": "Cast crew and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://www.imdb.com/title/tt0108757/"} +{"d:Title": "TV.com: ER", "d:Description": "Episode guide, information on cast and crew, and viewers' comments.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER", "url": "http://www.tv.com/shows/er/"} +{"d:Title": "Carol and Doug's Haven", "d:Description": "Includes biographical information, quotes, sounds, and articles about Doug and Carol.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Characters", "url": "http://www.angelfire.com/md/shipper/CAROLandDOUG.html"} +{"d:Title": "Luka and Abby Message Board", "d:Description": "Discussion of the characters Luka and Abby of ER. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Characters", "url": "http://tv.groups.yahoo.com/group/Luka-and-Abby/"} +{"d:Title": "Yahoo! Groups: ER", "d:Description": "Message group for discussion of storylines, cast members, and spoilers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/er/"} +{"d:Title": "ER Boards", "d:Description": "Includes episode discussions and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Chats_and_Forums", "url": "http://carolhathaway.proboards.com/"} +{"d:Title": "ER Fan Fiction Realm", "d:Description": "Offers a large collection of stories by multiple authors, in various categories.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Fan_Fiction", "url": "http://www.angelfire.com/ny3/ERfanfiction/"} +{"d:Title": "Luka Kovac Fan Fiction Site", "d:Description": "Fan fiction about ER's Dr. Luka Kovac, by a variety of authors.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Fan_Fiction", "url": "http://lukafic.tripod.com/"} +{"d:Title": "ER Pandemonium", "d:Description": "Relationship stories about Carter/Lucy and Mark/Susan.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Fan_Fiction", "url": "http://members.tripod.com/~Christine_Chan/ER/fanfic.htm"} +{"d:Title": "ER Fan Fiction", "d:Description": "Contains stories on ER, mostly about Carter and Lucy. Contains Pictures and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/ER/Fan_Fiction", "url": "http://www.angelfire.com/tv2/theerhomepage/"} +{"d:Title": "Television Without Pity: Gideon's Crossing", "d:Description": "Show and episode commentary.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Gideon's_Crossing", "url": "http://www.televisionwithoutpity.com/show/gideons-crossing/"} +{"d:Title": "IMDb: Gideon's Crossing", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Gideon's_Crossing", "url": "http://www.imdb.com/title/tt0247101/"} +{"d:Title": "TV.com: Gideon's Crossing", "d:Description": "Episode guide, cast bios, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Gideon's_Crossing", "url": "http://www.tv.com/shows/gideons-crossing/"} +{"d:Title": "IMDb: Grey's Anatomy", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://imdb.com/title/tt0413573/"} +{"d:Title": "Boston.com: New hospital drama 'Anatomy' is little more than an 'ER' clone", "d:Description": "Article reviewing the pilot episode.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://www.boston.com/ae/tv/articles/2005/03/26/new_hospital_drama_anatomy_is_little_more_than_an_er_clone/"} +{"d:Title": "Grey\u2019s Anatomy Insider", "d:Description": "Episode guides, galleries, actor profiles and quotations from the show.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://www.greysanatomyinsider.com/"} +{"d:Title": "The Emerald City Bar", "d:Description": "Monthly recaps from \"Joe, the Bartender\".", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://www.emeraldcitybar.com/"} +{"d:Title": "Grey's Anatomy Wiki", "d:Description": "A wiki for the fans of the show on ABC. Offers cast and crew details, forums, episode recaps and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://www.thegreysanatomywiki.com/"} +{"d:Title": "The Haven", "d:Description": "Forum containing fan fiction about the show, it's characters and author biographies. (Requires registration)", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://s15.zetaboards.com/GAfanfic/forum/3005909/"} +{"d:Title": "SideReel: Grey's Anatomy", "d:Description": "Features show reviews by users, links to web episodes, clips, news, and a wiki.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://www.sidereel.com/Greys_Anatomy"} +{"d:Title": "TV.com: Grey's Anatomy", "d:Description": "Features full episodes (US only), cast, episode guides, forums and user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy", "url": "http://www.tv.com/shows/greys-anatomy/"} +{"d:Title": "Yahoo: ABC_Greys_Anatomy", "d:Description": "Forum and email list about Grey's Anatomy.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/ABC_Greys_Anatomy/"} +{"d:Title": "Love My Greys", "d:Description": "Includes spoilers, fan fiction, pictures, news and fan art.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Grey's_Anatomy/Chats_and_Forums", "url": "http://s1.zetaboards.com/Love_My_Greys/index/"} +{"d:Title": "FOX Broadcasting Company: House", "d:Description": "Official network site. Includes cast and crew information, message board, and episode guide. [requires Flash]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/House", "url": "http://www.fox.com/house/"} +{"d:Title": "House M.D. Guide", "d:Description": "Episode and character guides, comparisons to Sherlock Holmes, news, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/House", "url": "http://www.housemd-guide.com/"} +{"d:Title": "IMDb: House M.D.", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/House", "url": "http://www.imdb.com/title/tt0412142/combined"} +{"d:Title": "TV.com: House", "d:Description": "Episode guide, cast and crew information, analysis, polls, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/House", "url": "http://www.tv.com/shows/house/"} +{"d:Title": "IMDb: Huff", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Huff", "url": "http://www.imdb.com/title/tt0409570/"} +{"d:Title": "TV.com: Huff", "d:Description": "Includes show synopsis, episode guide, cast bios, reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Huff", "url": "http://www.tv.com/shows/huff/"} +{"d:Title": "IMDb: L.A. Doctors", "d:Description": "Cast bios, crew details, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/L.A._Doctors", "url": "http://www.imdb.com/title/tt0161160/"} +{"d:Title": "IMDb: MDs", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/MDs", "url": "http://www.imdb.com/title/tt0320069/"} +{"d:Title": "Television Without Pity: MDs", "d:Description": "Show and episode commentary.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/MDs", "url": "http://www.televisionwithoutpity.com/show/mds/"} +{"d:Title": "IMDb: Medical Investigation", "d:Description": "Cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Medical_Investigation", "url": "http://www.imdb.com/title/tt0411011/"} +{"d:Title": "IMDb: Nip/Tuck", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Nip_Tuck", "url": "http://www.imdb.com/title/tt0361217/"} +{"d:Title": "Yahoo! Groups: Niptuckfans", "d:Description": "Mailing list for fan discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Nip_Tuck", "url": "http://tv.groups.yahoo.com/group/niptuckfans/"} +{"d:Title": "Wikipedia: Nip/Tuck", "d:Description": "Show synopsis, episode list, cast and character bios, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Nip_Tuck", "url": "http://en.wikipedia.org/wiki/Nip/Tuck"} +{"d:Title": "Entertain Your Brain: Nip/Tuck", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Nip_Tuck", "url": "http://www.entertainyourbrain.com/niptuckrev.htm"} +{"d:Title": "Nip/Tuck Fanatic", "d:Description": "Show news, episode previews, and commentary.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Nip_Tuck", "url": "http://fxniptuck.blogspot.com/"} +{"d:Title": "Yahoo! Groups : Christian and Julia", "d:Description": "Character fan fictions.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Nip_Tuck", "url": "http://groups.yahoo.com/group/christian_and_julia/"} +{"d:Title": "TV.com: Nip/Tuck", "d:Description": "Episode guide and links to information on cast and crew.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Nip_Tuck", "url": "http://www.tv.com/shows/niptuck/"} +{"d:Title": "Yahoo! Groups: Presidio Med", "d:Description": "Members post discussion related to the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Presidio_Med", "url": "http://groups.yahoo.com/group/presidio_med/"} +{"d:Title": "IMDb: Presidio Med", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Presidio_Med", "url": "http://www.imdb.com/title/tt0315047/"} +{"d:Title": "TV.com: Presidio Med", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Presidio_Med", "url": "http://www.tv.com/shows/presidio-med/"} +{"d:Title": "TKTV: Providence", "d:Description": "With previews, news, links, cast information, and episode recaps.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Providence", "url": "http://providence.tktv.net/"} +{"d:Title": "Welcome to Providence", "d:Description": "Fan page with episode guide, music archive, message board and links.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Providence", "url": "http://members.tripod.com/welcometoprovidence/"} +{"d:Title": "Providence UK", "d:Description": "Cast biographies, news, gossip, and petition to bring the show back to the air.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Providence", "url": "http://providence_uk.tripod.com/"} +{"d:Title": "Epguides.com: St. Elsewhere", "d:Description": "Listing of titles and air dates for each episode.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/St._Elsewhere", "url": "http://epguides.com/StElsewhere/"} +{"d:Title": "IMDb: St. Elsewhere", "d:Description": "Cast, crew, guest stars, awards and related movies and TV shows.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/St._Elsewhere", "url": "http://www.imdb.com/title/tt0083483/"} +{"d:Title": "IMDb: Strong Medicine", "d:Description": "Cast bios, user reviews, quotes, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Strong_Medicine", "url": "http://www.imdb.com/title/tt0252019/"} +{"d:Title": "Wikipedia: Strong Medicine", "d:Description": "Show synopsis, character bios, plot details, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Strong_Medicine", "url": "http://en.wikipedia.org/wiki/Strong_Medicine"} +{"d:Title": "The Strong Medicine Club", "d:Description": "E-mail forum with images and links. [Yahoo! registration required]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Strong_Medicine", "url": "http://tv.groups.yahoo.com/group/strongmedicineclub/"} +{"d:Title": "Yahoo! Groups: Strong Medicine", "d:Description": "Show discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Medical/Strong_Medicine", "url": "http://tv.groups.yahoo.com/group/strongmedicine/"} +{"d:Title": "Wikipedia: Medium", "d:Description": "Show synopsis, cast bios, trivia, episode recaps, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medium", "url": "http://en.wikipedia.org/wiki/Medium_(TV_series)"} +{"d:Title": "IMDb: Medium", "d:Description": "Cast bios, user comments, quotes, trivia, goofs, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Medium", "url": "http://www.imdb.com/title/tt0412175/"} +{"d:Title": "IMDb: Men in Trees", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Men_in_Trees", "url": "http://www.imdb.com/title/tt0805664/"} +{"d:Title": "SPCNET Reviews: Meteor Garden", "d:Description": "Review including series summary and comments on acting quality.", "topic": "Top/Arts/Television/Programs/Dramas/Meteor_Garden", "url": "http://www.spcnet.tv/taiwan_meteorgarden.shtml"} +{"d:Title": "IMDb: \"Midnight Caller\" (1988)", "d:Description": "Cast, crew, and production information for the series.", "topic": "Top/Arts/Television/Programs/Dramas/Midnight_Caller", "url": "http://www.imdb.com/title/tt0094510/"} +{"d:Title": "TV.com: Midnight Caller", "d:Description": "Episode guide, cast and crew information, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Midnight_Caller", "url": "http://www.tv.com/shows/midnight-caller/"} +{"d:Title": "The Definitive Guide to Murder, She Wrote", "d:Description": "Episode guide, galleries, book reviews, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Murder,_She_Wrote", "url": "http://jesmaine.tripod.com/index.definitiveMSW.html"} +{"d:Title": "AngelaLansbury.net: Murder, She Wrote Fan Site", "d:Description": "Cast and crew biographies, information about Cabot Cove, episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Murder,_She_Wrote", "url": "http://murdershewrote.angelalansbury.net/"} +{"d:Title": "Yahoo! Groups: Murder She Wrote", "d:Description": "Email list for fan discussion of the show and actors. [Registration required]", "topic": "Top/Arts/Television/Programs/Dramas/Murder,_She_Wrote", "url": "http://tv.groups.yahoo.com/group/murdershewrote/"} +{"d:Title": "Yahoo! Groups: Murder We Wrote", "d:Description": "Email list for fan fiction. [Registration required]", "topic": "Top/Arts/Television/Programs/Dramas/Murder,_She_Wrote", "url": "http://tv.groups.yahoo.com/group/murder_we_wrote/"} +{"d:Title": "Blair House Inn: Murder She Wrote", "d:Description": "Information on filming the show, from the inn used for exterior shots of Jessica Fletcher's house.", "topic": "Top/Arts/Television/Programs/Dramas/Murder,_She_Wrote", "url": "http://www.blairhouse.com/murder.html"} +{"d:Title": "MSCL.com", "d:Description": "Character and episode guides, fan fiction, photographs, audio and video clips, set floor plans, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/My_So-Called_Life", "url": "http://www.mscl.com/"} +{"d:Title": "My So-Called Life", "d:Description": "Quotes, organized by episode.", "topic": "Top/Arts/Television/Programs/Dramas/My_So-Called_Life", "url": "http://www.angelfire.com/nv/nieske/mscl.html"} +{"d:Title": "Television Without Pity: My So-Called Life", "d:Description": "Episode summaries, polls, and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/My_So-Called_Life", "url": "http://www.televisionwithoutpity.com/show/my-socalled-life/"} +{"d:Title": "CBS: Navy NCIS", "d:Description": "Official network site. Includes series overview, cast information, air schedule, and multimedia.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://www.cbs.com/primetime/ncis/"} +{"d:Title": "BuddyTV - NCIS", "d:Description": "Includes cast and crew details, images, articles and discussions.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://www.buddytv.com/ncis.aspx"} +{"d:Title": "USA Network:NCIS TV Series", "d:Description": "Offers local schedule, trivia on all the characters, games and forum on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://www.usanetwork.com/series/ncis/"} +{"d:Title": "Captacular: NCIS", "d:Description": "Offers episode wise screen captures in a gallery and zip format.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://cap.tacular.net/ncis/"} +{"d:Title": "Yahoo TV : NCIS", "d:Description": "Provides episode guides, photos, videos, reviews and rating.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://tv.yahoo.com/ncis/show/35460/"} +{"d:Title": "NCIS on Facebook", "d:Description": "Official Facebook page for the show on CBS. Find other fans, video clips and discussions.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://www.facebook.com/NCIS"} +{"d:Title": "NCIS Squadron", "d:Description": "Offers episode guide, message board, wiki, character biography, polls, photos and videos.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://www.ncisfanwiki.com/"} +{"d:Title": "IMDb: Navy NCIS: Naval Criminal Investigation Service", "d:Description": "Cast, crew, production information, seasons, photos, reviews, trivia and a message board.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS", "url": "http://www.imdb.com/title/tt0364845/"} +{"d:Title": "Cote de Pablo", "d:Description": "Features filmography, biography, discussions, image gallery, news articles and links of the actress.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Cast_and_Crew", "url": "http://imdb.com/name/nm1580243/"} +{"d:Title": "Sean Murray", "d:Description": "Filmography, biography, and discussions.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Cast_and_Crew", "url": "http://imdb.com/name/nm0615266/"} +{"d:Title": "Cote de Pablo Network", "d:Description": "Fan pages for the character. Features gallery, community, music, avatars and music videos.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Cast_and_Crew", "url": "http://www.cote-de-pablo.net/"} +{"d:Title": "NavyNCISFF", "d:Description": "Discussion list specifically for fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Chats_and_Forums", "url": "http://groups.yahoo.com/group/NavyNCISFF/"} +{"d:Title": "Friends of NCIS", "d:Description": "Family oriented forum for show discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Chats_and_Forums", "url": "http://friendsofncis.yuku.com/"} +{"d:Title": "NCIS Special Ops Center", "d:Description": "Discuss about current and cold cases, agents, directors, evidence, fan fictions and a countdown.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Chats_and_Forums", "url": "http://ncisspecialops.proboards.com/"} +{"d:Title": "NCIS-CBS", "d:Description": "Active discussion list for various aspects of the CBS show.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/NCIS-CBS/"} +{"d:Title": "NCIS Fanfiction Addiction Community", "d:Description": "Message board providing fan fictions, creatives, discussions and a yearly award.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Chats_and_Forums", "url": "http://www.nfacommunity.com/"} +{"d:Title": "TV.com: Navy NCIS", "d:Description": "Fan updated episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Navy_NCIS/Episode_Guides", "url": "http://www.tv.com/shows/ncis/"} +{"d:Title": "IMDb: \"A Nero Wolfe Mystery\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Nero_Wolfe", "url": "http://imdb.com/title/tt0283205/"} +{"d:Title": "IMDb: The Nine", "d:Description": "User comments, cast biographies, crew details, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Nine,_The", "url": "http://www.imdb.com/title/tt0801426/"} +{"d:Title": "IMDb: North Shore", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/North_Shore", "url": "http://www.imdb.com/title/tt0409594/"} +{"d:Title": "Star Bulletin: 'North Shore' Gets 9 Episode Extension", "d:Description": "Article by Tim Ryan discussing plans for the series and the set.", "topic": "Top/Arts/Television/Programs/Dramas/North_Shore", "url": "http://starbulletin.com/2004/08/13/news/story7.html"} +{"d:Title": "Yahoo Group: FOX North Shore", "d:Description": "Email list for fan discussion of the series. [Registration required]", "topic": "Top/Arts/Television/Programs/Dramas/North_Shore", "url": "http://tv.groups.yahoo.com/group/FOX_North_Shore/"} +{"d:Title": "TV.com: North Shore", "d:Description": "Episode guide, cast and crew information, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/North_Shore", "url": "http://www.tv.com/shows/north-shore/"} +{"d:Title": "Nothing Sacred Discussion Group", "d:Description": "Mailing list where fans can discuss the show, the actors, and items of related interest.", "topic": "Top/Arts/Television/Programs/Dramas/Nothing_Sacred", "url": "http://groups.yahoo.com/group/nothingsacred"} +{"d:Title": "IMDb.com - Nothing Sacred (1997)", "d:Description": "Cast and Crew listing, user reviews, summary.", "topic": "Top/Arts/Television/Programs/Dramas/Nothing_Sacred", "url": "http://www.imdb.com/title/tt0118411/combined"} +{"d:Title": "Mandi's Low-Tech Now and Again Tribute", "d:Description": "Episode guide with detailed synopses and reviews, FAQ, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Now_and_Again", "url": "http://www.weirdweb.net/nowagain/index.html"} +{"d:Title": "Save Now and Again Campaign", "d:Description": "Campaign and contact information needed to help return Now and Again to television.", "topic": "Top/Arts/Television/Programs/Dramas/Now_and_Again", "url": "http://nacampaign.tripod.com/"} +{"d:Title": "Project Newman: A Fan Fiction Archive for Now and Again", "d:Description": "Stories have been rated G to PG-13 to provide age guidelines for parents and readers.", "topic": "Top/Arts/Television/Programs/Dramas/Now_and_Again", "url": "http://blackraptor.net/naafic/index.htm"} +{"d:Title": "Television Without Pity: Now and Again", "d:Description": "An irreverent look at the show through episode recaps.", "topic": "Top/Arts/Television/Programs/Dramas/Now_and_Again", "url": "http://www.televisionwithoutpity.com/show/now-and-again/"} +{"d:Title": "IMDB: O.C., The", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The", "url": "http://www.imdb.com/title/tt0362359/"} +{"d:Title": "Soap Opera Fan: The O.C.", "d:Description": "Includes news, spoilers, cast information, episode summaries, and pictures.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The", "url": "http://www.soapoperafan.com/theoc/"} +{"d:Title": "The OC Online", "d:Description": "Episode guides, spoilers, TV schedule, gallery, downloads, forum, and cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The", "url": "http://theoconline.com/"} +{"d:Title": "The Newport Project", "d:Description": "Episode summaries, images, wallpapers, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The", "url": "http://www.ilovetheoc.4t.com/"} +{"d:Title": "The O.C. Filming Locations", "d:Description": "Detailed information and pictures about various places seen on the show.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The", "url": "http://www.seeing-stars.com/OC/"} +{"d:Title": "TV.com: The O.C.", "d:Description": "Episode guide, cast and crew information, analysis, polls, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The", "url": "http://www.tv.com/shows/the-oc/"} +{"d:Title": "Contact Music: The O.C.", "d:Description": "Collection of news stories.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The/Articles_and_Interviews", "url": "http://www.contactmusic.com/info/the_oc"} +{"d:Title": "Coffeerooms the OC", "d:Description": "General show discussion.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The/Chats_and_Forums", "url": "http://www.w3pg.com/forums/tv/oc/"} +{"d:Title": "Fan Forum - The O.C.", "d:Description": "General show discussion.", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The/Chats_and_Forums", "url": "http://www.fanforum.com/forumdisplay.php?f=152"} +{"d:Title": "California_Dreaming", "d:Description": "Discussion of characters, spoilers, and fan fiction. [registration required]", "topic": "Top/Arts/Television/Programs/Dramas/O.C.,_The/Chats_and_Forums", "url": "http://s4.invisionfree.com/California_Dreaming"} +{"d:Title": "PopMatters.com: Once and Again", "d:Description": "Featuring review and analysis of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again", "url": "http://popmatters.com/tv/reviews/o/once-and-again.html"} +{"d:Title": "Once and Again...Once Again", "d:Description": "Contains episode summaries, reviews, news, articles, cast information, and puzzles.", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again", "url": "http://www.angelfire.com/tv/onceagain/"} +{"d:Title": "TKTV.net: Once and Again", "d:Description": "Includes news, links, episode guides, cast information, biographies, and a discussion board.", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again", "url": "http://onceandagain.tktv.net/"} +{"d:Title": "Once and Again Fans", "d:Description": "Includes episode guides, images, trivia, articles, crew list, and cast biographies.", "priority": "1", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again", "url": "http://www.oandafans.com/"} +{"d:Title": "Yahoo! Groups: Once and Again", "d:Description": "Show, cast, character and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again", "url": "http://tv.groups.yahoo.com/group/fansofonceandagain/"} +{"d:Title": "Television Without Pity: Once and Again", "d:Description": "Includes episode recaps, polls, and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again", "url": "http://www.televisionwithoutpity.com/show/once-and-again/"} +{"d:Title": "IMDB.com: Once and Again", "d:Description": "Featuring cast biographies, pictures, and viewers reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again", "url": "http://www.imdb.com/title/tt0202198/"} +{"d:Title": "Jeffrey Nordling", "d:Description": "Unofficial fan site. Contains links, photographs, and TV and movie appearances.", "topic": "Top/Arts/Television/Programs/Dramas/Once_and_Again/Cast_and_Crew", "url": "http://dramafan.tripod.com/jeffreynordling/index.html"} +{"d:Title": "IMDb: One Tree Hill", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/One_Tree_Hill", "url": "http://imdb.com/title/tt0368530/"} +{"d:Title": "Ravens Hoops", "d:Description": "Official site of the character Mouth McFarland, focuses on the basketball team. Video clips and mailing list.", "topic": "Top/Arts/Television/Programs/Dramas/One_Tree_Hill", "url": "http://www.ravenshoops.com/"} +{"d:Title": "PunkNDisorderly", "d:Description": "Official site of the character Peyton Sawer. Weblog, artwork, and video.", "topic": "Top/Arts/Television/Programs/Dramas/One_Tree_Hill", "url": "http://www.punkndisorderly.com/"} +{"d:Title": "Yahoo! Groups: One Tree Hill", "d:Description": "Show, cast, character and episode discussions. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/One_Tree_Hill", "url": "http://tv.groups.yahoo.com/group/one-tree-hill/"} +{"d:Title": "IMDb: Over There", "d:Description": "Cast bios, crew details, user reviews, trivia, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Over_There", "url": "http://imdb.com/title/tt0446241/"} +{"d:Title": "Yahoo! Groups: Over There FX", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Over_There", "url": "http://tv.groups.yahoo.com/group/Over_There_at_FX/"} +{"d:Title": "Oz World", "d:Description": "Fan site with sounds, links, a webring, and episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Oz", "url": "http://www.angelfire.com/ny/oz4life/"} +{"d:Title": "Oz", "d:Description": "Official Levinson/Fontana site, with pictures, cast information, and production notes.", "topic": "Top/Arts/Television/Programs/Dramas/Oz", "url": "http://www.levinson.com/oz.htm"} +{"d:Title": "Rob's OZ Episode Guide", "d:Description": "Episode list, summaries, and cast and character profiles.", "topic": "Top/Arts/Television/Programs/Dramas/Oz", "url": "http://www.durfee.net/oz/"} +{"d:Title": "Chuck Zito", "d:Description": "Collection of photos, news articles, and events about the actor who plays Chuckie Pancamo. Includes family photos, action shots, and still images from Oz.", "topic": "Top/Arts/Television/Programs/Dramas/Oz/Cast_and_Crew", "url": "http://www.chuckzito.com/"} +{"d:Title": "TKTV - Party of Five", "d:Description": "It contains detailed summaries and reviews. It is also a source for spoilers, cast news, music information and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Party_of_Five", "url": "http://partyoffive.tktv.net/"} +{"d:Title": "The Pretender", "d:Description": "Houses a large photo gallery, character profiles, an episode guide for the first three seasons, desktop utilities, and other series-related downloads.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://home.datacomm.ch/oreocookie/"} +{"d:Title": "Brigitte's Secrets", "d:Description": "Contains information on Brigitte, the Centre cleaner, including episode information, multimedia. Also features a list of Pamela Gidley's movie and television roles.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://members.tripod.com/~cleanerpage/"} +{"d:Title": "Pretender Collages and Desktop Wallpaper", "d:Description": "Pretender collages, manipulated images, and desktop wallpaper by Hanna1013.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://hanna1013.20m.com/pretender.html"} +{"d:Title": "The Pretender Centre", "d:Description": "An episode guide, images, trivia quizzes, cast biographies, and family trees.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://www.angelfire.com/tx5/pretender/"} +{"d:Title": "KB's Pretender Information Page", "d:Description": "Includes major character information, descriptions of relevant locations, and an index of episodes, discoveries, minor characters, and Jarod's aliases and occupations.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://thecentre.delaware.tripod.com/index.html"} +{"d:Title": "Lois' Daily Planet: The Pretender Index", "d:Description": "Includes current news and happenings, a full episode and movie guide with screen captures and wav files, and summaries of each season.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://www.mydailyplanet.com/Pretender-Index.htm"} +{"d:Title": "The Centre", "d:Description": "Includes FAQ, cast and character biographies, fan fiction, episode guide, sounds, and image galleries.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://www.neon-hummingbird.com/centre/"} +{"d:Title": "The Pretender", "d:Description": "Episode guide, character information, cast biographies, picture gallery, and award list.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://www.angelfire.com/planet/the_pretender/"} +{"d:Title": "IMDb: The Pretender: Island of the Haunted", "d:Description": "Information pertaining to 'The Island of the Haunted' movie, including cast, crew, and company credits.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://www.imdb.com/title/tt0274735/"} +{"d:Title": "IMDb: The Pretender", "d:Description": "Information about the series includes cast, crew, and company credits, guest star information, awards, quotes, trivia, storyboards, filming information, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://www.imdb.com/title/tt0115320/"} +{"d:Title": "IMDb: The Pretender 2001", "d:Description": "Information about 'The Pretender 2001' movie includes cast and crew credits, filming information, promotional photographs, and audience reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The", "url": "http://www.imdb.com/title/tt0271732/fullcredits"} +{"d:Title": "Yahoo! Groups: Everything About the Pretender", "d:Description": "Discussion of the series and reviews of episodes. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/everythingaboutthepretender/"} +{"d:Title": "Yahoo! Groups: Pretender UK", "d:Description": "An e-mail group that caters to Pretender fans who live in the Ireland and the United Kingdom. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/PretenderUK/"} +{"d:Title": "Yahoo! Groups: australianpretenders", "d:Description": "An e-mail group that caters to Pretender fans who live in Australia. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/australianpretenders/"} +{"d:Title": "The SIM Archive at Tripod", "d:Description": "A detailed first season episode guide, complete with trivia and quotes, and a piece of fan fiction comprise this site.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Episode_Guides", "url": "http://members.tripod.com/~MrLyle/bluenotebook.html"} +{"d:Title": "The Jarodstwin Archive at Tripod", "d:Description": "A very detailed second season episode guide, including some third season episodes, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Episode_Guides", "url": "http://members.tripod.com/~jarodstwin/index.html"} +{"d:Title": "Amber's Pretender Refuge", "d:Description": "Contains short stories and series by the webmaster and other authors, including an X-Files cross-over. A page of quotes completes the site.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Fan_Fiction", "url": "http://www.angelfire.com/ok/mysticsfavor/index.html"} +{"d:Title": "Trust", "d:Description": "This lone story, by Annabelle Leigh, examines Miss Parker's life, and its direction.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Fan_Fiction", "url": "http://members.tripod.com/~AnnaBleigh/trust.htm/"} +{"d:Title": "The World Is Not Enough", "d:Description": "This series, by Mercedes, revolves around Mr. Lyle, a mysterious woman named Mia, and the Centre's plans for both. Subplots heavily involve Miss Parker and Jarod. The site features new off-site stories and authors of the month.", "topic": "Top/Arts/Television/Programs/Dramas/Pretender,_The/Fan_Fiction", "url": "http://twine.8k.com/"} +{"d:Title": "Princesses of Pearls", "d:Description": "Summary of the Chinese drama, character profiles, and images", "topic": "Top/Arts/Television/Programs/Dramas/Princesses_of_Pearls", "url": "http://www.snowrose7.50megs.com/index.html"} +{"d:Title": "ProfilerRPG", "d:Description": "Role Playing Game for the TV show.", "topic": "Top/Arts/Television/Programs/Dramas/Profiler", "url": "http://groups.yahoo.com/group/ProfilerRPG/"} +{"d:Title": "Mardel's Library of Profiler Fan Fiction", "d:Description": "Mostly Sam and Bailey but also other stories.", "topic": "Top/Arts/Television/Programs/Dramas/Profiler/Fan_Fiction", "url": "http://www.angelfire.com/va3/mlibrary/index.html"} +{"d:Title": "Fish Wishes: Jim Profit", "d:Description": "Questions and answers, quotes and sounds, photos, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Profit", "url": "http://dpcc.com/lpetix/profit/"} +{"d:Title": "Profit", "d:Description": "Show and scheduling history, episode guides.", "topic": "Top/Arts/Television/Programs/Dramas/Profit", "url": "http://members.tripod.com/~s_mckay/profit.htm"} +{"d:Title": "IMDb: Profit", "d:Description": "Cast, crew, and production data, as well as user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Profit", "url": "http://www.imdb.com/title/tt0115323/"} +{"d:Title": "TV.com: Profit", "d:Description": "Episode guide, cast and crew information, and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Profit", "url": "http://www.tv.com/shows/profit/"} +{"d:Title": "IMDb: Push Nevada", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Push,_Nevada", "url": "http://www.imdb.com/title/tt0315701/"} +{"d:Title": "TV.com: Push, Nevada", "d:Description": "Episode and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Push,_Nevada", "url": "http://www.tv.com/shows/push-nevada/"} +{"d:Title": "Queer As Folk Addiction", "d:Description": "Fan site with an emphasis on actor Gale Harold. Offers pictures, quotes, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Queer_as_Folk_-_American_Version", "url": "http://www.angelfire.com/home/qaf/"} +{"d:Title": "The Queer as Folk Unofficial Website", "d:Description": "Fan site with behind the scenes material, multimedia downloads, and an episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Queer_as_Folk_-_American_Version", "url": "http://www.angelfire.com/tv2/queerasfolk2001/"} +{"d:Title": "Michael and Ben: Living In The Now", "d:Description": "Archive of fan fiction, fan art, screen captures, animations, and episode recaps focused on the pair.", "topic": "Top/Arts/Television/Programs/Dramas/Queer_as_Folk_-_American_Version", "url": "http://www.squidge.org/abisel/index.html"} +{"d:Title": "IMDB - Queer as Folk", "d:Description": "Information about the cast and crew, links and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Queer_as_Folk_-_American_Version", "url": "http://www.imdb.com/title/tt0262985/"} +{"d:Title": "Mysteries on TV: Quincy, M.E.", "d:Description": "Retrospective on the show.", "topic": "Top/Arts/Television/Programs/Dramas/Quincy", "url": "http://www.mysterynet.com/tv/profiles/quincy/"} +{"d:Title": "Remington Steele", "d:Description": "Fan fiction archive, episode guide, information about the cast, and the fanzine \"More Red Holt Steele\".", "topic": "Top/Arts/Television/Programs/Dramas/Remington_Steele", "url": "http://www.angelfire.com/fl3/remingtonsteele/index.html"} +{"d:Title": "Yahoo Groups: Steele Watchers", "d:Description": "Mailing list for show and cast discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Remington_Steele", "url": "http://tv.groups.yahoo.com/group/steelewatchers/"} +{"d:Title": "Chronicles", "d:Description": "Crossover fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Remington_Steele/Fan_Fiction", "url": "http://www.angelfire.com/la/KnightScribe/index.html"} +{"d:Title": "Steele, Inc. - Atlanta Division", "d:Description": "Fan fiction, and \"Everything I Need to Know in Life I Learned from Remington Steele\".", "topic": "Top/Arts/Television/Programs/Dramas/Remington_Steele/Fan_Fiction", "url": "http://www.mindspring.com/~dtalley/index.html"} +{"d:Title": "Rescue 77", "d:Description": "Series information, quotes, pictures, episode guide, cast profiles, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/Rescue_77", "url": "http://www.angelfire.com/fl2/jojosworld/R77index.html"} +{"d:Title": "IMDb: Rescue 77", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Rescue_77", "url": "http://www.imdb.com/title/tt0182627/"} +{"d:Title": "IMDb: Rescue Me", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Rescue_Me", "url": "http://www.imdb.com/title/tt0381798/"} +{"d:Title": "SFGate.com: Rescue Me", "d:Description": "Review from the San Francisco Chronicle by Tim Goodman.", "topic": "Top/Arts/Television/Programs/Dramas/Rescue_Me", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2004/07/21/DDGP17O7231.DTL"} +{"d:Title": "Yahoo! Groups: Rescue Me", "d:Description": "Fan discussion of cast, characters, plot and spoilers. [Yahoo! registration required]", "topic": "Top/Arts/Television/Programs/Dramas/Rescue_Me", "url": "http://tv.groups.yahoo.com/group/rescue_me_fx/"} +{"d:Title": "Rescue Me Fan Club", "d:Description": "Includes news, episode guide, cast biographies, pictures, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Rescue_Me", "url": "http://www.rescuemefanclub.blogspot.com/"} +{"d:Title": "Television Without Pity: Rescue Me", "d:Description": "Detailed episode recaps, and a forum.", "topic": "Top/Arts/Television/Programs/Dramas/Rescue_Me", "url": "http://www.televisionwithoutpity.com/show/rescue-me/"} +{"d:Title": "IMDb: \"Resurrection Blvd.\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Resurrection_Blvd", "url": "http://imdb.com/title/tt0249313/"} +{"d:Title": "IMDb: Reunion", "d:Description": "Show synopsis, cast bios, user comments, goofs, soundtrack info, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Reunion", "url": "http://imdb.com/title/tt0460672/"} +{"d:Title": "Wikipedia: Reunion", "d:Description": "Show synopsis, cast bios, and character info.", "topic": "Top/Arts/Television/Programs/Dramas/Reunion", "url": "http://en.wikipedia.org/wiki/Reunion_(TV_series)"} +{"d:Title": "Yahoo! Groups: Reunion", "d:Description": "Show, cast, character and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Reunion", "url": "http://tv.groups.yahoo.com/group/reunion_fox/"} +{"d:Title": "SFgate: Reunion", "d:Description": "\"San Francisco Chronicle\" review.", "topic": "Top/Arts/Television/Programs/Dramas/Reunion", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2005/09/08/DDGKGEJCOO1.DTL&type=tvradio"} +{"d:Title": "IMDb: The Riches", "d:Description": "Show synopsis, cast biographies, crew details, photo gallery, and user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Riches,_The", "url": "http://www.imdb.com/title/tt0496343/"} +{"d:Title": "NPR: The Richest Review", "d:Description": "Audio show overview and review by David Bianculli of NPR.", "topic": "Top/Arts/Television/Programs/Dramas/Riches,_The", "url": "http://www.npr.org/templates/story/story.php?storyId=7852320"} +{"d:Title": "The Golden Road to Avonlea", "d:Description": "Episode guide, cast and character information, quotes, images, video and sound clips, memory game, quiz, forum.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://www.avonlea.hu/"} +{"d:Title": "Avonlea and The Spirit of LM Montgomery", "d:Description": "Features the Avonlea Musicale, a collection of midi and wav files of Avonlea music with lyrics and quizzes, as well as paintings and photos from various Avonlea-related filming sites.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://auntyjanet.freeservers.com/spiritof.html"} +{"d:Title": "Ain't That the Pistol: Avonlea's Jasper Dale", "d:Description": "Fansite dedicated to character Jasper Dale with photos, facts, and Jasper episode guide.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://rosegardengraphics.htmlplanet.com/home.html"} +{"d:Title": "Avonlea Quotes", "d:Description": "A collection of memorable quotes from throughout the series.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://newbedford.tripod.com/avonlea/index.html"} +{"d:Title": "Avonlea Village Discussion Group", "d:Description": "E-mail group for fans of Road to Avonlea.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://groups.yahoo.com/group/avonleavillage"} +{"d:Title": "Road To Avonlea Exclusive", "d:Description": "Image gallery, multimedia, polls, episode guide, cast addresses, cast and character biographies, links.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://film_queen.tripod.com/roadtoavonleaexclusive"} +{"d:Title": "Avonlea Club", "d:Description": "Yahoo group for discussion of all things relating to Road to Avonlea.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://groups.yahoo.com/group/avonlea2/"} +{"d:Title": "Sullivan Entertainment: Road to Avonlea", "d:Description": "Official website for the series. Episode guide, behind-the-scenes, cast biographies, image gallery, forum, multimedia, links, recipes, information on the Victorian era.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://www.roadtoavonlea.com/"} +{"d:Title": "The Magic Lantern Road to Avonlea Guide", "d:Description": "Includes episode reviews, reference guide, news, and a forum.", "priority": "1", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://www.avonleaguide.com/"} +{"d:Title": "Avonlea Convention", "d:Description": "A grassroots, fan-organized event that takes place at Black Creek Pioneer Village, Toronto, Canada. Includes information about accommodations, the venue and previous conventions.", "topic": "Top/Arts/Television/Programs/Dramas/Road_to_Avonlea", "url": "http://www.avonleaconvention.org/"} +{"d:Title": "IMDb: Danger Man aka Secret Agent", "d:Description": "Find synopsis, series history, cast, and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Secret_Agent", "url": "http://www.imdb.com/title/tt0058846/"} +{"d:Title": "IMDb: The Secret Life of the American Teenager", "d:Description": "Show synopsis, cast details, production information, user reviews, episode list, photograph gallery, video clips, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Secret_Life_of_the_American_Teenager,_The", "url": "http://www.imdb.com/title/tt1179817/"} +{"d:Title": "IMDb: Shark", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Shark", "url": "http://www.imdb.com/title/tt0805666/"} +{"d:Title": "Washington Post: James Woods's Formidable Chops in 'Shark'", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Dramas/Shark", "url": "http://www.washingtonpost.com/wp-dyn/content/article/2006/09/20/AR2006092001987.html"} +{"d:Title": "USA TODAY: Woods is the only redeeming 'Shark' in the water", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Dramas/Shark", "url": "http://www.usatoday.com/life/television/reviews/2006-09-20-shark-review_x.htm"} +{"d:Title": "Yahoo! Groups: Simon and Simon", "d:Description": "Discussion of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Simon_and_Simon", "url": "http://groups.yahoo.com/group/simonandsimon/"} +{"d:Title": "IMDb: Simon&Simon", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Simon_and_Simon", "url": "http://www.imdb.com/title/tt0081930/"} +{"d:Title": "TV.com: Simon and Simon", "d:Description": "Episode guide with summaries, as well as cast and crew information.", "topic": "Top/Arts/Television/Programs/Dramas/Simon_and_Simon", "url": "http://www.tv.com/shows/simon-simon/"} +{"d:Title": "IMDB.com: Sisters", "d:Description": "Contains viewers comments, links, full cast list, information, and biographies.", "topic": "Top/Arts/Television/Programs/Dramas/Sisters", "url": "http://www.imdb.com/title/tt0101199/"} +{"d:Title": "TV.com: Sisters", "d:Description": "Including episode guide, listings, viewers comments, links, and cast list.", "topic": "Top/Arts/Television/Programs/Dramas/Sisters", "url": "http://www.tv.com/shows/sisters/"} +{"d:Title": "IMDb: Six Degrees", "d:Description": "Overview, user reviews, message board, cast biographies, production information, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Six_Degrees", "url": "http://www.imdb.com/title/tt0801427/"} +{"d:Title": "Six Feet Under", "d:Description": "HBO's official site for the series with information on upcoming episodes, cast and crew, guest stars, schedule information, video interviews and insiders guide.", "topic": "Top/Arts/Television/Programs/Dramas/Six_Feet_Under", "url": "http://www.hbo.com/sixfeetunder/"} +{"d:Title": "Yahoo! Groups: HBO Six Feet Under Show", "d:Description": "Mailing list for fan discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Six_Feet_Under", "url": "http://tv.groups.yahoo.com/group/hbosixfeetundershow/"} +{"d:Title": "Television Without Pity: Six Feet Under", "d:Description": "Episode recaps and message board.", "topic": "Top/Arts/Television/Programs/Dramas/Six_Feet_Under", "url": "http://www.televisionwithoutpity.com/show/six-feet-under/"} +{"d:Title": "IMDb: Six Feet Under", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Six_Feet_Under", "url": "http://www.imdb.com/title/tt0248654/"} +{"d:Title": "Coffeerooms - The Sopranos Board", "d:Description": "Women's discussion board for the Sopranos.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://www.coffeerooms.com/forums/tv/sopranos/index.html"} +{"d:Title": "HBO: The Sopranos", "d:Description": "Official site of The Sopranos, an HBO drama series about a New Jersey mob family.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://www.hbo.com/sopranos/"} +{"d:Title": "Soprano Sue's Sightings", "d:Description": "Includes a calendar of filming, cast news, and photographs of cast sightings.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://www.sopranosuessightings.com/"} +{"d:Title": "The Unofficial Sopranos Fan Club", "d:Description": "A bulletin board for a fan club for HBO's \"The Sopranos\".", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://groups.yahoo.com/group/soprano/"} +{"d:Title": "PopMatters - The Sopranos", "d:Description": "A discussion of critics, art and audience in relation to The Sopranos television program.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://popmatters.com/tv/reviews/s/sopranos.html"} +{"d:Title": "Wikipedia: The Sopranos", "d:Description": "Show synopsis, cast information, episode list, show timeline, symbolism, themes, critical analysis, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://en.wikipedia.org/wiki/The_Sopranos"} +{"d:Title": "IMDb: The Sopranos", "d:Description": "Show synopsis, cast biographies, crew details, user comments, trivia, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://www.imdb.com/title/tt0141842/"} +{"d:Title": "USA Today: HBO Shows Use Real Brands", "d:Description": "Article discussing the use of real brands in the show.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://www.usatoday.com/money/advertising/2002-12-02-sopranos_x.htm"} +{"d:Title": "Sopranos", "d:Description": "Forum including discussions about the show and the cast.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://sopranos.yuku.com/"} +{"d:Title": "Sopranos", "d:Description": "Episode list and synopsis of all seasons. Also cross reference of characters, plot lines, crimes and locations.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The", "url": "http://www.episodelist.com/shows/the-sopranos/10"} +{"d:Title": "Casting Call: The Sopranos", "d:Description": "Journalist Jerry Zezima answers the open casting call for HBO's Emmy-winning series, \"The Sopranos.\"", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The/Articles_and_Interviews", "url": "http://www.evesmag.com/sopranos.htm"} +{"d:Title": "Whacking eloquent: The Sopranos has staying power", "d:Description": "Boston Phoenix review of The Sopranos.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The/Articles_and_Interviews", "url": "http://www.bostonphoenix.com/archive/tv/99/02/11/THE_SOPRANOS.html"} +{"d:Title": "Real, Dream Families Blend for Tony Soprano", "d:Description": "Show commentary by Andy Dehnart of Today.", "topic": "Top/Arts/Television/Programs/Dramas/Sopranos,_The/Articles_and_Interviews", "url": "http://www.today.com/id/11917564"} +{"d:Title": "IMDb: \"Soul Food\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Soul_Food", "url": "http://imdb.com/title/tt0248655/"} +{"d:Title": "IMDb: The Street", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Street,_The", "url": "http://www.imdb.com/title/tt0247135/"} +{"d:Title": "IMDb - Street Time", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Street_Time", "url": "http://www.imdb.com/title/tt0296875/"} +{"d:Title": "IMDb: Studio 60 on the Sunset Strip", "d:Description": "Show overview, cast information, crew details, and trivia.", "topic": "Top/Arts/Television/Programs/Dramas/Studio_60_on_the_Sunset_Strip", "url": "http://www.imdb.com/title/tt0485842/"} +{"d:Title": "Chicago Tribune: Studio 60", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Dramas/Studio_60_on_the_Sunset_Strip", "url": "http://featuresblogs.chicagotribune.com/entertainment_tv/2006/09/studio_60_how_m.html"} +{"d:Title": "SF Chronicle: Aaron Sorkin makes dazzling comeback calling on 'West Wing'-like sophistication", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Dramas/Studio_60_on_the_Sunset_Strip", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2006/09/18/DDG2VL68U51.DTL&type=tvradio"} +{"d:Title": "USA TODAY: Work never looked so fun", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Dramas/Studio_60_on_the_Sunset_Strip", "url": "http://www.usatoday.com/life/television/reviews/2006-09-17-studio-60_x.htm"} +{"d:Title": "IMDb: Summerland", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Summerland", "url": "http://www.imdb.com/title/tt0364423/"} +{"d:Title": "UltimateDisney.com: Sweet Valley High", "d:Description": "Season One DVD review with pictures.", "topic": "Top/Arts/Television/Programs/Dramas/Sweet_Valley_High", "url": "http://www.ultimatedisney.com/sweetvalleyhigh-season1.html"} +{"d:Title": "IMDb: Sweet Valley High", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Sweet_Valley_High", "url": "http://www.imdb.com/title/tt0108949/"} +{"d:Title": "TV.com: Sweet Valley High", "d:Description": "Episode guide with original airdates, series overview, and cast and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Sweet_Valley_High", "url": "http://www.tv.com/shows/sweet-valley-high/"} +{"d:Title": "IMDb: The Event", "d:Description": "Episode guide, cast and crew listings, user reviews.", "topic": "Top/Arts/Television/Programs/Dramas/The_Event", "url": "http://www.imdb.com/title/tt1582459/"} +{"d:Title": "Wikipedia: The Event", "d:Description": "Overview of the series, including narrative technique, cast, development, production, reception, and episode summaries.", "topic": "Top/Arts/Television/Programs/Dramas/The_Event", "url": "http://en.wikipedia.org/wiki/The_Event"} +{"d:Title": "NBC: The Event", "d:Description": "Streaming video of episodes, photos, video, cast information, exclusive videos and behind the scenes content.", "topic": "Top/Arts/Television/Programs/Dramas/The_Event", "url": "http://www.nbc.com/the-event/"} +{"d:Title": "Hulu: The Event", "d:Description": "Streaming video of all 22 episodes of the series.", "topic": "Top/Arts/Television/Programs/Dramas/The_Event", "url": "http://www.hulu.com/the-event"} +{"d:Title": "Deadline.com: NBC Cancels \"The Event\", Talks Underway To Continue The Show Elsewhere", "d:Description": "Reporting on rumours that Netflix was interested in airing the series.", "topic": "Top/Arts/Television/Programs/Dramas/The_Event", "url": "http://www.deadline.com/2011/05/nbc-cancels-the-event-too/"} +{"d:Title": "Twitter: The Event", "d:Description": "Official Twitter account for the series, at @nbcthevent.", "topic": "Top/Arts/Television/Programs/Dramas/The_Event", "url": "http://twitter.com/#!/nbctheevent"} +{"d:Title": "TV.com: The Event", "d:Description": "News, cast and crew listing, episode guide, photos, video, reviews.", "topic": "Top/Arts/Television/Programs/Dramas/The_Event", "url": "http://www.tv.com/shows/the-event/"} +{"d:Title": "IMDb: Thief", "d:Description": "Cast biographies, photo gallery, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Thief", "url": "http://imdb.com/title/tt0454753/"} +{"d:Title": "USA Today: Stolen moments pay off for 'Thieves'", "d:Description": "Review of the show.", "topic": "Top/Arts/Television/Programs/Dramas/Thieves", "url": "http://www.usatoday.com/life/enter/tv/2001-09-28-thieves-review.htm"} +{"d:Title": "IMDb: Thieves", "d:Description": "Cast, crew, and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Thieves", "url": "http://www.imdb.com/title/tt0262994/"} +{"d:Title": "TKTV: Third Watch", "d:Description": "Episode guide, news, cast information, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch", "url": "http://thirdwatch.tktv.net/"} +{"d:Title": "Television Without Pity: Third Watch", "d:Description": "Episode recaps of the first season and a discussion board.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch", "url": "http://www.televisionwithoutpity.com/show/third-watch/"} +{"d:Title": "IMDb: Third Watch", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch", "url": "http://www.imdb.com/title/tt0197182/"} +{"d:Title": "TV.com: Third Watch", "d:Description": "Episode guide, cast and crew information, analysis, polls, and reviews.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch", "url": "http://www.tv.com/shows/third-watch/"} +{"d:Title": "Warner Brothers: Third Watch", "d:Description": "Official site from Warner Bros. Episode guide, behind the scenes information.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch", "url": "http://www.warnerbros.com/tv/third-watch-season-1"} +{"d:Title": "Third Watch Dot Net Bulletin Board", "d:Description": "Forums for each character/actor, discuss the episodes, share fan fiction and fan art.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Chats_and_Forums", "url": "http://www.thirdwatch.net/forum/"} +{"d:Title": "Goodbye to Camelot", "d:Description": "Message board for discussion of episodes and cast members.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Chats_and_Forums", "url": "http://twheaven.proboards.com/"} +{"d:Title": "Third Watch UK", "d:Description": "A forum dedicated to fans of Third Watch from the UK and around the world.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Chats_and_Forums", "url": "http://thirdwatchuk.proboards.com/"} +{"d:Title": "Third Watch Fan Sites", "d:Description": "A directory of Third Watch fan sites.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Directories", "url": "http://www.thirdwatchfans.8m.com/"} +{"d:Title": "WebRing: Third Watch", "d:Description": "Link your site by joining this ring, full of other Third Watch sites.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Directories", "url": "http://www.webring.org/hub?ring=3rdwatch"} +{"d:Title": "Third Watch Music Videos", "d:Description": "Video montages of the show set to music.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Downloads", "url": "http://www.angelfire.com/ny5/thirdwatch/"} +{"d:Title": "LiveJournal Community: Third Watch Icons", "d:Description": "Join this community to share icons and fan art. All are available for download and personal use as long as credit is given.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Downloads", "url": "http://thirdwatchicons.livejournal.com/"} +{"d:Title": "JoJo's World of Third Watch", "d:Description": "Photos, episode guide, and fan fiction. No longer updated, information is current up to season two.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Fan_Pages", "url": "http://www.angelfire.com/fl2/jojosworld/thirdwatch.html"} +{"d:Title": "Third Watch Dot Net", "d:Description": "Includes character biographies, a discussion board, fan fiction, and episode guides.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Fan_Pages", "url": "http://www.thirdwatch.net/"} +{"d:Title": "Third Watch Madness Page", "d:Description": "Message board, polls, facts, news, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Fan_Pages", "url": "http://amyzurakowski.tripod.com/"} +{"d:Title": "Welcome to Third Watch", "d:Description": "Find all the latest news, pictures and fan art.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Fan_Pages", "url": "http://www.thirdwatch.fanspace.com/"} +{"d:Title": "Third Watch", "d:Description": "Character biographies, links, photos.", "topic": "Top/Arts/Television/Programs/Dramas/Third_Watch/Fan_Pages", "url": "http://thirdwatchfans.tripod.com/"} +{"d:Title": "TKTV: Time of Your Life", "d:Description": "News, episode guide, cast information, and articles.", "topic": "Top/Arts/Television/Programs/Dramas/Time_of_Your_Life", "url": "http://timeofyourlife.tktv.net/"} +{"d:Title": "Touched by An Angel Page", "d:Description": "Cast guide and links.", "topic": "Top/Arts/Television/Programs/Dramas/Touched_by_an_Angel", "url": "http://www.angelfire.com/tv/tbaa/index.html"} +{"d:Title": "Touched by an Angel", "d:Description": "Official site. Includes a complete episode guide, message board, photos, news and episode information, sounds and video clips.", "priority": "1", "topic": "Top/Arts/Television/Programs/Dramas/Touched_by_an_Angel", "url": "http://www.touched.com/"} +{"d:Title": "Finabair's Touched by an Angel Page", "d:Description": "Personal history, humor, links, set visit journal and TBAAngel e-mail discussion list.", "topic": "Top/Arts/Television/Programs/Dramas/Touched_by_an_Angel", "url": "http://www.ishouldsleep.net/TBAAngel/index.html"} +{"d:Title": "Yahoo Group: GardnerRoss", "d:Description": "Mailing list for discussion of the show and the actor's other roles.", "topic": "Top/Arts/Television/Programs/Dramas/Traders", "url": "http://tv.groups.yahoo.com/group/gardnerross/"} +{"d:Title": "Yahoo Group: Traders", "d:Description": "Mailing list for general fan discussion.", "topic": "Top/Arts/Television/Programs/Dramas/Traders", "url": "http://tv.groups.yahoo.com/group/traders-tv/"} +{"d:Title": "IMDb: Traders", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Traders", "url": "http://www.imdb.com/title/tt0115397/"} +{"d:Title": "TV.com: Traders", "d:Description": "Episode guide, cast list and forum.", "topic": "Top/Arts/Television/Programs/Dramas/Traders", "url": "http://www.tv.com/shows/traders/"} +{"d:Title": "In Twin Peaks.com", "d:Description": "Providing background information and photographs of the Twin Peaks filming locations, then and now.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.intwinpeaks.com/"} +{"d:Title": "Welcome to Twin Peaks", "d:Description": "Information on cast, characters, town, chatroom/message board, diary excerpts and Twin Peaks/X-Files crossovers.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.angelfire.com/il/Fetterolfs/tp.html"} +{"d:Title": "The Red Room", "d:Description": "Offers fun facts, episode information, audio clips, and David Lynch's (fake) obituary.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.angelfire.com/id/devoted/tp.html"} +{"d:Title": "The Black Lodge", "d:Description": "Providing information on the show, David Lynch, and the lodge.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.angelfire.com/id/BlackLodge/index.html"} +{"d:Title": "Twin Peaks Studios", "d:Description": "This site contains explanations of the mysteries of Twin Peaks, MP3 music, pictures, symbols, poems, quotes, guides, scripts, actors lists, interviews, David Lynch information, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.sheepproductions.com/tps/"} +{"d:Title": "A Tribute To Albert Rosenfield", "d:Description": "Quotes and sound files of Albert Rosenfield, one of the show's characters.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://mwstaffo.com/twinpeaks/albert.html"} +{"d:Title": "Glastonberry Grove", "d:Description": "Includes scripts, transcripts, message board, images, papers, music, videos, episode guides about the show.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://glastonberrygrove.net"} +{"d:Title": "Twin Peaks Third Season Project", "d:Description": "Fan fiction third season for the series.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://tp3sp.tripod.com/"} +{"d:Title": "Spectrum Magazines: Wrapped in Plastic", "d:Description": "Bi-monthly magazine devoted to the analysis and critique of the works of David Lynch, and other artists associated with the television series.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://spectrummagazines.bizland.com/"} +{"d:Title": "Twin Peaks Brewing Co.", "d:Description": "Includes episode guides, audio clips, quotes, transcripts, and FAQ.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.tpbrewingco.com"} +{"d:Title": "Twin Peaks Archive", "d:Description": "Resource for news, cast interviews and information on products, props and memorabilia.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.twinpeaksarchive.blogspot.com/"} +{"d:Title": "Television Without Pity: Twin Peaks", "d:Description": "Episodes, polls, and discussion boards.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.televisionwithoutpity.com/show/twin-peaks/"} +{"d:Title": "Twin Peaks Online", "d:Description": "Episode guide, FAQ, cast list, timeline, Log Lady intro transcripts, image files, sound files, articles, Usenet, and mailing list postings.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.twinpeaks.org/"} +{"d:Title": "Twin Peaks Home Page", "d:Description": "Map and information on places of interest in the fictional town.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://members.upc.nl/s.beekmans1/twinpeaks/"} +{"d:Title": "IMDb: Twin Peaks (1990)", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks", "url": "http://www.imdb.com/title/tt0098936/"} +{"d:Title": "Joan Chen", "d:Description": "Interviews with Joan Chen.", "topic": "Top/Arts/Television/Programs/Dramas/Twin_Peaks/Cast_and_Crew", "url": "http://goldsea.com/Personalities/Chenjoan/chenjoan.html"} +{"d:Title": "Television Without Pity: Veronica Mars", "d:Description": "Offers recaps of episodes, as well as a forum community.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://www.televisionwithoutpity.com/show/veronica-mars/"} +{"d:Title": "IMDb: Veronica Mars", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://imdb.com/title/tt0412253/"} +{"d:Title": "NeptuneSite", "d:Description": "News about cast, crew, and scheduling. Spoilers for upcoming episodes.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://www.neptunesite.com/"} +{"d:Title": "Get Clued In: A Veronica Mars Fan Site", "d:Description": "News, fan art, episode summaries, and video clips.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://www.angelfire.com/tv2/veronica-mars/"} +{"d:Title": "Mars Investigations: A Beginner's Guide to Veronica Mars", "d:Description": "Character sketches, episode summaries, music listing, timeline, and clues about mysteries.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://www.marsinvestigations.net/"} +{"d:Title": "Wikipedia: Veronica Mars", "d:Description": "Show summary, cast and character information, episode list, ratings and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://en.wikipedia.org/wiki/Veronica_Mars"} +{"d:Title": "TV.com: Veronica Mars", "d:Description": "Episode guide, cast and crew information, and images.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://www.tv.com/shows/veronica-mars/"} +{"d:Title": "LiveJournal.com: Veronica Mars Fans", "d:Description": "Multi-user weblog with links, spoilers, and news.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars", "url": "http://veronica-mars.livejournal.com/"} +{"d:Title": "Hair Flashbacks - Kristen Bell&Veronica Mars", "d:Description": "Article about the hairdresser who created the wig Veronica wears in flashback scenes.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars/Articles_and_Interviews", "url": "http://www.hairboutique.com/tips/tip10066.htm"} +{"d:Title": "Intrepid Media: Caught Between a Mars and a No Place", "d:Description": "Article praising \"Veronica Mars\" and \"Lost\".", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars/Articles_and_Interviews", "url": "http://www.intrepidmedia.com/column.asp?id=1999"} +{"d:Title": "Boston Phoenix- Television: Smooth Operators", "d:Description": "Reviews of \"Veronica Mars\" and \"House\" which are scheduled at the same time.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars/Articles_and_Interviews", "url": "http://www.bostonphoenix.com/boston/arts/tv/documents/04250551.asp"} +{"d:Title": "Media Life magazine: 'Veronica Mars,' oh, to die so young", "d:Description": "Review of the show and discussion of its time slot.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars/Articles_and_Interviews", "url": "http://www.medialifemagazine.com/news2004/oct04/oct18/2_tues/news3tuesday.html"} +{"d:Title": "USATODAY.com - 'Veronica Mars': Intelligent life", "d:Description": "Comparisons to \"Buffy the Vampire Slayer\" and noire movies.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars/Articles_and_Interviews", "url": "http://www.usatoday.com/life/television/reviews/2004-09-21-veronica-mars_x.htm"} +{"d:Title": "Entertainment Weekly's EW.com | TV Review: Veronica Mars", "d:Description": "Similarities with Nancy Drew and \"Twin Peaks\".", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars/Articles_and_Interviews", "url": "http://www.ew.com/ew/article/0,,733014,00.html"} +{"d:Title": "It'll be a crime to miss sleuthing 'Veronica Mars'", "d:Description": "Review of the show and discussion of low ratings.", "topic": "Top/Arts/Television/Programs/Dramas/Veronica_Mars/Articles_and_Interviews", "url": "http://www.seattlepi.com/ae/tv/article/It-ll-be-a-crime-to-miss-sleuthing-Veronica-Mars-1155176.php"} +{"d:Title": "My Favorite TV Show", "d:Description": "News, trivia, episode guide, cast and character info, and photos.", "topic": "Top/Arts/Television/Programs/Dramas/Waltons,_The", "url": "http://members.tripod.com/~StumpJ/index-2.html"} +{"d:Title": "IMDb - The Waltons", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Dramas/Waltons,_The", "url": "http://www.imdb.com/title/tt0068149/"} +{"d:Title": "Epguide: The West Wing", "d:Description": "Complete list of titles and air dates for each episode of this NBC drama series. Also FAQs, links, schedule and themes.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://www.epguides.com/WestWing/"} +{"d:Title": "PopMatters: The West Wing", "d:Description": "In-depth review and analysis of the series, focusing on gender issues.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://popmatters.com/tv/reviews/w/west-wing.html"} +{"d:Title": "The West Wing Continuity Guide", "d:Description": "Has summaries of all episodes as well as information about topics mentioned in episodes, and a guide to errors in continuity.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://westwing.bewarne.com/"} +{"d:Title": "Angel's West Wing Page", "d:Description": "Fan site, with cast list and biographies, message board, and chat room.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://www.angelfire.com/me2/cutenfluffy/"} +{"d:Title": "A West Wing Extra's Journal", "d:Description": "Scott Foster's impressions while working as an extra on the television show.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://www.angelfire.com/journal2/josta/westwing.html"} +{"d:Title": "The West Wing Episode Guide", "d:Description": "Complete list of episodes, airdates and credits. Also descriptions, media quotes and information links for each individual episode.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://www.westwingepguide.com/"} +{"d:Title": "West Wing News Blog", "d:Description": "Links to articles relating to the show and cast.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://westwingnews.blogspot.com/"} +{"d:Title": "EpisodeList.com: The West Wing", "d:Description": "Offers character images, episode list with synopsis, information on regular writers and directors, plus links to IMDb profiles of stars.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The", "url": "http://www.episodelist.com/shows/the-west-wing/38"} +{"d:Title": "WestWingFanFic", "d:Description": "Mailing list for posting and discussion of fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/WestWingFanFic/"} +{"d:Title": "WestWingNBC Mailing List", "d:Description": "Discuss characters, stories, actors, and political topics related to the series.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/WestWingNBC"} +{"d:Title": "JoshDonnaFF Mailing List", "d:Description": "For fans of the West Wing characters played by Bradley Whitford and Janel Moloney. Encourages both fan fiction posting and general relationship discussion.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/JoshDonnaFF/"} +{"d:Title": "TheWestWing Mailing List", "d:Description": "For both lighthearted and serious discussion of this hit TV series. Any topics relating to the program, its cast, and related subject of politics will be acceptable (except for flames).", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/TheWestWing/"} +{"d:Title": "The-West-Wing Mailing List", "d:Description": "For fans interested in lively discussion about the series' characters, plots, and actors.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/The_West_Wing/"} +{"d:Title": "Ww_fans", "d:Description": "Join other fans of the NBC drama series West Wing to discuss the characters. Not for political discussion.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ww_fans/"} +{"d:Title": "WestWingList Mailing List", "d:Description": "For the fans of Aaron Sorkin's 'The West Wing' on NBC.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/westwinglist/"} +{"d:Title": "The West Wing", "d:Description": "Message board with subjects divided by character and season.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://s4.invisionfree.com/West_Wing/index.php"} +{"d:Title": "The West Wing.tk", "d:Description": "Fan discussion of characters, episodes, and fan fiction.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Chats_and_Forums", "url": "http://s4.invisionfree.com/West_Wing/index.php?"} +{"d:Title": "CJDanny Fan Fiction Mailing List", "d:Description": "A mailing list of fan fiction featuring the relationship between characters C.J. and Danny.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://groups.yahoo.com/group/CJDannyFanFic/"} +{"d:Title": "WestWingfic Mailing List", "d:Description": "Join to discuss and brainstorm on fan fiction for this NBC drama series.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://groups.yahoo.com/group/WestWingfic/"} +{"d:Title": "The West Wing Fan Fiction Archive", "d:Description": "Stories arranged by author, title, and category.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://westwingstories.com/archive/"} +{"d:Title": "Another Unofficial", "d:Description": "Contains fan fiction and character biographies.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://anotherunofficial0.tripod.com/"} +{"d:Title": "TWWfanfiction Mailing List", "d:Description": "Offers members the chance to write and read stories about The West Wing. Note: stories posted here will be published on the list owner's website.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://groups.yahoo.com/group/TWWfanfiction/"} +{"d:Title": "Josh Lyman Fiction Archive", "d:Description": "Collection of stories featuring West Wing's deputy chief of staff, played by Bradley Whitford.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://www.angelfire.com/il/Cindyshomepage/Josh.html"} +{"d:Title": "Steph's West Wing Fanfics", "d:Description": "A variety of works that run the gamut from dramas to comedies.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://www.angelfire.com/tv2/stephswestwingfics/westwingficshomepage.html"} +{"d:Title": "Jae Gecko's Fanfiction", "d:Description": "Stories about Josh and Sam from the show.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://www.jaegecko.com/"} +{"d:Title": "Word-a-Month: A West Wing Writing Community", "d:Description": "Every month a different word is posted, and authors are challenged to come up with a short story or drabble incorporating that word.", "topic": "Top/Arts/Television/Programs/Dramas/West_Wing,_The/Fan_Fiction", "url": "http://tww-words.livejournal.com/"} +{"d:Title": "IMDb: What About Brian", "d:Description": "Cast biographies, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/What_About_Brian", "url": "http://www.imdb.com/title/tt0460693/"} +{"d:Title": "IMDb: \"The White Shadow\"", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/White_Shadow,_The", "url": "http://imdb.com/title/tt0077101/"} +{"d:Title": "TV.com: The White Shadow", "d:Description": "Stars, cast, crew, and episode list.", "topic": "Top/Arts/Television/Programs/Dramas/White_Shadow,_The", "url": "http://www.tv.com/shows/the-white-shadow/"} +{"d:Title": "Wind At My Back Episode Guide", "d:Description": "Episode summaries, broadcast dates, and cast.", "topic": "Top/Arts/Television/Programs/Dramas/Wind_at_My_Back", "url": "http://www.wintertime.com/OH/wambguide.txt"} +{"d:Title": "Welcome to New Bedford", "d:Description": "Includes pictures, fan fiction, polls, and links.", "topic": "Top/Arts/Television/Programs/Dramas/Wind_at_My_Back", "url": "http://newbedforder.tripod.com/windatmyback/"} +{"d:Title": "The Wind At My Back Club", "d:Description": "Online club for fans of the show, with message board and chat room. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Dramas/Wind_at_My_Back", "url": "http://groups.yahoo.com/group/thewindatmybackclub/"} +{"d:Title": "TV.com: Wind at My Back", "d:Description": "Episode summaries, information, and listings of cast and crew.", "topic": "Top/Arts/Television/Programs/Dramas/Wind_at_My_Back", "url": "http://www.tv.com/shows/wind-at-my-back/"} +{"d:Title": "Young Americans", "d:Description": "Images, cast biographies, and polls.", "topic": "Top/Arts/Television/Programs/Dramas/Young_Americans", "url": "http://www.angelfire.com/or2/MedicGrl/youngamericans.html"} +{"d:Title": "BBC Online: Z Cars", "d:Description": "A RealMedia clip of the title sequence of the 667 episoded police tv series.", "topic": "Top/Arts/Television/Programs/Dramas/Z-Cars", "url": "http://www.bbc.co.uk/cult/classic/titles/zcars.shtml"} +{"d:Title": "Guardian Century: Z Cars \"May Harm Children\"", "d:Description": "An education psychologist says it is to be regretted that the Z Cars programme on BBC Television should have made a \"sudden dive into sexual psychopathology.\"", "topic": "Top/Arts/Television/Programs/Dramas/Z-Cars", "url": "http://www.theguardian.com/century/1960-1969/Story/0,6051,105542,00.html"} +{"d:Title": "IMDB: \"Z Cars\" (1962)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Dramas/Z-Cars", "url": "http://www.imdb.com/title/tt0129723/"} +{"d:Title": "Making It", "d:Description": "Provides business advice to people who want to start a business or grow their small business. Provides videos, entrepreneur stories, event calendar, and expert advice.", "topic": "Top/Arts/Television/Programs/Educational", "url": "http://www.makingittv.com/"} +{"d:Title": "The American Experience", "d:Description": "Companion Site to the PBS history series that focuses on people and events in American history from Hawaii's last queen to Joe DiMaggio, from World War I to Vietnam. Includes air schedule, archives, and information for teachers.", "topic": "Top/Arts/Television/Programs/Educational/American_Experience,_The", "url": "http://www.pbs.org/wgbh/americanexperience/"} +{"d:Title": "Big Ideas", "d:Description": "Includes series overview, list of great minds, details on individual segments, and interactive feature.", "topic": "Top/Arts/Television/Programs/Educational/Big_Ideas", "url": "http://www.thirteen.org/bigideas/"} +{"d:Title": "Biography", "d:Description": "Provides air schedules, information on the series and the magazine, and links to related merchandise.", "topic": "Top/Arts/Television/Programs/Educational/Biography", "url": "http://www.biography.com/"} +{"d:Title": "IMDb.com - Biography (1987)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Educational/Biography", "url": "http://www.imdb.com/title/tt0092322/"} +{"d:Title": "BookTV", "d:Description": "Includes air schedule and bestseller lists. C-SPAN.", "topic": "Top/Arts/Television/Programs/Educational/BookTV", "url": "http://www.booktv.org/"} +{"d:Title": "BTV: Business Television", "d:Description": "A half hour television series that provides an in-depth look at public and private firms and the entrepreneurs within them. Includes air times and networks, as well as series overview.", "topic": "Top/Arts/Television/Programs/Educational/BTV_-_Business_Television", "url": "http://www.b-tv.com/"} +{"d:Title": "Ciao Italia", "d:Description": "PBS TV series showing you step-by-step instructions on how to create authentic Italian food using fresh ingredients.", "topic": "Top/Arts/Television/Programs/Educational/Ciao_Italia", "url": "http://www.ciaoitalia.com/"} +{"d:Title": "Closer to Truth", "d:Description": "Television series on cosmos, consciousness and God, a search of the ideas of existence. Includes episodes, references, and brief information on participants.", "topic": "Top/Arts/Television/Programs/Educational/Closer_to_Truth", "url": "http://www.closertotruth.com/"} +{"d:Title": "PBS: Closer to Truth", "d:Description": "Provides description of the program, production credits, participants, broadcast schedule and episodes.", "topic": "Top/Arts/Television/Programs/Educational/Closer_to_Truth", "url": "http://www.pbs.org/kcet/closertotruth/"} +{"d:Title": "Wikipedia: Closer to Truth", "d:Description": "Encyclopedia article providing an episode guide for seasons one through four.", "topic": "Top/Arts/Television/Programs/Educational/Closer_to_Truth", "url": "http://en.wikipedia.org/wiki/Closer_to_Truth"} +{"d:Title": "Culture Shock", "d:Description": "Companion Web site to nationally broadcast television series on the arts, cultural values, and freedom of expression. Includes episode information, airdates, producers' biographies, and teachers' guide.", "topic": "Top/Arts/Television/Programs/Educational/Culture_Shock", "url": "http://www.pbs.org/wgbh/cultureshock/"} +{"d:Title": "Digital Duo", "d:Description": "The PBS series offers reviews of digital products. Includes episode information, lists of products reviewed, and air schedule.", "topic": "Top/Arts/Television/Programs/Educational/Digital_Duo", "url": "http://www.digitalduo.com/"} +{"d:Title": "Egg the Arts Show", "d:Description": "From Thirteen/WNET New York. Program features, multimedia, interviews, and discussions.", "topic": "Top/Arts/Television/Programs/Educational/Egg_the_Arts_Show", "url": "http://www.pbs.org/wnet/egg/index.html"} +{"d:Title": "Globe Trekker", "d:Description": "Video and music clips, presenter interviews, chat, destination guides, downloads, and air schedule.", "topic": "Top/Arts/Television/Programs/Educational/Globe_Trekker", "url": "http://www.pilotguides.com/tv-shows/globe-trekker/"} +{"d:Title": "Great Performances", "d:Description": "Companion site to PBS's landmark performing arts series with interviews, air schedule, resources for teachers, and video clips.", "topic": "Top/Arts/Television/Programs/Educational/Great_Performances", "url": "http://www.pbs.org/wnet/gperf/"} +{"d:Title": "Mental Engineering", "d:Description": "Includes series overview and history, host biographies, and list of stations carrying the show.", "topic": "Top/Arts/Television/Programs/Educational/Mental_Engineering", "url": "http://www.mentalengineering.com/"} +{"d:Title": "MN Bound", "d:Description": "Ron Schara shares stories about the outdoors. Information on his adventures, recipes, and an episode calendar.", "topic": "Top/Arts/Television/Programs/Educational/Minnesota_Bound", "url": "http://www.mnbound.com/"} +{"d:Title": "Nerds 2.0.1", "d:Description": "Includes quiz, feedback, glossary of related terms, and credits.", "topic": "Top/Arts/Television/Programs/Educational/Nerds_2.0.1", "url": "http://www.pbs.org/opb/nerds2.0.1/"} +{"d:Title": "Right On The Money", "d:Description": "Series overview, station finder, episodes, and related financial advice.", "topic": "Top/Arts/Television/Programs/Educational/Right_on_the_Money", "url": "http://rightonthemoney.org/"} +{"d:Title": "Sister Wendy's American Collection", "d:Description": "Features resources for art lovers, including an interview with Sister Wendy, profiles of the participating museums, and a closer look at selected works.", "topic": "Top/Arts/Television/Programs/Educational/Sister_Wendy's_American_Collection", "url": "http://www.pbs.org/wgbh/sisterwendy/"} +{"d:Title": "Small Business School", "d:Description": "Episode information and resources for business owners.", "topic": "Top/Arts/Television/Programs/Educational/Small_Business_School", "url": "http://www.smallbusinessschool.org/"} +{"d:Title": "The University Network", "d:Description": "Broadcast via television, radio, satellite and on the internet, Dr. Gene Scott provides programming focused on Christianity and the Bible.", "topic": "Top/Arts/Television/Programs/Educational/University_Network,_The", "url": "http://www.drgenescott.org/"} +{"d:Title": "Worst-Case Scenario", "d:Description": "Based on the book, The Worst-Case Scenario Survival Handbook, a TBS Superstation series tackling the world's extreme situations and demonstrating how best to survive them. Hosted by Mike Rowe and Danielle Burgio (\"Gear Girl\").", "topic": "Top/Arts/Television/Programs/Educational/Worst-Case_Scenario", "url": "http://www.tbssuperstation.com/Shows/Section/0,8905,17|66,00.html"} +{"d:Title": "Entertainment Tonight Online", "d:Description": "Main site for the show, with polls, interviews, articles, and broadcast schedule.", "topic": "Top/Arts/Television/Programs/Entertainment_News/Entertainment_Tonight", "url": "http://www.etonline.com/"} +{"d:Title": "Entertainment Tonight", "d:Description": "Collection of news articles about the show.", "topic": "Top/Arts/Television/Programs/Entertainment_News/Entertainment_Tonight", "url": "http://jam.canoe.com/Television/TV_Shows/E/Entertainment_Tonight/"} +{"d:Title": "IMDb: The Insider", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Entertainment_News/Insider,_The", "url": "http://www.imdb.com/title/tt0430836/"} +{"d:Title": "Epguides.com", "d:Description": "Searchable database for information on segments, with titles and original airdates.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://epguides.com/"} +{"d:Title": "TV Shows at TvLand", "d:Description": "Official show listings featuring character and actor bios, pictures and sounds from classic shows airing on the network.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://www.tvland.com/shows/"} +{"d:Title": "Moonlight Critic", "d:Description": "Buffy, Angel, Roswell, and Dawson's Creek reviews and summaries.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://www.angelfire.com/az2/moonlightdust/moon.html"} +{"d:Title": "TV Serien Guide", "d:Description": "Episode information for various crime, fantasy, mystery, and science fiction shows. Also available in German.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://www.mengelke.de/tvsguide/"} +{"d:Title": "EpisodeList.com", "d:Description": "Includes brief plot synopses and utility for tracking appearances by cast members. Covers a variety of genre shows.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://www.episodelist.com/"} +{"d:Title": "The Chronicles of Tantalus", "d:Description": "Covers the latest seasons of Charmed, Alias, Stargate SG-1, Stargate Atlantis, Lost, Smallville and Threshold plus older series.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://www.pmitchell.co.uk/"} +{"d:Title": "TV.com", "d:Description": "Volunteer-built collection of information on series segments and cast and crew. Includes message board for each show covered.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://www.tv.com/"} +{"d:Title": "Billie Doux: Reviews and Articles", "d:Description": "Reviews of Lost, Alias, Battlestar Galactica, The Dead Zone, Buffy the Vampire Slayer, and Angel.", "topic": "Top/Arts/Television/Programs/Episode_Guides", "url": "http://www.billiedoux.com/"} +{"d:Title": "Reality Blurred: Chopping Block's Marco Pierre White", "d:Description": "NBC debuts The Chopping Block, but don\u2019t expect a series much like other network food competitions.", "topic": "Top/Arts/Television/Programs/Food/Chopping_Block,_The", "url": "http://www.realityblurred.com/realitytv/archives/nbc/2009_Mar_11_chopping_block"} +{"d:Title": "Zap2it: On the 'Chopping Block' with Chef Marco Pierre White", "d:Description": "A conference call interview with the chef produced some insights into the nature of the show but was just as much about White himself.", "topic": "Top/Arts/Television/Programs/Food/Chopping_Block,_The", "url": "http://blog.zap2it.com/frominsidethebox/2009/03/on-the-chopping-block-with-marco-pierre-white.html"} +{"d:Title": "Game Shows FAQ", "d:Description": "Text FAQs with lists of all American and Canadian series.", "topic": "Top/Arts/Television/Programs/Game_Shows", "url": "http://www.faqs.org/faqs/tv/game-shows/"} +{"d:Title": "The Game Show Home Game Home Page", "d:Description": "Extensive collection of play-at-home versions of the shows.", "topic": "Top/Arts/Television/Programs/Game_Shows", "url": "http://userdata.acd.net/ottinger/games.htm"} +{"d:Title": "Toeth's Game Show Insights", "d:Description": "Covers several network, syndicated, and cable game shows. Includes links, photos and literature about the shows.", "topic": "Top/Arts/Television/Programs/Game_Shows", "url": "http://www.angelfire.com/tx5/imander/gameshows/"} +{"d:Title": "Game Show Guide", "d:Description": "Online versions of games, ticket information, trivia about the hosts, and personalities.", "topic": "Top/Arts/Television/Programs/Game_Shows", "url": "http://gameshows.about.com/"} +{"d:Title": "Game Show NewsNet", "d:Description": "Provides recaps, reviews and video clips of game shows.", "topic": "Top/Arts/Television/Programs/Game_Shows", "url": "http://www.gameshownewsnet.com/"} +{"d:Title": "Qwizx.com", "d:Description": "Sound clips and downloads related to several shows.", "topic": "Top/Arts/Television/Programs/Game_Shows", "url": "http://www.qwizx.com/"} +{"d:Title": "IMDb: 1 vs. 100", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Game_Shows/1_vs._100", "url": "http://www.imdb.com/title/tt0843318/"} +{"d:Title": "The Beat the Clock Fansite", "d:Description": "Features interviews with cast members, downloadable audio files, videos, and wallpaper.", "topic": "Top/Arts/Television/Programs/Game_Shows/Beat_the_Clock", "url": "http://www.angelfire.com/tv2/beattheclock/"} +{"d:Title": "The Card Sharks Drinking Game", "d:Description": "A drinking game to play along with the show.", "topic": "Top/Arts/Television/Programs/Game_Shows/Card_Sharks", "url": "http://www.dailyping.com/archive/2001/01/19/"} +{"d:Title": "Change of Heart", "d:Description": "The official site for Warner Brothers' new relationship game.", "topic": "Top/Arts/Television/Programs/Game_Shows/Change_of_Heart", "url": "http://www.changeofheart.com/"} +{"d:Title": "Yahoo! Groups: Toeth's Game Show Community", "d:Description": "Members discuss various programs. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Game_Shows/Chats_and_Forums", "url": "http://groups.yahoo.com/group/imandersgameshows/"} +{"d:Title": "IMDb: Concentration", "d:Description": "Information about game show that used two contestants who tried to solve the puzzle first. Show ran from 1958 to 1979 using various hosts.", "topic": "Top/Arts/Television/Programs/Game_Shows/Concentration", "url": "http://www.imdb.com/title/tt0197146/"} +{"d:Title": "Concentration Images", "d:Description": "Features show commentary and host images of Bob Clayton and Hugh Downs.", "topic": "Top/Arts/Television/Programs/Game_Shows/Concentration", "url": "http://home.earthlink.net/~crussmason/cncphot.htm"} +{"d:Title": "NBC: Deal or No Deal", "d:Description": "Official network site. Includes show summary, rules, model guide, online game, and photo gallery.", "topic": "Top/Arts/Television/Programs/Game_Shows/Deal_or_No_Deal", "url": "http://nbc.com/Deal_or_No_Deal/"} +{"d:Title": "IMDb: Deal or No Deal", "d:Description": "Show summary, cast bios, crew details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Game_Shows/Deal_or_No_Deal", "url": "http://www.imdb.com/title/tt0493378/"} +{"d:Title": "Deal or No Deal Probabilities", "d:Description": "Oracle provides tips, charts, and a spreadsheet on contestant payouts.", "topic": "Top/Arts/Television/Programs/Game_Shows/Deal_or_No_Deal", "url": "http://www.dba-oracle.com/fun_deal_or_no_deal.htm"} +{"d:Title": "DOND", "d:Description": "Unofficial fansite for UK based game show. Includes news, schedule, statistics, viewer competitions, games, and a forum.", "topic": "Top/Arts/Television/Programs/Game_Shows/Deal_or_No_Deal", "url": "http://www.dond.co.uk/"} +{"d:Title": "\"What's the deal with 'Deal or No Deal'?\"", "d:Description": "Article features an imagined conversation with The Banker.", "topic": "Top/Arts/Television/Programs/Game_Shows/Deal_or_No_Deal", "url": "http://www.today.com/id/12521060"} +{"d:Title": "IMDb: Dog Eat Dog", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Game_Shows/Dog_Eat_Dog", "url": "http://www.imdb.com/title/tt0313984/"} +{"d:Title": "Wikipedia: Family Feud", "d:Description": "Encyclopedia article on the game show, including hosts, rules of the game, and foreign spin-off versions.", "topic": "Top/Arts/Television/Programs/Game_Shows/Family_Feud", "url": "http://en.wikipedia.org/wiki/Family_Feud"} +{"d:Title": "The Fun House Factory", "d:Description": "Cast and crew, history, game format, contestant stories, merchandise, spinoffs, downloads and information on the various rooms of the Fun House.", "topic": "Top/Arts/Television/Programs/Game_Shows/Fun_House", "url": "http://www.angelfire.com/on2/funhousefactory/index.html"} +{"d:Title": "Quiz Show Scandals - Wikipedia", "d:Description": "General background and introduction to historic TV scandals.", "topic": "Top/Arts/Television/Programs/Game_Shows/Game_Show_Scandals", "url": "http://en.wikipedia.org/wiki/Quiz_show_scandals"} +{"d:Title": "Charles Van Doren - Wikipedia", "d:Description": "Biographical article including information on his role in the scandal on the NBC show Twenty-One.", "topic": "Top/Arts/Television/Programs/Game_Shows/Game_Show_Scandals", "url": "http://en.wikipedia.org/wiki/Charles_Van_Doren"} +{"d:Title": "The HS Times", "d:Description": "A source for missed episodes. Features square-by-square analysis of each show during the week.", "topic": "Top/Arts/Television/Programs/Game_Shows/Hollywood_Squares", "url": "http://www.angelfire.com/ca3/squares98/"} +{"d:Title": "IMDb: I've Got a Secret (1952)", "d:Description": "Provides information about hosts and panelists for the game show that ran from 1952-1967.", "topic": "Top/Arts/Television/Programs/Game_Shows/I've_Got_a_Secret", "url": "http://www.imdb.com/title/tt0044270/"} +{"d:Title": "Jeopardy!", "d:Description": "Official website, with information about the show, including how to be a contestant, as well as an online version of the show.", "priority": "1", "topic": "Top/Arts/Television/Programs/Game_Shows/Jeopardy", "url": "http://www.jeopardy.com/"} +{"d:Title": "Robert's Jeopardy Adventure", "d:Description": "An article by former contestant Robert Niles about his experience being on the show.", "topic": "Top/Arts/Television/Programs/Game_Shows/Jeopardy", "url": "http://www.themeparkinsider.com/news/response.cfm?ID=898"} +{"d:Title": "So You Want to be a Jeopardy Contestant...", "d:Description": "Article by Karl Coryat, two-time Jeopardy champion.", "topic": "Top/Arts/Television/Programs/Game_Shows/Jeopardy", "url": "http://www.pisspoor.com/jep.html"} +{"d:Title": "Jeopardy's 19th Season Tournament of Champions", "d:Description": "Contains photos, facts and contestant information.", "topic": "Top/Arts/Television/Programs/Game_Shows/Jeopardy", "url": "http://jeopardy19toc.tripod.com/index.htm"} +{"d:Title": "J! Archive", "d:Description": "A fan-created archive of game and player information from the beginning of the show to the present day.", "topic": "Top/Arts/Television/Programs/Game_Shows/Jeopardy", "url": "http://www.j-archive.com/"} +{"d:Title": "The New England Rubbish Deconstruction Society", "d:Description": "Home page of the first US team to compete in the Scrapheap Challenge (Junkyard Wars in the US) engineering competition TV show. Teaches kids that engineering is fun.", "topic": "Top/Arts/Television/Programs/Game_Shows/Junkyard_Wars", "url": "http://the-nerds.org/"} +{"d:Title": "Junk Wars Discussion / FAQ", "d:Description": "This discussion board is for any aspect of Junkyard Wars. Especially welcome are ideas for new challenges.", "topic": "Top/Arts/Television/Programs/Game_Shows/Junkyard_Wars", "url": "http://www.greenspun.com/bboard/q-and-a.tcl?topic=Junkyard+Wars"} +{"d:Title": "Junkyard Wars Online", "d:Description": "Fan site with a lot of links and information about the show and related topics.", "topic": "Top/Arts/Television/Programs/Game_Shows/Junkyard_Wars", "url": "http://www.qwizx.com/jyw/"} +{"d:Title": "Let's Make A Deal Home Page", "d:Description": "US TV broadcast history, cast and crew information, and details of spinoff games and foreign productions.", "topic": "Top/Arts/Television/Programs/Game_Shows/Let's_Make_a_Deal", "url": "http://www.letsmakeadeal.com/"} +{"d:Title": "It's Time for Let's Make A Deal", "d:Description": "Broadcast history, photos from the show, descriptions of frequently used deals, and other reminiscences.", "topic": "Top/Arts/Television/Programs/Game_Shows/Let's_Make_a_Deal", "url": "http://www.angelfire.com/wy/game4418/Let_s_Make_A_Deal.htm"} +{"d:Title": "The Monty Hall Page", "d:Description": "Simulation of \"Let's Make a Deal\" with a detailed explanation of the statistics behind winning and losing.", "topic": "Top/Arts/Television/Programs/Game_Shows/Let's_Make_a_Deal", "url": "http://math.ucsd.edu/~crypto/Monty/Montytitle.html"} +{"d:Title": "The Mad Dash", "d:Description": "Classic game show series aired in Canada hosted by Pierre Lalonde and Produced and Directed by Sidney M. Cohen. Daily half-hour featured 2 contestant couples racing around TVs biggest game board. Now being produced internationally.", "topic": "Top/Arts/Television/Programs/Game_Shows/Mad_Dash,_The", "url": "http://webhome.idirect.com/~sidneymc/page4.html"} +{"d:Title": "TVParty.com: Match Game's Brett Somers", "d:Description": "Article by Billy Ingram.", "topic": "Top/Arts/Television/Programs/Game_Shows/Match_Game", "url": "http://www.tvparty.com/gamematch.html"} +{"d:Title": "Net Outburst", "d:Description": "A net game for online play.", "topic": "Top/Arts/Television/Programs/Game_Shows/Net_Games", "url": "http://members.tripod.com/~netoutburst/index.htm"} +{"d:Title": "Net Games Subscription Info", "d:Description": "Subscription information for the Net Games Mailing List, the official mailing list for the interactive games played in the alt.tv.game-shows newsgroup.", "topic": "Top/Arts/Television/Programs/Game_Shows/Net_Games", "url": "http://groups.yahoo.com/group/netgames/"} +{"d:Title": "PYL Board Configurations", "d:Description": "Features board configurations from the show, along with those used in the prequel, second chance, and remake.", "topic": "Top/Arts/Television/Programs/Game_Shows/Press_Your_Luck", "url": "http://users.btes.tv/syoder/pylboard/"} +{"d:Title": "The Golden Road", "d:Description": "\"Come on Down\" to an exciting backstage look at America's longest running game show.", "topic": "Top/Arts/Television/Programs/Game_Shows/Price_Is_Right,_The", "url": "http://www.golden-road.net/"} +{"d:Title": "Rock and Roll Jeopardy", "d:Description": "The official home page of the music version of Jeopardy shown on VH1. Includes a downloadable screen saver, audio and video clips, a tour of the set and interviews with the week's contestants.", "topic": "Top/Arts/Television/Programs/Game_Shows/Rock_and_Roll_Jeopardy", "url": "http://www.rockjeopardy.com/"} +{"d:Title": "\"Takeshi's Castle\" (2002)", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Game_Shows/Takeshi's_Castle", "url": "http://www.imdb.com/title/tt0374460/"} +{"d:Title": "Wikipedia: Takeshi's Castle", "d:Description": "Article about the series, its characters, and the various re-interpreted versions.", "topic": "Top/Arts/Television/Programs/Game_Shows/Takeshi's_Castle", "url": "http://en.wikipedia.org/wiki/Takeshi's_Castle"} +{"d:Title": "Keshi Heads", "d:Description": "Details of the games and characters, and an episode guide.", "topic": "Top/Arts/Television/Programs/Game_Shows/Takeshi's_Castle", "url": "http://www.keshiheads.co.uk/"} +{"d:Title": "The Unofficial Tic Tac Dough Supersite", "d:Description": "Information on \"Everybody's game of strategy, knowledge, and fun\" can be found here.", "topic": "Top/Arts/Television/Programs/Game_Shows/Tic_Tac_Dough", "url": "http://www.angelfire.com/wrestling3/jay_anton/tictacpages/tictacdough.html"} +{"d:Title": "TimeChase - The History Quiz", "d:Description": "Canadian game show returning to History TV this spring.", "topic": "Top/Arts/Television/Programs/Game_Shows/TimeChase", "url": "http://webhome.idirect.com/~sidneymc/page2.html"} +{"d:Title": "To Tell the Truth (1956)", "d:Description": "IMDB entry for the version broadcast from 1956 to 1968. Lists hosts, panelists, and some contestants.", "topic": "Top/Arts/Television/Programs/Game_Shows/To_Tell_the_Truth", "url": "http://www.imdb.com/title/tt0048907/"} +{"d:Title": "To Tell the Truth (1990)", "d:Description": "IMDB entry for the version broadcast from 1990 to 1991. Lists hosts, panelists, and some contestants.", "topic": "Top/Arts/Television/Programs/Game_Shows/To_Tell_the_Truth", "url": "http://www.imdb.com/title/tt0285408/"} +{"d:Title": "To Tell the Truth (1969)", "d:Description": "IMDB entry for the version broadcast from 1969 to 1978. Lists hosts, panelists, and some contestants.", "topic": "Top/Arts/Television/Programs/Game_Shows/To_Tell_the_Truth", "url": "http://www.imdb.com/title/tt0063959/"} +{"d:Title": "Wheel of Fortune", "d:Description": "Official site. Includes an online version of the game as well as show details, news, and information about becoming a contestant.", "topic": "Top/Arts/Television/Programs/Game_Shows/Wheel_of_Fortune", "url": "http://www.wheeloffortune.com/"} +{"d:Title": "Who Wants to be a Millionaire Insights", "d:Description": "Includes information about the primetime and syndicated versions of the show with interviews and links.", "topic": "Top/Arts/Television/Programs/Game_Shows/Who_Wants_to_Be_a_Millionaire", "url": "http://www.angelfire.com/tx5/imander/gameshows/millionaire/index.html"} +{"d:Title": "Who Wants to Be a Millionaire", "d:Description": "Official site of the US syndicated version hosted by Meredith Vieira. Features information on how to be a contestant or audience member, and an online game.", "topic": "Top/Arts/Television/Programs/Game_Shows/Who_Wants_to_Be_a_Millionaire", "url": "http://www.millionairetv.com/"} +{"d:Title": "Salon.com: Who Wants to Marry a Multimillionaire?", "d:Description": "Commentary on the show by Carina Chocano.", "topic": "Top/Arts/Television/Programs/Game_Shows/Who_Wants_to_Marry_a_Multimillionaire", "url": "http://www.salon.com/2000/02/16/multimillionaire/"} +{"d:Title": "Happy Woman Magazine - Darva Conger", "d:Description": "Parody interview.", "topic": "Top/Arts/Television/Programs/Game_Shows/Who_Wants_to_Marry_a_Multimillionaire/Conger,_Darva", "url": "http://www.happywomanmagazine.com/Celebrities/Darva.htm"} +{"d:Title": "Darva Conger Photo Gallery", "d:Description": "Contains 14 original photographs, taken during an autograph session.", "topic": "Top/Arts/Television/Programs/Game_Shows/Who_Wants_to_Marry_a_Multimillionaire/Conger,_Darva", "url": "http://cw.evilgeniuses.org/Mavica/Darva/index.html"} +{"d:Title": "La Casa de Ben (Ben Stein)", "d:Description": "A tribute to the only game show host in history to put up $5,000 of his money that he knows more than you do.", "topic": "Top/Arts/Television/Programs/Game_Shows/Win_Ben_Stein's_Money", "url": "http://www.mrbenstein.com/"} +{"d:Title": "\"You Bet Your Life\" with contestant Mary McCracken and her partner, God", "d:Description": "Transcript of the NBC telecast of May 6, 1954 with host, Groucho Marx.", "topic": "Top/Arts/Television/Programs/Game_Shows/You_Bet_Your_Life", "url": "http://home.earthlink.net/~mattneuman/youbet.htm"} +{"d:Title": "IMDb: You Bet Your Life", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Game_Shows/You_Bet_Your_Life", "url": "http://www.imdb.com/title/tt0042171/"} +{"d:Title": "Discovery Health", "d:Description": "Offers health news and in-depth feature programming.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://health.discovery.com/"} +{"d:Title": "Wellness Hour", "d:Description": "Cable television talk show in the United States. Includes details of guest physicians, news, and presenter's blog.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://wellnesshour.com/"} +{"d:Title": "Aerobics Oz Style", "d:Description": "Australian exercise program. Includes galleries, information on instructors, news and fan mail.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://www.aerobicsozstyle.com.au/"} +{"d:Title": "Booze", "d:Description": "Includes forums, quiz, health issues related to alcohol, video downloads, surveys, and real-life stories of use and abuse.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://news.bbc.co.uk/hi/english/static/in_depth/programmes/2001/booze/"} +{"d:Title": "Children's Hospital", "d:Description": "PBS series examines kids, families, and doctors, coming to terms with illness and injury.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://www.pbs.org/opb/childrenshospital/"} +{"d:Title": "Red Gold", "d:Description": "PBS four-part TV series examining the facts and myths about human blood.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://www.pbs.org/wnet/redgold/"} +{"d:Title": "Trade Secrets", "d:Description": "PBS investigative report by Bill Moyers about how human health and safety have been put at risk by the chemical industry. Includes documents obtained during a lawsuit against chemical companies. Advocates citizen action.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://www.pbs.org/tradesecrets"} +{"d:Title": "Wai Lana Yoga", "d:Description": "Videos and music from the TV series.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://wailana.com/"} +{"d:Title": "Your Health Care: Choice or Chance", "d:Description": "Shows consumers how to navigate the health care system. Includes information on public health issues and wellness programs.", "topic": "Top/Arts/Television/Programs/Health", "url": "http://www.tvyourhealthcare.org/"} +{"d:Title": "About Your House with Bob Yapp", "d:Description": "Episode guide, show schedule, glossary of construction terms, and credits.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/About_Your_House_with_Bob_Yapp", "url": "http://www.pbs.org/aboutyourhouse/"} +{"d:Title": "Antiques Roadshow", "d:Description": "Traveling production that explores the antiques and cultural heritage of various areas of the United States. Includes dates and locations for upcoming events, antique appraisal tips, and collectors' stories.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Antiques_Roadshow", "url": "http://www.pbs.org/wgbh/pages/roadshow/"} +{"d:Title": "About.com: The Antiques Roadshow Visits Oklahoma City", "d:Description": "Article about the show's visit to the city. Includes a summary of appraisals, FAQs and related resources.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Antiques_Roadshow", "url": "http://collectibles.about.com/library/weekly/aa081703a.htm"} +{"d:Title": "Antiques Roadshow FYI", "d:Description": "Show overview, show updates, tips, missing masterpieces, video clips, and forum.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Antiques_Roadshow", "url": "http://www.pbs.org/wgbh/antiquesfyi/"} +{"d:Title": "Handy Ma'am", "d:Description": "Official site with host profiles, TV schedule, episode information, FAQs, merchandise, and project details.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/HandyMa'am_with_Beverly_DeJulio", "url": "http://www.pbs.org/wttw/handymaamtv/"} +{"d:Title": "Hometime on PBS", "d:Description": "Network information on the show, including TV schedule, production credits, host profiles, show history, glossary, and home improvement advice.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Hometime", "url": "http://www.pbs.org/hometime/"} +{"d:Title": "Hometime", "d:Description": "Official site with episode guide, FAQs, TV schedule, project advice, and show merchandise.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Hometime", "url": "http://www.hometime.com/"} +{"d:Title": "New Yankee Workshop", "d:Description": "Official site for the show, with schedule, project plans, and image gallery.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/New_Yankee_Workshop,_The", "url": "http://www.newyankee.com/"} +{"d:Title": "The Painted House", "d:Description": "Official site with FAQs, project information, book and video ordering details, and decorating resources.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Painted_House,_The", "url": "http://www.painted-house.com/"} +{"d:Title": "The Perennial Gardener", "d:Description": "Dedicated to sharing the garden wisdom of Karen Strohbeen with other gardening enthusiasts and the world.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Perennial_Gardener,_The", "url": "http://www.pbs.org/perennialgardener/"} +{"d:Title": "Ron Hazelton's HouseCalls", "d:Description": "Television schedule, home improvement projects, mailbag, and previous episodes.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Ron_Hazelton's_HouseCalls", "url": "http://www.ronhazelton.com/"} +{"d:Title": "The Router Workshop", "d:Description": "Tips, episode information, and air schedule.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Router_Workshop,_The", "url": "http://www.routerworkshop.com/"} +{"d:Title": "Tanya Memme", "d:Description": "View Tanya's latest photo gallery and video clips.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Sell_This_House", "url": "http://www.tanyamemme.com/"} +{"d:Title": "This Old House Online", "d:Description": "Official site for the series. Includes air schedules, information on current project, and resources for do-it-yourselfers.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/This_Old_House", "url": "http://www.thisoldhouse.com/toh/"} +{"d:Title": "Today's Homeowner With Danny Lipford", "d:Description": "Articles, remodeling forum, list of stations where the show is available, biography, and live appearances. Visitors can submit questions by e-mail or in the forum.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Today's_Homeowner_With_Danny_Lipford", "url": "http://www.dannylipford.com/"} +{"d:Title": "Trading Spaces Fan Board", "d:Description": "A fan run message board devoted to the TLC home redecorating show, Trading Spaces.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Chats_and_Forums", "url": "http://forums.delphiforums.com/tradingspaces/start/"} +{"d:Title": "Coffeerooms: Trading Places Board", "d:Description": "A gathering place for fans of Trading Spaces.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Chats_and_Forums", "url": "http://www.coffeerooms.com/forums/tv/trading_spaces/index.html"} +{"d:Title": "Trading Spaces TLC", "d:Description": "A mailing list with archives of past messages, member profiles, links and polls.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Chats_and_Forums", "url": "http://groups.yahoo.com/group/tradingspacestlc/"} +{"d:Title": "Trading Spaces Fan Club", "d:Description": "A Yahoo group with a message board/mailing list, photos and over 150 members.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Chats_and_Forums", "url": "http://groups.yahoo.com/group/tradingspacesfanclub/"} +{"d:Title": "Trading Spaces Links&Group", "d:Description": "A group to talk about Trading Spaces, the ones who create it and the chosen few that let them do it.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Chats_and_Forums", "url": "http://groups.yahoo.com/group/fresh_cribs/"} +{"d:Title": "Our Trading Spaces Experience", "d:Description": "The story of a redesign by Tisha and Tighe from the 3rd season.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Homeowners", "url": "http://tishandtighe.20m.com/ts.html"} +{"d:Title": "ESPN.com: 'Trading Spaces' with NHL GMs", "d:Description": "NHL GMs give the weekend warriors on TLC's 'Trading Spaces' a run for their money.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Humor", "url": "http://www.espn.com/page2/s/wilkie/020712.html"} +{"d:Title": "The Art of Trading Spaces", "d:Description": "Caricatures of the cast of Trading Spaces.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Image_Galleries", "url": "http://www.angelfire.com/biz7/tradingspacesweb/"} +{"d:Title": "Celebs have designs on 'Trading Spaces'", "d:Description": "Details the episode involving Dixie Chick Natalie Maines.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Reviews", "url": "http://www.usatoday.com/life/llead.htm"} +{"d:Title": "TV Note: TLC's 'Trading Spaces' plays out like soap opera", "d:Description": "A basic overview of the show's production.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Reviews", "url": "http://www.post-gazette.com/tv/20011226tvnote1226p5.asp"} +{"d:Title": "'Trading Spaces' mixes paint and friends", "d:Description": "All about the episodes filmed around the Seattle area.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Reviews", "url": "http://www.seattlepi.com/ae/tv/article/Trading-Spaces-mixes-paint-and-friends-1087975.php"} +{"d:Title": "Extratv.com : 'Trading Spaces'", "d:Description": "Article about the show and its rise to fame.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Trading_Spaces/Reviews", "url": "http://telepixtvcgi.warnerbros.com/dailynews/extra/07_02/07_24d.html"} +{"d:Title": "Victory Garden", "d:Description": "A how-to Gardening program.", "topic": "Top/Arts/Television/Programs/Home_and_Garden/Victory_Garden,_The", "url": "http://www.victorygarden.org/"} +{"d:Title": "Film and TV Reviews", "d:Description": "Reviews of Buffy the Vampire Slayer and Angel.", "topic": "Top/Arts/Television/Programs/Horror", "url": "http://davey355.tripod.com/index.html"} +{"d:Title": "American Gothic at CyberPursuits", "d:Description": "Contains links, images, and sound bites.", "topic": "Top/Arts/Television/Programs/Horror/American_Gothic", "url": "http://www.cyberpursuits.com/heckifiknow/ag"} +{"d:Title": "Buck Mansion: An American Gothic Site", "d:Description": "Cast and character profiles, pictures, episode guide, music, message forum, downloads, and petition for DVD release of the series.", "topic": "Top/Arts/Television/Programs/Horror/American_Gothic", "url": "http://www.ourdramaqueen.com/ag/"} +{"d:Title": "IMDb: American Gothic", "d:Description": "Cast details, user reviews, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Horror/American_Gothic", "url": "http://www.imdb.com/title/tt0111880/"} +{"d:Title": "The Sci Fi Freak: American Gothic", "d:Description": "Individual episode reviews of the full series of American Gothic", "topic": "Top/Arts/Television/Programs/Horror/American_Gothic", "url": "http://www.scififreaksite.com/gothic.html"} +{"d:Title": "The Watcher's Council", "d:Description": "Latest news, characters ,storylines, and pictures.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.angelfire.com/ny3/buffy1/"} +{"d:Title": "Dark Angel", "d:Description": "Links, quotes, episode guide, videos, pictures, cast biographies, and sounds.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.angelfire.com/on2/DarkAngel/"} +{"d:Title": "BuffyWallpapers Gateway: Angel", "d:Description": "Desktop wallpapers made from episodes of the TV show Angel.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://buffywallpapers0.tripod.com/angelmain.html"} +{"d:Title": "Jenoff's Angel Reviews", "d:Description": "Episode summaries and reviews", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www3.sympatico.ca/jenoff/angelrev.htm"} +{"d:Title": "Caritas", "d:Description": "Lessons learned from Angel, pictures with captions, episode guide, games, and links.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.neloo.com/caritas/"} +{"d:Title": "City of Angel", "d:Description": "Features include news, interviews, an episode guide, picture galleries, character profiles, and a forum.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.cityofangel.com/"} +{"d:Title": "Two Demons, A Girl And A Batcave.", "d:Description": "Includes episode reviews, synopsis, quotes, pop references, continuity, goofs, and points of view. Also has RPG material and a demon database.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://angel.fcpages.com/"} +{"d:Title": "The Hyperion", "d:Description": "Contains episode information, news, photo galleries, and fan art.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.angelfire.com/nb2/thehyperion/index.htm"} +{"d:Title": "Angel's Secrets", "d:Description": "Features image galleries, episode summaries and reviews, news, fanfiction, message board, and the history of Angel.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.secretsofangel.com/"} +{"d:Title": "The Angel Phenomenon", "d:Description": "Features analysis of Angel episodes.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.phi-phenomenon.org/angel/"} +{"d:Title": "My Little Site: Angel", "d:Description": "Fansite featuring spoilers, news, character information, and chat transcripts.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://solitaryphoenix.com/Angel"} +{"d:Title": "Allexperts Angel Q&A", "d:Description": "Try and stump the experts about show related trivia. Site also includes a message board.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.allexperts.com/browse.cgi?catLvl=3&catID=1725"} +{"d:Title": "EpisodeList : Angel", "d:Description": "Information about episodes; find characters, writers or directors by episode.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://www.episodelist.com/shows/angel/23"} +{"d:Title": "Mr. Pointy's Angel Reviews", "d:Description": "Episode reviews, quotes, most memorable moments and even some patently fake spoilers.", "topic": "Top/Arts/Television/Programs/Horror/Angel", "url": "http://sotty.home.xs4all.nl/angel.html"} +{"d:Title": "Minearketeers, The", "d:Description": "Tim Minear Fan Club, Co-Executive Producer for the TV series.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Cast_and_Crew", "url": "http://timminear.net/"} +{"d:Title": "Vahla ha'Nesh", "d:Description": "Angel site devoted to Illyria and Fred with non frames and framed version, music videos, and wallpapers, as well as a LiveJournal.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Cast_and_Crew", "url": "http://www.illyria.tv/"} +{"d:Title": "Angel's Retreat", "d:Description": "Episode lists and reviews, fan fiction, images, and quizzes.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Angel", "url": "http://www.angelfire.com/ma/SlaydaVamps/"} +{"d:Title": "Cordelia Chase Crew", "d:Description": "Fan club and interactive website. Includes a quiz, episode guide, photographs and articles.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Chase,_Cordelia", "url": "http://mesuvius.tripod.com/"} +{"d:Title": "Cordy Chase", "d:Description": "Image galleries, Keepers and Guardians, words of wisdom and original artwork.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Chase,_Cordelia", "url": "http://www.cordychase.com/"} +{"d:Title": "Lemonade", "d:Description": "Little corner of Cordelia and Charisma worship. Includes fan fiction, links, character and actor biographies.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Chase,_Cordelia", "url": "http://www.loony-archivist.com/lemonade/"} +{"d:Title": "De Banks of DeNial", "d:Description": "Tribute to the character Allen Francis Doyle, who was played by Glenn Quinn on the television show \"Angel.\" Commentary, fan fiction, membership listing, and an invitation to join.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Doyle,_Allen_Francis", "url": "http://denialist.tripod.com/"} +{"d:Title": "Doyle Cult of Resurrection", "d:Description": "Fan fiction, membership list, links, and pictures.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Doyle,_Allen_Francis", "url": "http://www.angelfire.com/tv/dcr/"} +{"d:Title": "YahooGroups: LindseyMcDonald", "d:Description": "Mailing list for discussion of the character and the fictional law firm, Wolfram and Hart. Discussion only, no fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/McDonald,_Lindsey", "url": "http://groups.yahoo.com/group/LindseyMcDonald/"} +{"d:Title": "In Your Wanderings", "d:Description": "A Wesley/Fred fan fiction archive.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://www.angelfire.com/tv2/iyw/index.html"} +{"d:Title": "Is It That Obvious", "d:Description": "Wesley/Fred Episode Guide that includes shooting scripts, screencaps, and quotes.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://www.angelfire.com/tv2/iito/index2.html"} +{"d:Title": "The Gunn/Wesley Fanfic Archive", "d:Description": "Fan fiction archive for the Wesley/Gunn pairing.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://gunnwesley.populli.net/"} +{"d:Title": "The Glass Onion Archive", "d:Description": "Fan fiction archive with a variety of general Angel stories, Wesley-centric stories as well as other fandoms.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://glassonion.populli.org/archive/Middle.shtml"} +{"d:Title": "BBC - Cult - Angel - Character Guide - Wesley Wyndam-Pryce", "d:Description": "Angel Character Guide containing information on the character Wesley Wyndam-Pryce. Part of the BBC Cult website.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://www.bbc.co.uk/cult/buffy/angel/characters/page3.shtml"} +{"d:Title": "Wesley Wyndam-Pryce - Wikipedia, the free encyclopedia", "d:Description": "Summary and episode details pertaining to the character Wesley.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://en.wikipedia.org/wiki/Wesley_Wyndam-Pryce"} +{"d:Title": "Defenders of Wesley", "d:Description": "Yahoo! Group containing photos, fan fiction and general discussion of the character Wesley.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://tv.groups.yahoo.com/group/defendersofwesley/"} +{"d:Title": "Dangerous Habits : v1 : Our Lips are Sealed", "d:Description": "Wesley/Angel fan site. Includes information on Wesley and Angel and fan fiction for the pairing.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://www.angelfire.com/dbz/angelwes/halo.htm"} +{"d:Title": "Wesley Fanfiction [dot] Net :: Because he's so ficcable!", "d:Description": "Extensive Wesley fan fiction archive, all pairings.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://wesleyfanfiction.net/archive/"} +{"d:Title": "Rogue", "d:Description": "A virtual series based on Wesley.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://tv.groups.yahoo.com/group/RogueVirtualSeries/"} +{"d:Title": "Buffyverse Dialogue Database - Wesley", "d:Description": "Wesley quotes from the Buffy series.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://vrya.net/bdb/character.php?char=26"} +{"d:Title": "Slash Hos Archive", "d:Description": "The archive for the Yahoo! Group fan fiction list 'Slash Hos'.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://www.slashhosanonymousarchive.kabeka.com/"} +{"d:Title": "Always Alexis", "d:Description": "Site features information about Alexis, interviews, screencaps and video clips.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://alwaysalexis.kabeka.com/"} +{"d:Title": "Barely Breathing", "d:Description": "A Wesley/Connor shipper Yahoo! Group. Includes bios, episode information, fan fiction and fan art.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://tv.groups.yahoo.com/group/BarelyBreathing/"} +{"d:Title": "Watching (Wesley) Daily", "d:Description": "Wesley centric community with discussion, fan fiction and other Wesley related items.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://watching-daily.livejournal.com/"} +{"d:Title": "Darker Wesley", "d:Description": "Vignettes on Wesley's darker side.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://darker-wesley.livejournal.com/"} +{"d:Title": "Total Hottness' Journal", "d:Description": "Wesley/Faith fan fiction and discussion of it.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Characters/Pryce,_Wesley_Wyndham", "url": "http://wes-faith.livejournal.com/"} +{"d:Title": "Yahoo Group: Angel_and_His_Women", "d:Description": "An Angel 'shipper fan fiction group. Also find fan art, challenges, pictures, spoilers, news and discussion.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Angel_and_His_Women/"} +{"d:Title": "Angel's Slaves", "d:Description": "Discussion list that encourages fan fiction writers, digital artists, and sketch artists to join in.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Chats_and_Forums", "url": "http://members.tripod.com/mcddor1/"} +{"d:Title": "Sweet Delusions", "d:Description": "Fan fiction dedicated to the character, Doyle, from the WB television series \"Angel,\" particularly romantic fan fiction involving Doyle and the BtVS/Angel character of Cordelia Chase.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Fan_Fiction", "url": "http://www.angelfire.com/la2/savingsouls/"} +{"d:Title": "The Midnight in LA Series", "d:Description": "A series based on Angel, Wesley and Cordelia. There will be nine parts along with 3 interludes and an epilogue.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Fan_Fiction", "url": "http://www.angelfire.com/la2/nightcreature/midnightinla.html"} +{"d:Title": "All For You - A C/A Fiction Site", "d:Description": "C/A fiction site updated frequently with new stories.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Fan_Fiction", "url": "http://www.angelfire.com/tv/dxa/afy.html"} +{"d:Title": "The Psyche Arc", "d:Description": "Alternate universe stories based on the show.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Fan_Fiction", "url": "http://psychearc.blogspot.com/"} +{"d:Title": "Doyle - Glenn Quinn", "d:Description": "Includes fan fiction about Doyle (from the \"Buffy The Vampire Slayer\" spin-off \"Angel\") by Tara O'Shea, fan fiction challenges, and the answers to those challenges by multiple authors. Includes links to print fanzines, and on-line fan fiction archives.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Fan_Fiction", "url": "http://www.loony-archivist.com/doyle/"} +{"d:Title": "Angel Fan Fiction Resources", "d:Description": "Resource for writers and readers of Angel fan fiction. Includes on-line workshop, FAQs, Mary Sue Litmus test, beta reader index and links.", "topic": "Top/Arts/Television/Programs/Horror/Angel/Fan_Fiction", "url": "http://www.loony-archivist.com/angel/"} +{"d:Title": "Big Wolf On Campus Shrine", "d:Description": "Includes pictures, articles, message board, fan fiction and links.", "topic": "Top/Arts/Television/Programs/Horror/Big_Wolf_on_Campus", "url": "http://www.angelfire.com/id/wolfoncampus/"} +{"d:Title": "Epguides: Big Wolf on Campus", "d:Description": "A guide listing the title and air date for each episode of the TV series.", "topic": "Top/Arts/Television/Programs/Horror/Big_Wolf_on_Campus", "url": "http://epguides.com/BigWolfonCampus/"} +{"d:Title": "Bizarre Love Triangle", "d:Description": "Includes pictures, songs and fan fiction devouted to Tommy/Merton slash.", "topic": "Top/Arts/Television/Programs/Horror/Big_Wolf_on_Campus", "url": "http://www.angelfire.com/goth/bizarrelovetriangle/"} +{"d:Title": "Bigwolfoncampus.org", "d:Description": "Episode guide, multimedia, frequently asked questions, character information, and message board.", "topic": "Top/Arts/Television/Programs/Horror/Big_Wolf_on_Campus", "url": "http://www.bigwolfoncampus.org/"} +{"d:Title": "IMDb: Big Wolf on Campus", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Big_Wolf_on_Campus", "url": "http://www.imdb.com/title/tt0189392/"} +{"d:Title": "Epinions.com - Reviews of Big Wolf On Campus", "d:Description": "Collection of reviews for the TV show.", "topic": "Top/Arts/Television/Programs/Horror/Big_Wolf_on_Campus", "url": "http://www.epinions.com/prices/kifm-Network-Fox_Family-Big_Wolf"} +{"d:Title": "IMDb: Blade", "d:Description": "Cast information, crew details, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Horror/Blade", "url": "http://www.imdb.com/title/tt0491714/"} +{"d:Title": "Spike TV: Blade", "d:Description": "Official network site. Show summary, cast details, video clips, interviews, wallpapers, and episode guide.", "topic": "Top/Arts/Television/Programs/Horror/Blade", "url": "http://spiketv.com/shows/blade/index.jhtml"} +{"d:Title": "The Boston Globe: Blade Review", "d:Description": "Show review.", "topic": "Top/Arts/Television/Programs/Horror/Blade", "url": "http://www.boston.com/ae/tv/articles/2006/06/28/blade_takes_being_a_series_very_very_seriously/"} +{"d:Title": "Vae Victus", "d:Description": "Site offers the latest news, TV schedule, an in depth FAQ and pictures.", "topic": "Top/Arts/Television/Programs/Horror/Brimstone", "url": "http://drklothos.tripod.com/thelostsoul/index.html"} +{"d:Title": "IMDb: Brimstone", "d:Description": "Cast details, user reviews, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Brimstone", "url": "http://www.imdb.com/title/tt0165564/"} +{"d:Title": "Buffyverse Dialogue Database", "d:Description": "Search database of summarized transcripts by keyword, character, or episode. Features list of all characters, nicknames, and main story arcs.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer", "url": "http://vrya.net/bdb/"} +{"d:Title": "The Complete Buffy Episode Guide", "d:Description": "A detailed guide to every episode, including synopses, reviews, goofs, references, and quotes. Also includes character guide, pictures, link database, and forums.", "priority": "1", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer", "url": "http://www.buffyguide.com/"} +{"d:Title": "Buffy&Angel News", "d:Description": "Buffy news, photos and videos updated daily. Cast and staff interviews also featured.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer", "url": "http://www.whedon.info/"} +{"d:Title": "Queer Horror - Buffy the Vampire Slayer", "d:Description": "Explores the inclusion of gay, lesbian, bisexual and transgender characters in Buffy.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer", "url": "http://www.queerhorror.com/Qvamp/articles/buffy.html"} +{"d:Title": "EpisodeList.com : Buffy Vampire Slayer", "d:Description": "Offers an episode list of all seven seasons each with a synopsis, as well as lists of writers, directors, and character and demon appearances.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer", "url": "http://www.episodelist.com/site/index.php?go=shows.view&show_id=35"} +{"d:Title": "BuffyWorld", "d:Description": "A complete guide to all of the \"Buffy the Vampire Slayer\" (including season 8 from comics) and \"Angel\" episodes. Each episode entry includes the episode trailer, summary, transcript, shooting script, and screen captures. Site also features the \"Slanguage\" dictionary, and soundtrack guide.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer", "url": "http://www.buffyworld.com/"} +{"d:Title": "Buffy the Vampire Slayer Fandom Webring", "d:Description": "Links to official sites and fan pages. Large ring with well over 100 sites.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer", "url": "http://www.webring.org/hub?ring=buffywebring"} +{"d:Title": "The Sunnydale Tribune", "d:Description": "Information and photographs about cast and crew.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Cast_and_Crew", "url": "http://www.angelfire.com/sd/Btvs/SunnydaleTribune.html"} +{"d:Title": "Mike's Buffy Central", "d:Description": "Pictures of the cast all separated by actor/character.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters", "url": "http://www.angelfire.com/tv2/buffycentral/"} +{"d:Title": "Promote Devon", "d:Description": "This site is dedicated to Jason Hall,who plays Devon.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters", "url": "http://www.angelfire.com/nj2/devonfan/"} +{"d:Title": "Forever Friends-Willow and Buffy", "d:Description": "Photograph gallery, fan fiction, clubs the webmistress has joined and some history of the Scooby Gang.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters", "url": "http://www.angelfire.com/scifi/foreverfriends/"} +{"d:Title": "Afterlife", "d:Description": "Clique for Web sites devoted to Buffy and Angel characters who have died.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters", "url": "http://www.loony-archivist.com/afterlife"} +{"d:Title": "Big Bad Spike and Angel Clique", "d:Description": "Includes list of members, rules, and form for joining.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters", "url": "http://www.angelfire.com/realm3/bigbad/"} +{"d:Title": "Miss. Calendar's Techno Pagans", "d:Description": "Club devoted to Robia la Morte's character, Jenny Calendar.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Calendar,_Jenny", "url": "http://www.angelfire.com/ca/MCTP/mctp.html"} +{"d:Title": "True Faith", "d:Description": "An on going online novel about Faith's Journey of salvation", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Faith", "url": "http://mylordisjesus.tripod.com/TrueFaith/"} +{"d:Title": "Faiths Domain", "d:Description": "This page is dedicated to Faith the vampire slayer and Eliza Dushku, the woman that plays her.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Faith", "url": "http://www.angelfire.com/tv/faith1/"} +{"d:Title": "Five by Five", "d:Description": "Faith biography, images, and fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Faith", "url": "http://webhome.idirect.com/~morn/"} +{"d:Title": "A Killer Snot Monster From Outer Space", "d:Description": "Dedicated to Rupert Giles. Profile, pictures, quotes, and sounds.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Giles,_Rupert", "url": "http://www.angelfire.com/tv2/randeenluvsgiles/"} +{"d:Title": "Rupert Giles's Keepers And Guardians", "d:Description": "Deals with both keeperships and guardianships for Giles.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Giles,_Rupert", "url": "http://www.angelfire.com/scifi/Technopagan/RupertGiles.html"} +{"d:Title": "I Need A Parrot", "d:Description": "The Xander Zone of the BtVS Writers' Guild. Archive features fan fiction, essays, beta readers, links, and writer's resources all dedicated to Xander Harris.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Harris,_Xander", "url": "http://parrot.moments-lost.org/earchive/index.php"} +{"d:Title": "Xander: Yahoo!", "d:Description": "The comic, lookalikes, quotes, fan fiction and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Harris,_Xander", "url": "http://nicky44.tripod.com/"} +{"d:Title": "Tara Appreciation", "d:Description": "Group to support keeping Tara on BtVS.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Maclay,_Tara", "url": "http://members.tripod.com/taraappreciation/"} +{"d:Title": "Tara's Home", "d:Description": "Yahoo club and photographs.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Maclay,_Tara", "url": "http://www.angelfire.com/tv/tarashome/"} +{"d:Title": "The Coven of Amy", "d:Description": "Everything you could want to know about Elizabeth Anne Allen and Amy Madison. An Unofficial Fan Club is also hosted on this site.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Madison,_Amy", "url": "http://members.tripod.com/Coven_of_Amy/"} +{"d:Title": "The Cupid's Arrow -- BtVS Relationshipper's Land", "d:Description": "State of relationship information and links directory for a variety of pairings of characters.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships", "url": "http://members.tripod.com/~JXHarris/"} +{"d:Title": "Loaded", "d:Description": "Devoted to Giles and Oz in a relationship. Includes fan fiction, character information, and dialogue from the show.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships", "url": "http://www.dymphna.net/loaded/main.html"} +{"d:Title": "Opposites Do Attract", "d:Description": "Biographies, images, multimedia, and fan fiction featuring Buffy and Angel.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Buffy_and_Angel", "url": "http://www.angelfire.com/fl3/angelandbuffy/"} +{"d:Title": "My Obsession", "d:Description": "Buffy/Spike shipper clique. Includes rules, buttons for websites and member list.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Buffy_and_Spike", "url": "http://members.tripod.com/myobsessionsb/"} +{"d:Title": "Hello Cutie", "d:Description": "Spike and Buffy biographies, pictures and fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Buffy_and_Spike", "url": "http://www.angelfire.com/mo/spikeandbuffy/enter.html"} +{"d:Title": "Drowning in Russet Silk", "d:Description": "Pictures, links, favorite quotes, and chat.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Spike_and_Drusilla", "url": "http://www.angelfire.com/in/deepestsympathy/"} +{"d:Title": "Dru and Spike's Domain", "d:Description": "Character profiles, picture gallery, episode guide, sounds, and quotes.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Spike_and_Drusilla", "url": "http://www.angelfire.com/sd/druspike/"} +{"d:Title": "Willow&Tara's Coven", "d:Description": "Pictures of the couple.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Willow_and_Tara", "url": "http://bluecat4u.tripod.com/tv/willow-tara.html"} +{"d:Title": "Missing Pieces", "d:Description": "Includes free e-mail, information and fan fiction, and a discussion board.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Willow_and_Xander", "url": "http://www.angelfire.com/tv2/missingpieces/missingpieces.html"} +{"d:Title": "Willow and Xander... Best Friends or More?", "d:Description": "This site is dedicated to the best couple on Buffy the Vampire Slayer.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Relationships/Willow_and_Xander", "url": "http://www.angelfire.com/on/willowandxander1/index.html"} +{"d:Title": "The Willow Keepers", "d:Description": "Items to 'keep', banners and archives.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Rosenberg,_Willow", "url": "http://slayground.net/willkeep/"} +{"d:Title": "Magical - Willow Rosenberg Fanlisting", "d:Description": "Includes wallpapers.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Rosenberg,_Willow", "url": "http://new-place.org/willow/"} +{"d:Title": "bwo: Buffy World Order", "d:Description": "What the world would be like if everyone was like Buffy?", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Summers,_Buffy", "url": "http://www.angelfire.com/mn/peacefire/bWo.html"} +{"d:Title": "Buffy's SlayerVille", "d:Description": "Information about Buffy and the actress who plays her.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Summers,_Buffy", "url": "http://www.angelfire.com/la/Slayerville/"} +{"d:Title": "The Joyce Summers Keeper Site", "d:Description": "Claim all things associated with Joyce.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Summers,_Joyce", "url": "http://www.angelfire.com/scifi/Technopagan/JoyceSummers.html"} +{"d:Title": "Sunday", "d:Description": "Site all about Sunday, the vampire from 'The Freshman'.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Villains", "url": "http://w455.tripod.com/sunday/"} +{"d:Title": "All About Spike", "d:Description": "A highly selective archive of fan-fiction and essays exploring all facets of Spike's Character. Other features include regular fan-fiction challenges, Resources for fan-fiction writers, a glossary, message boards and selected links and Essays regarding Spike.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Villains/Spike", "url": "http://www.allaboutspike.com/"} +{"d:Title": "Spikemania", "d:Description": "Quotes, .wav's, downloads, picture gallery, polls, mailing list.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Villains/Spike", "url": "http://members.tripod.com/spikemania/"} +{"d:Title": "BTVS-Tabula Rasa", "d:Description": "Home to the Spike related mailing list of the same name. Includes essays and thoughts from the list, FAQs, spoilers and episode guides.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Villains/Spike", "url": "http://www.btvs-tabularasa.net/"} +{"d:Title": "Platinum Phoenix", "d:Description": "Filled with Unique Quality information on Spike, interviews, articles and quotes from various sources brought together in one place. A must see site for Spike fans.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Villains/Spike", "url": "http://www.javascrypt.com/platinumphoenix/"} +{"d:Title": "Love That Dares: Spike Screen Caps", "d:Description": "Screencap gallery featuring screencaps of Spike from all seven seasons of Buffy the Vampire Slayer.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Characters/Villains/Spike", "url": "http://www.squashduck.com/ltd/photogallerymain/screencapsgallery.htm"} +{"d:Title": "Buffy", "d:Description": "Mailing list for general discussion about the show.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Chats_and_Forums", "url": "http://groups.yahoo.com/group/-Buffy-"} +{"d:Title": "Buffy the Slayer", "d:Description": "Mailing list for spoilers, pictures, and general discussion about the show.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Chats_and_Forums", "url": "http://groups.yahoo.com/group/-buffy-the-slayer-"} +{"d:Title": "U.C. Sunnydale", "d:Description": "British based Buffy forum with trivia and general chat along with picture based signatures.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Chats_and_Forums", "url": "http://forums.delphiforums.com/ucsunnydale/start/"} +{"d:Title": "Australian Buffy Club", "d:Description": "Chat room, message board, and links for Australian fans.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Chats_and_Forums", "url": "http://groups.yahoo.com/group/australianbuffyclub2/"} +{"d:Title": "Dusted", "d:Description": "Discussion forum for episodes, news, and stories relating to the Buffy and Angel shows. Spoilers are limited to one thread.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Chats_and_Forums", "url": "http://frost.bbboy.net/dusted"} +{"d:Title": "Buffy Boards", "d:Description": "Message board community for discussion of Buffy the Vampire Slayer and Angel. Features episode and character discussion, gallery, links, fan fic, and fan art. Includes forums for other Whedon-related shows like Firefly, 24, Dexter, Bones and How I Met Your Mother.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Chats_and_Forums", "url": "http://www.buffy-boards.com/"} +{"d:Title": "Slayerfest", "d:Description": "Forum for both shows, Buffy the Vampire Slayer and Angel. Discuss the two shows in all their aspects, and meet other fans.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Chats_and_Forums", "url": "http://slayerfest2.proboards.com/"} +{"d:Title": "Out-of-Control Buffy Shrine-rs", "d:Description": "Online club and newsletter for collectors of Buffy merchandise, plus fan fiction, news, interviews, and Cyber-shrine.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Clubs_and_Organizations", "url": "http://buffyshriners.tripod.com/"} +{"d:Title": "Death is your art", "d:Description": "Wallpapers featuring cast and characters from Buffy and Angel.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Downloads", "url": "http://buffywallpaper.livejournal.com/"} +{"d:Title": "Buffy the Vampire Slayer Sound Shrine", "d:Description": "Files organized by character (in .wav format).", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Downloads", "url": "http://tvshrine.com/buffy.htm"} +{"d:Title": "All Things Philosophical on BtVS", "d:Description": "Demons, metaphysics, heaven and hell, alternate worlds, souls and ghosts, possession and other information about the show. Organized by episode, subject, and Scoobies.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/A", "url": "http://www.atpobtvs.com/"} +{"d:Title": "Ask A Buffy Expert", "d:Description": "Gossip, questions answered and photographs.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/A", "url": "http://skye_cumber__1.tripod.com/askabuffyexpert/"} +{"d:Title": "Buffy the Vampire Slayer TV Show", "d:Description": "Trivia, cast member and episode information.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/A", "url": "http://www.crazyabouttv.com/buffyvampireslayer.html"} +{"d:Title": "British Buffy", "d:Description": "Quotes, fan fiction, images, and news.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/biz2/angelusblade/angelusblade.html"} +{"d:Title": "A BtVS Zone", "d:Description": "Cast, episode guide, rumors and spoilers, pictures, multimedia, and Angel information.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/me2/dansbuffypage/index.html"} +{"d:Title": "Buffy and Angel's Home at RJ's Place", "d:Description": "Pictures, fan fiction, and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/il/RJsFunPage/index.html"} +{"d:Title": "Bite Me", "d:Description": "Gossip, episode guides, fan artwork, fiction and web art, multimedia and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/ab3/buffy/index.html"} +{"d:Title": "The Buffy Zone", "d:Description": "Cast information, pictures, episode guides, quizzes, and quotes.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/sc/buffyzone/"} +{"d:Title": "Buffy's Innermoppet", "d:Description": "Pictures, biographies, Buffy air times in 9 countries, games, fan art, and tape trade.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/in2/nermopet/index.html"} +{"d:Title": "The Buffyverse's Wacky Love Shack", "d:Description": "Episode guides, \"spellbook,\" galleries, fan art, and an alphabet to explore the Buffy world.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://seprah.com/buffy/"} +{"d:Title": "BuffyDotCom", "d:Description": "Polls, quizzes, myths, quotes, scripts, character and cast biographies, episode clips and guides, and a message board.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/tv2/buffydotcomaz/"} +{"d:Title": "Buffy Insight", "d:Description": "Photographs of the cast. Also includes a discussion board, episode information and cast profiles.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://creedfreak2003.tripod.com/main/"} +{"d:Title": "The Bronze", "d:Description": "Pictures, artwork, quotes, news, polls, cast biographies, and a Tribute to Joyce Summers.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/tv2/thebronze/"} +{"d:Title": "Buffy and Angel Central", "d:Description": "Slang, bad guys, love and loss, episode guide, The Library, pictures, and merchandise.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/tv/buffycentral/"} +{"d:Title": "BuffyVille", "d:Description": "Picture gallery, quotes, and fanzine.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/ny3/buffyland/"} +{"d:Title": "The Buffy/Angel Gallery", "d:Description": "Fan fiction, art, links, pictures and clubs.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/ga/btvsgallery/main.html"} +{"d:Title": "The Buffy Obsession", "d:Description": "Episode guide and reviews, character information, links and news.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://willowbuddy.tripod.com/thebuffyobsession"} +{"d:Title": "Buffy and Angel Reviews", "d:Description": "Detailed Reviews of Buffy the Vampire Slayer and Angel. Updated every Sunday.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://bareviews.tripod.com/cover.html"} +{"d:Title": "The Buffy Phenomenon", "d:Description": "Ranks and examines the episodes based on several factors.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.phi-phenomenon.org/buffy"} +{"d:Title": "Buffylicious", "d:Description": "Games, Buffy quotes screensaver, buttons, java scripts, and list of bands that have played at \"The Bronze\" in the show.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/goth/buffylicious/home.html"} +{"d:Title": "Buffonia", "d:Description": "Quotes, sounds, fan art, and gallery.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.buffonia.co.uk/"} +{"d:Title": "The Buffy Draw", "d:Description": "Images, downloads, book reviews, episode guide and \"What they got wrong\" section.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://www.angelfire.com/tv2/buff4k/buff_1.htm"} +{"d:Title": "The Bronze", "d:Description": "Includes news, games, comic strips, and a forum.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/B", "url": "http://tothebronze.atspace.com/"} +{"d:Title": "The Crying Freeman's Buffy Page", "d:Description": "A page by a fan, for fans and newbies. Gather information, spoilers, a weekly poll, a dictionary, a bookstore and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/C", "url": "http://www.angelfire.com/ny2/cfreemanonweb/"} +{"d:Title": "The Complete Buffy the Vampire Slayer Site", "d:Description": "Spoilers, cast biographies, polls, guest book and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/C", "url": "http://www.angelfire.com/biz7/buffy/index.html"} +{"d:Title": "CharmedHellmouth", "d:Description": "Episode guide, quotes, Joyce Summers tribute, multimedia, and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/C", "url": "http://www.angelfire.com/realm2/charmedhellmouth/index2.html/"} +{"d:Title": "Dingo on the Prairie", "d:Description": "Complete character listings and descriptions for main and one-time characters on Buffy the Vampire Slayer. Features complete episode highlights and plots, plus story arc overviews and relationship overviews from 1st season to the present.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/D", "url": "http://members.tripod.com/~dingochick/"} +{"d:Title": "Everything BTVS", "d:Description": "Episode guide, cast and character biographies, spoilers, multimedia, shopping and awards.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/E", "url": "http://everythingbtvs.tripod.com/"} +{"d:Title": "Fluffy The English Vampire Slayer", "d:Description": "A low budget film parody of the show, made by Foiled Productions in the UK. Includes cast and crew information, merchandise, and message boards.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/F", "url": "http://fluffy.foiledproductions.co.uk/"} +{"d:Title": "Fortune Favors the Brave", "d:Description": "Buffy The Vampire Slayer pictures, sounds, music, love, Spike, Angel, and news.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/F", "url": "http://www.angelfire.com/celeb/buddies/"} +{"d:Title": "The Fight of Eternal Darkness", "d:Description": "Pictures of the Buffy cast, an episode guide, polls, banners, awards, claddagh ring, information and spoilers.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/F", "url": "http://www.angelfire.com/tv2/buffyeternaldarkness/index.html"} +{"d:Title": "The Hellmouth Cafe", "d:Description": "Photo gallery and fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/H", "url": "http://www.angelfire.com/tv/buffynewcomer/"} +{"d:Title": "The Hellmouth", "d:Description": "Information about both BtVS and Angel. Includes cast bios, poll, message board and chat rooms. Also includes rumors for both shows.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/H", "url": "http://angelisgod.tripod.com/Buffy.htm"} +{"d:Title": "Hunter and Hunted", "d:Description": "Fan fiction, pictures, information about the characters, links, quotes and episode guides.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/H", "url": "http://www.angelfire.com/tx4/queenofsarab/main.html"} +{"d:Title": "Hellmouth Central", "d:Description": "News, episode guides, message board, images, and wallpaper.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/H", "url": "http://www.hellmouthcentral.com/"} +{"d:Title": "The Institute of Vampirology", "d:Description": "Studies of characters and powers from the series.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/I", "url": "http://www.vampirology.bizhosting.com/"} +{"d:Title": "I'm The Slayer You're Play'n On My Turf!", "d:Description": "Information on characters, updated news and pictures of the cast.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/I", "url": "http://members.tripod.com/buffyfaith1985/BuffyandFaith/"} +{"d:Title": "Jules' Buffy the Vampire Slayer Site", "d:Description": "Pictures, quizzes, character and actor biographies, episode guide, and articles.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/J", "url": "http://www.angelfire.com/wi/buffy1/front.html"} +{"d:Title": "Jason's Buffy the Vampire Slayer Site", "d:Description": "Character and cast biographies, picture galleries, and downloadable music, videos and sounds.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/J", "url": "http://www.angelfire.com/tv/jasonsbuffy/"} +{"d:Title": "Justine's Buffy the Vampire Slayer Site", "d:Description": "Updates, quotes, fan fiction, and opinions.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/J", "url": "http://www.angelfire.com/la3/thejbtvs/index2.html"} +{"d:Title": "Kim's Buffy The Vampire Slayer Web Page", "d:Description": "Buffy and Angel fan site with episode guide, cast, quotes, quiz, and spoilers.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/K", "url": "http://www.angelfire.com/fl2/Summers/index.html"} +{"d:Title": "Kate's Spike Angel Buffy Pages", "d:Description": "Fan site dedicated to Spike and the Spike and Buffy relationship. Includes favorite episodes, fan fiction, quotes, convention reports, and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/K", "url": "http://www.angelfire.com/tv2/btvsangelspike/"} +{"d:Title": "Linda's Buffy Stuff", "d:Description": "Contains parodies, limericks, and other series-related humor by novelist Linda Barlow, plus episode analysis and two reports on meeting James Marsters.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/L", "url": "http://www.monash.com/buffy.html"} +{"d:Title": "The Land of the Lost", "d:Description": "Fan fiction, character biographies, episode guides, banners.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/L", "url": "http://www.angelfire.com/tx/creditmaster/index.html"} +{"d:Title": "Laugh Lines, Love Lines", "d:Description": "Buffy the Vampire Slayer and Angel quotes collection, with brief episode episode descriptions and picture gallery. Also Buffy and Angel's relationship guide, greatest moments list, and list of times Giles was unconscious.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/L", "url": "http://www.neloo.com/lines/"} +{"d:Title": "MKH's Buffy: The Vampire Slayer Site", "d:Description": "Pictures, audio, characters, episode guide, chat room, links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/M", "url": "http://www.angelfire.com/tv/mkhbuffy/"} +{"d:Title": "MentalBuffyFan", "d:Description": "Includes episode scripts, cast biographies, video clips, and image galleries.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/M", "url": "http://www.mentalbuffyfan.tvheaven.com/"} +{"d:Title": "Michele's Buffy Page", "d:Description": "Buffy sounds, pictures, biographies, links to other sites and awards to win.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/M", "url": "http://www.angelfire.com/me/MichelesBuffyPage/BTVS.html"} +{"d:Title": "The New Watcher's Council", "d:Description": "News (toys, shows, comics), complete biographies and pictures of the characters, and sounds.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/N", "url": "http://www.angelfire.com/ny3/buffy1/"} +{"d:Title": "Obsessed Much", "d:Description": "Episode guides, cast information, games, links, photo gallery, and downloads.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/O", "url": "http://www.angelfire.com/hi/obsessedmuch/"} +{"d:Title": "The Remaining Sunlight", "d:Description": "Trivia, biographies, pictures, fan art, life views of characters, and a tribute to Mrs. Jenny Callender.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/R", "url": "http://www.angelfire.com/al2/RemainingSunlight/"} +{"d:Title": "Reviews for Buffy's Third Season", "d:Description": "Episode summaries with commentary and images.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/R", "url": "http://www.angelfire.com/in/thirdseason/"} +{"d:Title": "Sunnydale Central", "d:Description": "Character guide, demon guide, romance, quotes, and polls.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.angelfire.com/ks/sunnydalecentral/"} +{"d:Title": "Scribes Corner", "d:Description": "Photo galleries, art work, fan fiction, message board and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.angelfire.com/empire/awatcher2/"} +{"d:Title": "Slayage", "d:Description": "News collected from around the internet, newsgroups and mailing lists as well as information on Angel: The Series.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.slayage.com/"} +{"d:Title": "The Scooby Gang", "d:Description": "Episode guide, character profiles, contests, articles, multimedia, fan art, message board, and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.angelfire.com/de/ScoobyGang/"} +{"d:Title": "The Slayer's Sanctuary", "d:Description": "Character biographies and pictures. Also includes sounds to download.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.angelfire.com/hi5/buffy500/index.html"} +{"d:Title": "SlayerWorld", "d:Description": "Buffy the Vampire Slayer picture gallery, fan fiction, polls and games, and chat room.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.angelfire.com/ok3/SlayerWorld/"} +{"d:Title": "A Slayer's World", "d:Description": "Fan fiction section, quotes, episode guides, opinions, and summaries of episodes.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.angelfire.com/la3/slayerworld/"} +{"d:Title": "Slayers Blood", "d:Description": "Fan fiction, pictures and a tribute to Tara and Giles.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://buffy_bot.tripod.com/slayersblood"} +{"d:Title": "Slay Here!", "d:Description": "Includes photos, games, an episode guide, message board, and fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://slayeralicia.tripod.com/"} +{"d:Title": "Sunnyhell", "d:Description": "Cast pictures, biographies, chat room, polls.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://www.angelfire.com/emo/angelbuffy/"} +{"d:Title": "Slayerfest 2002", "d:Description": "Contains episode synopsis and reviews from season 3 to season 6. Sorting to proper lettered category.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://members.tripod.com/~bionicboy/course.html"} +{"d:Title": "The Sepulchre: A Buffy Fan Page", "d:Description": "Images, fan fiction, cast and character information and image gallery.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://vampire.borderline-angel.com/buffy/"} +{"d:Title": "Slayers World", "d:Description": "Wallpaper, photographs, screensavers, episode guide and a section on the musical episode.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/S", "url": "http://slayersworld.marc-vincent.nl/index2.html"} +{"d:Title": "Vampire Slayage", "d:Description": "Includes cast pictures, quotes, fan fiction, episode list, and dollmakers.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/V", "url": "http://vampireslayage.tripod.com/"} +{"d:Title": "Vampire Haven", "d:Description": "Fan fiction and episode guide for Buffy the Vampire Slayer and Angel.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/V", "url": "http://www.angelfire.com/nd2/buffyangelfanfiction/index.html"} +{"d:Title": "Welcome To The Hellmouth", "d:Description": "Sounds and pictures from the show, episode guides and fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/W", "url": "http://www.angelfire.com/tv/Buffyslayerpage/index.html"} +{"d:Title": "The Waystation", "d:Description": "Reviews of Buffy and Angel episodes.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/W", "url": "http://davey354.tripod.com/waystation.html"} +{"d:Title": "Willow's Buffyfest", "d:Description": "picture galleries, fan fiction, desktop themes, spells and sounds from the show.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/W", "url": "http://www.angelfire.com/tv2/buffyfest/"} +{"d:Title": "The Watchers Council", "d:Description": "An encyclopedic reference site for Buffy and Angel. With news, TV guides and schedules for the UK and US.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/W", "url": "http://watcherscouncil2001.tripod.com/"} +{"d:Title": "A Watcher's Diary", "d:Description": "Episode list covering seasons 1-6.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Pages/W", "url": "http://buffy.pyriform.co.uk/"} +{"d:Title": "Watchers - The Virtual Series", "d:Description": "A virtual season of the television series featuring various Buffy characters most notably Willow and Giles.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works", "url": "http://thewatcherscouncil.net/"} +{"d:Title": "VirtuaLunatics VS8", "d:Description": "VLC is a Virtual Season 8 for Buffy the Vampire Slayer. Includes multimedia, fan art, and forum board.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works", "url": "http://www.virtualunatics.com/"} +{"d:Title": "Rogue's Icons Place", "d:Description": "Fan made icons for the show organized by character and episode.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Art", "url": "http://members.shaw.ca/rogueprincess/index.html"} +{"d:Title": "Moon Beam", "d:Description": "Art gallery, and a kennel with animated GIFs.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Art", "url": "http://www.angelfire.com/scifi2/moonbeambs/"} +{"d:Title": "Buffy and Angel Art", "d:Description": "A gallery of original watercolour paintings, sketches, digital art and fan videos from the show Buffy the Vampire Slayer and Angel, the series.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Art", "url": "http://www.buffyandangelart.co.uk/"} +{"d:Title": "The Plymslayer Chronicles", "d:Description": "Set in Plymouth, the chronicle follows the adventures of a slayer and her friends. Also the home of the Plymslayer online roleplaying forums.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://www.plymslayer.com/"} +{"d:Title": "Buffista Filk", "d:Description": "A collection of parodic Buffy and Angel series adaptations of popular and classic songs, stories, poems, and prayers by the denizens of The Buffista Phoenix message board.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://filk.buffistas.org/"} +{"d:Title": "Slayersville Fan Fiction Archive", "d:Description": "Offers Buffy, Angel, and crossover stories. Includes a fiction submission form, update mailing list, and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/scifi/slayersville/"} +{"d:Title": "Boca del Infierno", "d:Description": "Alix Aadler's stories focus on the Buffy series with some Angel and original characters. Also find reviews and recommendations of authors and resource sites.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://aadler.iwarp.com/1_main.htm"} +{"d:Title": "The BtVS Writer's Guild", "d:Description": "This author's hub provides general writing resources and showcases sites targeted to writers of specific genres, pairings, and characters from both Buffy and Angel.", "priority": "1", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://btvswritersguild.dymphna.net/"} +{"d:Title": "Red's Hidden Crypt", "d:Description": "Stories and links featuring the Willow and Angel pairing.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/pa2/redscrypt/"} +{"d:Title": "Magical Vengeance", "d:Description": "Mailing list devoted to the pairing of Willow and Anya. Includes stories up to R rating.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://groups.yahoo.com/group/magicalvengeance/"} +{"d:Title": "Yahoo! Groups: ActionBuffyandAngel", "d:Description": "A mailing list for stories from both series, where the focus is on active plot rather than relationships. (Yahoo registration required.)", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://groups.yahoo.com/group/ActionBuffyandAngel/"} +{"d:Title": "Through the Looking-glass", "d:Description": "Large archive of Willow/Tara fanfics of multiple genres and settings. Site also features fan art, wallpapers, and resources.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://www.uberwillowtara.com/"} +{"d:Title": "Just Fade Away--A Buffy RP", "d:Description": "A great Buffy Roleplay. You can rp a character from the show, such as Willow, or Xander, or you can make your own!", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://s7.invisionfree.com/jfa"} +{"d:Title": "The First's Journal", "d:Description": "The comedic online diary of The First Evil.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://thefirstevil.livejournal.com/"} +{"d:Title": "Lydia's Diary", "d:Description": "The journal of a Watcher in training.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://watchergrrl.livejournal.com/"} +{"d:Title": "Daydreams of BtVS", "d:Description": "An archive of stories rated PG-13 or lower. Includes character bios, some episode reviews, and a picture gallery.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction", "url": "http://btvs.www.50megs.com/"} +{"d:Title": "Love is Immortal", "d:Description": "Stories dealing with the star-crossed lovers, Buffy and Angel.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Buffy_and_Angel", "url": "http://www.angelfire.com/ga/myfanfic/"} +{"d:Title": "Spike is The Big Bad", "d:Description": "One story about the couple.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Buffy_and_Spike", "url": "http://www.angelfire.com/tv2/the_big_bad/"} +{"d:Title": "Chosen Writes", "d:Description": "Personal fanfiction archive. Also includes an episode guide and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Buffy_and_Spike", "url": "http://dannyf.tripod.com/chosenwrites/"} +{"d:Title": "Small Bites", "d:Description": "Primarily centered around Spike, but also focusing on others. Also includes links to recommended stories.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Buffy_and_Spike", "url": "http://www.angelfire.com/cantina/shadowlass/"} +{"d:Title": "Morbid Desires", "d:Description": "Fan fiction and pictures.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Buffy_and_Spike", "url": "http://www.angelfire.com/rebellion/morbiddesires/index.html"} +{"d:Title": "Happy Endings", "d:Description": "Buffy and Spike centered fan fiction and videos as by Vampssuck5605.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Buffy_and_Spike", "url": "http://vampssuck5605.tripod.com/"} +{"d:Title": "The Watchers' Compound", "d:Description": "Fanfiction about Giles as Watcher in Buffy the Vampire Slayer.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Giles,_Rupert", "url": "http://www.angelfire.com/tv/watcherscomp/"} +{"d:Title": "Once More With Feeling", "d:Description": "Anthony Stewart Head appreciation site featuring to fan fiction, fan art, photo galleries, and related links all dedicated to the character Giles and the actor who plays him.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Giles,_Rupert", "url": "http://www.wickedsky.com/oncemore/omwf/"} +{"d:Title": "The Glitter Jungle", "d:Description": "Primarily Giles/Ethan pairing. This site also features fan fiction from several series.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Giles,_Rupert", "url": "http://squidge.org/glitterjungle/fandomfic.html#btvs"} +{"d:Title": "The Willow Rosenberg Fanfic Mailing List Page", "d:Description": "Rules of the list, and a link to the stories.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Rosenberg,_Willow", "url": "http://members.tripod.com/~willfic/"} +{"d:Title": "Willow's Lil' Secret", "d:Description": "Large index of work, submissions welcome.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Rosenberg,_Willow", "url": "http://jennie_d_g.tripod.com/"} +{"d:Title": "The Willow Archive", "d:Description": "An archive for fan fiction concerning Willow.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Rosenberg,_Willow", "url": "http://www.angelfire.com/my/willowarchive/"} +{"d:Title": "\"Darth Willow vs. Capt. Kirk\"", "d:Description": "This is a \"Buffy\"/\"Star Trek\" crossover fanfic which is based on the last 10 minutes of the classic \"Star Trek\" episode, \"Where No Man Has Gone Before\".", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Rosenberg,_Willow", "url": "http://www.angelfire.com/tv2/darthwillowvs.capt.k/index.html"} +{"d:Title": "Slayer&Scooby Central", "d:Description": "Includes stories by Spikeysprincess and others.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Spike", "url": "http://lindsayrappaport.tripod.com/"} +{"d:Title": "Spike's Archive: Fan Fic that Doesn't Suck", "d:Description": "Spike-centered fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Spike", "url": "http://members.tripod.com/steaker/"} +{"d:Title": "Spike's World", "d:Description": "Contains fan fiction, quotes, and a Spike and Dru theme song.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Spike", "url": "http://members.tripod.com/~slayerfic/s_world.htm"} +{"d:Title": "Rhymes With Lungs", "d:Description": "Primer for fan authors who write or wish to write Spike-centred fan fiction, including a complete history of the character as well as resource links geared towards the fan author.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Spike", "url": "http://www.loony-archivist.com/rhymes/"} +{"d:Title": "Enigmaticblue's Spike-centered Fanfiction", "d:Description": "Short and long works based on the character as he appeared in the series and in Angel.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Fan_Works/Fan_Fiction/Spike", "url": "http://www.freewebs.com/enigmaticblue/"} +{"d:Title": "Sunnydale Cards", "d:Description": "Puts collectors in touch with other collectors - allowing them to trade or sell cards. Has an E-Group email group where you can post details of the items you have to trade and the items you are looking for.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Games", "url": "http://www.angelfire.com/goth/spikeyvamp/index.html"} +{"d:Title": "Nedster's Buffy the Vampire Slayer Drinking Game", "d:Description": "Have you ever been watching Buffy, and thought 'I'm not drunk enough'? Well, here's an answer to your problems.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Games", "url": "http://www.members.tripod.com/~Nedster69/buffy.html"} +{"d:Title": "Buffy Quiz Central", "d:Description": "Devoted entirely to Buffy all-javascript quizzes.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Games", "url": "http://www.angelfire.com/bc/buffyquiz/"} +{"d:Title": "City Limits: A Birthright Sequel RPG", "d:Description": "Follow up to the original text-based role-playing game, Birthright, set within the Buffy the Vampire Slayer and Angel universe with limited canon character interaction.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Games", "url": "http://asylums.insanejournal.com/city_limits/"} +{"d:Title": "Buffy's Pixel Planet", "d:Description": "Pictures, wallpapers, and links.", "topic": "Top/Arts/Television/Programs/Horror/Buffy_the_Vampire_Slayer/Image_Galleries", "url": "http://www.angelfire.com/tv2/buffyspixelplanet/"} +{"d:Title": "Charmed Quote Database", "d:Description": "A collection of quotes from the show.", "topic": "Top/Arts/Television/Programs/Horror/Charmed", "url": "http://charmed.qanet.de/"} +{"d:Title": "Wikipedia Charmed Article", "d:Description": "Short introduction into charmed, containing information about how everything started, the storyline, characters and a few lines of what happened \"behind the scenes\" so far.", "topic": "Top/Arts/Television/Programs/Horror/Charmed", "url": "http://en.wikipedia.org/wiki/Charmed"} +{"d:Title": "Charmed Quote Database", "d:Description": "Latest charmed quotes from CQDB.", "topic": "Top/Arts/Television/Programs/Horror/Charmed", "url": "http://charmed.qanet.de/charmed-feed-en.xml"} +{"d:Title": "Tv.com: Charmed", "d:Description": "episode guide containing ratings, reviews, allusions, trivia and quotes.", "topic": "Top/Arts/Television/Programs/Horror/Charmed", "url": "http://www.tv.com/shows/charmed/"} +{"d:Title": "A Charmed Club", "d:Description": "Place to talk about every episode of Charmed. With episode previews.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Chats_and_Forums", "url": "http://groups.yahoo.com/group/acharmedclub"} +{"d:Title": "The Charmed Club", "d:Description": "Pictures, chat room, and message boards.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Chats_and_Forums", "url": "http://groups.yahoo.com/group/charmed"} +{"d:Title": "Devyls Psycho Charmed Ones", "d:Description": "Message board for Spoilers, latest news, and roleplaying.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Chats_and_Forums", "url": "http://s3.invisionfree.com/PCO/index.php"} +{"d:Title": "Charmed: The Virtual Series", "d:Description": "Virtual series. Includes information about the show, virtual episode archive, and guidelines for writers.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Fiction", "url": "http://www.charmedtvs.org/"} +{"d:Title": "The Charming Realm", "d:Description": "A fan site with cast bios, spells, an episode guide, pictures, awards, webrings, banner exchange, lots of links.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.angelfire.com/tv2/charmingrelm/"} +{"d:Title": "Den Of Charms", "d:Description": "Character descriptions and links.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.angelfire.com/ga/foxchaserscharmed/"} +{"d:Title": "Toby's P3 Club", "d:Description": "Quiz, poll, message board, quotes, fan fiction and links.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://tobyp3.tripod.com/"} +{"d:Title": "The Halliwell Home", "d:Description": "Includes biographies, trivia, picture galleries, links, quotes, and detailed episode guides.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.angelfire.com/ca2/CharmedOnes/"} +{"d:Title": "The Wicca Box", "d:Description": "UK Charmed, Buffy and Angel site. Episode guides, UK TV information, wicca information pages.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.thewiccabox.co.uk/"} +{"d:Title": "Charmed", "d:Description": "Cast biographies and sneak previews.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.angelfire.com/sk/ANGELGIRL/"} +{"d:Title": "My Little Site: Charmed", "d:Description": "Includes character/actor information, spoilers, episode guide, information about Wicca and witchcraft, news, links, chat transcripts.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.solitaryphoenix.com/Charmed"} +{"d:Title": "The Charming Charmed Ones", "d:Description": "All about Charmed and its characters.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://naomi82989.tripod.com/"} +{"d:Title": "Charmed Foreva", "d:Description": "Includes interactive episode guides, a Book of Shadows, fan fiction, and cast biographies.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://charmedforeva.tripod.com/"} +{"d:Title": "TheCharmedBoy's Web Site", "d:Description": "Mailing list, TV listings, message forum, character profiles, wallpapers, quotes, and photos.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.thecharmedboy.0catch.com/"} +{"d:Title": "Good Luck", "d:Description": "Includes a large picture gallery, wallpapers, episode listing, links and news.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://www.goodluckcharmed.tvheaven.com/"} +{"d:Title": "UK Teen Charmed Fan Club", "d:Description": "Charmed pictures and information.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages", "url": "http://rmcat13.tripod.com/"} +{"d:Title": "Charmed Fan Weblog", "d:Description": "About the show and its cast from a fan's point of view.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages/Weblogs", "url": "http://charmed.wordpress.com/"} +{"d:Title": "Charmed Fan Weblog", "d:Description": "Contains the latest published entries.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Fan_Pages/Weblogs", "url": "http://charmed.wordpress.com/feed/"} +{"d:Title": "Magic School Online", "d:Description": "Role playing game conducted on a message board.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Games", "url": "http://z6.invisionfree.com/MagicSchoolOnline"} +{"d:Title": "PopMatters: Charmed", "d:Description": "Detailed review and analysis of the show along with nationwide TV listings.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Resources", "url": "http://popmatters.com/tv/reviews/c/charmed.html"} +{"d:Title": "Television Without Pity: Charmed", "d:Description": "Episode recaps, polls, and discussion boards.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Resources", "url": "http://www.televisionwithoutpity.com/show/charmed/"} +{"d:Title": "IMDb: Charmed", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Resources", "url": "http://www.imdb.com/title/tt0158552/"} +{"d:Title": "Episode List: Charmed", "d:Description": "Episode list and synopsis. Keep track of your favorite characters with PlotTracker.", "topic": "Top/Arts/Television/Programs/Horror/Charmed/Resources", "url": "http://www.episodelist.com/shows/charmed/42"} +{"d:Title": "Dark Shadows Festival", "d:Description": "Official site includes news, calendars and publications for sale.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows", "url": "http://www.darkshadowsfestival.com/"} +{"d:Title": "Widow's Hill Dark Shadows Collectibles", "d:Description": "Focusing on collecting news, information, and photo reference.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows", "url": "http://www.widowshill.com/"} +{"d:Title": "IMDb: Dark Shadows (1966-71)", "d:Description": "Includes a cast list, comments, and general series information.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows", "url": "http://www.imdb.com/title/tt0059978/"} +{"d:Title": "IMDb: Dark Shadows (1991)", "d:Description": "Details on the short-lived NBC revival series, including cast list and plot synopsis.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows", "url": "http://www.imdb.com/title/tt0101075/"} +{"d:Title": "TV.com: Dark Shadows", "d:Description": "Includes synopsis, episode guide, cast and crew listings, and bloopers.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows", "url": "http://www.tv.com/shows/dark-shadows-1966/"} +{"d:Title": "Unofficial Ben Cross Fan Page", "d:Description": "Includes news, biographical information, photo gallery and filmography.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Cast_and_Crew/NBC_Revival_Series_-_1991/Cross,_Ben_-_Barnabas_Collins", "url": "http://members.tripod.com/~MandiAngel/"} +{"d:Title": "The Lara Parker Site", "d:Description": "Official website, includes biography, 20 questions and answers with Lara, photo gallery and store.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Cast_and_Crew/Original_Series_-_1966-71", "url": "http://www.laraparker.com/"} +{"d:Title": "Michael Stroka Fanclub", "d:Description": "A site for Michael Stroka (Aristede/Bruno Hess) Fans. Includes pictures, information and filmography.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Cast_and_Crew/Original_Series_-_1966-71", "url": "http://members.tripod.com/maggieevans2001/index.htm"} +{"d:Title": "Christopher Pennock Online Official Website", "d:Description": "Includes news, a biography, links and sales of the actor's comic book series based on his DS experiences.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Cast_and_Crew/Original_Series_-_1966-71", "url": "http://www.christopherpennock.com/"} +{"d:Title": "Angelique-Addicts Webpage", "d:Description": "List devoted to the character portrayed by Lara Parker.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Characters/Angelique_Bouchard_Collins", "url": "http://www.angelfire.com/me2/you2/Angelique.html"} +{"d:Title": "Yahoo! Groups: Daphne Harridge Fans", "d:Description": "Club for fans of Kate Jackson's main DS character.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Characters/Daphne_Harridge", "url": "http://groups.yahoo.com/group/daphneharridgefans/"} +{"d:Title": "Daphne Harridge Fans Club Site", "d:Description": "Includes pics, wallpaper, fan fiction and links to DS and Kate Jackson fan sites.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Characters/Daphne_Harridge", "url": "http://www.angelfire.com/realm2/ldsgirl3000/daphneharridgefans.html"} +{"d:Title": "The Roger Collins Group", "d:Description": "For fans of Louis Edmonds' character and general DS discussion.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Characters/Roger_Collins", "url": "http://tv.groups.yahoo.com/group/rogercollins/"} +{"d:Title": "WillieLoomisList", "d:Description": "Discussion list from Yahoo! Groups.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Characters/Willie_Loomis", "url": "http://groups.yahoo.com/group/WillieLoomislist/"} +{"d:Title": "Dark Shadows Forums", "d:Description": "Includes message boards plus special \"members only\" features.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Chats_and_Forums/Forums", "url": "http://www.dsboards.com/"} +{"d:Title": "Yahoo! Groups: DarkShadows", "d:Description": "Members-only group for general DS discussion.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/DarkShadows/"} +{"d:Title": "Barnabas-Quentin Mailing List Homepage", "d:Description": "For discussion pertaining to DS' leading men.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Chats_and_Forums/Mailing_Lists", "url": "http://www.angelfire.com/me2/you2/BarnQuen.html"} +{"d:Title": "Yahoo! Groups: Collinwood Comedy Hour", "d:Description": "Members-only group for discussion of the original series' numerous bloopers.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/CollinwoodComedyHour/"} +{"d:Title": "Paternity Suit", "d:Description": "Original Dark Shadows fan fiction written by Susan Terry Bowers.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Fan_Fiction", "url": "http://darkshadows.tvheaven.com/paternity/index.html"} +{"d:Title": "Obsession", "d:Description": "Romantic story about Dark Shadows heroine Julia Hoffman, written by Elaine Kehoe.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Fan_Fiction", "url": "http://darkshadows.tvheaven.com/obsession/page1.html"} +{"d:Title": "Dark Shadows Fan Fiction", "d:Description": "Original DS stories from Cyberkat's Lair.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Fan_Fiction", "url": "http://cyberkatslair.0catch.com/dsfanfic.html"} +{"d:Title": "Lorraine's Plaza Page", "d:Description": "Two fan fiction novels, of which one is a crossover with Cagney and Lacey, as well as essays, stories, and photos of fan events related to the series.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Fan_Fiction", "url": "http://lorrainestheplaza.0catch.com/"} +{"d:Title": "Dark Shadows in the Morning", "d:Description": "Includes a couple of rare pictures and information on when and where to watch \"Dark Shadows\".", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Fan_Pages", "url": "http://www.angelfire.com/me2/you2/shadows.html"} +{"d:Title": "NancyMcks Dark Shadows Events Photo Album", "d:Description": "Pictures taken at various Dark Shadows Events.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Fan_Pages", "url": "http://www.members.tripod.com/nancymck/"} +{"d:Title": "Dark Shadows FAQ Homepage", "d:Description": "The Dark Shadows FAQ, chat room, message board and links to other websites.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Fan_Pages", "url": "http://members.tripod.com/~MrJuggins/index.html"} +{"d:Title": "Mary Reilly vs. Dark Shadows", "d:Description": "Roleplay mailing list based on the Julia Roberts film, with a DS crossover.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Roleplaying_Games", "url": "http://www.angelfire.com/ak3/psychesfire/maryreilly.html"} +{"d:Title": "Dark Shadows: The Next in Line", "d:Description": "RPG with updated storylines and a variety of original and new characters.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Roleplaying_Games", "url": "http://dsrpg.tripod.com/"} +{"d:Title": "Dark Shadows Fan Fiction and Art Webring", "d:Description": "Includes pages exhibiting original artwork, fiction and multimedia files pertaining to DS.", "topic": "Top/Arts/Television/Programs/Horror/Dark_Shadows/Web_Rings", "url": "http://www.webring.org/hub?ring=dsfanart"} +{"d:Title": "The Dead Zone", "d:Description": "Official site for the series on USA Network. Includes overview, cast information, convention appearances, chat room, and image galleries.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://www.thedeadzone.net/"} +{"d:Title": "Yahoo! Group : Dead Zone Club", "d:Description": "Discussion group for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://groups.yahoo.com/group/deadzoneclub/"} +{"d:Title": "Visions of Johnny", "d:Description": "Fan built site with radio transcripts and webring information. Also include videos and spoiler info.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://www.angelfire.com/tv2/visionsofjohnny/home1.html"} +{"d:Title": "The Purdy Portal", "d:Description": "Dedicated to David Ogden Stiers' character in The Dead Zone: Reverend Gene Purdy as well as general fan appreciation site.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://davidogdenstiers.com/forthefans/purdyportal/"} +{"d:Title": "The Badger's Den", "d:Description": "A fan fiction archive for the series.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://badgerlord0.tripod.com/badgersden/id2.html"} +{"d:Title": "Behind the Lines", "d:Description": "Behind the Lines is a regular Fanfiction based on the TV Show The Dead Zone.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://eligyah.free.fr/"} +{"d:Title": "IMDb: The Dead Zone", "d:Description": "Cast, crew, and production information, as well as series overview.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://www.imdb.com/title/tt0281432/"} +{"d:Title": "EpisodeList.com : Dead Zone", "d:Description": "Episode list with synopsis, with lists of writers, directors, and character appearances.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://www.episodelist.com/shows/the-dead-zone/85"} +{"d:Title": "TV.com: The Dead Zone", "d:Description": "Episode guide with original airdates, as well as links to cast information.", "topic": "Top/Arts/Television/Programs/Horror/Dead_Zone,_The", "url": "http://www.tv.com/shows/the-dead-zone/"} +{"d:Title": "Lucard's Home Page", "d:Description": "Includes FAQ, episode guide, survey, quotes, links and fan fiction.", "topic": "Top/Arts/Television/Programs/Horror/Dracula", "url": "http://www.dpcc.com/dpcc/assoc/lpetix/lucard/"} +{"d:Title": "TV.com: Dracula the Series", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Horror/Dracula", "url": "http://www.tv.com/shows/dracula-the-series/"} +{"d:Title": "Forever Knight", "d:Description": "Large link directory for series mailing lists, merchandise, fan fiction and fan web sites.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight", "url": "http://www.cyberpursuits.com/heckifiknow/fk/"} +{"d:Title": "IMDb: Forever Knight", "d:Description": "Full cast and crew credits, series summary, awards and reviews, viewer comments.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight", "url": "http://www.imdb.com/title/tt0103417/"} +{"d:Title": "IMDb: Natsuko Ohama", "d:Description": "Biography and filmography of the actor (\"Captain Amanda Cohen\").", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0644916/"} +{"d:Title": "IMDb: Gary Farmer", "d:Description": "Biography and filmography of the actor (\"Captain Stonetree\").", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0001200/"} +{"d:Title": "IMDb: Blu Mankuma", "d:Description": "Biography and filmography of the actor (\"Captain Joe Reese\").", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0542558/"} +{"d:Title": "IMDb: Catherine Disher", "d:Description": "Biography and filmography of the actor (\"Dr. Natalie Lambert\").", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0228324/"} +{"d:Title": "Vachon's Church", "d:Description": "Vachon fan fiction, references and links.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Characters", "url": "http://www.angelfire.com/ca2/jdvachon/index.html"} +{"d:Title": "Dark Nat Pack - DNP-L", "d:Description": "For fans who feel the character Natalie should embrace her dark side. Whether it's becoming a vampire, hating vampires, or just being an all around evil person.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Chats_and_Forums", "url": "http://groups.yahoo.com/group/DNP-L/?yguid=105905747"} +{"d:Title": "Forever Knick", "d:Description": "A friendly discussion list devoted to the character of Nick Knight and the actor Geraint Wyn Davies. No faction or war discussions, but fan fiction and some off-topic posts are allowed.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Chats_and_Forums", "url": "http://groups.yahoo.com/group/foreverknick/?yguid=105905747"} +{"d:Title": "FORKNI-L Discussion List", "d:Description": "The largest and most active discussion list for the television show Forever Knight. This page provides details on the list and instructions on how to join. New members are e-mailed further instructions on posting to the list.", "priority": "1", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Chats_and_Forums", "url": "http://www.lsoft.com/scripts/wl.exe?SL1=FORKNI-L&H=LISTS.PSU.EDU"} +{"d:Title": "FKFIC-L Discussion List", "d:Description": "Companion list to FORKNI-L, this list is strictly for fan fiction based on the television series Forever Knight. Web page offers instructions on subscribing; new members will be e-mailed further details.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Chats_and_Forums", "url": "http://www.lsoft.com/scripts/wl.exe?SL1=FKFIC-L&H=LISTS.PSU.EDU"} +{"d:Title": "The Dark Knighties Dungeon", "d:Description": "Club to chat about the darker side of Nick Knight.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Chats_and_Forums", "url": "http://groups.yahoo.com/group/thedarkknightiesdungeon"} +{"d:Title": "NickKnack CaddyWhacks", "d:Description": "Fans of Nick's Caddy and other inanimate objects (NickKnacks). FAQ, fan fiction, and list of the CaddyWhacks favorite episodes.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Factions", "url": "http://velvetdragon.com/caddy/"} +{"d:Title": "Dark Nick and Nat", "d:Description": "Explores the dark sides of Nick and Natalie and their relationship.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Factions/Relationships/Nick_and_Nat", "url": "http://members.tripod.com/~BranwenWolf/"} +{"d:Title": "Laplor's Tales", "d:Description": "Stories focus on Vachon.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Fiction", "url": "http://members.tripod.com/~Laplor/welcome.html"} +{"d:Title": "FTP FanFiction", "d:Description": "Archive that contains hundreds of stories.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Fiction", "url": "ftp://ftp.win.net/winnet/fkvoyage/fkfanfic/"} +{"d:Title": "Forever Knight Fan Fiction Awards", "d:Description": "Highlights the year's best fan fiction, broken into multiple categories and general and adult ratings.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Fiction", "url": "http://www.nancykam.com/2001awards/fanficawards.htm"} +{"d:Title": "Jarvinia's FK Fanfiction", "d:Description": "Includes crossover stories and links to other archives.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Fiction", "url": "http://gryffonslair.com/fk/dungeon.html"} +{"d:Title": "Forever Knight Fan Fiction #2", "d:Description": "Companion to the original site. Offers new fan fiction, filk songs, and Forever Knight embroidery designs.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Fiction", "url": "http://www.fkfanfic2.com/"} +{"d:Title": "Cross Stitchers of the Knight", "d:Description": "Photos of cross-stitch projects designed and stitched by fans.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://www.angelfire.com/nc/fk1228/"} +{"d:Title": "Tsym's Forever Knight Site", "d:Description": "Question of the week, links, sound files.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://homepages.paradise.net.nz/trayner/FKsite2.html"} +{"d:Title": "CR's Forever Knight and GWD Site", "d:Description": "Photographs, links, sound clips, Last Knight screenplay and images, VCR-alerts. Emphasis on Geraint Wyn Davies (\"Nick\").", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://fknet.freehosting.net/"} +{"d:Title": "Robin Goodfellow's Forever Knight Site", "d:Description": "Sound files, links, and a map showing the location of some series fans.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://www.angelfire.com/scifi2/fk/"} +{"d:Title": "LaCountess' Forever Knight Haven", "d:Description": "Information on the characters, episode reviews and general description of the show. Also hosts stories, jokes and Java Script games.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://www.lacountess.com/FKnight/index.html"} +{"d:Title": "Jarvinia's Lair: Forever Knight", "d:Description": "Includes fan fiction, graphics, episode quotes, links to factions, fan pages, and fiction.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://gryffonslair.com/fk/index.html"} +{"d:Title": "The First Unofficial Forever Knight Website", "d:Description": "Information on the show premise, background and special effects, an episode guide, quotes, a series timeline and links to other sites.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://www.loftworks.com/fktoc.html"} +{"d:Title": "Nancy Taylor's Forever Knightie Page", "d:Description": "Image galleries with images separated by episode, faction information, fan fiction, and links.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Fan_Pages", "url": "http://www.squidge.org/~nat1228/fk.htm"} +{"d:Title": "Forever Knight WebRing", "d:Description": "Directory of sites with series-related content.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Web_Rings", "url": "http://members.tripod.com/~nnpacker/ring.html"} +{"d:Title": "WebRing: Forever Knight Faction", "d:Description": "Provides links to sites hosted by members.", "topic": "Top/Arts/Television/Programs/Horror/Forever_Knight/Web_Rings", "url": "http://www.webring.org/hub/fkfaction"} +{"d:Title": "Friday The 13th: The Complete Website Links Directory", "d:Description": "Directory of sites with series-related content, as well as links to actors' sites.", "topic": "Top/Arts/Television/Programs/Horror/Friday_the_13th", "url": "http://f13seriespages.tripod.com/"} +{"d:Title": "Cain's Friday the 13th: The Series Home Page", "d:Description": "Home of the virtual fourth season fan fiction, along with a novel-in-progress detailing the later years of the main characters. Includes background information.", "topic": "Top/Arts/Television/Programs/Horror/Friday_the_13th", "url": "http://members.tripod.com/~CAIN7/friday.htm"} +{"d:Title": "IMDb: Friday the 13th", "d:Description": "Cast details, user reviews, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Friday_the_13th", "url": "http://www.imdb.com/title/tt0092357/"} +{"d:Title": "Chris Wiggins: Canadian Actor&Author", "d:Description": "Filmography, biography, screen captures, and promotional photos.", "topic": "Top/Arts/Television/Programs/Horror/Friday_the_13th/Cast_and_Crew", "url": "http://chriswigginsonline.8k.com/"} +{"d:Title": "IMDb: Ghost Whisperer", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Ghost_Whisperer", "url": "http://imdb.com/title/tt0460644/"} +{"d:Title": "CBS.com: Ghost Whisperer", "d:Description": "Official network site. Plot and cast overview with video clip.", "topic": "Top/Arts/Television/Programs/Horror/Ghost_Whisperer", "url": "http://www.cbs.com/shows/ghost-whisperer/"} +{"d:Title": "IMDb: Haunted", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Haunted", "url": "http://www.imdb.com/title/tt0320028/"} +{"d:Title": "TV.com: Haunted", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Horror/Haunted", "url": "http://www.tv.com/shows/haunted/"} +{"d:Title": "Kindred: the Embraced at CyberPursuits", "d:Description": "Multimedia gallery, character and actor biographies, information on the Kindred myth and the Haven, and details about vampires and the clans.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced", "url": "http://www.cyberpursuits.com/heckifiknow/kte"} +{"d:Title": "Yahoo! Groups: Kindred3", "d:Description": "Unmoderated discussion list for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced", "url": "http://groups.yahoo.com/group/kindred3/"} +{"d:Title": "Yahoo! Groups: KindredFanfiction", "d:Description": "E-mail list for the posting and discussion of fan fiction pertaining to the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced", "url": "http://groups.yahoo.com/group/KindredFanfiction/"} +{"d:Title": "DVD Review: Kindred - The Embraced", "d:Description": "Review of the series based on its release on DVD. Includes a review of the DVD features.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced", "url": "http://www.currentfilm.com/dvdreviews/kindreddvd.html"} +{"d:Title": "Kindred: The Embraced Timeline", "d:Description": "A time line of the characters and events taking place or referenced during the series.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced", "url": "http://www.mts.net/~arphaxad/chronoke.html"} +{"d:Title": "IMDb: Kindred: The Embraced", "d:Description": "Full cast and crew listing, plot summary, viewer comments, trivia.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced", "url": "http://www.imdb.com/title/tt0115232/"} +{"d:Title": "TV.com: Kindred: The Embraced", "d:Description": "Episode guide and cast listing for the series.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced", "url": "http://www.tv.com/shows/kindred-the-embraced/"} +{"d:Title": "Official Mark Frankel Fan Club", "d:Description": "Includes a photo gallery, a history of the actor's work and a collection of interviews and media appearances.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.angelfire.com/hi/MFfanclub/"} +{"d:Title": "Mark Frankel -- A Profile and Gallery", "d:Description": "Examines the career and works of the actor, complete with a multimedia gallery and profiles of his characters.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.mark-frankel.com/"} +{"d:Title": "IMDb: Brian Thompson", "d:Description": "Features a detailed filmography and information regarding the actor (\"Eddie Fiori\") and his career.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0859921/"} +{"d:Title": "IMDb: Brigid Conley Walsh", "d:Description": "Features a detailed filmography and information regarding the actor (\"Sasha\") and her career.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0909578/"} +{"d:Title": "IMDb: Erik King", "d:Description": "Features a detailed filmography and information regarding the actor (\"Sonny Toussaint\") and his career.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0454701/"} +{"d:Title": "IMDb: Mark Frankel", "d:Description": "Features a detailed filmography and information regarding the actor (\"Julian Luna\") and his career.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0291233/"} +{"d:Title": "IMDb: Stacy Haiduk", "d:Description": "Features a detailed filmography and information regarding the actor (\"Lillie Langtry\") and her career.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0001307/"} +{"d:Title": "TV.com: Mark Frankel", "d:Description": "Includes a biography, roles and appearances, and gossip.", "topic": "Top/Arts/Television/Programs/Horror/Kindred_-_The_Embraced/Cast_and_Crew", "url": "http://www.tv.com/people/mark-frankel/"} +{"d:Title": "IMDb: Moonlight", "d:Description": "Offers cast list, photo gallery, filming locations and discussion board.", "topic": "Top/Arts/Television/Programs/Horror/Moonlight", "url": "http://www.imdb.com/title/tt0955346/"} +{"d:Title": "Classic-Horror.com: It's Nothing Like Angel, We Swear: CBS's New Vamp Detective Show Moonlight", "d:Description": "He sleeps in a freezer, gets his blood from his dealer, doesn't burst into flames and can't be killed with a stake through the heart. By Emily Langton.", "topic": "Top/Arts/Television/Programs/Horror/Moonlight", "url": "http://classic-horror.com/newsreel/its_nothing_like_angel_we_swear_cbss_new_vamp_detective_show_moonlight"} +{"d:Title": "The Night Stalker", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Night_Stalker", "url": "http://imdb.com/title/tt0460665/"} +{"d:Title": "Yahoo! Groups: Night Stalker", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Horror/Night_Stalker", "url": "http://tv.groups.yahoo.com/group/nightstalker_abc/"} +{"d:Title": "IMDb: Point Pleasant", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Point_Pleasant", "url": "http://www.imdb.com/title/tt0435576/"} +{"d:Title": "Yahoo! Groups: Point Pleasant Show", "d:Description": "Message board for discussion of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Horror/Point_Pleasant", "url": "http://tv.groups.yahoo.com/group/Point_Pleasant_Show/"} +{"d:Title": "TV.com: Point Pleasant", "d:Description": "Episode guide, as well as cast and crew information.", "topic": "Top/Arts/Television/Programs/Horror/Point_Pleasant", "url": "http://www.tv.com/shows/point-pleasant/"} +{"d:Title": "Today: \u2018Point Pleasant\u2019 disturbs namesake town", "d:Description": "Article on residents of the real town and their reaction to the series.", "topic": "Top/Arts/Television/Programs/Horror/Point_Pleasant", "url": "http://www.today.com/id/6827496"} +{"d:Title": "IMDb: Supernatural", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Supernatural", "url": "http://imdb.com/title/tt0460681/"} +{"d:Title": "CW: Supernatural", "d:Description": "Official network site. Show and cast overview with video clip.", "topic": "Top/Arts/Television/Programs/Horror/Supernatural", "url": "http://www.cwtv.com/shows/supernatural"} +{"d:Title": "IMDb: Tales from the Crypt", "d:Description": "Cast details, user reviews, quotes, trivia, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Tales_from_the_Crypt", "url": "http://www.imdb.com/title/tt0096708/"} +{"d:Title": "The Mansbridge Experiment", "d:Description": "Episode guide, images, cast and characters, and thoughts on a romance between Drew and Merrill.", "topic": "Top/Arts/Television/Programs/Horror/Vampire_High", "url": "http://vampirehigh.tripod.com/index.htm"} +{"d:Title": "Vampire High", "d:Description": "Show summary, episode guide, multimedia, cast and character biographies, and a forum.", "topic": "Top/Arts/Television/Programs/Horror/Vampire_High", "url": "http://www.vampirehigh.net/"} +{"d:Title": "IMDb: Vampire High", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Vampire_High", "url": "http://www.imdb.com/title/tt0280347/"} +{"d:Title": "TV.com: Vampire High", "d:Description": "Episode guide, cast and crew information, plot goofs, and viewer comments.", "topic": "Top/Arts/Television/Programs/Horror/Vampire_High", "url": "http://www.tv.com/shows/vampire-high/"} +{"d:Title": "Yahoo! Groups: The Werewolf Forum", "d:Description": "Discussion for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Horror/Werewolf", "url": "http://groups.yahoo.com/group/thewerewolfforum/"} +{"d:Title": "IMDb: Werewolf", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Horror/Werewolf", "url": "http://www.imdb.com/title/tt0094311/"} +{"d:Title": "TV.com: Werewolf", "d:Description": "Overview and episode list for the series.", "topic": "Top/Arts/Television/Programs/Horror/Werewolf", "url": "http://www.tv.com/shows/werewolf/"} +{"d:Title": "Yahoo! Groups: Wolf Lake Fans", "d:Description": "Show, cast, character, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Horror/Wolf_Lake", "url": "http://tv.groups.yahoo.com/group/wolf_lake_fan/"} +{"d:Title": "Television Without Pity: Wolf Lake", "d:Description": "Commentary, episode recaps, and a forum.", "topic": "Top/Arts/Television/Programs/Horror/Wolf_Lake", "url": "http://www.televisionwithoutpity.com/show/wolf-lake/"} +{"d:Title": "IMDb: Wolf Lake", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Horror/Wolf_Lake", "url": "http://www.imdb.com/title/tt0281524/"} +{"d:Title": "TV.com: Wolf Lake", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Horror/Wolf_Lake", "url": "http://www.tv.com/shows/wolf-lake/"} +{"d:Title": "eGroups: Avonlea Village", "d:Description": "Discussion group for fans of Anne of Green Gables and Road to Avonlea.", "topic": "Top/Arts/Television/Programs/Mini-Series/Anne_of_Green_Gables", "url": "http://groups.yahoo.com/group/avonleavillage"} +{"d:Title": "Anne of Green Gables Webpage", "d:Description": "Scripts, pictures, sounds, blooper and missing scenes lists, cast lists, and history of the mini-series. [frames]", "topic": "Top/Arts/Television/Programs/Mini-Series/Anne_of_Green_Gables", "url": "http://greengables.tripod.com/"} +{"d:Title": "IMDb.com - Anne of Green Gables (1985)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Anne_of_Green_Gables", "url": "http://www.imdb.com/title/tt0088727/"} +{"d:Title": "IMDb.com - Anne of Green Gables: The Sequel (1987)", "d:Description": "Cast and production credits. Also known as \"Anne of Avonlea.\"", "topic": "Top/Arts/Television/Programs/Mini-Series/Anne_of_Green_Gables", "url": "http://www.imdb.com/title/tt0092571/"} +{"d:Title": "IMDb.com - Anne of Green Gables: The Continuing Story (2000)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Anne_of_Green_Gables", "url": "http://www.imdb.com/title/tt0158466/"} +{"d:Title": "IMDb: Attila (2001)", "d:Description": "Offers full cast and crew list, plot summary, memorable quotes, filiming locations, photos and discussion board.", "topic": "Top/Arts/Television/Programs/Mini-Series/Attila_-_2001", "url": "http://www.imdb.com/title/tt0259127/"} +{"d:Title": "Attila - Movie Review", "d:Description": "Reviewer Jeremiah Kipp cites the movie as a mismatched love story between tough guys whose parading armies provide an excuse to dance around each other in this negative critique.", "topic": "Top/Arts/Television/Programs/Mini-Series/Attila_-_2001", "url": "http://contactmusic.com/new/film.nsf/reviews/attila"} +{"d:Title": "Movie Review: Attila: The Mini-Series (2001)", "d:Description": "Features hyperlinked commentary, movie stills, cast and crew list.", "topic": "Top/Arts/Television/Programs/Mini-Series/Attila_-_2001", "url": "http://www.beyondhollywood.com/reviews/attila.htm"} +{"d:Title": "Attila", "d:Description": "Reviewer Tom Knapp reports the film to be a dramatic, colorful production sure to please anyone who loves tales of epic conflict.", "topic": "Top/Arts/Television/Programs/Mini-Series/Attila_-_2001", "url": "http://www.rambles.net/attila_tv01.html"} +{"d:Title": "All Movie Guide: Attila", "d:Description": "Provides plot synopsis, review, cast list, production credits and viewer rating system.", "topic": "Top/Arts/Television/Programs/Mini-Series/Attila_-_2001", "url": "http://www.allmovie.com/work/237102"} +{"d:Title": "Tracksounds: Band of Brothers", "d:Description": "Soundtrack review, track listing, track ratings, and sound clips.", "topic": "Top/Arts/Television/Programs/Mini-Series/Band_of_Brothers", "url": "http://www.tracksounds.com/reviews/bandofbrothers.htm"} +{"d:Title": "Filmtracks: Band of Brothers", "d:Description": "Soundtrack review, audio, and ratings.", "topic": "Top/Arts/Television/Programs/Mini-Series/Band_of_Brothers", "url": "http://www.filmtracks.com/titles/band_brothers.html"} +{"d:Title": "IMDb.com - Band of Brothers (2001)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Band_of_Brothers", "url": "http://www.imdb.com/title/tt0185906/"} +{"d:Title": "IMDb: Battlestar Galactica", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Mini-Series/Battlestar_Galactica_-_2003", "url": "http://www.imdb.com/title/tt0314979/"} +{"d:Title": "UGO: Battlestar Galactica", "d:Description": "News and features.", "topic": "Top/Arts/Television/Programs/Mini-Series/Battlestar_Galactica_-_2003", "url": "http://battlestar.ugo.com/"} +{"d:Title": "IMDb: Cleopatra (1999) (TV)", "d:Description": "Full cast information, synopsis, trailer, and comments.", "topic": "Top/Arts/Television/Programs/Mini-Series/Cleopatra", "url": "http://www.imdb.com/title/tt0178130/"} +{"d:Title": "IMDb.com - Cosmos (1980)", "d:Description": "Cast and production credits, reviews.", "topic": "Top/Arts/Television/Programs/Mini-Series/Cosmos", "url": "http://www.imdb.com/title/tt0081846/combined"} +{"d:Title": "Dr. Horrible's Sing-Along Blog", "d:Description": "Official site with links to available content online, lyrics from the musical, and a list of articles.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog", "url": "http://www.drhorrible.com/"} +{"d:Title": "IMDb: Dr. Horrible's Sing-Along Blog (2008)", "d:Description": "Lists cast and crew, user reviews, nominations and awards won.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog", "url": "http://www.imdb.com/title/tt1227926/"} +{"d:Title": "Dr. Horrible News - Directly From Zack&Jed", "d:Description": "Article from the Whedonopolis fan site on the writing of a sequel.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog", "url": "http://www.whedonopolis.com/dr-horrible-news-directly-from-zack-jed/"} +{"d:Title": "Horrible Wiki", "d:Description": "Wiki community dedicated to the musical; with photos and video clips, information is available on characters, actors, songs, story, and the making of the series.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog", "url": "http://drhorrible.wikia.com/wiki/Horrible_Wiki"} +{"d:Title": "Web Series Channel: Dr. Horrible\u2019s Sing-Along Blog", "d:Description": "Online video of the series in three acts.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog", "url": "http://www.webserieschannel.com/dr-horribles-sing-along-blog/"} +{"d:Title": "Wait Is Almost Over for New Dr. Horrible, Joss Whedon Says", "d:Description": "Article following the progress of the sequel.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog", "url": "http://www.wired.com/2012/03/whedon-new-dr-horrible/"} +{"d:Title": "FanFiction.Net: Dr. Horrible's Sing-Along Blog Stories", "d:Description": "Fictional stories written by fans, based on the story and characters of the series.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog/Fan_Works", "url": "http://www.fanfiction.net/play/Dr_Horribles_Sing-Along_Blog/"} +{"d:Title": "LiveJournal: Dr. Horrible's Sing-Along Blog Fanfiction", "d:Description": "Unofficial stories by fans, with summaries and ratings.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog/Fan_Works", "url": "http://community.livejournal.com/horrible_fic"} +{"d:Title": "Horrible Turn", "d:Description": "This unauthorized web series is a fan created prequel to the official web serial; trailer and videos.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog/Fan_Works", "url": "http://www.horribleturn.com/"} +{"d:Title": "Horrible Service \u2013 Costumers Told to \"Go Away\"", "d:Description": "Article from My Disguises describing the purchase incident regarding welding goggles as a costume piece.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog/Fan_Works", "url": "http://mydisguises.com/2008/08/01/horrible-service-costumers-told-to-go-away/"} +{"d:Title": "Sew a Dr. Horrible Jacket/Coat", "d:Description": "Step-by-step instructions for re-creating the costume.", "topic": "Top/Arts/Television/Programs/Mini-Series/Dr._Horrible's_Sing-Along_Blog/Fan_Works", "url": "http://www.instructables.com/id/Sew-a-Dr-Horrible-JacketCoat/"} +{"d:Title": "IMDb: Earthsea", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Mini-Series/Earthsea", "url": "http://www.imdb.com/title/tt0407384/"} +{"d:Title": "IMDb.com - Dune (2000)", "d:Description": "Cast and production credits, review.", "topic": "Top/Arts/Television/Programs/Mini-Series/Frank_Herbert's_Dune", "url": "http://www.imdb.com/title/tt0142032/combined"} +{"d:Title": "IMDb.com - From the Earth to the Moon (1998)", "d:Description": "Cast and production credits, reviews.", "topic": "Top/Arts/Television/Programs/Mini-Series/From_the_Earth_to_the_Moon", "url": "http://www.imdb.com/title/tt0120570/combined"} +{"d:Title": "IMDb: Grid, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Mini-Series/Grid,_The", "url": "http://www.imdb.com/title/tt0389635/"} +{"d:Title": "St. Joan Center: Joan of Arc", "d:Description": "Links to reviews.", "topic": "Top/Arts/Television/Programs/Mini-Series/Joan_of_Arc", "url": "http://www.stjoan-center.com/cbsjoan/"} +{"d:Title": "IMDb.com - Joan of Arc (1999)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Joan_of_Arc", "url": "http://www.imdb.com/title/tt0178145/combined"} +{"d:Title": "Masterpiece Theatre: The Jury", "d:Description": "Official website offers a \"Who's Who\", filming notes, episode descriptions, forum and bibliography.", "topic": "Top/Arts/Television/Programs/Mini-Series/Jury,_The", "url": "http://www.pbs.org/wgbh/masterpiece/jury/"} +{"d:Title": "IMDb: The Jury", "d:Description": "Information regarding cast, crew, filming location, soundtrack and message board.", "topic": "Top/Arts/Television/Programs/Mini-Series/Jury,_The", "url": "http://www.imdb.com/title/tt0297571/"} +{"d:Title": "RottenTomatoes.com: The Jury", "d:Description": "Includes cast and crew, synopsis, viewer ratings and discussion forum.", "topic": "Top/Arts/Television/Programs/Mini-Series/Jury,_The", "url": "http://www.rottentomatoes.com/m/jury/"} +{"d:Title": "Wikipedia: The Jury", "d:Description": "Features full plot disclosure by episode and hyperlinked cast information.", "topic": "Top/Arts/Television/Programs/Mini-Series/Jury,_The", "url": "http://en.wikipedia.org/wiki/The_Jury_(miniseries)"} +{"d:Title": "IMDb: Kingdom Hospital", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Mini-Series/Kingdom_Hospital", "url": "http://www.imdb.com/title/tt0324864/"} +{"d:Title": "BBC - Cult: Kingdom Hospital", "d:Description": "Episode guide, photo gallery, cast information, and message board.", "topic": "Top/Arts/Television/Programs/Mini-Series/Kingdom_Hospital", "url": "http://www.bbc.co.uk/cult/kingdomhospital/"} +{"d:Title": "TV.com: Stephen King's Kingdom Hospital", "d:Description": "Episode guide, cast and crew information, analysis, polls,and reviews.", "topic": "Top/Arts/Television/Programs/Mini-Series/Kingdom_Hospital", "url": "http://www.tv.com/shows/stephen-kings-kingdom-hospital/"} +{"d:Title": "IMDb: Little White Lies", "d:Description": "Full cast and crew listing, plot summary, user comments and message board.", "topic": "Top/Arts/Television/Programs/Mini-Series/Little_White_Lies", "url": "http://www.imdb.com/title/tt0164728/"} +{"d:Title": "All Movie Guide: Little White Lies", "d:Description": "Provides plot synopsis, cast list and production credits for the 1998 feature directed Philip Saville.", "topic": "Top/Arts/Television/Programs/Mini-Series/Little_White_Lies", "url": "http://www.allmovie.com/work/269254"} +{"d:Title": "IMDb.com", "d:Description": "Lost Empires (1986) - Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Lost_Empires", "url": "http://imdb.com/title/tt0090476/"} +{"d:Title": "IMDb.com - Merlin (1998)", "d:Description": "Production and cast credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Merlin", "url": "http://www.imdb.com/title/tt0130414/combined"} +{"d:Title": "North and South Message Board", "d:Description": "For discussion of the mini-series.", "topic": "Top/Arts/Television/Programs/Mini-Series/North_and_South", "url": "http://members.boardhost.com/northandsouth/"} +{"d:Title": "Yahoo! Groups: northandsouth", "d:Description": "Discussion group for the series.", "topic": "Top/Arts/Television/Programs/Mini-Series/North_and_South", "url": "http://groups.yahoo.com/group/northandsouth"} +{"d:Title": "Yahoo! Groups: NorthandSouthAddicts", "d:Description": "Discussion group for the series.", "topic": "Top/Arts/Television/Programs/Mini-Series/North_and_South", "url": "http://groups.yahoo.com/group/northandsouthaddicts"} +{"d:Title": "Starzonfire's North and South", "d:Description": "Crossword puzzle, photos, music, character and setting information, plot, production trivia.", "topic": "Top/Arts/Television/Programs/Mini-Series/North_and_South", "url": "http://www.angelfire.com/extreme/starzonfire/northandsouth.html"} +{"d:Title": "IMDb.com - North and South (1985)", "d:Description": "Cast and production credits for the first series in the trilogy.", "topic": "Top/Arts/Television/Programs/Mini-Series/North_and_South", "url": "http://www.imdb.com/title/tt0088583/combined"} +{"d:Title": "IMDb.com - North and South II (1986)", "d:Description": "Cast and production credits for the second series in the trilogy.", "topic": "Top/Arts/Television/Programs/Mini-Series/North_and_South", "url": "http://www.imdb.com/title/tt0090490/combined"} +{"d:Title": "IMDb.com - Heaven&Hell: North&South, Book III (1994)", "d:Description": "Cast and production credits for the third series in the trilogy.", "topic": "Top/Arts/Television/Programs/Mini-Series/North_and_South", "url": "http://www.imdb.com/title/tt0108823/combined"} +{"d:Title": "IMDb.com", "d:Description": "Nostromo (1996) - Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Nostromo", "url": "http://imdb.com/title/tt0115296/"} +{"d:Title": "IMDb.com - Rich Man, Poor Man (1976", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Rich_Man,_Poor_Man", "url": "http://www.imdb.com/title/tt0074048/combined"} +{"d:Title": "IMDb: Roots (1977)", "d:Description": "Credits, production notes, and user comments.", "topic": "Top/Arts/Television/Programs/Mini-Series/Roots", "url": "http://imdb.com/title/tt0075572/"} +{"d:Title": "Thornewood Castle", "d:Description": "The main filming location. Includes photos and a brief description of the work that took place.", "topic": "Top/Arts/Television/Programs/Mini-Series/Rose_Red", "url": "http://www.thornewoodcastle.com/movie.htm"} +{"d:Title": "IMDb: Rose Red", "d:Description": "Plot summary, cast, filming locations, and information.", "topic": "Top/Arts/Television/Programs/Mini-Series/Rose_Red", "url": "http://www.imdb.com/title/tt0259153/"} +{"d:Title": "IMDb.com - Shogun (1981)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Shogun", "url": "http://www.imdb.com/title/tt0080274/"} +{"d:Title": "Shogun 1981 - TV version", "d:Description": "Summary of the TV version of the movie.", "topic": "Top/Arts/Television/Programs/Mini-Series/Shogun", "url": "http://www.imdb.com/title/tt0083069/"} +{"d:Title": "IMDb: Taken", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Mini-Series/Taken", "url": "http://www.imdb.com/title/tt0289830/"} +{"d:Title": "The Disenchanted Forest", "d:Description": "Contains pictures and information on her \"10th Kingdom\" RPG's.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The", "url": "http://www.thedisenchantedforest.8m.com/index.html"} +{"d:Title": "IMDb.com - 10th Kingdom, The (2000)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The", "url": "http://www.imdb.com/title/tt0207275/combined"} +{"d:Title": "Yahoo Groups: 10th Kingdom", "d:Description": "Discusses all things related to 10th Kingdom including the cast, the movie itself, and fan fiction.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/10thKingdom"} +{"d:Title": "The Unofficial 10th Kingdom Fan Club", "d:Description": "A general discussion list for members of the 10th Kingdom Fan Club.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/11thkingdom"} +{"d:Title": "The 10th Kingdom Fan Fiction Library", "d:Description": "Collection of works and a chat room. Off-shoot of the fan site 'Scott's 10th Kingdom.'", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Fan_Fiction", "url": "http://www.angelfire.com/movies/Scotts10thKingdom/fanfichomepage.html"} +{"d:Title": "KingdomsPress", "d:Description": "Archive for completed 10th Kingdom fan fiction that includes writing resources, magazines with fan-contributed articles, and a posting board for work-in-progress.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Fan_Fiction", "url": "http://www.angelfire.com/tv2/kingdomspress/"} +{"d:Title": "Yahoo Groups : Tenth Kingdom Fanfic", "d:Description": "An email list group for sharing and reading 10th Kingdom fan fiction.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/TenthKingdomFanfic"} +{"d:Title": "The Tenth Kingdom Fan Fiction Webring", "d:Description": "List of sites and instructions for joining.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Fan_Fiction/Web_Rings", "url": "http://www.webring.org/hub?ring=tenthkingdom"} +{"d:Title": "The 11th Kingdom Webring", "d:Description": "Lists sites related to the NBC mini-series The \"10th Kingdom\" or to fairy tales, myths, and legends in general.", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Web_Rings", "url": "http://www.webring.org/hub?ring=10thkingdom"} +{"d:Title": "Ring of the 10 Kingdoms", "d:Description": "Lists sites dedicated to \"The 10th Kingdom.\"", "topic": "Top/Arts/Television/Programs/Mini-Series/Tenth_Kingdom,_The/Web_Rings", "url": "http://www.webring.org/hub?ring=ringofthe10kingd"} +{"d:Title": "IMDb.com - Thorn Birds, The (1983)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Thorn_Birds,_The", "url": "http://www.imdb.com/title/tt0085101/combined"} +{"d:Title": "IMDb.com - Thorn Birds: The Missing Years, The (1996)", "d:Description": "Cast and production credits for the sequel.", "topic": "Top/Arts/Television/Programs/Mini-Series/Thorn_Birds,_The", "url": "http://www.imdb.com/title/tt0117901/combined"} +{"d:Title": "Jim's Rachel Ward Fansite", "d:Description": "Offers photos, biography, filmography and desktop wallpapers.", "topic": "Top/Arts/Television/Programs/Mini-Series/Thorn_Birds,_The/Cast_and_Crew", "url": "http://users.telenet.be/jim.m/rachel-ward/index.htm"} +{"d:Title": "IMDb.com - Uprising (2001)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Mini-Series/Uprising", "url": "http://www.imdb.com/title/tt0250798/"} +{"d:Title": "IMDb: The Young Person's Guide to Becoming a Rock Star", "d:Description": "Combined cast and crew details, user comments, filming locations and message board.", "topic": "Top/Arts/Television/Programs/Mini-Series/Young_Person's_Guide_to_Becoming_a_Rock_Star,_The", "url": "http://www.imdb.com/title/tt0178167/"} +{"d:Title": "TV.com: The Young Person's Guide to Becoming a Rock Star Television Show", "d:Description": "Episode guide, summary, forum, cast and crew information.", "topic": "Top/Arts/Television/Programs/Mini-Series/Young_Person's_Guide_to_Becoming_a_Rock_Star,_The", "url": "http://www.tv.com/shows/the-young-persons-guide-to-becoming-a-rock-star/"} +{"d:Title": "2Gether 4E", "d:Description": "Fan site with links, pictures, and a shockwave love test.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/boybands/2Gether4E/"} +{"d:Title": "DJs Awesome 2GE+HER Page", "d:Description": "2gether fan site often updated. Includes pictures, links and even 2gether dolls.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/ok3/2GETHER/"} +{"d:Title": "2Gether, We Luv You", "d:Description": "Fansite for the MTV TV movie 2Gether. Has lots of content, Biographies, pictures, and some silly stuff too.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/boybands/2getherbaby/index.html"} +{"d:Title": "2gether Galaxy 30", "d:Description": "Fansite about the group features the recent happenings, music information, fan fiction, lyrics, pictures, and even the scripts to the series episodes and specials on MTV.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://2gether.8m.com/"} +{"d:Title": "2gether, Forever", "d:Description": "Includes news, articles, links, quotes, biographies, and lyrics for the show.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://members.tripod.com/chads_princess/"} +{"d:Title": "Smel and Noah: More Than An Obsession", "d:Description": "Website is about Smel's obsession with 2gether and Noah Bastian. Includes cliques, biographies, and links.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/boybands/noahobsession/"} +{"d:Title": "2gether -4- Ever", "d:Description": "This site contains news, biographies, links and even descriptions of the episodes from the series.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/pop/2getherfourever/index.html"} +{"d:Title": "Matchbox30: 2gether 4ever", "d:Description": "Includes pictures, quotes, biographies, and fan fiction.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://shazzpot87.tripod.com/matchbox302gether4everjr/"} +{"d:Title": "Rub One Out 2Gether Style", "d:Description": "Includes biographies, multimedia, lyrics, news, and links.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/boybands/2Gether/"} +{"d:Title": "Awesum Luvrs", "d:Description": "Offers news, photos, lyrics, dedications, and quotes.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/boybands/AwesumLuvrs/open.html"} +{"d:Title": "Lisa and Paul R Friends", "d:Description": "A 2ge+her fan site with pictures, links, lyrics and quizzes. Also including an exclusive slide show for you to download.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/boybands/lisaandpaulRfriends/"} +{"d:Title": "2gether 4evah", "d:Description": "Fan site on the MTV show with information, forum, pictures, polls and quizzes.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/pop2/2gether4evah/2gether.html"} +{"d:Title": "2gether LuvRs", "d:Description": "Biographies, message board, games, and interviews.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.angelfire.com/pop2/2g/"} +{"d:Title": "IMDb - 2gether", "d:Description": "Cast and credits plus additional information about the 2gether film from MTV Productions.", "topic": "Top/Arts/Television/Programs/Music/2gether_-_The_Series", "url": "http://www.imdb.com/title/tt0221789/"} +{"d:Title": "Fifties Web: Dick Clark's American Bandstand", "d:Description": "Remembering the 50s and 60s television icon. History of the show, brief Dick Clark biography, photos and information about the weekly regulars, include \"where are they now\" information.", "topic": "Top/Arts/Television/Programs/Music/American_Bandstand", "url": "http://www.fiftiesweb.com/bandstnd.htm"} +{"d:Title": "Classic Themes: American Bandstand", "d:Description": "The lyrics to the four main theme songs of American Bandstand.", "topic": "Top/Arts/Television/Programs/Music/American_Bandstand", "url": "http://www.classicthemes.com/50sTVThemes/themePages/americanBandstand.html"} +{"d:Title": "Wikipedia: American Bandstand", "d:Description": "Encyclopedic summary of the show, its changes, iconic theme music, pop culture references to the program", "topic": "Top/Arts/Television/Programs/Music/American_Bandstand", "url": "http://en.wikipedia.org/wiki/American_Bandstand"} +{"d:Title": "IMDb: American Bandstand", "d:Description": "Show information, synopsis, hosts, crew, some of the show's musical guest appearances.", "topic": "Top/Arts/Television/Programs/Music/American_Bandstand", "url": "http://www.imdb.com/title/tt0049993/"} +{"d:Title": "American Bandstand", "d:Description": "The Museum of Broadcasting Communications' page on the show with essay, show information, photo, further reading and quotes.", "topic": "Top/Arts/Television/Programs/Music/American_Bandstand", "url": "http://www.museum.tv/eotv/americanband.htm"} +{"d:Title": "The History Of Rock: American Bandstand Pictures", "d:Description": "Multiple pages of classic black and white photos from the show, each of which displays a story behind the picture when clicked on.", "topic": "Top/Arts/Television/Programs/Music/American_Bandstand", "url": "http://www.history-of-rock.com/american_bandstand_pictures.htm"} +{"d:Title": "TV.com: American Bandstand", "d:Description": "Includes synopsis, episode guide with listings of 2557 episodes, viewer comments posting board, cast and crew listings.", "topic": "Top/Arts/Television/Programs/Music/American_Bandstand", "url": "http://www.tv.com/shows/american-bandstand/"} +{"d:Title": "Handbook of Texas Online: Austin City Limits", "d:Description": "Meta-article about the show.", "topic": "Top/Arts/Television/Programs/Music/Austin_City_Limits", "url": "http://www.tshaonline.org/handbook/online/articles/xmaqa"} +{"d:Title": "The Blues Plate Special", "d:Description": "Sound clips, photo gallery, and air schedule.", "topic": "Top/Arts/Television/Programs/Music/Blues_Plate_Special,_The", "url": "http://www.bluestv.net/"} +{"d:Title": "Cat Paws in Motion", "d:Description": "Seen on San Antonio's PBS station KLRN, a children's music and movement television program in its sixth year of production.", "topic": "Top/Arts/Television/Programs/Music/Cat_Paws_in_Motion", "url": "http://www.mycatpaws.com/"} +{"d:Title": "IMDb: CD:UK", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Music/CD_UK", "url": "http://www.imdb.com/title/tt0267157/"} +{"d:Title": "Rock On The Net", "d:Description": "Calendar listing of recording artists/bands upcoming live appearances on various network and syndicated television programs.", "topic": "Top/Arts/Television/Programs/Music/Chats_and_Forums", "url": "http://www.rockonthenet.com/calendar.htm"} +{"d:Title": "IMDb: CMT Most Wanted Live", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Music/CMT_Most_Wanted_Live", "url": "http://www.imdb.com/title/tt0396973/"} +{"d:Title": "Evening at Pops", "d:Description": "The long-running WBGH-produced PBS series.", "topic": "Top/Arts/Television/Programs/Music/Evening_at_Pops", "url": "http://www.pbs.org/wgbh/pops/"} +{"d:Title": "IMDb: Evening at Pops", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Music/Evening_at_Pops", "url": "http://www.imdb.com/title/tt0149452/"} +{"d:Title": "JBTV", "d:Description": "Features bands, interviews, concert footage, and music message boards.", "topic": "Top/Arts/Television/Programs/Music/JBTV", "url": "http://www.jbtvonline.com/"} +{"d:Title": "JB Funky's Lawrence Welk Page", "d:Description": "Long running variety show running currently on public television stations. Features include show information, pictures and humor about the bandleader and his musical family.", "topic": "Top/Arts/Television/Programs/Music/Lawrence_Welk_Show,_The", "url": "http://www.welkmusicalfamily.com/"} +{"d:Title": "IMDb: Live From Lincoln Center", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Music/Live_From_Lincoln_Center", "url": "http://www.imdb.com/title/tt0165042/"} +{"d:Title": "Live from Lincoln Center", "d:Description": "Features program listings, program notes, interviews with musicians, conductors, performers, and directors, and live webcast information.", "topic": "Top/Arts/Television/Programs/Music/Live_From_Lincoln_Center", "url": "http://www.pbs.org/livefromlincolncenter/"} +{"d:Title": "IMDb: Make Your Own Kind of Music", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Music/Make_Your_Own_Kind_of_Music", "url": "http://www.imdb.com/title/tt0263694/"} +{"d:Title": "Music Room, The", "d:Description": "CNN's weekly music program has a dedicated website featuring exclusive interviews with international music stars, profiles, global charts, reviews, and news.", "topic": "Top/Arts/Television/Programs/Music/Music_Room,_The", "url": "http://www.cnn.com/CNNI/Programs/music.room/"} +{"d:Title": "Namaste America", "d:Description": "Produced in New York and seen throughout the US, South Asian infotainment series with film songs, TV serials, interviews, news with video clips from the Indian sub-continent, and local community news.", "topic": "Top/Arts/Television/Programs/Music/Namaste_America", "url": "http://www.namastetv.com/"} +{"d:Title": "Night Flight Group", "d:Description": "Yahoo group on the 1980's late night series.", "topic": "Top/Arts/Television/Programs/Music/Night_Flight", "url": "http://groups.yahoo.com/group/night_flight/"} +{"d:Title": "Night Flight", "d:Description": "Unofficial fan site on USA Network's mid 1980's series. Includes forum, episode listings, and FAQ.", "topic": "Top/Arts/Television/Programs/Music/Night_Flight", "url": "http://night_flight1.tripod.com/"} +{"d:Title": "Supergroup", "d:Description": "Proposed music series featuring a battle of the bands setting.", "topic": "Top/Arts/Television/Programs/Music/Proposed_Music_Series", "url": "http://supergroup.netfirms.com/"} +{"d:Title": "Reality Check TV", "d:Description": "Cable television show promoting underground music, art, people and events with exclusive interviews, live performances and backstage footage.", "topic": "Top/Arts/Television/Programs/Music/Reality_Check_TV", "url": "http://www.realitychecktv.com/"} +{"d:Title": "MTV: Say What Karaoke", "d:Description": "Official site featuring online karaoke, fan chat, information about how to get on the show, and the hosts Dave Holmes and Laura.", "topic": "Top/Arts/Television/Programs/Music/Say_What_Karaoke", "url": "http://saywhat.mtv.com/"} +{"d:Title": "IMDB - Sidewalks: Video Nite", "d:Description": "Internet Movie Database information about the spinoff series to \"Sidewalks Entertainment.\"", "topic": "Top/Arts/Television/Programs/Music/Sidewalks_Video_Nite", "url": "http://www.imdb.com/title/tt0103547/"} +{"d:Title": "Sidewalks: Video Nite", "d:Description": "From the producers of \"Sidewalks Entertainment\" TV show, a Top 40 music video / interview series featuring the music from today's top recording artists. [Official Site]", "topic": "Top/Arts/Television/Programs/Music/Sidewalks_Video_Nite", "url": "http://www.sidewalkstv.com/videonite/"} +{"d:Title": "Sidewalks: Video Nite", "d:Description": "Wikipedia listing for the TV show.", "topic": "Top/Arts/Television/Programs/Music/Sidewalks_Video_Nite", "url": "http://en.wikipedia.org/wiki/Sidewalks:_Video_Nite"} +{"d:Title": "TV.com - Sidewalks: Video Nite", "d:Description": "Episode guide for the music series.", "topic": "Top/Arts/Television/Programs/Music/Sidewalks_Video_Nite", "url": "http://www.tv.com/shows/sidewalks-video-nite/"} +{"d:Title": "Song of the Year", "d:Description": "Information about the upcoming 2004 Amateur Songwriting Competition seen on VH1, which is now accepting entries.", "topic": "Top/Arts/Television/Programs/Music/Song_of_the_Year", "url": "http://www.songoftheyear.com/songcompetition.htm"} +{"d:Title": "Soul Train", "d:Description": "From Don Cornelius Productions, Inc., the official site for the long-running weekly music series, The Soul Train Music Awards, The Soul Train Lady of Soul Awards, and The Soul Train Christmas Starfest.", "topic": "Top/Arts/Television/Programs/Music/Soul_Train", "url": "http://www.soultrain.com/"} +{"d:Title": "IMDb: Soul Train", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Music/Soul_Train", "url": "http://www.imdb.com/title/tt0161194/"} +{"d:Title": "Soundstage", "d:Description": "Biographies and other details about featured artists, broadcast schedule, behind-the-scenes photographs, show merchandise, and how to attend one of the recordings.", "topic": "Top/Arts/Television/Programs/Music/Soundstage", "url": "http://www.pbs.org/wttw/soundstage/"} +{"d:Title": "altmusictv", "d:Description": "Unofficial site for Subterranean, the music video show (formerly named \"120 Minutes\") seen on MTV2. Includes weekly playlist and show history.", "topic": "Top/Arts/Television/Programs/Music/Subterranean", "url": "http://altmusictv.blogspot.com/"} +{"d:Title": "Talk It Out with Jodi Leib", "d:Description": "Cutting-edge music television talk show and online music magazine featuring special guests including The Black Eyed Peas, Tyrese and Hope.", "topic": "Top/Arts/Television/Programs/Music/Talk_It_Out_with_Jodi_Leib", "url": "http://www.jodileib.com/"} +{"d:Title": "Texas Music Cafe", "d:Description": "One-hour, weekly video program produced for Public Television, serving up a smorgasbord of talent from all across Texas.", "topic": "Top/Arts/Television/Programs/Music/Texas_Music_Cafe", "url": "http://www.texasmusiccafe.com/"} +{"d:Title": "Music N Stuff: TRL Section", "d:Description": "List of Total Request Live's Top 10 countdown.", "topic": "Top/Arts/Television/Programs/Music/Total_Request_Live", "url": "http://www.angelfire.com/music3/multi/trl.html"} +{"d:Title": "Slave4TRL", "d:Description": "Fan site offers information on MTV's TRL with daily updates on countdowns, news, events, polls, and comments.", "topic": "Top/Arts/Television/Programs/Music/Total_Request_Live", "url": "http://members.tripod.com/slave4trl/index.htm"} +{"d:Title": "TRL Club", "d:Description": "Yahoo fan club allowing members to post messages, pictures, and chat.", "topic": "Top/Arts/Television/Programs/Music/Total_Request_Live", "url": "http://groups.yahoo.com/group/totalrequestliveMtv/"} +{"d:Title": "Edward's TRL", "d:Description": "List of daily recap and retired videos.", "topic": "Top/Arts/Television/Programs/Music/Total_Request_Live", "url": "http://stratusfaction725.tripod.com/"} +{"d:Title": "Underground, The", "d:Description": "Airs on Fox 8 WWCP, show dedicated to promoting unsigned artists from across Pennsylvania and beyond.", "topic": "Top/Arts/Television/Programs/Music/Underground,_The", "url": "http://www.theundergroundtv.com/"} +{"d:Title": "IMDb: Your Big Break", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Music/Your_Big_Break", "url": "http://www.imdb.com/title/tt0217971/"} +{"d:Title": "Your Big Break", "d:Description": "From Seeing Stars, information about the canceled syndicated show. Includes series overview and information about how contestants applied for their appearances.", "topic": "Top/Arts/Television/Programs/Music/Your_Big_Break", "url": "http://seeing-stars.com/ShowBiz/YourBigBreak.shtml"} +{"d:Title": "Reality Shack", "d:Description": "Fan community featuring forums, reviews, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based", "url": "http://www.realityshack.com/"} +{"d:Title": "RFF Radio", "d:Description": "Podcast from the week's reality tv shows.", "topic": "Top/Arts/Television/Programs/Reality-Based", "url": "http://www.rffradio.com/"} +{"d:Title": "Hot Spots", "d:Description": "Magazine-format TV show about fun things to do in Orlando and all of Central Florida. \"Spots\" features include attractions, activities, events, shopping, dining, and nightlife.", "topic": "Top/Arts/Television/Programs/Reality-Based", "url": "http://www.hotspotsonline.com/"} +{"d:Title": "The Dudesons", "d:Description": "Four childhood friends in Finland whose life is filled with stunts and pranks. TV show, movie and YouTube videos.", "topic": "Top/Arts/Television/Programs/Reality-Based", "url": "http://dudesons.com/"} +{"d:Title": "IMDb: \"Til Death Do Us Part: Carmen + Dave", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/'Til_Death_Do_Us_Part_-_Carmen_&_Dave", "url": "http://www.imdb.com/title/tt0395376/"} +{"d:Title": "The 1900 House", "d:Description": "Includes details of the house, the family, and the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/1900_House,_The", "url": "http://www.pbs.org/wnet/1900house/"} +{"d:Title": "TARflies Times", "d:Description": "Recaps, commentary, analysis, photographs, sightings and news for all contestants and seasons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The", "url": "http://www.tarflies.com/"} +{"d:Title": "Yahoo! Groups: The Amazing Race on CBS", "d:Description": "Discussion forum for viewers of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The", "url": "http://tv.groups.yahoo.com/group/theamazingraceoncbs/?yguid=97857258"} +{"d:Title": "Television Without Pity", "d:Description": "Directory of episode recaps from the original season one pilot. Includes a discussion forum and FAQ document.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The", "url": "http://www.televisionwithoutpity.com/show/the-amazing-race-1/"} +{"d:Title": "IMDb: Amazing Race, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_1", "url": "http://www.imdb.com/title/tt0285335/"} +{"d:Title": "CBS - The Amazing Race 1", "d:Description": "Official site. Full competitor biographies, episode reviews, and viewer polls.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_1", "url": "http://www.cbs.com/primetime/amazing_race/"} +{"d:Title": "Kim and Leslie", "d:Description": "Teachers and tenth place contestants. Includes memorable quotes, photos and a guest book.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_1/Contestants", "url": "http://www.kimandleslie.freewebspace.com/"} +{"d:Title": "IMDb: Amazing Race 2, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_2", "url": "http://www.imdb.com/title/tt0285335/"} +{"d:Title": "Peggy and Claire", "d:Description": "Gutsy Grannies and ninth place contestants. Includes guest book and favorite links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_2/Contestants", "url": "http://www.peggyandclaire.freewebspace.com/"} +{"d:Title": "Derek and Drew", "d:Description": "Fan page with photographs, biographies, and downloads of the fourth place contestants.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_3/Contestants", "url": "http://derekanddrew.tripod.com/"} +{"d:Title": "Aaron and Arianne", "d:Description": "Best friends and seventh place contestants. Web log with links and press coverage.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_3/Contestants", "url": "http://www.aaronandarianne.com/"} +{"d:Title": "IMDb: Amazing Race 4, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_4", "url": "http://www.imdb.com/title/tt0285335/"} +{"d:Title": "Kelly and Jon", "d:Description": "Second place contestants. Includes questions and answers, photos and a guest book.", "topic": "Top/Arts/Television/Programs/Reality-Based/Amazing_Race,_The/Amazing_Race_4/Contestants", "url": "http://www.kellyandjon.freewebspace.com/"} +{"d:Title": "IMDb: America's Got Talent", "d:Description": "Features full cast and crew, filming locations, show synopsis and discussion board.", "topic": "Top/Arts/Television/Programs/Reality-Based/America's_Got_Talent", "url": "http://www.imdb.com/title/tt0759364/"} +{"d:Title": "Judges Announced for 'America's Got Talent'", "d:Description": "Brandy, David Hasselhoff and British media figure Piers Morgan will be scrutinizing aspiring stars for the NBC series.", "topic": "Top/Arts/Television/Programs/Reality-Based/America's_Got_Talent", "url": "http://www.today.com/id/12861730"} +{"d:Title": "IMDb: American Candidate", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_Candidate", "url": "http://www.imdb.com/title/tt0419306/"} +{"d:Title": "Orange County Choppers", "d:Description": "Official site of the company featured on 'American Chopper'. Order show merchandise, take a look at custom bikes and read biographies of the Teutul family.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_Chopper", "url": "http://orangecountychoppers.com/"} +{"d:Title": "American Chopper: A Trivia Quiz", "d:Description": "Questions focus on details of the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_Chopper", "url": "http://www.funtrivia.com/quizdetails.cfm?id=162894"} +{"d:Title": "American Chopper: The Drinking Game", "d:Description": "Series overview and rules. Visitors can submit rules of their own.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_Chopper", "url": "http://www.slappyjack.com/rants/20030716.phtml"} +{"d:Title": "IMDB: American Chopper: The Series", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_Chopper", "url": "http://www.imdb.com/title/tt0364779/"} +{"d:Title": "USA Today: 'American Chopper' stars climb aboard AOL", "d:Description": "Article about series of commercials featuring the show's stars.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_Chopper", "url": "http://www.usatoday.com/money/advertising/2004-01-19-aolchoppers_x.htm"} +{"d:Title": "PBS: American High", "d:Description": "Backstage pass to the series: Meet the students, drop in for chats, and make a face in the Interactive Yearbook.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_High", "url": "http://www.pbs.org/americanhigh/"} +{"d:Title": "PopMatters: American High", "d:Description": "Analysis and review of the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/American_High", "url": "http://popmatters.com/tv/reviews/a/american-high.html"} +{"d:Title": "Yahoo! Groups: American High Fans", "d:Description": "Message board for viewers who enjoy the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/American_High", "url": "http://tv.groups.yahoo.com/group/americanhighfans/"} +{"d:Title": "IMDb: Anna Nicole Show, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Anna_Nicole_Show,_The", "url": "http://www.imdb.com/title/tt0328732/"} +{"d:Title": "IMDb: Apprentice, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The", "url": "http://www.imdb.com/title/tt0364782/"} +{"d:Title": "The Apprentice Blog", "d:Description": "Viewer's diary of commentary on the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The", "url": "http://theapprentice.typepad.com/"} +{"d:Title": "Yahoo! Groups: The Apprentice", "d:Description": "Message board for viewers of the show. High message traffic. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The", "url": "http://tv.groups.yahoo.com/group/The_Apprentice/"} +{"d:Title": "Yahoo! Groups: Apprentice Trump", "d:Description": "Discussion forum focusing on the host. Very high posting traffic. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The", "url": "http://tv.groups.yahoo.com/group/Apprentice_Trump/"} +{"d:Title": "The Apprentice Boardroom", "d:Description": "Place to discuss the Donald Trump reality show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The", "url": "http://theapprenticeboardroom.blogspot.com/"} +{"d:Title": "IMDb: The Apprentice: Martha Stewart", "d:Description": "Includes cast and production information, and user reviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The/Apprentice_Martha_Stewart,_The", "url": "http://imdb.com/title/tt0445865/"} +{"d:Title": "Wikipedia: The Apprentice: Martha Stewart", "d:Description": "Show overview, contestant bios, episode recaps, and weekly winners grid.", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The/Apprentice_Martha_Stewart,_The", "url": "http://en.wikipedia.org/wiki/The_Apprentice:_Martha_Stewart"} +{"d:Title": "Metacritic: The Apprentice Martha Stewart", "d:Description": "User and major newspaper reviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The/Apprentice_Martha_Stewart,_The", "url": "http://www.metacritic.com/tv/shows/apprenticemarthastewart"} +{"d:Title": "Bill Rancic", "d:Description": "Official site for the first season's winning contestant. Includes biography, news, and appearances.", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The/Contestants", "url": "http://www.billrancic.com/"} +{"d:Title": "Hey Tana!", "d:Description": "Story of third season runner-up Tana Goertz, with audio and video clips. Offers inspirational book, \"I'm Bigger than This\".", "topic": "Top/Arts/Television/Programs/Reality-Based/Apprentice,_The/Contestants", "url": "http://www.heytana.com/"} +{"d:Title": "Infopleas.com: History of Reality TV", "d:Description": "Article that suggests Survivor II and Temptation Island lead the pack in this genre.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://www.infoplease.com/spot/realitytv1.html"} +{"d:Title": "Reality Blurred: The Reality TV News Digest", "d:Description": "News, gossip, information and links.)", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://www.realityblurred.com/realitytv/"} +{"d:Title": "Reality TV World", "d:Description": "News, including episode summaries, contestant profiles, air schedules, and ratings information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://www.realitytvworld.com/"} +{"d:Title": "Reality TV Calendar", "d:Description": "Daily and weekly air schedules and recaps.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://www.realitytvcalendar.com/"} +{"d:Title": "Inside Reality", "d:Description": "Dedicated to the latest in reality news, gossip, pictures, and interviews with cast and crew.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://insidereality.blogspot.com/"} +{"d:Title": "Idiot Box Chatter", "d:Description": "Mrs. Giggles' opinionated, caustic, and witty recaps of reality TV shows, mainly \"Survivor,\" \"The Amazing Race,\" and \"American Idol.\"", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://www.mrsgiggles.com/tv/index.html"} +{"d:Title": "About.com: Reality TV", "d:Description": "Online destination for reality TV news, reality star bios and photos, reality show recaps and interviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://realitytv.about.com/"} +{"d:Title": "Bella Online: Reality TV", "d:Description": "Latest news, casting call information, show previews and updates in reality TV.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://www.bellaonline.com/site/realitytv"} +{"d:Title": "Pophangover", "d:Description": "Pop culture news and humor from a sarcastic point of view. Humorous posts, reality TV news and recaps, gaming articles and podcast.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews", "url": "http://www.pophangover.com/"} +{"d:Title": "Best Reality Shows", "d:Description": "Visitors can rank and review shows.", "topic": "Top/Arts/Television/Programs/Reality-Based/Articles_and_Interviews/Reviews", "url": "http://bestrealityshows.com/"} +{"d:Title": "IMDb: Bands on the Run", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Bands_on_the_Run", "url": "http://www.imdb.com/title/tt0274238/"} +{"d:Title": "IMDb: Beauty and the Geek", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Beauty_and_the_Geek", "url": "http://www.imdb.com/title/tt0460625/"} +{"d:Title": "Yahoo! Groups: Beauty and the Geek", "d:Description": "Discussion of the show, cast, and episodes. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Beauty_and_the_Geek", "url": "http://tv.groups.yahoo.com/group/Beauty_and_the_Geek/"} +{"d:Title": "TV.com: Beauty and the Geek", "d:Description": "Episode guide, cast information, pictures, and reviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Beauty_and_the_Geek", "url": "http://www.tv.com/shows/beauty-and-the-geek/"} +{"d:Title": "IMDb: Beg, Borrow&Deal", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Beg,_Borrow,_and_Deal", "url": "http://www.imdb.com/title/tt0319952/"} +{"d:Title": "TV.com: Beg, Borrow&Deal", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Beg,_Borrow,_and_Deal", "url": "http://www.tv.com/shows/beg-borrow-and-deal/"} +{"d:Title": "Beg, Borrow, and Deal", "d:Description": "Official network site. Includes contestant profiles, episode summaries, rules, and air schedule.", "topic": "Top/Arts/Television/Programs/Reality-Based/Beg,_Borrow,_and_Deal", "url": "http://www.espn.com/begborrowdeal/"} +{"d:Title": "IMDb: Being Bobby Brown", "d:Description": "Cast, crew and production information as well as user comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Being_Bobby_Brown", "url": "http://www.imdb.com/title/tt0429311/"} +{"d:Title": "IMDb: The Biggest Loser", "d:Description": "Cast, crew and reviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Biggest_Loser,_The", "url": "http://www.imdb.com/title/tt0429318/"} +{"d:Title": "Wikipedia - The Biggest Loser", "d:Description": "Covers the premise, looks at the programme season by season and has brief information about the Australian and British versions.", "topic": "Top/Arts/Television/Programs/Reality-Based/Biggest_Loser,_The", "url": "http://en.wikipedia.org/wiki/The_Biggest_Loser"} +{"d:Title": "TV.com: The Biggest Loser", "d:Description": "Includes a programme summary, news, pictures, and reviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Biggest_Loser,_The", "url": "http://www.tv.com/shows/the-biggest-loser/"} +{"d:Title": "Big Break II, The", "d:Description": "From About.com, meet the contestants and follow along as one is eliminated each week in The Golf Channel's reality golf series.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Break,_The", "url": "http://golf.about.com/library/weekly/blbigbreak2.htm"} +{"d:Title": "Big Break III, The", "d:Description": "From About.com, the women contestants for year 3.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Break,_The", "url": "http://golf.about.com/library/weekly/blbigbreak3.htm"} +{"d:Title": "About - The Big Break IV", "d:Description": "Weekly recaps and polls, plus contestant biographies and discussion boards.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Break,_The", "url": "http://golf.about.com/od/thebigbreak/a/bigbreakiv.htm"} +{"d:Title": "Big Brother comes to Channel 4", "d:Description": "British version of the fly-on-the-wall show that has been a hit across Europe is the highlight of Channel 4's spring schedule. (BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/670247.stm"} +{"d:Title": "Geldof's Big Brother battle", "d:Description": "Producers of the international TV hit stole the idea from a company part-owned by musician Bob Geldof, a Dutch court hears. (BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/720966.stm"} +{"d:Title": "Big Brother unleashed by Channel 4", "d:Description": "Channel 4 unveils its controversial new series that will watch 10 strangers living together in a house 24 hours a day. (BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/808710.stm"} +{"d:Title": "Channel 4's \u00a370,000 Big Brother search", "d:Description": "The British version of a controversial series which monitors its volunteers' every move 24 hours a day will offer the winner a \u00a370,000 prize. (BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/687176.stm"} +{"d:Title": "Big Brother starts watching", "d:Description": "Ten volunteers have been sealed off from the outside world as the Dutch TV sensation comes to the UK.(BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/833942.stm"} +{"d:Title": "Watching Big Brother", "d:Description": "Interactive TV show that pushes the voyeuristic docu-soap format to its limits is proving a massive hit in The Netherlands. (BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/472516.stm"} +{"d:Title": "Big Brother hits the US", "d:Description": "European TV sensation makes its debut on US TV - two weeks before UK viewers get their own version of the show. (BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://news.bbc.co.uk/1/hi/entertainment/821775.stm"} +{"d:Title": "Are we turning into Peeping Toms?", "d:Description": "What does the launch of an interactive TV and Internet experiment on both sides of Atlantic say about modern society? (BBC News)", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Articles_and_Interviews", "url": "http://newsvote.bbc.co.uk/1/hi/talking_point/834731.stm"} +{"d:Title": "Behind Big Brother Australia", "d:Description": "News, rumors, gossip, and commentary.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_Australia", "url": "http://www.behindbigbrother.com/"} +{"d:Title": "Big Brother TV from Oz", "d:Description": "Housemate profiles, updates, news, evictions, and house tour.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_Australia", "url": "http://www.angelfire.com/movies/mypics2/index.html"} +{"d:Title": "Yahoo! Groups: Big Brother Fans", "d:Description": "Show and contestant discussion. [Yahoo ID and registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_Australia", "url": "http://au.groups.yahoo.com/group/bigbrother_fans/"} +{"d:Title": "Peter Timbs Fan Site", "d:Description": "News and photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_Australia/Big_Brother_1", "url": "http://www.angelfire.com/movies/mypics2/peterc.htm"} +{"d:Title": "Sara-Marie Bunny Club", "d:Description": "News and photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_Australia/Big_Brother_1", "url": "http://www.angelfire.com/movies/mypics2/smbc.htm"} +{"d:Title": "Big Brother Spy", "d:Description": "Provides live updates, online forum, betting, and columnists.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK", "url": "http://bigbrother.digitalspy.co.uk/"} +{"d:Title": "ThisisBigBrother.com", "d:Description": "News, discussion forum, picture gallery, and housemate interviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK", "url": "http://www.thisisbigbrother.com/"} +{"d:Title": "Wilverscom UK", "d:Description": "Updates and latest gossip in the house. Has pictures and profiles of the contestants.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK", "url": "http://www.wilvers.co.uk/"} +{"d:Title": "bbspy", "d:Description": "A Big Brother UK fansite that brings the latest news from inside the house.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK", "url": "http://www.bbspy.co.uk/"} +{"d:Title": "Tengaged Big Brother UK", "d:Description": "News, latest updates, blogs and forums from the Big Brother UK show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK", "url": "http://www.tengaged.com/bb/big_brother_uk"} +{"d:Title": "'Big Brother': The TV Programme", "d:Description": "An article outlining the contestants and main events from the UK TV show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK", "url": "http://www.h2g2.com/approved_entry/A623620"} +{"d:Title": "Big Brother", "d:Description": "Official Channel 4 site. Includes news, episode recaps, photos, and contestant information.", "priority": "1", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK", "url": "http://www.channel4.com/programmes/big-brother"} +{"d:Title": "BBC News: Big Brother gets record ratings", "d:Description": "Channel 4's top 2003 ratings for the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK/Big_Brother_4", "url": "http://news.bbc.co.uk/1/hi/entertainment/2936914.stm"} +{"d:Title": "Evil Big Brother UK 5 Fan Site", "d:Description": "Fan Site for fifth series. Includes profiles, polls, forums etc.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK/Big_Brother_5", "url": "http://www.bigbrother.survivor-online.co.uk/"} +{"d:Title": "The Ice House", "d:Description": "Forum for fans of Dan Bryan. BB5 Housemate and lead singer of Icehouse.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_UK/Cast_and_Crew", "url": "http://lilybird.proboards.com/"} +{"d:Title": "Big Brother TV show", "d:Description": "Recaps, commentary, cast profiles, and open forum.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US", "url": "http://www.angelfire.com/fl/JackCraig/BIGBROTHER.html"} +{"d:Title": "WeLoveBigBrother.com", "d:Description": "News, screen captures, and pictures.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US", "url": "http://www.welovebigbrother.com/"} +{"d:Title": "Yahoo! Groups: Big Brother Talk", "d:Description": "Message forum and cast photos. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US", "url": "http://tv.groups.yahoo.com/group/Big_Brother_Talk/"} +{"d:Title": "Hamster Time", "d:Description": "Forums offering live-feed recaps and archive from all seasons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US", "url": "http://www.hamstertime.net/"} +{"d:Title": "Big Brother 10", "d:Description": "Blog for recent season of the reality show. Photographs downloaded from the live feeds and links to similar sites.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_10", "url": "http://bigbrothertalk.blogspot.com/"} +{"d:Title": "Big Brother 2 TV show", "d:Description": "Fan site for the second series with details of each episodes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_2", "url": "http://www.angelfire.com/fl/JackCraig/BIGBROTHER2.html"} +{"d:Title": "Big Brother2 USA Captures", "d:Description": "Offers video captures from the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_2", "url": "http://bigbrotherscans.tripod.com/big_brother_usa.html"} +{"d:Title": "Big Brother 2001: Tracey's Big Brother House", "d:Description": "House guest biographies, pictures, news and gossip, and chat.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_2", "url": "http://bigbrother211.tripod.com/bigbrother2001traceysbigbrotherhouse/"} +{"d:Title": "Will Town and Chill Town Home", "d:Description": "Updates and photos of Dr. Will Kirby, Shannon, Mike \"Boogie\" Cari Malin, Justi,n and Krista.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_2/Cast_and_Crew", "url": "http://www.angelfire.com/tv2/willchilltown/"} +{"d:Title": "The Real Hardy", "d:Description": "Anti-fan site for the contestant with news, audio, and video of his antics in the house.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_2/Cast_and_Crew", "url": "http://www.angelfire.com/al4/therealhardy/thug.html"} +{"d:Title": "IMDb.com: Mike Malin (I)", "d:Description": "Filmography.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_2/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0539642/"} +{"d:Title": "Big Brother 3 Rants", "d:Description": "One fan's opinion on the goings-on in the house.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_3", "url": "http://www.angelfire.com/cantina/holyschnikeys/BB3rants.html"} +{"d:Title": "Coffeerooms Big Brother", "d:Description": "Forum for fans and haters of the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_3", "url": "http://www.coffeerooms.com/forums/tv/bigbro/index.html"} +{"d:Title": "Shoppie's BB3 Fun Poll Results", "d:Description": "Answers to a survey of fans.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_3", "url": "http://www.angelfire.com/cantina/holyschnikeys/bb3pollresults.html"} +{"d:Title": "Rachel's Fun and Glamorous Big Brother 3 Blog", "d:Description": "Fan's commentary on the season.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_3", "url": "http://www.angelfire.com/me4/fun_and_glam/bb3rules.html"} +{"d:Title": "Lisa Donahue", "d:Description": "Images and video clips from the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_3/Cast_and_Crew/Lisa", "url": "http://www.csnetserver.com/lisadonahue"} +{"d:Title": "Lisa Donahue", "d:Description": "Photos, message board, and chat.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_3/Cast_and_Crew/Lisa", "url": "http://www.angelfire.com/ks3/lisabb3/"} +{"d:Title": "Let's Talk... Big Brother 5", "d:Description": "Updates, videos, polls, information on the show, and photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_5", "url": "http://www.jmolnar.net/BB5/home.htm"} +{"d:Title": "Marty's TV", "d:Description": "Community fan site contains forums, scheduale and player information, and news updates.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_6", "url": "http://www.mortystv.com/big_brother.shtml"} +{"d:Title": "The Big Brother Site", "d:Description": "Features forum discussions, episode and contestant information, screen captures, news, and stories.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_6", "url": "http://www.thebigbrothersite.com/"} +{"d:Title": "Dingo's HamsterWatch", "d:Description": "Offering live feed recaps and stills, houseguest ratings and show information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_6", "url": "http://www.hamsterwatch.com/"} +{"d:Title": "BB7Dish", "d:Description": "Offers transcriptions of live internet feed.", "topic": "Top/Arts/Television/Programs/Reality-Based/Big_Brother/Big_Brother_US/Big_Brother_7", "url": "http://bb7dish.blogspot.com/"} +{"d:Title": "Yahoo! Groups: Boot Camp on Fox Network", "d:Description": "Message forum for viewers of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Boot_Camp", "url": "http://tv.groups.yahoo.com/group/bootcamponfoxnetwork/"} +{"d:Title": "IMDb: Casino, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Casino,_The", "url": "http://www.imdb.com/title/tt0390688/"} +{"d:Title": "Joe Hollywood's Reality TV", "d:Description": "Discussion and chat, as well as talk about videotaped mayhem of all kinds.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.joehollywood.com/reality/"} +{"d:Title": "Fans of Reality TV", "d:Description": "Forums, interviews, recaps, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.fansofrealitytv.com/"} +{"d:Title": "Metropolis Reality TV Forums", "d:Description": "Message boards and games, focusing on Survivor, Big Brother, The Amazing Race, and Fear Factor.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.forumsnet.com/"} +{"d:Title": "Reality Fan Forum", "d:Description": "Message boards, pictures, spoilers, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.realityfanforum.com/"} +{"d:Title": "Joker's Updates", "d:Description": "Discussion boards focusing on Big Brother, air schedules, and recaps.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.jokersupdates.com/"} +{"d:Title": "Chat Rage", "d:Description": "Discussion on The Apprentice and Survivor.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.chatrage.com/"} +{"d:Title": "Reality TV Website", "d:Description": "News, gossip, message boards and forums for reality TV shows.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.realitytvwebsite.com/"} +{"d:Title": "The Blue Room", "d:Description": "Up to the minute news and gossip about Big Brother and other reality TV shows, plus games and chat.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.theblueroom.me.uk/forum/"} +{"d:Title": "TV Squad", "d:Description": "Television online blogging and chat forums on TV shows, TV personailties, and general topics.", "topic": "Top/Arts/Television/Programs/Reality-Based/Chats_and_Forums", "url": "http://www.tvsquad.com/"} +{"d:Title": "Yahoo! Groups: Combat Missions Club", "d:Description": "Message forum for viewers of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Combat_Missions", "url": "http://tv.groups.yahoo.com/group/combatmissionsclub/"} +{"d:Title": "IMDb: Combat Missions", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Combat_Missions", "url": "http://www.imdb.com/title/tt0283177/"} +{"d:Title": "TV.Com: Combat Missions", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Combat_Missions", "url": "http://www.tv.com/shows/combat-missions/"} +{"d:Title": "Court Shows Dominate Syndication", "d:Description": "Florida newspaper article analyzing the shift in syndicated programming from talk shows to court shows.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows", "url": "http://www.sptimes.com/News/090700/Floridian/Court_shows_dominate_.shtml"} +{"d:Title": "Yahoo! Groups: Crime and Punishment", "d:Description": "Discussion forum for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Crime_&_Punishment", "url": "http://tv.groups.yahoo.com/group/Crime_and_Punishment/"} +{"d:Title": "IMDb: Crime&Punishment", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Crime_&_Punishment", "url": "http://www.imdb.com/title/tt0278250/"} +{"d:Title": "IMDb: Curtis Court", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Curtis_Court", "url": "http://www.imdb.com/title/tt0268792/"} +{"d:Title": "Divorce Court", "d:Description": "Official site of the syndicated television show hosted by Judge Lynn Toller. Includes series overview, information on how to get cases on the show, and tips on maintaining a healthy relationship.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Divorce_Court", "url": "http://www.divorcecourt.com/"} +{"d:Title": "IMDb: Divorce Court", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Divorce_Court", "url": "http://www.imdb.com/title/tt0282291/"} +{"d:Title": "TV.com: Divorce Court", "d:Description": "Episode guide with airdates and links to information on cast and crew.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Divorce_Court", "url": "http://www.tv.com/shows/divorce-court/"} +{"d:Title": "IMDb: Judge Hatchett", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Hatchett", "url": "http://www.imdb.com/title/tt0346306/"} +{"d:Title": "Judge Joe Brown", "d:Description": "Court calendar, biographies, polls, details of current week's shows, and form for submitting a case.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Joe_Brown", "url": "http://www.judgejoebrown.com/"} +{"d:Title": "Yahoo! Groups: Judge Joe Brown Chambers", "d:Description": "Discussion forum for viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Joe_Brown", "url": "http://groups.yahoo.com/group/judgejoebrownchambers/"} +{"d:Title": "IMDb: Judge Joe Brown", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Joe_Brown", "url": "http://www.imdb.com/title/tt0235927/"} +{"d:Title": "TV.com: Judge Joe Brown", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Joe_Brown", "url": "http://www.tv.com/shows/judge-joe-brown/"} +{"d:Title": "Judge Judy", "d:Description": "Official site. Biography, case submission form for those who want to be on the show, sound clips, and details of forthcoming episodes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://www.judgejudy.com/"} +{"d:Title": "Reasons to watch \"Judge Judy\"", "d:Description": "Topical list of things that make the show worth seeing.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://www.yobeat.com/issue11/judy.htm"} +{"d:Title": "Yahoo! Groups: Judge Judy", "d:Description": "Message forum for viewers of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://groups.yahoo.com/group/judge_judy/"} +{"d:Title": "Judge Judy: Cases", "d:Description": "First-person account of a case heard on the show, with invitation to similar stories.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://members.tripod.com/~jjudy/cases.html"} +{"d:Title": "Judge Judy Comic", "d:Description": "Cartoon rendering of a fictional episode.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://www.gregandandy.com/judy/judy1.htm"} +{"d:Title": "Yahoo! Groups: Judge Judy Rules", "d:Description": "Message forum for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://groups.yahoo.com/group/judgejudyrules/"} +{"d:Title": "Yahoo! Groups: The Judge Judy Fan Club", "d:Description": "Mailing list for the show's viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://tv.groups.yahoo.com/group/thejudgejudyfanclub/"} +{"d:Title": "IMDb: Judge Judy", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Judy", "url": "http://www.imdb.com/title/tt0115227/"} +{"d:Title": "Ask Judge Mathis", "d:Description": "Official site. Calendar of events, details of the show, and legal resource guide.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Mathis", "url": "http://www.askjudgemathis.com/"} +{"d:Title": "IMDb: Judge Mathis", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Mathis", "url": "http://www.imdb.com/title/tt0346307/"} +{"d:Title": "Judge Mathis", "d:Description": "Official production company site. Includes biography, newsletter, and information on how to be in the audience.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Judge_Mathis", "url": "http://judgemathistv.warnerbros.com/?frompage=sitemap"} +{"d:Title": "Is small claims court the People's Court?", "d:Description": "Comparison of the show with how non-televised small claims courts operate.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/People's_Court", "url": "http://danielfaber.com/smallclaims.htm"} +{"d:Title": "IMDb: Texas Justice", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Texas_Justice", "url": "http://www.imdb.com/title/tt0282332/"} +{"d:Title": "Y'all Rise: Texas Justice is more forgiving than you might think. By Virginia Heffernan", "d:Description": "Article that indicates the judgments are more liberal than the show's reputation suggests.", "topic": "Top/Arts/Television/Programs/Reality-Based/Court_Shows/Texas_Justice", "url": "http://slate.com/id/2066153/"} +{"d:Title": "Deadliest Catch", "d:Description": "Official site of the show portraying the lives of Alaskan crab fisherman. Includes television schedule, crew biographies, message board, games, and related links.", "priority": "1", "topic": "Top/Arts/Television/Programs/Reality-Based/Deadliest_Catch", "url": "http://dsc.discovery.com/fansites/deadliestcatch/deadliestcatch.html"} +{"d:Title": "Wikipedia - Deadliest Catch", "d:Description": "Encyclopedia article about the show including an overview, statistics, and related links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Deadliest_Catch", "url": "http://en.wikipedia.org/wiki/Deadliest_Catch"} +{"d:Title": "Deadliest Reports", "d:Description": "Fan news-weblog reporting on activities of the cast and crew. Features an episode guide and information on the original music used in the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Deadliest_Catch", "url": "http://deadliestreports.wordpress.com/"} +{"d:Title": "Time Bandit", "d:Description": "Boat operated by brothers Andy and Johnathon Hillstrand. Features captain biographies, crew profiles, photographs, and an online store.", "topic": "Top/Arts/Television/Programs/Reality-Based/Deadliest_Catch/Crew_and_Fleet", "url": "http://www.timebandit.tv/"} +{"d:Title": "Northwestern", "d:Description": "Crab boat owned and operated by the Hansen family. Features profile, forums, online store, photographs, and guest book.", "topic": "Top/Arts/Television/Programs/Reality-Based/Deadliest_Catch/Crew_and_Fleet", "url": "http://www.fvnorthwestern.com/"} +{"d:Title": "Wizard", "d:Description": "Vessel owned and operated by Keith and Florence Colburn. Includes profile, captains log, crew biographies, specifications, photographs, forums and related links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Deadliest_Catch/Crew_and_Fleet", "url": "http://www.crabwizard.com/"} +{"d:Title": "Wikipedia - Sigurd Hansen", "d:Description": "Encyclopedia article on the captain of the Northwestern crab vessel.", "topic": "Top/Arts/Television/Programs/Reality-Based/Deadliest_Catch/Crew_and_Fleet", "url": "http://en.wikipedia.org/wiki/Sigurd_Hansen"} +{"d:Title": "IMDb: Eco-Challenge Fiji Islands", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Eco-Challenge", "url": "http://www.imdb.com/title/tt0341749/"} +{"d:Title": "IMDb: Extreme Makeover Home Edition", "d:Description": "User reviews, cast bios, crew info, quotes, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Extreme_Makeover_Home_Edition", "url": "http://www.imdb.com/title/tt0388595/"} +{"d:Title": "Yahoo! Groups: Extreme Makeover Home Edition", "d:Description": "Show, cast, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Extreme_Makeover_Home_Edition", "url": "http://tv.groups.yahoo.com/group/Extreme_Makeover_Home_Edition_Show/"} +{"d:Title": "Wikipedia: Extreme Makeover Home Edition", "d:Description": "Show summary, cast bios, and episode recaps.", "topic": "Top/Arts/Television/Programs/Reality-Based/Extreme_Makeover_Home_Edition", "url": "http://en.wikipedia.org/wiki/Extreme_Makeover:_Home_Edition"} +{"d:Title": "The Family Reality TV Show Discussion", "d:Description": "Message board and information emphasizing Italian pride.", "topic": "Top/Arts/Television/Programs/Reality-Based/Family,_The", "url": "http://www.angelfire.com/nj3/holyeve/"} +{"d:Title": "Reality News Live", "d:Description": "News and updates with message board, chat, and links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fan_Pages", "url": "http://www.realitynewslive.com/"} +{"d:Title": "Reality TV Updates", "d:Description": "Weblog that provides reviews and commentary on several shows.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fan_Pages", "url": "http://www.realitybreakdown.blogspot.com/"} +{"d:Title": "Edward's Online Reality", "d:Description": "Reality recap site of The Real World, Road Rules, Real World/Road Rules Challenge, Big Brother, The Mole and Survivor.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fan_Pages", "url": "http://stratusfaction725.tripod.com/reality/"} +{"d:Title": "Reality BBQ", "d:Description": "News, spoilers, schedules, chat, and forums for Big Brother and The Mole.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fan_Pages", "url": "http://www.realitybbq.com/"} +{"d:Title": "Peter's Review: Fear Factor", "d:Description": "Commentary on NBC's TV show, which is hosted by Joe Rogan.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fear_Factor", "url": "http://www.petersreviews.com/fear_factor.html"} +{"d:Title": "Fear Factor", "d:Description": "Internet Movie Database listing.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fear_Factor", "url": "http://www.imdb.com/title/tt0278191/"} +{"d:Title": "Fear Factor", "d:Description": "Official network site. Includes player profiles, video clips, photos, and interviews with winners.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fear_Factor", "url": "http://www.nbc.com/fear-factor/"} +{"d:Title": "TV.com: Fear Factor", "d:Description": "Episode guide, cast and crew information, analysis, polls, and reviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fear_Factor", "url": "http://www.tv.com/shows/fear-factor/"} +{"d:Title": "Wikipedia: Flipping Out", "d:Description": "Includes cast list, real estate listings and external links of interest.", "topic": "Top/Arts/Television/Programs/Reality-Based/Flipping_Out", "url": "http://en.wikipedia.org/wiki/Flipping_Out"} +{"d:Title": "IMDb: Flipping Out", "d:Description": "Includes cast and crew list, episode information, tv schedule, filming locations, photo gallery and discussion board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Flipping_Out", "url": "http://www.imdb.com/title/tt1078394/"} +{"d:Title": "BuddyTV: Conference Call with Jeff Lewis and Jenni Pulos of 'Flipping Out'", "d:Description": "Jeff and his assistant Jenni Pulos took part in a conference call this morning to talk about the show and what we can expect from the second season. Includes photos. By Leslie Seaton.", "topic": "Top/Arts/Television/Programs/Reality-Based/Flipping_Out", "url": "http://www.buddytv.com/articles/flipping-out/conference-call-with-jeff-lewi-20602.aspx"} +{"d:Title": "Media Life Magazine: 'Flipping Out,' Delightful Drama Queen", "d:Description": "What makes \u201cFlipping,\u201d so fascinating is that it works on almost every level. By Andrew Lyons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Flipping_Out", "url": "http://www.medialifemagazine.com/artman2/publish/TV_Reviews_21/Flipping_Out_delightful_drama_queen.asp"} +{"d:Title": "Redfin Sweet Digs Los Angeles: Jeff Lewis Flips \u2018Commonwealth\u2019", "d:Description": "If you\u2019re not watching the new season of Bravo TV\u2019s realty reality show \u201cFlipping Out,\u201d you\u2019re missing out. It\u2019s really, really compelling this year.", "topic": "Top/Arts/Television/Programs/Reality-Based/Flipping_Out", "url": "http://blog.redfin.com/losangeles/2008/07/jeff_lewis_flips_commonwealth.html"} +{"d:Title": "BravoTV: Flipping Out", "d:Description": "Official site offers synopsis, cast bios, blogs, episode information, message boards, photos, telecast schedule and videos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Flipping_Out", "url": "http://www.bravotv.com/flipping-out"} +{"d:Title": "Are You Flipping Out?", "d:Description": "I can\u2019t get enough of \u201cFlipping Out\u201d on Bravo. Is anyone else hooked on this show? Includes photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Flipping_Out", "url": "http://hookedonhouses.net/2008/07/08/are-you-flipping-out/"} +{"d:Title": "IMDb: Fraternity Life: SUNY Buffalo", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Fraternity_Life", "url": "http://www.imdb.com/title/tt0352055/"} +{"d:Title": "IMDb: Celebrity Profile", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Gossip_and_News/Celebrity_Profile", "url": "http://www.imdb.com/title/tt0294064/"} +{"d:Title": "IMDB: E! Mysteries&Scandals", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Gossip_and_News/Mysteries_&_Scandals", "url": "http://www.imdb.com/title/tt0155428/"} +{"d:Title": "TV.com : E! Mysteries&Scandals", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Gossip_and_News/Mysteries_&_Scandals", "url": "http://www.tv.com/shows/e-mysteries-and-scandals/"} +{"d:Title": "IMDb: High School Reunion 2003", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/High_School_Reunion", "url": "http://www.imdb.com/title/tt0346292/"} +{"d:Title": "IMDb: I'm a Celebrity, Get Me Out of Here", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/I'm_a_Celebrity_-_Get_Me_Out_of_Here", "url": "http://www.imdb.com/title/tt0338616/"} +{"d:Title": "IMDb: I'm Still Alive!", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/I'm_Still_Alive", "url": "http://www.imdb.com/title/tt0410994/"} +{"d:Title": "TV.com: I'm Still Alive", "d:Description": "News, series information, and episode guide.", "topic": "Top/Arts/Television/Programs/Reality-Based/I'm_Still_Alive", "url": "http://www.tv.com/shows/im-still-alive/"} +{"d:Title": "IMDb: It's a Miracle", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/It's_a_Miracle", "url": "http://www.imdb.com/title/tt0197161/"} +{"d:Title": "IMDb: It Factor, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/It_Factor,_The", "url": "http://www.imdb.com/title/tt0307762/"} +{"d:Title": "UK Jackass Fans", "d:Description": "Cast profiles and pictures.", "topic": "Top/Arts/Television/Programs/Reality-Based/Jackass", "url": "http://www.angelfire.com/weird2/ukjackassfans/"} +{"d:Title": "Wikipedia: Laguna Beach: The Real Orange County", "d:Description": "Article contains show information and short biographies of the entire casts from all seasons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Laguna_Beach", "url": "http://en.wikipedia.org/wiki/Laguna_Beach:_The_Real_Orange_County"} +{"d:Title": "IMDB.com: Laguna Beach: The Real Orange County", "d:Description": "Basic show details and cast list.", "topic": "Top/Arts/Television/Programs/Reality-Based/Laguna_Beach", "url": "http://www.imdb.com/title/tt0426738/"} +{"d:Title": "IMDb: America's Most Wanted", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Law_Enforcement_and_Rescue/America's_Most_Wanted", "url": "http://www.imdb.com/title/tt0094415/"} +{"d:Title": "Police Can Be Sued for Letting Media See Raids", "d:Description": "The Supreme Court ruled unanimously that police can be sued for letting reporters and photographers accompany them on raids of private homes, a decision that could curtail a widespread practice of media \"ride-alongs\" with law enforcement. [Washington Post]", "topic": "Top/Arts/Television/Programs/Reality-Based/Law_Enforcement_and_Rescue/Cops", "url": "http://washingtonpost.com/wp-srv/national/longterm/supcourt/stories/ridealong052599.htm"} +{"d:Title": "The Official Cops Website", "d:Description": "Includes chat, information on forthcoming episodes, and FAQ.", "topic": "Top/Arts/Television/Programs/Reality-Based/Law_Enforcement_and_Rescue/Cops", "url": "http://www.cops.com/"} +{"d:Title": "IMDb: Cops", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Law_Enforcement_and_Rescue/Cops", "url": "http://www.imdb.com/title/tt0096563/"} +{"d:Title": "Dog The Bounty Hunter", "d:Description": "Official site of Duane \"Dog\" Chapman. Video clips, news, photos and music.", "topic": "Top/Arts/Television/Programs/Reality-Based/Law_Enforcement_and_Rescue/Dog_The_Bounty_Hunter", "url": "http://www.dogthebountyhunter.com/"} +{"d:Title": "IMDb: Dog the Bounty Hunter", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Law_Enforcement_and_Rescue/Dog_The_Bounty_Hunter", "url": "http://www.imdb.com/title/tt0424627/"} +{"d:Title": "IMDb: U.S. Bounty Hunters", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Law_Enforcement_and_Rescue/US_Bounty_Hunters", "url": "http://www.imdb.com/title/tt0376441/"} +{"d:Title": "IMDb: Mad Mad House", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Mad_Mad_House", "url": "http://www.imdb.com/title/tt0380926/"} +{"d:Title": "Extra: Making The Band 2", "d:Description": "Interview with P. Diddy about the second series on MTV.", "topic": "Top/Arts/Television/Programs/Reality-Based/Making_The_Band", "url": "http://telepixtvcgi.warnerbros.com/dailynews/extra/10_02/10_18b.html"} +{"d:Title": "Manor House", "d:Description": "Includes rules, recipes of the time, audition videos, and period history.", "topic": "Top/Arts/Television/Programs/Reality-Based/Manor_House", "url": "http://www.pbs.org/manorhouse/"} +{"d:Title": "Discovery Channel - Man vs. Wild", "d:Description": "Discovery channel site for the show. Includes episodes, television schedule, profile, message board, and related links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Man_vs._Wild", "url": "http://dsc.discovery.com/fansites/manvswild/manvswild.html"} +{"d:Title": "Wikipedia - Man vs. Wild", "d:Description": "Encyclopedia article for the show offering a description, episode list, criticism, and related links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Man_vs._Wild", "url": "http://en.wikipedia.org/wiki/Man_vs._Wild"} +{"d:Title": "Bear Grylls", "d:Description": "Official site for the show host, adventurer, author, and speaker. Includes biography, talks, charity information, photo gallery, press, blog, and contact details.", "topic": "Top/Arts/Television/Programs/Reality-Based/Man_vs._Wild", "url": "http://www.beargrylls.com/"} +{"d:Title": "BBC NEWS- Survival Show Faces 'Fake' Claim", "d:Description": "News article from the BBC about claims of faked situations by Bear Grylls and crew.", "topic": "Top/Arts/Television/Programs/Reality-Based/Man_vs._Wild", "url": "http://news.bbc.co.uk/1/hi/entertainment/6911748.stm"} +{"d:Title": "How Bear Grylls The Born Survivor Roughed It - In Hotels", "d:Description": "Article from the Daily Mail regarding allegations of faked scenarios on the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Man_vs._Wild", "url": "http://www.dailymail.co.uk/news/article-470155/How-Bear-Grylls-Born-Survivor-roughed--hotels.html"} +{"d:Title": "Wikipedia: Million Dollar Listing", "d:Description": "Offers brief description and list of participating agents.", "topic": "Top/Arts/Television/Programs/Reality-Based/Million_Dollar_Listing", "url": "http://en.wikipedia.org/wiki/Million_Dollar_Listing"} +{"d:Title": "IMDb: Million Dollar Listing", "d:Description": "Includes full cast and crew, episode list, external reviews and discussion board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Million_Dollar_Listing", "url": "http://www.imdb.com/title/tt0815063/"} +{"d:Title": "Bravo TV: Million Dollar Listing", "d:Description": "Official site offers synopsis, agent bios, blogs, episode information, games, photos and video clips.", "topic": "Top/Arts/Television/Programs/Reality-Based/Million_Dollar_Listing", "url": "http://www.bravotv.com/million-dollar-listing"} +{"d:Title": "Matthew Ryan's Who is the Mole", "d:Description": "Fan site with player profiles, pot standings, assignments, and observations.", "topic": "Top/Arts/Television/Programs/Reality-Based/Mole,_The", "url": "http://www.angelfire.com/az/Matthewryan/index.htm"} +{"d:Title": "Who is the Mole?", "d:Description": "Episode information, cast details, and interviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Mole,_The", "url": "http://wgrr.tripod.com/themole.html"} +{"d:Title": "The Mole Betrayal", "d:Description": "Spoilers, message board, and reviews of past episodes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Mole,_The", "url": "http://mole-betrayal.tripod.com/"} +{"d:Title": "Yahoo Groups: Reality TV The Mole II ABC", "d:Description": "E-mail-based discussion group about the series. Includes web-based archives, images from the show, and a selection of links. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Mole,_The", "url": "http://groups.yahoo.com/group/realitytvthemoleiiabc"} +{"d:Title": "Murder in Small Town X Dossier", "d:Description": "Theories, observations, and news about the FOX TV show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Murder_in_Small_Town_X", "url": "http://smalltownx.blogspot.com/"} +{"d:Title": "IMDb: Murder in Small Town X", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Murder_in_Small_Town_X", "url": "http://www.imdb.com/title/tt0288379/"} +{"d:Title": "AskMen.com: William August", "d:Description": "Inteview with the actor, who plays Mr. N. Paul Todd, the CEO of a fictitious Chicago-based company called IOCOR, on FOX's reality TV show, \"My Big Fat Obnoxious Boss.\"", "topic": "Top/Arts/Television/Programs/Reality-Based/My_Big_Fat_Obnoxious_Boss", "url": "http://www.askmen.com/toys/interview_150/160_william_august_interview.html"} +{"d:Title": "Wikipedia: Nanny 911", "d:Description": "Show synopsis and episode recaps.", "topic": "Top/Arts/Television/Programs/Reality-Based/Nanny_911", "url": "http://en.wikipedia.org/wiki/Nanny_911"} +{"d:Title": "IMDb: Nanny 911", "d:Description": "Show summary, cast details, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Nanny_911", "url": "http://imdb.com/title/tt0433726/"} +{"d:Title": "Yahoo! Groups: Nanny 911", "d:Description": "Show, cast, and episode discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Nanny_911", "url": "http://tv.groups.yahoo.com/group/Nanny_911/"} +{"d:Title": "IMDb: Newlyweds: Nick&Jessica", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Newlyweds_-_Nick_and_Jessica", "url": "http://www.imdb.com/title/tt0380934/"} +{"d:Title": "USATODAY.com: 'Newlyweds: Nick and Jessica'", "d:Description": "Episode summaries from the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Newlyweds_-_Nick_and_Jessica", "url": "http://www.usatoday.com/life/television/news/2004-06-15-newlyweds_x.htm"} +{"d:Title": "TV.com: Newlyweds: Nick and Jessica", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Newlyweds_-_Nick_and_Jessica", "url": "http://www.tv.com/shows/newlyweds-nick-and-jessica/"} +{"d:Title": "Ozzy and Ozzie: TV family guys, one tattooed", "d:Description": "Christian Science Monitor article reviewing the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Osbournes,_The", "url": "http://csmonitor.com/2002/0419/p01s02-altv.html"} +{"d:Title": "The Osbournes", "d:Description": "Music jukebox, e-cards, wallpaper, AIM icons, and video excerpts.", "topic": "Top/Arts/Television/Programs/Reality-Based/Osbournes,_The", "url": "http://www.theosbournesonline.com/"} +{"d:Title": "The Osbournes Quotes and Best Moments Website", "d:Description": "Selected snippets of dialogue and events from the series.", "topic": "Top/Arts/Television/Programs/Reality-Based/Osbournes,_The", "url": "http://www.angelfire.com/comics/osbournes/"} +{"d:Title": "TV.com: The Osbournes", "d:Description": "Episode guide with original airdates, as well as links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Osbournes,_The", "url": "http://www.tv.com/shows/the-osbournes/"} +{"d:Title": "IMDb: Popstars: USA", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Popstars", "url": "http://www.imdb.com/title/tt0283780/"} +{"d:Title": "TV.com: Popstars", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Popstars", "url": "http://www.tv.com/shows/popstars/"} +{"d:Title": "IMDb - Profiles from the Front Line (2003)", "d:Description": "Basic information about the ABC six-part series (February 27, 2003) including the cast, crew and news coverage.", "topic": "Top/Arts/Television/Programs/Reality-Based/Profiles_from_the_Front_Line", "url": "http://www.imdb.com/title/tt0353096/"} +{"d:Title": "TV Series on Afghan Offensive", "d:Description": "The Pentagon supports plans for the prime-time reality television series \"Profiles from the Frontline\". The Guardian, UK.", "topic": "Top/Arts/Television/Programs/Reality-Based/Profiles_from_the_Front_Line", "url": "http://www.theguardian.com/media/2002/feb/22/usnews.afghanistan"} +{"d:Title": "IMDb: Project Greenlight", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Project_Greenlight", "url": "http://www.imdb.com/title/tt0299368/"} +{"d:Title": "Yahoo! Groups: Greenlight Contest Club", "d:Description": "Discussion forum for viewers and participants. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Project_Greenlight", "url": "http://movies.groups.yahoo.com/group/greenlightcontestclub/"} +{"d:Title": "Danger Island", "d:Description": "Proposed series about twelve real life convicted felons (six men and six women) on a deserted tropical island and have them compete for one million dollars. The prize will be given to the victim of the winner's last crime.", "topic": "Top/Arts/Television/Programs/Reality-Based/Proposed_Series", "url": "http://www.dangerisland.tv/"} +{"d:Title": "IMDb: Punk'd", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Punk'd", "url": "http://www.imdb.com/title/tt0361227/"} +{"d:Title": "Yahoo! Groups: Punk-d", "d:Description": "Message board for discussion of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Punk'd", "url": "http://tv.groups.yahoo.com/group/punk-d/"} +{"d:Title": "Queer Eye For the Straight Guy, The Drinking Game.", "d:Description": "Rules to ensure players drink their way through each and every episode.", "topic": "Top/Arts/Television/Programs/Reality-Based/Queer_Eye_for_the_Straight_Guy", "url": "http://www.slappyjack.com/rants/20030903.phtml"} +{"d:Title": "IMDB: Queer Eye for the Straight Guy", "d:Description": "Cast listing, production notes, quotes, and photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Queer_Eye_for_the_Straight_Guy", "url": "http://www.imdb.com/title/tt0358332/"} +{"d:Title": "Yahoo! Groups: QEFTSG", "d:Description": "Message forum, pictures, and links. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Queer_Eye_for_the_Straight_Guy", "url": "http://tv.groups.yahoo.com/group/QEFTSG/"} +{"d:Title": "The Queer Eye for the Straight Guy Quotes Quiz", "d:Description": "Test your knowledge of quotes from the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Queer_Eye_for_the_Straight_Guy", "url": "http://www.quizilla.com/quizzes/994190/the-queer-eye-for-the-straight-guy-quotes-quiz"} +{"d:Title": "TV.com: Queer Eye for the Straight Guy", "d:Description": "Episode guide with original airdates, as well as cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Queer_Eye_for_the_Straight_Guy", "url": "http://www.tv.com/shows/queer-eye/"} +{"d:Title": "IMDb: Real Roseanne Show, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_Roseanne_Show,_The", "url": "http://www.imdb.com/title/tt0373596/"} +{"d:Title": "The Real Truth Behind MTV's The Real World Houses", "d:Description": "Provides behind-the-scenes information about the houses used in the filming.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The", "url": "http://www.realworldhouses.com/"} +{"d:Title": "Ultimate Real World", "d:Description": "Message board and photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The", "url": "http://ultimaterealworld.tripod.com/"} +{"d:Title": "IMDb: Real World, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The", "url": "http://www.imdb.com/title/tt0103520/"} +{"d:Title": "Yahoo! Groups: The-Real-World-Universal", "d:Description": "Message forum for discussion of all seasons. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The", "url": "http://tv.groups.yahoo.com/group/The-Real-World-Universal/"} +{"d:Title": "Yahoo! Groups: MTV Real World", "d:Description": "Discussion forum for viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The", "url": "http://tv.groups.yahoo.com/group/mtv_real_world/"} +{"d:Title": "Real World Boston", "d:Description": "Cast biographies, pictures, news, firehouse information, and afterschool program information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Boston", "url": "http://rwboston.8m.com/"} +{"d:Title": "CNN.com - Actress deals with depression on and off the screen - Jun. 21, 2003", "d:Description": "Right after graduating college, aspiring actress Cara Kahn was already known on the show - as the young woman diagnosed with depression.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Chicago_-_Season_11", "url": "http://cnn.com/2003/HEALTH/06/20/hln.bio.cara.kahn/"} +{"d:Title": "Yahoo! Groups: The Real World 11 Chicago", "d:Description": "Message forum for viewers to discuss the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Chicago_-_Season_11", "url": "http://tv.groups.yahoo.com/group/therealworld11chicago/"} +{"d:Title": "The Ultimate Real World", "d:Description": "Message board for viewers.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Chicago_-_Season_11", "url": "http://rwchicago.proboards.com/"} +{"d:Title": "Amaya's Island", "d:Description": "Includes personal information, pictures, and quotes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Hawaii/Cast_and_Crew", "url": "http://rwboston.8m.com/amaya.html"} +{"d:Title": "Colin Online", "d:Description": "Video clips, book excerpt, news, FAQ, and pictures. [May not display properly in some browsers.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Hawaii/Cast_and_Crew", "url": "http://www.angelfire.com/hi4/colin/"} +{"d:Title": "The Real World Web", "d:Description": "Cast information, spoilers, gossip, message board, and links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/New_Orleans", "url": "http://realworldweb.tripod.com/"} +{"d:Title": "Real World's New Orleans Fan Site", "d:Description": "Cast information, message board, links, and a weekly poll.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/New_Orleans", "url": "http://www.angelfire.com/tv/realworldneworleans/"} +{"d:Title": "Planet Julie", "d:Description": "Screen savers, pictures, and information about current activities.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/New_Orleans", "url": "http://www.planetjulie.com/"} +{"d:Title": "Lindzi.com: Jamie of Real World: New Orleans", "d:Description": "Jamie discusses the show, kissing Julie and his company.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/New_Orleans", "url": "http://www.lindzi.com/interviews/jamie.htm"} +{"d:Title": "The Real World Reality : Danny", "d:Description": "Pictures, links, chat, articles, and resources.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/New_Orleans/Danny", "url": "http://rw9.tripod.com/"} +{"d:Title": "The Original Danny Fan Site", "d:Description": "Episode summaries, pictures, and message board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/New_Orleans/Danny", "url": "http://members.tripod.com/~dannysfanclub_rw9/"} +{"d:Title": "Society for the Preservation of the Twinkle in Danny's Eye", "d:Description": "Pictures, biography, and links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/New_Orleans/Danny", "url": "http://www.angelfire.com/tv/sptde/"} +{"d:Title": "The Incredible Irene", "d:Description": "Biography, pictures, and quotes for the cast member.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Seattle", "url": "http://www.angelfire.com/ga/irene/index.html"} +{"d:Title": "The Unreal World of Seattle", "d:Description": "Message board, cast information, photos, and hate mail received by the site owner.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World,_The/Seattle", "url": "http://www.nettastic.com/realworld/"} +{"d:Title": "The Real World: Road Rules Hot Spot", "d:Description": "Fan site that includes cast details, episode summaries, standings, quotes, and spoilers.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World_-_Road_Rules_Challenge", "url": "http://members.tripod.com/~ashley1230/index.html"} +{"d:Title": "Real World: Road Rules Battle of the Seasons", "d:Description": "Unofficial site on the Challenge 2002. Cast pictures and biographies, episode summaries, polls, and quotes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Real_World_-_Road_Rules_Challenge", "url": "http://www.angelfire.com/tv2/realwroadr/index.html"} +{"d:Title": "IMDb: 5th Wheel, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/5th_Wheel,_The", "url": "http://www.imdb.com/title/tt0300706/"} +{"d:Title": "IMDb: Age of Love", "d:Description": "Show synopsis, cast biographies, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Age_of_Love", "url": "http://www.imdb.com/title/tt1034357/"} +{"d:Title": "IMDb: Average Joe", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Average_Joe", "url": "http://www.imdb.com/title/tt0380850/"} +{"d:Title": "TV.com: Average Joe", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Average_Joe", "url": "http://www.tv.com/shows/average-joe/"} +{"d:Title": "Yahoo! Groups: The Bachelor", "d:Description": "Message forum for discussion of show. [Yahoo! Registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelor,_The", "url": "http://tv.groups.yahoo.com/group/The_Bachelor/"} +{"d:Title": "Yahoo! Groups: The Bachelor Club", "d:Description": "Discussion forum and chat for viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelor,_The", "url": "http://tv.groups.yahoo.com/group/The_Bachelor_Club/"} +{"d:Title": "IMDb: Bachelor, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelor,_The", "url": "http://www.imdb.com/title/tt0313038/"} +{"d:Title": "TV.com: The Bachelor", "d:Description": "Episode guide with original airdates, as well as links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelor,_The", "url": "http://www.tv.com/shows/the-bachelor/"} +{"d:Title": "Bachelorette Trista Rhen", "d:Description": "Fan site with biography and photo gallery.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelorette,_The", "url": "http://bachelorette.20m.com/"} +{"d:Title": "Trista and Ryan Pledge to Love, Honor, and Respect Animals", "d:Description": "Article that describes pledge the couple made to People for the Ethical Treatment of Animals.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelorette,_The", "url": "http://www.iamscruelty.com/iams-feat-tristaRyan.html"} +{"d:Title": "IMDb: Bachelorette, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelorette,_The", "url": "http://www.imdb.com/title/tt0348894/"} +{"d:Title": "ABC.com: The Bachelor", "d:Description": "Official site from ABC. Includes biographies, photo galleries, episode guide, and message board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelorette,_The", "url": "http://abc.go.com/shows/the-bachelor"} +{"d:Title": "TV.com: The Bachelorette", "d:Description": "Episode guide with original airdates, as well as links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Bachelorette,_The", "url": "http://www.tv.com/shows/the-bachelorette/"} +{"d:Title": "IMDb: Blind Date", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Blind_Date", "url": "http://www.imdb.com/title/tt0218748/"} +{"d:Title": "TV.com: Blind Date", "d:Description": "Episode guide with original airdates, as well as links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Blind_Date", "url": "http://www.tv.com/shows/blind-date/"} +{"d:Title": "Yahoo! Groups: chainsofloveonupn", "d:Description": "Message board for fans of the shows. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Chains_of_Love", "url": "http://tv.groups.yahoo.com/group/chainsofloveonupn/"} +{"d:Title": "IMDb: Chains of Love", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Chains_of_Love", "url": "http://www.imdb.com/title/tt0283714/"} +{"d:Title": "IMDb: Confessions of a Matchmaker", "d:Description": "Show synopsis, schedule, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Confessions_of_a_Matchmaker", "url": "http://www.imdb.com/title/tt1003376/"} +{"d:Title": "IMDb: Crush", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Crush", "url": "http://www.imdb.com/title/tt0243693/"} +{"d:Title": "IMDb: Forever Eden", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Forever_Eden", "url": "http://www.imdb.com/title/tt0402643/"} +{"d:Title": "TV.com: Forever Eden", "d:Description": "Episode guide with original airdates, as well as links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Forever_Eden", "url": "http://www.tv.com/shows/forever-eden/"} +{"d:Title": "IMDb: For Love or Money", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/For_Love_or_Money", "url": "http://www.imdb.com/title/tt0372059/"} +{"d:Title": "TV.com: For Love or Money", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/For_Love_or_Money", "url": "http://www.tv.com/shows/for-love-or-money/"} +{"d:Title": "IMDb: Friends or Lovers", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Friends_or_Lovers", "url": "http://www.imdb.com/title/tt0243706/"} +{"d:Title": "IMDb: Gay, Straight or Taken?", "d:Description": "Show synopsis, cast biographies, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Gay,_Straight,_or_Taken", "url": "http://imdb.com/title/tt0906710/"} +{"d:Title": "IMDb: Joe Millionaire", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Joe_Millionaire", "url": "http://www.imdb.com/title/tt0348949/"} +{"d:Title": "TV.com: Joe Millionaire", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Joe_Millionaire", "url": "http://www.tv.com/shows/joe-millionaire/"} +{"d:Title": "Yahoo! Groups: I Want aWife Alaska", "d:Description": "Discussion forum for viewers. [Yahoo! registration required.[", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Looking_for_Love_-_Bachelorettes_in_Alaska", "url": "http://tv.groups.yahoo.com/group/iwantawifealaska/"} +{"d:Title": "IMDb: Looking for Love: Bachelorettes in Alaska", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Looking_for_Love_-_Bachelorettes_in_Alaska", "url": "http://www.imdb.com/title/tt0320880/"} +{"d:Title": "TV.com: Looking for Love: Bachelorettes in Alaska", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Looking_for_Love_-_Bachelorettes_in_Alaska", "url": "http://www.tv.com/shows/looking-for-love-bachelorettes-in-alaska/"} +{"d:Title": "IMDb: Love Cruise: The Maiden Voyage", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Love_Cruise_-_The_Maiden_Voyage", "url": "http://www.imdb.com/title/tt0290363/"} +{"d:Title": "IMDb: Married by America", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Married_By_America", "url": "http://www.imdb.com/title/tt0364837/"} +{"d:Title": "IMDb: My Big Fat Obnoxious Fiance", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/My_Big_Fat_Obnoxious_Fiance", "url": "http://www.imdb.com/title/tt0396349/"} +{"d:Title": "TV.com: My Big Fat Obnoxious Fiance", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/My_Big_Fat_Obnoxious_Fiance", "url": "http://www.tv.com/shows/my-big-fat-obnoxious-fiance/"} +{"d:Title": "IMDb: Outback Jack", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Outback_Jack", "url": "http://imdb.com/title/tt0407457/"} +{"d:Title": "USATODAY.com: Reality Check 'Paradise Hotel'", "d:Description": "Detailed recaps of episodes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Paradise_Hotel", "url": "http://www.usatoday.com/life/television/news/2003-06-13-reality-paradise_x.htm"} +{"d:Title": "IMDb: Paradise Hotel", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Paradise_Hotel", "url": "http://www.imdb.com/title/tt0374440/"} +{"d:Title": "IMDb: Temptation Island", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Temptation_Island", "url": "http://www.imdb.com/title/tt0273025/"} +{"d:Title": "Fox Broadcasting: Temptation Island 3", "d:Description": "Official network site. Includes recaps, profiles of the couples and singles, photo gallery, fan club, and bulletin boards.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Temptation_Island", "url": "http://www.temptationonfox.com/"} +{"d:Title": "Yahoo! Groups: Temptation Island Club", "d:Description": "Discussion forum for viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Temptation_Island", "url": "http://tv.groups.yahoo.com/group/temptationislandclub/"} +{"d:Title": "Yahoo! Groups: Temptation Island Lovers", "d:Description": "Message board for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Temptation_Island", "url": "http://tv.groups.yahoo.com/group/temptationislandlovers/"} +{"d:Title": "Yahoo! Groups: Temptation Island", "d:Description": "Members discuss the first two versions of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Temptation_Island", "url": "http://tv.groups.yahoo.com/group/temptationisland/"} +{"d:Title": "Divine M's Temptation Island Site", "d:Description": "Includes rules, profiles of couples and singles, record of the dates, which contestants were banned and/or blocked, photo gallery, news, polls, and episode guide.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Temptation_Island", "url": "http://temptation_island.tripod.com/home.htm"} +{"d:Title": "TV.com: Temptation Island", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Temptation_Island", "url": "http://www.tv.com/shows/temptation-island/"} +{"d:Title": "IMDb: Who Wants to Marry My Dad?", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Relationship_and_Dating/Who_Wants_to_Marry_My_Dad", "url": "http://www.imdb.com/title/tt0361254/"} +{"d:Title": "TV.com: Renovate My Family", "d:Description": "Episode guide with original airdates and information on cast and crew members.", "topic": "Top/Arts/Television/Programs/Reality-Based/Renovate_My_Family", "url": "http://www.tv.com/shows/renovate-my-family/"} +{"d:Title": "IMDb: Restaurant, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Restaurant,_The", "url": "http://www.imdb.com/title/tt0372711/"} +{"d:Title": "TV.com: The Restaurant", "d:Description": "Episode guide, cast and crew information, analysis, polls, and reviews on the NBC series.", "topic": "Top/Arts/Television/Programs/Reality-Based/Restaurant,_The", "url": "http://www.tv.com/shows/the-restaurant/"} +{"d:Title": "Road Rules-A-Gogo", "d:Description": "Links, photos, and poll.", "topic": "Top/Arts/Television/Programs/Reality-Based/Road_Rules", "url": "http://www.angelfire.com/la/roadrules/"} +{"d:Title": "These are Road Rules", "d:Description": "Episode recaps and transcripts of chats with cast members.", "topic": "Top/Arts/Television/Programs/Reality-Based/Road_Rules", "url": "http://wgrr.tripod.com/rrindex.html"} +{"d:Title": "Holly Road Rules", "d:Description": "Fan site for Holly, who appeared in season 9. Biography, photos, video clips, and diary.", "topic": "Top/Arts/Television/Programs/Reality-Based/Road_Rules", "url": "http://www.angelfire.com/celeb/HollyRoadRules/"} +{"d:Title": "Road Rules Web", "d:Description": "Rumors about the current season and information on past seasons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Road_Rules", "url": "http://www.angelfire.com/ca2/roadrule/"} +{"d:Title": "IMDb: Road Rules", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Road_Rules", "url": "http://www.imdb.com/title/tt0177458/"} +{"d:Title": "IMDb: Simple Life, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Simple_Life,_The", "url": "http://www.imdb.com/title/tt0362153/"} +{"d:Title": "The Simple Life 3 Reviews With MTVixen Jill", "d:Description": "Sarcastic episode recaps and photos on The Simple Life Interns", "topic": "Top/Arts/Television/Programs/Reality-Based/Simple_Life,_The", "url": "http://www.pophangover.com/simple/"} +{"d:Title": "TV.com: The Simple Life", "d:Description": "Episode guide with original airdates, as well as cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Simple_Life,_The", "url": "http://www.tv.com/shows/the-simple-life/"} +{"d:Title": "IMDb: Sorority Life", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Sorority_Life", "url": "http://www.imdb.com/title/tt0312207/"} +{"d:Title": "Boston.com: New series 'Starting Over' makes life change a reality", "d:Description": "Article about the series and its development.", "topic": "Top/Arts/Television/Programs/Reality-Based/Starting_Over", "url": "http://www.boston.com/ae/tv/articles/2003/10/26/new_series_starting_over_makes_life_change_a_reality/"} +{"d:Title": "IMDb: Starting Over", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Starting_Over", "url": "http://www.imdb.com/title/tt0398571/"} +{"d:Title": "Yahoo! Groups: StartingOverFans", "d:Description": "Mailing list devoted to the show. Discuss the episodes, the women, and the life coaches. Post polls, submit links, and files.", "topic": "Top/Arts/Television/Programs/Reality-Based/Starting_Over", "url": "http://tv.groups.yahoo.com/group/startingoverfans/"} +{"d:Title": "LiveJournal: Starting Over", "d:Description": "Community journal for posting thoughts and opinions about the show and its cast members.", "topic": "Top/Arts/Television/Programs/Reality-Based/Starting_Over", "url": "http://startingovertv.livejournal.com/"} +{"d:Title": "Inner Visions World Wide", "d:Description": "Information about the network of spiritual and holistic practitioners including Iyanla Vanzant, who provide education, workshops, life and spiritual coaching.", "topic": "Top/Arts/Television/Programs/Reality-Based/Starting_Over/Cast_and_Crew", "url": "http://www.innervisionsworldwide.com/"} +{"d:Title": "Cents of Style.org", "d:Description": "Andy Paige's beauty and fashion consulting business. Book a consultation, view fashion and make up tips and Ask Andy.", "topic": "Top/Arts/Television/Programs/Reality-Based/Starting_Over/Cast_and_Crew", "url": "http://www.centsofstyle.com/"} +{"d:Title": "Fearless Living", "d:Description": "Life coach training, personal and corporate workshops, study groups, and additional support for paid members. The institute was founded by Rhonda Britten.", "topic": "Top/Arts/Television/Programs/Reality-Based/Starting_Over/Cast_and_Crew/Britten,_Rhonda", "url": "http://www.fearlessliving.org/"} +{"d:Title": "Supernanny", "d:Description": "Official site of UK series. Features information about both the UK and US series, the book and participation details.", "topic": "Top/Arts/Television/Programs/Reality-Based/Supernanny", "url": "http://www.supernanny.co.uk/"} +{"d:Title": "Yahoo! Groups : Supernanny", "d:Description": "Discussion group for the television series.", "topic": "Top/Arts/Television/Programs/Reality-Based/Supernanny", "url": "http://tv.groups.yahoo.com/group/Supernanny/"} +{"d:Title": "About.com : Jo Frost", "d:Description": "Biography of Jo Frost, the star of the ABC reality program.", "topic": "Top/Arts/Television/Programs/Reality-Based/Supernanny", "url": "http://realitytv.about.com/od/realitystarbios/a/JoFrostBio.htm"} +{"d:Title": "TV.com: Supernanny", "d:Description": "Episode guide, cast and crew information, analysis, polls, reviews, forum and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Supernanny", "url": "http://www.tv.com/shows/supernanny/"} +{"d:Title": "IMDb: Surreal Life, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Surreal_Life,_The", "url": "http://www.imdb.com/title/tt0337784/"} +{"d:Title": "TV.com: The Surreal Life", "d:Description": "Episode guide and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Surreal_Life,_The", "url": "http://www.tv.com/shows/the-surreal-life/"} +{"d:Title": "There Can Be Only One Survivor", "d:Description": "Episode information, cast profiles, voting history, and other information about the first four seasons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://wgrr.tripod.com/survivor.html"} +{"d:Title": "Ultimate Survivor Site", "d:Description": "Fan site that features castaway biographies, a guestbook, and related links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://www.ultimatesurvivor.itgo.com/"} +{"d:Title": "ClayCritter.com: Survivor Maps", "d:Description": "Maps and space imaging of locations where the show has been filmed.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://www.claycritters.com/map/survivormaps.htm"} +{"d:Title": "Survivor Fever", "d:Description": "Provides news, spoilers, and articles. Includes updates on contestants, archived interviews and articles, and links to related sites.", "priority": "1", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://www.survivorfever.net/"} +{"d:Title": "Survivor Phoenix Resource Center", "d:Description": "Includes news articles, cast information, links, predictions, and episode summaries.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://resources.survivorphoenix.com/postnuke/html/index.php"} +{"d:Title": "BigFins Survivor Site", "d:Description": "Music, cartoons, and animations.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://bigfins.com/"} +{"d:Title": "The Unofficial Survivor Guide", "d:Description": "Cast biographies, news, rumors, spoilers, episode previews, and a discussion board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://www.unofficialsurvivorguide.com/"} +{"d:Title": "Survivor Webring", "d:Description": "Directory of sites with information on the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor", "url": "http://www.webring.org/hub?ring=txfds1"} +{"d:Title": "Fantasy Survivor", "d:Description": "Visitors guess which conteststants will win the various challenges posed during the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Games", "url": "http://www.fantasysurvivor.net/"} +{"d:Title": "Lance's Survivor Pick'Em", "d:Description": "Weekly and season-long competition based on points wagered on who will be voted off. Site-wide and private team rankings are available.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Games", "url": "http://survivorpickem.com/"} +{"d:Title": "Sound Studio Survivor", "d:Description": "Parody site based on the series concept that features recording artists as contestants. Visitors choose who will be the ultimate Sound Studio Survivor.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Games", "url": "http://www.angelfire.com/co3/bigbother/sssurvivor.htm"} +{"d:Title": "Survivor: LiveJournal", "d:Description": "Online Survivor game using the LiveJournal system.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Games", "url": "http://www.livejournal.com/userinfo.bml?user=survivorlj"} +{"d:Title": "Survivor Elimination Game", "d:Description": "A Survivor-like game played via message board and IM. Is based on and follows along with the TV show.", "priority": "1", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Games", "url": "http://s-e-g.proboards.com/"} +{"d:Title": "Survivor Fever", "d:Description": "Collected media articles, interview clips, polls, and location maps.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_11_-_Guatemala", "url": "http://www.survivorfever.net/index11.html"} +{"d:Title": "Survivor Phoenix", "d:Description": "Video captures, production news, spoilers, and summaries of challenges and tribal councils.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_11_-_Guatemala", "url": "http://www.survivorphoenix.com/"} +{"d:Title": "Survivor 11 Summations and Competition", "d:Description": "Retells each episode, with commentary.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_11_-_Guatemala", "url": "http://survivor11summations.blogspot.com/"} +{"d:Title": "Survivor Thoughts from Iowa (mostly)", "d:Description": "Uses \"confessional analysis\" to predict bootees and winners.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_11_-_Guatemala", "url": "http://survivorthoughts.blogspot.com/"} +{"d:Title": "True Dork Times", "d:Description": "Handicaps each episode based on spoilers and past shows. Also provides reconstructed calendar of actual competition dates and activities.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_11_-_Guatemala", "url": "http://truedorktimes.com/survivor_guatemala/"} +{"d:Title": "Survivor 11 Guatemala", "d:Description": "Weblog with previews and then recaps for each episode, with commentary.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_11_-_Guatemala", "url": "http://survivor11.blogspot.com/"} +{"d:Title": "PopMatters", "d:Description": "Analysis of the popularity of the series.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_1_-_Pulau_Tiga", "url": "http://popmatters.com/tv/reviews/s/survivor.html"} +{"d:Title": "Survivor Mania", "d:Description": "From infoplease.com, the lowdown on CBS's runaway summer hit.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_1_-_Pulau_Tiga", "url": "http://www.infoplease.com/spot/survivor1.html"} +{"d:Title": "Survivor", "d:Description": "Official site. Includes profiles on the original contestants, video clips, games, and commentary.", "priority": "1", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_1_-_Pulau_Tiga", "url": "http://www.cbs.com/primetime/survivor/"} +{"d:Title": "Yahoo! Groups: RichHatch", "d:Description": "Mailing list for discussions related to the first season winner. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_1_-_Pulau_Tiga/Contestants/Hatch,_Richard", "url": "http://groups.yahoo.com/group/RichHatch/"} +{"d:Title": "Yahoo! Groups: Cult Of Jenna", "d:Description": "Discussion forum focused on the player. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_1_-_Pulau_Tiga/Contestants/Lewis,_Jenna", "url": "http://groups.yahoo.com/group/cultofjenna/"} +{"d:Title": "Yahoo! Group: Jenna's Fans Club", "d:Description": "Discussion forum focused on the show and the player. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_1_-_Pulau_Tiga/Contestants/Lewis,_Jenna", "url": "http://groups.yahoo.com/group/jennasfansclub/"} +{"d:Title": "16 Castaways", "d:Description": "News, information, forum, and rumors about the contestants and events in the outback.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback", "url": "http://www.angelfire.com/tv2/16castaways/"} +{"d:Title": "Survivor 2 Insider", "d:Description": "Behind the scenes show information, production details, humor, and top 10 lists.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback", "url": "http://www.angelfire.com/tv2/survivorinsider/"} +{"d:Title": "Survivor II Commentary", "d:Description": "Fan commentary.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback", "url": "http://survivor2.pitas.com/"} +{"d:Title": "Kentucky Joe", "d:Description": "Dedicated to Rodger Bingham, also known as Kentucky Joe. Includes photos, episode guide, articles, devotionals, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback/Contestants", "url": "http://www.kentuckyjoe.com/"} +{"d:Title": "Yahoo! Groups: Survivor 2 Nick Brown", "d:Description": "Fan club chat and message forum about the contestant. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback/Contestants", "url": "http://groups.yahoo.com/group/survivor2nickbrownclub/"} +{"d:Title": "Survive Amber", "d:Description": "Spoilers, pictures, episode guide, and video clips.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback/Contestants/Brkich,_Amber", "url": "http://www.angelfire.com/oz/survivor/"} +{"d:Title": "Colby Donaldson Fan 4Ever", "d:Description": "Collection of articles, pictures, message board, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback/Contestants/Donaldson,_Colby", "url": "http://www.angelfire.com/wa2/islandgreen/"} +{"d:Title": "Colby Donaldson Online", "d:Description": "News, photos, quotes, and articles.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback/Contestants/Donaldson,_Colby", "url": "http://www.angelfire.com/mn2/colbydonaldson/"} +{"d:Title": "Yahoo! Groups: Survivor Jerri Manthey", "d:Description": "Fan club chat and message forum. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback/Contestants/Manthey,_Jerri", "url": "http://groups.yahoo.com/group/survivoriijerrimantheyclub/"} +{"d:Title": "Yahoo Groups: Survivor II Jeff Varner Club", "d:Description": "Place for fans to chat or post messages.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_2_-_The_Australian_Outback/Contestants/Varner,_Jeff", "url": "http://groups.yahoo.com/group/survivoriijeffvarnerclub/"} +{"d:Title": "Brandy's Survivor", "d:Description": "Fan site with biographies and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_3_-_Africa", "url": "http://www.angelfire.com/tv2/imasurvivor3/index.html"} +{"d:Title": "Planet Survivor", "d:Description": "Contains news and island information on the third installment.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_3_-_Africa", "url": "http://planetsurvivor.tripod.com/"} +{"d:Title": "Lindsey Richter", "d:Description": "Contains pictures, biography and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_3_-_Africa/Contestants", "url": "http://www.angelfire.com/celeb2/roddick/lindsey/index.html"} +{"d:Title": "eZohn", "d:Description": "News, image gallery, message boards, and downloads.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_3_-_Africa/Contestants", "url": "http://www.ezohn.com/"} +{"d:Title": "Smyth County Survivor", "d:Description": "Home county of a contestant Tom Buchanan, with photos, news and brief biography.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_3_-_Africa/Contestants", "url": "http://www.smythcounty.com/survivor.htm"} +{"d:Title": "Jessie Camacho", "d:Description": "Contains pictures, news and biography.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_3_-_Africa/Contestants/Camacho,_Jessie", "url": "http://www.angelfire.com/celeb2/roddick/kelly/index.html"} +{"d:Title": "Jacksonville.com: Tribe has spoken", "d:Description": "Article recounts the Marquesas series episode 6 where Florida contestant, Gina Crews, was voted off the Survivor island.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_4_-_Marquesas/Contestants", "url": "http://www.jacksonville.com/tu-online/stories/041002/dsr_9095572.html"} +{"d:Title": "Zoe's Homeport: Monhegan Island", "d:Description": "Features the lobster boat captain and contestant. Provides her biography, philosophy, photos, interviews, and calendar details.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_4_-_Marquesas/Contestants", "url": "http://www.allzoe.com/"} +{"d:Title": "Kathy Rules!", "d:Description": "Fan site that includes news, biography, wallpaper, and links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_4_-_Marquesas/Contestants/Vavrick-O'Brien,_Kathy", "url": "http://kathyorules.tripod.com/"} +{"d:Title": "SurvivorFever 5", "d:Description": "News, information, links and spoilers.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_5_-_Thailand", "url": "http://www.survivorfever.net/index5.html"} +{"d:Title": "Survivor Frenzy", "d:Description": "Includes news, cast biographies, polls, and forums about the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_5_-_Thailand", "url": "http://survivorfrenzy.tripod.com/"} +{"d:Title": "Survivor Fever: Survivor Amazon", "d:Description": "Contestant profiles, news, and interviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_6_-_The_Amazon", "url": "http://www.survivorfever.net/index6.html"} +{"d:Title": "About Deafness: Christy Smith", "d:Description": "Includes a forum discussion and an article about the contestant.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_6_-_The_Amazon/Contestants", "url": "http://deafness.about.com/library/weekly/aa021803.htm"} +{"d:Title": "Survivor Fever - Survivor Vanuatu", "d:Description": "SurvivorFever.net provides the most current news and resources on the CBS Reality-TV series \"Survivor\".", "priority": "1", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivor/Survivor_9_-_Vanuatu", "url": "http://www.survivorfever.net/index9.html"} +{"d:Title": "Wikipedia - Survivorman", "d:Description": "Encyclopedia article on the show offering episode lists, description, history, and background information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivorman", "url": "http://en.wikipedia.org/wiki/Survivorman"} +{"d:Title": "Les Stroud", "d:Description": "Official site for the adventurer, film maker, and song writer. Includes recent news, profile, music, forum, gallery, key note speaking information, and contact details.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivorman", "url": "http://www.lesstroudonline.com/"} +{"d:Title": "Discovery Channel - Survivorman", "d:Description": "Discovery channel site for the show. Features questions and answers, as well as television schedule, online store, reports, message board, and personal survival stories.", "topic": "Top/Arts/Television/Programs/Reality-Based/Survivorman", "url": "http://dsc.discovery.com/fansites/survivorman/survivorman.html"} +{"d:Title": "IMDb: 30 Seconds to Fame", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/30_Seconds_to_Fame", "url": "http://www.imdb.com/title/tt0329801/"} +{"d:Title": "IMDb: All American Girl", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/All_American_Girl", "url": "http://www.imdb.com/title/tt0361144/"} +{"d:Title": "TV.com: All-American Girl", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/All_American_Girl", "url": "http://www.tv.com/shows/all-american-girl/"} +{"d:Title": "IMDb: America's Next Top Model", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/America's_Next_Top_Model", "url": "http://www.imdb.com/title/tt0363307/"} +{"d:Title": "TV.com: America's Next Top Model", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/America's_Next_Top_Model", "url": "http://www.tv.com/shows/americas-next-top-model/"} +{"d:Title": "Metrofashion: Yoanna House", "d:Description": "Season 2 winner makes catwalk debut at Los Angeles fashion week with photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/America's_Next_Top_Model/Host_and_Contestants", "url": "http://www.metrofashion.com/yoannahouse.html"} +{"d:Title": "IMDb: American Idol", "d:Description": "Features the show's data, viewer comments, news, trivia, links, as well as guest appearances.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series", "url": "http://imdb.com/title/tt0319931/"} +{"d:Title": "American Idol Rant", "d:Description": "Two sisters weblog their opinions of the show and the contestants.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series", "url": "http://www.idolrant.blogspot.com/"} +{"d:Title": "Idol Rankings", "d:Description": "Allows users to judge American Idol Contestants based upon a set of 11 criteria.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series", "url": "http://www.idolrankings.com/"} +{"d:Title": "American Idol", "d:Description": "Official site with news, photographs, recaps, videos, related links, and information on the winners and final contestants from all seasons.", "priority": "1", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series", "url": "http://www.americanidol.com/"} +{"d:Title": "AI Vocal Masterclass", "d:Description": "Episode recaps and critiques.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series", "url": "http://masterclasslady.com/"} +{"d:Title": "Dial Idol", "d:Description": "Software used to predict the winner based on call volume to the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series", "url": "http://dialidol.com/"} +{"d:Title": "Musings of A Maniac", "d:Description": "Show commentary on seasons five and six.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series", "url": "http://www.musingsofamaniac.com/"} +{"d:Title": "Verraros, Jim", "d:Description": "News, images, biography, fan club, and other fan information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_1/Contestants", "url": "http://www.angelfire.com/retro/jim19/jim.html"} +{"d:Title": "Idol Enthusiast", "d:Description": "Message board and commentary.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_3", "url": "http://idolenthusiast.blogdrive.com/"} +{"d:Title": "NBC News: 'Idols' Plan North American Road Trip", "d:Description": "Article briefly outlines the planned summer concert tour of the top 10 finalists.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_3", "url": "http://www.today.com/id/4902662"} +{"d:Title": "Diana DeGarmo's DreamTeam", "d:Description": "Includes pictures, audio, video, forum, bio, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_3/Contestants/DeGarmo,_Diana", "url": "http://dianad.com/"} +{"d:Title": "Reality TV World: Buzz Over Jennifer Hudson's Ouster From 'American Idol 3' Continues", "d:Description": "Article on the possible reasons for Hudson's low votes, elimination, and only placing in the 'Top 7' of American Idol.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_3/Contestants/Hudson,_Jennifer", "url": "http://www.realitytvworld.com/index/articles/story.php?s=2518"} +{"d:Title": "WilliamHung.net", "d:Description": "Official site with image gallery, audio, video, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_3/Contestants/Hung,_William", "url": "http://www.williamhung.net/"} +{"d:Title": "Addicted To Anthony", "d:Description": "Message board for Idol contestant Anthony Fedorov.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_4/Contestants", "url": "http://s11.invisionfree.com/AnthonyFedorov"} +{"d:Title": "Chicago Tribune - It's Hicks vs. Bennett for the Idol Crown", "d:Description": "Maureen Ryan, The Watcher, argues that Taylor and Paris are the only two worthy of winning AI.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5", "url": "http://featuresblogs.chicagotribune.com/entertainment_tv/2006/03/the_american_id.html"} +{"d:Title": "Uncoverer: American Idol Rigged", "d:Description": "Reporter Colleen Smith posits that AI chooses the winner rather than basing it on votes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5", "url": "http://www.uncoveror.com/american_idol_rigged.htm"} +{"d:Title": "Birmingham News - \"Idol Communication\"", "d:Description": "Staff writer, Kathy Seale, reports on a body language expert's interpretation of AI5 idols.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5", "url": "http://www.al.com/enter/index.ssf?/search/index.ssf%3F/base/living/1142936235260360.xml%3Fbirminghamnews%3Flife&%3Bcoll=2"} +{"d:Title": "USA Today - 'American Idol' Finalists Headed to the White House", "d:Description": "President Bush receives the ten idol finalists.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5", "url": "http://usatoday30.usatoday.com/life/people/2006-07-21-hicks-bush_x.htm"} +{"d:Title": "USA Today - 12 'Idol' Finalists Surge Ahead", "d:Description": "Simon Cowell picks Chris Daughtry, Taylor Hicks, and Mandisa as most talented.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5", "url": "http://usatoday30.usatoday.com/life/television/news/2006-03-13-idol-cover_x.htm"} +{"d:Title": "USA Today - Three to Get Ready", "d:Description": "Idol coaches Rona Elliot, Rich Martini, Gene Sculatti and Don Waller analyze the final trio, and conclude that it is Hicks' contest to lose.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5", "url": "http://usatoday30.usatoday.com/life/television/news/2006-05-15-idol-coaches_x.htm"} +{"d:Title": "USA Today - Coaching the Idols", "d:Description": "American Idol coach and self-admitted Soul Patroller Rona Elliot critiques Paris, Elliott, Kellie, Katharine, Chris, and Taylor.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5", "url": "http://usatoday30.usatoday.com/life/television/news/2006-04-24-idol-coaches-contestants_x.htm"} +{"d:Title": "USA Today - Pop Beauty or Soul Man: Who'll Sell More Records?", "d:Description": "Bill Keveney and Edna Gundersen take a look at the hard realities of the music industry, and make predictions about Hicks' and McPhee's futures.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants", "url": "http://usatoday30.usatoday.com/life/television/news/2006-05-22-idol-cover_x.htm"} +{"d:Title": "Yahoo! Groups: Ayla Brown", "d:Description": "Email loop for the AI5 contestant.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Brown,_Ayla", "url": "http://tv.groups.yahoo.com/group/Ayla_Brown/"} +{"d:Title": "The Boston Globe - Shooting Star, After 'Idol' -", "d:Description": "Correspondent Charlie Russo covers Brown's basketball prowess. Includes video.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Brown,_Ayla", "url": "http://www.boston.com/sports/colleges/womens_basketball/articles/2006/04/13/shooting_star_after_idol/"} +{"d:Title": "The Chris Daughtry Campaign", "d:Description": "Hundreds of still pictures and videos in a weblog format.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Daughtry,_Chris", "url": "http://chrisdaughtrycampaign.blogspot.com/"} +{"d:Title": "Chris Daughtry Fans", "d:Description": "Fansite including Chris Talk, Daughtry Music, forums, photos and fanlisting.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Daughtry,_Chris", "url": "http://www.chrisdaughtryfans.com/"} +{"d:Title": "Frontman Absent: Local Band Enjoys Watching Singer Compete in National Competition", "d:Description": "An interview with Daughtry's band, Absent Element.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Daughtry,_Chris", "url": "http://www.tmcnet.com/usubmit/2006/03/16/1465492.htm"} +{"d:Title": "National Ledger - American Idol Finalist Chris Daughtry Gets Offer from 'Major Band'", "d:Description": "Josh Hart reports that Daughtry has already received an offer to front a nationally recognized rock band.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Daughtry,_Chris", "url": "http://www.nationalledger.com/artman/publish/article_27265715.shtml"} +{"d:Title": "Today - Mandisa More than 'Every Woman' in Field", "d:Description": "Reporter Craig Berman argues that Hundley is in a class of her own.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Hundley,_Mandisa", "url": "http://www.today.com/id/11718991"} +{"d:Title": "Fans of Reality TV - Interview with Gedeon McKinney", "d:Description": "An interview with McKinney.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/McKinney,_Gedeon", "url": "http://www.fansofrealitytv.com/forums/showthread.php?t=52781"} +{"d:Title": "Yahoo! Groups: Gedeon McKinney", "d:Description": "An online email group for the AI5 contestant.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/McKinney,_Gedeon", "url": "http://tv.groups.yahoo.com/group/Gedeon_McKinney/"} +{"d:Title": "Today - 'Idol' Viewers Think Gedeon, Ayla Were Robbed", "d:Description": "A discussion on the early ouster of McKinney and Brown.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/McKinney,_Gedeon", "url": "http://www.today.com/id/11751511"} +{"d:Title": "KIIS FM - Katharine McPhee Idol Runner Up", "d:Description": "Ryan Seacrest interviews second place finisher McPhee.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/McPhee,_Katharine", "url": "http://ryan.kiisfm.com/cc-common/feeds/view.php?feed_id=553&feed=/hearitagain.html&instance=1&article_id=64129"} +{"d:Title": "USA Today - McPhee's Bulimia Was Once 'Out of Control'", "d:Description": "William Keck discusses Katharine's 5-year battle with the disease.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/McPhee,_Katharine", "url": "http://www.usatoday.com/life/people/2006-06-21-mcphee-bulimia_x.htm?POE=LIFISVA"} +{"d:Title": "Kellie Pickler Forum", "d:Description": "A fansite with forums, chat, weblogs, news, video and photo galleries.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Pickler,_Kellie", "url": "http://www.kelliepicklerforum.com/"} +{"d:Title": "Yahoo! Groups: Lisa Tucker Fan", "d:Description": "An online email group for fans of the AI5 contestant.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Tucker,_Lisa", "url": "http://tv.groups.yahoo.com/group/Lisa_Tucker_Fan/"} +{"d:Title": "Teen People - Lisa Tucker Talks", "d:Description": "An interview with the outsted AI5 contestant.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Tucker,_Lisa", "url": "http://www.teenpeople.com/teenpeople/article/0,22196,1178940,00.shtml"} +{"d:Title": "Yahoo! Groups: Elliott Yamin", "d:Description": "Fan Club email group for the AI5 contestant.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Yamin,_Elliot", "url": "http://tv.groups.yahoo.com/group/Elliott_Yamin/"} +{"d:Title": "Whittier Daily News - American Idol Contestant Has Ties to Southland", "d:Description": "Staff writer Nisha Gutierrez interviews Yamin's mother, Claudette.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Yamin,_Elliot", "url": "http://www.whittierdailynews.com/news/ci_3774279"} +{"d:Title": "Ace High", "d:Description": "Fansite including message board, photos, multi-media, news, and merchandise to benefit Ace's favorite charity. Home of the Beanie Babes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/American_Idol_-_Season_5/Contestants/Young,_Ace", "url": "http://s11.invisionfree.com/Ace_High/"} +{"d:Title": "Yahoo! Groups: Got Saula?", "d:Description": "Simon Cowell and Paula Abdul fan group, message board, and forum. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/Cast_and_Crew", "url": "http://tv.groups.yahoo.com/group/gotsaula/"} +{"d:Title": "Idol Forums", "d:Description": "Message board with topic threads including general discussion, contestants, and previous winners. Also includes messages about Canadian Idol.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/Chats_and_Forums", "url": "http://idolforums.com/"} +{"d:Title": "Yahoo Groups: American Idols", "d:Description": "Message forum for fans, including news, pictures, and links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Idol_Series/Chats_and_Forums", "url": "http://groups.yahoo.com/group/American_Idols/"} +{"d:Title": "IMDb: American Juniors", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Juniors", "url": "http://www.imdb.com/title/tt0367278/"} +{"d:Title": "TV.com: American Juniors", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/American_Juniors", "url": "http://www.tv.com/shows/american-juniors/"} +{"d:Title": "IMDb: Are You Hot? The Search for America's Sexiest People", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Are_You_Hot_-_The_Search_For_America's_Sexiest_People", "url": "http://www.imdb.com/title/tt0354267/"} +{"d:Title": "Yahoo! Groups: ABC_Are_You_Hot", "d:Description": "Discussion forum for viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Are_You_Hot_-_The_Search_For_America's_Sexiest_People", "url": "http://tv.groups.yahoo.com/group/ABC_Are_You_Hot/"} +{"d:Title": "TV.com: Are You Hot?", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Are_You_Hot_-_The_Search_For_America's_Sexiest_People", "url": "http://www.tv.com/shows/are-you-hot/"} +{"d:Title": "IMDb: Dance Wars: Bruno vs. Carrie Ann", "d:Description": "Show synopsis, episode list, cast details, production information, and message board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Dance_War_Bruno_vs._Carrie_Ann", "url": "http://www.imdb.com/title/tt1095117/"} +{"d:Title": "Today: Bruno and Carrie Ann lose with Dance War", "d:Description": "Ree Hines gives a show synopsis and negative review of the first episode.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Dance_War_Bruno_vs._Carrie_Ann", "url": "http://www.today.com/id/22544489"} +{"d:Title": "Wikipedia: Dancing with the Stars", "d:Description": "Includes show synopsis and contestant bios.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Dancing_with_the_Stars", "url": "http://en.wikipedia.org/wiki/Dancing_with_the_Stars"} +{"d:Title": "IMDb: Dancing with the Stars", "d:Description": "Show synopsis, contestant bios, production information, and user comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Dancing_with_the_Stars", "url": "http://imdb.com/title/tt0463398/"} +{"d:Title": "Yahoo! Groups: Dancing with the Stars", "d:Description": "Contestant, episode and show discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Dancing_with_the_Stars", "url": "http://tv.groups.yahoo.com/group/Dancing_with_the_stars/"} +{"d:Title": "IMDb: Hell's Kitchen", "d:Description": "Includes show synopsis, user comments, cast info, crew details, and quotes.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Hell's_Kitchen", "url": "http://imdb.com/title/tt0437005/"} +{"d:Title": "Yahoo! Groups: Hell's Kitchen", "d:Description": "Show, episode, and contestant discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Hell's_Kitchen", "url": "http://tv.groups.yahoo.com/group/Hells_Kitchen_Show/"} +{"d:Title": "SF Gate: Hell's Kitchen", "d:Description": "Review from the San Francisco Chronicle.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Hell's_Kitchen", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2005/05/30/DDGL5CUOQP1.DTL&type=tvradio"} +{"d:Title": "TV.com: Hell's Kitchen", "d:Description": "Episode guide, cast bios, show news, picture gallery, reviews, and a forum.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Hell's_Kitchen", "url": "http://www.tv.com/shows/hells-kitchen/"} +{"d:Title": "IMDb: Last Comic Standing", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Last_Comic_Standing", "url": "http://www.imdb.com/title/tt0364829/"} +{"d:Title": "Yahoo! Groups: Last Comic Standing", "d:Description": "Discussion forum for viewers of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Last_Comic_Standing", "url": "http://tv.groups.yahoo.com/group/Last_Comic_Standing/"} +{"d:Title": "USAToday.com: 'Last Comic Standing': Good for laughs", "d:Description": "Article that suggests the show is good for the comics and the comedy genre as a whole.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Last_Comic_Standing", "url": "http://www.usatoday.com/life/television/news/2003-06-10-last-comic_x.htm"} +{"d:Title": "TV.com: Last Comic Standing", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Last_Comic_Standing", "url": "http://www.tv.com/shows/last-comic-standing/"} +{"d:Title": "IMDb: Live in Hollywood", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Live_in_Hollywood", "url": "http://www.imdb.com/title/tt0397802/"} +{"d:Title": "IMDb: Next Action Star", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Next_Action_Star", "url": "http://imdb.com/title/tt0360295/"} +{"d:Title": "USAToday.com: 'Next Action Star' heats up firework safety awareness", "d:Description": "Article detailing safety precautions taken with pyrotechnics on the show to ensure no one gets hurt.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Next_Action_Star", "url": "http://www.usatoday.com/news/health/spotlighthealth/2004-07-02-action-star_x.htm"} +{"d:Title": "TV.com: Next Action Star", "d:Description": "Episode guide, cast and crew information, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Next_Action_Star", "url": "http://www.tv.com/shows/next-action-star/"} +{"d:Title": "IMDb: Project Runway", "d:Description": "User reviews, cast bios from the first season, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://imdb.com/title/tt0437741/"} +{"d:Title": "Yahoo! Groups: Project Runway", "d:Description": "Show, episode, and contestant discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://tv.groups.yahoo.com/group/Project_Runway/"} +{"d:Title": "Wikipedia: Project Runway", "d:Description": "Show overview and contestant biographies.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://en.wikipedia.org/wiki/Project_Runway"} +{"d:Title": "Boston.com: Project Runway", "d:Description": "Show overview and review from the first season.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://www.boston.com/ae/tv/articles/2004/12/01/project_runway_is_a_fabulous_take_on_reality_tv/"} +{"d:Title": "Santino Rice", "d:Description": "Santino's weblog with commentary and photographs.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://santinorice.com/"} +{"d:Title": "Nikolaki Design", "d:Description": "Information about and photo gallery of the line by contestant Nick Verreos and David Paul, with their profiles and press (in PDF format).", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://www.nikolakidesign.com/"} +{"d:Title": "Blogging Project Runway", "d:Description": "Weblog includes commentary, photographs, and many links to related sites.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://bloggingprojectrunway.blogspot.com/"} +{"d:Title": "Daniel Vosovic", "d:Description": "Includes his profile, news and photo galleries of his collections.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://www.danielvosovic.net/"} +{"d:Title": "Bonnie Dominguez", "d:Description": "Photo gallery of her art work, show schedule, and profile.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Project_Runway", "url": "http://www.bonniedominguez.com/"} +{"d:Title": "IMDb: So You Think You Can Dance", "d:Description": "User reviews, cast bios, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/So_You_Think_You_Can_Dance", "url": "http://imdb.com/title/tt0472023/"} +{"d:Title": "Fox: So You Think You Can Dance", "d:Description": "Official network site. Includes show synopsis, dancer bios, photo gallery, video clips, episode recaps, newsletter, and a forum.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/So_You_Think_You_Can_Dance", "url": "http://www.fox.com/dance/"} +{"d:Title": "IMDb: Star Search", "d:Description": "Cast, crew, and production information,as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Star_Search", "url": "http://www.imdb.com/title/tt0085093/"} +{"d:Title": "Yahoo! Groups: Star Search on CBS", "d:Description": "Message board for viewers of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Star_Search", "url": "http://tv.groups.yahoo.com/group/starsearchoncbs/"} +{"d:Title": "CBS News: 'Star Search' Champs On Parade", "d:Description": "Article detailing the results of the third season.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Star_Search", "url": "http://www.cbsnews.com/news/star-search-champs-on-parade/"} +{"d:Title": "IMDb: Talent Agency, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Talent_Agency,_The", "url": "http://www.imdb.com/title/tt0397834/"} +{"d:Title": "IMDb: Top Chef", "d:Description": "Show overview, cast information, crew details, user reviews, and message board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/Top_Chef", "url": "http://www.imdb.com/title/tt0765425/"} +{"d:Title": "IMDb: World Idol", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/World_Idol", "url": "http://www.imdb.com/title/tt0388546/"} +{"d:Title": "Yahoo! Groups: World Idol", "d:Description": "Discussion forum for viewers of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/World_Idol", "url": "http://tv.groups.yahoo.com/group/worldidol/"} +{"d:Title": "TV.com: World Idol", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Talent_Shows/World_Idol", "url": "http://www.tv.com/shows/world-idol/"} +{"d:Title": "IMDb: Three Wishes", "d:Description": "Includes cast, crew, and production information, as well as user reviews.", "topic": "Top/Arts/Television/Programs/Reality-Based/Three_Wishes", "url": "http://imdb.com/title/tt0460685/"} +{"d:Title": "IMDb: Trading Spouses: Meet Your New Mommy", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Trading_Spouses", "url": "http://www.imdb.com/title/tt0420460/"} +{"d:Title": "Yahoo! Groups: Trading Spouses", "d:Description": "Discussion forum for the show's viewers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Trading_Spouses", "url": "http://tv.groups.yahoo.com/group/Trading_Spouses/"} +{"d:Title": "IMDb: The Tudors", "d:Description": "Offers cast list, photos, reviews, plot summary, filming locations and discussion board.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://www.imdb.com/title/tt0758790/"} +{"d:Title": "NPR: The Tudors - Showtime's Update of a Monarchy", "d:Description": "Showtime's new series \"The Tudors\" offers what could be seen as parallels between the reign of Henry VIII and the current Bush administration. At heart, however, the show is a steamy, violent soap opera. Audio clip.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://www.npr.org/templates/story/story.php?storyId=9242135"} +{"d:Title": "TVGuide: The Tudors on Showtime", "d:Description": "Offers episode guides, spoilers, message boards, recaps, videos and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://www.tvguide.com/tvshows/tudors/285400"} +{"d:Title": "Wikipedia: The Tudors", "d:Description": "Features cast and episode lists, historical differences, ratings and external links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://en.wikipedia.org/wiki/The_Tudors"} +{"d:Title": "Tudor History", "d:Description": "Provides a biography and photo gallery for each of the Tudor monarchs, as well as for the six wives of Henry VIII.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://tudorhistory.org/"} +{"d:Title": "The Tudors News Site", "d:Description": "Includes cast list, Tudor events, news and archives.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://thetudorsnews.wordpress.com/"} +{"d:Title": "Times Online : It\u2019s Fun, It\u2019s Sexy, But Is This Really History?", "d:Description": "Lock up your daughters: Henry VIII is back in town and causing trouble.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://entertainment.timesonline.co.uk/tol/arts_and_entertainment/tv_and_radio/article4405792.ece"} +{"d:Title": "Red's Lair, The Tudors", "d:Description": "Offers small collection of icons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://ruafair.livejournal.com/54858.html"} +{"d:Title": "Telegraph: Why The Tudors Is Hilarious Historical Bunk", "d:Description": "As the American-made drama returns to BBC2, leading Tudor historian John Guy investigates how closely the opening episode sticks to the facts.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://www.telegraph.co.uk/arts/main.jhtml?xml=/arts/2008/08/01/nosplit/bvtvtudors01.xml"} +{"d:Title": "Zap2it: The Tudors", "d:Description": "Provides episode information, schedule, cast list and photos.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://tvlistings.zap2it.com/tv/the-tudors/EP00871042"} +{"d:Title": "Lost In Showbiz: Why Joss Stone Is The Perfect Anne of Cleves", "d:Description": "In searching for an actor to play Anne of Cleves in the forthcoming third series, they have alighted upon an answer that it's hard not to feel was staring them in the face all along: Joss Stone. By Alexis Petridis.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://www.theguardian.com/lifeandstyle/lostinshowbiz/2008/aug/08/whyjossstoneistheperfect"} +{"d:Title": "LiveJournal: The Tudors", "d:Description": "Discussion, creative works, icons and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://thetudors.livejournal.com/"} +{"d:Title": "LiveJournal: Tudors", "d:Description": "Offers media clips, brief commentary and icons.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://tudors.livejournal.com/"} +{"d:Title": "LiveJournal: Tudors Stills", "d:Description": "Offers weekly icon challenges based on the Showtime series.", "topic": "Top/Arts/Television/Programs/Reality-Based/Tudors,_The", "url": "http://tudors-stills.livejournal.com/"} +{"d:Title": "IMDb: Encounters with the Unexplained", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Encounters_With_the_Unexplained", "url": "http://www.imdb.com/title/tt0247092/"} +{"d:Title": "EpGuides.com: Encounters with the Unexplained", "d:Description": "List of episodes, with original airdates.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Encounters_With_the_Unexplained", "url": "http://epguides.com/EncounterswiththeUnexplained/"} +{"d:Title": "My Appearance on PAX-TV's Encounters With the Unexplained", "d:Description": "Personal account of being on an episode of the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Encounters_With_the_Unexplained", "url": "http://ramon_k_jusino.tripod.com/encounters.htm"} +{"d:Title": "TV.com: Encounters with the Unexplained", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Encounters_With_the_Unexplained", "url": "http://www.tv.com/shows/encounters-with-the-unexplained/"} +{"d:Title": "IMDb: MythBusters", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/MythBusters", "url": "http://www.imdb.com/title/tt0383126/"} +{"d:Title": "MythBusters", "d:Description": "Discovery Channel's official site. Includes episode guide, host biographies, air schedule, and fan feedback.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/MythBusters", "url": "http://dsc.discovery.com/tv/mythbusters/"} +{"d:Title": "TV.com: MythBusters", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/MythBusters", "url": "http://www.tv.com/shows/mythbusters/"} +{"d:Title": "IMDb: Ripley's Believe It or Not!", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Ripley's_Believe_It_or_Not", "url": "http://www.imdb.com/title/tt0218787/"} +{"d:Title": "Unsolved Mysteries", "d:Description": "Official site. Real mysteries and crimes are reenacted and detailed in order to generate tips for solving them. Includes archive of cases investigated.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Unsolved_Mysteries", "url": "http://www.unsolved.com/"} +{"d:Title": "Unsolved Mysteries Online", "d:Description": "Features show history, photos, themes, message board, episode guide, and related links for the Robert Stack hosted reality-based tv series.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Unsolved_Mysteries", "url": "http://www.sitcomsonline.com/unsolvedmysteries.html"} +{"d:Title": "IMDb: Unsolved Mysteries", "d:Description": "Includes show, cast, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Unsolved_Mysteries", "url": "http://www.imdb.com/title/tt0094574/"} +{"d:Title": "TV.com: Unsolved Mysteries", "d:Description": "Episode guide with original airdates, as well as links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Unexplained_and_Mystery/Unsolved_Mysteries", "url": "http://www.tv.com/shows/unsolved-mysteries/"} +{"d:Title": "IMDb: America's Funniest Home Videos", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/America's_Funniest_Home_Videos", "url": "http://www.imdb.com/title/tt0098740/"} +{"d:Title": "TV.com: America's Funniest Home Videos", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/America's_Funniest_Home_Videos", "url": "http://www.tv.com/shows/americas-funniest-home-videos/"} +{"d:Title": "Candid Camera Online", "d:Description": "Official site. Series overview, details of forthcoming episodes, viewer ideas for stunts, and how to get tickets for the show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Candid_Camera", "url": "http://www.candidcamera.com/"} +{"d:Title": "IMDb: Candid Camera", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Candid_Camera", "url": "http://www.imdb.com/title/tt0154059/"} +{"d:Title": "TV.com: Candid Camera", "d:Description": "Episode guide with original airdates, as well as links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Candid_Camera", "url": "http://www.tv.com/shows/candid-camera/"} +{"d:Title": "Cheaters", "d:Description": "Syndicated series featuring detectives with hidden cameras staking out the wayward and amorous. Includes airtimes, image gallery, and series overview.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Cheaters", "url": "http://www.cheaters.com/"} +{"d:Title": "IMDb: Cheaters", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Cheaters", "url": "http://www.imdb.com/title/tt0270118/"} +{"d:Title": "Media Life: 'Cheaters,' trash TV at its trashiest", "d:Description": "Article that calls the show refreshing but an exercise in voyeurism.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Cheaters", "url": "http://www.medialifemagazine.com/news2001/dec01/dec10/1_mon/news3monday.html"} +{"d:Title": "Right Here Right Now", "d:Description": "PBS series featuring video diaries created by ordinary men and women from around the country, who tell their stories in their own words and pictures. Includes overview, profiles of filmmakers, and resource links.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Right_Here_Right_Now", "url": "http://www.pbs.org/righthere/"} +{"d:Title": "IMDb: Right Here Right Now", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Video_and_Hidden_Camera/Right_Here_Right_Now", "url": "http://www.imdb.com/title/tt0242228/"} +{"d:Title": "IMDb: Viva la Bam", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/Viva_la_Bam", "url": "http://www.imdb.com/title/tt0382501/"} +{"d:Title": "TV.com: Viva La Bam", "d:Description": "Episode guide, cast and crew information, and news.", "topic": "Top/Arts/Television/Programs/Reality-Based/Viva_la_Bam", "url": "http://www.tv.com/shows/viva-la-bam/"} +{"d:Title": "Yahoo! Groups: Wife Swap", "d:Description": "Show, episode, and family discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Reality-Based/Wife_Swap", "url": "http://tv.groups.yahoo.com/group/wife_swap_show/"} +{"d:Title": "IMDb: Wife Swap", "d:Description": "Features contestant bios, user reviews, and production information.", "topic": "Top/Arts/Television/Programs/Reality-Based/Wife_Swap", "url": "http://imdb.com/title/tt0411040/"} +{"d:Title": "The New York Times: Wife Swap", "d:Description": "Show synopsis and review.", "topic": "Top/Arts/Television/Programs/Reality-Based/Wife_Swap", "url": "http://www.nytimes.com/2004/09/29/arts/television/29stan.html?ex=1254196800&en=c7e35135ec5519de&ei=5090&partner=rssuserland"} +{"d:Title": "Channel 4: Wife Swap", "d:Description": "Channel 4's hit reality show where two families swap wives. The UK site for the original Wife Swap show.", "topic": "Top/Arts/Television/Programs/Reality-Based/Wife_Swap", "url": "http://www.channel4.com/life/microsites/W/wife_swap/"} +{"d:Title": "PokerStars Caribbean Poker Adventure", "d:Description": "Official site of first event. Includes day-to-day coverage and photos related to the episode.", "topic": "Top/Arts/Television/Programs/Reality-Based/World_Poker_Tour", "url": "http://www.caribbeanpokeradventure.com/"} +{"d:Title": "IMDb: World Poker Tour", "d:Description": "Cast, crew, and production information from 2003 season, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Reality-Based/World_Poker_Tour", "url": "http://www.imdb.com/title/tt0363391/"} +{"d:Title": "Matt Cappotelli - The Flava", "d:Description": "Information about the Tough Enough 3 wrestler.", "topic": "Top/Arts/Television/Programs/Reality-Based/WWE_Tough_Enough", "url": "http://www.angelfire.com/dc2/te3matt/MAIN.html"} +{"d:Title": "PBS: Science&Technology", "d:Description": "Index to science and technology programs and resources.", "topic": "Top/Arts/Television/Programs/Science", "url": "http://www.pbs.org/science/"} +{"d:Title": "BBC Science&Nature - TV Programmes", "d:Description": "Directory of television programmes with their own web sites, each of which includes a summary, background information, and links to additional BBC and other web resources.", "topic": "Top/Arts/Television/Programs/Science", "url": "http://www.bbc.co.uk/sn/tvradio/programmes/"} +{"d:Title": "Building a Thrill Ride: Expedition Everest", "d:Description": "The Science Channel explores the technology, engineering and creativity harnessed to build one of the most elaborate rides in theme park history.", "topic": "Top/Arts/Television/Programs/Science", "url": "http://science.discovery.com/convergence/everest/everest.html"} +{"d:Title": "Animal Rescue", "d:Description": "Official site. Includes details of forthcoming episodes, stations and air schedule, host's biography, pet safety tips, and image gallery.", "topic": "Top/Arts/Television/Programs/Science/Animals/Animal_Rescue", "url": "http://www.animalrescuetv.com/"} +{"d:Title": "IMDb: Animal Rescue with Alex Paen", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science/Animals/Animal_Rescue", "url": "http://www.imdb.com/title/tt0335617/"} +{"d:Title": "The Crocodile Hunter", "d:Description": "Biographies about Steve, Terri and Bindi Irwin. With a discussion board and photos of the team from the Australia Zoo.", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The", "url": "http://www.crochunter.de/"} +{"d:Title": "Animal Planet's Crocodile Hunter with Steve Irwin", "d:Description": "Program descriptions, sound and video clips, and message board to discuss favorite episodes.", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The", "url": "http://animal.discovery.com/fansites/crochunter/crochunter.html"} +{"d:Title": "The Crocodiles Lair", "d:Description": "A fan site that pays tribute to the fantastic work in wildlife conservation that Steve and Terri do.", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The", "url": "http://www.redlum.force9.co.uk/"} +{"d:Title": "CrocHunter.dk", "d:Description": "Dive into the world of the Irwins and meet the rest of the Australia Zoo staff. A Danish/Scandinavian specific episode guide.", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The", "url": "http://www.crochunter.dk/"} +{"d:Title": "Crocodile Hunter", "d:Description": "Official site of the Crocodile Hunter and the Australia Zoo. With biographies, stories and photos.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The", "url": "http://www.crocodilehunter.com/"} +{"d:Title": "Wikipedia: Steve Irwin", "d:Description": "Encyclopedia article about the television star and wildlife conservationist.", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The/Irwin,_Steve", "url": "http://en.wikipedia.org/wiki/Steve_Irwin"} +{"d:Title": "Crikey, it's raw Stevo!", "d:Description": "Sydney Morning Herald article and interview.", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The/Irwin,_Steve", "url": "http://www.smh.com.au/articles/2006/09/04/1157222053963.html"} +{"d:Title": "Wildlife Warriors Worldwide", "d:Description": "Conservation organization created by Irwin. Features research, programs, and donation information.", "topic": "Top/Arts/Television/Programs/Science/Animals/Crocodile_Hunter,_The/Irwin,_Steve", "url": "http://www.wildlifewarriors.org.au/"} +{"d:Title": "Discovery Channel's Shark Week", "d:Description": "Information on a variety of sharks, from the Great Whites to Hammerheads. Includes interactive games and shark quiz along with expert shark attack answers.", "topic": "Top/Arts/Television/Programs/Science/Animals/Discovery_Channel's_Shark_Week", "url": "http://dsc.discovery.com/convergence/sharkweek/sharkweek.html"} +{"d:Title": "IMDb: Emergency Vets", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science/Animals/Emergency_Vets", "url": "http://www.imdb.com/title/tt0361173/"} +{"d:Title": "Emergency Vets", "d:Description": "Companion site to the Animal Planet series. Meet the vets, follow case studies, and watch video clips.", "topic": "Top/Arts/Television/Programs/Science/Animals/Emergency_Vets", "url": "http://animal.discovery.com/fansites/e-vets/e-vets.html"} +{"d:Title": "IMDb: Animal Miracles", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science/Animals/Miracle_Pets", "url": "http://www.imdb.com/title/tt0279543/"} +{"d:Title": "The Planet's Funniest Animals", "d:Description": "Animal Planet series featuring home videos of animals doing the funny, unique or truly strange things they do naturally.", "topic": "Top/Arts/Television/Programs/Science/Animals/Planet's_Funniest_Animals,_The", "url": "http://animal.discovery.com/fansites/pfa/pfa.html"} +{"d:Title": "Tatum's Wildlife Heroes", "d:Description": "Includes series overview and details of the individuals profiled and of the production team.", "topic": "Top/Arts/Television/Programs/Science/Animals/Tatum's_Wildlife_Heroes", "url": "http://www.wildlifeheroes.com/"} +{"d:Title": "Catalyst", "d:Description": "Includes archive of past segments, previews of forthcoming episodes, and guidelines for producers.", "topic": "Top/Arts/Television/Programs/Science/Catalyst", "url": "http://www.abc.net.au/catalyst/"} +{"d:Title": "Jack Horkheimer: Star Gazer", "d:Description": "Includes scripts, FAQ, and star gazing information.", "topic": "Top/Arts/Television/Programs/Science/Jack_Horkheimer_-_Star_Gazer", "url": "http://www.jackstargazer.com/"} +{"d:Title": "IMDb: Nature", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science/Nature", "url": "http://www.imdb.com/title/tt0083452/"} +{"d:Title": "Nature", "d:Description": "Episode information, air schedule, and resources for teachers.", "topic": "Top/Arts/Television/Programs/Science/Nature", "url": "http://www.pbs.org/wnet/nature/"} +{"d:Title": "IMDb: North Mission Road", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science/North_Mission_Road", "url": "http://www.imdb.com/title/tt0381788/"} +{"d:Title": "NOVA Online", "d:Description": "Companion site to WGBH Science Series. Includes complementary in-depth information, interactive learning, and multi-media.", "topic": "Top/Arts/Television/Programs/Science/Nova", "url": "http://www.pbs.org/wgbh/nova/"} +{"d:Title": "Runaway Universe", "d:Description": "Includes series overview, history of the universe, video clip, teachers' guide, and resources.", "topic": "Top/Arts/Television/Programs/Science/Runaway_Universe", "url": "http://www.pbs.org/wgbh/nova/universe/"} +{"d:Title": "Savage Earth", "d:Description": "Series overview, articles, air schedule, credits, and animations related to topics covered in the show.", "topic": "Top/Arts/Television/Programs/Science/Savage_Earth", "url": "http://www.pbs.org/wnet/savageearth/"} +{"d:Title": "Scientific American Frontiers", "d:Description": "Includes TV schedule, and details of past and future shows.", "topic": "Top/Arts/Television/Programs/Science/Scientific_American_Frontiers", "url": "http://www.pbs.org/saf/"} +{"d:Title": "Springboard", "d:Description": "Schedule, series overview, and details of episodes.", "topic": "Top/Arts/Television/Programs/Science/Springboard", "url": "http://www.pbs.org/kqed/springboard/"} +{"d:Title": "Stephen Hawking's Universe", "d:Description": "Includes schedule, episode information, features, and teachers' guide.", "topic": "Top/Arts/Television/Programs/Science/Stephen_Hawking's_Universe", "url": "http://www.pbs.org/wnet/hawking/html/home.html"} +{"d:Title": "Nitpicker Central", "d:Description": "Official site for the Star Trek and X-Files nitpicker books. Find all the grungy little mistakes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://www.nitcentral.com/"} +{"d:Title": "Sci-fi HQ", "d:Description": "Database of information on Star Trek, Stargate SG-1, Andromeda, and Star Wars. Includes topics such as history, characters, species, and ship data.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://fedhq.tripod.com/"} +{"d:Title": "Science-Fiction Central", "d:Description": "Essays and commentary, including \"Black Women in Science Fiction\" and \"Ways I'd Improve the Sci-Fi Channel.\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://www.firsttvdrama.com/central.php3"} +{"d:Title": "The Realm", "d:Description": "Information for prospective volunteers willing to build a mega-site for genre shows.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://members.tripod.com/reality_dysfunction/"} +{"d:Title": "Holly Lynn's Hercules and Xena Playground", "d:Description": "Music videos based on the series, in Windows Movie Maker format.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://www.angelfire.com/film/hlhercvids/"} +{"d:Title": "Madmarty in the Scifi Zone", "d:Description": "Pictures and information from shows including Blake's 7, Doctor Who, Red Dwarf, Star Trek, and Xena. Also fantasy art, motorbikes and free downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://www.madmarty.net/"} +{"d:Title": "The Sci-fi Domain", "d:Description": "Information and downloads related to Lexx, Star Trek, Red Dwarf, The X-Files, and Hitchhiker's Guide to the Galaxy.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://scifi.myrealm.co.uk/"} +{"d:Title": "SciFiTVFans.com", "d:Description": "Episode guides for Farscape, Smallvile, The Invisible Man, Special Unit 2, Babylon 5, and The Tick. Includes limited directory of related sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://www.scifitvfans.com/"} +{"d:Title": "ScifiSlacker.com", "d:Description": "Links related to series, arranged by title.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy", "url": "http://www.scifislacker.com/scifi-portal/scifi-sites/"} +{"d:Title": "IMDb: 4400, The", "d:Description": "\"4400, The\" (2004) - Cast, Crew, Reviews, Plot Summary, Comments, Discussion, Taglines, Trailers, Posters, Photos, Showtimes, Link to Official Site, Fan Sites", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/4/4400,_The", "url": "http://www.imdb.com/title/tt0389564/"} +{"d:Title": "Yahoo! Groups: Sinbad", "d:Description": "Members post discussion and fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The", "url": "http://groups.yahoo.com/group/Sinbad/"} +{"d:Title": "The Maeve-Sinbad Connection Collection", "d:Description": "Images of the characters together.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The", "url": "http://members.tripod.com/NHCaesar/MaeSin/MaeSin.html"} +{"d:Title": "Sinbad and Bryn Page", "d:Description": "Fan fiction, image galleries, and quotes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The", "url": "http://iceruby.tripod.com/"} +{"d:Title": "The Sinbad and Bryn Page", "d:Description": "Image galleries, fan fiction, quotes, and message forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The", "url": "http://sinbadbryn.tripod.com/"} +{"d:Title": "LadyBlueMist Adventures of Sinbad", "d:Description": "Photos, sound clips, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The", "url": "http://members.tripod.com/~LBMist/index2.html"} +{"d:Title": "WebRing: The Nomad's Webring", "d:Description": "Lists sites with content related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The", "url": "http://www.webring.org/hub?ring=thenomad"} +{"d:Title": "TV.com: The Adventures of Sinbad", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The", "url": "http://www.tv.com/shows/the-adventures-of-sinbad/"} +{"d:Title": "Rogue's Fan Haven", "d:Description": "Maeve/Sinbad romance stories and picture gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Adventures_of_Sinbad,_The/Fan_Fiction", "url": "http://www.angelfire.com/tv/RogueFanfiction/"} +{"d:Title": "Click and Hum On-Line", "d:Description": "Offers fan fiction and artwork.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Alien_Nation", "url": "http://members.tripod.com/~Click_and_Hum/"} +{"d:Title": "On Alien Nation", "d:Description": "Random musings on the series by science fiction writer Robert J. Sawyer.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Alien_Nation", "url": "http://www.sfwriter.com/rmalienn.htm"} +{"d:Title": "Alien Nation", "d:Description": "A complete episode guide with air dates and cast information. Images and film information are included.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Alien_Nation", "url": "http://www.angelfire.com/pa2/trekker/aliennation.html"} +{"d:Title": "TV.com: Alien Nation", "d:Description": "Contains cast and crew information and an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Alien_Nation", "url": "http://www.tv.com/shows/alien-nation-1989/"} +{"d:Title": "Amazing Stories (John Williams/Georges Delerue)", "d:Description": "Review of the soundtrack.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Amazing_Stories", "url": "http://www.filmtracks.com/titles/amazing_stories.html"} +{"d:Title": "IMDb: Amazing Stories", "d:Description": "Includes information on the production, cast, and crew, as well as user reviews and comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Amazing_Stories", "url": "http://www.imdb.com/title/tt0088478/"} +{"d:Title": "TV.com: Amazing Stories", "d:Description": "Contains cast and crew information and an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Amazing_Stories", "url": "http://www.tv.com/shows/amazing-stories/"} +{"d:Title": "Scotsman's Babbling Farce: AndromeDUH", "d:Description": "Fan site offering amusing cartoons, caricatures, and portraits of and about the cast of Gene Roddenberry's Andromeda.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda", "url": "http://www.treebeard.net/scotsman/andindx.htm"} +{"d:Title": "Transit to Slipstream", "d:Description": "Contains cast and character profiles, information on guest stars, episode list with summaries, pictures, and links to fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda", "url": "http://transitslipstream.tripod.com/index.htm"} +{"d:Title": "Ascending Andromeda", "d:Description": "Character profiles, fan art, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda", "url": "http://www.angelfire.com/scifi/spacekiddy/home.html"} +{"d:Title": "Clare's Andromeda Site", "d:Description": "Episode guide, cast list, character biographies, fan fiction, episode reviews, commentary, and opening quotes from each segment.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda", "url": "http://www.angelfire.com/scifi2/andromeda84/"} +{"d:Title": "Andromeda Web", "d:Description": "Fan page offering news, screen captures, wallpapers, winamp skins, icons, forums, games, scanned images, fan fiction, a mailing list and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda", "url": "http://www.andromeda-web.com/"} +{"d:Title": "IMDb Listing - Gene Roddenberry's Andromeda", "d:Description": "Includes plot summary, filming location, cast and crew, guest appearances, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda", "url": "http://www.imdb.com/title/tt0213327/"} +{"d:Title": "TV.com: Andromeda", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda", "url": "http://www.tv.com/shows/andromeda/"} +{"d:Title": "SF-Fandom Andromeda Message Board", "d:Description": "Fan-run discussion forum devoted to the television show Andromeda, starring Kevin Sorbo.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda/Chats_and_Forums", "url": "http://www.sf-fandom.com/vbulletin/forumdisplay.php?s=&forumid=9"} +{"d:Title": "Yahoo! Groups: Sorbos Andromedans", "d:Description": "Members post discussion on the series and the actors. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Sorbos_Andromedans/"} +{"d:Title": "Yahoo! Groups: Andromeda Logs", "d:Description": "Members post discussion and database entries. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/andromeda_logs/"} +{"d:Title": "WebRing: Andromeda Fanfic", "d:Description": "Lists sites where series-related stories are archived.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda/Directories", "url": "http://www.webring.org/hub?ring=andromedafanfic"} +{"d:Title": "High Guard's Andromeda", "d:Description": "Yahoo group message board roleplaying.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Andromeda/Online_Roleplaying", "url": "http://groups.yahoo.com/group/highguardsandromeda/"} +{"d:Title": "Justice 4 AniTV", "d:Description": "Find out why fans like the television series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://www.angelfire.com/in2/J4ATV/"} +{"d:Title": "Epguides.com: Animorphs", "d:Description": "A guide listing the title and air date for each episode of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://epguides.com/Animorphs/"} +{"d:Title": "Yahoo!Groups: animorphsontv", "d:Description": "Discussion list for the tv series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://groups.yahoo.com/group/animorphsontv/"} +{"d:Title": "Animorphs", "d:Description": "The official site. You can find video clips, behind the scenes interviews, episode guides, photos and information about the actors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://www.scholastic.com/animorphs/characters/"} +{"d:Title": "Episode Transcripts", "d:Description": "Fan-made transcripts of 13 episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://christopher-ralph.com/transcripts/animorphs.html"} +{"d:Title": "Wikipedia: Animorphs", "d:Description": "Contains an overview of the show, cast and episode list, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://en.wikipedia.org/wiki/Animorphs_(television_series)"} +{"d:Title": "IMDb: Animorphs", "d:Description": "Includes a cast list, fan comments, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://www.imdb.com/title/tt0154147/"} +{"d:Title": "TV.com: Animorphs", "d:Description": "Episode guide, cast and crew listings, viewer comments and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs", "url": "http://www.tv.com/shows/animorphs/"} +{"d:Title": "The Nadia Nascimento Fan Site", "d:Description": "The only fan site for actress Nadia Nascimento, contains photos, film and biographical information and links to related sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs/Cast_and_Crew", "url": "http://meww.faithweb.com/nadia/"} +{"d:Title": "The Neal Shusterman Web Site", "d:Description": "The official website of the Animorphs series screenwriter.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/A/Animorphs/Cast_and_Crew", "url": "http://www.storyman.com/"} +{"d:Title": "Dateline: B5", "d:Description": "Babylon 5 collectables information, and essays about the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.webcs.com/b5/"} +{"d:Title": "B5 Tech-Manual", "d:Description": "Detailed site covering the technology, ships and weapons of Babylon 5. Includes movies, images, and sounds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://b5tech.com/"} +{"d:Title": "Babylon 5 History Page", "d:Description": "Includes a timeline of the Babylon 5 universe.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.chronology.org/b-five/"} +{"d:Title": "The Abyss: Babylon 5", "d:Description": "Information on books, music, comics, and videos, plus news and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://abyss.hubbe.net/b5/"} +{"d:Title": "Purple Files Database", "d:Description": "A directory of Babylon 5 sites, organized by category.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.vex.net/~schrist/pfdb/"} +{"d:Title": "Prixel's Babylon 5 Multimedia Page", "d:Description": "Included in this site are pictures from series, the themes to all five seasons in MP3 format and movies from throughout the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://members.tripod.com/~prixel/"} +{"d:Title": "The Babylon Project", "d:Description": "An overview of the series. Includes introductory materials, an episode guide, discussion forums and an image gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.isnnews.net/babylon5/"} +{"d:Title": "Operation Phoenix", "d:Description": "Babylon 5 CGIs and ship technical specifications.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://operationphoenix.freeservers.com/"} +{"d:Title": "Babylon 5 Encyclopedia", "d:Description": "Fan-written A-Z of the Babylon 5 universe.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.oinc.net/B5/Enc/"} +{"d:Title": "The Lurker's Guide to Babylon 5", "d:Description": "Guide to the series, including news, episode list, actor information, and archives.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.midwinter.com/lurk/lurker.html"} +{"d:Title": "A Visit to Babylon 5", "d:Description": "Take a virtual tour of the station.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.dcs.shef.ac.uk/~ajhs/babylon5/"} +{"d:Title": "Voltayre's Encyclopedia Xenobiologica", "d:Description": "An observer's illustrated guide to the Babylon 5 universe.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.frostjedi.com/vex/"} +{"d:Title": "ISN Anchor Desk", "d:Description": "Includes quotes, information about collectible cards, original fan fiction, and the official Crusade style guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.isnanchordesk.com/b5/"} +{"d:Title": "Wikipedia: Babylon 5", "d:Description": "Encyclopedia entry includes a series overview and an exploration of themes in the story.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://en.wikipedia.org/wiki/Babylon_5"} +{"d:Title": "Babylonsounds", "d:Description": "Music and episodic sound clips. In English and German.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.babylonsounds.com/"} +{"d:Title": "Down Below Sound Archive", "d:Description": "Thousands of sounds from the series, including blooper tracks.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://b5.cs.uwyo.edu/bab5/"} +{"d:Title": "3rdSpace", "d:Description": "Renderings and information about various elements of the Babylon 5 story.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://3rdspace.freeserverhost.net/"} +{"d:Title": "Hyperspace", "d:Description": "A guide to the spacecraft of the Babylon 5 and Crusade universe.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.isnnews.net/hyperspace/"} +{"d:Title": "Babylon 5 Webring", "d:Description": "List of sites and instructions for joining.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5", "url": "http://www.webring.org/hub?ring=b5"} +{"d:Title": "The Official Tim Choate Web Site", "d:Description": "Includes photographs, merchandise, and a biography for the actor who played Zathras.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Cast_and_Crew", "url": "http://www.angelfire.com/scifi/zathrasonb5/"} +{"d:Title": "The Official Website of Alex Zahara", "d:Description": "The actor who played Dulann in The Legend of the Rangers offers a biography, photographs, lists of stage and screen appearances, interviews, and a store.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Cast_and_Crew", "url": "http://www.alexzahara.com/"} +{"d:Title": "Ed Wasser's Official Site", "d:Description": "Biography, resume, fan club information, charities supported, sound and video clips, and appearance schedule.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Cast_and_Crew", "url": "http://www.wasser.com/"} +{"d:Title": "Enid-Raye Adams at TV.com", "d:Description": "Biographical information and list of acting appearances.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Cast_and_Crew", "url": "http://www.tv.com/people/enid-raye-adams/"} +{"d:Title": "Disenfranchised Pebbles", "d:Description": "Babylon 5 character profiles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Characters", "url": "http://members.tripod.com/hahpa/b5/index.html"} +{"d:Title": "Koshisms", "d:Description": "An effort to catalog every word spoken the Vorlon ambassador.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Characters", "url": "http://www.arborius.net/~jphekman/b5/koshisms.html"} +{"d:Title": "ISN News: Forums", "d:Description": "Multiple message boards focusing on Babylon 5 and Crusade.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Chats_and_Forums", "url": "http://www.isnnews.net/forums/index.php"} +{"d:Title": "Yahoo! Groups: B5freshers", "d:Description": "Discussion of episodes as they are broadcast, intended as a spoiler-free zone for new fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Chats_and_Forums", "url": "http://groups.yahoo.com/group/B5freshers/"} +{"d:Title": "Yahoo! Groups: Groucho's Babylon 5 Calvacade", "d:Description": "A home for a merry band of longtime B5 fans and other strange folks. Includes message board and chat. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Chats_and_Forums", "url": "http://groups.yahoo.com/group/grouchosbabylon5calvacade/"} +{"d:Title": "The Babylon 5 League", "d:Description": "A B5 message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Chats_and_Forums", "url": "http://www.frostjedi.com/phpbb/viewforum.php?f=24"} +{"d:Title": "Code Sigma 957", "d:Description": "Babylon 5 message boards with discussion areas for each of the major races and other popular science fiction shows.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Chats_and_Forums", "url": "http://sigma957.suddenlaunch.com/"} +{"d:Title": "NW-B5 - The Pacific North-West Babylon 5 Mailing List", "d:Description": "Provides information on events in the British Columbia Lower Mainland, Vancouver Island, and upper Washington state community.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Chats_and_Forums", "url": "http://mypage.intergate.ca/~nw-b5/nw-b5/"} +{"d:Title": "B5TV.com", "d:Description": "The forum features news, message boards, and original articles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Chats_and_Forums", "url": "http://www.b5tv.com/"} +{"d:Title": "Cori Bright's Home Pages", "d:Description": "Convention reports, photos and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Conventions", "url": "http://www.coribright.com/"} +{"d:Title": "Babylon 5 Archive", "d:Description": "1996 convention photographs and reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Conventions", "url": "http://www.herald.co.uk/~mel/b5/"} +{"d:Title": "Babylon 5 Episode Guide", "d:Description": "A cross-indexed guide to the story and characters and universe of Babylon 5, including spin-offs, comics, and books.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Episode_Guides", "url": "http://b5epguide.tripod.com/"} +{"d:Title": "Epguides.com: Babylon 5", "d:Description": "Episode guide with original air dates.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Episode_Guides", "url": "http://www.epguides.com/Babylon5/"} +{"d:Title": "Babylon 5 Reviews", "d:Description": "Opinion pieces about the show, episodes, and related products.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Episode_Guides", "url": "http://www.vavatch.co.uk/b5/"} +{"d:Title": "Wikipedia: List of Babylon 5 episodes", "d:Description": "Episode and movie list with plot synopses, story arc significance, production details, and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Episode_Guides", "url": "http://en.wikipedia.org/wiki/List_of_Babylon_5_episodes"} +{"d:Title": "EpisodeList.com: Babylon 5", "d:Description": "Offers an episode list with brief synopses, as well as lists of writers, directors, and character appearances.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Episode_Guides", "url": "http://www.episodelist.com/shows/babylon-5/18"} +{"d:Title": "TV.com: Babylon 5", "d:Description": "Episode summaries, cast and crew lists, reviews, and discussion.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Episode_Guides", "url": "http://www.tv.com/shows/babylon-5/"} +{"d:Title": "Babylon 5: A Security Log", "d:Description": "A fan fiction based on security officers Zack Allan and Sean Flynn as they protect B5 from the scum of the universe.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Fan_Fiction", "url": "http://b5-flynn.tripod.com/"} +{"d:Title": "Babylon 5: The Price of Freedom", "d:Description": "A virtual sixth season.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Fan_Fiction", "url": "http://www3.telus.net/~dgolding/vs6/VS6.htm"} +{"d:Title": "The Great Wars", "d:Description": "Babylon 5 mods for Homeworld.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Games", "url": "http://www.moddb.com/mods/babylon-5-the-great-wars"} +{"d:Title": "The Babylon Project", "d:Description": "Making modifications to FreeSpace 2 in order to let players fly the ships of the universe.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Games", "url": "http://babylon.hard-light.net/"} +{"d:Title": "Babbling Farce", "d:Description": "Cartoons by Scotsman, inspired by the series and the people who love it.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Humor", "url": "http://www.treebeard.net/scotsman/babindx.htm"} +{"d:Title": "Delenn Deserves Better", "d:Description": "For fans who think Sheridan is not Delenn's ideal partner.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Humor", "url": "http://www.dymphna.net/DDB/"} +{"d:Title": "The Official Site of Booji", "d:Description": "\"I'll put a bucket on my head and pretend to be the ancient Vorlon god Booji!\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Humor", "url": "http://www.angelfire.com/mt/wads/"} +{"d:Title": "Ask Kosh", "d:Description": "Get advice from the master of obscurity himself.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Humor", "url": "http://www.toppa.com/kosh/"} +{"d:Title": "The Babylon 5 Babylon", "d:Description": "Scenes you'll never see, filks, and other B5 humor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Humor", "url": "http://b5.alienharmony.com/"} +{"d:Title": "The Order of the Sisters of Zathras", "d:Description": "An ecumenical organization, accepting humans and other sentient beings who desire to mediate upon the mysteries of Babylon 5.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Humor", "url": "http://www.loyd.net/osz/"} +{"d:Title": "cScott's Babylon 5 Parodies", "d:Description": "Includes parodies of songs, episodes, episode titles, and photo captions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Humor", "url": "http://babylon5.seriouscybernetics.net/"} +{"d:Title": "Evelio's Babylon 5 Page", "d:Description": "A small gallery of images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Image_Galleries", "url": "http://www.ultradrive.com/b5.htm"} +{"d:Title": "Signs and Portraits", "d:Description": "A Babylon 5 art gallery, featuring pictures of ships and characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Image_Galleries", "url": "http://babylon5art.0catch.com/"} +{"d:Title": "Parallax-3D", "d:Description": "Features image galleries, 3D models, and Starfury wing art.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Image_Galleries", "url": "http://www.parallax3d.com/"} +{"d:Title": "The Lost Files of G'Kane", "d:Description": "Site featuring some classic renderings, plus analysis of characters, species and ships.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Image_Galleries", "url": "http://www.gkane.de/home.html"} +{"d:Title": "Babylon 5 Images", "d:Description": "3D Graphics site, images made with TrueSpace.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Image_Galleries", "url": "http://www.mateengreenway.com/b5/b5.htm"} +{"d:Title": "Starship Modeler: Babylon 5", "d:Description": "Ship renderings in multiple views, with background information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Image_Galleries", "url": "http://www.starshipmodeler.com/b5/bab5ship.htm"} +{"d:Title": "The Warlock Project", "d:Description": "A group effort to create a cgi model of the Warlock class destroyer.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Babylon_5/Image_Galleries", "url": "http://www.isnnews.net/warlock/"} +{"d:Title": "Comment Galactica", "d:Description": "Message board for discussing technical aspects of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series", "url": "http://www.network54.com/Forum/10367"} +{"d:Title": "Battlestar Galactica.Com", "d:Description": "Revival site owned by Richard Hatch (Apollo). Includes news and image galleries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series", "url": "http://www.battlestargalactica.com/"} +{"d:Title": "Battlestar Galactica Fan Club", "d:Description": "Includes membership information, multimedia, interviews, and image gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series", "url": "http://www.battlestarfanclub.com/"} +{"d:Title": "Requiem for Battlestar Galactica: Blow-Waves in Space", "d:Description": "Humorous review of the series, featuring commentary on revival efforts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_1978", "url": "http://www.apeculture.com/battlestar.htm"} +{"d:Title": "Movieprop.com: Battlestar Galactica", "d:Description": "Includes character profiles with pictures, Cylon history, tech information, command structures, collectibles, episode guides, and essays.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_1978", "url": "http://www.movieprop.com/tvandmovie/Battlestar/index.htm"} +{"d:Title": "Battlestar Galactica Original Costume and Prop Museum", "d:Description": "All of the items pictured on these pages are original items used filming Battlestar Galactica in 1978 and 1979.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_1978", "url": "http://www.chrispappas.com/index.html"} +{"d:Title": "IMDb: Battlestar Galactica 1978", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_1978", "url": "http://www.imdb.com/title/tt0077215/"} +{"d:Title": "Battlestar Galactica Collection", "d:Description": "Contains images and information on warrior costumes and props used.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_1978", "url": "http://surfkid.net/battlestar/"} +{"d:Title": "Battlestar Galactica Tech-Manual", "d:Description": "Guide to the ships, vessels, weapons and technology of the series universe with images, sounds and videos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_1978", "url": "http://www.tecr.com/galactica/"} +{"d:Title": "WWWF Ground Zero: Battlestar Galactica vs. Star Trek Voyager", "d:Description": "Once again, culture shock starts a war. [humor]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_1978/Fan_Fiction", "url": "http://www.grudge-match.com/History/galactica_voyager.shtml"} +{"d:Title": "IMDb: Battlestar Galactica 2004", "d:Description": "Cast, crew, and production information, as well as user comments, trivia, and quotes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_2005", "url": "http://www.imdb.com/title/tt0407362/"} +{"d:Title": "Syfy: Battlestar Galactica", "d:Description": "Syfy's page for the series offers an online forum, Viper simulator, and Colonial databank.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_2005", "url": "http://www.syfy.com/battlestar/"} +{"d:Title": "Ragnar Anchorage", "d:Description": "Message board, episode reviews, articles, and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Battlestar_Galactica_-_2005", "url": "http://ragnaranchorage.proboards.com/"} +{"d:Title": "IMDb: Galactica 1980", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Galactica_1980", "url": "http://www.imdb.com/title/tt0080221/"} +{"d:Title": "TV.com: Galactica 1980", "d:Description": "Episode guide with original airdates and links to cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Battlestar_Galactica_Series/Galactica_1980", "url": "http://www.tv.com/shows/galactica-1980/"} +{"d:Title": "Beastwatcher's Beastmaster Fan Site", "d:Description": "News, episode guide, artwork, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beastmaster", "url": "http://beastwatcher.tripod.com/"} +{"d:Title": "Beastmaster: The Mydlands", "d:Description": "Character and episode guide, actor filmographies, image galleries, quotes, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beastmaster", "url": "http://mydlands.fanspace.com/"} +{"d:Title": "PinkHearts BeastMaster Galleries", "d:Description": "Includes picture gallery, cast profiles, and interviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beastmaster", "url": "http://danielgoddard.tripod.com/beastmaster/"} +{"d:Title": "EpisodeList.com: Beastmaster", "d:Description": "Offers an episode list with synopsis, as well as lists of writers, directors, and character appearances.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beastmaster", "url": "http://www.episodelist.com/shows/beastmaster/57"} +{"d:Title": "The Subversive Pomegranate's Beauty and the Beast Page", "d:Description": "Small, personal site that acknowledges the division in this fandom.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast", "url": "http://members.tripod.com/hahpa/bb/"} +{"d:Title": "Crystal Tunnels", "d:Description": "Small site with fan fiction and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast", "url": "http://wendylittrell.tripod.com/batb.html"} +{"d:Title": "The Chamber Down Below", "d:Description": "Episode guide, photographs, and poetry.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast", "url": "http://www.angelfire.com/on/Mouse/"} +{"d:Title": "Venus' Realm", "d:Description": "BATB/ Phantom of the Opera combo site. Has galleries, MIDIs and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast", "url": "http://www.angelfire.com/realm/venus307/"} +{"d:Title": "Beauty and the Beast Wallpapers", "d:Description": "Wallpapers and fan fiction. Not graphic intensive. Loads quickly.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast", "url": "http://www.chez.com/fanficsetwallpapers/bb_wallpapers.htm"} +{"d:Title": "Sharon's Beauty and the Beast Site", "d:Description": "Small site with art and fan fiction by Sharon Holtz. Also has pictures from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast", "url": "http://pumpkinshasha.com/"} +{"d:Title": "\"She speaks with her hands, a beautiful language\"", "d:Description": "Laura's Cavern Homepage. An homage to the character Laura, played by deaf actress Terrylene, Beauty and the Beast, sign language and deaf studies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast", "url": "http://www.suue.co.uk/"} +{"d:Title": "TunnelDreams", "d:Description": "Moderated news group for fans of Beauty and the Beast. Subscription must be approved. All-season content. Heavy 3S membership. Archives and files available to members only. Moderately active.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Chats_and_Forums", "url": "http://groups.yahoo.com/group/TunnelDreams/"} +{"d:Title": "Tunnels", "d:Description": "Restricted membership list. Must be a member to post, view archives and access site.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Chats_and_Forums", "url": "http://groups.yahoo.com/group/tunnels"} +{"d:Title": "Kayla's Caverns", "d:Description": "Public news group. A tunnelishe place. No graphic content. Classic but open to All Season content. Files, archives, and polls for members only. Regular chats. Very active. Site owner recommends digest subscription or web only access.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Chats_and_Forums", "url": "http://groups.yahoo.com/group/KaylasCaverns/"} +{"d:Title": "Yahoo! Groups: Beauty Beast TV", "d:Description": "Discussion and chat for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Chats_and_Forums", "url": "http://groups.yahoo.com/group/bbtv/"} +{"d:Title": "Beauty and the Beast TunnelRing", "d:Description": "A useful resource for fans of the TV series Beauty and the Beast. This is an older site ring.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Directories", "url": "http://www.tunnelring.com/"} +{"d:Title": "Chambers", "d:Description": "Online club for fans of Beauty and the Beast. Membership required to post and view files, archives, links, or chat.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Fan_Clubs", "url": "http://groups.yahoo.com/group/beautyandthebeastchambers/"} +{"d:Title": "Beast's Fanfic", "d:Description": "Beauty and the Beast fan fiction. All stories are rated. Open to submissions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Fan_Fiction", "url": "http://www.angelfire.com/linux/fanficbatbanime/"} +{"d:Title": "\"Legacy of Love\"", "d:Description": "The fiction of Rhonda Collins. Site has samples of Classic and 3S fan fiction as well as instructions for ordering print 'zines and T-shirts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Fan_Fiction", "url": "http://members.tripod.com/~RhondaCollins/index.htm"} +{"d:Title": "The Helper's Network Quality Fanzine Review Online", "d:Description": "Probably the most complete index of print Beauty and the Beast fanzines available. Entries from 1987-present.Updated and compiled from a variety of sources, including print Qfers. Work in progress.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Fan_Fiction", "url": "http://jsd119.tripod.com/qfer/index.html"} +{"d:Title": "And Death Shall Have No Dominion", "d:Description": "Excerpt from a 445 fan-written movie script.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Fan_Fiction", "url": "http://www.cinemind.com/nodom/main.html"} +{"d:Title": "Tunnels of Shadewood", "d:Description": "Graphic and sound intensive poetry site. Third season material. Secret Garden game. Will take a long time to load.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Fan_Fiction", "url": "http://www.shadesbeauty.freeservers.com/"} +{"d:Title": "BeElleGee's Beauty and the BeastFan Fiction", "d:Description": "Simple site with eight stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Beauty_and_the_Beast/Fan_Fiction", "url": "http://beellegee.tripod.com/"} +{"d:Title": "WWF Grudge Match: Bionic Woman vs. Wonder Woman", "d:Description": "Parody site that pits the characters against one another in a wrestling contest.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Bionic_Woman,_The", "url": "http://www.grudge-match.com/History/bionic-wonder.shtml"} +{"d:Title": "TV.com: The Bionic Woman", "d:Description": "Contains show and cast information, also provides an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Bionic_Woman,_The", "url": "http://www.tv.com/bionic-woman/show/583/summary.html"} +{"d:Title": "Black Scorpion HQ", "d:Description": "Episode guide, news, and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Black_Scorpion", "url": "http://drkangel117.tripod.com/blackscorpionhq/"} +{"d:Title": "The Stingray", "d:Description": "Quotes from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Black_Scorpion", "url": "http://drkangel117.tripod.com/blackscorpionhq/id4.html"} +{"d:Title": "IMDb: Black Scorpion", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Black_Scorpion", "url": "http://www.imdb.com/title/tt0155425/"} +{"d:Title": "Blake's 7 (hermit.org)", "d:Description": "Includes news, fan fiction, conventions, episode guides, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "http://www.hermit.org/Blakes7/"} +{"d:Title": "Blake's 7 Guide: BBC SF Series", "d:Description": "Analysis of the show with episode guide, character studies, pictures, fan art, and list of available series-related merchandise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "http://www.blakes7-guide.com/"} +{"d:Title": "Louise and Simons Blake's 7 Fan Site", "d:Description": "Dedicated to the 1970s/1980s BBC series. Contains discussion forum, episode guides, character information, conventions, pictures, and instructions on how to make a Liberator hand gun with household items.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "http://www.blakes-7.co.uk/"} +{"d:Title": "The Blake's 7 Files", "d:Description": "Scripts, sound files, pictures, episode guides, and news on the cast.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "http://webhome.idirect.com/~cgorman/b7/index.htm"} +{"d:Title": "A Blake's 7 Library", "d:Description": "A varied collection of material salvaged from the AOL B7 library before it closed.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "http://www.tarrantnostra.com/b7lib/index.htm"} +{"d:Title": "The Blake's 7 Mailing List FAQ", "d:Description": "Information about the list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "ftp://ftp.lysator.liu.se/pub/blake7/FAQ.html"} +{"d:Title": "Blake's 7: The Episode Guide", "d:Description": "Database-driven. Includes synopses, cast information, and frame grabs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "http://www.epguides.com/Blakes7/"} +{"d:Title": "Horizon, A Blake's 7 Fan Club", "d:Description": "Offers details of how to join, cast news, newsletter information, convention information, and merchandise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7", "url": "http://www.blakes7online.com/news.php"} +{"d:Title": "Stephen Greif: Actor and Voices", "d:Description": "Filmography, credits, and multimedia for the actor who played the original Travis.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7/Cast_and_Crew", "url": "http://www.actor.co.uk/"} +{"d:Title": "TTBA: Blake's 7 anthology zine", "d:Description": "Archive for stories by various writers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7/Fan_Fiction", "url": "http://www.viragene.com/ttba.htm"} +{"d:Title": "Blake's 7 Image Library", "d:Description": "Lisa's video frame capture library, indexed with thumbnails.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Blake's_7/Image_Galleries", "url": "http://www.framecaplib.com/b7lib.htm"} +{"d:Title": "Chris Rozee's Buck Rogers in the 25th Century Page", "d:Description": "A collection of sound and pictures files from the movie and TV series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Buck_Rogers_in_the_25th_Century", "url": "http://members.tripod.com/~crozee/buck/"} +{"d:Title": "Buck Rogers in the 25th Century", "d:Description": "Episode and cast listings for Buck Rogers in the 25th Century starring Gil Gerard as Buck Rogers and Erin Gray as Wilma Deering.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/B/Buck_Rogers_in_the_25th_Century", "url": "http://www.buck-rogers.fanspace.com/"} +{"d:Title": "Passages", "d:Description": "Cast and character biographies, photos, episode guide and summaries, quotes, equipment and ships seen on the show, and timeline.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Captain_Power", "url": "http://members.tripod.com/~capt_pwr_1/"} +{"d:Title": "Yahoo! Groups: Captain Power", "d:Description": "Discussion mailing list for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Captain_Power", "url": "http://groups.yahoo.com/group/captainpower/"} +{"d:Title": "TV.com: Captain Power and the Soldiers of the Future", "d:Description": "Includes episode listings, cast and crew information, and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Captain_Power", "url": "http://www.tv.com/shows/captain-power-and-the-soldiers-of-the-future/"} +{"d:Title": "IMDb: The Champions", "d:Description": "Series overview, as well as cast and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Champions,_The", "url": "http://www.imdb.com/title/tt0062551/"} +{"d:Title": "TV.com: The Champions", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Champions,_The", "url": "http://www.tv.com/shows/the-champions/"} +{"d:Title": "Yahoo! Groups: The Chronicle Mailing List", "d:Description": "Discussion of the series and its stars. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Chronicle,_The", "url": "http://groups.yahoo.com/group/The_Chronicle_Mailing_List/"} +{"d:Title": "Yahoo! Groups: SciFiChronicle", "d:Description": "Fan fiction and discussion may be posted. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Chronicle,_The", "url": "http://groups.yahoo.com/group/SciFiChronicle/"} +{"d:Title": "Yahoo! Groups: Chronicle Fans", "d:Description": "Chat and message board for viewers willing to \"believe everything.\" [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Chronicle,_The", "url": "http://groups.yahoo.com/group/chroniclefans2/"} +{"d:Title": "TV.com: The Chronicle", "d:Description": "Offers episode guide, airtimes, cast and crew information, and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Chronicle,_The", "url": "http://www.tv.com/shows/the-chronicle/"} +{"d:Title": "Whoosh! Episode Guide: CLEOPATRA 2525", "d:Description": "General rumors and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Cleopatra_2525", "url": "http://whoosh.org/epguide/cleo/cgen.html"} +{"d:Title": "Darkamber's Cleopatra 2525 Fan Site", "d:Description": "Includes a \"Cleoverse\" encyclopedia, image galleries, wallpapers, fan fiction and art, and information about a play-by-mail RPG.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Cleopatra_2525", "url": "http://www.darkamber.net/cleo2525"} +{"d:Title": "TV.com: Cleopatra 2525", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Cleopatra_2525", "url": "http://www.tv.com/shows/cleopatra-2525/"} +{"d:Title": "TV.com: Code Name: Eternity", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Code_Name_-_Eternity", "url": "http://www.tv.com/shows/code-name-eternity/"} +{"d:Title": "Epguides.com: The Crow: Stairway to Heaven", "d:Description": "Guide listing the title and air date for each episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crow_-_Stairway_to_Heaven,_The", "url": "http://www.epguides.com/CrowStairwaytoHeaven/"} +{"d:Title": "The Crow: Stairway to Heaven Fanfic Archive", "d:Description": "Archive for fan fiction based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crow_-_Stairway_to_Heaven,_The", "url": "http://www.t1goold.net/CrowFic/"} +{"d:Title": "Trash City Review: The Crow: Stairway to Heaven", "d:Description": "Review of the pilot episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crow_-_Stairway_to_Heaven,_The", "url": "http://www.trashcity.org/BLITZ/BLIT0507.HTM"} +{"d:Title": "The Crow: Stairway to Heaven", "d:Description": "Fan site featuring photos from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crow_-_Stairway_to_Heaven,_The", "url": "http://www.angelfire.com/de/thecrowalley/crow3.html"} +{"d:Title": "IMDb: The Crow: Stairway to Heaven", "d:Description": "Series overview, cast and crew listings, viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crow_-_Stairway_to_Heaven,_The", "url": "http://www.imdb.com/title/tt0166425/"} +{"d:Title": "TV.com: The Crow: Stairway to Heaven", "d:Description": "Episode guide, cast and crew listing.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crow_-_Stairway_to_Heaven,_The", "url": "http://www.tv.com/shows/the-crow-stairway-to-heaven/"} +{"d:Title": "Crusade Guide at The Lurker's Guide to Babylon 5", "d:Description": "Episode guide, series notes, and many comments from JMS.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade", "url": "http://www.midwinter.com/lurk/guide/113.html"} +{"d:Title": "Stellar Com", "d:Description": "News, pictures, reviews, and predictions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade", "url": "http://members.tripod.com/~stellarcom/"} +{"d:Title": "Sword From the Stone", "d:Description": "Fanlisting for the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade", "url": "http://www.urbandruid.net/crusade/"} +{"d:Title": "Wikipedia: Crusade", "d:Description": "Publicly-editable encyclopedia entry supplies a series overview, character descriptions, and an episode list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade", "url": "http://en.wikipedia.org/wiki/Crusade_(TV_series)"} +{"d:Title": "InterStellar Network News", "d:Description": "Includes cast information, episode list, image gallery, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade", "url": "http://www.isnnews.net/crusade/"} +{"d:Title": "Crusade Webring", "d:Description": "Instructions for joining the ring and list of sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade", "url": "http://www.webring.org/hub?ring=b5crusade"} +{"d:Title": "TV.com: Crusade", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade", "url": "http://www.tv.com/shows/crusade/"} +{"d:Title": "Peter Woodward", "d:Description": "Official site for the actor who played Galen.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/C/Crusade/Cast_and_Crew", "url": "http://www.peterwoodward.com/"} +{"d:Title": "Coffeerooms(sm): Jolau's Sci Fi Board", "d:Description": "A gathering place for friends and fans of science fiction television.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.w3pg.com/forums/tv/scifi/index.html"} +{"d:Title": "Tigerclaw's Crossfire", "d:Description": "Fans debate burning issues such as whether Imperial Star Destroyers from Star Wars could defeat Star Trek's Galaxy-class starships in combat. Includes polls and a variety of message boards.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.tecr.com/tigerclaw/"} +{"d:Title": "Flare Science Fiction Forums", "d:Description": "An old, large Star Trek-turned-Sci-Fi community seeking new members. Formerly known as the Starfleet Communications Relay and the Behaviour Group Forums.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://flare.solareclipse.net/cgi2/ultimatebb.cgi?"} +{"d:Title": "Yahoo! Groups: Sci Fi Junkies", "d:Description": "Message board for fans of Stargate SG-1, Enterprise and The Dead Zone to discuss how much they enjoy watching the shows. Includes photos and polls. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sci_fi_junkies/"} +{"d:Title": "SadBoard Forums", "d:Description": "Offers character and episode guides plus fan purity tests from a variety of series'.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://www.sadgeezer.com/"} +{"d:Title": "Othala", "d:Description": "Multi-fandom forum with threads for Stargate SG1, Stargate Atlantis, Red Dwarf, and Manifest Destiny.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Chats_and_Forums", "url": "http://noslen090.proboards.com/"} +{"d:Title": "Dark Angel: The Unofficial Guide", "d:Description": "Links, message board, polls, and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel", "url": "http://members.tripod.com/allaboutdarkangel/"} +{"d:Title": "Dark Angel: Experiment-Fugitive-Hero", "d:Description": "Has spoilers, pictures, forum, links, biography, and episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel", "url": "http://myweb.ecomplanet.com/daae6614/"} +{"d:Title": "PopMatters: Dark Angel", "d:Description": "Review of the show's premiere.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel", "url": "http://popmatters.com/tv/reviews/d/dark-angel.html"} +{"d:Title": "IMDb: Dark Angel", "d:Description": "Plot summary and cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel", "url": "http://www.imdb.com/title/tt0204993/"} +{"d:Title": "TV,com: Dark Angel", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel", "url": "http://www.tv.com/shows/dark-angel/"} +{"d:Title": "Dark Angel Fan Club", "d:Description": "A forum for fans of the show. Also includes polls and show news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel/Chats_and_Forums", "url": "http://forums.delphiforums.com/dafanclub"} +{"d:Title": "Yahoo! Groups: Dark Angel", "d:Description": "Mailing list for discussion of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Dark_Angel/"} +{"d:Title": "The Korrupt World Message Board", "d:Description": "Message board with discussionsincluding fan fiction, poetry, original fiction, and episode's.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel/Chats_and_Forums", "url": "http://korruptworld.hyperboards.com/"} +{"d:Title": "WebRing: James Cameron's Dark Angel", "d:Description": "List of sites and instructions on becoming a member.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Angel/Directories", "url": "http://www.webring.org/hub?ring=darkangelwebring"} +{"d:Title": "IMDb: Dark Skies", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Skies", "url": "http://www.imdb.com/title/tt0115151/"} +{"d:Title": "TV.com: Dark Skies", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dark_Skies", "url": "http://www.tv.com/shows/dark-skies/"} +{"d:Title": "IMDb - Dead Like Me", "d:Description": "Includes plot and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dead_Like_Me", "url": "http://www.imdb.com/title/tt0348913/"} +{"d:Title": "TV.com: Dead Like Me", "d:Description": "Contains cast and crew information, episode guides, and forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dead_Like_Me", "url": "http://www.tv.com/shows/dead-like-me/"} +{"d:Title": "Who3D", "d:Description": "Computer generated artwork, tutorials, downloads, news and a forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.who3d.com/"} +{"d:Title": "Doctor Who: A Brief History of Time (Travel)", "d:Description": "Offers news, episode details, and features about the show and its spin-offs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.shannonsullivan.com/drwho/"} +{"d:Title": "Doctor Who: Devious", "d:Description": "Amateur film producers. Contains production notes, galleries, movie clips and news about the project Halfway to Oblivion, featuring a cameo performance by Jon Pertwee.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.doctorwho-devious.com/"} +{"d:Title": "Timelash.com", "d:Description": "Features puzzles, polls, bloopers, a list of books, DVDs, videos and audios.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.timelash.com/"} +{"d:Title": "You know you're an American Doctor Who fan if...", "d:Description": "Some humorous comments on Doctor Who fandom.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.firsttvdrama.com/funstuff/youwho.php3"} +{"d:Title": "Alden's Doctor Who Page", "d:Description": "New Zealand site offering news, local fan club information, a guide to the audio adventures, fan fiction and a Mel Bush appreciation section.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.tetrap.com/drwho/"} +{"d:Title": "Who's Doctor Who?", "d:Description": "Offers a biography and chronology of the Doctor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.whosdw.com/"} +{"d:Title": "Tabula Rasa: Doctor Who", "d:Description": "A collection of stories and articles concentrating on the seventh Doctor and the darker aspects of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.tabula-rasa.info/DoctorWho/"} +{"d:Title": "SevenZero.net", "d:Description": "Original artwork, recent convention photographs and exclusive online comic adventures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.sevenzero.net/"} +{"d:Title": "Eye of Horus", "d:Description": "Fan enterprise offering detailed plot synopses, episode and DVD reviews, articles, interviews, merchandise and details of the Big Finish audio productions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.eyeofhorus.org.uk/"} +{"d:Title": "The Earthbound TimeLords", "d:Description": "An academic research site devoted to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://homepages.bw.edu/~jcurtis/"} +{"d:Title": "Doctor Who Dynamic Rankings", "d:Description": "An ongoing survey of on-line fandom's likes and dislikes, including favourite episodes and Doctors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.dewhurstdesigns.co.uk/dynamic/"} +{"d:Title": "Doctor Who Scarf", "d:Description": "Describes how to recreate the 4th Doctor's scarf. Includes instructions, a guide to yarns, knitting patterns and photographs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.doctorwhoscarf.com/"} +{"d:Title": "Defending the Earth", "d:Description": "Games and puzzles, with a special section devoted to unmasking the true identity of the mysterious character known as \"The Doctor\".", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.whoisdoctorwho.co.uk/"} +{"d:Title": "Kasterborous", "d:Description": "Articles and news items, interviews with cast members, reviews, original artwork and wallpaper downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.kasterborous.com/"} +{"d:Title": "Wikipedia: Doctor Who", "d:Description": "Features the history of the Doctor and his companions, as well as information about the various aliens and villains that have featured throughout the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://en.wikipedia.org/wiki/Category:Doctor_Who"} +{"d:Title": "Doctor Who Online", "d:Description": "Offers news and reviews, with forums, episode guides, audio interviews and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.drwho-online.co.uk/"} +{"d:Title": "The ?-Mark Doctor Who Page", "d:Description": "A concise guide to the first 8 Doctors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://home.earthlink.net/~qstnmark/whopage.htm"} +{"d:Title": "Planet Kember", "d:Description": "Former series consultant Ian Levine's suite of discussion forums covering a variety of Doctor Who related topics.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://ianlevine.forumco.com/"} +{"d:Title": "Doctor Who Locations", "d:Description": "Utilises Google Maps to provide details of various filming locations used in the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.doctorwholocations.org.uk/"} +{"d:Title": "The TARDIS Library", "d:Description": "Information on the show's TARDIS props and the real police boxes that inspired them, along with details on fan replicas and a guide to building your own.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://homepages.paradise.net.nz/~trekker/policeboxes/"} +{"d:Title": "Tardis Data Core, the Doctor Who Wiki", "d:Description": "Wikia encyclopedia extensively covering stories, characters, technology, locations and species for Doctor Who, Torchwood, Sarah Jane and K9.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://tardis.wikia.com/"} +{"d:Title": "The TARDIS Rebuilders", "d:Description": "Message board for fans interested in constructing replicas.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://tardisboard.proboards.com/"} +{"d:Title": "The Guardian - Doctor Who", "d:Description": "Ongoing collected coverage includes news, features, interviews, blogs, notes and queries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.theguardian.com/tv-and-radio/doctor-who"} +{"d:Title": "BBC One: Doctor Who", "d:Description": "Official website provides news, episode guide, video clips, image galleries, characters, monsters, games and DW50 guide.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.bbc.co.uk/programmes/b006q2x0"} +{"d:Title": "BBC America: Doctor Who", "d:Description": "Official website for the television series featuring videos, images, cast bios, premiere information and trailers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.bbcamerica.com/doctor-who/"} +{"d:Title": "IMDb: Doctor Who", "d:Description": "Offers a synopsis, cast and crew list, user comments, a message board and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.imdb.com/title/tt0056751/"} +{"d:Title": "TV.com: Doctor Who", "d:Description": "Contains cast and crew information, episode guides, news and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who", "url": "http://www.tv.com/shows/doctor-who/"} +{"d:Title": "Mad Norwegian Press", "d:Description": "An independent publisher specializing in sci-fi reference guides. Titles include guides to Doctor Who, Transformers TV and comics and Farscape.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books", "url": "http://www.madnorwegian.com/"} +{"d:Title": "Jean-Marc Lofficier", "d:Description": "Official Site of Jean-Marc Lofficier, author of a number of Doctor Who books.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://www.lofficier.com/"} +{"d:Title": "Marty's Web Pages", "d:Description": "The home page of Martin Day, a freelance author in the UK.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://www.martinday.co.uk/"} +{"d:Title": "Steve Emmerson", "d:Description": "Steve Emmerson's official website.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://www.steveemmerson.com/"} +{"d:Title": "Leithes.co.uk", "d:Description": "Official website of Alex Leithes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://www.leithes.co.uk/"} +{"d:Title": "DeCandido.net", "d:Description": "The official web site of Keith R.A. DeCandido.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://www.sff.net/people/krad/"} +{"d:Title": "Moosifer Jones Lair", "d:Description": "Mags L Halliday's official website. Includes details of her fiction and non-fiction work.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://www.magslhalliday.co.uk/"} +{"d:Title": "Peter Anghelides", "d:Description": "Contains information about published and unpublished writing by Peter Anghelides.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://btconnecthosting.com/anghelides/"} +{"d:Title": "Howe's Who", "d:Description": "The homepages of Doctor Who historian and reference book author David J. Howe", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://howeswho.blogspot.com/"} +{"d:Title": "Simon A. Forward", "d:Description": "Official site for this writer. Includes details of published works, works in progress, as well as online exclusives.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Books/Authors", "url": "http://www.simonforward.co.uk/"} +{"d:Title": "Bonnie Langford.co.uk", "d:Description": "Official site offering news, a biography, merchandise, acting credits, a mailing list and interviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Cast_and_Crew", "url": "http://www.bonnielangford.co.uk/"} +{"d:Title": "The Wacky World of Dodo Chaplet!", "d:Description": "A guide to the character played by Jackie Lane in the third season of Doctor Who.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Cast_and_Crew", "url": "http://www.gregmce.com/dodo/"} +{"d:Title": "Official Nicola Bryant WebSite", "d:Description": "Home of the actress who played Peri alongside the Fifth and Sixth Doctors. Offers a biography, photographs and fan club details.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Cast_and_Crew", "url": "http://www.nicolabryant.net/"} +{"d:Title": "The Doctor Who Companions", "d:Description": "Provides news items, pictures, biographies, career details and links for each of the Doctor's fellow travellers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Cast_and_Crew", "url": "http://members.wap.org/kevin.parker/chp/"} +{"d:Title": "Chicago TARDIS", "d:Description": "Annual November convention in the Chicago area. Includes information about guests, programming, volunteering, and previous conventions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Conventions", "url": "http://www.chicagotardis.com/"} +{"d:Title": "Regenerations", "d:Description": "Annual convention, held in Swansea, Wales, in September. Includes news, guest list, ticket information, information about previous conventions, and contact details.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Conventions", "url": "http://www.regenerations.co.uk/"} +{"d:Title": "Andrew Kearley's Doctor Who", "d:Description": "An attempt to tie together the TV series, books and comic strips.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Episode_Guides", "url": "http://www.eyespider.freeserve.co.uk/drwho/"} +{"d:Title": "The DiscContinuity Guide", "d:Description": "A continuation of the original DisContinuity Guide, dealing with continuity, goofs, and blunders in the Big Finish audios and other stories not covered in the original book.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Episode_Guides", "url": "http://www.tetrap.com/drwho/disccon/"} +{"d:Title": "Doctor Who: A Brief History of Time (Travel)", "d:Description": "A detailed guide to the show including spin-offs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Episode_Guides", "url": "http://www.shannonsullivan.com/drwho/"} +{"d:Title": "Bevis and Duncan's Doctor Who Guide", "d:Description": "Series background, with episode summaries and cast biographies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Episode_Guides", "url": "http://personal.ee.surrey.ac.uk/.Contrib/SciFi/DrWho/"} +{"d:Title": "The Eyepiece", "d:Description": "Offered as a PDF download. Includes FAQ and archive of previous issues.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fanzines", "url": "http://www.angelfire.com/zine2/bobthefishmagazines/"} +{"d:Title": "Whotopia", "d:Description": "Canadian fanzine. Includes ordering and subscribing information, list of issue contents, and submission guidelines.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fanzines", "url": "http://www.whotopia.ca/"} +{"d:Title": "Skonnos", "d:Description": "Webzine edited by Mark Campbell. Includes reviews, feature articles, interviews, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fanzines", "url": "http://www.skonnos.homechoice.co.uk/"} +{"d:Title": "Broadsword Home Page", "d:Description": "The fanzine of the new and missing adventures", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fan_Fiction", "url": "http://www.broadsword.org/"} +{"d:Title": "The Dr. Who Shoebox of Triviality", "d:Description": "Bizzare Dr.Who humor, made by twisted people. Adopt a Timelord, dance with Daleks, or read humor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fan_Fiction", "url": "http://www.angelfire.com/scifi/DrWhoShoebox/"} +{"d:Title": "A Teaspoon And An Open Mind", "d:Description": "An open archive for Doctor Who stories of all kinds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fan_Fiction", "url": "http://www.whofic.com/"} +{"d:Title": "The Doctor Who Project", "d:Description": "Fan fiction based on an alternate eight doctor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fan_Fiction", "url": "http://thedoctorwhoproject.com/"} +{"d:Title": "Unfinished Business - New Lords of Time", "d:Description": "Large collection of original stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fan_Fiction", "url": "http://rdwf.org.uk/doctorwho/"} +{"d:Title": "The Mysterious Planet", "d:Description": "Doctor Who and Buffy the Vampire Slayer fan fiction by Duncan Johnson.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Fan_Fiction", "url": "http://www.themysteriousplanet.com/"} +{"d:Title": "Intergalactic House of Daleks", "d:Description": "Dallas-Fort Worth viewing society; includes club and meeting information, episodes screened, message board and pictures of the club's fan-built Dalek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.drwho-dfw.com/"} +{"d:Title": "The Doctor Who Restoration Team Website", "d:Description": "The website for the team that masters and/or restores almost all Doctor Who releases for BBC Video. The website is designed to be a central reference point for past and future projects.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.restoration-team.co.uk/"} +{"d:Title": "Doctor Who Information Network", "d:Description": "Founded in 1980 and continues to serve hundreds of fans in Canada, the U.S.A. and other countries around the world. It was one of the first Doctor Who clubs in North America, and is the longest running Doctor Who club on the continent.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.dwin.org/"} +{"d:Title": "The West Lodge", "d:Description": "South Perth, Australia Doctor Who fan club.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://members.iinet.net.au/~fizzgig/"} +{"d:Title": "DWNY Doctor Who New York", "d:Description": "The New York City, New Jersey and Connecticut fan group.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.dwny.org/"} +{"d:Title": "The Celestial Who-ligans", "d:Description": "Meeting and contact information for the Winnipeg-based fan club.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.mts.net/~jgw/"} +{"d:Title": "Gallifreyan Embassy", "d:Description": "A fan organization providing a discussion board, a blog and a podcast.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.gallifreyanembassy.org/"} +{"d:Title": "The Milwaukee Time Lords", "d:Description": "Social club located in Milwaukee, Winsconsin with regular events.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.milwaukeetimelords.org/"} +{"d:Title": "The Doctor Who Appreciation Society", "d:Description": "Fan club. Offers news, interviews, reviews, articles, membership details, and monthly Celestial Toyroom magazine.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.dwasonline.co.uk/"} +{"d:Title": "Doctor Who Club of Australia", "d:Description": "Information on events, membership, and publications.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://www.dwca.org.au/"} +{"d:Title": "New Zealand Doctor Who Fan Club", "d:Description": "Running since the late 1980s, the club's fanzine is called \"Time Space Visualiser\" or TSV for short.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Organizations", "url": "http://doctorwho.org.nz/"} +{"d:Title": "Doctor Who Ratings Guide", "d:Description": "Provides reviews of the television show, comics, audio programs, books, and related spin-offs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Reviews", "url": "http://www.pagefillers.com/dwrg/"} +{"d:Title": "D9D1E2", "d:Description": "Offers a collection of reviews of the two series (classic and new) browsable by quality, chronology or theme.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Doctor_Who/Reviews", "url": "http://www.d9d1e2.com/who/index.html"} +{"d:Title": "IMDb: The Dresden Files", "d:Description": "Cast biographies, crew details, image gallery, episode guide, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dresden_Files,_The", "url": "http://www.imdb.com/title/tt0486657/"} +{"d:Title": "The Dresden Files Fanfiction", "d:Description": "Original fan works organized by date.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/D/Dresden_Files,_The", "url": "http://dresdenfic.livejournal.com/"} +{"d:Title": "Earth: Final Conflict (E-F-C HOME)", "d:Description": "Episode guide, trivia, polls, transcripts, and video clips.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Earth_-_Final_Conflict", "url": "http://www.angelfire.com/on/efc/"} +{"d:Title": "EpisodeList.com : Earth Final Conflict", "d:Description": "Episode guide and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Earth_-_Final_Conflict", "url": "http://www.episodelist.com/site/index.php?go=shows.view&show_id=9"} +{"d:Title": "WebRing - Earth: Final Conflict", "d:Description": "Directory of fan sites about the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Earth_-_Final_Conflict", "url": "http://www.webring.org/hub?ring=efcworld"} +{"d:Title": "Earth2: A Gaian Hypothesis", "d:Description": "Critical analysis of the series, with newspaper reviews, production credits, episode summaries, and links to fan fiction and other fan pages.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Earth_2", "url": "http://bailiwick.lib.uiowa.edu/earth2/"} +{"d:Title": "Earth 2 Fan Club", "d:Description": "Yahoo club for fans, with message board and chat room.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Earth_2", "url": "http://groups.yahoo.com/group/earth2fanclub/"} +{"d:Title": "Yahoo! Groups: Eerie-indiana", "d:Description": "Mailing list for discussion of the cult series and its 1998 sequel.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Eerie,_Indiana", "url": "http://groups.yahoo.com/group/eerie-indiana/"} +{"d:Title": "The Eerie Indiana Episode Guide", "d:Description": "List of episodes with synopses, voiceovers, airdates and observations.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Eerie,_Indiana", "url": "http://www.innermind.com/myguides/guides/eerie.htm"} +{"d:Title": "IMDb: \"Eerie, Indiana\" (1991)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Eerie,_Indiana", "url": "http://www.imdb.com/title/tt0101088/"} +{"d:Title": "IMDb: Eureka", "d:Description": "Cast details, episode list, episode videos, photograph gallery, user reviews, message board, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Eureka", "url": "http://www.imdb.com/title/tt0796264/"} +{"d:Title": "SyFy: Eureka", "d:Description": "Official network site. Show synopsis, cast details, episode guide, photograph gallery, message board, full-length episode videos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/E/Eureka", "url": "http://www.syfy.com/eureka/"} +{"d:Title": "EpGuides.com: Fantasy Island", "d:Description": "Picture and guide listing the title and air date for each episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fantasy_Island", "url": "http://epguides.com/FantasyIsland/"} +{"d:Title": "Fantasy Island Cottage", "d:Description": "A photo of the Queen Anne cottage at the L.A. Arboretum, featured each week in TVs Fantasy Island.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fantasy_Island", "url": "http://www.seeing-stars.com/ImagePages/QueenAnneCottagePhoto.shtml"} +{"d:Title": "ClassicTVHits.com: Fantasy Island", "d:Description": "Contains photos, cast information, sounds and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fantasy_Island", "url": "http://www.classictvhits.com/show.php?id=182"} +{"d:Title": "IMDb.com - Fantasy Island: (1977)", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fantasy_Island", "url": "http://www.imdb.com/title/tt0076016/"} +{"d:Title": "TV.com: Fantasy Island", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fantasy_Island", "url": "http://www.tv.com/shows/fantasy-island/"} +{"d:Title": "Dallascaper's Farscape Fantasy", "d:Description": "Presents the far side of Farscape, including \"Before They Were Stars\", \"Texas Area Scaper Meet\" photos, fan art, and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.farscapefantasy.com/"} +{"d:Title": "Farscape", "d:Description": "Features an episode guide, character information, photos, fan club and convention details, and merchandise information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.farscape.com/"} +{"d:Title": "Farscaped", "d:Description": "Games, fan fiction, images, and quotes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.farscaped.com/"} +{"d:Title": "Crackers Matter", "d:Description": "Parody site featuring news, character profiles, spoilers, dictionary of terms from the show, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://crackersmatter.tripod.com/"} +{"d:Title": "The Delvian Seek: Farscape Resource and Fan Club", "d:Description": "Website dedicated to the Sci-Fi series Farscape and The Delvian Seek, a local and cyber fan club for Farscape based in Minnesota.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://the_delvian_seek_mn.tripod.com/"} +{"d:Title": "Farscape Australia", "d:Description": "News, games, episode guide, and fan fiction for Australian fans of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://farscapeaustralia.iwarp.com/"} +{"d:Title": "Uncharted Territory", "d:Description": "Notes and photographs from Farscape conventions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.disordered.org/Farscape.html"} +{"d:Title": "BBC Farscape", "d:Description": "The official UK site which features a message board, cast bios, character descriptions, an article on the Peacekeepers and an online game dodging enemies while finding a way back to the ship.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.bbc.co.uk/cult/farscape/"} +{"d:Title": "Farscape World", "d:Description": "A Farscape news and review source, including episode summaries and interviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.farscapeworld.com/main.php"} +{"d:Title": "IMDb: Farscape", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.imdb.com/title/tt0187636/"} +{"d:Title": "IMDb: Farscape: The Peacekeeper Wars", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.imdb.com/title/tt0387736/"} +{"d:Title": "Discover Farscape", "d:Description": "Episode guide and profiles of characters, planets, and races appearing in the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.discoverfarscape.com/"} +{"d:Title": "TV Tome: Farscape", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape", "url": "http://www.tv.com/shows/farscape/"} +{"d:Title": "Dork Tower 'Farscape' Cartoon", "d:Description": "Comic strip by John Kovalic featuring one fan's reaction to Farscape's cancellation.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape/Articles_and_Interviews", "url": "http://archive.gamespy.com/comics/dorktower/archive.asp?nextform=viewcomic&id=649"} +{"d:Title": "Chiana Fanfic Site", "d:Description": "Stories, image gallery, episode guide focusing on the character, and sounds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape/Characters", "url": "http://members.tripod.com/chi--fan/"} +{"d:Title": "Sassy Chiana", "d:Description": "Includes episode listings and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape/Characters", "url": "http://sassy_chiana.tripod.com/"} +{"d:Title": "ExquisiteIrony's Pages Chiana Website", "d:Description": "Dedicated to the character. Includes image galleries, fan fiction, and poetry, as well as biography and analysis of fashions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape/Characters", "url": "http://www.exquisiteirony.com/"} +{"d:Title": "Farscape One", "d:Description": "Fan site with images and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Farscape/Fan_Fiction", "url": "http://farscape.borderline-angel.com/"} +{"d:Title": "Firefly/Serenity: Canadian Browncoats", "d:Description": "Canada-wide group for fans. Information on meetings, convention photos, episode guide, and chat room for members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://www.canadianbrowncoats.com/"} +{"d:Title": "Firefly @Scifispace.com", "d:Description": "Episode guide, message forum, cast and crew information, and photo gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://www.scifispace.com/html/firefly.php"} +{"d:Title": "Firefly: Proximity Sensor", "d:Description": "Sound and video clips, as well as brief episode synopses.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://www.have-dog.com/firefly/"} +{"d:Title": "Orbiting Lights", "d:Description": "Episode guide, cast and character information, photo gallery, quotes, and wallpapers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://www.chosentwo.com/firefly/"} +{"d:Title": "BrownCoats", "d:Description": "FAQ and knowledge base that includes information on episodes, cast, characters, language and fandom.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://www.browncoats.com/"} +{"d:Title": "Whoa. Good Myth.", "d:Description": "Episode guide, character quotes, lexicon, and transcripts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://firefly.shriftweb.org/"} +{"d:Title": "Firefly-Serenity Funsite", "d:Description": "Original fan work, including crosswords and song parodies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://fireflyfunsite.kevinsullivansite.net/"} +{"d:Title": "Wikipedia: Firefly (TV series)", "d:Description": "Open-content encyclopedia article covering the series, including inspiration, tribulations, plot, casting, and reception.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://en.wikipedia.org/wiki/Firefly_(TV_series)"} +{"d:Title": "Browncoat Wiki", "d:Description": "Wiki serving as an archive of Browncoat (fans of Firefly and Serenity) culture, including activities, regional Browncoat organizations, charity events, conventions, meetups, projects.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://browncoats.wikia.com/"} +{"d:Title": "Firefly and Serenity Database", "d:Description": "A Wiki that contains information on Firefly and Serenity, including the TV series, movie, comics, and Role Playing Game.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://firefly.wikia.com/"} +{"d:Title": "IMDb: Firefly", "d:Description": "Series overview and information on the production, cast, and crew.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://www.imdb.com/title/tt0303461/"} +{"d:Title": "TV.com: Firefly", "d:Description": "Episode guide with original airdates, as well as links to cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly", "url": "http://www.tv.com/shows/firefly/"} +{"d:Title": "Pittsburgh Post-Gazette - A&E - Firefly", "d:Description": "Extended review with interview by editor Rob Owen.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Articles_and_Interviews", "url": "http://www.post-gazette.com/tv/20020722owen0722fnp3.asp"} +{"d:Title": "USATODAY.com - Be sure to catch this 'Firefly'", "d:Description": "Review by Robert Bianco.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Articles_and_Interviews", "url": "http://www.usatoday.com/life/television/reviews/2002-09-18-firefly_x.htm"} +{"d:Title": "No aliens, no latex in 'Firefly,' Fox's new sci-fi show", "d:Description": "Review of the series by the Seattle Post-Intelligencer.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Articles_and_Interviews", "url": "http://www.seattlepi.com/ae/tv/article/No-aliens-no-latex-in-Firefly-Fox-s-new-1091796.php"} +{"d:Title": "Firefly", "d:Description": "Article about Nathan Fillion and his role in the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Articles_and_Interviews", "url": "http://jam.canoe.com/Television/TV_Shows/F/Firefly/"} +{"d:Title": "Yahoo! Groups: Firefly", "d:Description": "Discussion group for viewers interested in the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Firefly/"} +{"d:Title": "Yahoo! Groups: Firefly News", "d:Description": "Announcement mailing list for updates on the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Chats_and_Forums", "url": "http://groups.yahoo.com/group/firefly-news/"} +{"d:Title": "Yahoo! Groups: Firefly Over 30", "d:Description": "Discussion group for adult fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Chats_and_Forums", "url": "http://groups.yahoo.com/group/firefly_over_30/"} +{"d:Title": "Yahoo! Groups: Firefly_Fanfiction", "d:Description": "List for the posting of fan fiction related to the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Firefly_Fanfiction/"} +{"d:Title": "The SadGeezers Guide to Firefly", "d:Description": "Discussion board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Chats_and_Forums", "url": "http://www.sadgeezer.com/forum/77"} +{"d:Title": "FireflyFans.net", "d:Description": "Fansite and discussion board for Joss Whedon's Firefly and Serentity.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Chats_and_Forums", "url": "http://www.fireflyfans.net/"} +{"d:Title": "Firefly's Glow Archive", "d:Description": "Archive of fan fiction posted to the mailing list of the same name. Stories are organized by author, character, title, and date archived.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Firefly/Fan_Fiction", "url": "http://firefly.populli.org/"} +{"d:Title": "Beyond the DarkSide", "d:Description": "Home of the series-related drinking game, sponsor lists, and media watch.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave", "url": "http://drkangel117.tripod.com/Drkness/"} +{"d:Title": "First Wave Fan Page", "d:Description": "Cast biographies, episodes, trivia, links and a section on missing scenes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave", "url": "http://www.members.tripod.com/~trinityjm7/firstwave.html"} +{"d:Title": "First Unofficial First Wave Headquarters", "d:Description": "Episode guide, character profiles, and FAQs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave", "url": "http://members.tripod.com/firstwave/"} +{"d:Title": "Another First Wave Page", "d:Description": "Home of a role playing game. Also includes picture gallery and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave", "url": "http://www.angelfire.com/scifi/AnotherFirstWavePage/"} +{"d:Title": "Francis Ford Coppola's American Zoetrope First Wave Page", "d:Description": "Detailed production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave", "url": "http://www.zoetrope.com/zoe_films.cgi?page=films&action=show_one&film_id=55"} +{"d:Title": "TV.com: First Wave", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave", "url": "http://www.tv.com/shows/first-wave/"} +{"d:Title": "Yahoo! Groups: First Wave", "d:Description": "Discussion mailing list about the show. Message archives available to members. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave/Chats_and_Forums", "url": "http://groups.yahoo.com/group/firstwave/"} +{"d:Title": "Yahoo! Groups: First Wave RPG", "d:Description": "Play-by-mail game based on the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave/Chats_and_Forums", "url": "http://groups.yahoo.com/group/FirstWave_RPG/"} +{"d:Title": "Yahoo! Groups: First_Wave_UK", "d:Description": "Mailing list for discussion with no spoilers for viewers in Great Britain. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave/Chats_and_Forums", "url": "http://groups.yahoo.com/group/First_Wave_UK/"} +{"d:Title": "Yahoo! Groups: First Wave", "d:Description": "Message board, chat room, links, pictures. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/First_Wave/Chats_and_Forums", "url": "http://groups.yahoo.com/group/firstwave2/"} +{"d:Title": "IMDb: FlashForward", "d:Description": "Show synopsis, user reviews, episode list, cast details, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/FlashForward", "url": "http://www.imdb.com/title/tt1441135/"} +{"d:Title": "SF Chronicle: The Future Looks Very Promising", "d:Description": "Tim Goodman of the San Francisco Chronicle cites a strong cast and producers in his positive review.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/FlashForward", "url": "http://www.sfgate.com/cgi-bin/article.cgi?f=/c/a/2009/09/24/DDPP19R101.DTL"} +{"d:Title": "Yahoo! Groups: FreakyLinks", "d:Description": "Discussion about episodes and members of the cast. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Freakylinks", "url": "http://groups.yahoo.com/group/Freaky-Links/"} +{"d:Title": "IMDb: FreakyLinks", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Freakylinks", "url": "http://www.imdb.com/title/tt0247095/"} +{"d:Title": "TV.com: Freakylinks", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Freakylinks", "url": "http://www.tv.com/shows/freakylinks/"} +{"d:Title": "Revolutionary Movements in America", "d:Description": "Freedom episode guide, cast and character biographies, image gallery, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Freedom", "url": "http://drkangel117.tripod.com/freedom/"} +{"d:Title": "Television Obscurities - Freedom", "d:Description": "In-depth article about show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Freedom", "url": "http://www.tvobscurities.com/articles/freedom.php"} +{"d:Title": "IMDb: Freedom", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Freedom", "url": "http://www.imdb.com/title/tt0247096/"} +{"d:Title": "TV.com: Freedom", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Freedom", "url": "http://www.tv.com/shows/freedom/"} +{"d:Title": "IMDb: Fringe", "d:Description": "Episode list, photograph gallery, videos, message board, user reviews, cast details, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fringe", "url": "http://www.imdb.com/title/tt1119644/"} +{"d:Title": "Fox: Fringe", "d:Description": "Official network site. Show summary, cast details, episode guide, photograph gallery, full-length episode videos, message board, and show weblog.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fringe", "url": "http://www.fox.com/fringe/"} +{"d:Title": "Wikipedia: Fringe", "d:Description": "Article covers the premise of the series, characters, development and media information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/F/Fringe", "url": "http://en.wikipedia.org/wiki/Fringe_(TV_series)"} +{"d:Title": "Galidor: A Titles and Air Dates Guide", "d:Description": "Episode guide with cast information and dates of original airings.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Galidor", "url": "http://epguides.com/Galidor/"} +{"d:Title": "Galidor", "d:Description": "Lists credits and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Galidor", "url": "http://www.imdb.com/title/tt0310457/"} +{"d:Title": "TV.com: Galidor: Defenders of the Outer Dimension", "d:Description": "Episode guide, cast and crew information, and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Galidor", "url": "http://www.tv.com/shows/galidor-defenders-of-the-outer-dimension/"} +{"d:Title": "IMDB - \"Game of Thrones\" (2010)", "d:Description": "The IMDB page contains information on cast and crew.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://www.imdb.com/title/tt0944947/"} +{"d:Title": "HBO - Game of Thrones", "d:Description": "The official website for Game of Thrones on HBO, featuring videos, images, schedule information and episode guides.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://www.hbo.com/game-of-thrones"} +{"d:Title": "Game of Thrones Wiki", "d:Description": "Offers a collaborative community open to everyone.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://gameofthrones.wikia.com/"} +{"d:Title": "Winter Is Coming", "d:Description": "A blog site for news, rumors, casting information and speculation about the HBO series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://winteriscoming.net/"} +{"d:Title": "Watchers on the Wall", "d:Description": "A community for breaking news, TV series casting, and commentary.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://watchersonthewall.com/"} +{"d:Title": "Wikipedia", "d:Description": "The encycopedia details plot, production and reception of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "https://en.wikipedia.org/wiki/Game_of_Thrones"} +{"d:Title": "Making Game of Thrones", "d:Description": "The official blog for the series offers behind-the-scenes updates.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://www.makinggameofthrones.com/"} +{"d:Title": "Game of Thrones Viewer's Guide", "d:Description": "Offers official maps of the Seven Kingdoms and interactive family trees that capture the backgrounds of the characters and locations featured in the HBO series. [English / Spanish / Hodor]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://www.gameofthrones.com/"} +{"d:Title": "Washington Post - Valar Morghulis", "d:Description": "The Washington Post took a look back and noted every on-screen death from the first four seasons and presents all 456 in illustrated form, including who, how, why and where.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "https://www.washingtonpost.com/graphics/entertainment/game-of-thrones/"} +{"d:Title": "Dothraki", "d:Description": "The official site of the Dothraki language created by David J. Peterson for the series offers news, a forum and a wiki on languages in Westeros.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "http://www.dothraki.com/"} +{"d:Title": "A Song of Ice and Data", "d:Description": "Pursues the likelihood of series characters dying with arguments, statistics, and comparisons. Also features a map showing travels of series characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/Game_of_Thrones", "url": "https://got.show/"} +{"d:Title": "Corps: Hollywood Division", "d:Description": "Sounds, images, trivia, and quotes from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/GvsE", "url": "http://gvse.50megs.com/"} +{"d:Title": "Scott's GvsE Info Center", "d:Description": "Episode guide, cast information, articles and reviews, and photos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/GvsE", "url": "http://gvse.users.50megs.com/"} +{"d:Title": "Yahoo! Groups: GvsE", "d:Description": "Discussion of the series and its cast. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/GvsE", "url": "http://groups.yahoo.com/group/GvsE/"} +{"d:Title": "TV.com: Good vs Evil", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/G/GvsE", "url": "http://www.tv.com/shows/good-vs-evil/"} +{"d:Title": "Magrathea SFTV", "d:Description": "Detailed schedules and histories of past and current series, as well as a directory of sources for more information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Guides", "url": "http://www.sftv.org/"} +{"d:Title": "SciFiJunkie.Com", "d:Description": "Series information, episode lists, and cast lists for various shows. Databases for The Pretender, Quantum Leap, Earth 2, Red Dwarf, and Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Guides", "url": "http://scifijunkie.com/"} +{"d:Title": "Get Critical", "d:Description": "An archive of articles and commentary on shows including Star Trek, Hercules/Xena, X-Files, Andromeda, Dark Angel.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Guides", "url": "http://www.littlereview.com/getcritical/getcrit.htm"} +{"d:Title": "The Sci Fi Freak Site", "d:Description": "Reviews, cast lists and information about Science Fiction TV shows and movies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Guides", "url": "http://www.scififreaksite.com/"} +{"d:Title": "Garn's Guides", "d:Description": "Episode and cast guides of Science Fiction, Fantasy and animated TV shows.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Guides", "url": "http://garnsguides.com/"} +{"d:Title": "Harsh Realm @ PEIA", "d:Description": "News about the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Harsh_Realm", "url": "http://members.tripod.com/~PEIA/hrhome.htm"} +{"d:Title": "Harsh Realm Fan Webring", "d:Description": "Directory of sites about the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Harsh_Realm", "url": "http://www.webring.org/hub?ring=harshrealm"} +{"d:Title": "IMDb: Harsh Realm", "d:Description": "Internet Movie Database entry for the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Harsh_Realm", "url": "http://www.imdb.com/title/tt0182587/"} +{"d:Title": "TV.com: Harsh Realm", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Harsh_Realm", "url": "http://www.tv.com/shows/harsh-realm/"} +{"d:Title": "Andrew Paquette", "d:Description": "Official site for the comic book's illustrator. Includes examples of character design, animations, sketches, and paintings.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Harsh_Realm/Comic_Book", "url": "http://www.paqart.com/"} +{"d:Title": "James Hudnall", "d:Description": "Official site for the writer of the comic book. Information on projects like Halloween Comics, Espers, Age of Heroes, Shut Up and Die, and Devastator. Also, biography, bibliography, various ramblings and a preview gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Harsh_Realm/Comic_Book", "url": "http://thehud.com/"} +{"d:Title": "Yahoo! Groups: HRFic", "d:Description": "Mailing list for posting and discussion of fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Harsh_Realm/Fan_Fiction", "url": "http://groups.yahoo.com/group/hrfic/"} +{"d:Title": "Dangerous Universe: Heat Vision and Jack", "d:Description": "Offers a downloadable .zip file containing images from the pilot.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Heat_Vision_and_Jack", "url": "http://www.dangerousuniverse.com/2002/04/hv_j/index.asp"} +{"d:Title": "Caroline's Hercules Page", "d:Description": "Images, screensavers, collages, and games relating to Hercules, Xena, and Young Hercules.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules", "url": "http://www.angelfire.com/co/greekbard/"} +{"d:Title": "Mary Crawford's Vices", "d:Description": "Includes fan fiction and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules", "url": "http://www.squidge.org/~marycrawford/"} +{"d:Title": "Episode List : Hercules, The Legendary Journeys", "d:Description": "Episode guide, cast information, and lists of character appearances, writers, directors, and guest stars.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules", "url": "http://www.episodelist.com/site/index.php?go=shows.view&show_id=49"} +{"d:Title": "IMDb Listing - Hercules, The Legendary Journeys", "d:Description": "Includes filming locations, cast and crew, guest appearances, trivia, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules", "url": "http://www.imdb.com/title/tt0111999/"} +{"d:Title": "TV.com: Hercules: The Legendary Journeys", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules", "url": "http://www.tv.com/shows/hercules-the-legendary-journeys/"} +{"d:Title": "Kiari's Kevin Smith Corner", "d:Description": "Fan site dedicated to New Zealand actor, with images, links, news, and convention reports.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Characters/Ares", "url": "http://kiari.com/kevinsmith/"} +{"d:Title": "Ped's Ares (aka Kevin Smith) Page", "d:Description": "Offers photo galleries, voting booth, fan fiction, desktop wallpaper, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Characters/Ares", "url": "http://gaia.ecs.csus.edu/~sturdevk/ares/"} +{"d:Title": "BonaDea's Bodacious HomePage", "d:Description": "Offers fan fiction, character quizzes, links, and photographs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Characters/Iolaus", "url": "http://www.angelfire.com/tx/illusia/index.html"} +{"d:Title": "Iolausian Dimension", "d:Description": "Fan fiction, gallery, biography, collages, links, and Web ring.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Characters/Iolaus", "url": "http://members.tripod.com/~FamousGroupie3/index-2.html"} +{"d:Title": "Yahoo! Groups: Hercspoilers", "d:Description": "Messages include news, rumours and gossip about the actors who have appeared on the show, as well as announcements about new merchandise and conventions. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Chats_and_Forums", "url": "http://groups.yahoo.com/group/hercspoilers/"} +{"d:Title": "Yahoo! Groups: Herk Web", "d:Description": "Offers assistance to those wishing to build sites related to the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Herk_Web/"} +{"d:Title": "Domain of Quiet Wolf", "d:Description": "Collection of stories based on series characters. Most stories are a PG-13 rating.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Fan_Fiction", "url": "http://www.angelfire.com/pa3/quietwolf/"} +{"d:Title": "Skylark's Hercules Crossover Fan Fiction", "d:Description": "Specializes in crossover stories, including Hercules and Iolaus meeting Buffy the Vampire Slayer, Duncan MacLeod from Highlander, and the cast of Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Fan_Fiction", "url": "http://members.tripod.com/h_yvonne/"} +{"d:Title": "Hercules: The Virtual Seasons", "d:Description": "The legendary journeys continue with fan-written episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Fan_Fiction", "url": "http://members.tripod.com/op_prime/hercules/index.html"} +{"d:Title": "Delphi, the Hercules: The Legendary Journeys Fan Fiction Archive", "d:Description": "Large collection of fan submitted, original works.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Hercules/Fan_Fiction", "url": "http://www.squidge.org/delphi/"} +{"d:Title": "Jinjifore's Land of Highlander Synopses", "d:Description": "Transcripts of selected episodes, mostly the ones featuring Methos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander", "url": "http://www.yak.net/ian/jinjifore/"} +{"d:Title": "Jeff's Highlander Page", "d:Description": "Episode and character guides, Watcher timeline, reviews of the novels, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander", "url": "http://members.tripod.com/~JeffKarrde/HMP.html"} +{"d:Title": "The Highlander A-Z", "d:Description": "Series encyclopedia that includes timeline, episode guide, who's who of Immortals, and information on all the places Duncan MacLeod has traveled.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander", "url": "http://www.highlandera-z.co.uk/"} +{"d:Title": "Jinjifore's Land of Highlander Synopses", "d:Description": "Contains episode reviews of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander", "url": "http://eniac.yak.net/jinjifore/index.html"} +{"d:Title": "TV.com: Highlander", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander", "url": "http://www.tv.com/shows/highlander/"} +{"d:Title": "Bellchant Music, Inc.", "d:Description": "Series composer Roger Bellon's site includes a biography, RealAudio samples, FAQ about the music, and ordering information for the soundtrack.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Cast_and_Crew", "url": "http://www.bellchant.com/"} +{"d:Title": "The Marcus Testory Appreciation Site", "d:Description": "Dedicated to the actor who played Caspian, the fourth Horseman. Contains pictures, information, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters", "url": "http://members.tripod.com/~MTAS/index.html"} +{"d:Title": "The Raven and The Witch", "d:Description": "Picture galleries and actress and character biographies devoted to female immortals Amanda and Cassandra.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Amanda", "url": "http://members.tripod.com/~ravenwitch/"} +{"d:Title": "The Book of Darius", "d:Description": "Stories, histories, facts and fantasy, lore and legends related to the Immortal priest.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Darius", "url": "http://www.angelfire.com/film/bookofdarius/"} +{"d:Title": "Fitzcairn Manor", "d:Description": "Chronicles the character's life, including the fantastic women and fellow wanderers he has known.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Fitzcairn,_Hugh", "url": "http://members.tripod.com/~Highlandlass_/fitzcairn_manor.htm"} +{"d:Title": "Kilt Denial (A Branch of Clan Denial)", "d:Description": "Dedicated to the proposition that Connor is alive and well, depsite Highlander: Endgame. Includes photos, list of members, and shrine to Heather.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/MacLeod,_Connor", "url": "http://www.onholyground.net/kiltdenial.html"} +{"d:Title": "Fans for a Methos Series", "d:Description": "Message board, chat, and link to a mailing list supporting a campaign for new series featuring the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://methos69361.tripod.com/"} +{"d:Title": "The McMINT Asylum", "d:Description": "Pictures, links, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://www.angelfire.com/scifi2/macmint/"} +{"d:Title": "The Methos Galleries", "d:Description": "Pictures and screen captures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://members.tripod.com/cslatton/methos/methos.htm"} +{"d:Title": "The Camp of Horseman Death", "d:Description": "Picture galleries from episodes featuring the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://www.chaoticworks.com/methos/"} +{"d:Title": "Smitten With Methos", "d:Description": "Biography and information about episodes featuring the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://www.angelfire.com/tx4/alltaken/index.html"} +{"d:Title": "Yahoo! Groups: ROGlanders", "d:Description": "Message forum and chat for fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://groups.yahoo.com/group/roglanders/"} +{"d:Title": "Methos.org", "d:Description": "News, polls, biographical information, Adam Pierson homepage, fan fiction, art, convention details, and the Flash-animated Methos Chronicles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://www.methos.org/"} +{"d:Title": "Yahoo! Groups: Methos", "d:Description": "Discussion board that focues on fan fiction related to the character. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://tv.groups.yahoo.com/group/methos/"} +{"d:Title": "WebRing: Methos Addicts", "d:Description": "Lists sites with content focusing on the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://www.webring.org/hub?ring=methosaddict"} +{"d:Title": "WebRing : Methos", "d:Description": "Directory of sites that focus on content related to the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Methos", "url": "http://www.webring.org/hub?ring=macmint"} +{"d:Title": "The Stan Clan", "d:Description": "Tribute and top 10 lists.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Characters/Ryan,_Richie", "url": "http://www.hieran.com/sidekicks/stan.html"} +{"d:Title": "Hobert's Highlander Homepage", "d:Description": "Stories are focused on Richie Ryan.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Fan_Fiction", "url": "http://www.kevinrobnett.com/"} +{"d:Title": "Highlander Role Playing Game", "d:Description": "IRC play in the world of the Highlander movies and television series. Includes rules, information on how to join, and photos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Games/Roleplaying", "url": "http://members.tripod.com/~SkyD/"} +{"d:Title": "The Highlander Webring", "d:Description": "Lists sites with content related to both the movie and the television series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Web_Rings", "url": "http://www.webring.org/hub?ring=highland"} +{"d:Title": "WebRing: Secret Highlander Ring", "d:Description": "Directory of sites devoted to fan creativity inspired by the show, including fan art and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Web_Rings", "url": "http://www.webring.org/hub?ring=rimring"} +{"d:Title": "WebRing : Highlander Watchers", "d:Description": "List of members and information for joining.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander/Web_Rings", "url": "http://www.webring.org/hub?ring=watcherschron"} +{"d:Title": "The Ravenite Wolfe Pack", "d:Description": "Picture gallery, postcards and its own reading room containing various show related information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander_-_The_Raven", "url": "http://www.petshark.net/rfwp/"} +{"d:Title": "The R a v e n and The W i t c h", "d:Description": "Contains cast information, pictures and various links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander_-_The_Raven", "url": "http://members.tripod.com/~ravenwitch/framesindex.html"} +{"d:Title": "Episode List : Highlander - Raven", "d:Description": "Series overview, episode guide, cast information, list of guest stars and credits.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/H/Highlander_-_The_Raven", "url": "http://www.episodelist.com/shows/highlander-the-raven/24"} +{"d:Title": "Tv.com: The Immortal", "d:Description": "Contains cast and crew information, an episode guide, plus viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Immortal,_The", "url": "http://www.tv.com/shows/the-immortal/"} +{"d:Title": "The Invaders", "d:Description": "Episode guide, photos, WAV sounds, trivia, and chat. Includes information and pictures from the mini-series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invaders,_The", "url": "http://www.theinvaders.co.uk/"} +{"d:Title": "IMDb: Invaders, The", "d:Description": "Cast, crew, and production information for the 1995 mini-series starring Scott Bakula.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invaders,_The", "url": "http://www.imdb.com/title/tt0112018/"} +{"d:Title": "IMDb: Invaders, The", "d:Description": "Cast, crew, and production information for the series that aired 1967-1968.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invaders,_The", "url": "http://www.imdb.com/title/tt0061265/"} +{"d:Title": "TV.com: The Invaders", "d:Description": "Episode guide with original airdates and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invaders,_The", "url": "http://www.tv.com/shows/the-invaders/"} +{"d:Title": "IMDb: Invasion", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invasion", "url": "http://imdb.com/title/tt0460651/"} +{"d:Title": "Invisible Site", "d:Description": "Photo gallery, wallpapers, Invisible Man fan fiction, and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invisible_Man,_The", "url": "http://invisiblesite.freehosting.net/"} +{"d:Title": "Hacienda", "d:Description": "Images, sounds, series information, and fan fiction featuring Arnaud de Fohn.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invisible_Man,_The", "url": "http://iman.users5.50megs.com/"} +{"d:Title": "Quicksilver Mad: An Invisible Man Fan and RPG Site", "d:Description": "Character information, rules, and summary of the role playing game. Includes image galleries and sounds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invisible_Man,_The", "url": "http://www.angelfire.com/scifi/theinvisibleman/"} +{"d:Title": "EpisodeList: Invisible Man", "d:Description": "Episode descriptions, images, and quotations.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invisible_Man,_The", "url": "http://www.episodelist.com/shows/the-invisible-man/19"} +{"d:Title": "TV.com: The Invisible Man", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invisible_Man,_The", "url": "http://www.tv.com/shows/the-invisible-man/"} +{"d:Title": "Yahoo! Groups: Invisible Addicts", "d:Description": "Mailing list for discussion of the show and its actors. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Invisible_Man,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Invisible_Addicts/"} +{"d:Title": "The Unofficial Isis Appreciation Page", "d:Description": "News, episode guide with pictures, cast information, message board, and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Isis", "url": "http://www.angelfire.com/tv2/isis/home.html"} +{"d:Title": "Oh Mighty Isis: A Site for the Goddesses in Every Woman", "d:Description": "Photos, sound clip, episode list, and information on the Egyptian deity.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Isis", "url": "http://www.spiritualitea.com/isis/isistv.shtml"} +{"d:Title": "The Internet Movie Database (IMDb): Isis", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Isis", "url": "http://www.imdb.com/title/tt0072516/"} +{"d:Title": "TV.com: Isis", "d:Description": "Episode guide with original airdates, as well as cast and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/I/Isis", "url": "http://www.tv.com/shows/isis/"} +{"d:Title": "Geek Love: Jake Foley&Diane Hughes", "d:Description": "Dedicated to Jake/Diane. Includes fan fiction, cast and character biographies, mailing list, fanlisting and an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Jake_2.0", "url": "http://www.loony-archivist.com/geeklove"} +{"d:Title": "j20fans", "d:Description": "Includes cast biographies, episode guides, image galleries, news, forums, and articles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Jake_2.0", "url": "http://www.loony-archivist.com/j20"} +{"d:Title": "TV.com: Jake 2.0", "d:Description": "Contains cast and crew information, an episode guide, news and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Jake_2.0", "url": "http://www.tv.com/shows/jake-20/"} +{"d:Title": "Abyss: Jeremiah", "d:Description": "Cast and character profiles, news, image galleries, and series overview and FAQ.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Jeremiah", "url": "http://abyss.hubbe.net/jeremiah/"} +{"d:Title": "Yahoo! Groups: Jeremiah Series", "d:Description": "Discussion forum, chat room, and files area for uploads of photos. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Jeremiah", "url": "http://groups.yahoo.com/group/Jeremiah_Series/"} +{"d:Title": "EpisodeList.com: Jeremiah", "d:Description": "Episode guide, photos, and list of regular writers for the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Jeremiah", "url": "http://www.episodelist.com/shows/jeremiah/72"} +{"d:Title": "Johnny Sokko and His Flying Robot", "d:Description": "Series history and episode information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Johnny_Sokko_and_His_Flying_Robot", "url": "http://home.alphalink.com.au/~roglen/johnny_sokko.htm"} +{"d:Title": "IMDb: Jaianto robo", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/Johnny_Sokko_and_His_Flying_Robot", "url": "http://www.imdb.com/title/tt0170962/"} +{"d:Title": "USATODAY.com: 'John Doe' is a smart premise", "d:Description": "Review of the show that praises an early episode but raises questions about the series' potential longevity.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/John_Doe", "url": "http://www.usatoday.com/life/television/reviews/2002-09-18-john-doe_x.htm"} +{"d:Title": "Yahoo! Groups: John Doe Over 30", "d:Description": "Discussion list for adults that may include news postings. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/John_Doe", "url": "http://groups.yahoo.com/group/john_doe_over_30/"} +{"d:Title": "Yahoo! Groups: JohnDoe DominicPurcell", "d:Description": "Members post discussion, spoilers, and fan fiction related to the series and the actor. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/John_Doe", "url": "http://groups.yahoo.com/group/JohnDoe_DominicPurcell/"} +{"d:Title": "IMDb: John Doe", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/John_Doe", "url": "http://www.imdb.com/title/tt0320038/"} +{"d:Title": "TV.com: John Doe", "d:Description": "Episode and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/J/John_Doe", "url": "http://www.tv.com/shows/john-doe/"} +{"d:Title": "Kamen Rider V3", "d:Description": "Episode guide and information about Japanese Tokusatsu Hero Kamen Rider V3.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Kamen_Rider", "url": "http://www.kamenrider.net/"} +{"d:Title": "Igadevil's Kamen Rider Page", "d:Description": "Overview and cast information for the first two series, including details of weapons, motocycles, villains, and combat techniques.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Kamen_Rider", "url": "http://www.igadevil.com/"} +{"d:Title": "WebRing: Kamen Rider", "d:Description": "Provides links to sites with series-related content.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Kamen_Rider", "url": "http://www.webring.org/hub?ring=kamenrider"} +{"d:Title": "IMDb: Kamen Raidaa", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Kamen_Rider", "url": "http://www.imdb.com/title/tt0156214/"} +{"d:Title": "Knight Rider Online", "d:Description": "The A to X of the show, rumors, FAQ, episode summaries, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Knight_Rider", "url": "http://www.knightrideronline.com/"} +{"d:Title": "Team Knight Rider", "d:Description": "Images and sound files from individual episodes, and play Knight Rider Survivor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Knight_Rider", "url": "http://teamknightrider.com/"} +{"d:Title": "Knightshade's Garden", "d:Description": "Fan fiction and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Knight_Rider", "url": "http://www.dknightshade.homestead.com/"} +{"d:Title": "Steven's Knight Rider Samples", "d:Description": "Archive of sounds from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Knight_Rider", "url": "http://homepages.paradise.net.nz/~acorn/kr/"} +{"d:Title": "Knight Rider Phase Two", "d:Description": "Fan fiction based on the original series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Knight_Rider", "url": "http://www.sundive.co.uk/knightrider/"} +{"d:Title": "WebRing: Knight Rider", "d:Description": "Directory of sites with content related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Knight_Rider", "url": "http://www.webring.org/hub?ring=tkr"} +{"d:Title": "TV.com: Knight Rider", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Knight_Rider", "url": "http://www.tv.com/shows/knight-rider-1982/"} +{"d:Title": "IMDb: Kyle XY", "d:Description": "Show synopsis, cast biographies, episode list, user comments, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/K/Kyle_XY", "url": "http://www.imdb.com/title/tt0756509/"} +{"d:Title": "Land of the Giants", "d:Description": "Cast, clubs, conventions, episode guides, interviews, news, links, and behind the scenes information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Giants", "url": "http://www.iann.net/giants/"} +{"d:Title": "Land of the Giants Log Web Site", "d:Description": "Email forum for discussion of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Giants", "url": "http://www.giantslog.com/"} +{"d:Title": "Deanna Lund", "d:Description": "Website for the actress Deanna Lund, who starred in the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Giants", "url": "http://www.deannalund.com/"} +{"d:Title": "TV.com: Land of the Giants", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Giants", "url": "http://www.tv.com/shows/land-of-the-giants/"} +{"d:Title": "'70s Live Action Kid Vid: Land of the Lost", "d:Description": "Cast and character information, series summary, and video captures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Lost", "url": "http://www.70slivekidvid.com/lotl.htm"} +{"d:Title": "Wikipedia: Land of the Lost", "d:Description": "Detailed encyclopedia article on the series and its setting.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Lost", "url": "http://en.wikipedia.org/wiki/Land_of_the_Lost_(1974_television_series)"} +{"d:Title": "TV.com: Land of the Lost (1991)", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Lost", "url": "http://www.tv.com/shows/land-of-the-lost-1991/"} +{"d:Title": "TV.com: Land of the Lost (1970's)", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Land_of_the_Lost", "url": "http://www.tv.com/shows/land-of-the-lost/"} +{"d:Title": "Hanging with Michael McManus", "d:Description": "Comic captions, vidcaps, dolls, convention information and multimedia downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.thefrey.com/"} +{"d:Title": "Cat's LexxLinx", "d:Description": "Links, news and pictures for and about the series and its fans.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.lexxlinx.com/"} +{"d:Title": "The Official Lexx Fan Club", "d:Description": "The only officially sanctioned fan club, with worldwide membership. Based in the UK.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.lexxfanclub.org/"} +{"d:Title": "Lexx", "d:Description": "Contains news, pictures, cast information, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.angelfire.com/scifi/LexxFan/"} +{"d:Title": "Lexxpos\u00e9", "d:Description": "Character guides and episode photo guides.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.angelfire.com/mt/wumps/lexx/lexxmain.htm"} +{"d:Title": "LexxLists", "d:Description": "Humour site featuring top 10 lists based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://lexxlists.tripod.com/LLHome.htm"} +{"d:Title": "Lexx Blows Up a Planet", "d:Description": "Pictures of the space ship destroying a world.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.angelfire.com/scifi/LexxBlowsUpAPlanet/"} +{"d:Title": "The Temple of Xev", "d:Description": "Devoted to the character played by Xenia Seeberg. Includes psychological evaluations, actress biography, sound and video clips, pictures, news, and downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.angelfire.com/scifi/xev/"} +{"d:Title": "Wolvie's Lexx Lair", "d:Description": "Pictures, polls, sounds, wallpapers, and character profiles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.angelfire.com/mt/gatheredreckoning/lexxmain.html"} +{"d:Title": "The Lexx Domain", "d:Description": "Includes episode guide, image gallery, quotes, and song lyrics.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://scifi.myrealm.co.uk/lexx.htm"} +{"d:Title": "IMDb: Lexx", "d:Description": "Links to cast and crew, guest appearances, fan comments, external reviews, award nominations, memorable quotes, and alternate versions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://imdb.com/title/tt0178149/combined"} +{"d:Title": "Lexx Museum", "d:Description": "Image gallery and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.lexxmuseum.com/"} +{"d:Title": "Lexx Zone", "d:Description": "Forum, multimedia clips, wallpapers, photo gallery, news and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.lexxzone.com/"} +{"d:Title": "TV.com: Lexx", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lexx", "url": "http://www.tv.com/shows/lexx/"} +{"d:Title": "Lois&Clark Fanfic Archive", "d:Description": "More than 1,200+ fan-written stories appear in this archive that includes every genre and theme.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lois_&_Clark_-_The_New_Adventures_of_Superman/Fan_Fiction", "url": "http://www.lcfanfic.com/"} +{"d:Title": "Odd Men Out", "d:Description": "Fan fiction archive. Also includes artwork and link to mailing list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://grilled-cheese.org/gunmen/"} +{"d:Title": "The Magic Bullet", "d:Description": "News, interviews, fan fiction, episode guide, and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://www.angelfire.com/geek/magicbullet/"} +{"d:Title": "The Lone Gunmen: Series", "d:Description": "Episodes and air dates, personal reviews, and some multimedia files.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://www.angelfire.com/ks2/gunmen/index.html"} +{"d:Title": "LonegunCatWoman's Lonegunmen", "d:Description": "Cast and character profiles, photos, wallpapers for download, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://loneguncatwoman.tripod.com/LonegunCatWoman/"} +{"d:Title": "Red Wolf's Lone Gunmen Episode Guide", "d:Description": "Includes summaries, quotes, and crosslinked cast list for tracking episodes in which actors appeared.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://www.redwolf.com.au/lgm/"} +{"d:Title": "Slashdot: Lone Gunmen Get the Axe From Fox", "d:Description": "A discussion thread devoted to the demise of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://slashdot.org/article.pl?sid=01/05/17/1720240"} +{"d:Title": "IMDb: The Lone Gunmen", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://www.imdb.com/title/tt0243069/"} +{"d:Title": "TV.com: The Lone Gunmen", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lone_Gunmen,_The", "url": "http://www.tv.com/shows/the-lone-gunmen/"} +{"d:Title": "The Lost in Space Galaxy", "d:Description": "Pictures, fan fiction, multimedia, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://www.virtualheartland.com/lostinspacegalaxy/"} +{"d:Title": "Lost In Space Classic TV", "d:Description": "Includes pictures and sounds to download, an arcade game, exclusive articles about the cast and episodes, and series-related merchandise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://www.lostinspacetv.com/"} +{"d:Title": "The Irwin Allen News Network: Lost in Space", "d:Description": "Contains cast pages, clubs, conventions, episode guides, interviews, news, and behind the scenes information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://www.iann.net/lis/"} +{"d:Title": "Star Tricked: The Next Perpetration: There's No Place Like Home", "d:Description": "Fan fiction that combines the series with Star Trek, in which Dr. Smith finds romance, the Robot gets a name, and Will finds a career in Starfleet.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://www.heptune.com/StarTr12.html"} +{"d:Title": "Lost in CyberSpace", "d:Description": "Pictures of the show and behind-the-scenes activities, convention dates, and bloopers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://kroderick.50megs.com/"} +{"d:Title": "Yahoo! Groups: LIS4EVER", "d:Description": "Mailing list for discussion of the show, the cast, and series-related collectibles. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://groups.yahoo.com/group/lis4ever/"} +{"d:Title": "Celso's Lost in Space", "d:Description": "Brief description of the show, cast list, and a short biography of Guy Williams.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://members.tripod.com/~CelsoS/index.html"} +{"d:Title": "B9 Robot Resource", "d:Description": "Chronicles a fan's efforts to build a full-size B9 Robot.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://www.b9robotresource.com/"} +{"d:Title": "TV.com: Lost in Space", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space", "url": "http://www.tv.com/shows/lost-in-space/"} +{"d:Title": "Bob May's Home Page", "d:Description": "Dedicated to the inside the famous robot. Includes biography, filmography, photos, and contact information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_in_Space/Cast_and_Crew", "url": "http://robot-b9.com/index.html"} +{"d:Title": "Scifiguy's Sir Arthur Conan Doyle's \"The Lost World\" Television Fanpage", "d:Description": "Image gallery, message board, and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_World,_The", "url": "http://www.angelfire.com/scifi2/lostworld/"} +{"d:Title": "The Lost World", "d:Description": "Information about filming the BBC TV series based on Sir Arthur Conan Doyle's book of the same name. Includes news, cast list, filming location details, and related links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_World,_The", "url": "http://www.thelostworld.co.nz/"} +{"d:Title": "My Little Page: Sir Arthur Conan Doyle's The Lost World", "d:Description": "Episode guide, character and actor information, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_World,_The", "url": "http://www.solitaryphoenix.com/TheLostWorld.html"} +{"d:Title": "The First Un-Official Lost World Page", "d:Description": "Episode guide, fan fiction, images, polls, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_World,_The", "url": "http://thelostworld.50megs.com/"} +{"d:Title": "WebRing: The Lost World", "d:Description": "Lists sites with content related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_World,_The", "url": "http://www.webring.org/hub?ring=lostworldirc"} +{"d:Title": "TV.com: The Lost World", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_World,_The", "url": "http://www.tv.com/shows/the-lost-world/"} +{"d:Title": "YahooGroups: NedFlagWavers", "d:Description": "Discussion and fan fiction about Ned Malone of The Lost World.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/L/Lost_World,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/NedFlagWavers/"} +{"d:Title": "Millennium Screen Saver for Windows", "d:Description": "Available for download. Includes installation instructions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Millennium", "url": "http://www.fourmilab.ch/milscrsv/"} +{"d:Title": "Millennium: In Memory", "d:Description": "Contains episode list, list of songs heard in the show, photos, quotes, and credits for many episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Millennium", "url": "http://millenniumseries.tripod.com/index.htm"} +{"d:Title": "This Is Who We Are (TIWWA)", "d:Description": "Message board featuring discussion, exclusive interviews, and information for fans.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Millennium", "url": "http://www.tiwwa.info/"} +{"d:Title": "TV.com: Millennium", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Millennium", "url": "http://www.tv.com/shows/millennium/"} +{"d:Title": "GothykReddz Miracles", "d:Description": "Includes images and screen caps from episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Miracles", "url": "http://gothykreddz_miracles.tripod.com/"} +{"d:Title": "TV.com: Miracles", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Miracles", "url": "http://www.tv.com/shows/miracles/"} +{"d:Title": "Marty's Web Pages: Monkey", "d:Description": "Episode guide and credits for the Japanese show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://www.martinday.co.uk/monkey.htm"} +{"d:Title": "Monkey Web", "d:Description": "Cast and crew information, downloads, song lyrics, and episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://www.angelfire.com/geek/monkeymagic/"} +{"d:Title": "Monkey Magic", "d:Description": "Information about the cult television series, character guide, and downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://www.monkeymania.co.uk/monkeymagic/"} +{"d:Title": "Monkey Heaven", "d:Description": "Images, sound files, mailing list, movie files, episode summaries, message board, and DVD news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://www.monkeyheaven.com/"} +{"d:Title": "Steven McCombe's Monkey Gallery", "d:Description": "Cartoon drawings of the characters from the show and a screensaver.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://www.combey.com/monkey/monkey_gallery.htm"} +{"d:Title": "Monkey (TV series) - Wikipedia, the free encyclopedia", "d:Description": "Overview of the TV series, with plot summary and cast details.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://en.wikipedia.org/wiki/Monkey_(television)"} +{"d:Title": "Monkey Message Board", "d:Description": "Discussion board containing various forums for discussing Monkey.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://s9.invisionfree.com/Monkey_TV_Show"} +{"d:Title": "Monkey - Great Sage Equal of Heaven", "d:Description": "Show overview, episode guide, cast biographies, character guide, photograph gallery and audio clips.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://www.greatsage.net/"} +{"d:Title": "TV.com: Monkey", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Monkey", "url": "http://www.tv.com/shows/monkey/"} +{"d:Title": "IMDb: Mortal Kombat: Conquest", "d:Description": "Cast, crew and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mortal_Kombat_-_Conquest", "url": "http://www.imdb.com/title/tt0170982/"} +{"d:Title": "Wikipedia: Mortal Kombat - Conquest", "d:Description": "Offers plot, characters, DVD availability and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mortal_Kombat_-_Conquest", "url": "http://en.wikipedia.org/wiki/Mortal_Kombat:_Conquest"} +{"d:Title": "Total Mortal Kombat: Mortal Kombat - Conquest", "d:Description": "Contains storyline and episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mortal_Kombat_-_Conquest", "url": "http://www.totalmortalkombat.com/storylines/conquest/index.php"} +{"d:Title": "Epguides.com: Mutant X", "d:Description": "Lists titles and air dates. Includes brief synopses and cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://epguides.com/MutantX/"} +{"d:Title": "Proxy Blue", "d:Description": "Cast and character profiles, episode guide, image gallery, and speculation about relationships that might develop among the regular characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://proxy_blue.tripod.com/index.htm"} +{"d:Title": "Yahoo! Groups: Mutant X Fan Fiction", "d:Description": "Members post stories and parts of stories. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://groups.yahoo.com/group/mutantxfic/"} +{"d:Title": "Mutant X Logs", "d:Description": "Lists birthdays for cast and crew.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://members.tripod.com/mutant-x-logs/index.htm"} +{"d:Title": "The Sanctuary Network", "d:Description": "Character and actor profiles, episode guide, news, spoilers, and image galleries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://the_sanctuary_x.tripod.com/"} +{"d:Title": "Yahoo! Groups: MutantX TV RPG", "d:Description": "A role-playing game based on characters and concepts from the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://groups.yahoo.com/group/mutantxtvrpg/"} +{"d:Title": "Altered DNA", "d:Description": "Character information, episode guide, cast biographies, image gallery, articles, and downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://www.angelfire.com/theforce/ofmutants/"} +{"d:Title": "Welcome to Mutant X", "d:Description": "Fan fiction, wallpapers, information on New Mutant types, polls, and series premise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://com4.runboard.com/bwelcometomutantx"} +{"d:Title": "IMDb: Mutant X", "d:Description": "Includes information on the cast, crew, and production company, as well as a description of the series premise and viewer reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://www.imdb.com/title/tt0283203/"} +{"d:Title": "TV.com: Mutant X", "d:Description": "Episode guide with plot summaries, cast and crew information, images, and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X", "url": "http://www.tv.com/shows/mutant-x/"} +{"d:Title": "Matters Masonesque&More", "d:Description": "Profile, essays, fan fiction, forums, and trivia focused on Mason Eckhart.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X/Characters", "url": "http://www.masonesque.net/"} +{"d:Title": "Yahoo! Groups : Mutant X UK-Club", "d:Description": "Discussion and fan fiction. Spoilers for episodes not yet aired in Great Britain are prohibited. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Mutant_X_UK-Club/"} +{"d:Title": "Yahoo! Groups: Mutant X Homebase", "d:Description": "Chat and discussion forum for fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X/Chats_and_Forums", "url": "http://groups.yahoo.com/group/mutantxhomebase/"} +{"d:Title": "Yahoo! Groups: Mutant X TV", "d:Description": "Message board and chat for series fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X/Chats_and_Forums", "url": "http://groups.yahoo.com/group/mutantxtv/"} +{"d:Title": "WebRing: Mutant X Logs", "d:Description": "Directory of sites with content related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X/Web_Rings", "url": "http://www.webring.org/hub?ring=mutantxlogs"} +{"d:Title": "WebRing: Mutant X", "d:Description": "Links together sites focused on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mutant_X/Web_Rings", "url": "http://www.webring.org/hub?ring=mutantx1"} +{"d:Title": "Mysterious Ways Fan Page", "d:Description": "Photos, episode guide, video, message board, mailing list, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mysterious_Ways", "url": "http://www.angelfire.com/wa/pasdar/mysterious.html"} +{"d:Title": "TV.com: Mysterious Ways", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mysterious_Ways", "url": "http://www.tv.com/shows/mysterious-ways/"} +{"d:Title": "MST3K.org", "d:Description": "Features DVD episodes and full season sets of unreleased episodes, news, sounds, videos, images, and DVD trading.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.mst3k.org/"} +{"d:Title": "Painstick's MST3K Spotlight", "d:Description": "Features selected episodes. Includes sound and picture downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.painstick.com/mst_spotlight.htm"} +{"d:Title": "Turtle Pond", "d:Description": "Image gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.angelfire.com/anime2/turtlepond/mst3kpics.html"} +{"d:Title": "MSTIES Domain", "d:Description": "Tape trading, bot building, fan fiction, Gateway convention photos, props, and information on fan-produced songs and movies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.astroreverb.com/"} +{"d:Title": "The Hand That Time Forgot", "d:Description": "Article on episode 424, Manos: The Hands of Fate. Includes an interview with members of the film's original crew.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://jophan.org/mimosa/m18/brandt.htm"} +{"d:Title": "Satellite News", "d:Description": "Official fan site, with news of cast activity, DVD releases, show history, interviews, episode guides, and trader lists.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.mst3kinfo.com/"} +{"d:Title": "MST3K Song Index", "d:Description": "Collection of lyrics from show songs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://mst3k.wayfellows.com/"} +{"d:Title": "The Bot Cave", "d:Description": "Features step-by-step instructions for building all four robots: Crow, Servo, Gypsy, Cambot. Also sells parts and fully completed units.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.joecrow.com/botzmain.htm"} +{"d:Title": "The MST3K Video Guide", "d:Description": "Index of videos of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.dohtem.com/mst3k/"} +{"d:Title": "Mystery Science Theater 3000", "d:Description": "Official site from producer Jim Mallon. featuring original animated shorts starring the 'bots, classic host segments from the original show, and a store offering official merchandise.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.mst3k.com/"} +{"d:Title": "Too Much Information: The Annotated MST3K", "d:Description": "An attempt to explain the many, many pop culture references in the series and the movie.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.doctorwhochronology.com/mst3ktmi/tmi.htm"} +{"d:Title": "MST3K Wiki", "d:Description": "Allows users to add comments, content, and additional pages to collection of details about each episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://mst3k.wikifoundry.com/"} +{"d:Title": "TV.com: Mystery Science Theater 3000", "d:Description": "Contains cast and crew information, as well as an episode guide and viewer comments and reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000", "url": "http://www.tv.com/shows/mystery-science-theater-3000/"} +{"d:Title": "Stinkburger Inc.", "d:Description": "Official site with comedy, music, animation, mp3s, and essays by writer J. Elvis Weinstein", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Cast_and_Crew", "url": "http://www.stinkburger.com/"} +{"d:Title": "Kevin Murphy", "d:Description": "Official online journal of Kevin Murphy, voice of Tom Servo", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Cast_and_Crew", "url": "http://www.kevinwmurphy.com/"} +{"d:Title": "Mighty Jack's MST3K Cheese-O-Rama!", "d:Description": "A message board for news, discussion, and reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Chats_and_Forums", "url": "http://s10.invisionfree.com/MST3K_Cheesearama/index.php?act=idx"} +{"d:Title": "Yahoo! Groups : MST3K", "d:Description": "Moderated discussion group with sections for files, chat, messages, and photos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/mst3k/"} +{"d:Title": "Mystery Science Theater FTP Fan Fiction", "d:Description": "Collection of stories available for download. [FTP required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Fan_Fiction", "url": "ftp://ftp.ee.pdx.edu/pub/mst3k/misties/"} +{"d:Title": "Fanvid and Live-performance Database", "d:Description": "Collection of information concerning amateur video productions and live performances of, or resembling, the television show Mystery Science Theater 3000.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Fan_Fiction", "url": "http://bindingpolymer.com/mst3kfanvids/legacy/index.html"} +{"d:Title": "MST3K: The Magic Expansion Set", "d:Description": "Expansion cards for Magic the Gathering are available for download. Additional MST3K themed sets include \"Jonny Longtorso\" and \"Torgo\".", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Fun_and_Games", "url": "http://www.broucek.net/mtg_mst3k/"} +{"d:Title": "MST-HomeGame", "d:Description": "Guide to playing MST3K in your own home using bad cable movies and IRC.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Fun_and_Games", "url": "http://www.homegame.org/"} +{"d:Title": "Incognito Cinema Warriors XP", "d:Description": "Heavy metal and professionally-produced version of MST3K with flesh-eating zombies who force Rick and his wise-cracking robot pals to watch horrible movies in the Cine-A-Sorrow Theater.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Similar_Riffing_Projects", "url": "http://www.icwxp.com/"} +{"d:Title": "Torgocorps", "d:Description": "Episode guide and list of tapes for trading.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Trading", "url": "http://www.angelfire.com/tv/torgocorps/"} +{"d:Title": "CheesyFlix", "d:Description": "Offering Mystery Science Theater 3000 episodes, classic movies, and old time radio shows.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Trading", "url": "http://www.cheesyflix.com/"} +{"d:Title": "Mystery Science Theater 3000 Web Ring", "d:Description": "Directory of sites related to the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/Mystery_Science_Theater_3000/Web_Rings", "url": "http://www.webring.org/hub?ring=mst3000"} +{"d:Title": "IMDb.com: MythQuest (2001)", "d:Description": "Cast, crew, reviews, plot summary, comments, discussion, taglines, trailers, posters, photos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/MythQuest", "url": "http://www.imdb.com/title/tt0273374/"} +{"d:Title": "Pittsburgh Post-Gazette: 'MythQuest' a fantasy worth watching", "d:Description": "Article about the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/MythQuest", "url": "http://old.post-gazette.com/tv/20020414owenp2.asp"} +{"d:Title": "Fuck Yeah, MythQuest", "d:Description": "Fan weblog. Includes images and quotes from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/MythQuest", "url": "http://fuckyeahmythquest.tumblr.com/"} +{"d:Title": "Honolulu Star-Bulletin:On an isle quest", "d:Description": "Article about an attempt to film the show in Hawaii.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/M/MythQuest", "url": "http://archives.starbulletin.com/2004/04/11/features/story1.html"} +{"d:Title": "IMDb: NightMan", "d:Description": "Production, cast, and crew information, as well as list of filming locations and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/N/NightMan", "url": "http://www.imdb.com/title/tt0128886/"} +{"d:Title": "TV.com: NightMan", "d:Description": "Cast and production information, as well as episode list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/N/NightMan", "url": "http://www.tv.com/shows/nightman/"} +{"d:Title": "IMDb: Night Visions", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/N/Night_Visions", "url": "http://www.imdb.com/title/tt0247120/"} +{"d:Title": "TV.com: Night Visions", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/N/Night_Visions", "url": "http://www.tv.com/shows/night-visions/"} +{"d:Title": "'Odyssey 5,' Worthy Heir of 'X Files'", "d:Description": "Review of the series from Media Life Magazine.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Odyssey_5", "url": "http://www.medialifemagazine.com/news2002/jul02/jul01/2_tues/news3tuesday.html"} +{"d:Title": "Seductive Story Makes 'Odyssey 5' Worth a Test Flight", "d:Description": "Review of the series from the Seattle Post-Intelligencer.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Odyssey_5", "url": "http://www.seattlepi.com/ae/tv/article/Seductive-story-makes-Odyssey-5-worth-a-test-1089733.php"} +{"d:Title": "IMDb: Odyssey 5", "d:Description": "Cast, crew, and production information.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Odyssey_5", "url": "http://www.imdb.com/title/tt0318236/"} +{"d:Title": "TV.com: Odyssey 5", "d:Description": "Episode guide with original airdates, as well as links to cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Odyssey_5", "url": "http://www.tv.com/shows/odyssey-5/"} +{"d:Title": "Epguides: Other World", "d:Description": "Features a full episode guide, cast list, and original air dates.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Otherworld", "url": "http://www.epguides.com/Otherworld/"} +{"d:Title": "Inner Mind Guides: Otherworld", "d:Description": "Episode guide features a brief synopsis, history of the show, and a full cast and production list.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Otherworld", "url": "http://www.innermind.com/myguides/guides/otherw.htm"} +{"d:Title": "Internet Movie Database: Otherworld", "d:Description": "Features complete list of the cast and crew of the show. Also includes a plot outline, original release dates, and list of related links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Otherworld", "url": "http://www.imdb.com/title/tt0088587/combined"} +{"d:Title": "TV.com: Otherworld", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Otherworld", "url": "http://www.tv.com/shows/otherworld/"} +{"d:Title": "The Outer Limits at the Inner Mind", "d:Description": "Episode guides, links to merchandise, and background information about both the 1960's and 1990's editions of the Outer Limits.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Outer_Limits,_The", "url": "http://www.innermind.com/outerlimits/"} +{"d:Title": "TV.com: The Outer Limits", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/O/Outer_Limits,_The", "url": "http://www.tv.com/shows/the-outer-limits/"} +{"d:Title": "Planet of the Apes", "d:Description": "Episode guide and information for the television series from the 70s.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Planet_of_the_Apes", "url": "http://www.angelfire.com/pa2/trekker/POTA.html"} +{"d:Title": "TV.com: Planet of the Apes", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Planet_of_the_Apes", "url": "http://www.tv.com/shows/planet-of-the-apes/"} +{"d:Title": "New Jersey Legacy House", "d:Description": "Links to series-related sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy", "url": "http://www.angelfire.com/tv2/nj3/"} +{"d:Title": "Yahoo! Groups: Legacy Journals", "d:Description": "General discussion about the series and the actors. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy", "url": "http://groups.yahoo.com/group/legacy-journals/"} +{"d:Title": "The Precepts Ring", "d:Description": "A webring for fansites about anything related to the television series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy", "url": "http://legacyweb.com/preceptsring/"} +{"d:Title": "LegacyWeb", "d:Description": "Episode Guide, information and news about the series, cast and crew, chat room, and online series bible.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy", "url": "http://www.legacyweb.com/"} +{"d:Title": "IMDb: Poltergeist: The Legacy", "d:Description": "Features a complete cast and crew listing, plot summary, viewer comments, and other information on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy", "url": "http://www.imdb.com/title/tt0115317/"} +{"d:Title": "TV.com: Poltergeist: The Legacy", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy", "url": "http://www.tv.com/shows/poltergeist-the-legacy/"} +{"d:Title": "IMDb: Kristin Lehman", "d:Description": "Biography and filmography of the actor (\"Kristin Adams\").", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0005138/"} +{"d:Title": "IMDb: Alexandra Purvis", "d:Description": "Biography and filmography of the actor (\"Katherine 'Kat' Corrigan\").", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0701015/"} +{"d:Title": "IMDb: Daniel J. Travanti", "d:Description": "Biography and filmography of the actor (\"William Sloan\").", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0871240/"} +{"d:Title": "IMDb: Patrick Fitzgerald", "d:Description": "Biography and filmography for the actor (\"Father Philip Callaghan\").", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0280353/"} +{"d:Title": "IMDb: Robbi Chong", "d:Description": "Biography and filmography of the actor (\"Alexandra Moreau\").", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0159072/"} +{"d:Title": "LegacyWeb Poltergeist The Legacy Fan Fic Library", "d:Description": "Stories arranged by author, with submission guidelines and fan fiction challenges.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy/Fan_Fiction", "url": "http://legacyweb.com/fanfic_library/"} +{"d:Title": "The 'Original' Paradise Drive", "d:Description": "Large collection of stories by author Jay Brown. Includes links to two additional sites featuring the author's work.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Poltergeist_-_The_Legacy/Fan_Fiction", "url": "http://paradisedrive.tripod.com/"} +{"d:Title": "Genvid Project", "d:Description": "News, episode summaries, interviews, video clips, press information, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Pretty_Guardian_Sailor_Moon", "url": "http://www.genvid.com/"} +{"d:Title": "Sailor Dream: A Pretty Guardian Sailormoon Guide", "d:Description": "Contains episode guide, character and cast information, news, pictures, links, music, and forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Pretty_Guardian_Sailor_Moon", "url": "http://www.sailordream.com/"} +{"d:Title": "Yahoo! Groups: seeramuun", "d:Description": "Discussion group with message archives, video clips, and photos for members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Pretty_Guardian_Sailor_Moon", "url": "http://groups.yahoo.com/group/seeramuun/"} +{"d:Title": "Prey: An International Passion", "d:Description": "Series overview, photographs, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prey", "url": "http://www.angelfire.com/hi2/PreyInternational/"} +{"d:Title": "TV.com: Prey", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prey", "url": "http://www.tv.com/shows/prey/"} +{"d:Title": "Kipp Teague's RetroWeb - Classic Television: The Prisoner", "d:Description": "Contains series overview, credits, image galleries, trivia, details of a missing scene from 'Fall Out', and how to join the \"Number-Six\" Group", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.retroweb.com/prisoner.html"} +{"d:Title": "Fusion Anomaly. The Prisoner", "d:Description": "Prisoner episode guide with narratives and permanently morphing non-Prisoner nodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://fusionanomaly.net/prisoner.html"} +{"d:Title": "Arvin W. Casas' The Village", "d:Description": "Interactive map of The Village with photos and screen captures from key scenes and related sounds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.arvincasas.com/prisoner.html"} +{"d:Title": "The Prisoner FAQ", "d:Description": "Frequently asked questions about the series. Last updated 1995. Visit the alt.tv.prisoner newsgroup (link at foot of this page) for current cutting-edge FAQ, posted periodically.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.faqs.org/faqs/tv/the-prisoner/part1/"} +{"d:Title": "Six Of One Information (six of one info)", "d:Description": "Information about the decline of The Prisoner Society, including its alleged illegal and immoral practices.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.sixofone-info.co.uk/"} +{"d:Title": "Le Prisonnier (The Prisoner)", "d:Description": "Overview of the series, images, photo gallery and sounds. Bilingual French and English.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://mapage.noos.fr/gc12/"} +{"d:Title": "The Unmutual", "d:Description": "Breaking news, updates and reviews from the sphere of Patrick McGoohan's The Prisoner. Includes Portmeirion Conventions, Location Sites Tour, Galleries, Series Info, Event details, including latest Patrick McGoohan projects.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.theunmutual.co.uk/"} +{"d:Title": "Danger Man, Secret Agent, and The Prisoner", "d:Description": "Explores the theory that the character of John Drake in the two earlier shows was the never named British spy in The Prisoner.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.poobala.com/dangersecretprisoner.html"} +{"d:Title": "The Prisoner Online", "d:Description": "Includes episode guides, wallpapers, ringtones, fonts, a photo gallery and cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.theprisoneronline.com/"} +{"d:Title": "BBC NEWS about The Prisoner 'set for TV return'", "d:Description": "Details from Broadcast magazine about a planned updated version of The Prisoner.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://news.bbc.co.uk/1/hi/entertainment/4447216.stm"} +{"d:Title": "TV.com: The Prisoner", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The", "url": "http://www.tv.com/shows/the-prisoner/"} +{"d:Title": "1998 Portmeiricon", "d:Description": "Peter Dunn's report on the 1998 Portmeiricon, with many interesting details and tidbits of information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The/Conventions", "url": "http://www.warwick.ac.uk/services/publicity/prisoner/index.htm"} +{"d:Title": "Portmeiricon", "d:Description": "Six Of One convention details with report and pictures from 2003 event and plans for 2005.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The/Conventions", "url": "http://www.portmeiricon.com/"} +{"d:Title": "PM2005 Portmeirion Convention", "d:Description": "PM2005 - an annual celebration of The Prisoner, Patrick McGoohan, Danger Man, and Portmeirion. August 7th 2005. Includes special guest interviews with Peter Graham Scott (\"Danger Man\" and \"Prisoner\" Director), Eric Mival (Music Editor), John S Smith (Film Editor) and TV Historian Robert Fairclough.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The/Conventions", "url": "http://www.theunmutual.co.uk/pm2005.htm"} +{"d:Title": "PM2006 Patrick McGoohan / Prisoner / Portmeirion Convention", "d:Description": "Celebration of Patrick McGoohan, Danger Man, The Prisoner, and Portmeirion - Sunday 13th August 2006 in the Hercules Hall at Portmeirion.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The/Conventions", "url": "http://www.theunmutual.co.uk/pm2006.htm"} +{"d:Title": "Prisoner Roleplaying Worldbook", "d:Description": "GURPS covering The Village, its inhabitants and the weird science available to No. 2, with special emphasis on roleplaying paranoia and keeping the players guessing.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The/Memorabilia", "url": "http://www.sjgames.com/gurps/books/Prisoner/"} +{"d:Title": "A Biz of Priz", "d:Description": "For 21st century Prisoner devotees with McGoohan talking CD, Prisoner seminar and roadshows, Prisoner books, Village maps, postcards, Prisoner jigsaws, mousemats, t-shirts, badges and keyrings. Includes 'On The Trail Of The Prisoner' series, Prisoner novels and links to the official Prisoner magazine from DeAgostini.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The/Memorabilia", "url": "http://www.prizbiz.supanet.com/"} +{"d:Title": "Eye On Wales: Commentary from an American Perspective", "d:Description": "News and articles about Wales including a number of Prisoner-related pieces.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Prisoner,_The/Portmeirion", "url": "http://home.earthlink.net/~walestales/"} +{"d:Title": "Natale Music Inc. Homepage", "d:Description": "Lou Natale, an award winning Toronto film and television composer, counts PSI Factor: Chronicles of the Paranormal among his many credits.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Psi_Factor_-_Chronicles_of_the_Paranormal", "url": "http://www.lounatale.com/"} +{"d:Title": "Shasta's Psi-Files Archive", "d:Description": "Cast and character information, fan fiction, pictures, and quotes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Psi_Factor_-_Chronicles_of_the_Paranormal", "url": "http://shasta_hope-24.tripod.com/psipage/"} +{"d:Title": "Yahoo! Groups: Nancy Anne Sakovich", "d:Description": "Message board, pictures, and chat for fans of the actress. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Psi_Factor_-_Chronicles_of_the_Paranormal", "url": "http://groups.yahoo.com/group/nancyannesakovich/"} +{"d:Title": "Yahoo! Groups: Psifactor1 Club", "d:Description": "Discussion and chat for fans of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Psi_Factor_-_Chronicles_of_the_Paranormal", "url": "http://groups.yahoo.com/group/psifactor1club/"} +{"d:Title": "Paranormal Chronicles", "d:Description": "Fan site with emphasis on the Office of Scientific Investigation (OSIR) on whose cases some of the episodes were purportedly based. Content includes member pages, Psi Factor articles, and focus on the paranormal aspects of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/P/Psi_Factor_-_Chronicles_of_the_Paranormal", "url": "http://psifactor.tvheaven.com/"} +{"d:Title": "The Quantum Leap Information Kiosk", "d:Description": "The ultimate Quantum Leap information database, with related links, episode guides, fan fiction, and an active message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Q/Quantum_Leap", "url": "http://gcalvarez.tripod.com/ql.html"} +{"d:Title": "Quantum Leap: The Accelerator Chamber", "d:Description": "Contains sound samples, biographical information for Scott Bakula and Dean Stockwell, FAQs, links to fan fiction and the comic book series, a detailed \"information map\", and even a Quantum Leap drinking game. There are gif pictures available, but no thumbnails.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Q/Quantum_Leap", "url": "http://www.finifter.com/quantum-leap/"} +{"d:Title": "The Quantum Leap Audio and Picture Archive", "d:Description": "Images, sounds, and character guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Q/Quantum_Leap", "url": "http://www.members.tripod.com/dean_stockwell4/"} +{"d:Title": "Al's Place: A Quantum Leap Fan Site", "d:Description": "Photos, sound and video clips, fan fiction links, episode guide, cast information including guest stars, and details of commercial DVDs, novels, comic books, and soundtrack album.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Q/Quantum_Leap", "url": "http://www.quantumleap-alsplace.com/"} +{"d:Title": "IMDb: Quantum Leap", "d:Description": "Cast listings, ratings, and technical crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Q/Quantum_Leap", "url": "http://www.imdb.com/title/tt0096684/"} +{"d:Title": "TV.com: Quantum Leap", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Q/Quantum_Leap", "url": "http://www.tv.com/shows/quantum-leap/"} +{"d:Title": "Kerri's Quantum Leap Fan Fiction", "d:Description": "Archive of stories written by Kerri and others.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Q/Quantum_Leap/Fan_Fiction", "url": "http://www.angelfire.com/id/QuantumLeaper/Fiction.html"} +{"d:Title": "Red Dwarf Online", "d:Description": "Official site hosted by Grant Naylor Productions. Includes news, games, episode guide, airtimes, commentary, image galleries, FAQs, and news about a proposed movie.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://www.reddwarf.co.uk/"} +{"d:Title": "The Jupiter Mining Corporation", "d:Description": "Includes time lines, biographies, FAQ, quiz, vehicle descriptions, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://www.jmc.8k.com/"} +{"d:Title": "Red Dwarf: Home of the Smegheads", "d:Description": "Images, sounds, directives, and list of insults.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://www.angelfire.com/wa2/smeghead/"} +{"d:Title": "Red Dwarf Universe", "d:Description": "Offers news and information on the series, character profiles, quotes and lyrics, an episode guide, images, sound files and games.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://www.reddwarf.iwarp.com/index2.html"} +{"d:Title": "Red Dwarf - The Other Movie", "d:Description": "Reports on a fan-created film, with images, sounds, and videoclips.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://www.red-dwarf.net/"} +{"d:Title": "Nicks Red Dwarf Page", "d:Description": "Information, pictures, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://members.tripod.com/~nickeh/"} +{"d:Title": "Sierra's Red Dwarf Smegginsults Page", "d:Description": "A list of insults from the first five series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://members.tripod.com/~SantaEvita/smegginsults.html"} +{"d:Title": "Silicon Hell", "d:Description": "News, transcripts, fan fiction, polls, and information on books, videos, and ships featured in the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://www.reddwarf.nildram.co.uk/"} +{"d:Title": "Sean's Red Dwarf Page", "d:Description": "Series 1 episode guide, image gallery and links to items of interest to Red Dwarf fans.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://members.tripod.com/~dwarfred/home.html"} +{"d:Title": "Red Dwarf Smeg Outs", "d:Description": "Lists of continuity errors and plot inconsistencies from the program.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://members.tripod.com/HavetStorm/index-1.html"} +{"d:Title": "The Red Dwarf Domain", "d:Description": "Includes pictures, sounds, banners, an episode list, space corps directives, links, and song lyrics.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://reddwarf.myrealm.co.uk/"} +{"d:Title": "IMDb: Red Dwarf", "d:Description": "Includes cast and production company details, series overview, and airdates.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://imdb.com/title/tt0094535/"} +{"d:Title": "Total Red Dwarf", "d:Description": "Episode guide, pictures, scripts, sounds, games and polls.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://www.totalreddwarf.co.uk/"} +{"d:Title": "A SadGeezers Guide to Red Dwarf", "d:Description": "Contains sections on characters, episodes, cultures, ships, and the movie.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf", "url": "http://sadgeezer.com/Red-Dwarf-Introduction-Main-Menu.htm"} +{"d:Title": "Red Dwarf on The Sci-Fi Channel", "d:Description": "Campaign to get the cable network to carry the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Campaigns", "url": "http://www.afn.org/~afn15301/rdcc.html"} +{"d:Title": "Krytie TV!", "d:Description": "Contains images, a profile of the mechanoid, and biographies of both the actors who have portrayed him.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Characters", "url": "http://krytie_tv.tripod.com/"} +{"d:Title": "Smoke Me A Kipper", "d:Description": "Images and information on Arnold, Ace and Arlene Rimmer.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Characters", "url": "http://homepages.slingshot.co.nz/~tharrin/reddwarf/"} +{"d:Title": "Red Dwarf Mailing List", "d:Description": "Email forum for fans of the program.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Chats_and_Forums", "url": "http://groups.yahoo.com/group/red-dwarf/"} +{"d:Title": "The Aigburth Arms", "d:Description": "Message board with a tavern theme.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Chats_and_Forums", "url": "http://theaigburtharms62866.yuku.com/"} +{"d:Title": "Red Dwarf at CyberPursuits", "d:Description": "Links to mailing lists, fan fiction, image galleries, and other pages relating to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Directories", "url": "http://www.cyberpursuits.com/heckifiknow/rd/"} +{"d:Title": "Holly Hop Web Ring", "d:Description": "Web ring of sites with content related to the programme.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=hollyhop"} +{"d:Title": "WebRing: Red Dwarf", "d:Description": "Directory for fan sites about the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=reddwarf"} +{"d:Title": "Red Dwarf Fanfiction", "d:Description": "Index of fan fiction based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Fan_Fiction", "url": "http://www.angelfire.com/wa/fanflicyberbat/index2.html"} +{"d:Title": "Red Dwarf vs. Star Trek: Voyager", "d:Description": "Crossover fan fiction comparing the two universes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Fan_Fiction", "url": "http://dr_xadium.tripod.com/rdvvoy/index.html"} +{"d:Title": "FAQs - alt.tv.red-dwarf", "d:Description": "Archive from faqs.org that provides information about the series and the news group.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/FAQs", "url": "http://www.faqs.org/faqs/by-newsgroup/alt/alt.tv.red-dwarf.html"} +{"d:Title": "Red Dwarf Frequently Asked Questions List", "d:Description": "FAQ list for the alt.tv.red-dwarf newsgroup.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/FAQs", "url": "http://www.cs.uu.nl/wais/html/na-dir/tv/red-dwarf/faq.html"} +{"d:Title": "Red Dwarf RPG", "d:Description": "Game based on the series, and conducted via e-mail.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Games/Roleplaying", "url": "http://groups.yahoo.com/group/red-dwarf-rpg/"} +{"d:Title": "The JMC Blue Dwarf", "d:Description": "Play by e-mail game, based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Games/Roleplaying", "url": "http://www.bluedwarf.co.uk/"} +{"d:Title": "The Black Box", "d:Description": "Gallery of thumbnailed convention photographs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Red_Dwarf/Image_Galleries", "url": "http://the-black-box.tripod.com/djpics.htm"} +{"d:Title": "Relic Hunter Rules", "d:Description": "Includes jokes, cast profiles, news, reviews, interviews, fan art, and quotes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://members.tripod.com/relic_hunter_rules_2/index.htm"} +{"d:Title": "Relic Hunter Fans Anonymous", "d:Description": "Message forum for fans of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://forums.delphiforums.com/rhaddicts/"} +{"d:Title": "Mightier Than the Sword: Relic Hunter Fan Fiction", "d:Description": "Stories and a round robin.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://www.angelfire.com/goth/mightiersword/Relic/hunter.html"} +{"d:Title": "Relic Hunter", "d:Description": "Pictures, episode guide, games, polls, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://www.angelfire.com/tv2/relichunter/index.html"} +{"d:Title": "Relic Hunter Site Ring", "d:Description": "Lists sites with series-related content.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://pub26.bravenet.com/sitering/show.php?usernum=2213935372"} +{"d:Title": "Ancient Studies: A Relic Hunter Fanpage", "d:Description": "Series overview, image galleries, and cast and character biographies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://relic.borderline-angel.com/"} +{"d:Title": "IMDb: Relic Hunter", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://www.imdb.com/title/tt0207919/"} +{"d:Title": "TV.com: Relic Hunter", "d:Description": "Contains cast and crew information, episode guides, links and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Relic_Hunter", "url": "http://www.tv.com/shows/relic-hunter/"} +{"d:Title": "Roswell's Definitive Dreamer Dictionary", "d:Description": "Video clips and screen captures focusing on the romance of Max and Liz. Includes poems and photos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.theddd.net/"} +{"d:Title": "Roswell Web", "d:Description": "Reviews, wallpapers, pictures, quotes, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/tv/RoswellWeb/"} +{"d:Title": "Roswell Fan Page", "d:Description": "Episode reviews, images, fan fiction, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/ri/Roswell/"} +{"d:Title": "Ginette's Roswell Page", "d:Description": "Pictures, wallpapers, spoilers, polls, message board, and episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://gs-world.tripod.com/roswell.html"} +{"d:Title": "Mr. Video Productions: Roswell Quick Reference Guide", "d:Description": "Episode guide, images, articles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://mrvideo.vidiot.com/Roswell/"} +{"d:Title": "Redhawk's Nest", "d:Description": "Includes animations, collages, wallpaper, and symbol discussion.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://redhawksroswell.tripod.com/"} +{"d:Title": "1947: Three Pods from Heaven", "d:Description": "News, quotes, episode summaries, fan fiction, actor biographies, spoilers, and information about related books.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/ab2/babylove/"} +{"d:Title": "There's Truth to Every Rumor", "d:Description": "Wallpapers, episode guide, fan fiction, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/tv/roswellshow00/"} +{"d:Title": "PodSquad", "d:Description": "Episode summaries and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/tv2/roswell25523/index.html"} +{"d:Title": "On the Line for You", "d:Description": "Includes fan fiction and fan art.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/stars3/ontheline/"} +{"d:Title": "UPN's Roswell", "d:Description": "Features biographies, episode guides, screencaps, galleries, and sounds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://upnsroswell.tripod.com/"} +{"d:Title": "RoswellLand", "d:Description": "Spoilers, episode reviews, cast biographies, and the latest news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://astrogurl555.tripod.com/"} +{"d:Title": "Crashdown.com", "d:Description": "Features an episode guide with transcripts and reviews, cast information, image gallery, spoilers, news, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.crashdown.com/"} +{"d:Title": "Roswell: Alien Hand Print", "d:Description": "Features an episode guide, cast biographies, images, spoilers, links, message forum, and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://alienhandprint.tripod.com/"} +{"d:Title": "Crashdown at Roswell", "d:Description": "Picture galleries, fan fiction, and actor biographies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/tv2/crashdown/index.html"} +{"d:Title": "Eraser Room", "d:Description": "Pictures, spoilers, and episode transcripts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://the-eraser-room.8k.com/"} +{"d:Title": "Roswell: The Alien Abyss", "d:Description": "Episode guide, art, fan fiction, quizzes, and couple profiles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/scifi/NicHazel/Roswell/AlienAbyss.html"} +{"d:Title": "Crashed in Roswell", "d:Description": "Pictures, cast information, fan fiction, quotes, and polls.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://destinyroswell.tripod.com/"} +{"d:Title": "Roswell's Tribute to Alex", "d:Description": "Roswellians haven't forgotton Alex;they are remembering him.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/journal2/alexmanes/"} +{"d:Title": "A Night on the Town", "d:Description": "Fan fiction, fan art, animations, games, message boards, and chat rooms. Includes free e-mail.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://anightonthetown.tripod.com/index4.html"} +{"d:Title": "Life Is Roswell", "d:Description": "News, character biographies, photos, and episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://members.tripod.com/lifeisroswell/roswell.html"} +{"d:Title": "Roswell High", "d:Description": "Guide to the show and the books upon which it is based. Book summaries, character comparisons, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/az2/RoswellHigh/"} +{"d:Title": "It Was You", "d:Description": "Screen captures, an account of the romance between Max and Liz, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/scifi/itwasyou/"} +{"d:Title": "Roswell Land", "d:Description": "Features an episode guide, cast biographies, chat room, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://roswell_land.tripod.com/"} +{"d:Title": "When Night Falls", "d:Description": "Features awards in various categories, spoilers, fan art, and free graphics.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://members.tripod.com/peachez4maxevans/whennightfalls/"} +{"d:Title": "Crash", "d:Description": "Includes polls, quizzes, episode descriptions, and Roswell diaries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/anime3/blugirl14/crash.html"} +{"d:Title": "Auras&Orbs - A Save Roswell In Australia Group", "d:Description": "Features an episode guide, cast biographies, and an image gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.angelfire.com/tv2/auras_orbs/"} +{"d:Title": "EpisodeList.com: Roswell", "d:Description": "Offers character images, episode list with synopses, list of character appearances, and information on regular writers and directors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.episodelist.com/shows/roswell/40"} +{"d:Title": "TV.com: Roswell", "d:Description": "Contains cast and crew information, episode guides, links and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell", "url": "http://www.tv.com/shows/roswell/"} +{"d:Title": "Roswell's Definitive Dreamer Dictionary", "d:Description": "Celebrating the romance through screen captures, poetry, art, and prose.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Characters/Relationships/Liz_and_Max", "url": "http://www.theddd.net/"} +{"d:Title": "M&M Online: Maria and Michael", "d:Description": "Maria DeLuca and Michael Guerin fan page, with pictures, actor biographies and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Characters/Relationships/Maria_and_Michael", "url": "http://www.angelfire.com/scifi/love/"} +{"d:Title": "Yahoo! Groups: Save Roswell Australia", "d:Description": "Mailing list for campaign to keep the show on the air Down Under. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Chats_and_Forums", "url": "http://groups.yahoo.com/group/saveroswellaustralia/"} +{"d:Title": "Down in the Flames", "d:Description": "Electronic cards and wallpaper.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Downloads", "url": "http://roswell.bittersweetblue.net/"} +{"d:Title": "Buffywallpapers", "d:Description": "Contains wallpapers featuring season 2.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Downloads", "url": "http://buffywallpapers0.tripod.com/roswell2.html"} +{"d:Title": "Roswell: What Might Have Been", "d:Description": "Fan-written episodes for seasons 1 and 2 in script format. Includes character and episode information, as well as author commentaries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Fan_Fiction", "url": "http://rwmhb.tripod.com/"} +{"d:Title": "Pod Chamber", "d:Description": "Visual guide to the characters, their powers, and their enemies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Image_Galleries", "url": "http://www.angelfire.com/scifi/sarunroswell/index.html"} +{"d:Title": "Caught: Roswell Screen Shots", "d:Description": "First season screen captures from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/R/Roswell/Image_Galleries", "url": "http://roswell.iwarp.com/"} +{"d:Title": "Epguides.com: Salvage 1", "d:Description": "Lists titles and airdates for episodes, as well as cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Salvage_1", "url": "http://epguides.com/Salvage1/"} +{"d:Title": "Salvage 1 TV Show", "d:Description": "Trivia, cast member information, and episode list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Salvage_1", "url": "http://www.crazyabouttv.com/salvage1.html"} +{"d:Title": "Tv.com: Salvage 1", "d:Description": "Contains cast information and episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Salvage_1", "url": "http://www.tv.com/shows/salvage-1/"} +{"d:Title": "Yahoo! Groups: Sapphire and Steel Cafe", "d:Description": "Message board and chat for series fans. [Membership required]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sapphire_and_Steel", "url": "http://groups.yahoo.com/group/sapphireandsteelcafi/"} +{"d:Title": "Andy's Anachronisms: Sapphire and Steel", "d:Description": "Review of the series that focuses on the way it uses time travel as a theme.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sapphire_and_Steel", "url": "http://www.timetravelreviews.com/tv_reviews/sapphire_steel.html"} +{"d:Title": "KatSpace: Sapphire and Steel", "d:Description": "Analysis of the elements, compounds, and alloys for which the characters are named, and an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sapphire_and_Steel", "url": "http://www.katspace.org/fandom/sns/"} +{"d:Title": "seaQuest DSV Vault", "d:Description": "Character profiles, episode guide, transcripts from season one and two available.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://www.angelfire.com/tv2/seaQuestDSV2032/index.html"} +{"d:Title": "Letter from JMS concerning seaQuest DSV", "d:Description": "JMS responds to NBC Executive with this letter dated March 10, 1995 about problems/clarity/ways to improve this series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://www.ntua.gr/b5/CompuServe/cs95-03-15"} +{"d:Title": "seaFiction Designs", "d:Description": "Computer-generated graphics and animations based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://members.tripod.com/prevalentadoa/seafiction/"} +{"d:Title": "The Online seaQuest Fan Club", "d:Description": "Features episode reviews, scripts, action figures, collectors cards, an ezine, and background information about the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://www.angelfire.com/zine/samquest/"} +{"d:Title": "New Cape Quest", "d:Description": "Includes archived scripts, image gallery, and Web museum for 2047 and seaFire.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://www.newcapequest.com/"} +{"d:Title": "Why the seaQuest DSV Pilot Sucked", "d:Description": "A critical review from Alb\u00e9-Shiloh concerning the pilot and series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://www.sff.net/people/krad/seaquest.htm"} +{"d:Title": "The Drums of seaQuest 2032", "d:Description": "A negative review of season 3 opening episode, \"Brave New World\".", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://www.nitcentral.com/oddsends/dsv.htm"} +{"d:Title": "Wikipedia: SeaQuest DSV", "d:Description": "Online encyclopedia provides pictures and details of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest", "url": "http://en.wikipedia.org/wiki/SeaQuest_DSV"} +{"d:Title": "Cindy's Fanfiction", "d:Description": "1st season. Romantic focus on Hitchcock and Ford.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://members.tripod.com/~CindyRyan/SQFic.html"} +{"d:Title": "Liz's World", "d:Description": "Extensive first season fan fiction devoted to Bridger/Westphalen. Site also includes the 'scripts' for every first season episode, including the pilot movie.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://members.tripod.com/~questiezil/index.html"} +{"d:Title": "Akira's Ungraceful SeaQuest Page", "d:Description": "One fan fiction story needs to be downloaded. Site offers history and timeline for new characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://bicant.8m.com/sq.html"} +{"d:Title": "Tigger's Fanfic Page", "d:Description": "Crossover between seaQuest and Hitchhikers Guide to the Universe entitled, \"A Dolphins Guide to Hitchhikers.\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://home.earthlink.net/~tptigger42/fanfic.html#seaquest"} +{"d:Title": "Rianna and Mo: seaQuest Archives", "d:Description": "22 stories focusing on Lucas, Ortiz, Tim, and other cast members, some ELF.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://www.angelfire.com/ri/rianaandmo/index.html"} +{"d:Title": "ELF Command", "d:Description": "Over 120 stories by different authors, primarily ELF (Evil Lucas Fans), some with ratings, many humorous, and featuring all three seasons.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://elf_command.tripod.com/"} +{"d:Title": "Lillandra's: W.S.K.R.S View", "d:Description": "Eight stories placed in second season, featuring Ortiz and/or Brody. One preview of a story in progress.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://members.tripod.com/~lillandra/wskrsview.html"} +{"d:Title": "Bridger's Folly", "d:Description": "Focus is on romantic-adventures between Captain Nathan Bridger and Kristin Westphalen, by Shalee.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://www.angelfire.com/nd/castmuse/seaQuest.html"} +{"d:Title": "Defiant - Never To Yield", "d:Description": "A fan fiction series which uses the series timeline with the writer's own spin on what he thinks is in the future.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://user.tninet.se/~lmr889g/index.htm"} +{"d:Title": "seaQuest 2047 - Crossroads", "d:Description": "An original web series inspired by the television show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Fan_Fiction", "url": "http://members.tripod.com/~sq2047/"} +{"d:Title": "SQ FanFic", "d:Description": "A list for people from around the world who like to write and read fan fiction based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Mailing_Lists", "url": "http://groups.yahoo.com/group/sqfanfic/"} +{"d:Title": "Dive into seaQuest", "d:Description": "A list for anyone interested in the series, especially those interested in Jonathan Brandis.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Mailing_Lists", "url": "http://groups.yahoo.com/group/Dive_Into_seaQuest/"} +{"d:Title": "seaQuest Studs", "d:Description": "A list to discuss the male studs appearing in the show. Applicants must be 21+ to join.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Mailing_Lists", "url": "http://groups.yahoo.com/group/seaQuest_studs/"} +{"d:Title": "seaQuestie's Fanfic", "d:Description": "A mailing list for people who like to write, read and discuss series-related fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Mailing_Lists", "url": "http://groups.yahoo.com/group/seaQuestiesfanfic/"} +{"d:Title": "seaQuesties Discuss", "d:Description": "A discussion list for anything to do with the show. All age groups are welcome.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Mailing_Lists", "url": "http://groups.yahoo.com/group/seaQuestiesdiscuss/"} +{"d:Title": "seaQuest Slashfic", "d:Description": "Mailing list for people who read and write slash fiction for seaQuest DSV or 2032. Must be 21+ to subscribe", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Mailing_Lists", "url": "http://groups.yahoo.com/group/seaQuest_slashfic/"} +{"d:Title": "seaQuest DSV Webring", "d:Description": "The Sim group 2036 started this webring but welcomes all series-related sites to join.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Web_Rings", "url": "http://www.webring.org/hub?ring=seaquestdsv"} +{"d:Title": "The seaQuest Fan-Fiction Webring", "d:Description": "List of members and instructions for joining.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Web_Rings", "url": "http://www.webring.org/hub?ring=2032"} +{"d:Title": "The Dragons seaQuest ring", "d:Description": "Contains four sites and information on how to join ring.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seaquest/Web_Rings", "url": "http://www.webring.org/hub?ring=thedragonsseques"} +{"d:Title": "Two Evil Monks' Guide to The Secret Adventures of Jules Verne", "d:Description": "Episode guide, overview of the series and characters, images, and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The", "url": "http://www.twoevilmonks.org/"} +{"d:Title": "The Secret Adventures of Jules Verne (A Titles and Air Dates Guide)", "d:Description": "An episode guide in the original Canadian broadcast order that provides detailed plot synopses.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The", "url": "http://epguides.com/SecretAdventuresofJulesVerne/"} +{"d:Title": "The League of Darkness", "d:Description": "Humorously chronicles the activities of series arch-villain Count Gregory and his minions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The", "url": "http://evilcountgregory.tripod.com/LOD/index.html"} +{"d:Title": "WebRing: The Secret Adventures of Jules Verne", "d:Description": "Includes individual sites for numerous fan \"factions\" and \"societies.\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The", "url": "http://www.webring.org/hub?ring=thesecretadventu"} +{"d:Title": "IMDb: \"Secret Adventures of Jules Verne, The\" (1999)", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The", "url": "http://www.imdb.com/title/tt0178161/"} +{"d:Title": "TV.com: The Secret Adventures of Jules Verne", "d:Description": "Contains cast and crew information, also has an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The", "url": "http://www.tv.com/shows/the-secret-adventures-of-jules-verne/"} +{"d:Title": "Yahoo! Groups: Adventures at Virtual Muskrat Mansion", "d:Description": "The show's characters take on the personalities of friendly woodland creatures on this mailing list inspired by a fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sajv_at_vmm/"} +{"d:Title": "Yahoo! Groups: sajvspoilers", "d:Description": "A discussion list with episode spoilers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sajvspoilers/"} +{"d:Title": "Yahoo! Groups: SAJV Fans", "d:Description": "Includes a message board and photo section, as well as serving as a hub for weekly chats. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sajvfans/"} +{"d:Title": "Yahoo! Groups: Verne's Secret Adventures", "d:Description": "Message board for viewers to comment on the series. Also includes photos and links. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The/Chats_and_Forums", "url": "http://groups.yahoo.com/group/vernessecretadventures/"} +{"d:Title": "Yahoo! Groups: saojVERNE-fic", "d:Description": "Mailing list for fan fiction and discussion of The Secret Adventures of Jules Verne. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The/Fan_Fiction", "url": "http://groups.yahoo.com/group/saojVERNE-fic/"} +{"d:Title": "Yahoo! Groups: The Secret Journals of Jules Verne", "d:Description": "A mailing list for fan fiction and reader feedback, offering work from several active authors. Stories may include adult themes, but no explicit sex. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Secret_Adventures_of_Jules_Verne,_The/Fan_Fiction", "url": "http://groups.yahoo.com/group/SJJV/"} +{"d:Title": "Vidiot's UPN 7 Days Web Page", "d:Description": "Includes air schedules, cast bios, pictures, and preview clips. Updated regularly.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seven_Days", "url": "http://www.vidiot.com/UPN/SD/"} +{"d:Title": "TV.com: 7 Days", "d:Description": "Contains cast and crew information, news, links and an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Seven_Days", "url": "http://www.tv.com/shows/7-days/"} +{"d:Title": "Epguides.com: Sheena", "d:Description": "Lists titles and airdates of each episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sheena", "url": "http://epguides.com/Sheena/"} +{"d:Title": "Yahoo! Groups: Sheena", "d:Description": "Members post discussion of the show and the cast. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sheena", "url": "http://groups.yahoo.com/group/sheena/"} +{"d:Title": "IMDb: Sheena", "d:Description": "Lists cast and production company information, along with user reviews of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sheena", "url": "http://www.imdb.com/title/tt0261492/"} +{"d:Title": "Ken's Realm of the Six Million Dollar Man", "d:Description": "Brief overview of the series, with pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Six_Million_Dollar_Man,_The", "url": "http://members.tripod.com/~MustangMan5/smdm.html"} +{"d:Title": "WWF Grudge Match: The Six Million Dollar Man vs. RoboCop", "d:Description": "Parody pits Steve Austin against the robotic juggernaut with a badge.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Six_Million_Dollar_Man,_The", "url": "http://www.grudge-match.com/History/six-robo.shtml"} +{"d:Title": "Six Million Dollar Man Tv Show", "d:Description": "Contains trivia, plot summary, cast information, and episode list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Six_Million_Dollar_Man,_The", "url": "http://www.crazyabouttv.com/sixmilliondollarman.html"} +{"d:Title": "Sliders: The Timer", "d:Description": "Includes Sliders news, rare interviews, games, and images. French translated into English.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://leminuteur.free.fr/"} +{"d:Title": "Save Sliders Network", "d:Description": "An effort to bring back Sliders in some form such as another season, mini-series or a movie.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://slidersweb.net/ssn/"} +{"d:Title": "Earthprime.com", "d:Description": "Offers an episode guide, reviews, interviews, fan fiction and a list of the worlds visited by the characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.earthprime.com/"} +{"d:Title": "Dimension of Continuity", "d:Description": "Focuses on explaining the television series through essays, a behind the scenes FAQ, script outtakes, prop images, video clips and bloopers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.dimensionofcontinuity.com/"} +{"d:Title": "Sliders.net", "d:Description": "Includes an episode guide, article transcripts and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.sliders.net/"} +{"d:Title": "Sliders at CyberPursuits", "d:Description": "Sliders link directory also featuring images and sound bites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.cyberpursuits.com/heckifiknow/sliders/"} +{"d:Title": "Earth 62", "d:Description": "Featuring current news and schedules, image intensive episode guide, magazine archive, video and audio clips, games and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.earth62.net/"} +{"d:Title": "Gate Haven", "d:Description": "Sliders humor resource featuring fan fiction, video and audio clips, art, games and reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://slidersweb.net/blinker/"} +{"d:Title": "The Sliders E-Mail Role Play", "d:Description": "Contains contact information and character information for players.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://members.tripod.com/~allsort/sliders.html"} +{"d:Title": "Alternate Earth 117", "d:Description": "UK-based site providing articles, episode guide, fan fiction, and picture gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.slidersweb.net/sarah/"} +{"d:Title": "Sliders Bulletin Board Hall of Fame", "d:Description": "An archive of noteworthy posts from the network's official bulletin board. Also includes interactive fan location map, fan fiction and official scripts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://hof.slidersweb.net/"} +{"d:Title": "Slidecage", "d:Description": "Sliders fan blog containing news, episode reviews, desktop wallpapers, games and discussion.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.slidecage.com/"} +{"d:Title": "Hulu - Sliders", "d:Description": "Universal Studios official Sliders website including full length episodes available for free viewing.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.hulu.com/#!sliders"} +{"d:Title": "TV.com: Sliders", "d:Description": "Includes cast information, an episode guide, a goofs guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders", "url": "http://www.tv.com/shows/sliders/"} +{"d:Title": "Sliders: The Virtual Seasons", "d:Description": "Fan-written episodes that pick up where the third season finale left off. Also includes character profiles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders/Fan_Fiction", "url": "http://members.tripod.com/op_prime/sliders/index.html"} +{"d:Title": "Sliders: The Unofficial Sixth Season", "d:Description": "Starting where Sliders ended, this fan fiction series explores a continuation through use of a television script format.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders/Fan_Fiction", "url": "http://skiles.tripod.com/season6.html"} +{"d:Title": "The Otherworlds", "d:Description": "Exclusive fan fiction featuring many views of the differences Sliders may have experienced during the series and after.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders/Fan_Fiction", "url": "http://www.slidersweb.net/otherworlds/"} +{"d:Title": "Slidersweb", "d:Description": "A miniature web of sites containing information, fiction, fan theories and humor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Sliders/Web_Rings", "url": "http://www.slidersweb.net/"} +{"d:Title": "Warner Bros.: Smallville Ledger", "d:Description": "Official US site for the series. In the guise of the town's newspaper, it provides photographs and episode previews, as well as weather reports and excerpts from the high school newspaper.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville", "url": "http://www2.warnerbros.com/web/smallville/ledger/home.jsp?frompage=sitemap"} +{"d:Title": "Luthor Corp", "d:Description": "Warner Bros. site detailing the chemical firm owned by Lex Luthor's family. Includes company overview, annual report, stock data, job openings, and information about corporate officers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville", "url": "http://www2.warnerbros.com/web/smallville/luthorcorp/home.jsp"} +{"d:Title": "IMDb: Smallville", "d:Description": "Contains cast, crew, and production information, as well as viewer reviews and plot summaries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville", "url": "http://www.imdb.com/title/tt0279600/"} +{"d:Title": "TV.com: Smallville", "d:Description": "Includes news, cast and crew information, and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville", "url": "http://www.tv.com/shows/smallville/"} +{"d:Title": "Smallville: The Legend Begins", "d:Description": "Pictures of the characters and couples from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters", "url": "http://www.angelfire.com/de/kindeye/smallville.html"} +{"d:Title": "OSCC HQ: The Old-School Chloe Campaign", "d:Description": "Clique to protest changes to the character. Includes essays, list of members, and form for joining.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters", "url": "http://www.chiriru.net/smvl/oscc/"} +{"d:Title": "The Padded Cell", "d:Description": "Biography and pictures of Michael Rosenbaum as Lex Luthor and in other roles, as well as a list of songs from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters/Luthor,_Lex", "url": "http://www.angelfire.com/goth/aussiegal_8/"} +{"d:Title": "Yahoo! Groups: LexLuthor MichaelRosenbaum", "d:Description": "Discussion about the character and the actor. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters/Luthor,_Lex", "url": "http://groups.yahoo.com/group/LexLuthor_MichaelRosenbaum/"} +{"d:Title": "WebRing: Lex Luthor Site Ring", "d:Description": "Dedicated to Smallville's favorite young billionaire and Superman's future nemesis.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters/Luthor,_Lex", "url": "http://www.webring.org/hub?ring=lexluthorforpres"} +{"d:Title": "Yahoo! Groups: ChloeLana", "d:Description": "Mailing list for posting discussion and fan fiction related to the relationship of Chloe Sullivan and Lana Lang. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters/Relationships", "url": "http://groups.yahoo.com/group/chloelana"} +{"d:Title": "The Reporters", "d:Description": "Focused on Clark/Chloe. Includes actor and character biographies, gallery, fan fiction, downloads, and songs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters/Relationships/Chloe_and_Clark", "url": "http://www.loony-archivist.com/reporters/"} +{"d:Title": "Fall At Your Feet: Smallville's Lex and Lana Fansite", "d:Description": "Dedicated to a romance between the characters. Includes image gallery, fan art, fan fiction, songs, downloads, and contests.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters/Relationships/Lana_and_Lex", "url": "http://www.angelfire.com/tv2/hitshows/smallville/LL/main.html"} +{"d:Title": "The Talon: Lex and Lana...The Legend Begins", "d:Description": "Dedicated to romance between the characters. Includes reviews, episode guide, pictures, fan art, list of songs, fan fiction, and analysis of Lex/Lana scenes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Characters/Relationships/Lana_and_Lex", "url": "http://www.angelfire.com/rebellion/thetalon/"} +{"d:Title": "Yahoo! Groups: Smallville Discussion List", "d:Description": "Mailing list for fans to discuss and critique the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Smallville_Discussion_List/"} +{"d:Title": "Yahoo! Groups: PlanetKrypton", "d:Description": "Discussion, news, fan reviews, and information for fans of Lois and Clark and Smallville. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://groups.yahoo.com/group/PlanetKrypton/"} +{"d:Title": "Yahoo! Groups: Smallville Central", "d:Description": "Reviews, polls, pictures, and discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://groups.yahoo.com/group/smallville_central/"} +{"d:Title": "Yahoo! Groups: Gay Smallville Fan Club", "d:Description": "Message board and weekly chat. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://groups.yahoo.com/group/gaysmallvillefanclub/"} +{"d:Title": "Yahoo! Groups: Smallville and Beyond", "d:Description": "Message board and chat based on the idea that there's big trouble in the town. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://groups.yahoo.com/group/smallvilleandbeyond/"} +{"d:Title": "Yahoo! Groups: SmallvilleCentral", "d:Description": "Photographs, chat, and message board. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://groups.yahoo.com/group/smallvillecentral/"} +{"d:Title": "The Talon", "d:Description": "Message board for fans to post their thoughts on the show, spoilers, and characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://www.voy.com/132560/"} +{"d:Title": "Yahoo! Groups: Gaysmallvillefanclub", "d:Description": "Message board and weekly chat after episodes. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/gaysmallvillefanclub/"} +{"d:Title": "Smallville", "d:Description": "Topic threads include cast members and episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://s2.invisionfree.com/SmallVille/"} +{"d:Title": "Cmon Save Me Forum", "d:Description": "Discussion on the latest news about the actors, characters and episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Chats_and_Forums", "url": "http://cmonsaveme.proboards.com/"} +{"d:Title": "The Smallville Webring", "d:Description": "Information on membership, form for signing up, and list of sites in ring. Also includes episode guide, cast and crew biographies, interviews, song lyrics, and quotes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Directories", "url": "http://t_d_farrin.tripod.com/smallville/"} +{"d:Title": "The Ultimate Smallville Webring", "d:Description": "Provides links to sites with content related to cast members from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Directories", "url": "http://www.webring.org/hub?ring=ultimatesmallvil"} +{"d:Title": "Web Ring: Smallville", "d:Description": "Lists sites with series-related content.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Directories", "url": "http://www.webring.org/hub?ring=smallvillewebrin"} +{"d:Title": "The Smallville Webring", "d:Description": "Includes cast information, episode summaries, image gallery, quotes, and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://t_d_farrin.tripod.com/smallville/"} +{"d:Title": "Smallville: Every Hero Has A Beginning", "d:Description": "Trailers, interviews, and clips for download in various formats, as well as list of songs featured in episodes, polls, episode guide, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://www.angelfire.com/super2/smallhero/"} +{"d:Title": "Smallville Reporter", "d:Description": "Cast photos and profiles, episode guide with commentary, and a fan's collection of series-related items.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://smallville2.tripod.com/Smallv.htm"} +{"d:Title": "Smallville's Site", "d:Description": "Brief character biographies, episode list with original US airdates, image galleries, and cast birthdays.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://www.angelfire.com/tv2/smallville1/mainpage.html"} +{"d:Title": "KryptonSite", "d:Description": "News, episode guide, music, international air schedules, spoilers, rumors, and forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://www.kryptonsite.com/"} +{"d:Title": "Smallville 10 Miles", "d:Description": "Picture gallery and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://smallville10miles.8m.net/"} +{"d:Title": "Meteor Shower: The Aussie Smallville Home", "d:Description": "Episode guide, fan art, news, poll, and link to mailing list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://www.angelfire.com/tv2/meteorshower/"} +{"d:Title": "SmallvillePH", "d:Description": "Includes up-to-date news, a gallery, forums, desktop wallpapers. Also offers ringtones, logos, and wallpapers for mobile phones.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://www.smallvilleph.com/"} +{"d:Title": "Smallville: Town of the Weird", "d:Description": "Includes news, cast and character profiles, episode guide, pictures, and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages", "url": "http://www.angelfire.com/tv2/totw/"} +{"d:Title": "Smallville Fan Fiction by Henry Jones, Jr.", "d:Description": "Stories focus on Clark and Lex and their feelings for one another.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://www.sabershadowkat.com/smallville/smallville.html"} +{"d:Title": "Yahoo! Groups: Smallville Fan Fiction", "d:Description": "Mailing list for story postings. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://groups.yahoo.com/group/smallvillefanfiction"} +{"d:Title": "Yahoo! Groups: Lex and Lana", "d:Description": "Members post stories and discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://groups.yahoo.com/group/lexandlana/"} +{"d:Title": "Yahoo! Groups: SV FicSearch", "d:Description": "Members exchange information on where to find archived stories. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://groups.yahoo.com/group/SVFicSearch/"} +{"d:Title": "Tall Tales: A Smallville Fan Fiction Archive", "d:Description": "Wide variety of stories based on the series, organized by title and author.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://www.loony-archivist.com/smallville/tales/"} +{"d:Title": "Smallville Fan Fiction Resources", "d:Description": "FAQ for writers, beta readers index, fact checker, \"Mary Sue\" litmus test, and form for submitting story recommendations.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://www.loony-archivist.com/svffr/"} +{"d:Title": "Smallville's Broodier Bits and Musings", "d:Description": "Stories exploring the relationship between Clark and Lex with Clex overtones and some emphasis on how much sacrifice needs to occur before a friendship becomes the \"stuff of legends.\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://dehcs.blogspot.com/"} +{"d:Title": "WebRing: SV Fanfic", "d:Description": "Provides links to sites that host all types of fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Fan_Pages/Fan_Fiction", "url": "http://www.webring.org/hub?ring=svfanfic"} +{"d:Title": "Smallville MP3s", "d:Description": "Download MP3s of songs heard in episodes and promos for the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Music", "url": "http://smallville_mp3.tripod.com/"} +{"d:Title": "Welcome to Smallville", "d:Description": "An Smallville RPG Board, set just after the Pilot Episode. Lots of characters still available.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Smallville/Online_Roleplaying", "url": "http://smallville2004.proboards.com/"} +{"d:Title": "Stars and Imagination: Science Fiction", "d:Description": "Multimedia, animations, GIFs, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond", "url": "http://www.lb.shuttle.de/apastron/sciFict.htm"} +{"d:Title": "Space: Above and Beyond", "d:Description": "Images, video clips, music files, character biographies, links, and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond", "url": "http://www.cyberpursuits.com/heckifiknow/saab/default.asp"} +{"d:Title": "WebRing: S:AAB Ring", "d:Description": "Lists sites with content related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond", "url": "http://www.webring.org/hub?ring=space"} +{"d:Title": "Yahoo! Groups: The New Wildcards", "d:Description": "List for fan fiction writers who want to invent their own characters in the series universe. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/newwildcards/"} +{"d:Title": "Yahoo! Groups: Space: Above and Beyond The Virtual Seasons", "d:Description": "Mailing list for those interested in writing the Virtual Season episodes. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/saab-tvs/"} +{"d:Title": "Yahoo! Groups: The Bacchus", "d:Description": "Discussion and fan fiction list for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/the-bacchus/"} +{"d:Title": "Yahoo! Groups: SaabTV", "d:Description": "Announcements of TV appearances by series actors. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/saabTV/"} +{"d:Title": "Yahoo! Groups: Saabfanfic", "d:Description": "Mailing list for fan fiction writers and readers. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/saabfanfic/"} +{"d:Title": "Yahoo! Groups: Space Above and Beyond Fanfic Writers", "d:Description": "A list for beginning writers of fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SpaceAab_FanFic_W/"} +{"d:Title": "Yahoo! Groups: The 57th Crusaders", "d:Description": "Mailing list primarily for European fans of the show, but all English-speaking fans are welcome. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/57th/"} +{"d:Title": "Yahoo! Groups: Saab bbl", "d:Description": "Discussion and announcements for events on the saab bulletin board. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Chats_and_Forums", "url": "http://groups.yahoo.com/group/saab_bbl/"} +{"d:Title": "S:AAB Fan-Fic Flightdeck", "d:Description": "Archives a variety of stories.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Fan_Fiction", "url": "http://fanficflightdeck.space-readyroom.de/"} +{"d:Title": "Typo's Playland", "d:Description": "Archive of M.R. Bowen's works.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Fan_Fiction", "url": "http://members.tripod.com/~Queens_Six/"} +{"d:Title": "RiverRat's Space: Above and Beyond Fanfic Depot", "d:Description": "Story archive and a virtual episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Fan_Fiction", "url": "http://buckeyebelle.tripod.com/fanfic.html"} +{"d:Title": "7th Asian Airwing Fanfic Archives", "d:Description": "Stories written by members of the 7th Asian Airwing.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_-_Above_and_Beyond/Fan_Fiction", "url": "http://members.tripod.com/airwing7/archives.html"} +{"d:Title": "The Space Cases Picture Gallery", "d:Description": "Image galleries, episode transcripts, and downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Cases", "url": "http://sc.alienharmony.com/"} +{"d:Title": "IMDb: Space Cases", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Cases", "url": "http://www.imdb.com/title/tt0115366/"} +{"d:Title": "TV.com: Space Cases", "d:Description": "Contains cast and crew information, also has an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Cases", "url": "http://www.tv.com/shows/space-cases/"} +{"d:Title": "SPACE PATROL 1950", "d:Description": "Analysis, cast and technical credits, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Patrol_-_1951", "url": "http://users.bestweb.net/~foosie/spacepat.htm"} +{"d:Title": "Space Patrol Home Page", "d:Description": "Pictorial overview of the series, episode guide, information on the spacecraft, and convention news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Patrol_-_1951", "url": "http://www.solarguard.com/sphome.htm"} +{"d:Title": "IMDb: Space Patrol", "d:Description": "Includes cast and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Patrol_-_1951", "url": "http://www.imdb.com/title/tt0043234/"} +{"d:Title": "The UK Space Patrol Page", "d:Description": "Series overview, pictures, episode guide, and fan art.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Patrol_-_1963", "url": "http://www.wilding.net/ld/"} +{"d:Title": "IMDb: Space Patrol (1963)", "d:Description": "Includes cast and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Space_Patrol_-_1963", "url": "http://www.imdb.com/title/tt0164289/"} +{"d:Title": "Between Heaven and Hell", "d:Description": "Fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Special_Unit_2", "url": "http://www.angelfire.com/grrl/kate_nick/index2.html"} +{"d:Title": "Petersreviews: Special Unit 2", "d:Description": "Overview of the series premise and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Special_Unit_2", "url": "http://www.petersreviews.com/special_unit_2.html"} +{"d:Title": "Damage Control", "d:Description": "Episode guide, message board, quotations, image gallery, and FAQ.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Special_Unit_2", "url": "http://drkangel117.tripod.com/"} +{"d:Title": "The Database", "d:Description": "Fanlisting, as well as series summary and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Special_Unit_2", "url": "http://su2database.0catch.com/"} +{"d:Title": "WebRing: Special Unit 2", "d:Description": "Directory of sites with series-related content.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Special_Unit_2", "url": "http://www.webring.org/hub?ring=specialunit2"} +{"d:Title": "IMDb: Special Unit 2", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Special_Unit_2", "url": "http://www.imdb.com/title/tt0268094/"} +{"d:Title": "TV.com: Special Unit 2", "d:Description": "Contains cast and crew information, also has an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Special_Unit_2", "url": "http://www.tv.com/shows/special-unit-2/"} +{"d:Title": "Starlantis", "d:Description": "Character biographies, images, and episode guides for both series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series", "url": "http://jedijenn0.tripod.com/stargate/"} +{"d:Title": "Stargate Information Archive", "d:Description": "Episode guides, forums, and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series", "url": "http://www.sg1archive.com/"} +{"d:Title": "The Truth Behind StarGate", "d:Description": "Directory and definitions of ancient mythology and history used in Stargate.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series", "url": "http://isis_athena1690.tripod.com/"} +{"d:Title": "Stargate Solutions", "d:Description": "Latest news, views, and interviews for the show and its cast as well as episode guides with transcripts and a wiki.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series", "url": "http://www.stargate-sg1-solutions.com/"} +{"d:Title": "GateWorld", "d:Description": "Contains episode summaries and in-depth analyses, plus spoilers and information on upcoming episodes, photos, weekly episode reviews, articles, and online forums and fan fiction for Stargate SG-1, Stargate Atlantis, and Stargate Horizon.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series", "url": "http://www.gateworld.net/"} +{"d:Title": "Stargate NeoWiki", "d:Description": "Includes news, episode guides, transcripts, bloopers and trivia, a forum, and a list of characters, planets, races, and ships.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series", "url": "http://stargate.neoseeker.com/"} +{"d:Title": "Arduinna's Stargate Handbook", "d:Description": "Encyclopedic listing that includes glossary of terms, information on races and technology, and episode guides.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series", "url": "http://www.stargatehandbook.org/"} +{"d:Title": "SaveDanielJackson.com", "d:Description": "Includes news, interviews, fan fiction, research, and episode guides.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Fan_Pages", "url": "http://www.savedanieljackson.com/"} +{"d:Title": "IMDb: Stargate: Atlantis", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Atlantis", "url": "http://www.imdb.com/title/tt0374455/"} +{"d:Title": "Atlantica", "d:Description": "Provides information, images, screen captures, downloads, fanfics and message forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Atlantis", "url": "http://sg-atlantis.org/"} +{"d:Title": "Stargate Atlantis", "d:Description": "Official site from MGM. Includes trailer, photos, production sketches, and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Atlantis", "url": "http://stargate.mgm.com/view/series/2/index.html"} +{"d:Title": "TV.com: Stargate Atlantis", "d:Description": "Provides news, episode guides, and cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Atlantis", "url": "http://www.tv.com/shows/stargate-atlantis/"} +{"d:Title": "MGM: Stargate SG-1", "d:Description": "Official MGM Site. Offers air schedules, biographies of cast and crew, episodes, photo gallery and links.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1", "url": "http://stargate.mgm.com/"} +{"d:Title": "Unas Language", "d:Description": "Information about the language of the Unas, with dictionary and common phrases.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1", "url": "https://sites.google.com/site/unaslanguage/"} +{"d:Title": "Danielites Mailing List", "d:Description": "This is a mailing list designed for the appreciation and discussion of Dr. Daniel Jackson of Stargate SG-1.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/danielites/"} +{"d:Title": "Stargate-SG1 Mailing List", "d:Description": "A mailing list for European fans of Stargate SG-1.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Stargate-SG1/"} +{"d:Title": "Sam and Jack Mailing List", "d:Description": "A mailing list for those fans of Stargate SG-1 who are interested in the relationship between Samantha Carter and Jack O'Neill.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/samandjack"} +{"d:Title": "SG-1 WavandPic Mailing List", "d:Description": "This list is for fans of the TV show Stargate SG-1 to trade Pictures and Wav files of SG-1 and related topics.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SG-1WavandPic/"} +{"d:Title": "Samand Martouf Mailing List", "d:Description": "A Stargate SG-1 list devoted to discussing the relationship between Samantha Carter and Martouf. General discussion and fan-fiction are welcomed and encouraged.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/SamandMartouf"} +{"d:Title": "SG1 Mailing List", "d:Description": "SG1 is devoted to discussion of all things relating to Stargate - the movie, Showtime's Stargate SG-1, books, the actors and actresses, Egyptology, mythology, the workings of the stargate, and spoilers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/sg1"} +{"d:Title": "StargateSGC Mailing List", "d:Description": "This is a list for all Stargate SG-1 fans. Talk about the gaming site, general talk, anything related to the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/StargateSGC"} +{"d:Title": "Janet-Tealc Mailing List", "d:Description": "This is a list for all Stargate SG-1 fans who are interested in a relationship between Janet and Teal'c.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Janet-Tealc"} +{"d:Title": "Stargate SG-1 Boards", "d:Description": "A messageboard with show and character discussions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://com3.runboard.com/bstargatesg1"} +{"d:Title": "Gateway Mailing List", "d:Description": "This list is devoted to the slashly aspects of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/Gateway/"} +{"d:Title": "SG-1 Spoilme Mailing List", "d:Description": "This list is devoted to news and spoilers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/sg1_spoilme/"} +{"d:Title": "SG1-Spoilergate Mailing List", "d:Description": "This list is devoted to news, spoilers and general discussion.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/sg1-spoilergate/"} +{"d:Title": "The Alpha Gate Mailing List", "d:Description": "This list is devoted to slash, fan fiction and general discussion.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/the_alpha_gate/"} +{"d:Title": "Yahoo! Groups: 6dstargate", "d:Description": "Discussion group on various Stargate-related issues and topics.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://groups.yahoo.com/group/6dstargate/"} +{"d:Title": "Stargate SG-1 @ Yuku", "d:Description": "Discussion forum with a variety of topic threads, including spoilers and fan fiction. Also offers image gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://stargatesg16433.yuku.com/"} +{"d:Title": "Stargate Legacy", "d:Description": "Provides a discussion place devoted to the series, the Atlantis spinoff, and the feature film.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Chats_and_Forums", "url": "http://stargatelegacy.proboards.com/"} +{"d:Title": "Gate SG-1", "d:Description": "Includes episodes guides, character information, pictures, sounds, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Episode_Guides", "url": "http://gate_sg1.tripod.com/"} +{"d:Title": "StarGate Command", "d:Description": "Episode guides, team biographies, and technology definitions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Episode_Guides", "url": "http://www.angelfire.com/ult/stargatecommand/"} +{"d:Title": "Episode List - Stargate : SG1", "d:Description": "Episode guide with lists of characters, writers, and directors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Episode_Guides", "url": "http://www.episodelist.com/shows/stargate-sg-1/13"} +{"d:Title": "Stargate SG1 TV Shows", "d:Description": "Contains episode reviews, cast information, links and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Episode_Guides", "url": "http://www.tv.com/shows/stargate-sg-1/"} +{"d:Title": "Dedicated To Stargate SG-1", "d:Description": "Character biographies, pictures, sounds, episode guide, fan fiction, downloads, and link to series-related jigsaw puzzles.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Pages", "url": "http://www.stargatesg1971.com/"} +{"d:Title": "4eva Sam and Jack", "d:Description": "Various SG-1 items including a Goa'uld to English dictionary, fan fiction, episode guide, music videos, and hot skins.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Pages", "url": "http://www.angelfire.com/space2/4eva_samandjack/"} +{"d:Title": "Hathor's Empire", "d:Description": "A small, fan site run by your favourite goddess, Hathor and her scribe. It offers fan fiction, character references, Stargate slang, and, links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Pages", "url": "http://www.angelfire.com/scifi/hathorsempire/"} +{"d:Title": "JackandSam4ever", "d:Description": "This site is primarily focused on the characters, Jack and Sam, with pictures, sounds, and fan fiction. Site is in Dutch and English.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Pages", "url": "http://users.skynet.be/StargateSg1_jacksam/"} +{"d:Title": "Stargate Alpha", "d:Description": "Online magazine, UK air schedule, episode reviews, RPGs, and news and interviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Pages", "url": "http://stargate-alpha.com/"} +{"d:Title": "Cheyenne Mountain", "d:Description": "Fan site offering Stargate SG-1 fan fiction, Daniel Jackson wallpapers, sound files, desktop themes, and greeting cards.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Pages", "url": "http://myweb.tiscali.co.uk/sgccheyenne/"} +{"d:Title": "The Tok'ra Tunnels", "d:Description": "A site dedicated to Stargate SG-1 in general and the Tok'ra in particular offering graphics, fanfic, humour, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Pages", "url": "http://www.tokra.dk/"} +{"d:Title": "First Day at the SGC", "d:Description": "Open submission for fans to create a short story about their first day at the SGC.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://www.angelfire.com/ms/FirstDay/index.html"} +{"d:Title": "Ancient's Gate", "d:Description": "Fan fiction by JMas. Music vids and artwork by JayEm.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://www.ancientsgate.com/"} +{"d:Title": "The Electronic Menagery of Transitory Passions", "d:Description": "Fan fiction, graphics, and screen captures focusing on the Daniel Jackson character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://www.zoodenizen.com/"} +{"d:Title": "Jack's Little Place on the Web", "d:Description": "Screen and DVD captures, wallpapers and calendars focusing on the character Jack O'Neill.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://www.alittleplaceontheweb.com/"} +{"d:Title": "Richard Dean Anderson - Stargate SG-1", "d:Description": "Stargate SG-1 image galleries, original artwork, and screen captures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://www.daisydownunder.com/starhome.html"} +{"d:Title": "LauraJo's Lunar Lounge", "d:Description": "SG-1 fan fiction focusing on the Sam/Jack relationship, music videos, graphics, and Nokia ringtones.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://www.laurajo.net/"} +{"d:Title": "Stargate Musings by TheOneRing", "d:Description": "Large Stargate fan fiction archive with multiple authors and open submission plus transcripts, conventions, and graphics.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://theonering-stargate.com/TheOneRing.html"} +{"d:Title": "SG1Props", "d:Description": "Information about props and costumes used on Stargate SG-1 and Atlantis. Features tutorials, articles, forums, and a photo gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works", "url": "http://www.sg1props.com/"} +{"d:Title": "SGCfanfiction Mailing List", "d:Description": "List for Stargate SG-1 fan fiction writers. All kinds of fan fiction welcome.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://groups.yahoo.com/group/SGCfanfiction/"} +{"d:Title": "Pandemonium", "d:Description": "Fan fiction based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.pandemonium.me.uk/stargate.htm"} +{"d:Title": "Place of Our Legacy", "d:Description": "Includes assorted fiction, list of beta readers, message board. Home of the SG-1 fan fiction webring.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.squidge.org/~ancientservant/"} +{"d:Title": "The Comfort Zone", "d:Description": "Archive and website for hurt/comfort stories from the SG1HC mailing list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.sg1hc.com/"} +{"d:Title": "Enigma's Maze", "d:Description": "General Stargate fan fiction and missing scene stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/ms/maze/gate.html"} +{"d:Title": "Ashton Press Winter Holidays Fan Fiction Archive", "d:Description": "Stargate holiday related fan fiction by various authors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://xmas.ashtonpress.net/stargate.htm"} +{"d:Title": "Beyond Death", "d:Description": "A regularly updated and ongoing alternate reality novel that takes off from the series after \"Spirits\" of season two.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://s1ra.tripod.com/SG1/LD1.html"} +{"d:Title": "dietcokechic's fanfiction", "d:Description": "Stargate fan fiction written by dietcokechic. Includes links to recommended authors, stories, and compilation sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.dietcokechic.com/stargate/"} +{"d:Title": "Ivanova's Stargate SG-1 Fanfiction", "d:Description": "Several fan fiction stories by Ivanova", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/tv/ivanova/sg1.html"} +{"d:Title": "K. Siegfried's Fan-Fic Archives", "d:Description": "Stargate relationship and team stories, drabbles, and Tok'ra fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://naquada.tripod.com/"} +{"d:Title": "Majel's Homepage", "d:Description": "Stargate SG-1 Fan Fiction by Majel.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/az3/majelita/majels_homepage.htm"} +{"d:Title": "My Stargate Fanfic", "d:Description": "Several stories by Sarah.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/scifi/MythTaken/fic.htm"} +{"d:Title": "Sesa Woruban's Home of Things Stargate", "d:Description": "Stargate SG-1 fan fiction by Sesa.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.sesaworuban.net/"} +{"d:Title": "The Space Monkey's SG-1 Fanfic", "d:Description": "Stargate SG-1 fanfic by The Space Monkey.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://thespacemonkey.tripod.com/"} +{"d:Title": "Stargate SG-1 Fan Fiction", "d:Description": "Stargate SG-1 Fan Fiction by Prophet.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.prophet.phlegethon.org/Fiction/SG-1/SG1.htm"} +{"d:Title": "The Chosen One", "d:Description": "Award winning Daniel Jackson-centric fan fiction. Cam Mitchell fan fiction available as well.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.robinkh.com/"} +{"d:Title": "Stargate SG-1 Fanfiction Ring Page", "d:Description": "Fan fiction webring about the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction", "url": "http://www.webring.org/hub?ring=sgfanfic"} +{"d:Title": "Tiv'ester's Fan Fiction", "d:Description": "Stargate SG-1 fan fiction stories by award nominated Tiv'ester.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Daniel_Jackson", "url": "http://www.members.tripod.com/tivester/Fan_Fiction.htm"} +{"d:Title": "JoaG's Stargate Stories", "d:Description": "Daniel/Jack friendship based stories by award winning author JoaG.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Daniel_Jackson", "url": "http://pages.videotron.com/whump/stargate.html"} +{"d:Title": "Daniel Jackson Fanfiction Webring", "d:Description": "Stargate SG-1 web ring listing sites with stories that have Daniel Jackson as the main character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Daniel_Jackson", "url": "http://www.webring.org/hub?ring=danieljacksonfan"} +{"d:Title": "Hidden Truths", "d:Description": "Fan fiction focusing on Sam and Jack relationships. Primarily missing scenes and episode tags.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_and_Sam", "url": "http://hiddentruths.dressblues.org/"} +{"d:Title": "P3X-595 The Adventures of Jack and Sam", "d:Description": "Vicki's stories, as well as quotes and reviews. Focuses mainly on the Sam and Jack relationship, but includes some mentions and stories of Daniel and Janet.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_and_Sam", "url": "http://www.angelfire.com/scifi2/carter_oneill/index.html"} +{"d:Title": "Stargate SG-1 Fan Fiction", "d:Description": "Original stories by Rebecca Ratliff generally centering around Sam and Jack relationships.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_and_Sam", "url": "http://buckeyebelle.tripod.com/sg1/sg1index.html"} +{"d:Title": "Stargate SG1 Stories", "d:Description": "Fan fiction by Wendy with Sam and Jack romance and other story types.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_and_Sam", "url": "http://www.users.globalnet.co.uk/~parkin/wendy/stargate.htm"} +{"d:Title": "Starna's Stargate SG-1 Page", "d:Description": "Sam and Jack based stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_and_Sam", "url": "http://starna.tripod.com/stargate/"} +{"d:Title": "The African Stargate", "d:Description": "Stargate SG-1 fan fiction heavily devoted to Colonel Jack O'Neill by award winning author BilTong.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_O'Neill", "url": "http://mysite.mweb.co.za/residents/mwradvid/homepage.html"} +{"d:Title": "Jack's House", "d:Description": "Stargate fanfiction and picture gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_O'Neill", "url": "http://stargate1.fcpages.com/"} +{"d:Title": "Star Warriors", "d:Description": "Stargate SG-1 fan fiction with Jack O'Neill oriented stories by Elizabeth.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Fan_Works/Fan_Fiction/Jack_O'Neill", "url": "http://www.sg1.starwarriors.net/"} +{"d:Title": "Stargate: SG-5 Simulation", "d:Description": "A role playing game on a message board. Play your own character in the Stargate universe. A mixture of each branch of the US military.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Online_Roleplaying", "url": "http://www.stargategames.com/sims/sg5/"} +{"d:Title": "AusGate's Stargate Command", "d:Description": "Online role-playing game.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Online_Roleplaying", "url": "http://www.ausgate.net/"} +{"d:Title": "Stargate Unlimited", "d:Description": "A message board based Stargate RPG site.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_SG-1/Online_Roleplaying", "url": "http://s7.invisionfree.com/StargateUnleashed?phpact=idx"} +{"d:Title": "MGM: Stargate Universe", "d:Description": "Metro-Goldwyn-Mayer site for Stargate series. Features cast and characters, video, photo and graphics resources.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Universe", "url": "http://stargate.mgm.com/view/series/3/"} +{"d:Title": "Syfy: Stargate Universe", "d:Description": "Official website. Offers schedules, episode guides, cast information, photo and wallpapers galleries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Universe", "url": "http://www.syfy.com/universe/"} +{"d:Title": "SGU Stargate Universe", "d:Description": "IMDb records featuring information on cast and creators, some technical data, FAQ, reviews and message boards.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Universe", "url": "http://www.imdb.com/title/tt1286039/"} +{"d:Title": "Stargate-Universe.eu", "d:Description": "Fan site offering screenshots, themed fonts, sounds, screensavers and wallpapers as well as information about the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Stargate_Series/Stargate_Universe", "url": "http://www.stargate-universe.eu/"} +{"d:Title": "IMDB: Starhunter 2001", "d:Description": "Features cast, crew, reviews, synopsis, comments and discussions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Starhunter", "url": "http://www.imdb.com/title/tt0250750/"} +{"d:Title": "IMDb: The Starlost", "d:Description": "Cast and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Starlost,_The", "url": "http://www.imdb.com/title/tt0069638/"} +{"d:Title": "The Starlost - Roku Guide", "d:Description": "The 1973 series available on streaming media. Free to watch.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Starlost,_The", "url": "https://www.rokuguide.com/channels/starlost"} +{"d:Title": "Is The Starlost The Worst Science Fiction Series Ever Made?", "d:Description": "Post by Alex Carnevale.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Starlost,_The", "url": "http://io9.gizmodo.com/5058268/is-the-starlost-the-worst-science-fiction-series-ever-made"} +{"d:Title": "StarTrek.com", "d:Description": "Official Site. Includes news, interviews, cast biographies, episode guides, and other information.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.startrek.com/"} +{"d:Title": "About.com - Star Trek Fans", "d:Description": "Includes articles and forums from About.com.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://scifi.about.com/od/startrek/"} +{"d:Title": "The Star Trek Annotated Timeline and Reference Table", "d:Description": "Downloadable reference that attempts to fix dates for the licensed novels, comics, and short stories in the context of the television episodes and films. [PDF]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.pjfarmer.com/woldnewton/Startrek.htm"} +{"d:Title": "Star Trek: Random Sector", "d:Description": "Includes series information, random facts, character biographies, episode guides, and a forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.randomsector.com/"} +{"d:Title": "Star Trek: The Fan Phenomenon", "d:Description": "An in-depth look at \"Trekkers\": their public image versus reality,the history of Trek fandom, their activities and just why they love the show so much. Based on a year-long survey of fans worldwide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.angelfire.com/stars3/whitestar2001/index.html"} +{"d:Title": "Star Trek-FAQs", "d:Description": "Collection of FAQ documents related to the several series and their casts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.faqs.org/faqs/star-trek/"} +{"d:Title": "Trek Continuum", "d:Description": "Provides information on all the Star Trek series with links and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.angelfire.com/trek/trekcontinuum/"} +{"d:Title": "The Trek Pages", "d:Description": "Thousands of links and hundreds of pictures. There is also an episode review of Star Trek Voyager.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.angelfire.com/wa/jace/trek/trek.html"} +{"d:Title": "TrekToday.com", "d:Description": "A daily updated guide offering news on upcoming episodes, novels, games, toys and all other relevant Star Trek news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.trektoday.com/"} +{"d:Title": "TrekWebSites", "d:Description": "Send a free musical Star Trek postcard to a friend.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.trekwebsites.com/cards.html"} +{"d:Title": "Adge's Star Trek Media Site", "d:Description": "Image galleries, including animated LCARS, stardates, characters, ships, rank insignia, and technology. [Flash required]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.lcars.org.uk/"} +{"d:Title": "Star Trek Dimension", "d:Description": "Information on cartography, science, ships, episode guides, images and sounds, and humor. [German and English]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series", "url": "http://www.stdimension.org/"} +{"d:Title": "Trekkies - Animated Series", "d:Description": "Includes a Series guide, complete episode listings, cast information and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Animated_Series,_The", "url": "http://www.angelfire.com/ca3/trekkies/amtrek.html"} +{"d:Title": "Curt Danhauser's Guide to Animated Star Trek", "d:Description": "An in depth guide featuring an episode guide, history of the series, character details, production lists, and other information.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Animated_Series,_The", "url": "http://www.danhausertrek.com/AnimatedSeries/Main.html"} +{"d:Title": "Deep Space 93", "d:Description": "Includes an episode guide and ratings on each episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Animated_Series,_The", "url": "http://originaltrek.deepspace93.com/73-74/"} +{"d:Title": "IMDb", "d:Description": "Plot and voice cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Animated_Series,_The", "url": "http://www.imdb.com/title/tt0069637/"} +{"d:Title": "The International Save Data Campaign", "d:Description": "A chance to voice your opposition to Brent Spiner's plan to leave Star Trek by killing off Data, and to let Paramount know we won't pay to see Data die.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Campaigns_and_Protests", "url": "http://www.angelfire.com/tn/SaveData/index.html"} +{"d:Title": "The Needs Of The Many", "d:Description": "Why Paramount should bring back Kirk.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Campaigns_and_Protests", "url": "http://www.angelfire.com/scifi/bbkirk/"} +{"d:Title": "Locutus.com", "d:Description": "Star Trek fans worldwide united in a Collective fight against cancer.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Campaigns_and_Protests", "url": "http://www.locutus.com/"} +{"d:Title": "Star Trek Women", "d:Description": "Sites, sounds, and information on the women of all four series and the movies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Cast_and_Crew", "url": "http://www.sherylfranklin.com/trekwomen.html"} +{"d:Title": "StarTrekLinks.net", "d:Description": "Directory of official sites for actors and actresses from the series and the movies, including many guest stars. Also includes convention pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Cast_and_Crew", "url": "http://startreklinks.net/"} +{"d:Title": "TNG Women: B'Etor", "d:Description": "Includes an introduction to Star Trek and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/B'Etor", "url": "http://www.sherylfranklin.com/trekwomen_betor.html"} +{"d:Title": "Sheryl's Star Trek Barclay Page", "d:Description": "Features image gallery of the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Barclay,_Reginald", "url": "http://www.sherylfranklin.com/barclay.html"} +{"d:Title": "Star Trek Lives - Reginald Barclay", "d:Description": "Crew file on the Starfleet systems diagnostic engineer.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Barclay,_Reginald", "url": "http://www.startreklives.de/crew/reginald_barclay.htm"} +{"d:Title": "Beverly Crusher", "d:Description": "Biographic information and timeline on the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Crusher,_Dr._Beverly", "url": "http://stng.36el.com/"} +{"d:Title": "Spot's Ode to Data", "d:Description": "Information, sound files, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Data", "url": "http://www.nd.edu/~ljordan/data.text/data.html"} +{"d:Title": "Sheryl's Star Trek Site - Guinan", "d:Description": "Dedicated to the character with pictures and profile.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Guinan", "url": "http://www.sherylfranklin.com/trekwomen_guinan.html"} +{"d:Title": "Bring Back Kirk", "d:Description": "Official Website. Dedicated to reinstating Kirk to the Captain's chair.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Kirk,_James_T.", "url": "http://www.bringbackkirk.com/"} +{"d:Title": "Lois's Captain Kirk Page", "d:Description": "Tribute page to the captain and actor who plays him in Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Kirk,_James_T.", "url": "http://www.angelfire.com/trek/cjtkpage/"} +{"d:Title": "Captain Kirk Page", "d:Description": "A fan site with background information, chat room, message board, pictures, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Kirk,_James_T.", "url": "http://www.thecaptainkirkpage.com/"} +{"d:Title": "Sheryl's Star Trek Site - Lursa", "d:Description": "Brief biographical information on the Klingon character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Lursa", "url": "http://www.sherylfranklin.com/trekwomen_lursa.html"} +{"d:Title": "He's Dead, Jim!", "d:Description": "In Memorial to DeForest Kelley", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/McCoy,_Dr._Leonard_'Bones'", "url": "http://members.tripod.com/~Nimoy_Kelley/desdead.htm"} +{"d:Title": "BBC Online - Dr Leonard 'Bones' McCoy", "d:Description": "Profile of the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/McCoy,_Dr._Leonard_'Bones'", "url": "http://www.bbc.co.uk/cult/st/original/bones.shtml"} +{"d:Title": "Planet Riker", "d:Description": "A fan site with pictures, links, and other information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Riker,_William_T.", "url": "http://planetriker.org/"} +{"d:Title": "Sheryl's Star Trek Site - Lily Sloane", "d:Description": "Biographical information and pictures of the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Sloane,_Lily", "url": "http://www.sherylfranklin.com/trekwomen_lily_sloane.html"} +{"d:Title": "Ambassador Spock", "d:Description": "Dossier on the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Spock", "url": "http://star.trek.org/~spock/"} +{"d:Title": "Vulcan Willi", "d:Description": "Information about the German double of Mr. Spock.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Spock", "url": "http://www.der-deutsche-spock.de/index_en.htm"} +{"d:Title": "Sheryl's Star Trek Site - Uhura", "d:Description": "Biographical information about the character with pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Uhura", "url": "http://www.sherylfranklin.com/trekwomen_uhura.html"} +{"d:Title": "Uhura.Com", "d:Description": "The official website of Nichelle Nichols - Uhura of Star Trek", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Uhura", "url": "http://www.uhura.com/"} +{"d:Title": "BBC Online - Star Trek - Original series - Uhura", "d:Description": "With biographical details, pictures, and key moments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Uhura", "url": "http://www.bbc.co.uk/cult/st/original/uhura.shtml"} +{"d:Title": "Dennette's Daybooks", "d:Description": "Videos from the series that feature the character of Worf, with synopsis.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Worf", "url": "http://www.waterholes.com/~dennette/1996/960421.htm"} +{"d:Title": "Commander Worf", "d:Description": "Fan site dedicated to the character with pictures, links, and other information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Worf", "url": "http://www.angelfire.com/ny3/ds9er/worf.html"} +{"d:Title": "The Real Worf of Subspace", "d:Description": "Star Trek site offering sounds, links and pictures of the actors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Worf", "url": "http://members.tripod.com/~RobertWalter/"} +{"d:Title": "Michael \"Worf\" Dorn", "d:Description": "Pictures and biographical information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Characters/Worf", "url": "http://www.beakernet.net/worf/"} +{"d:Title": "Rogue Fleet Sim Group", "d:Description": "Discussions about Star Trek simming.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums", "url": "http://rfsg.hyperboards.com/"} +{"d:Title": "OutPost 10F", "d:Description": "Star Trek and Star Wars community based on a promotion system for attendance and participation in chat events, trivia.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums", "url": "http://www.outpost10f.com/"} +{"d:Title": "Section 5 Chatroom at TrekBase.com", "d:Description": "Interactive chat for Star Trek and The Matrix, with a rank system for members. [May not work with some browsers.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums", "url": "http://trekbase.com/"} +{"d:Title": "Klingon Imperial Forums", "d:Description": "Message boards covering aspects of the Klingon Empire, including language, culture, rituals, traditions, ceremonies, costuming, weaponry, and art.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums", "url": "http://www.klingon.org/smboard/"} +{"d:Title": "The Trek BBS", "d:Description": "Includes sections for all the series, Nemesis, games, technology, fan fiction, and literature.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums", "url": "http://www.trekbbs.com/"} +{"d:Title": "Terok Nor Forums", "d:Description": "Message boards for all five series, as well as games and films.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums", "url": "http://teroknor.proboards.com/"} +{"d:Title": "StarTrekFans.net", "d:Description": "A moderated message board to discuss all aspects of Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums/Forums", "url": "http://www.startrekfans.net/"} +{"d:Title": "Trek Talk Central", "d:Description": "Discussion boards for members and the general public.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums/Forums", "url": "http://trektalk0.tripod.com/"} +{"d:Title": "The Star Trek Forum", "d:Description": "Forum which includes sections on the series, fan fiction, movies, and humor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums/Forums", "url": "http://startrek911.proboards.com/"} +{"d:Title": "Star Trek One", "d:Description": "A Star Trek discussion mailing list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/star-trek-one/"} +{"d:Title": "Creation Entertainment", "d:Description": "Star Trek, Hercules/Xena, and other science fiction conventions. (CC)", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Conventions", "url": "http://www.creationent.com/"} +{"d:Title": "Shore Leave", "d:Description": "Fan-run Media SF con held in July near Baltimore, MD. Features Trek and other Media SF guests, including authors, and scientists. (CC)", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Conventions", "url": "http://www.shore-leave.com/"} +{"d:Title": "TrekTrax Atlanta", "d:Description": "Annual convention in Atlanta. Site includes list of guests, hotel information, programming, past convention photographs, and staff contacts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Conventions", "url": "http://www.trektrax.org/"} +{"d:Title": "Star Trek (and Other) Conventions", "d:Description": "A listing of appearances by actors from Star Trek, Babylon 5, Hercules, Xena, Buffy the Vampire Slayer, and Battlestar Galactica.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Conventions/Convention_Directories", "url": "http://conventions.fanspace.com/"} +{"d:Title": "Vidiot's", "d:Description": "Includes air schedules and news about the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Deep_Space_Nine", "url": "http://www.vidiot.com/st-ds9/"} +{"d:Title": "Deep Space Nine Rocks", "d:Description": "Biographies of the main cast and supporting, a quiz, a link page, parodies, and sound wavs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Deep_Space_Nine", "url": "http://www.angelfire.com/tx/Changling8/"} +{"d:Title": "Star Trek: Dateline Dominion", "d:Description": "A weekly news magazine bringing supporters of the Dominion updates on our conflict with the Federation.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Deep_Space_Nine", "url": "http://dominion.tvheaven.com/"} +{"d:Title": "The Promenade: A World full of Aliens", "d:Description": "Includes character profiles, pictures, and Ferengi information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Deep_Space_Nine", "url": "http://members.tripod.com/~ds9promenade/"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Deep_Space_Nine", "url": "http://www.imdb.com/title/tt0106145/"} +{"d:Title": "TV.com: Deep Space Nine", "d:Description": "Contains cast and crew information, also provides an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Deep_Space_Nine", "url": "http://www.tv.com/shows/star-trek-deep-space-nine/"} +{"d:Title": "The Star Trek Wormhole", "d:Description": "Contains Star Trek links organized by category.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories", "url": "http://www.startrek-wormhole.com/"} +{"d:Title": "Star Trek: WWW", "d:Description": "Provides links to a wide variety of sites, news groups, and mailing lists.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories", "url": "http://www.stwww.com/"} +{"d:Title": "Deep Space Nine Webring", "d:Description": "A service of Star Trek Central.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=deepspace9"} +{"d:Title": "Star Trek Central Webring", "d:Description": "Lists several general Star Trek sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=greatlink"} +{"d:Title": "Alpha Quadrant Web Ring", "d:Description": "A Star Trek Ring with more than 400 sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=star_trek_ring"} +{"d:Title": "Gorkon's Star Trek Web Ring", "d:Description": "Web ring for Star Trek sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=gorkon"} +{"d:Title": "Katie's Star Trek Web Ring", "d:Description": "Web ring for Star Trek sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=belanna"} +{"d:Title": "WebRing : Star Trek Ring", "d:Description": "Directory for all sites pertaining to the cult classic. Includes TV series, movies, animation, collections and parodies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=startrek"} +{"d:Title": "WebRing : Star Trek Fantasy", "d:Description": "Directory of fan sites.about the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub?ring=assimi"} +{"d:Title": "Star-Trek-One", "d:Description": "Lists several general Star Trek sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub/startrekone"} +{"d:Title": "WebRing: Star Trek Online", "d:Description": "Directory of sites on the themes of DS9, Next Generation, Voyager, and Star Fleet Academy.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Directories/Web_Rings", "url": "http://www.webring.org/hub/sfb_official"} +{"d:Title": "The Star Trek MIDI page", "d:Description": "Includes midi files of all main themes, the songs of Vic Fontaine, and other files.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.vic-fontaine.com/"} +{"d:Title": "Aline's Collection of Star Trek Wallpaper", "d:Description": "A collection of Star Trek desktop wallpaper.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.xs4all.nl/~dassel/"} +{"d:Title": "Starbase C3", "d:Description": "A VRML-based starship construction site featuring starship design, exploration and message boards.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.cube3.com/starbase.htm"} +{"d:Title": "The Star Trek Gallery", "d:Description": "A massive gallery with more than 4000 pictures ofall Star Trek series and movies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.trainerscity.com/startrek/"} +{"d:Title": "Lcars Terminal", "d:Description": "Download a shareware shell with the look of computer screens appearing in the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.lcars-terminal.net/"} +{"d:Title": "System47", "d:Description": "Screensaver that simulates an LCARS scan and wallpapers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.mewho.com/system47/"} +{"d:Title": "Strekonline.com", "d:Description": "Includes Enterprise and Nemesis images, wallpapers, and sounds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.strekonline.com/"} +{"d:Title": "Star Fleet Command", "d:Description": "Site containing music, programs, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.missionbriefing.50megs.com/"} +{"d:Title": "Star Trek Blooper Downloads", "d:Description": "Includes a collection of the funniest Star Trek bloopers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.civfanatics.net/~trek/"} +{"d:Title": "Startrekmedia.com :: Star Trek Media Online", "d:Description": "Includes trailers, clips, humour, special effects, audio, and a database.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.startrekmedia.com/"} +{"d:Title": "The Definitive Star Trek Collection", "d:Description": "Includes links, sounds, mailing lists, and chat.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://my.execpc.com/~lam/startrek.html"} +{"d:Title": "High Quality Logos", "d:Description": "Contains symbols and logos of institutions from the Star Trek universe.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Downloads", "url": "http://www.sol6.de/design/graphiken/startrek-logos/index_eu.html"} +{"d:Title": "Popcorn Avenger's Enterprise Website", "d:Description": "Includes episode guides, image gallery, crew biographies, links and surveys.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://nx01enterprise.tripod.com/"} +{"d:Title": "Star Trek: Enterprise", "d:Description": "Humor-based site containing cast and character information and episode reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://www.firsttvdrama.com/enterprise/"} +{"d:Title": "Save Enterprise", "d:Description": "Addresses and other information related to the campaign to avoid cancellation.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://saveenterprise.tripod.com/"} +{"d:Title": "TripHammered", "d:Description": "Website detailing all the injuries, physical and psychological, visited upon Trip Tucker during the run of Enterprise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://www.triphammered.com/"} +{"d:Title": "Vidiot's Enterprise Web Page", "d:Description": "Information on Enterprise, show dates, video promos, and cast biographies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://www.vidiot.com/st-ent/"} +{"d:Title": "Enterprise The Game", "d:Description": "Free arcade-style shooter for Windows PC based on the series Star Trek Enterprise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://enterprisegame.homestead.com/index.html"} +{"d:Title": "NPR : Star Trek Franchise Not-So-Boldly Goes Off the Air", "d:Description": "The last episode of Star Trek: Enterprise airs this week, and for the first time in 20 years, there will no longer be a Star Trek television show in active production. Dallas Observer critic Robert Wilonsky says Star Trek should take some tips from the Star Wars franchise: lay low and plan the comeback carefully. [3:56 streaming audio broadcast]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://www.npr.org/templates/story/story.php?storyId=4651116"} +{"d:Title": "Wikipedia: Star Trek: Enterprise", "d:Description": "Contains an overview of the show, information on the series post-cancellation and related links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://en.wikipedia.org/wiki/Star_Trek_Enterprise"} +{"d:Title": "IMDb: Enterprise", "d:Description": "General comments on the show with cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://www.imdb.com/title/tt0244365/"} +{"d:Title": "Episode List: Enterprise", "d:Description": "Episode list and synopsis. PlotTracker tool enables cross reference of characters and plot lines.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://www.episodelist.com/shows/star-trek-enterprise/16"} +{"d:Title": "TV.com: Enterprise", "d:Description": "Episode guides with viewers comments and cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise", "url": "http://www.tv.com/shows/star-trek-enterprise/"} +{"d:Title": "Trek BBS: Enterprise", "d:Description": "Forum for discussion of the Enterprise series on TrekBBS.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise/Chats_and_Forums", "url": "http://www.trekbbs.com/forumdisplay.php?f=37"} +{"d:Title": "Searching for Stars", "d:Description": "A Hoshi and Trip fansite containing fan fiction, art, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Enterprise/Fan_Fiction", "url": "http://angelfire.com/trek/starsearcher"} +{"d:Title": "The Cynics Corner", "d:Description": "Site offers reviews of Star Trek shows as well as other science-fiction shows of interest.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Episode_Guides", "url": "http://www.cynicscorner.org/"} +{"d:Title": "Star Trek: Hypertext", "d:Description": "Up to date reviews of all Star Trek: Deep Space Nine and Star Trek: Voyager episodes as well as capsule reviews of The Original Series.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Episode_Guides", "url": "http://www.jammersreviews.com/"} +{"d:Title": "Star-Fleet", "d:Description": "An online Star Trek role playing game club, based on a web bulletin board system.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs", "url": "http://star-fleet.com/"} +{"d:Title": "USS Angeles", "d:Description": "Los Angeles area fan club. Includes pictures, newsletter, links, and membership information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs", "url": "http://www.ussangeles.org/"} +{"d:Title": "USS Daya", "d:Description": "A Norway Class starship, part of Nova Fleet.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs", "url": "http://members.tripod.com/USS_Daya/index.html"} +{"d:Title": "USS Gryphon", "d:Description": "A club in Kalamazoo, Michigan. Newsletter archive and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs", "url": "http://my.net-link.net/~vaneselk/ussgryphon/home.htm"} +{"d:Title": "USS Myrddin", "d:Description": "A Social Star Trek Club based in the Tampa Bay, FL area.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs", "url": "http://www.ussmyrddin.com/"} +{"d:Title": "Stardate: 50425.1", "d:Description": "Fanlisting for the Voyager episode \"Macrocosm.\" Includes membership information, rules, and a listing of current members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs", "url": "http://alethea.liquidstar.org/macrocosm/"} +{"d:Title": "Klingon Imperial Diplomatic Corps", "d:Description": "Klingon cultural resource about the Klingon society, traditions, and rituals.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Klingon", "url": "http://www.klingon.org/"} +{"d:Title": "Klingon Assault Group", "d:Description": "Global community resources, forums, galleries, and publications.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Klingon", "url": "http://www.kag.org/"} +{"d:Title": "Klingon Clubs and Groups FAQ", "d:Description": "Listing all clubs and groups centered around Klingon culture and language in a FAQ.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Klingon", "url": "http://www.klingons.org/faqs/kli-faq.html"} +{"d:Title": "KAG Marine Intel", "d:Description": "Includes information about conventions with KAG events, Klingon language information, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Klingon", "url": "http://www.angelfire.com/scifi/kagmarineintel/"} +{"d:Title": "KAG Australia", "d:Description": "Chapter of The Klingon Assault Groups in Australia. Offers KAG information, ships, and events.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Klingon", "url": "http://www.angelfire.com/scifi/kagaustralia/main.html"} +{"d:Title": "IKS Bloodoath", "d:Description": "Home of a group located in Victoria, BC, flagship of house Ghomchech. Includes photos from meetings and conventions, as well as tips on costume creation.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Klingon", "url": "http://members.shaw.ca/iksbloodoath/"} +{"d:Title": "Starfleet", "d:Description": "Fan run Star Trek association with more than 4500 members and over 250 chapters world wide. Includes conventions, news, and membership information.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Starfleet", "url": "http://www.sfi.org/"} +{"d:Title": "Rogue Phoenix Sci-fi Fantasy Club", "d:Description": "Hub for fan groups in Savannah, Georgia. Includes membership information and image gallery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Starfleet", "url": "http://www.roguephoenix.org/"} +{"d:Title": "USS Spiritwalker", "d:Description": "Chapter based in Decatur, Alabama. The members of the club interact in their various communities though community services.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Starfleet", "url": "http://www.angelfire.com/al4/spiritwalker/index.html"} +{"d:Title": "Starfleet Region 7", "d:Description": "Home of the Mid-Atlantic Region Chapters. Includes events, list of chapters, and staff members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Starfleet", "url": "http://www.region7.com/"} +{"d:Title": "USS Black Hawk, NCC-75004", "d:Description": "The Black Hawk Star Trek Space Science Association of Rockford, Illinois, formerly the USS Shiloh. Webrings, history of the group, and links to member pages.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Starfleet", "url": "http://www.interplanetary.net/blackhawk/"} +{"d:Title": "Starfleet Command", "d:Description": "An international group dedicated to the promotion of the Star Trek philosophy. Includes a forum, membership information, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/Starfleet_Command", "url": "http://www.starfleet-command.com/"} +{"d:Title": "Star Trek: Altair Planetary System", "d:Description": "Chartered member of UFP. Includes information on rules and staff members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/United_Federated_Planets", "url": "http://members.tripod.com/~knighthawk_2/"} +{"d:Title": "USS Pioneer NCC-5280-D", "d:Description": "A member fan club of the United Federation of Planets Internationale, based in Denver, CO.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Clubs/United_Federation_of_Planets_Internationale", "url": "http://www.usspioneer.org/"} +{"d:Title": "Trek Writer's Guild", "d:Description": "Archive that includes more than 350 stories, as well as message board for writers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.twguild.com/"} +{"d:Title": "Star Tricked: the Next Perpetration", "d:Description": "Menu to the Star Tricked scripts, parodies of Star Trek, with webrings and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.heptune.com/StarTrkM.html"} +{"d:Title": "USS Prometheus Original Stories", "d:Description": "The USS Prometheus is an original ship with original stories after the Dominion War.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.angelfire.com/scifi/ussprometheus/index.html"} +{"d:Title": "An Android's Tale", "d:Description": "Fan fiction based on the Joy Class Androids.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://polyticks.com/home/Joy/"} +{"d:Title": "Star Trek: USS Oblivion", "d:Description": "A collection of fan fiction in Star Trek universe involving the crew of USS Oblivion.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://ussoblivion.tripod.com/"} +{"d:Title": "Kathleen Dailey's Fan Fiction Page", "d:Description": "Star Trek-based fan fiction, with a special emphasis on TOS and TNG-era Vulcan and Romulan stories. Links to recommended fan fiction sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://home.ican.net/~editrix/"} +{"d:Title": "Seema's Trek Fan Fiction", "d:Description": "Fan fiction from all the series and crossovers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://seema.org/myfanfic.html"} +{"d:Title": "Star Trek : Fan Fiction", "d:Description": "Stories and parodies listed by author and series. Includes stories from all the series through Voyager.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.trekfanfiction.net/"} +{"d:Title": "The Starbase 49 Space Station Project", "d:Description": "Fan fiction project based on Star Trek written by an on-line community.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.starbase49.com/"} +{"d:Title": "Amagosa", "d:Description": "Contains the stories from the cancelled newsgroup alt.startrek.uss-amagosa.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://home.wtal.de/locust_iic/stories/amagosa/amagosa.html"} +{"d:Title": "Star Trek Fan Fiction Compilation", "d:Description": "This site is a collection of Star Trek fan fiction, revolving around the lives of three very different Starfleet officers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www-personal.umich.edu/~chimera/trek_start.html"} +{"d:Title": "Star Trek: The Ship of Fools", "d:Description": "Club where people are invited to read and write Star Trek stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.foolquest.com/enter.htm"} +{"d:Title": "Star Trek: Challenger", "d:Description": "Fan fiction based in the Gamma Quadrant after the Dominion War.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.members.tripod.com/usschallenger47502/"} +{"d:Title": "Starships of the Third Fleet", "d:Description": "Home of a writing club whose members create original stories and characters in the universe of the series. Includes character profiles, information about the ships and planets in the stories, and FAQ.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.ss3f.com/"} +{"d:Title": "The Star Traks Nexus", "d:Description": "Stories from 120 years into the future after the original series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://www.star-traks.com/"} +{"d:Title": "Star Trek Adventurous", "d:Description": "With original text and images. Includes images of the ships, crew and places featured.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://infanity.ficlaundering.com/adventurous/"} +{"d:Title": "The Starbug", "d:Description": "A few stories related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Fiction", "url": "http://njsjedi.tripod.com/"} +{"d:Title": "Ice's Star Trek", "d:Description": "Includes news, fan art, biographies, articles, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://members.tripod.com/mcddorpics/"} +{"d:Title": "To Boldly Go", "d:Description": "Includes news, interviews, reviews, starship information, pictures, and downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://trekmania.tripod.com/toboldlygo/"} +{"d:Title": "Star Trek and STF", "d:Description": "A collection of Star Trek pictures, top-ten lists, and information about a RPG club called STF.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://www-personal.umich.edu/~geneh/startrek/"} +{"d:Title": "Vulcan Kid's Home Page", "d:Description": "Includes information about Vulcans, Romulans, and Klingons races.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://www.angelfire.com/md/startrekkie1701/index.html"} +{"d:Title": "John's Star Trek Universe", "d:Description": "Includes starship information, web polls, links, dedication plaques, trivia, and books.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://www.angelfire.com/nj2/startrek/index.html"} +{"d:Title": "Lcarsone's Star Trek Fan Page", "d:Description": "Episode lists, quotes, pictures, sounds and other downloads, and memorials to deceased characters, including Dr. McCoy and Spot, Data's cat.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://www.angelfire.com/mt2/trekmachine/"} +{"d:Title": "StarTrekkie.com", "d:Description": "Includes news, jokes, fan art, image galleries, wallpapers, bloopers, and music.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://www.startrekkie.com/"} +{"d:Title": "Trekkies Heaven", "d:Description": "Star Trek weblog which includes opinions on books and the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://trektalk.blogspot.com/"} +{"d:Title": "Me and My Trek", "d:Description": "A personal reflection of Star Trek from a Hungarian Trekker.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://members.tripod.com/~TheRealTalkingFish/startrek.html"} +{"d:Title": "Star Trek The Final Frontier", "d:Description": "This website includes information on Voyager, DS9, and TNG. It includes pictures, audio files and basic release dates on videos and pc games.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://www.startrek-voyager.iwarp.com/"} +{"d:Title": "Ace Avenger's Star Trek Database", "d:Description": "Includes information about the Federation ships. Also the Klingon, Romulan, Borg, Cardassian, Jem'Hadar, and the Breen races.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://ace_avenger.tripod.com/"} +{"d:Title": "Star Trek Tarot", "d:Description": "Card images from the fan-created deck.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://www.aeclectic.net/tarot/cards/star-trek/"} +{"d:Title": "Star Trek: Inconstruction", "d:Description": "UFP Star Ship information, picture galleries, and a special about Star Trek: KaiRo.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Fan_Pages", "url": "http://inconstruction.kairo.at/"} +{"d:Title": "Exploratory Crews of the Federation", "d:Description": "A fast growing Star Trek oriented sim group.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Games", "url": "http://www.angelfire.com/al2/ECF/index.html"} +{"d:Title": "Sector001/USF", "d:Description": "A Star Trek based sim group. Includes membership information and resources for players.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Games", "url": "http://www.sector001.com/"} +{"d:Title": "Star Trek Coloring Book", "d:Description": "Interactive online coloring book for kids and Star Trek fans of all ages. Will need java to run.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Games", "url": "http://star.trek.coloringbook.fanspace.com/"} +{"d:Title": "3D chess from Star Trek", "d:Description": "The original rules for three dimensional chess.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Games", "url": "http://www.chessvariants.com/3d.dir/startrek.html"} +{"d:Title": "WWWF Grudge Match: Khan vs. Lex Luthor", "d:Description": "The battle of evil geniuses: Lex Luthor vs. Star Trek's Khan in best-of-7 Stratego.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Humor", "url": "http://www.grudge-match.com/History/khan-luthor.shtml"} +{"d:Title": "Satire Trek", "d:Description": "Parody script based on the original series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Humor", "url": "http://www.zulfiqar.com/star.html"} +{"d:Title": "The Voyager Fun Gallery", "d:Description": "Jokes based on the first four series, Voyager quotes and fan art, and \"Ask Psychic Seven,\" a game similar to Magic 8-Ball.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Humor", "url": "http://members.outpost10f.com/~lindax/hp/voyfun/voyfun.html"} +{"d:Title": "WWWF Grudge Match: U.S.S. Enterprise vs. The Death Star", "d:Description": "It's the battle for the universe as Darth Vader and the Death Star battle James T. Kirk and the U.S.S. Enterprise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Humor", "url": "http://www.grudge-match.com/History/ds-enterprise.shtml"} +{"d:Title": "Star Wrecked", "d:Description": "Includes comedic biographies, wallpapers, screen savers, and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Humor", "url": "http://starwrecked.faketrix.com/"} +{"d:Title": "Future Birthplace of James T. Kirk", "d:Description": "Road report and review of a speculative bit of civic pride in Riverside, Iowa.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Humor", "url": "http://www.roadsideamerica.com/story/2081"} +{"d:Title": "Stone Trek", "d:Description": "To boulderly go where no man has gone before.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Humor/Cartoons", "url": "http://www.angelfire.com/fl/sapringer/STONETREK.html"} +{"d:Title": "Tripper's Star Trek Site", "d:Description": "Photos of various show memorabilia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Memorabilia", "url": "http://www.tripper.8m.com/"} +{"d:Title": "BBC Online", "d:Description": "British site providing cast information and trivia about the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Next_Generation,_The", "url": "http://www.bbc.co.uk/cult/st/tng/"} +{"d:Title": "Star Trek Next Generation Gallery", "d:Description": "Contains images of crew and the Enterprise set, as well as in depth facts and figures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Next_Generation,_The", "url": "http://dschouse.tripod.com/Engage/id2.html"} +{"d:Title": "Imzadi Dreams", "d:Description": "Celebrates the wonder of Imzadi (the bond shared by Deanna and Will) through fan fiction and photos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Next_Generation,_The", "url": "http://www.angelfire.com/pe/jojosworld/imzadi.html"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Next_Generation,_The", "url": "http://www.imdb.com/title/tt0092455/"} +{"d:Title": "Imzadi Everlasting", "d:Description": "Riker and Troi fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Next_Generation,_The/Fan_Fiction", "url": "http://www.dolphincry.com/imzadieverlasting"} +{"d:Title": "Just the Romance", "d:Description": "Focused on Picard and Crusher fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Next_Generation,_The/Fan_Fiction", "url": "http://docbevculver.i8.com/"} +{"d:Title": "Start Trek: The Next Generation - Romulan Peace Treaty", "d:Description": "Spoof about how Rodney King inspires a peace treaty between the Federation and the Romulan Star Empire.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Next_Generation,_The/Fan_Fiction", "url": "http://www.brandonstaggs.com/star-trek-tng-spoof.html"} +{"d:Title": "To Seek Out New Life", "d:Description": "Companion web site to the book that discusses the biology of Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races", "url": "http://www.toseekoutnewlife.com/"} +{"d:Title": "Lost races of Star Trek", "d:Description": "The races from TOS that were never seen again.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races", "url": "http://www.70disco.com/lostrace.htm"} +{"d:Title": "House of Ku'vaj", "d:Description": "The Official House 0f Ku'vaj site, featuring information of the Ku'vaj line and Mek'tor's own \"Rejects: the X Generation.\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Klingons", "url": "http://mektor.tripod.com/"} +{"d:Title": "Klingon Imperial Weapons Guild", "d:Description": "A not-for-profit organization dedicated to promoting and preserving the ancient art of Klingon bladed weaponry. The KIWG also has a private member's forum and mailing list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Klingons", "url": "http://www.klingonimperialweaponsguild.org/"} +{"d:Title": "House of Kasara", "d:Description": "Well-respected family line within the Klingon Empire; best known for its Schools of Military Arts and Diplomacy as well as its large Merchant Marine Fleet. A matriarchal line that values honour and loyalty. An open house that is currently accepting new line members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Klingons", "url": "http://www.housekasara.org/"} +{"d:Title": "Ex Astris Scientia - Galleries - Klingons", "d:Description": "A gallery with Klingon images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Klingons", "url": "http://www.ex-astris-scientia.org/gallery/klingons1.htm"} +{"d:Title": "Klingon Imperial Diplomatic Corps", "d:Description": "Klingon cultural resource about the Klingon society, traditions, and rituals.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Klingons", "url": "http://www.klingon.org/"} +{"d:Title": "StarTrek.com: Library: Klingon Culture", "d:Description": "The official Star Trek site on Klingon culture.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Klingons", "url": "http://www.startrek.com/database_article/klingons"} +{"d:Title": "Ex Astris Scientia - Starship Gallery - Romulans", "d:Description": "Images of Romulan Starships.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Romulans", "url": "http://www.ex-astris-scientia.org/scans/romulans1.htm"} +{"d:Title": "Romulan Information Center", "d:Description": "This site has several dictionaries in both Romulan to English and English to Romulan, as well as stories and picture galleries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Romulans", "url": "http://mandukar.tripod.com/"} +{"d:Title": "Romulan Database", "d:Description": "This is a Database for the Romulan Race from Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Romulans", "url": "http://www.angelfire.com/ma/HHirl/"} +{"d:Title": "Sarvok's Rihannsu Web Page", "d:Description": "Links to other Romulan web pages.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Romulans", "url": "http://members.tripod.com/~Sarvy/rihannsu.html"} +{"d:Title": "Information on the Rihannsu", "d:Description": "Information about Romulan history, ships, economy, culture, traditions, society, government, and legal system.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Romulans", "url": "http://members.tripod.com/~Sarvy/rominfo.html"} +{"d:Title": "Ex Astris Scientia - Galleries - Vulcans", "d:Description": "A gallery of images about Vulcans.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Races/Vulcans", "url": "http://www.ex-astris-scientia.org/gallery/vulcans1.htm"} +{"d:Title": "Memory Alpha", "d:Description": "A collaborative project organized in a WikiWiki structure to create an encyclopedia and reference for everything related to Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://memory-alpha.org/"} +{"d:Title": "The Computer Core Dump", "d:Description": "Includes news, cast biographies, articles, media, and an information database.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://www.ccdump.org/"} +{"d:Title": "Deep Space 93", "d:Description": "Episode synopses and personal ratings for the series through Voyager and the first nine movies. [Java]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://deepspace93.com/"} +{"d:Title": "Steve's Star Trek Home Page", "d:Description": "Includes links, series information, memorable characters, news, and other information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://www.members.tripod.com/~Splash359/index.html"} +{"d:Title": "Chronology Of Written Star Trek Fiction", "d:Description": "A timeline for establishing continuity of events documented in the Star Trek books, novels, and novelizations.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://www.maplenet.net/~trowbridge/timeline.htm"} +{"d:Title": "Krillmeeds Startrek Database", "d:Description": "Includes ships' dedication plaques, examples of time travel in the series, ships and specifications, alien species, technology, and episode inconsistencies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://www.krillmeed.com/"} +{"d:Title": "Starfleet Academy Library", "d:Description": "Data on human, Vulcan, and Federation history, as well as details on Federation ships and their equipment. Also offers reviews of Enterprise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://www.angelfire.com/trek/sanfranciscoacademy/"} +{"d:Title": "Great Star Trek Quotes", "d:Description": "Compilation of quotes from the films and the TV series through Voyager.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://www.sjtrek.com/trek/quotes/main/"} +{"d:Title": "LCARS System Interface", "d:Description": "A complete Library Computer Access and Retrieval System based Star Trek information database covering all relevant details.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Resources", "url": "http://www.lcarscom.net/"} +{"d:Title": "Captain James Cook and Capt. James Kirk", "d:Description": "Explores similarities between Captain James Kirk of and Captain James Cook of the Endeavour.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://www.winthrop.dk/cooktrek.html"} +{"d:Title": "The Original Series IZAN Page", "d:Description": "Includes a humorous look at how Spock isn't logical and a look at several races from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://www.70disco.com/startrek.htm"} +{"d:Title": "Sarek and Amanda's Pictures and Links", "d:Description": "Pictures, stories and links about Sarek and Amanda from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://members.shaw.ca/selek"} +{"d:Title": "Star Trek And The New Myth Of The Machine", "d:Description": "Philosophical essays about Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://www.transparencynow.com/startrek/tablestar.htm"} +{"d:Title": "Star Trek: The Lost Voyage of the Enterprise", "d:Description": "A review of the 1994 Star Trek play in London.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://www.thirdamendment.com/startrek.html"} +{"d:Title": "Steve's Star Trek: TOS Site", "d:Description": "Features animations, episode details with ratings, sound clips and screen caps.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://www.sttos.com/"} +{"d:Title": "Gallery of Classic Star Trek Ships", "d:Description": "Sampling of original art based on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://star-trek.fl-ink.com/"} +{"d:Title": "IMDb", "d:Description": "Plot and cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek", "url": "http://www.imdb.com/title/tt0060028/"} +{"d:Title": "The Cubs' Side of the Mountain", "d:Description": "non-explicit (G-PG13) Spock/McCoy fiction, art, and poetry archived by Janet the Coyote.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek/Fan_Fiction", "url": "http://trekslasher.tripod.com/"} +{"d:Title": "To Steer a Clear Course", "d:Description": "non-explicit (G-PG13) Sulu/Chekov slash fiction, archived by Skywise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek/Fan_Fiction", "url": "http://www.angelfire.com/trek/sulu_chekov_all_ages/"} +{"d:Title": "Satire Trek - Star Trek TOS Parody", "d:Description": "Parody based on The Original Series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek/Fan_Fiction", "url": "http://www.zulfiqar.com/star.html"} +{"d:Title": "All-Ages Kirk/Spock Archive", "d:Description": "Collection of G-PG13 Kirk/Spock romance-related fan fiction and art.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek/Fan_Fiction", "url": "http://www.thyla.com/"} +{"d:Title": "Vulcan Stories", "d:Description": "Stories by various authors about Vulcans.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek/Fan_Fiction", "url": "http://www.ponilla.org/Vulcan/stories.html"} +{"d:Title": "Reldai Sash-Masu - PG Stories", "d:Description": "Varied non-adult Star Trek fanfiction with het, slash, and gen pairings such as Spock/McCoy, Spock/Saavik, and Kirk/Spock, by Reldai Sash-Masu.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek/Fan_Fiction", "url": "http://www.cinnamontea.de/reldai/"} +{"d:Title": "Karracaz Startrek Fanfiction", "d:Description": "KarraCaz's original series Star Trek fanfiction and art mostly centered around Spock, his family, and Vulcans, but also branching out into the crew and their interactions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Star_Trek/Fan_Fiction", "url": "http://www.cinnamontea.de/karracaz/index.html"} +{"d:Title": "Ex Astris Scientia", "d:Description": "Database and articles on canon starships and technology. Includes galleries, episode guides, and a timeline.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology", "url": "http://www.ex-astris-scientia.org/"} +{"d:Title": "Daystrom Institute Technical Library", "d:Description": "A database of Star Trek technology.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology", "url": "http://www.ditl.org/"} +{"d:Title": "Journal of Applied Treknology", "d:Description": "Focuses on design and function of ships, shuttles, ground equipment, and other systems, such as computers. Includes forum for submitting new ideas and commentary.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology", "url": "http://www.treknology.org/"} +{"d:Title": "Relativity and FTL Travel Homepage", "d:Description": "Introduction to relativity, why it doesn't like faster-than-light speeds, and what might theoretically be done about it. Includes section on physics and Star Trek.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology", "url": "http://www.physicsguy.com/ftl/"} +{"d:Title": "Phasers - The Weapons of Star Trek", "d:Description": "A guide to Star Trek weaponry. Includes information about all the Star Trek series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology", "url": "http://www.phasers.net/"} +{"d:Title": "Treknology.com", "d:Description": "Small database on Star Trek's technology, science and physics in encyclopedic form.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology", "url": "http://www.treknology.8m.com/"} +{"d:Title": "The Starfleet Museum", "d:Description": "The Starfleet Museum shows ship designs predating the original series and outlines an early history of the Federation.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology/Starships", "url": "http://www.starfleet-museum.org/"} +{"d:Title": "Advanced Starship Design Bureau", "d:Description": "A project by fans to develop designs for starships which were mentioned but not seen in the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology/Starships", "url": "http://www.trekships.org/"} +{"d:Title": "Star Trek Intelligence", "d:Description": "Star Trek starship and technology database, featuring full color pictures of federation, Romulan, Klingon, and Cardassian warships.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Technology/Starships", "url": "http://www.st-intelligence.com/"} +{"d:Title": "Vidiot's Star Trek: Voyager", "d:Description": "News, schedules, quick reference, and cast.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://mrvideo.vidiot.com/st-voy/"} +{"d:Title": "Star Trek: Voyager L-CARS", "d:Description": "News, rumours, information, reviews, links and webrings.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://www.angelfire.com/ak/StarTrekVoyagerLcars/"} +{"d:Title": "Hoopoe's Star Trek Voyager Site", "d:Description": "Includes cartoons, ship details, cast and character profiles, pictures, and guide to Starfleet rank insignia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://members.tripod.com/cbirch1/"} +{"d:Title": "The Coffee Nebula", "d:Description": "Information about Voyagers Food fixations (mainly coffee), an irrelevance count, the McCoy syndrome, shuttlecraft status, an episode guide, transcripts of the UPN deleted scenes, and a discussion board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://www.coffeenebula.com/"} +{"d:Title": "Star Trek: Voyager Online", "d:Description": "Includes news, episode guide, reference section, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://www.starfleetonline.20m.com/"} +{"d:Title": "IMDb - Voyager", "d:Description": "Users offer general comments on the show, plot information, and a cast list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://www.imdb.com/title/tt0112178/"} +{"d:Title": "Delta Quadrant Caf\u00e9", "d:Description": "Information about food and beverages, aliens, holodeck programs and the cast and crew of Voyager.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://dqcafe.section-disparu.com/"} +{"d:Title": "The Voyager Transcripts", "d:Description": "Transcripts of all the episodes, taken from the CIC Videos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://www.chakoteya.net/Voyager/"} +{"d:Title": "Star Trek Voyager History", "d:Description": "Episode and character information, as well as pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager", "url": "http://ussvoyager6.tripod.com/"} +{"d:Title": "Star Trek Voyager: Lower Decks", "d:Description": "Archive of fan fiction based on Star Trek: Voyager that centers on minor characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://www.loony-archivist.com/lowerdecks/"} +{"d:Title": "Angela's Voyager Fan Fiction", "d:Description": "Features Janeway/Chakotay and Janeway/Paris stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://www.angelfire.com/scifi/voyagerfanfic/index.html"} +{"d:Title": "Fate Works in Mysterious Ways", "d:Description": "Features Janeway/Chakotay stories by Celestia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://www.angelfire.com/oh2/jetc4ever/"} +{"d:Title": "Tialys' Realm", "d:Description": "A variety of stories, mainly focusing on Janeway and Chakotay.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://www.angelfire.com/scifi2/neopluto/MystSworderDomain.html"} +{"d:Title": "Voyager Virtual Season Project", "d:Description": "Episode archive, author biographies, \"production\" information, and FAQ for the fictional continuing adventures of the show.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://vv8.jetc.org/"} +{"d:Title": "1001 Voyager Nights", "d:Description": "Schezerade meets Voyager; thirty stories in a sequential order, by different authors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://members.tripod.com/~Voyager1001/"} +{"d:Title": "Voyaging Through Fan Fiction", "d:Description": "Directory of archives and author sites, with a focus on stories featuring Captain Janeway.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://www.lisard.co.uk/fic/"} +{"d:Title": "Susann's Voyager Site", "d:Description": "Janeway/Chakotay romance stories. Includes pictures and information on the ship and its crew.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://voyager.bravepages.com/"} +{"d:Title": "Parisnights", "d:Description": "Dedicated to character Tom Paris with pictures, links and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://www.parisnights.de/parisnights/"} +{"d:Title": "The Voyager Conspiracy", "d:Description": "Stories about Janeway and Seven, videos, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Star_Trek_Series/Voyager/Fan_Fiction", "url": "http://www.voyager-conspiracy.co.uk/"} +{"d:Title": "Gerry Anderson's Supercar", "d:Description": "Information about Supercar and its cast, with episode listings, lyrics, and specifications.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Supercar", "url": "http://www.aiai.ed.ac.uk/~bat/GA/supercar.html"} +{"d:Title": "IMDb: Surface", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Surface", "url": "http://imdb.com/title/tt0452718/"} +{"d:Title": "IMDb: Survivors", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Survivors", "url": "http://www.imdb.com/title/tt0072572/"} +{"d:Title": "Survivors: A World Away", "d:Description": "Facts, features, and commentary on the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Survivors", "url": "http://www.survivors-mad-dog.org.uk/a-world-away/index.shtml"} +{"d:Title": "CultTVman's Swamp Thing Page", "d:Description": "Includes an episode guide for the TV series and cast news. Also includes information on the movies, animated series, and toy line.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Swamp_Thing", "url": "http://www.culttvman.com/swampthing/"} +{"d:Title": "Arcane Knowledge: A Guide To The Swamp Thing TV Series", "d:Description": "Trivia, FAQ, episode guide and summaries, images, cast and crew biographies, quotes, viewer surveys, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/S/Swamp_Thing", "url": "http://easyweb.easynet.co.uk/~ishtar/"} +{"d:Title": "IMDb: Threshold", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Threshold", "url": "http://imdb.com/title/tt0460686/"} +{"d:Title": "TBS Superstation: The TimeShifters", "d:Description": "Home for the 1999 television movie starring Casper Van Dien, Catherine Bell, and Martin Sheen.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Shifters,_The", "url": "http://www.tbssuperstation.com/movies/timeshifters/"} +{"d:Title": "IMDb: Time Shifters, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Shifters,_The", "url": "http://www.imdb.com/title/tt0204686/"} +{"d:Title": "Epguides.com: Time Trax", "d:Description": "Lists episode titles and airdates, as well as cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Trax", "url": "http://epguides.com/TimeTrax/"} +{"d:Title": "WebRing: Time Trax", "d:Description": "Lists sites with content related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Trax", "url": "http://www.webring.org/hub?ring=timetrax"} +{"d:Title": "TV.com: Time Trax", "d:Description": "Episode guide with cast information and message board for viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Trax", "url": "http://www.tv.com/shows/time-trax/"} +{"d:Title": "The Time Tunnel", "d:Description": "Pictures and history of the cult TV show of the 60's.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Tunnel,_The", "url": "http://www.tvparty.com/tunnel.html"} +{"d:Title": "The Time Tunnel", "d:Description": "Episode guide, merchandise information, and directory of sites related to the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Tunnel,_The", "url": "http://www.thetimetunnel.com/"} +{"d:Title": "Time Tunnel", "d:Description": "Episode information, fan clubs and pictures. Part of the Irwin Allen network.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Tunnel,_The", "url": "http://www.iann.net/timetunnel/"} +{"d:Title": "Yahoo! Groups: The Time Tunnel", "d:Description": "Message board, chat room, and links for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Tunnel,_The", "url": "http://groups.yahoo.com/group/thetimetunnel/"} +{"d:Title": "TV.com: The Time Tunnel", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Time_Tunnel,_The", "url": "http://www.tv.com/shows/the-time-tunnel/"} +{"d:Title": "WebRing: The Tomorrow People", "d:Description": "Lists sites devoted to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tomorrow_People,_The", "url": "http://www.webring.org/hub?ring=simbas"} +{"d:Title": "TV.com: The Tomorrow People", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tomorrow_People,_The", "url": "http://www.tv.com/shows/the-tomorrow-people/"} +{"d:Title": "Torchwood: Children of Earth", "d:Description": "The official BBC America site for Torchwood: Children of Earth. Includes character biographies, video clips, photo galleries, episode guide, and other special features.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Torchwood", "url": "http://www.bbcamerica.com/content/262/"} +{"d:Title": "Wikipedia - Torchwood", "d:Description": "Overview of the program, cast and crew, and international broadcasts.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Torchwood", "url": "http://en.wikipedia.org/wiki/Torchwood"} +{"d:Title": "Torchwood Hub Virtual Tour", "d:Description": "Interactive tour with video from the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Torchwood", "url": "http://www.bbcamerica.com/content/262/torchwood-hub-tour.jsp"} +{"d:Title": "Wikipedia - Torchwood Institute", "d:Description": "The fictional history of the organisation.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Torchwood", "url": "http://en.wikipedia.org/wiki/Torchwood_Institute"} +{"d:Title": "The Guardian - Doctor Who: Torchwood spinoff 'punished' for BBC1 move, says John Barrowman", "d:Description": "Fewer episodes of hit sci-fi show planned with move from BBC2 to BBC1.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Torchwood", "url": "http://www.theguardian.com/media/2009/jun/30/doctor-who-torchwood-john-barrowman"} +{"d:Title": "Epguides.com: Total Recall 2070", "d:Description": "Lists titles and air dates for each episode of the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Total_Recall_2070", "url": "http://epguides.com/TotalRecall2070/"} +{"d:Title": "Yahoo! Groups: TR2070-discussion", "d:Description": "Mailing list for fans to comment on the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Total_Recall_2070", "url": "http://groups.yahoo.com/group/TR2070-discussion/"} +{"d:Title": "TV.com: Total Recall 2070", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Total_Recall_2070", "url": "http://www.tv.com/shows/total-recall-2070/"} +{"d:Title": "Yahoo! Groups: Adrian Paul/Tracker", "d:Description": "Members discuss the show and the actor. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tracker", "url": "http://groups.yahoo.com/group/adrianpaul_tracker/"} +{"d:Title": "Yahoo! Groups: The First Tracker Fan Club", "d:Description": "Message forum and chat for series fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tracker", "url": "http://groups.yahoo.com/group/thefirsttrackerfanclub/"} +{"d:Title": "IMDb: Tracker", "d:Description": "Cast and credits information, series overview, and user comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tracker", "url": "http://www.imdb.com/title/tt0292858/"} +{"d:Title": "TV.com: Tracker", "d:Description": "Episode guide with cast and crew information, viewer comments, and list of plot goofs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tracker", "url": "http://www.tv.com/shows/tracker/"} +{"d:Title": "IMDb: Tremors", "d:Description": "Cast, crew and production information, as well as user comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tremors", "url": "http://www.imdb.com/title/tt0327375/"} +{"d:Title": "TV.com: Tremors", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tremors", "url": "http://www.tv.com/shows/tremors/"} +{"d:Title": "Wikipedia: True Blood", "d:Description": "Encyclopedia article about the HBO television series. Includes production information, cast and characters and plot summaries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/True_Blood", "url": "http://en.wikipedia.org/wiki/True_Blood"} +{"d:Title": "IMDb: True Blood (2008)", "d:Description": "Provides plot synopsis, cast details, user reviews and photographs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/True_Blood", "url": "http://www.imdb.com/title/tt0844441/"} +{"d:Title": "HBO: True Blood", "d:Description": "The official website for True Blood on HBO. Includes videos, images, schedule information and episode guides.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/True_Blood", "url": "http://www.hbo.com/true-blood"} +{"d:Title": "True-Blood.net", "d:Description": "Includes news, interviews, image gallery, spoilers and recaps.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/True_Blood", "url": "http://www.true-blood.net/"} +{"d:Title": "True Blood Media Fansite", "d:Description": "Media fan site reporting news, photos, videos, interviews, episode guides, reviews, spoilers, and more stuff about the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/True_Blood", "url": "http://truebloodnet.com/"} +{"d:Title": "IMDb: Tru Calling", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tru_Calling", "url": "http://www.imdb.com/title/tt0364817/"} +{"d:Title": "TV.com: Tru Calling", "d:Description": "Episode guide, series overview, cast information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Tru_Calling", "url": "http://www.tv.com/shows/tru-calling/"} +{"d:Title": "The Twilight Zone Archives", "d:Description": "An archive of autographed items, rare photos, and actors' comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Twilight_Zone,_The", "url": "http://www.twilightzone.org/"} +{"d:Title": "John's Twilight Zone Page", "d:Description": "Episode guide, theories, broadcast schedule, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Twilight_Zone,_The", "url": "http://tzone.the-croc.com/"} +{"d:Title": "Twilight Zone", "d:Description": "A list of the top five episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Twilight_Zone,_The", "url": "http://www.angelfire.com/nj/TwilightZoneRules/"} +{"d:Title": "TV.com: The Twilight Zone", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/T/Twilight_Zone,_The", "url": "http://www.tv.com/shows/the-twilight-zone/"} +{"d:Title": "Ilana's V Page", "d:Description": "A fan's site with information about V as well as some links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://www.mindpulse.com/users/lizlady/index.htm"} +{"d:Title": "V Cards", "d:Description": "Images of trading cards.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://www.angelfire.com/oh4/mrjesse/"} +{"d:Title": "V: The Series Interactive Website", "d:Description": "Information on conventions, cast, crew, plus an episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://members.tripod.com/Vicki_98/index.html"} +{"d:Title": "V: The Series: \"The Conversion\"", "d:Description": "Synopsis and character guide for the episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://www.firsttvdrama.com/show1/largcast/v1.php3"} +{"d:Title": "X-Entertainment - V: The Original Mini-Series Movie", "d:Description": "Detailed positive review.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://x-entertainment.com/articles/0916/"} +{"d:Title": "IMDb: V (1983)", "d:Description": "Information on the original mini-series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://www.imdb.com/title/tt0085106/"} +{"d:Title": "IMDb: V - The Final Battle", "d:Description": "Cast and credits, user comments, and trivia for the second mini-series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://www.imdb.com/title/tt0086823/"} +{"d:Title": "IMDb: V (1984)", "d:Description": "Cast and crew, user comments for the regular weekly series, which ran for nineteen episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://www.imdb.com/title/tt0086822/"} +{"d:Title": "The Resistance Reference Page", "d:Description": "David Thiel's site was one of the original web based information sites on V. It is a unique site with images and information you won't find anywhere else on the web.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://thiel-a-vision.com/visitor/visitorhome.htm"} +{"d:Title": "WebRing: V", "d:Description": "Lists sites with content related to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/V", "url": "http://www.webring.org/hub?ring=vwebring"} +{"d:Title": "Veritas: The Quest", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Veritas_-_The_Quest", "url": "http://www.imdb.com/title/tt0324431/"} +{"d:Title": "TV.com: Veritas: The Quest", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Veritas_-_The_Quest", "url": "http://www.tv.com/shows/veritas-the-quest/"} +{"d:Title": "Voyage to the Bottom of the Sea", "d:Description": "Cast information, clubs, conventions, episode guides, interviews, news, links, and behind the scenes information", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Voyage_to_the_Bottom_of_the_Sea", "url": "http://www.iann.net/voyage/"} +{"d:Title": "Mike's Voyage to the Bottom of the Sea Zone", "d:Description": "An appreciative look at the 1960s Irwin Allen TV show based on the 1961 movie. Loaded with photos and information on the special effects, stars, and production team.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Voyage_to_the_Bottom_of_the_Sea", "url": "http://www.vttbots.com/"} +{"d:Title": "Voyage to the Bottom of the Sea", "d:Description": "Fan fiction arranged by author, challenges, and voting booth for favorite stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Voyage_to_the_Bottom_of_the_Sea", "url": "http://members.tripod.com/~seaviewzine/"} +{"d:Title": "Daffron&Delaney's Voyage to the Bottom of the Sea", "d:Description": "Fan fiction, character biographies, images, research links for writers, and essays.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Voyage_to_the_Bottom_of_the_Sea", "url": "http://www.daffronanddelaney.com/"} +{"d:Title": "Nelson Institute of Marine Research", "d:Description": "Episode guide, essays and information on oceanogaphy, and technical reports. Made to look as if the organization were real.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Voyage_to_the_Bottom_of_the_Sea", "url": "http://www.nimr.org/index.html"} +{"d:Title": "TV.com: Voyage to the Bottom of the Sea", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/Voyage_to_the_Bottom_of_the_Sea", "url": "http://www.tv.com/shows/voyage-to-the-bottom-of-the-sea/"} +{"d:Title": "alt.tv.vr5 Survival Guide", "d:Description": "Frequently asked questions for the newsgroup.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/VR5", "url": "http://www.cs.uu.nl/wais/html/na-dir/tv/vr5-faq/survival-guide.html"} +{"d:Title": "IMDb: VR.5", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/VR5", "url": "http://www.imdb.com/title/tt0112209/"} +{"d:Title": "TV.com: VR.5", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/V/VR5", "url": "http://www.tv.com/shows/vr5/"} +{"d:Title": "Witchblade Series Fans Site", "d:Description": "News and fan fiction, mainly crossover stories with Xena characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Witchblade", "url": "http://crossoverfanfiction.50megs.com/"} +{"d:Title": "Witchblade News and Views", "d:Description": "News, cast biographies and TV appearances, episode guide, wallpapers, and history and revelations about the witchblade.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Witchblade", "url": "http://www.angelfire.com/wi3/witchblade/"} +{"d:Title": "My Little Site: Witchblade", "d:Description": "Episode guide, message board, history, information about actors, spoilers, favorite quotes, music, fan fiction, and FAQs.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Witchblade", "url": "http://www.solitaryphoenix.com/Witchblade.html"} +{"d:Title": "Buffywallpapers: Witchblade Season 1", "d:Description": "Wallpapers for download made from screen captures of episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Witchblade", "url": "http://buffywallpapers0.tripod.com/witchblade1.html"} +{"d:Title": "IMDb: Witchblade", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Witchblade", "url": "http://www.imdb.com/title/tt0274298/"} +{"d:Title": "Episode List : Witchblade", "d:Description": "Offers an episode list with synopsis. Track your favorite characters with PlotTracker.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Witchblade", "url": "http://www.episodelist.com/shows/witchblade/21"} +{"d:Title": "TV.com: Witchblade", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Witchblade", "url": "http://www.tv.com/shows/witchblade-tnt/"} +{"d:Title": "Aperans, the Haven for Wizards and Warriors", "d:Description": "Transcripts, video clips, cast and crew information, photo and sound galleries, fan fiction, mailing list, and original art.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://www.wizardsandwarriors.org/"} +{"d:Title": "Yahoo! Groups: Wizards and Warriors Club", "d:Description": "Message board and chat room, formerly a Yahoo! Club. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://groups.yahoo.com/group/The_Wizards_and_Warriors_Club/"} +{"d:Title": "Yahoo! Groups: WizardsandWarriors", "d:Description": "Discussion of the series, fan fiction, and fan activities. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://groups.yahoo.com/group/wizardsandwarriors/"} +{"d:Title": "Epguides.com: Wizards and Warriors", "d:Description": "A guide listing the title and air date for each episode.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://epguides.com/WizardsandWarriors/"} +{"d:Title": "Galen's Wizards&Warriors Page", "d:Description": "Fan site with a brief summary of the series, a humorous comparison to the Dungeons and Dragons cartoon, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://blackpool13.tripod.com/galenpage3.htm"} +{"d:Title": "WebRing: Wizards and Warriors", "d:Description": "Web ring for web sites devoted to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://www.webring.org/hub?ring=wizardsandwarrio"} +{"d:Title": "IMDb: Wizards and Warriors", "d:Description": "Full cast and crew listing, series overview, and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://www.imdb.com/title/tt0085113/"} +{"d:Title": "TV.com: Wizards and Warriors", "d:Description": "Episode guide and cast and crew information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors", "url": "http://www.tv.com/shows/wizards-and-warriors/"} +{"d:Title": "IMDb: Julia Duffy", "d:Description": "Biography and filmography of the actor (Ariel Baaldorf).", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0240564/"} +{"d:Title": "IMDb: Phyllis Katz", "d:Description": "Biography and filmography of the actor (Cassandra).", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0441820/"} +{"d:Title": "IMDb: Randi Brooks", "d:Description": "Biography and filmography of the actor (Bethel).", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0112205/"} +{"d:Title": "IMDb: Thomas Hill", "d:Description": "Biography and filmography for the actor (Edwin Baaldorf).", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0384718/"} +{"d:Title": "IMDb: Walter Olkewicz", "d:Description": "Biography and filmography of the actor (Marko).", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0647288/"} +{"d:Title": "IMDb: Clive Revill", "d:Description": "Biography and filmography of the actor (Vector).", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0720890/"} +{"d:Title": "IMDb: Jay Kerr", "d:Description": "Biography and filmography for the actor (Justin Greystone).", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wizards_&_Warriors/Cast_and_Crew", "url": "http://www.imdb.com/name/nm0449727/"} +{"d:Title": "Lynda Carter is Wonder Woman", "d:Description": "Biography, news, photo gallery, and links to other sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wonder_Woman", "url": "http://members.tripod.com/~connollys/"} +{"d:Title": "Wonder Woman Mailing List", "d:Description": "An opt-in email discussion group.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wonder_Woman", "url": "http://groups.yahoo.com/group/wonderwomanlyndacarterclub/"} +{"d:Title": "TV.com: Wonder Woman", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/W/Wonder_Woman", "url": "http://www.tv.com/shows/wonder-woman/"} +{"d:Title": "The Science Behind The X-Files", "d:Description": "Takes an episode-by-episode look at the science fact behind the science-fiction of the television show. Covers the first six seasons.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The", "url": "http://huah.net/scixf/"} +{"d:Title": "BBC - X Files", "d:Description": "Featuring interviews, photo galleries, quizzes, quick facts and information about the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The", "url": "http://www.bbc.co.uk/cult/xfiles/"} +{"d:Title": "X-Files Comics Checklist", "d:Description": "Chronological list of comic books, with summaries and descriptions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The", "url": "http://www.mmtz.us/x-files/"} +{"d:Title": "IMDb: The X-Files", "d:Description": "Cast and crew details from the Internet Movie Database.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The", "url": "http://www.imdb.com/title/tt0106179/"} +{"d:Title": "TV Tome: The X-Files", "d:Description": "Contains cast and crew information and episode guide.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The", "url": "http://www.tv.com/shows/the-xfiles/"} +{"d:Title": "Mike Quigley's X-Files Corner", "d:Description": "Mostly Canadian news articles. Also includes pictures from the third season finale and a cancer benefit in which series cast and crew participated.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Articles_and_Interviews", "url": "http://www.mjq.net/xfiles/index.html"} +{"d:Title": "X-Files: Case Closed", "d:Description": "The series' place in history as discussed by Marsha Mason, TV critic for the Christian Science Monitor.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Articles_and_Interviews", "url": "http://csmonitor.com/2002/0517/p13s02-altv.html"} +{"d:Title": "PopMatters: The X-Files", "d:Description": "Analysis of the show from the premiere to 2000's season opener.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Articles_and_Interviews/Reviews", "url": "http://popmatters.com/tv/reviews/x/x-files.html"} +{"d:Title": "PopMatters: The X-Files 2000", "d:Description": "Review of the 2000 season premiere.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Articles_and_Interviews/Reviews", "url": "http://popmatters.com/tv/reviews/x/x-files2.html"} +{"d:Title": "The X-Files Cast and Characters", "d:Description": "Profiles of main actors and Chris Carter.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Cast_and_Crew", "url": "http://kevinmcgoogan.tripod.com/index.htm"} +{"d:Title": "DDGAfans", "d:Description": "Mailing list for fans of Duchovny and Anderson to talk about the actors' careers, the show, or the characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Cast_and_Crew", "url": "http://groups.yahoo.com/group/DDGAfans/"} +{"d:Title": "The Black Lung Association", "d:Description": "Fan club and information site for the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Cigarette_Smoking_Man", "url": "http://chaos.x-philes.com/home/blacklung/"} +{"d:Title": "Anti-Doggett", "d:Description": "Mailing list for gripes, complaints, and fan fiction from viewers who dislike the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Doggett,_John", "url": "http://groups.yahoo.com/group/Anti-Doggett/"} +{"d:Title": "Napoleon's Battle Plan", "d:Description": "Fan universe dedicated to the character and the actor, containing the Napoleon's Battle Plan fan fiction series, fan scripts and non-fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Doggett,_John", "url": "http://www.angelfire.com/realm/detectiveash/battleplanx.html"} +{"d:Title": "Anti-Fowley Mailing List", "d:Description": "Surveys, top 10 lists, fan fiction, nicknames for the character, \"101 Ways to Kill Fowley,\" and Java-based games.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Fowley,_Diana", "url": "http://members.tripod.com/~antifowley/afml.html"} +{"d:Title": "The Silo", "d:Description": "Collection of links relating to the character and/or Nicholas Lea.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Krycek,_Alex", "url": "http://members.tripod.com/~alexkrycek/index.html"} +{"d:Title": "FLAK - Fleur Loves Alex Krycek", "d:Description": "Profile, episode reviews, multimedia, relationships, analysis, pictures, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Krycek,_Alex", "url": "http://members.tripod.com/~Krycek_Ratboy/index.html"} +{"d:Title": "Society for the Protection of Alex Krycek", "d:Description": "Fashion show, comics, and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Krycek,_Alex", "url": "http://www.spak.org/"} +{"d:Title": "Yahoo! Groups: Ratboyfans", "d:Description": "Discussion and fan fiction based on the character and/or the actor. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Krycek,_Alex", "url": "http://groups.yahoo.com/group/Ratboyfans/"} +{"d:Title": "Rainey's Virtual Krycek Clone Island", "d:Description": "Fan fiction, rants, poll, profile, pictures, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Krycek,_Alex", "url": "http://members.tripod.com/La_Reina_2/"} +{"d:Title": "The Magic Bullet", "d:Description": "News, interviews, fan fiction, episode guide, and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Lone_Gunmen,_The", "url": "http://www.angelfire.com/geek/magicbullet/"} +{"d:Title": "It's Frohike's Baby", "d:Description": "Testimonials from fans convinced that Frohike is the father of Scully's child. Includes FAQ, quotes, and images.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Lone_Gunmen,_The", "url": "http://frohikesbaby.tripod.com/"} +{"d:Title": "Did You See the Ring?", "d:Description": "Pictures of the wedding ring from the episode \"Travelers.\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Mulder,_Fox", "url": "http://www.turning-pages.com/xf/ring.htm"} +{"d:Title": "You Never Draw My Bath", "d:Description": "Images, quotes, and character and actor biographical information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Mulder,_Fox", "url": "http://members.tripod.com/~alisia_K/index.html"} +{"d:Title": "People for the Ethical Treatment of Mulder", "d:Description": "Pictures and essays.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Mulder,_Fox", "url": "http://www.angelfire.com/ks/petm/index.html"} +{"d:Title": "Yahoo Groups: Mulder", "d:Description": "Discussion list for fans of the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Mulder,_Fox", "url": "http://groups.yahoo.com/group/Mulder/"} +{"d:Title": "Agent Pendrell - Gone But Not Forgotten", "d:Description": "Includes photo gallery, episode summaries, phrases, and a shrine.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Pendrell", "url": "http://members.tripod.com/~Pendrell/"} +{"d:Title": "The Pendrells", "d:Description": "Features a fan club and mailing list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Pendrell", "url": "http://members.tripod.com/~pendrells/"} +{"d:Title": "The OBSSE Fence", "d:Description": "Illustrated essay arguing for middle ground in the fan debate about a romance between the characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships", "url": "http://members.tripod.com/~Borisanne/TheFence.html"} +{"d:Title": "The Love Shack", "d:Description": "Message board, fan art and wallpapers, fan fiction, and image galleries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Doggett_and_Scully", "url": "http://www.angelfire.com/ks3/loveshack/"} +{"d:Title": "SHODDS Official Site 2003+", "d:Description": "Includes FAQ, group history, membership information, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Doggett_and_Scully", "url": "http://www.angelfire.com/ks3/shodds/index.html"} +{"d:Title": "The DSR Fanlisting: For The Dawgy Kind of Love", "d:Description": "Official fanlisting for the relationship. Includes list of members and links to their Web sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Doggett_and_Scully", "url": "http://www.angelfire.com/ks3/dsr_fan/index.html"} +{"d:Title": "Jessica Beth's X-Files Site", "d:Description": "Spoilers and news, fan fiction, shippy collages, rants, pictures, and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://trueloveexists.tripod.com/"} +{"d:Title": "The FBI Romance Institute", "d:Description": "Episode guides, multimedia, fan fiction, art, and songs with a romantic focus.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://www.angelfire.com/fl3/img/"} +{"d:Title": "Foxchaser's X-Files Shippers Den", "d:Description": "Photos, reviews, fan fiction, and sound clips.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://www.angelfire.com/al/foxchasersxfiles/"} +{"d:Title": "Gertie's 'Shipper Friendly X-Files", "d:Description": "Photo galleries, fan fiction, message board, video clips, and links to romantic sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://shippers.fredfarm.com/"} +{"d:Title": "Leia's ShipperNation", "d:Description": "Fan fiction, reviews, links, and a message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://members.tripod.com/~LeiaSC/leiamain.htm"} +{"d:Title": "Musings of an X-Phile Butterfly", "d:Description": "Images and a memorial to occasions when the characters have hugged each other.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://members.tripod.com/xphile_butterfly/"} +{"d:Title": "Mulder + Scully = True Love", "d:Description": "Sounds, pictures, fan fiction, collages, articles, penpals, and games.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://mstruelove.tripod.com/"} +{"d:Title": "Mulder and Scully's Secrets", "d:Description": "Pictures, sounds, videos, and free e-mail.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://www.angelfire.com/ms2/secrets/"} +{"d:Title": "Nicky's Ultimate X-Files Shippers", "d:Description": "Episode guide focused on romance between the characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://members.tripod.com/Nicola_Scully/"} +{"d:Title": "Philers", "d:Description": "Fan fiction, movie information, multimedia, spoilers, chat room, discussion board, pictures, gossip, and episode summaries.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://www.angelfire.com/tv/philes/"} +{"d:Title": "Scully098's X-Files", "d:Description": "Pictures, fan fiction, sounds, and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Mulder_and_Scully", "url": "http://www.angelfire.com/bc/scully098xfiles/"} +{"d:Title": "Noromo Values", "d:Description": "Interviews, an episode guide, quotes, and fan fiction celebrating a platonic Mulder/Scully interaction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Opposing_Views", "url": "http://www.angelfire.com/nv/noromo/"} +{"d:Title": "Noromos at Random", "d:Description": "Webring for sites that don't archive romantic content.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Relationships/Opposing_Views", "url": "http://www.webring.org/hub?ring=noship"} +{"d:Title": "Hang Scully", "d:Description": "Hangman game based on quotes from the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Scully,_Dana", "url": "http://home.earthlink.net/~beckison/hangds.html"} +{"d:Title": "Slice of a Scalpel", "d:Description": "Celebrates the character's skills as a forensic pathologist. Includes analysis, image gallery, episode reviews, and quotes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Scully,_Dana", "url": "http://www.angelfire.com/nj/CatTail/Scully.html"} +{"d:Title": "WebRing: Scully Appreciation Society", "d:Description": "Lists sites dedicated to the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Scully,_Dana", "url": "http://www.webring.org/hub/stscully"} +{"d:Title": "Bitch's Gallery of Mitch", "d:Description": "Background information on the character and the actor, as well as a selection of WAV sounds.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Skinner,_Walter", "url": "http://puckerupandkissmy.iwarp.com/"} +{"d:Title": "Yahoo! Groups: Spenderfic", "d:Description": "Discussion and fan fiction are posted. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Spender,_Jeffrey", "url": "http://groups.yahoo.com/group/Spenderfic/"} +{"d:Title": "Yahoo! Groups: Spender Defenders", "d:Description": "Discussion of the character and the actor. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Characters/Spender,_Jeffrey", "url": "http://groups.yahoo.com/group/spenderdefenders"} +{"d:Title": "Chatphiles", "d:Description": "Various features are available for members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums", "url": "http://www.chatphiles.com/"} +{"d:Title": "Chaos Mailing Lists", "d:Description": "Directory of available lists, including discussion and fan fiction forums.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://chaos.x-philes.com/chaos/mailing-lists.html"} +{"d:Title": "Yahoo! Groups: TXFSpoilers", "d:Description": "Spoilers-only moderated mailing list, with no discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/TXFspoilers/"} +{"d:Title": "Yahoo! Groups: Everything-XFiles", "d:Description": "Open discussion about the show, characters, guest stars, and news. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Everything-XFiles/"} +{"d:Title": "Yahoo! Groups: The X-Files Pics", "d:Description": "Members send and receive image files. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/TheX-FilesPics/"} +{"d:Title": "Yahoo! Groups: Xfspoilers", "d:Description": "Reviews of the episodes before they air, as well as screen grabs from the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Xfspoilers/"} +{"d:Title": "Yahoo! Groups: X-Files_over_30", "d:Description": "Discussion for fans older than 30. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/X-Files_over_30/"} +{"d:Title": "Yahoo! Groups: Anasazi", "d:Description": "General discussion of the show, with no off-topic postings. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Anasazi/"} +{"d:Title": "Yahoo! Groups: Mulder_files_1121", "d:Description": "Critique of the series and characters, as well as general discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Mulder_files_1121/"} +{"d:Title": "Yahoo! Groups: Theconsortium", "d:Description": "Discussion, pictures, wavs, spoilers, and newsletter.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Theconsortium/"} +{"d:Title": "Yahoo! Groups: TrustNoOne", "d:Description": "Discussion and biweekly newsletter. No message archive. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/TrustNoOne/"} +{"d:Title": "Yahoo! Groups: Xffbi", "d:Description": "Discussion group for \"The Bureau of the Insanely Obsessed\" fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Xffbi/"} +{"d:Title": "Yahoo! Groups: X-Files101", "d:Description": "Discussion of the show by new and long-time fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/X-Files101/"} +{"d:Title": "Yahoo! Groups: X-files", "d:Description": "Discussion, spoilers, and information about the series, David Duchovny, and Gillian Anderson. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/X-files/"} +{"d:Title": "Yahoo! Groups: X-Files_Fanatics", "d:Description": "News, discussion, and fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/X-Files_Fanatics/"} +{"d:Title": "Yahoo! Groups: TheX-FilesSounds", "d:Description": "Mailing list for sending and receiving sounds related to the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/TheX-FilesSounds/"} +{"d:Title": "Yahoo! Groups: Xfilesfans", "d:Description": "General discussion about the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Xfilesfans/"} +{"d:Title": "Yahoo! Groups: Xflovers", "d:Description": "Discussion about the show, the characters, and the movie. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/Xflovers/"} +{"d:Title": "Yahoo! Groups: X-philes", "d:Description": "Members may discuss anything related to the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/X-philes/"} +{"d:Title": "Yahoo! Groups: X-philes_unite", "d:Description": "Conversational list for all topics related to the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists", "url": "http://groups.yahoo.com/group/X-philes_unite/"} +{"d:Title": "Yahoo! Groups: Sefamsrn", "d:Description": "List for members of Shipper Enthusiasts for a Mulder/Scully Relationship. Includes fan fiction and picture challenges. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/Sefamsrn/"} +{"d:Title": "Yahoo! Groups: Arsfx", "d:Description": "Detailed discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/Arsfx/"} +{"d:Title": "Yahoo! Groups: IcedTea", "d:Description": "Fan fiction and discussion about the series and the future of the romance. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/IcedTea/"} +{"d:Title": "Yahoo! Groups: Shippers_etc", "d:Description": "Talk about the series, its characters, and fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/Shippers_etc/"} +{"d:Title": "Yahoo! Groups: Shipperzone", "d:Description": "Discussion of the romance and of David Duchovny and Gillian Anderson. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/Shipperzone/"} +{"d:Title": "Yahoo! Groups: MSR4eva", "d:Description": "Fans discuss the romantic aspects of the series. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/MSR4eva/"} +{"d:Title": "Yahoo! Groups: Ubershippers", "d:Description": "Speculation and discussion of the romance. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/Ubershippers/"} +{"d:Title": "Yahoo! Groups: XFShippers", "d:Description": "Discussion and fan fiction. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Chats_and_Forums/Mailing_Lists/Mulder-Scully_Relationship", "url": "http://groups.yahoo.com/group/XFShippers/"} +{"d:Title": "X-Files Link Exchange", "d:Description": "Guidelines for joining, list of members and top 10 sites, featured new site.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://members.tripod.com/~AVxfiles1/random_link.htm"} +{"d:Title": "The Grey Ring", "d:Description": "General group of sites dedicated to the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://members.tripod.com/~Fireball5000/webring.html"} +{"d:Title": "The Truth is Out There Web Ring", "d:Description": "Links to a wide variety of sites covering fan fiction, art, news, multimedia, and episode guides.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://members.tripod.com/~Lanceomni/index2.html"} +{"d:Title": "The X Files Citizens Webring", "d:Description": "Includes sites offering photos and information about the series, the film, and the actors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://members.tripod.com/~CZawadzki/webring.html"} +{"d:Title": "WebRing: Deny Everything", "d:Description": "Links to a site focused on science fiction and fantasy television.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://www.webring.org/hub?ring=xflute"} +{"d:Title": "The X-Files Ring", "d:Description": "Lists sites providing a variety of content on the actors and characters, as well as art and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://www.webring.org/hub?ring=xfiles1"} +{"d:Title": "WebRing: European X-Files Society", "d:Description": "Italian and Spanish sites are listed.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://www.webring.org/hub?ring=webringofthefrie"} +{"d:Title": "WebRing: X-Files International", "d:Description": "Lists site with content related to the series from all over the world.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://www.webring.org/hub?ring=xring"} +{"d:Title": "WebRing: X-Files", "d:Description": "Lists a variety of sites devoted to the series, including those hosting fan fiction, fan art, and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Directories", "url": "http://www.webring.org/hub/allxfiles"} +{"d:Title": "Sounds of The X-Files", "d:Description": "Wav files arranged by season.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Downloads", "url": "http://members.tripod.com/~WeLostNineMinutesAHH/"} +{"d:Title": "MODs In The Key of X", "d:Description": "Collection of mod and MP3 files based on Mark Snow's theme music, fan poll, and related links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Downloads", "url": "http://richlabonte.net/keyofx"} +{"d:Title": "Al's X-Files Page", "d:Description": "Brief episode guide, with air dates, writers and directors and short descriptions, finishing up with season seven.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Episode_Guides", "url": "http://www.turning-pages.com/xf/"} +{"d:Title": "Inside The X: X-Files Episode Transcripts Archive", "d:Description": "Complete and detailed list of transcripts for every episode. Most transcripts are also available in PDF format.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Episode_Guides", "url": "http://www.insidethex.co.uk/"} +{"d:Title": "Red Wolf's X-Files Episode Guide", "d:Description": "Summaries, quotes and a crosslinked cast list for the entire series through to season seven.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Episode_Guides", "url": "http://www.redwolf.com.au/xfiles/"} +{"d:Title": "Generation Terrorists X-Files Quotes", "d:Description": "Quotes up to season six.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Episode_Guides", "url": "http://www.generationterrorists.com/quotes/x-files.shtml"} +{"d:Title": "The X-Files Episode Guide", "d:Description": "Searchable database with detailed synopses of all episodes that have aired in the UK, including cast and crew information and US and UK airdates.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Episode_Guides", "url": "http://xfiles.wearehere.net/"} +{"d:Title": "Episode List: The X-Files", "d:Description": "Episode list and synopsis of all seasons. PlotTracker tool enables cross reference of characters and plot lines.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Episode_Guides", "url": "http://www.episodelist.com/shows/the-x-files/5"} +{"d:Title": "The X-Files Timeline", "d:Description": "Consolidates every date and chronological reference made in the series. Site is divided into date range sections for ease of use.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Episode_Guides/MythArc", "url": "http://www.themareks.com/xf/"} +{"d:Title": "Area X. F.", "d:Description": "News, broadcast schedule, character profiles, episode guide, and photos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://www.areaxf.com/"} +{"d:Title": "AV's X-Files Site", "d:Description": "Includes biographies, episode guides, fan fiction, chat, pictures, message board, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://members.tripod.com/~AVxfiles1/xsite.htm"} +{"d:Title": "Access X", "d:Description": "Character guide, episode listings with collages and quotes, images, biographies, movie information, trivia, FAQ, and information about the series in India.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://trademark1013.tripod.com/"} +{"d:Title": "Another XF Page", "d:Description": "Pictures, including an original collage, sounds, quizzes, and games.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://www.angelfire.com/ms/romo/"} +{"d:Title": "Absolute X-Files", "d:Description": "Episode reviews, Mulder/Scully fan fiction, and wallpaper.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://xfmanic.www.50megs.com/"} +{"d:Title": "The Asylum for X-Philes", "d:Description": "Episode guide, collage contest, games, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://asylum4xphiles.tripod.com/"} +{"d:Title": "Agent X's X-Files", "d:Description": "Includes a list of episodes, character dossiers, image galleries, and fan art.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://agent8x.tripod.com/"} +{"d:Title": "Ascending to X", "d:Description": "Slideshows and lyrics, photo galleries, wallpapers, icons, and polls.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/A", "url": "http://xobsessed.tripod.com/"} +{"d:Title": "Blue Fox's X-Files Page", "d:Description": "Sounds, pictures, information, quotes, message board, poetry, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/B", "url": "http://www.angelfire.com/ks/bluefox/"} +{"d:Title": "Dans la Maison", "d:Description": "Character information, jokes, and parodies, as well as signup for a group discussing the philosophy behind the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/D", "url": "http://www.angelfire.com/in/DansLaMaison/"} +{"d:Title": "Fox and Rat", "d:Description": "Image galleries, episode guide, and series vocabulary.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/F", "url": "http://www.angelfire.com/scifi/agentfoxmulder/"} +{"d:Title": "Haven for the Xphile", "d:Description": "Sounds, pictures, episode reviews, and news.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/H", "url": "http://x-girl9.tripod.com/havenforthexphile/"} +{"d:Title": "Inside The X-Files", "d:Description": "Polls, pictures, printable episode list, character biographies, and essays.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/I", "url": "http://www.itxf.8m.com/"} +{"d:Title": "Jamalyn's X-File Shrine", "d:Description": "Show information, actor biographies, postcards, fan fiction, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/J", "url": "http://www.angelfire.com/tx2/xfiles2/"} +{"d:Title": "Lauren and Briana's X-Files Insanity", "d:Description": "Photos, awards, sounds, polls, links, webrings, fan fiction, surveys, games, reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/L", "url": "http://www.angelfire.com/scifi/thelbfiles/index.html"} +{"d:Title": "Little Starbuck's Shipper Harbor", "d:Description": "Episode reviews for seasons 8 and 9. Also includes link to fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/L", "url": "http://shipperharbor.tripod.com/"} +{"d:Title": "My Spiffy X-Files", "d:Description": "Wavs, pictures, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/M", "url": "http://www.angelfire.com/ga2/mulderlovesscully/index.html"} +{"d:Title": "Mumbai's X-Files", "d:Description": "Character information, images, downloads, chat room, and message board.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/M", "url": "http://members.tripod.com/edward.nygma/"} +{"d:Title": "Sculder's I Want to Believe", "d:Description": "Image galleries, cast information, favorite episodes, fan fiction, and banners.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/S", "url": "http://www.angelfire.com/scifi/sculder/index.html"} +{"d:Title": "Trust Only One", "d:Description": "Information on the characters and the show, as well as pictures, jokes and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/T", "url": "http://members.tripod.com/~MplusSisX/index-2.html"} +{"d:Title": "Welcome to My Arcadia", "d:Description": "Lyrics to soundtracks, fan fiction, pictures, collages, quotes, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/W", "url": "http://www.angelfire.com/indie/arcadia/frame.html"} +{"d:Title": "The X-Files 101", "d:Description": "Episode guide, a list of writers, directors, glossary, Frequently Asked Questions, and a Who's Who list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://www.turning-pages.com/xf101/"} +{"d:Title": "X-Files Info", "d:Description": "News, spoilers, episode guide, cast biographies and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://horse_girl4.tripod.com/xfilesinfo/"} +{"d:Title": "The X-Files For The X-Philes", "d:Description": "Episode guides, character profiles, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://www.angelfire.com/scifi/TheXPhiles/"} +{"d:Title": "The X-Files", "d:Description": "Episode information, news about the cast and crew, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://www.angelfire.com/ks2/TheXFilesPage/index.html"} +{"d:Title": "X-Files Unofficial Site", "d:Description": "Cast biographies, pictures, and information about the series in the United Kingdom.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://tonbrown.tripod.com/thex-files/"} +{"d:Title": "X-Philes", "d:Description": "Episode guide, fan fiction, movie reviews, trivia, pictures, and chat room.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://members.tripod.com/~XPhile98/"} +{"d:Title": "The X-Files According to CrazyMonkieGirl", "d:Description": "News, character bios, images, and multimedia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://crazymonkiegirl.tripod.com/"} +{"d:Title": "X-Trordinary X-Files", "d:Description": "Pictures and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://members.tripod.com/xtrordinaryxfiles/index.html"} +{"d:Title": "The X Files: The Truth Is In Here", "d:Description": "Series and movie news, image galleries, character profiles, downloads.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://members.tripod.com/the_truth_is_here/"} +{"d:Title": "X-Files X-Posed", "d:Description": "Art by Amy S.W., photographs, multimedia, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://members.tripod.com/~fightthefuture/main.html"} +{"d:Title": "X-Site-ing Adventures of Minna the Martian", "d:Description": "Cast information, pictures, songs, and information about the series in Finland.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://www.nettilinja.fi/~vmaunula/xfiles.htm"} +{"d:Title": "The X-Files TV Show", "d:Description": "Trivia, cast information, and episode list.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Pages/X", "url": "http://www.crazyabouttv.com/xfiles.html"} +{"d:Title": "X-Files", "d:Description": "Computer enhanced images, collages, manipulations, banners, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Art", "url": "http://celendin.tripod.com/"} +{"d:Title": "Amy S.W.'s Art Gallery", "d:Description": "Art, drawings, and manipulated pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Art", "url": "http://members.tripod.com/~xfiles1013/artgallery.html"} +{"d:Title": "Tuatha's Virtual XF Art Gallery", "d:Description": "Original artwork featuring Mulder, Scully, and other characters. Includes digital images, as well as sketches and paintings created with traditional media.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Art", "url": "http://members.tripod.com/tuatha.danaan/"} +{"d:Title": "The Foxsong Gallery", "d:Description": "A variety of art and wallpapers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Art", "url": "http://www.foxsongfiles.net/"} +{"d:Title": "Angst Archive", "d:Description": "Angsty Mulder/Scully stories by various authors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/~dingbatt/"} +{"d:Title": "Zuffy's X-Files", "d:Description": "Humorous and analytical stories, as well as essays on symbolism and meaning in the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/~Zuffy/index.html"} +{"d:Title": "Ephemeral", "d:Description": "Autoarchive of stories from the alt.tv.x-files.creative newsgroup.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://ephemeralfic.org/"} +{"d:Title": "Works in Progress and Update Archives", "d:Description": "An archive for stories that are not yet completed, including series stories, and to provide access for new stories before they've been added to the main Gossamer sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://wip.gossamer.org/"} +{"d:Title": "The Truth is a Lie", "d:Description": "Poems and stories by Tim Flanders and friends.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/tx/timf/xfiles.html"} +{"d:Title": "AV's X-Files Fanfic Collection", "d:Description": "Links and archive of works; submissions accepted.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/~AVxfiles1/fanfic.htm"} +{"d:Title": "Buffy/X-Files Crossover Fan Fiction", "d:Description": "Offers four fan fiction stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/~crystal91/xb.html"} +{"d:Title": "The Animal Files", "d:Description": "Stories centered around animals, including Scully's ill-fated pooch, Queequeg.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://animalfiles.freeservers.com/index-2.html"} +{"d:Title": "Filking Through the Conspiracies of Life", "d:Description": "An archive containing dozens of filks.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/ut/lightquencher/"} +{"d:Title": "Doggett Torture Anonymous", "d:Description": "Stories feature the character in mental, emotional, or physical distress.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://dta_fic.tripod.com/main/"} +{"d:Title": "Lara's Favorites", "d:Description": "A wide variety of stories, many Mulder/Scully romances, with reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://recsbylara.tripod.com/"} +{"d:Title": "2002 Spooky Awards", "d:Description": "Home of the annual contest for the best stories posted to the alt.tv.x-files.creative news group. Includes links to winning stories for 2002 and information about the competition.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://www.squidge.org/~mrs_fish/xfiles/spookys/spookys.html"} +{"d:Title": "The Haunted House Archive", "d:Description": "Halloween-related stories and works that include include ghostly or paranormal elements.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://xfarchive.bravepages.com/"} +{"d:Title": "You Are My Touchstone", "d:Description": "Mulder/Scully romances and story challenges, as well as multimedia and downloadable buttons and icons.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/scifi/youaremytouchstone/"} +{"d:Title": "Incredibly Short Fic", "d:Description": "Stories about series characters that won't take long to read.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://members.tripod.com/Rogue_Librarian/"} +{"d:Title": "\"Fox&Rat\" Virtual Series", "d:Description": "Sitcom-esque fanfic based on the friendship of Mulder, Krycek and all their friends. Includes character profiles and reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://www.angelfire.com/ks3/frvs/index.html"} +{"d:Title": "I Made This Productions", "d:Description": "Virtual seasons 8 and 9. Stories are illustrated and accompanied by animated trailers. New episodes air weekly on Fridays.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://imadethis2.tripod.com/"} +{"d:Title": "The Gossamer Project", "d:Description": "Archive and mirror sites containing stories. Search by title, author, or category. All listings are rated; most contain a short summary and the length of the story.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://www.gossamer.org/"} +{"d:Title": "WebRing: The X-Files Webring", "d:Description": "A selection of sites based on the series, including ones with poetry.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction", "url": "http://www.webring.org/hub/spa"} +{"d:Title": "Kingdom of X", "d:Description": "Stories and vignettes, most focusing on Mulder. Also includes slide shows and \"Signs You're Still Addicted to 'The X-Files.'\"", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://rhetta.8m.net/"} +{"d:Title": "Kate Dyer, X-Files Fan Fic Author", "d:Description": "A variety of fiction ranging from Mulder/Scully romance to case files to Scully/Krycek romance and holiday stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://katedyer.freeservers.com/"} +{"d:Title": "Gabi's X-Files FanFic", "d:Description": "Scully-centered vignettes, poems, and parodies.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://members.tripod.com/BlueEyedXPhile/"} +{"d:Title": "Jen's X-Files Fanfic", "d:Description": "Mulder/Scully romance stories, with a dash of mild hurt/comfort for Mulder, and a variety of holiday stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://www.angelfire.com/home/jenr13/index.html"} +{"d:Title": "Smokerfanfics", "d:Description": "Mulder/Scully romance and crossovers with situation comedy series, including Seinfeld and South Park.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://members.tripod.com/~smokerconsortium/smoker.html"} +{"d:Title": "Spooky Jr.", "d:Description": "Humor, Mulder/Scully romances, and hurt/comfort stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://www.angelfire.com/scifi/spookyjr/"} +{"d:Title": "Xphila's Shippy X Files Fan Fic", "d:Description": "Mulder/Scully romance stories and poetry.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://www.angelfire.com/ks/xphila/main.html"} +{"d:Title": "Eileen S. Whipple's X-Files Fan Fiction", "d:Description": "Includes poetry, holiday and conspiracy stories, Mulder/Scully romances, and stories about Scully and her sister Melissa as teen-agers.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://www.angelfire.com/ab/spookyavatar/index.html"} +{"d:Title": "X-Files Fan Fiction of Kristy Anderson", "d:Description": "Includes series, stories, and vignettes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://www.angelfire.com/scifi2/scullyspice/"} +{"d:Title": "Writing Machine Central", "d:Description": "Jeannine Ackerson's stories, the majority of which are Mulder/Scully romances.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://www.trevizo.org/stories.html"} +{"d:Title": "Xscout's Hollow", "d:Description": "Angsty stories and Mulder/Scully romances, many involving hurt/comfort for Mulder. Also offers collages, artwork, photo manipulations, and images of Mulder and Scully as anthropomorphic cartoon animals.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Authors", "url": "http://xscout1.tripod.com/"} +{"d:Title": "Yahoo! Groups: X-files-fanfict", "d:Description": "Mailing list with all stories automatically archived. Open membership; archive available to members. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/X-files-fanfict/"} +{"d:Title": "Yahoo! Groups: Youthfic", "d:Description": "New writers share stories, critiques, and discussion on this mailing list. Open membership and open archive. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Youthfic/"} +{"d:Title": "Yahoo! Groups: RATales", "d:Description": "Posting, discussion, and recommendation of stories featuring the character of Alex Krycek. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/RATales/"} +{"d:Title": "Yahoo! Groups: MulderScullyfanfic", "d:Description": "Stories that include both Mulder and Scully. Beta readers available; open membership and public archive. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/MulderScullyfanfic/"} +{"d:Title": "Yahoo! Groups: XFShipperfic", "d:Description": "Mulder/Scully romance stories, beta reading, and discussion. Open membership and public archive. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/XFShipperfic/"} +{"d:Title": "Yahoo! Groups: Folie A Deux", "d:Description": "Mailing list for stories, discussion, story challenges, and contests. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/Folie_A_Deux/"} +{"d:Title": "Yahoo! Groups: ScullyLovesMulderfic", "d:Description": "Mailing list for fans who believe Mulder and Scully love each other. Stories and discussion. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/ScullyLovesMulderfic/"} +{"d:Title": "The X-Files Lost and Found's Message Board", "d:Description": "Help for finding where stories are archived.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://www.boards2go.com/boards/board.cgi?user=anwylyd"} +{"d:Title": "Yahoo! Groups: Xf-fanfic2", "d:Description": "Mailing list for stories and critique, any genre. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Chats_and_Forums", "url": "http://groups.yahoo.com/group/xf-fanfic2/"} +{"d:Title": "Look Into My Heart Fanfic Archive", "d:Description": "Links to stories of Mulder/Scully romance, chosen by the archivist.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Directories", "url": "http://www.angelfire.com/ca4/xfileDiva/main.html"} +{"d:Title": "The Map Room", "d:Description": "Contains links to a variety of stories set anywhere but Washington, DC.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Directories", "url": "http://www.thebasementoffice.com/Musea/maproom.html"} +{"d:Title": "WebRing: X_fairy's X-files Fanfic Web Ring", "d:Description": "Lists sites hosting any kind of stories.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Directories", "url": "http://www.webring.org/hub?ring=xfilesfanfic&list"} +{"d:Title": "WebRing: X-files Fan Fiction", "d:Description": "A selection of sites for fan fiction authors and archivists.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Directories", "url": "http://www.webring.org/hub/xfilesfanfic"} +{"d:Title": "WebRing: The John Doggett Fanfic Ring", "d:Description": "Provides links to sites with stories focusing on the character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Directories", "url": "http://www.webring.org/hub?ring=thejohndoggettfa"} +{"d:Title": "XRie Vision", "d:Description": "Mulder/Scully romances, vignettes, and case files by XRie. Also archives recommended stories wirtten by a variety of authors.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Fan_Works/Fan_Fiction/Relationships", "url": "http://xrie.iwarp.com/"} +{"d:Title": "Spooky's RPG", "d:Description": "Includes message board, live-action games, and archives.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Games", "url": "http://spookysrpg.tripod.com/"} +{"d:Title": "WWWF Grudge Match: Scooby-Doo vs. The X-Files", "d:Description": "Parody in which characters from the two series compete to be first in solving a mystery.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Humor", "url": "http://www.grudge-match.com/History/scooby-xfiles.shtml"} +{"d:Title": "The X-Files Shack", "d:Description": "Collection of humorous and snide episode reviews.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Humor", "url": "http://members.tripod.com/~j_stoy/shack.html"} +{"d:Title": "The X-Files In-Jokes List", "d:Description": "Repeated sayings, name of the series in other countries, explanation of program titles, references to the show in the real world, and the bad things that happen in bathrooms in the world of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Humor", "url": "http://www.lunacynet.com/xfiles/"} +{"d:Title": "The David And Gillian Togetherness Memorial", "d:Description": "Pictures of the actors together, mostly from awards shows and similar functions.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Image_Galleries", "url": "http://members.tripod.com/xphile_butterfly/together.html"} +{"d:Title": "The X-Files DVD Screen Grabs Archive", "d:Description": "Images taken from the commercial discs of the series and the movie.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Image_Galleries", "url": "http://xfphotos.fredfarm.com/"} +{"d:Title": "Musings of a Non-Smoker", "d:Description": "Images and screen captures from a variety of episodes.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Image_Galleries", "url": "http://www.angelfire.com/nf/DanaMulderWeWish5/frames.html"} +{"d:Title": "Spooky42's X-Files Page", "d:Description": "Photos of various characters from the series.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Image_Galleries", "url": "http://www.100megsfree.com/spooky42/index.htm"} +{"d:Title": "The X-files Presskit Photos and Postcard Collective", "d:Description": "Scanned images of photographs and paper cards related to the series, collected from all over the world.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/X-Files,_The/Image_Galleries", "url": "http://www.dnafiles.net/files/"} +{"d:Title": "Tom's Xena Page", "d:Description": "Includes sounds, pictures, movies, games, and fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.xenafan.com/"} +{"d:Title": "The Ultimate Xena Cookbook", "d:Description": "Xena inspired cookbook with over 500 recipes in 10 categories, pet treats, poems, quotes, humor; plus other page with information and features.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://poky.net/cookbook/"} +{"d:Title": "Whoosh!", "d:Description": "The Journal of the International Association of Xena Studies: essays, articles, commentaries, and various analyses of the TV show Xena: Warrior Princess.", "priority": "1", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://whoosh.org/"} +{"d:Title": "Logomancy's Xena Pages", "d:Description": "Extensive Xena site. Contains a complete list of episodes, image gallery, Xena Annex Bookstore, Argo Awards, and Xena Calendars.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.klio.net/XENA/"} +{"d:Title": "WWWF Grudge Match: Xena vs. Conan the Barbarian", "d:Description": "The two ancient warriors compete in the Deadly Magical Scavenger Hunt Quest of the Ages in modern day Manhattan.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.grudge-match.com/History/xena-conan.shtml"} +{"d:Title": "Xena and Ares (Are They or Aren't They)", "d:Description": "Dedicated to bringing Xena and Ares together fan fiction, pictures, and art.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.angelfire.com/tv/xenaaresluv1/entrance.html"} +{"d:Title": "PiXena", "d:Description": "Includes pictures, digital art, quotes, wallpaper, links, montages, episode guide, and characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.pixena.com/"} +{"d:Title": "Xenaville", "d:Description": "News, episode guide, reviews, cast and crew listings.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.xenaville.com/"} +{"d:Title": "Xenaholic.com", "d:Description": "Images and multimedia site with Xena desktop wallpapers, screen captures, blooper clips, music videos, fan fic, rpg, game add-ons, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.xenaholic.com/"} +{"d:Title": "The Warrior's Soul", "d:Description": "Quotes, music, videos, adaptions, loads of archives and even my own season 7 F/F fan fic. Subtext friendly.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.angelfire.com/tv2/warriorsoul/"} +{"d:Title": "Wicked Ones", "d:Description": "The \"Bad Girls\" of Xena. Picture galleries for each, artwork, Awards to win. Come visit.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.angelfire.com/wv2/wicked_ones/"} +{"d:Title": "Sword and Staff", "d:Description": "Fan-run organization that focuses the efforts of fans on volunteerism and raising money for charitable organizations the world over.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://sword-and-staff.com/index.htm"} +{"d:Title": "PAX--Philippine Association of Xenites", "d:Description": "Source of news and information on the show, Xena: Warrior Princess, and its stars, Lucy Lawless and Renee O'Connor, in the Philippines.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://pinoyxenites.tripod.com/"} +{"d:Title": "XBC", "d:Description": "XBC: Xena, Buffy, Chyna, pictures, links, songs, biographies, polls, fanfiction, scripts, filmography, interviews, movie clips, lyrics and wallpaper.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.angelfire.com/celeb2/xbc/"} +{"d:Title": "Australian Xena Information Page", "d:Description": "Dedicated to Xena Warrior Princess and its stars Lucy Lawless and Renee O'Connor, with episode guide, large library of articles, screengrabes, images, videos, audio, fan fiction, wallpapers, and artwork.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://www.ausxip.com/index.html"} +{"d:Title": "Xena Warrior Princess", "d:Description": "For all Lucy Lawless fans: contains pictures, screensavers and links to other xena sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess", "url": "http://xenawarriorprincess.beepworld.de/"} +{"d:Title": "Ride through the Xenaverse", "d:Description": "Variety of Xena information with articles about XWP or its actors, bookstore, episode list, Nielson Ratings, data on cast and crew (with guests), Xenite meeting places, music lyric and sound clips, and Xena stuff, episode reviews, and links to related websites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Articles_and_Interviews", "url": "http://www.angelfire.com/ga2/amphipolis/"} +{"d:Title": "New Xenaland", "d:Description": "New Xenaland is a Collection of Web-Pages by Kiwi Xenites and their Friends", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Articles_and_Interviews", "url": "http://www.angelfire.com/tv2/newxenaland/index.html"} +{"d:Title": "XAC Information Index", "d:Description": "History of the Xenites Against Censorship and the work to combat the censorship of Xena Warrior Princess and the successful effort to return \"The Way\".", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Articles_and_Interviews", "url": "http://www.poky.net/xac/xac.html"} +{"d:Title": "Logomancy Xena Warrior Princess -- Credits Guide Introduction", "d:Description": "Catalogs the episodes aired to date and details the complete credits for each episode. Summary pages detail all the credits for all the episodes, plus there are cast credit summaries sorted by actor and by character.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Cast_and_Crew", "url": "http://www.klio.net/XENA/EPISODES/index.html"} +{"d:Title": "Xenastreet", "d:Description": "Insight into Actors and actresses who have appeared on both Xena/Herculus and Shortland Street with the characters they have portrayed in each and links to many actor/actresses and characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Cast_and_Crew", "url": "http://members.tripod.com/Xenastreet/"} +{"d:Title": "IMDb: Xena Warrior Princess (1995)", "d:Description": "Credits for Xena: Warrior Princess (1995), full cast and crew,guest appearances, overview, awards and reviews, plots and quotes, and other information.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Cast_and_Crew", "url": "http://www.imdb.com/title/tt0112230/"} +{"d:Title": "An Interview With Jacqueline Kim", "d:Description": "Background, Xena, prior career, and other matters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Cast_and_Crew/Kim,_Jacqueline", "url": "http://whoosh.org/issue17/ikim1.html"} +{"d:Title": "Jeremy Roberts", "d:Description": "Tribute to Jeremy Roberts' portrayal of bad guys with resume, pictures, and commentary.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Cast_and_Crew/Roberts,_Jeremy", "url": "http://www.jeremyroberts.com/"} +{"d:Title": "Cyane:Priestess of Tataka", "d:Description": "Contain large collections of photo galleries and pages for supporting characters from Xena.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters", "url": "http://www.angelfire.com/la/cyane/index2.html"} +{"d:Title": "Tribute to Alti, shamaness in Xena Warrior Princess", "d:Description": "Alti sounds, pictures, quizzes, and montages.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters", "url": "http://www.angelfire.com/tv/alti/"} +{"d:Title": "Xena: Warrior Princess - The Characters", "d:Description": "Information on the characters in Xena by category with alphabetic listing of characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters", "url": "http://www.vidiot.com/Contrib/Xena/characters.html"} +{"d:Title": "Cyane's Amarice Page", "d:Description": "Dedicated to Amarice with information and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters/Amarice", "url": "http://www.angelfire.com/la/cyane/amarice.html"} +{"d:Title": "Ped's Ares (a ka Kevin Smith) Page", "d:Description": "Includes acronyms, galleries, mailing list, biography of Kevin Smith, episode list, and webrings.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters/Ares", "url": "http://gaia.ecs.csus.edu/~sturdevk/ares/"} +{"d:Title": "Ares: God of War", "d:Description": "Photos and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters/Ares", "url": "http://www.angelfire.com/me/diana8/"} +{"d:Title": "Argo and Friends", "d:Description": "Featuring a tribute to Argo and other four legged stars and fans of XWP featuring Tobias, with Argo fan fiction stories and poems, nutrition and food for Argo, equine treat recipes and natural remedies, photos and other items.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters/Argo", "url": "http://www.poky.net/xena/argo/"} +{"d:Title": "Xena: When In Rome: Roma Aeterna", "d:Description": "Overview of episodes featuring Caesar, with images, fan fiction, and message forum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters/Caesar", "url": "http://www.klio.net/XENA/ROMA/"} +{"d:Title": "Cyane's Ephiny Page", "d:Description": "Information and pictures for Ephiny.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Characters/Ephiny", "url": "http://www.angelfire.com/la/cyane/ephiny.html"} +{"d:Title": "Xena Xperience Forums", "d:Description": "Fan discussion board featuring custom avatars, signatures, and polls for registered members.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Chats_and_Forums", "url": "http://xenaxperience.proboards.com/"} +{"d:Title": "Sharoane's Xena and Gabrielle Site", "d:Description": "Directory with descriptions and ratings of each site.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Directories", "url": "http://members.tripod.com/Sharoane/xena.htm"} +{"d:Title": "Nio's House of Walls", "d:Description": "Features episode wallpapers and character wallpapers of Xena, Gabrielle, Joxer, Ares, Aphrodite, and others from television show Xena: Warrior Princess.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Downloads", "url": "http://www.angelfire.com/realm/nioswalls/index.html"} +{"d:Title": "AmazonBon's Xena Realm", "d:Description": "A collection of dialogue, quotes, disclaimers, summaries and images from the show. Updated weekly.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Episode_Guides", "url": "http://members.tripod.com/~AmazonBon/"} +{"d:Title": "Xena Commentaries and Reviews", "d:Description": "In-depth and sometimes humorous reviews of Xena: Warrior Princess episodes are the mainstay of this site, along with essays, and trivia.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Episode_Guides", "url": "http://www.angelfire.com/tv2/xenareviews/"} +{"d:Title": "Xenaville Episode Guide", "d:Description": "Listings of the episodes from Seasons 1 to 6 of XENA, and links to reviews and cast and crew.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Episode_Guides", "url": "http://www.xenaville.com/epguide.html"} +{"d:Title": "Knightlyart Images Xena Page", "d:Description": "Portraits of Lucy Lawless as Xena,Kevin Smith as Ares,Renee O'Connor as Gabrielle and Hudson Leick as Callisto by artist Zora Knight. Also a free ecard service using the artwork.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Art", "url": "http://www.angelfire.com/biz6/knightlyart/"} +{"d:Title": "Tacitah's Art Gallery", "d:Description": "Original artwork depicting actors and characters from and Xena and Hercules.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Art", "url": "http://members.tripod.com/taceetah/Art.htm"} +{"d:Title": "Xena Artist Showcase", "d:Description": "Collection of the work of many of the best artists in the Xenaverse.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Art", "url": "http://www.angelfire.com/wv2/xenaart/"} +{"d:Title": "MaryD's Montage Page", "d:Description": "The group of quality Xena related montages, grouped by episode or theme.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Art", "url": "http://www.ausxip.com/marydart/index.php"} +{"d:Title": "Electra's World", "d:Description": "Home of the Electra Series. A site with Xena fan fiction, polls, quiz, poems, and pictures.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Fiction", "url": "http://www.angelfire.com/ks2/aresfortress/"} +{"d:Title": "Dharma Bum", "d:Description": "Silly stories, nonperky fiction, and post-episode vignettes by Dharma Bum.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Fiction", "url": "http://kawcrow.tripod.com/dharmabum/"} +{"d:Title": "The Warrior's Soul Xena season seven fan fic", "d:Description": "A fan fiction series which continues the legacy of Xena and Gabrielle. A new Episode each week, complete with art provided by \"The Archives of Amphipolis\".", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Fiction", "url": "http://www.angelfire.com/sc3/xenaseasonseven/"} +{"d:Title": "Verrath's Book Of Tales (Xena fanfic)", "d:Description": "My little bubble in cyberspace, where you'll find some Xena fan fiction and artwork.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Fiction", "url": "http://verrath.de/"} +{"d:Title": "Shadowfen's X:WP Fan Fiction Index", "d:Description": "Directory of Xena: Warrior Princess fan fiction all over the web. Updated daily.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Fiction/Directories", "url": "http://shadowfen.com/ffindex/"} +{"d:Title": "Athenaeum", "d:Description": "Extensive index of Xena fan fiction available on the Web, both general and alternative. Searchable by author, title, or a number of subject categories; brief synopses of stories. Updated regularly.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Fiction/Directories", "url": "http://www.xenafiction.net/"} +{"d:Title": "Ausxip.com", "d:Description": "Australian Xena information, message board, and information on Lucy Lawless.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://www.ausxip.com/index.html"} +{"d:Title": "Magicwolf's Den", "d:Description": "Has Xena and Callisto images, sounds, fun tidbits and merchandise.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://www.magicwolf.com/index.html"} +{"d:Title": "Rocco's Xenaverse", "d:Description": "Xena pictures, wallpapers, with some alt fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://roccopowers.tripod.com/"} +{"d:Title": "The Temple Of Xena", "d:Description": "Picture, sounds, detailed episode guide, links, polls, and fan artwork. Pages dedicated to Xena, Gabby, Joxer, Callisto, and other characters.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://www.angelfire.com/wa/xena/"} +{"d:Title": "Xena Warrior Princess", "d:Description": "Tributes to Xena, Gabrielle, Callisto, and Alti.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://www.angelfire.com/vt/xena/"} +{"d:Title": "Xena: Warrior Princess", "d:Description": "Wide variety of things Xena containing interviews, news, quizzes, rumours, episodes, characters, behind the scenes, and videos.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://www.vidiot.com/Contrib/Xena/welcome.html"} +{"d:Title": "The Warrior Side of the Chakram", "d:Description": "Xena: Warrior Princess site including message board, and polls.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://www.angelfire.com/extreme/xena/chakram.html"} +{"d:Title": "Xena Fans Welcome", "d:Description": "Pictures, books, Kevin Smith memorial, information, quizzes, multimedia, fan fiction, poems, humor, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://www.angelfire.com/tv2/xenafanswelcome/"} +{"d:Title": "Angel's All About Xena Page", "d:Description": "Profiles, supporting cast, pictures and sound files.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://newxenafan.tvheaven.com/"} +{"d:Title": "Xorys' Xena Warrior Princess Page", "d:Description": "Xena desktop wallpapers, Win95 startup screen, music, and links.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Fan_Pages", "url": "http://webhome.idirect.com/~toot/index.html"} +{"d:Title": "Xena Everlasting", "d:Description": "Yahoo Groups message board game.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Games/Roleplaying", "url": "http://members.tripod.com/~xena_rp/"} +{"d:Title": "Michelle's Xena Library", "d:Description": "A celebration of the marvelous television series \"Xena: Warrior Princess\" with a gallery, Xenaphenalia, fun stuff, and crossover files.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Image_Galleries", "url": "http://www.warriorprincess.com/"} +{"d:Title": "Xena-Vision Web Ring Home Page", "d:Description": "For site that have Xena, Gabrielle, Lucy Lawless, Renee O'Connor, or other Xena related content.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Web_Rings", "url": "http://members.tripod.com/~FlameQueen/"} +{"d:Title": "Xena and Cast Webring", "d:Description": "Devoted to all major characters from Xena and Hercules. One or more pages for each character is represente, with a variety of other Xena related sites.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Web_Rings", "url": "http://www.webring.org/hub?ring=xena145"} +{"d:Title": "Ring of Subtext Seers", "d:Description": "On the subtext of Xena:Warrior Princess, for any and all sites that in any way address the subtext.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Web_Rings", "url": "http://www.webring.org/hub?ring=subtextseer"} +{"d:Title": "The Wayring", "d:Description": "Search the ways individuals live lives in the Xenaverse such as way of love, vengence, forgiveness, comedy, subtext, warriors, and Bards.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Web_Rings", "url": "http://www.webring.org/hub?ring=theway"} +{"d:Title": "WebRing: Xena Ring", "d:Description": "Range of sites devoted to Xena, Gabrielle, Callisto, and Renee O'Connor with a variety of elements and approaches.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Web_Rings", "url": "http://www.webring.org/hub/xenaring"} +{"d:Title": "WebRing: Xena's Tavern", "d:Description": "Directory of sites on the subject, including fan fiction.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Web_Rings", "url": "http://www.webring.org/hub/xenajessi"} +{"d:Title": "Worship Xena", "d:Description": "Lists multiple Xena sites presenting different aspects of the show.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/X/Xena_-_Warrior_Princess/Web_Rings", "url": "http://www.webring.org/hub?sid=&ring=worshipxena"} +{"d:Title": "Bryn's Young Hercules Page", "d:Description": "Fan fiction and fan purity test.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Y/Young_Hercules", "url": "http://yh.deabryn.com/"} +{"d:Title": "TV.com: Young Hercules", "d:Description": "Contains cast and crew information, an episode guide and viewer comments.", "topic": "Top/Arts/Television/Programs/Science_Fiction_and_Fantasy/Y/Young_Hercules", "url": "http://www.tv.com/shows/young-hercules/"} +{"d:Title": "Soap Opera Central", "d:Description": "Includes news, cast comings and goings, daily recaps, scoops, photo galleries, family trees and polls.", "priority": "1", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.soapcentral.com/"} +{"d:Title": "ABC Soaps Central", "d:Description": "Provides information on all four ABC Daytime soap operas currently on air, All My Children, One Life to Live, General Hospital and Port Charles.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://abcsoaps.8k.com/"} +{"d:Title": "About.com: Soap Operas", "d:Description": "Features links, spoilers, news, updates, and articles.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://soaps.about.com/"} +{"d:Title": "Goldensoaps", "d:Description": "Dedicated to series of the past. Includes cast lists, pictures, and trivia for Search for Tomorrow, The Secret Storm, Capitol, Loving, and Santa Barbara.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.angelfire.com/80s/cultsoaps/"} +{"d:Title": "Amanda and Suzanne's Soap Mega Links", "d:Description": "Organized listing of links to soap sites.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.tvmegasite.net/soaplinks/"} +{"d:Title": "Dayz N Passions", "d:Description": "Includes cast information, spoilers, episode recaps, and news for Passions and Days of Our Lives.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.angelfire.com/tv2/dayznpassions/"} +{"d:Title": "The TV MegaSite's Soap Opera Site", "d:Description": "Includes daily recaps and transcripts, pictures, puzzles, articles, polls, scoops, predictions, and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://tvmegasite.net/day/"} +{"d:Title": "Great Soaps", "d:Description": "Includes detailed spoilers, daily updates, news, and fan fiction for several soap operas.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://greatsoaps.tripod.com/"} +{"d:Title": "ABC Soap Graphics", "d:Description": "Contains graphics for all ABC soap operas.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.angelfire.com/tv2/abcsoapgraphics/"} +{"d:Title": "Daytime Soap Operas", "d:Description": "Includes news, polls, top 10 lists, photographs, cast lists, and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://members.shaw.ca/almosthuman73/soap.html"} +{"d:Title": "ABC Soaps at Bella Online", "d:Description": "Weekly feature articles, scoops, chat, discussion forum, and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.bellaonline.com/site/ABCSoaps"} +{"d:Title": "TVGuide.com: Soaps", "d:Description": "News, photos and videos.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.tvguide.com/soap-operas"} +{"d:Title": "Soap Opera Digest and Weekly", "d:Description": "Daily recaps, interviews with cast members, biographies, photographs, updates on past actors, FAQs, and song archives.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://soapoperadigest.com/"} +{"d:Title": "The Soap Show", "d:Description": "Internet radio program and website devoted to English speaking soaps. Features audio interviews with soap stars, and news.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.thesoapshow.com/"} +{"d:Title": "Soap Opera Actors and Actresses WebRing", "d:Description": "Features sites dedicated to performers on various soaps.", "topic": "Top/Arts/Television/Programs/Soap_Operas", "url": "http://www.webring.org/hub?ring=soapact"} +{"d:Title": "SoapZone - All My Children", "d:Description": "Weekly spoilers, fan-created polls, fan picture caption archives, and a message board. Includes a members area.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children", "url": "http://soapzone.com/amc/"} +{"d:Title": "Soap Opera Central - All My Children", "d:Description": "Daily recaps and archives, spoilers and upcoming episode previews, cast biographies and character profiles, current news regarding the cast and crew, and a game. Includes a member's area.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children", "url": "http://www.soapcentral.com/amc/index.php"} +{"d:Title": "The All My Children Page", "d:Description": "Photograph gallery detailing the individual characters, families, and love stories, video clips from different years, classic lines, trivia, archives, cast list, rants about the show, and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children", "url": "http://www.willowfriend.com/All%20My%20Children/AMC-home.htm"} +{"d:Title": "All My Children Trivia and Quizzes", "d:Description": "Quizzes and trivia about the show ranging in levels of difficulty.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children", "url": "http://www.funtrivia.com/quizzes/television/television_a-c/all_my_children.html"} +{"d:Title": "Soapsgirl's All My Children Photo Page", "d:Description": "On-screen captures of the characters and video clips from the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children", "url": "http://www.soapsgirl.org/amcphotos.htm"} +{"d:Title": "Allexperts.com - All My Children", "d:Description": "Experts answer questions about the show. Includes archived questions and answers.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children", "url": "http://www.allexperts.com/browse.cgi?catLvl=3&catID=1153"} +{"d:Title": "The Pine Valley Bulletin", "d:Description": "Includes 20 questions with specific cast members, photographs, cast lists, family trees, and archive of news dating to 1997.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children", "url": "http://thepinevalleybulletin.blogspot.com/"} +{"d:Title": "A Slice of Heaven - A Ryan and Kendall Site", "d:Description": "Biographies and fun facts about the actors that play the characters, background on the individual characters and information about their history together, image galleries, wallpaper, and contact information for the actors.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children/Characters", "url": "http://kendallandryan.tripod.com/"} +{"d:Title": "Greenleo", "d:Description": "Fan site dedicated to the characters of Leo and Greenlee. Includes screen captures of the couple on TV.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children/Characters", "url": "http://www.angelfire.com/stars3/greenleo/"} +{"d:Title": "Kendall's Hart To Heart", "d:Description": "Kendall's weblog about events, her mood and outlook on life, through 2008.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children/Characters", "url": "http://www.kendallhart.com/"} +{"d:Title": "The Tad and Dixie Super Site", "d:Description": "Includes biographies for the couple, a detailed history of their storylines, information about their families, favorite scenes, video clips, sound clips, fan-created videos, and a message board.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children/Characters", "url": "http://www.tadndixie.com/"} +{"d:Title": "The Jackson Posse", "d:Description": "For fans of AMC's Jackson and Erica, with photos, videos, and fanfiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children/Characters", "url": "http://www.thejacksonposse.com/"} +{"d:Title": "The Giddish Guild", "d:Description": "A forum for fans of the actress Kelli Giddish and of the TV show. Talk about the actress, her character, Di Henry, and the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children/Chats_and_Forums", "url": "http://thegiddishguild.yuku.com/bthegiddishguild"} +{"d:Title": "Beautiful Soul", "d:Description": "A message board for fans of Rebecca Budig and her character Greenlee Smythe.", "topic": "Top/Arts/Television/Programs/Soap_Operas/All_My_Children/Chats_and_Forums", "url": "http://s6.invisionfree.com/BeautifulSoul"} +{"d:Title": "The Another World Anthology", "d:Description": "Contains virtual Bay City, storylines and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Another_World", "url": "http://www.angelfire.com/il2/anotherworldantholog/"} +{"d:Title": "A Jake&Paulina Photo Tribute", "d:Description": "Screen captures and pictures.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Another_World", "url": "http://www.angelfire.com/tv2/jpfan_1/jpphotos.html"} +{"d:Title": "Another World Fan Fiction", "d:Description": "The original TV series last episode aired on June 25, 1999. AW FF picks up where the show left off, starting on June 28, 1999. Written by Dave Chappuis and Mike Klinger.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Another_World", "url": "http://anotherworldfanfiction.com/"} +{"d:Title": "FanFiction: Another World", "d:Description": "Another World fanfiction archive with over 60 stories.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Another_World", "url": "https://www.fanfiction.net/tv/Another-World/"} +{"d:Title": "Another World 50th Anniversary.", "d:Description": "Posts with history, highlights and images from the soap opera.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Another_World", "url": "http://dougsploitation.blogspot.ca/search/label/Another%20World"} +{"d:Title": "Oakdale Online", "d:Description": "Contains news, gossip, cast biographies, pictures and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/As_the_World_Turns", "url": "http://atwtfan.tripod.com/"} +{"d:Title": "As the World Turns: Children of Oakdale", "d:Description": "Biographies and pictures of the children from the fictional city of Oakdale.", "topic": "Top/Arts/Television/Programs/Soap_Operas/As_the_World_Turns", "url": "http://members.tripod.com/robynbug/"} +{"d:Title": "ATWT News and Previews Newsletter", "d:Description": "Free weekly newsletter includes spoilers, casting news, and other As The World Turns information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/As_the_World_Turns", "url": "http://groups.yahoo.com/group/atwtnews/"} +{"d:Title": "As The World Turns Webring", "d:Description": "A webring for all ATWT sites and character sites.", "topic": "Top/Arts/Television/Programs/Soap_Operas/As_the_World_Turns", "url": "http://www.webring.org/hub?ring=atwt"} +{"d:Title": "My Guardian Angel - A Prose Tribute", "d:Description": "A fan site dedicated to Martha Byrne's Rose D' Angelo and Scott Holroyd's Paul Ryan. Includes multimedia, transcripts, and information on the actors and the characters they portray.", "topic": "Top/Arts/Television/Programs/Soap_Operas/As_the_World_Turns/Cast_and_Crew", "url": "http://www.angelfire.com/tv2/prose/"} +{"d:Title": "Hensleyworld", "d:Description": "Includes news, biographies, articles, and pictures about the Hensleys.", "topic": "Top/Arts/Television/Programs/Soap_Operas/As_the_World_Turns/Cast_and_Crew", "url": "http://hensleyworld.tripod.com/hensley_world/"} +{"d:Title": "The Melrose 90210 RPG", "d:Description": "Role-playing game to create virtual episodes combining the characters of 90210 and Melrose Place. Includes episode archive and information on locations and characters.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Beverly_Hills_90210", "url": "http://www.angelfire.com/tv2/melrose90210rpg/"} +{"d:Title": "The Beverly Hills, 90210 Fan Page", "d:Description": "Includes cast list, episode guide, quotes, pictures, fan art and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Beverly_Hills_90210", "url": "http://www.angelfire.com/biz3/bh90210/"} +{"d:Title": "Eva's 90210 Fan Page", "d:Description": "Includes cast biographies, episode summaries, fan fiction, interviews, message board, trivia, quotes and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Beverly_Hills_90210", "url": "http://www.angelfire.com/al2/Bhills/"} +{"d:Title": "The 90210 Beach House", "d:Description": "A photo of the actual house seen as the beach apartment in the TV show \"Beverly Hills 90210.\"", "topic": "Top/Arts/Television/Programs/Soap_Operas/Beverly_Hills_90210", "url": "http://seeing-stars.com/ImagePages/BeachHousePhoto.shtml"} +{"d:Title": "Beverly Hills 90210", "d:Description": "Includes cast information, trivia quizzes, an episode guide, and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Beverly_Hills_90210", "url": "http://www.helicon7.com/90210/"} +{"d:Title": "The Beverly Hills 90210 Directory", "d:Description": "Includes an episode guide, ratings, a cast list, photographs, and news.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Beverly_Hills_90210", "url": "http://www.bh90210.co.uk/"} +{"d:Title": "The Bold and the Beautiful Fanfictions", "d:Description": "Features fan fictions and story lines written by viewers.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The", "url": "http://bbfanfic.8k.com/"} +{"d:Title": "The World of The Bold and The Beautiful", "d:Description": "Contains spoilers, weekly summaries, a message board and polls.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The", "url": "http://www.boldbeautifulfan.com/index.htm"} +{"d:Title": "CBS Daytime: The Bold and the Beautiful", "d:Description": "Official site.", "priority": "1", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The", "url": "http://www.cbs.com/daytime/the_bold_and_the_beautiful/"} +{"d:Title": "The Bold and The Beautiful", "d:Description": "Features news, daily updates and messageboard.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The", "url": "http://www.boldandbeautiful.com/"} +{"d:Title": "WebRing: Bold&The Beautiful", "d:Description": "List of sites about the subject, about the show or the performers.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The", "url": "http://www.webring.org/hub/bandbring"} +{"d:Title": "Eric Forrester on the Web", "d:Description": "Contains images of the characters Eric Forrester and Brooke Logan, and a tribute to Sally Spectra.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The/Characters", "url": "http://ericfan.freevar.com/"} +{"d:Title": "Auli's The Bold And The Beautiful Site", "d:Description": "Contains character biographies, photo gallery and articles.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The/Characters", "url": "http://www.angelfire.com/stars3/funny_flower2/BBsite.html"} +{"d:Title": "Taylor Hayes Appreciation", "d:Description": "A fan site for the character Dr. Taylor Hayes.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Bold_and_the_Beautiful,_The/Chats_and_Forums", "url": "http://s1.zetaboards.com/Taylor_Hayes/index/"} +{"d:Title": "IMDb: Capitol", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Capitol", "url": "http://www.imdb.com/title/tt0083397/"} +{"d:Title": "Coffeerooms Daytime TV", "d:Description": "Features discussions for daytime and primetime shows.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Chats_and_Forums", "url": "http://www.coffeerooms.com/daytime/index.html"} +{"d:Title": "SoapZone: Message Boards", "d:Description": "Forum for general discussion and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Chats_and_Forums", "url": "http://soapzone.com/boards/"} +{"d:Title": "TV MegaSite's CBS Soaps Forum", "d:Description": "For fans of the CBS shows, actors, and crew.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Chats_and_Forums", "url": "http://forums.delphiforums.com/Oakdale2000/"} +{"d:Title": "Debbies Days", "d:Description": "A spoiler board and community for soaps fans.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Chats_and_Forums", "url": "http://z9.invisionfree.com/Debbies_Days/index.php?act=idx"} +{"d:Title": "Dynasty and The Colbys @ Charlton Heston World", "d:Description": "Synopsis of both Dynasty and The Colbys. A cast/character list and pictures are included.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Colbys,_The", "url": "http://charltonhestonworld.homestead.com/ColbysDynastyTVPg1.html"} +{"d:Title": "Southfork Ranch", "d:Description": "Ranch where the old and new series were filmed, now an event/conference center and tourist attraction. Includes the ranch's history with the show, tour information and reunion DVD for sale.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dallas", "url": "http://www.southforkranch.com/"} +{"d:Title": "Dallas: The Legacy", "d:Description": "Fan fiction continuation of the series. Text \"episodes\" and cast list.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dallas", "url": "http://www.ultimatedallas.com/fiction/"} +{"d:Title": "Ultimate Dallas", "d:Description": "Official site with episode guide and clips, DVD news, favorite characters, quizzes and games, news and fan forum.", "priority": "1", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dallas", "url": "http://www.ultimatedallas.com/"} +{"d:Title": "Dallas Fanzine", "d:Description": "News, image galleries, videos, interviews, and a selection of \"classic scenes.\" [Requires Flash.]", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dallas", "url": "http://www.dallasfanzine.com/"} +{"d:Title": "Wikipedia: Dallas (1978 TV series)", "d:Description": "User-contributed article covers the original run's premise, cast, family trees, broadcast history, cliffhangers, related productions, and pop-culture legacy.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dallas", "url": "http://en.wikipedia.org/wiki/Dallas_(1978_TV_series)"} +{"d:Title": "Mad Magazine: \"Dullus\"", "d:Description": "A June 1981 parody of the series.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dallas", "url": "http://www.madmagazine.com/blog/2012/06/13/mads-dallas-parody"} +{"d:Title": "Days of Our Lives Cafe", "d:Description": "Includes story updates and predictions, news, gossip, and casting announcements.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://www.dayscafe.com/"} +{"d:Title": "M and M's Days of Our Lives Page", "d:Description": "Includes spoilers, pictures, opinions, and news.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://www.angelfire.com/tv/daysgirls/"} +{"d:Title": "Annabella's Virtual Tour of Salem", "d:Description": "Includes descriptions and the history of various Salem landmarks.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://members.tripod.com/~annabella123/"} +{"d:Title": "Jamie's Days of Our Lives Page", "d:Description": "Includes character information and quotes.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://members.tripod.com/~Jamie_Miller/days.html"} +{"d:Title": "Only on Days", "d:Description": "Includes character profiles, facts, opinions, quotations, and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://www.blurredbeatle.com/days"} +{"d:Title": "Coffeerooms Days of Our Lives", "d:Description": "A gathering place with message boards, fan fiction, spoilers, history, and polls.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://www.w3pg.com/days/index.html"} +{"d:Title": "About.com: Days of Our Lives Fans", "d:Description": "Provides FAQ, updates, story lines, events and polls.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://daysofourlives.about.com/"} +{"d:Title": "Debbie's Classic Tape Trading", "d:Description": "Listing of tapes from 1986-1992 (some other years added) available for trade or copying to DVD.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://soap-fan-4ever.tvheaven.com/Debbie's%20Classic%20DOOL_Tape_Trading_Site.html"} +{"d:Title": "NBC : Days of our Lives", "d:Description": "Features daily and weekly updates, previews, news, events, and behind-the-scenes coverage.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives", "url": "http://www.nbc.com/days-of-our-lives"} +{"d:Title": "The Sexy Women of \"Days\"", "d:Description": "Online pictorial of the beautiful actresses of \"Days of Our Lives\".", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters", "url": "http://members.tripod.com/~tim3201/INDEX.HTM"} +{"d:Title": "Austin Bashing Page", "d:Description": "Gives a multitude of reasons for hating Austin.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Austin_Reed", "url": "http://www.angelfire.com/yt/austinbashers/index.html"} +{"d:Title": "Lindsay's Mike and Carrie Page", "d:Description": "Storyline information, rumor control and photos of popular \"Days\" couple Mike and Carrie", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Carrie_Brady/Mike_and_Carrie", "url": "http://www.angelfire.com/la/mikecarrie/index.html"} +{"d:Title": "Mike and Carrie: Together Forever", "d:Description": "Memories of great romantic moments between Mike and Carrie of \"Days of Our Lives\" with photos.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Carrie_Brady/Mike_and_Carrie", "url": "http://www.angelfire.com/tv/MikeCarrieDays/"} +{"d:Title": "Keep Kristen on Days Page!", "d:Description": "Online letter campaign to get the brass at \"Days of Our Lives\" to return the character of Kristen DiMera.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Kristen_DiMera", "url": "http://members.tripod.com/~savekristen/savekristen.html"} +{"d:Title": "Always Doug and Julie", "d:Description": "Super tribute page dedicated to the first and best supercouple of daytime -- Doug and Julie Williams of \"Days of Our Lives\"", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships", "url": "http://members.tripod.com/~Hartlee/index.html"} +{"d:Title": "The Bo and Billie Forever Page", "d:Description": "A site dedicated to Bo and Billie.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships", "url": "http://members.tripod.com/BoAndBillie/home.html"} +{"d:Title": "Beyond Desire: Brandon and Samantha", "d:Description": "Includes fanfiction, history and photos.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships", "url": "http://www.angelfire.com/tv2/brandonandsamantha/"} +{"d:Title": "Phelle and Broe Forever", "d:Description": "A fan site for both Phelle (Philip and Belle) and Broe (Brady and Chloe) fans. Includes pictures and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships", "url": "http://phelle_broe.tripod.com/"} +{"d:Title": "Linda's Bo&Hope Page", "d:Description": "The entire Bo/Hope history on \"Days\" can be found here, with pictures and song lyrics.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships/Bo_and_Hope", "url": "http://www.angelfire.com/me/bohope/index.html"} +{"d:Title": "Jack and Jennifer Forever", "d:Description": "Articles and images.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships/Jack_and_Jennifer", "url": "http://jackandjennifer.tripod.com/"} +{"d:Title": "No Ordinary Love", "d:Description": "Contains history, pictures, and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships/Jack_and_Jennifer", "url": "http://jacknjenn4evr.tripod.com/"} +{"d:Title": "Redefining Love: Jack and Jennifer", "d:Description": "A site devoted to Jack and Jennifer. Includes their story and photos.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships/Jack_and_Jennifer", "url": "http://www.stormpages.com/jackjennifer/"} +{"d:Title": "Risque Behaviors", "d:Description": "A message board for Philip and Chloe fans.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships/Philip_and_Chloe", "url": "http://z9.invisionfree.com/RisqueBehaviors/index.php?act=idx"} +{"d:Title": "Shawn and Belle: Fanfics and Chatter", "d:Description": "Fan fiction board with stories centering on the characters. Includes polls, challenges, completed stories index, and an archive for currently updated stories.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships/Shawn_and_Belle", "url": "http://www.network54.com/Hide/Forum/103813"} +{"d:Title": "Shawn and Belle Alwayz", "d:Description": "Includes episode recaps.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Characters/Relationships/Shawn_and_Belle", "url": "http://www.angelfire.com/nc3/shellesite/"} +{"d:Title": "Salem Place: A Days of Our Lives Discussion Forum", "d:Description": "Salem Place is a moderated forum to discuss all aspects of Days of Our Lives.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Chats_and_Forums", "url": "http://annex.smfforfree.com/"} +{"d:Title": "Ficlist: Archive for Carrie Horton Fanfic List", "d:Description": "Houses two short completed stories by different authors. One is an X-Files crossover focusing on Mike and Carrie.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Fan_Fiction", "url": "http://www.angelfire.com/on2/ficlist/"} +{"d:Title": "Secrets of the Past", "d:Description": "A single multi-cast story that is set in 1999-2000. It focuses on characters such as Bo and Hope, John and Marlena, and Patch and Kayla.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Fan_Fiction", "url": "http://www.angelfire.com/mo/DANSTORY/"} +{"d:Title": "Days of Our Lives FanFiction Archive - FanFiction.Net", "d:Description": "Days of Our Lives fan fiction archive.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Fan_Fiction", "url": "http://www.fanfiction.net/tv/Days_of_Our_Lives/"} +{"d:Title": "Shawn&Belle: Fanfics and Chatter", "d:Description": "Fan fiction for the characters.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Days_of_Our_Lives/Fan_Fiction/Teens", "url": "http://www.network54.com/Forum/103813/"} +{"d:Title": "WebRing: Soap Fan InterActive", "d:Description": "A directory of soap opera oriented web sites.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Directories", "url": "http://www.webring.org/hub?ring=soapfania"} +{"d:Title": "WebRing: Port Charles", "d:Description": "A ring for General Hospital or Port Charles sites.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Directories", "url": "http://www.webring.org/hub/portcharles"} +{"d:Title": "WebRing: Nifty Soap Opera Pages", "d:Description": "Directory for sites that have anything to do with any daytime soaps.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Directories", "url": "http://www.webring.org/hub/happyring"} +{"d:Title": "WebRing: Soap Fans", "d:Description": "Directory open to sites by soap opera fans.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Directories", "url": "http://www.webring.org/hub/soapfans"} +{"d:Title": "WebRing: ABC Daytime Soaps", "d:Description": "For web pages about any of the ABC daytime soaps: All My Children, General Hospital, Port Charles, and One Life to Live.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Directories", "url": "http://www.webring.org/hub/abcsoaps"} +{"d:Title": "Filoli Center", "d:Description": "Official site of the actual \"Carrington mansion\" that was used in the filming of the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dynasty", "url": "http://www.filoli.org/"} +{"d:Title": "Dynasty and The Colbys @ Charlton Heston World", "d:Description": "Synopsis of both Dynasty and The Colbys. A cast/character list and pictures are included.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dynasty", "url": "http://charltonhestonworld.homestead.com/ColbysDynastyTVPg1.html"} +{"d:Title": "IMDb: Dynasty", "d:Description": "Synopsis, cast and crew, and user comments.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Dynasty", "url": "http://www.imdb.com/title/tt0081856/"} +{"d:Title": "Falcon Crest Fan Club", "d:Description": "Show overview, episode list, cast details, image gallery, audio and video clips, interviews, press coverage, and membership information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Falcon_Crest", "url": "http://www.falconcrest.org/"} +{"d:Title": "World of General Hospital", "d:Description": "Contains scene transcripts and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.angelfire.com/bc/gh/"} +{"d:Title": "SoapZone.com: General Hospital", "d:Description": "Everything you need to know about General Hospital. News, scoops and gossip updated regularly. Fun message boards and chat.", "priority": "1", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://soapzone.com/gh/"} +{"d:Title": "Suzanne's General Hospital and Port Charles Site", "d:Description": "Show and character histories, list of related books and fan clubs.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://tvmegasite.net/day/gh/"} +{"d:Title": "About.com: General Hospital Fans", "d:Description": "Categorized links, scoops and spoilers, daily recaps, forums and free e-mail newsletter.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://generalhospital.about.com/"} +{"d:Title": "GH Online - Soap Central", "d:Description": "Daily recaps, spoilers and gossip, cast photos, message boards, contests and games.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.soapcentral.com/gh/"} +{"d:Title": "General Hospital: Past and Present", "d:Description": "History and characters of ABC's General Hospital.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.angelfire.com/ca5/bscotch10/"} +{"d:Title": "Good Bad and Ugly", "d:Description": "Comments about story lines from one point of view.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://soaps-are-us.tripod.com/"} +{"d:Title": "General Hospital Happenings", "d:Description": "Includes spoilers, news, and forum.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.generalhospitalhappenings.com/"} +{"d:Title": "General Hospital - Wikipedia", "d:Description": "Contains show history and awards received.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://en.wikipedia.org/wiki/General_Hospital"} +{"d:Title": "Official GH Fan Club", "d:Description": "Features news, events, photos and membership details.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.ghfanclub.com/"} +{"d:Title": "ABC daytime: General Hospital:", "d:Description": "Official ABC site includes daily updates, cast bios, interviews, photos, GH history, message boards, trivia and games. The final word on General Hospital.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://abc.go.com/shows/general-hospital"} +{"d:Title": "The Ring of General Hospital", "d:Description": "Web ring for GH fan pages.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.webring.org/hub?ring=generalhospital"} +{"d:Title": "The Ring of Nifty General Hospital Pages", "d:Description": "Large web ring for General Hospital sites.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.webring.org/hub?ring=binklette"} +{"d:Title": "General Hospital Episode Guide", "d:Description": "A large site of episodes dating back to 1997, with episode descriptions and credits.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital", "url": "http://www.tv.com/shows/general-hospital/"} +{"d:Title": "Romance Without Bounds", "d:Description": "Features Nik and Gia angels, song dedications, photographs, and keeperships.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Characters/Relationships", "url": "http://www.angelfire.com/tv/GH/NikGia/1.html"} +{"d:Title": "Lucky and Gia Online", "d:Description": "Includes images, transcripts, song lyrics, fan art, fan fiction, and character and actor profiles.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Characters/Relationships", "url": "http://www.angelfire.com/tv2/lgo/index.html"} +{"d:Title": "Luke and Laura: The UnRevised version", "d:Description": "Includes sounds, videos, photos, interviews, articles, a message board and a chat room.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Characters/Relationships", "url": "http://www.angelfire.com/tv2/UNRevised/index.html"} +{"d:Title": "Laura and Scotty", "d:Description": "Variety of image galleries, mostly screen captures, and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Characters/Relationships", "url": "http://www.geniefrancis.com/latty.html"} +{"d:Title": "Penthouse Four", "d:Description": "Dedicated to Sonny and Carly Corinthos. Includes slideshows, fan fiction, and tape trading.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Characters/Relationships", "url": "http://phfour.tripod.com/"} +{"d:Title": "The Boxcar", "d:Description": "Features fan fiction and images for fans of General Hospital's Lucky and Elizabeth.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Characters/Relationships", "url": "http://ll2forever.tripod.com/"} +{"d:Title": "Simply Sonny and Alexis.Net", "d:Description": "Includes media, messageboards, and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Characters/Relationships", "url": "http://simplysonnyandalexis.bravepages.com/ssaahome.html"} +{"d:Title": "GHTalk Forum", "d:Description": "A forum to talk about the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Chats_and_Forums", "url": "http://disc.yourwebapps.com/Indices/133748.html"} +{"d:Title": "Soapsite Messages", "d:Description": "Message board for fans of the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Chats_and_Forums", "url": "http://soapsitemessages.yuku.com/forum/view/id/72"} +{"d:Title": "GH Fan Forum", "d:Description": "A place for General Hospital fans to chat about the show. Free registration is required.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Chats_and_Forums", "url": "http://generalhospital.forumotion.com/index.htm"} +{"d:Title": "Dominoes and Tequila", "d:Description": "A Jason and Sam board. Requires free registration.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Chats_and_Forums", "url": "http://z13.invisionfree.com/Dominoes_and_Tequila/index.php?act=idx"} +{"d:Title": "Alternate Realities", "d:Description": "Fan fiction with unexpected pairings, photo galleries, short stories, and soap crossovers.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Fan_Fiction", "url": "http://jrsgirl.tvheaven.com/"} +{"d:Title": "Elizabeth's GH Stories", "d:Description": "Many stories about Carly, Sonny and Luke.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Fan_Fiction", "url": "http://www.angelfire.com/tv/GHstories/"} +{"d:Title": "Jason and Carly Fan Fiction", "d:Description": "Stories focused on the characters. Includes short works and works in progress.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Fan_Fiction", "url": "http://jasecarly.livejournal.com/"} +{"d:Title": "Lilac's General Hospital Photos", "d:Description": "The 35th anniversary photo collection.", "topic": "Top/Arts/Television/Programs/Soap_Operas/General_Hospital/Image_Galleries", "url": "http://members.tripod.com/Lilac__PC/GHPhotos.htm"} +{"d:Title": "Springfield", "d:Description": "Pictures, information, contests, raffles to win GL stuff, pictures and quotes of the week.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Guiding_Light", "url": "http://blosslover.tripod.com/springfieldwebsite/index.html"} +{"d:Title": "The Lighthouse GL Fan Mailing List", "d:Description": "Provides a way for viewers to exchange e-mails and discuss the activities of the soap opera.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Guiding_Light", "url": "http://groups.yahoo.com/group/thelighthouse/"} +{"d:Title": "Guiding Light News and Previews Newsletter", "d:Description": "A weekly newsletter that includes spoilers and casting news.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Guiding_Light", "url": "http://groups.yahoo.com/group/glnews/"} +{"d:Title": "Guiding Light TeenAddicts", "d:Description": "Message board for fans of the show's teenage characters.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Guiding_Light/Chats_and_Forums", "url": "http://teenaddicts.yuku.com/bteenaddicts"} +{"d:Title": "Guiding Light Rassie Friends", "d:Description": "Message board to discuss Richard and Cassie.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Guiding_Light/Chats_and_Forums", "url": "http://guidinglightrassiefriends.yuku.com/"} +{"d:Title": "Big Purple Dreams", "d:Description": "A fan forum dedicated to the pairing of Olivia Spencer and Natalia Rivera, also known as Otalia.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Guiding_Light/Chats_and_Forums", "url": "http://www.s8.invisionfree.com/otaliafans"} +{"d:Title": "CBS' Knots Landing E-Mail Discussion List.", "d:Description": "For any discussion relating to the CBS soap Knots Landing and/or its characters.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Knots_Landing", "url": "http://groups.yahoo.com/group/knots-landing/"} +{"d:Title": "The Melrose 90210 RPG", "d:Description": "Fan fiction round robin combining the casts of both shows.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Melrose_Place", "url": "http://www.angelfire.com/tv2/melrose90210rpg/"} +{"d:Title": "Soap Central - One Life To Live", "d:Description": "Features spoilers, cast biographies, character profiles, recaps and recap archives, star birthdays, family trees, and a message board.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live", "url": "http://www.soapcentral.com/oltl/index.php"} +{"d:Title": "About.com - One Life to Live", "d:Description": "Spoilers, previews, recaps, photo galleries, games and trivia, and articles.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live", "url": "http://onelifetolive.about.com/"} +{"d:Title": "Magnificently Malonian", "d:Description": "Site dedicated to One Life To Live former head writer, Michael Malone. Includes the characters he created, favorite storylines during the Malone years, a top ten list, and interviews with the writer.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live", "url": "http://members.tripod.com/magnifmalonian/index.htm"} +{"d:Title": "Soapsgirl's One Life to Live Photos Page", "d:Description": "Video of the show's past themes, sound clips, and photo galleries of the show's families.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live", "url": "http://www.soapsgirl.org/oltlphotos.htm"} +{"d:Title": "SoapZone: One Life to Live", "d:Description": "Soap opera fan site featuring scoops, spoilers, news, celebrity gossip, episode recaps, message boards, chat rooms, fan fiction, and weekly games and polls.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live", "url": "http://soapzone.com/oltl/"} +{"d:Title": "Lauren's Starr Site", "d:Description": "A fan site dedicated to all things Starr Manning. Includes photos, fan fiction, and articles about the actress.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Characters", "url": "http://www.angelfire.com/in4/goldenstarr/"} +{"d:Title": "The Manning Building", "d:Description": "Includes background on Todd and Blair, a Todd quiz, sound clips, pictures, fan fiction, archived articles, and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Characters", "url": "http://members.tripod.com/manningbuilding/"} +{"d:Title": "Escape", "d:Description": "A site dedicated to Cristian and Natalie. Includes pictures, biographies, fan art, songs, and a forum.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Characters", "url": "http://cn-escape.tripod.com/"} +{"d:Title": "Yahoo! Group - One Life to Live Club", "d:Description": "A group for fans to talk about the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/onelifetoliveclub/"} +{"d:Title": "Yahoo! Group - John and Natalie", "d:Description": "A group for fans of the couple John and Natalie. Includes pictures.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/JohnandNatalie/"} +{"d:Title": "Yahoo! Group - JoVan-Fans", "d:Description": "A group for fans of the couple John and Evangeline to talk about the show and the characters.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Chats_and_Forums", "url": "http://tv.groups.yahoo.com/group/JoVan-Fans/"} +{"d:Title": "Luminous", "d:Description": "A message board dedicated to the character Evangeline Williamson and her portrayer, Renee Elise Goldsberry.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Chats_and_Forums", "url": "http://s7.invisionfree.com/Luminous/"} +{"d:Title": "Notoriously Nora", "d:Description": "A fan forum dedicated to One Life To Live's Nora Hanen and her portrayer Hillary B. Smith.", "topic": "Top/Arts/Television/Programs/Soap_Operas/One_Life_to_Live/Chats_and_Forums", "url": "http://z3.invisionfree.com/Notoriously_Nora/"} +{"d:Title": "IMDb: Paradise Falls", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Paradise_Falls", "url": "http://www.imdb.com/title/tt0181248/"} +{"d:Title": "TV.com: Paradise Falls", "d:Description": "Episode guide with original air dates and cast information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Paradise_Falls", "url": "http://www.tv.com/shows/paradise-falls/"} +{"d:Title": "Dustin's Passions Page", "d:Description": "Has episode archives dating back to the first Passions episode.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions", "url": "http://www.soapoperafan.com/passions"} +{"d:Title": "Passions Rules!", "d:Description": "Passions news, spoilers, daily screen caps, photos, opinion polls.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions", "url": "http://angelfire.com/tv2/soapoperapassions"} +{"d:Title": "ePassions", "d:Description": "Fan guide providing spoilers, news, discussion boards, fanlistings, free e-mail, and calendars.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions", "url": "http://epassions.tvheaven.com/"} +{"d:Title": "Jen's Passions Page", "d:Description": "Includes fan fiction, spoilers, news, and pictures.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions", "url": "http://www.angelfire.com/tv2/jenspage/passions.html"} +{"d:Title": "Miguel and Charity: Star Crossed Lovers", "d:Description": "Includes character biographies, pictures, and fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions/Characters", "url": "http://www.angelfire.com/la3/miguelandcharity/"} +{"d:Title": "Exquisite: A Brook Kerr Forum", "d:Description": "Discussion forum for Brook Kerr and her character Whitney Russell.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions/Characters", "url": "http://s7.invisionfree.com/Exquisite"} +{"d:Title": "Passions News Network", "d:Description": "This is a message board forum that features scoops and spoilers, comings and goings, and other news. Features a general discussion board and a fan fiction board.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions/Chats_and_Forums", "url": "http://passionsfans.proboards.com/"} +{"d:Title": "Unknown Passions: Fan Fiction", "d:Description": "Contains fan fics from NBC's soap, Passions. Stories center around each character on \"Passions\". Also includes an area where site visitors can submit their own fan fiction.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions/Fan_Fiction", "url": "http://hollywood490.tripod.com/"} +{"d:Title": "Rope 'Em Off", "d:Description": "Humorous essays and lyrics based on the soap opera Passions.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Passions/Fan_Fiction", "url": "http://www.angelfire.com/tv/soaphumor/"} +{"d:Title": "Soap Zone: Port Charles", "d:Description": "Features news and interviews.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles", "url": "http://soapzone.com/pc/"} +{"d:Title": "Chris Ramsey and Eve Lambert Fan Page", "d:Description": "This is a page dedicated to the characters Chris Ramsey and Eve Lambert of television's Port Charles.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles/Characters", "url": "http://www.angelfire.com/ne/chrisandeve/"} +{"d:Title": "Julie and Frank Fanatics", "d:Description": "Includes biographies, club information, links and pictures of this former Port Charles couple.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles/Characters", "url": "http://members.tripod.com/~JFonline/"} +{"d:Title": "Secrets of Destiny: Port Charles", "d:Description": "Dedicated to Rafe and Alison. Includes description of the town, biographies, and pictures.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles/Characters", "url": "http://www.angelfire.com/pop2/popodyssey2001/secretsenter.html"} +{"d:Title": "Heavenly Passion", "d:Description": "Fansite for Alison and Rafe. Includes biographies, pictures, songs, and fan art.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles/Characters", "url": "http://ali_rafe_kovich.tripod.com/"} +{"d:Title": "Souls Tied In Eternity: Caleb and Livvie", "d:Description": "Fansite for Caleb and Livvie.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles/Characters", "url": "http://caleb_livvie_tess.tripod.com/"} +{"d:Title": "A Match Made in Heaven", "d:Description": "Dedicated to Rafe and Alison. Includes chat, message board, pictures, quotes, and scene recaps.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles/Characters", "url": "http://rali4ever.tripod.com/oldindex.htm"} +{"d:Title": "Yahoo! Groups: Port Charles Center", "d:Description": "Message board for the show. Also includes pictures and links.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Port_Charles/Chats_and_Forums", "url": "http://groups.yahoo.com/group/portcharlescenter/"} +{"d:Title": "Ryan's Hope: The Beginning", "d:Description": "Features pictures, articles, and tidbits from the show's early years.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Ryan's_Hope", "url": "http://members.tripod.com/ryans_hope/"} +{"d:Title": "Ryan's Bar Online", "d:Description": "Photo gallery, transcripts, FAQ, articles, and quotes from the long-running soap opera.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Ryan's_Hope", "url": "http://members.tripod.com/RyansBarOnline/"} +{"d:Title": "IMDb: Ryan's Hope", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Ryan's_Hope", "url": "http://www.imdb.com/title/tt0072559/"} +{"d:Title": "Santa Barbara Tape List", "d:Description": "A place for fans to find tapes of the show for their personal use.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Santa_Barbara", "url": "http://santabarbarasoapfans.tvheaven.com/SantaBarbaratapelist.htm"} +{"d:Title": "TV Guide Entertainment Network: Soap Operas", "d:Description": "Provides soap opera articles and photos.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Scoops_and_Spoilers", "url": "http://www.tvguide.com/soaps/"} +{"d:Title": "Shortland Street Live Journal Community", "d:Description": "A weblog for fans of Shortland Street.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Shortland_Street", "url": "http://shortlandstreet.livejournal.com/"} +{"d:Title": "Coffeerooms Sunset Beach Site", "d:Description": "Chat room, fan fiction, and cast lists.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Sunset_Beach/Chats_and_Forums", "url": "http://www.coffeerooms.com/sunset/index.html"} +{"d:Title": "Sunset Beach Fanatics Forum", "d:Description": "Forum for fans of the soap.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Sunset_Beach/Chats_and_Forums", "url": "http://forums.delphiforums.com/sunfanatics"} +{"d:Title": "Telenovela World", "d:Description": "Forums for the discussion of dozens of telenovelas, in English and Spanish. Includes links to related sites.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Telenovelas", "url": "http://www.telenovela-world.com/"} +{"d:Title": "Telenovela Love Garden", "d:Description": "A blog for summaries and reviews of television soap operas, with photographs and comments.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Telenovelas", "url": "http://gardenersdaughter.blogspot.com/"} +{"d:Title": "IMDb: Yo soy Betty, la fea", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Telenovelas/Betty_La_Fea", "url": "http://www.imdb.com/title/tt0233127/"} +{"d:Title": "Mystery Net: Edge of Night", "d:Description": "General summary and commentary on the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/The_Edge_of_Night", "url": "http://www.mysterynet.com/tv/profiles/edgeofnight/"} +{"d:Title": "IMDb: The Edge of Night", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/The_Edge_of_Night", "url": "http://www.imdb.com/title/tt0048860/"} +{"d:Title": "Yahoo! Groups : The Edge of Night", "d:Description": "Show, cast, and episode discussion. Yahoo! ID and registration required.", "topic": "Top/Arts/Television/Programs/Soap_Operas/The_Edge_of_Night", "url": "http://tv.groups.yahoo.com/group/theedgeofnight/"} +{"d:Title": "PopMatters - Titans", "d:Description": "Review of the Aaron Spelling show, Titans.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Titans", "url": "http://popmatters.com/tv/reviews/t/titans.html"} +{"d:Title": "IMDb: Titans", "d:Description": "Cast and crew information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Titans", "url": "http://www.imdb.com/title/tt0243732/"} +{"d:Title": "TV.com: Titans", "d:Description": "Plot summary and cast information.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Titans", "url": "http://www.tv.com/shows/titans/"} +{"d:Title": "Amanda and Suzanne's Y&R Fan Page", "d:Description": "Scoops, news, images, fan fiction, and cast biographies.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Young_and_the_Restless,_The", "url": "http://www.tvmegasite.net/day/yr"} +{"d:Title": "Stephanie&Linda's Y&R Canadian Updates", "d:Description": "Previews and summaries of the show.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Young_and_the_Restless,_The", "url": "http://angelfire.com/tv/soapoperapreviews"} +{"d:Title": "YR Starz", "d:Description": "Wallpapers, fan fiction, image galleries, and character guides.", "topic": "Top/Arts/Television/Programs/Soap_Operas/Young_and_the_Restless,_The", "url": "http://jabots_model.tripod.com/yr_starz/"} +{"d:Title": "About.com Talk Shows", "d:Description": "Directory of links to program-related sites.", "topic": "Top/Arts/Television/Programs/Talk_Shows", "url": "http://talkshows.about.com/"} +{"d:Title": "Coffeerooms: Daytime Talk Shows", "d:Description": "Message board for fans of the genre.", "topic": "Top/Arts/Television/Programs/Talk_Shows", "url": "http://www.coffeerooms.com/forums/daytime/talk/index.html"} +{"d:Title": "What Were they Talking About?", "d:Description": "News about what happened on the shows.", "topic": "Top/Arts/Television/Programs/Talk_Shows", "url": "http://bhalter.tripod.com/"} +{"d:Title": "Peter's Reviews", "d:Description": "Previews of who will be appearing on late night talk show's.", "topic": "Top/Arts/Television/Programs/Talk_Shows", "url": "http://www.petersreviews.com/latenite.html"} +{"d:Title": "The Late Night TV Page", "d:Description": "TV listings for late night talk shows.", "topic": "Top/Arts/Television/Programs/Talk_Shows", "url": "http://www.interbridge.com/lineups.html"} +{"d:Title": "TV Talk Show: News Broadcasting and Media: Culture Shock TV", "d:Description": "Offers a look on politics, civil liberty and societal issues.", "topic": "Top/Arts/Television/Programs/Talk_Shows", "url": "http://www.cultureshocktv.com/"} +{"d:Title": "Cinema Insomnia", "d:Description": "Late-night series airing in Sacramento, California, reviews films. Includes list of movies, trivia, biography of host, and crew details.", "topic": "Top/Arts/Television/Programs/Talk_Shows", "url": "http://www.cinemainsomnia.com/"} +{"d:Title": "IMDb: The Ananda Lewis Show", "d:Description": "Cast and production credits.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Ananda_Lewis_Show,_The", "url": "http://www.imdb.com/title/tt0296301/"} +{"d:Title": "Cristina Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Cristina_Show,_The", "url": "http://www.imdb.com/title/tt0269117/"} +{"d:Title": "Decatur Daily: Sci-Fi 'Psychic' John Edward is a Good Poker Player", "d:Description": "Review by editor Franklin Harris.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Crossing_Over_with_John_Edward", "url": "http://home.hiwaay.net/~tfharris/pulpculture/columns/001012.shtml"} +{"d:Title": "Demystifying John Edward: Crossing Over", "d:Description": "Description of cold reading and annotated session with Doris Stokes.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Crossing_Over_with_John_Edward", "url": "http://www.re-quest.net/entertainment/movies-and-tv/tv/john-edward/index.htm"} +{"d:Title": "Skeptic: Deconstructing The Dead: Cross Over One Last Time To Expose Medium John Edward", "d:Description": "Article comparing John Edward's technique to medium James Van Praagh.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Crossing_Over_with_John_Edward", "url": "http://www.meta-religion.com/Paranormale/Skeptics/deconstructing_the_dead.htm"} +{"d:Title": "Larry King Live Transcript: Interview With John Edward", "d:Description": "Transcript of program that aired October 2, 2003.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Crossing_Over_with_John_Edward", "url": "http://transcripts.cnn.com/TRANSCRIPTS/0310/02/lkl.00.html"} +{"d:Title": "NY Times: John Edward Is the Oprah of the Other Side", "d:Description": "Chris Ballard interviews John Edward for the New York Times Magazine. [Free registration required.]", "topic": "Top/Arts/Television/Programs/Talk_Shows/Crossing_Over_with_John_Edward", "url": "http://www.nytimes.com/2001/07/29/magazine/oprah-of-the-other-side.html"} +{"d:Title": "CSICOP: Talking to Heaven Through Television", "d:Description": "\"How the mass media package and sell psychic medium John Edward.\" Statistical analysis of his March 6, 2001 Larry King Live appearance.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Crossing_Over_with_John_Edward", "url": "http://www.csicop.org/specialarticles/show/talking_to_heaven_through_television/"} +{"d:Title": "CSICOP: John Edward: Hustling the Bereaved", "d:Description": "A study of Edward\u2019s act: was he really talking to the dead?", "topic": "Top/Arts/Television/Programs/Talk_Shows/Crossing_Over_with_John_Edward", "url": "http://www.csicop.org/si/show/john_edward_hustling_the_bereaved/"} +{"d:Title": "The Ellen DeGeneres Show", "d:Description": "Official production company site. Includes air schedule, information on obtaining tickets, image gallery, and features.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Ellen_DeGeneres_Show,_The", "url": "http://www.ellendegeneres.com/"} +{"d:Title": "IMDb: Ellen DeGeneres Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Ellen_DeGeneres_Show,_The", "url": "http://www.imdb.com/title/tt0379623/"} +{"d:Title": "IMDb: Faces&Names", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Faces_&_Names", "url": "http://www.imdb.com/title/tt0313067/"} +{"d:Title": "IMDb: Jenny Jones", "d:Description": "Show summary and information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jenny_Jones", "url": "http://www.imdb.com/title/tt0108821/"} +{"d:Title": "Jerry Springer Show", "d:Description": "An unofficial fan club with information, games and pictures.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer", "url": "http://www.angelfire.com/ct/jerryspringer/index.html"} +{"d:Title": "The Jerry Springer Show", "d:Description": "Show information and episode reviews.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer", "url": "http://foodman10.tripod.com/jerryspringer"} +{"d:Title": "Jerry Springer TV", "d:Description": "Official site for the show. Video clips, show ideas, and ticketing information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer", "url": "http://jerryspringertv.com/"} +{"d:Title": "Wireless Flash: \"Jerry Springer Show\" Inspires New Drinking Game", "d:Description": "Describes a game based on the show.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Articles_and_Interviews", "url": "http://flashnews.com/news/97hh8744.htm"} +{"d:Title": "Online Athens", "d:Description": "Article about admissions on the show.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Articles_and_Interviews", "url": "http://www.athensnewspapers.com/1997/111297/1112.a3springer.html"} +{"d:Title": "XVR27's Homepage", "d:Description": "Lyrics to a song by Al Yankovic based on the series.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Humor", "url": "http://www.com-www.com/weirdal/jerryspringer.html"} +{"d:Title": "WWWF Grudge Match: Jerry Springer vs. Geraldo Rivera", "d:Description": "Suggests a scenario of what would happen if daytime talk show hosts met in the ring.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Humor", "url": "http://www.grudge-match.com/History/springer-geraldo.shtml"} +{"d:Title": "The Jerry Springer Drinking Game", "d:Description": "Rules and list of things needed to play.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Humor", "url": "http://www.webtender.com/handbook/games/jerry-springer.game"} +{"d:Title": "The Top 16 Signs Your Kids Have Been Watching Too Much \"Jerry Springer\"", "d:Description": "Actual sentences found in church bulletins and newsletters that read like dialogue on the show.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Humor", "url": "http://www.angelfire.com/az/NathanGraves/jerry.html"} +{"d:Title": "Jenny's Almighty Web Page", "d:Description": "List of things the series has in common with wrestling shows.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Humor", "url": "http://www.angelfire.com/az/stonecoldjenny316/"} +{"d:Title": "We're Not Jerry Springer", "d:Description": "Messages people sent to the wrong Web site, thinking it was the official site for the show.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Humor", "url": "http://www.slac.com/jerryspringer/"} +{"d:Title": "Jews For Jerry", "d:Description": "Support page created by a group of fans.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Image_Galleries", "url": "http://www.thetp.com/jerrypics.htm"} +{"d:Title": "Jerry Springer", "d:Description": "Opinion on how the host promotes \"stupid women\".", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Views_and_Opinions", "url": "http://www.lctn.com/education/hallshigh/tgrtime/jerry.htm"} +{"d:Title": "Captioning Jerry Springer", "d:Description": "Controversy erupts over the captioning of \"The Jerry Springer Show\".", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jerry_Springer/Views_and_Opinions", "url": "http://deafness.about.com/library/weekly/aa031698.htm"} +{"d:Title": "IMDb: Jimmy Kimmel Live", "d:Description": "User comments, crew details, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jimmy_Kimmel_Live", "url": "http://www.imdb.com/title/tt0320037/"} +{"d:Title": "Yahoo Groups!: Jimmy Kimmel Live Fan Club", "d:Description": "Discussion board for fans of the show. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jimmy_Kimmel_Live", "url": "http://tv.groups.yahoo.com/group/Jimmy_Kimmel_Live_Fan_Club/"} +{"d:Title": "ABC: Jimmy Kimmel Live", "d:Description": "Official network site. Host biography, upcoming guests, photo gallery, message boards, and videos.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Jimmy_Kimmel_Live", "url": "http://abc.go.com/shows/jimmy-kimmel-live"} +{"d:Title": "IMDb: Late Late Show with Craig Kilborn, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Late_Show_with_Craig_Kilborn,_The", "url": "http://www.imdb.com/title/tt0192906/"} +{"d:Title": "Downfall of Conan O'Brien, The", "d:Description": "Mary Sue fan fiction written by Stephanie.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.angelfire.com/ca2/stephlikes/OnlyOne.html"} +{"d:Title": "Andy Richter's Firearms Emporium and Mercantile", "d:Description": "Eric Wu's, Andy Richter media site.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.afn.org/~ejw/andy/index.html"} +{"d:Title": "Jimmy Vivino On Tour", "d:Description": "Find out where the guitarist and blues musician will be playing next.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://jimmyvivino.8k.com/jgig.html"} +{"d:Title": "The Max Weinberg Appreciation Page", "d:Description": "Information about the drummer and band leader for the show. Includes FAQ, and pictures.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.angelfire.com/on2/maxweinberg/"} +{"d:Title": "Viva Vivino!!", "d:Description": "Devoted to the guitarist from the show.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://jimmyvivino.8k.com/"} +{"d:Title": "Late Night With Conan O'Brien Newsletter", "d:Description": "A free weekly ezine written by fans.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://neeha.8m.com/"} +{"d:Title": "The Maxwell Conspiracy", "d:Description": "Mary Sue fan fiction by Linzi Gallacher.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.angelfire.com/ny/latenightnews/maxcon.html"} +{"d:Title": "ConanOhBrien", "d:Description": "News, pictures, show information, and quotes.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://members.tripod.com/~conanohbrien/ConanOhBrien/"} +{"d:Title": "Conan O'Brien S&M Page, The", "d:Description": "Stephanie and Magdalena's page includes a little comedy and a nearly complete list of almost every magazine article Conan has been mentioned in since 1993.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.angelfire.com/ny/SnMconalove/index.html"} +{"d:Title": "Conan O'Brien, the AWESOME!", "d:Description": "Links to sites with show-related content.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://pearly-abraham.tripod.com/htmls/conan.html"} +{"d:Title": "Late Night with Conan O'Brien FTP archive", "d:Description": "Archive maintained by Joe Shaw that contains the Abstract Project ('94-'95), multimedia, and other resources.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "ftp://ftp.krunk.org/pub/conan/"} +{"d:Title": "The Neeha Conan O'Brien Interview", "d:Description": "Interview in which the host talks about fame, his guitars, and his life.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://neeha.8m.com/conan01.html"} +{"d:Title": "Sarah's KRUNK about Conan and Late Night", "d:Description": "Compilation of information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.angelfire.com/tv/ConanOBrienKRUNK/"} +{"d:Title": "Conan O'Brien, aka GOD", "d:Description": "Information, links, fan fiction, photos, and pudding.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.angelfire.com/ca2/stephlikes/ConanIsGod.html"} +{"d:Title": "Through the Darkness: Late Night with Conan O'Brien", "d:Description": "News, pictures, video clips, wallpapers, and the font used in the show's logo.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://members.tripod.com/latenightwithcob/"} +{"d:Title": "The Conan O'Brien Borderline Obessive HaHa Only Kidding Now Put the Knife Down Page", "d:Description": "Transcripts, including the speech Conan gave at Harvard, as well as pictures, jokes from monologues, and shrine to Andy Richter.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.angelfire.com/tv2/conanobrien/main.html"} +{"d:Title": "Jimmy Vivino", "d:Description": "Fan site for Jimmy Vivino. Includes videos, a photo gallery, projects, interviews and reviews.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://www.jimmyvivino.com/"} +{"d:Title": "Late Night with Conan O'Brien and Max Weinberg", "d:Description": "Fan message board with topic threads on the show and the musician.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://com3.runboard.com/bkingandstaroflatenighttelevision"} +{"d:Title": "Conan O'Brien fansite", "d:Description": "Show overview, biography, Harvard commencement speech, and information on the Max Weingberg 7.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Night_with_Conan_O'Brien", "url": "http://kkconanobrien.tripod.com/"} +{"d:Title": "Late Show with David Letterman", "d:Description": "Official web site features video clips, audio clips, Top Ten Lists and archives, Dave's record collection, and the Wahoo Gazette with behind the scenes news.", "priority": "1", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Show_with_David_Letterman", "url": "http://www.cbs.com/latenight/lateshow/"} +{"d:Title": "Big Red Here", "d:Description": "One of the few sites dedicated to Late Show announcer Alan Kalter.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Show_with_David_Letterman", "url": "http://www.stormpages.com/kentymac/bigred/"} +{"d:Title": "Stupid Fan Tricks", "d:Description": "News, weekly guest list, contests, biography, and quotes.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Show_with_David_Letterman", "url": "http://stupidfantricks.tripod.com/"} +{"d:Title": "Rest of David Letterman", "d:Description": "Includes screenshots of Letterman guests from the late 1990s.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Show_with_David_Letterman", "url": "http://letterman.iscool.com/"} +{"d:Title": "Bob Borden", "d:Description": "Twitter for the performer. Includes diary, photos, video clips, articles, and FAQ.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_Show_with_David_Letterman", "url": "https://twitter.com/BobBorden"} +{"d:Title": "IMDb: Late World with Zach", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Late_World_with_Zach", "url": "http://www.imdb.com/title/tt0310481/"} +{"d:Title": "Listen to the Eagle", "d:Description": "Focuses on wildlife and conservation issues. Includes biography of host Paul Ott, list of radio and TV stations where the show airs, and streaming audio.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Listen_to_the_Eagle", "url": "http://www.listentotheeagle.com/"} +{"d:Title": "Yahoo! Groups: Regis and Kelly", "d:Description": "Discussion mailing list for fans. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Programs/Talk_Shows/Live_with_Regis_and_Kelly", "url": "http://groups.yahoo.com/group/regisandkelly"} +{"d:Title": "Transcript of Will Kirby, Shannon Dragoo, Lee Greenwood, Miss America on Live with Regis and Kelly", "d:Description": "Includes description of the segment.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Live_with_Regis_and_Kelly", "url": "http://www.angelfire.com/celeb2/gossipnews/will_regis.html"} +{"d:Title": "IMDb: Living It Up! With Ali and Jack", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Living_it_Up_With_Ali_and_Jack", "url": "http://www.imdb.com/title/tt0381778/"} +{"d:Title": "IMDb: Man Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Man_Show,_The", "url": "http://www.imdb.com/title/tt0202741/"} +{"d:Title": "USATODAY.com: There shouldn't be a next time, America", "d:Description": "Article that argues it's time for the show to end.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Maury_Povich_Show,_The", "url": "http://www.usatoday.com/life/columns/candy/2002/2002-03-13-candy.htm"} +{"d:Title": "IMDb: Michael Essany Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Michael_Essany_Show,_The", "url": "http://www.imdb.com/title/tt0377227/"} +{"d:Title": "The Montel Williams Show", "d:Description": "Paramount's official site. Includes biography, show topics, information about tickets, and stations that air the show.", "priority": "1", "topic": "Top/Arts/Television/Programs/Talk_Shows/Montel_Williams_Show,_The", "url": "http://www.montelshow.com/"} +{"d:Title": "IMDb: EWTN Presents Mother Angelica Live", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Mother_Angelica", "url": "http://www.imdb.com/title/tt0278185/"} +{"d:Title": "Bill O'Reilly: The O'Reilly Factor", "d:Description": "Anchor and host of the \"No Spin Zone,\" Bill deals with the nation's most important issues in a straightforward and provocative manner.", "topic": "Top/Arts/Television/Programs/Talk_Shows/O'Reilly_Factor,_The", "url": "http://www.foxnews.com/oreilly/"} +{"d:Title": "IMDb: O'Reilly Factor, The", "d:Description": "Features information on the host, crew, and production.", "topic": "Top/Arts/Television/Programs/Talk_Shows/O'Reilly_Factor,_The", "url": "http://www.imdb.com/title/tt0284767/"} +{"d:Title": "IMDb: On-Air with Ryan Seacrest", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Talk_Shows/On-Air_With_Ryan_Seacrest", "url": "http://www.imdb.com/title/tt0397182/"} +{"d:Title": "The Oprah Winfrey Show", "d:Description": "Official site for the show, with schedule, message board, and ticket information.", "priority": "1", "topic": "Top/Arts/Television/Programs/Talk_Shows/Oprah_Winfrey_Show,_The", "url": "http://www.oprah.com/"} +{"d:Title": "IMDb: Other Half, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Other_Half,_The", "url": "http://www.imdb.com/title/tt0299362/"} +{"d:Title": "IMDb: Paula Poundstone Show, The", "d:Description": "Cast, crew, and production information.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Paula_Poundstone_Show,_The", "url": "http://www.imdb.com/title/tt0106096/"} +{"d:Title": "The Queen Latifah Show", "d:Description": "Official site from Warner Brothers.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Queen_Latifah_Show,_The", "url": "http://latifahshow.com/"} +{"d:Title": "IMDb: The Rosie O'Donnell Show", "d:Description": "Crew and production information, plus viewer comments.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Rosie_O'Donnell_Show,_The", "url": "http://www.imdb.com/title/tt0115338/"} +{"d:Title": "Sidewalks Entertainment Television", "d:Description": "Official site for the talk and variety series showcasing celebrities, music, and artistic and novelty acts. Website presents on-demand video clips (in Flash or Real Video) of show segments and interviews, photographs, giveaways, and \"Sidewalks On The Corner Blog\" on movies and music.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Sidewalks_Entertainment_Television", "url": "http://www.sidewalkstv.com/"} +{"d:Title": "Sidewalks Entertainment", "d:Description": "Wikipedia listing for the TV show.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Sidewalks_Entertainment_Television", "url": "http://en.wikipedia.org/wiki/Sidewalks_Entertainment"} +{"d:Title": "Imdb - Sidewalks Entertainment", "d:Description": "Internet Movie Database listing for the TV series with cast credits and celebrity guest appearances.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Sidewalks_Entertainment_Television", "url": "http://www.imdb.com/title/tt0094547/"} +{"d:Title": "TV.com: Sidewalks Entertainment", "d:Description": "Show information, cast credits, and episode guide on the talk / variety television series.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Sidewalks_Entertainment_Television", "url": "http://www.tv.com/shows/sidewalks-entertainment/"} +{"d:Title": "The Spud Goodman Show", "d:Description": "Contains complete episodes as aired on FOX television, celebrity interviews and musical performances. Includes biography of the host.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Spud_Goodman_Show,_The", "url": "http://www.spudgoodman.com/"} +{"d:Title": "E! Online - Talk Soup", "d:Description": "Official network site. Episode guide, schedule, host biography, and message board.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Talk_Soup", "url": "http://www.eonline.com/on/shows/thesoup/"} +{"d:Title": "ClassicThemes: The Jack Paar Tonight Show", "d:Description": "Data for the theme songs used for the show when Jack Paar hosted.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show,_The_Jack_Paar", "url": "http://www.classicthemes.com/50sTVThemes/themePages/tonight_Paar.html"} +{"d:Title": "Heeere's Johnny: The Official Tonight Show Website", "d:Description": "Includes video clips, trivia, history of the show, and cast biographies.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show_Starring_Johnny_Carson,_The", "url": "http://www.johnnycarson.com/"} +{"d:Title": "The Art Fern Appreciation Page", "d:Description": "Background on the skit character played by Johnny Carson, as well as photographs, \"Tea Time Movie\" titles, audio clips, and related links.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show_Starring_Johnny_Carson,_The", "url": "http://www.angelfire.com/tv2/eccentric/artfern.htm"} +{"d:Title": "IMDb: Tonight Show Starring Johnny Carson, The", "d:Description": "Cast, crew, and production information, as well as viewer comments.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show_Starring_Johnny_Carson,_The", "url": "http://www.imdb.com/title/tt0055708/"} +{"d:Title": "Seeing Stars: The Tonight Show starring Jay Leno", "d:Description": "Information on obtaining free tickets to live tapings of The Tonight Show at NBC studios in California.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show_with_Jay_Leno,_The", "url": "http://www.seeing-stars.com/Tapings/TonightShow.shtml"} +{"d:Title": "IMDb: The Tonight Show with Jay Leno", "d:Description": "Cast, crew, viewer comments, and other related data.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show_with_Jay_Leno,_The", "url": "http://imdb.com/title/tt0103569/"} +{"d:Title": "Steve Allen and 'Tonight'", "d:Description": "History and video clip.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show_with_Steve_Allen,_The", "url": "http://www.steveallen.com/television_pioneer/tonight.htm"} +{"d:Title": "IMDb: Tonight", "d:Description": "Cast, crew, and related data.", "topic": "Top/Arts/Television/Programs/Talk_Shows/Tonight_Show,_The/Tonight_Show_with_Steve_Allen,_The", "url": "http://imdb.com/title/tt0046652/"} +{"d:Title": "Wikipedia - Behar, Joy", "d:Description": "Biography of the host in the online collaborative encyclopedia. Includes filmography and awards.", "topic": "Top/Arts/Television/Programs/Talk_Shows/View,_The", "url": "https://en.wikipedia.org/wiki/Joy_Behar"} +{"d:Title": "Lyngemark Satellite Chart", "d:Description": "Provides technical data for satellite TV and radio channels worldwide in the Ku, C and S bands.", "topic": "Top/Arts/Television/Satellite", "url": "http://www.lyngsat.com/"} +{"d:Title": "SAT-7 Homepage", "d:Description": "Official site for television service for Christians in the Middle East. Includes programming information, schedules, and advertising rates.", "topic": "Top/Arts/Television/Satellite", "url": "http://www.sat7.org/"} +{"d:Title": "Tracksat.com", "d:Description": "Frequency charts, directional charts, footprints, and tips for dish users.", "topic": "Top/Arts/Television/Satellite", "url": "http://www.tracksat.com/"} +{"d:Title": "South Scanner Satellite Services Chart", "d:Description": "Information on locations and feeds.", "topic": "Top/Arts/Television/Satellite", "url": "http://www.nmia.com/~roberts/ssv11n1.pdf"} +{"d:Title": "Sat-Digest", "d:Description": "Transponder news, programming schedules, and message board.", "topic": "Top/Arts/Television/Satellite", "url": "http://www.sat-digest.com/?en"} +{"d:Title": "UK Satellite Feeds", "d:Description": "Satellite feeds, listings, and free channels across Europe.", "topic": "Top/Arts/Television/Satellite", "url": "http://www.dxers.co.uk/"} +{"d:Title": "SATCO DX Satellite Chart", "d:Description": "Worldwide satellite locations and feed information, available in a wide variety of languages.", "topic": "Top/Arts/Television/Satellite", "url": "http://www.satbeams.com/"} +{"d:Title": "SatNews.com", "d:Description": "Offers weekly WebZine with information on the Commercial Satellite Industry, reference pages, and details on satellites, companies, products and services.", "topic": "Top/Arts/Television/Satellite/Digital_Satellite_Systems", "url": "http://www.satnews.com/"} +{"d:Title": "The Satellite Encyclopedia", "d:Description": "Dictionary of over 2000 satellites. Fact sheets contain information on launch date, official identification and description of the payload.", "topic": "Top/Arts/Television/Satellite/Digital_Satellite_Systems", "url": "http://www.tbs-satellite.com/tse/"} +{"d:Title": "Satellite Business News", "d:Description": "Offers satellite industry news and information via a subscription.", "topic": "Top/Arts/Television/Satellite/Digital_Satellite_Systems", "url": "http://www.satbiznews.com/"} +{"d:Title": "Roberts Satellite TV", "d:Description": "Personal site that offers information on how broadcasts work, and what kind of equipment is needed to receive satellite signals.", "topic": "Top/Arts/Television/Satellite/Digital_Satellite_Systems", "url": "http://www.nmia.com/~roberts/"} +{"d:Title": "International Journal of Satellite Communications", "d:Description": "Access PDF files of articles from present and past issues, or read through abstracts of featured papers.", "topic": "Top/Arts/Television/Satellite/Digital_Satellite_Systems", "url": "http://www3.interscience.wiley.com/journal/117946194/grouphome/home.html"} +{"d:Title": "Quick-Glance Satellite Channel Guide", "d:Description": "Listings tailored for various satellite systems.", "topic": "Top/Arts/Television/Satellite/Guides", "url": "http://members.tripod.com/fdreid2/index.htm"} +{"d:Title": "METhAMORPH", "d:Description": "Offers PPV guide with movie reviews.", "topic": "Top/Arts/Television/Satellite/Guides", "url": "http://www.methamorph.tvheaven.com/vlez.htm"} +{"d:Title": "TELE-satellite International Magazine", "d:Description": "Product reviews for various systems and subscription information.", "topic": "Top/Arts/Television/Satellite/Magazines_and_E-zines", "url": "http://www.tele-satellite.com/"} +{"d:Title": "Satellite Direct Magazine", "d:Description": "Includes subscription information, small-dish tips, FAQs for DirecTV, and contact details for television networks.", "topic": "Top/Arts/Television/Satellite/Magazines_and_E-zines", "url": "http://www.directmagazine.com/"} +{"d:Title": "CSI", "d:Description": "European technology magazine for cable, satellite, terrestrial, IPTV and Mobile TV.", "topic": "Top/Arts/Television/Satellite/Magazines_and_E-zines", "url": "http://www.cable-satellite.com/"} +{"d:Title": "SES ASTRA", "d:Description": "Satellite system for direct-to-home transmission of TV, radio and multimedia services in Europe. Includes corporate profile, information on programming services, news, list of products and services, and FAQ.", "topic": "Top/Arts/Television/Satellite/Operators", "url": "http://www.ses-astra.com/"} +{"d:Title": "DirecTV", "d:Description": "Subscription services delivering sports, news, movies, adult, family, network, and local programming via satellite.", "topic": "Top/Arts/Television/Satellite/Operators", "url": "http://www.directv.com/"} +{"d:Title": "Malayalam Television Channels Information", "d:Description": "Transmits six channels to Asia. Includes technical information, photos, news, and individual channels' theme songs.", "topic": "Top/Arts/Television/Satellite/Operators", "url": "http://www.malayalamtv.2itb.com/"} +{"d:Title": "Arab Satellite Communications Organization", "d:Description": "Services to the Arab world. Includes list of products, rates, channel listings, contact information, and employment openings.", "topic": "Top/Arts/Television/Satellite/Operators", "url": "http://www.arabsat.com/"} +{"d:Title": "Dish Network", "d:Description": "Includes news, channel list, programming options and company details. Based in Englewood, Colorado.", "topic": "Top/Arts/Television/Satellite/Operators", "url": "http://www.dish.com/"} +{"d:Title": "The Satellite Encyclopedia", "d:Description": "A technical reference containing information on 2000 satellites. Multiple thematic listings (country, launcher, purpose). Technical specifications such as transponder frequency chart, uplink and downlink, G/T EIRP maps, space launch log.", "topic": "Top/Arts/Television/Satellite/Technical", "url": "http://www.tbs-satellite.com/"} +{"d:Title": "DVBEdit", "d:Description": "Downloadable channel editing program for use with DVB2000 satellite software on Nokia 9200, 9500 and 9600 receivers.", "topic": "Top/Arts/Television/Satellite/TVRO", "url": "http://www.coolstf.com/dvbedit/"} +{"d:Title": "MPEG/DVB Discussion Forum", "d:Description": "Discussion of MPEG2 DVB satellite receivers and programming. Mostly TVRO related.", "topic": "Top/Arts/Television/Satellite/TVRO", "url": "http://www.satforums.com/"} +{"d:Title": "Yahoo! Groups: Satellite TV Community for TVRO", "d:Description": "Forum for exchange of information. Topics include feeds, locations, and technologies. [Yahoo! registration required.]", "topic": "Top/Arts/Television/Satellite/TVRO", "url": "http://tv.groups.yahoo.com/group/TVRO/"} +{"d:Title": "The Late Night TV Page", "d:Description": "Lineups for various late night shows.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.interbridge.com/lineups.html"} +{"d:Title": "TV Guide", "d:Description": "Listings and information provided by the publishers of the print magazine.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.tvguide.com/"} +{"d:Title": "yourTV", "d:Description": "Provides daily updated details of all television programs broadcast in Australia every week.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.yourtv.com.au/"} +{"d:Title": "The Telco Report", "d:Description": "Provides program information to world television markets.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.telcoreport.com/"} +{"d:Title": "Advance Production News", "d:Description": "Information on television programs, feature films, videos, and series in production in the United Kingdom.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.crimsonuk.com/"} +{"d:Title": "OurGuide TV Guide", "d:Description": "TV Guide for Melbourne, Sydney, Canberra, Hobart, Darwin, Brisbane, Perth, Adelaide and all regions in Australia.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.ourguide.com.au/"} +{"d:Title": "Live TV Listings", "d:Description": "Listings for UK terrestrial, cable, and satellite TV channels.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.livelistings.co.uk/"} +{"d:Title": "TVGuide.co.uk", "d:Description": "Program listings in customizable grid format.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://www.tvguide.co.uk/"} +{"d:Title": "AOL Television - Local TV Listings", "d:Description": "Get local and national TV listings for your area.", "topic": "Top/Arts/Television/Schedule_and_Programming", "url": "http://tvlistings.aol.com/listings/state/city/provider?zipcode="} +{"d:Title": "sabc2", "d:Description": "Guide and programming information.", "topic": "Top/Arts/Television/Stations/Africa", "url": "http://www.sabc2.co.za/"} +{"d:Title": "Asianet", "d:Description": "News, current affairs on Kerala, India, programming, and schedule.", "topic": "Top/Arts/Television/Stations/Asia", "url": "http://www.asianetglobal.com/"} +{"d:Title": "Sony Entertainment Television", "d:Description": "Venture of Sony Pictures Entertainment in India.", "topic": "Top/Arts/Television/Stations/Asia", "url": "http://www.setindia.com/"} +{"d:Title": "WCVI TV UPN 27", "d:Description": "A full-power TV station broadcaster serving the islands. Programming, advertising, and contact information.", "topic": "Top/Arts/Television/Stations/Caribbean/US_Virgin_Islands", "url": "http://www.wcvi.tv/"} +{"d:Title": "RT\u00c9", "d:Description": "Specialising in cultural, educational, and information programmes in Ireland. News, sports, entertainment, and programme schedule.", "topic": "Top/Arts/Television/Stations/Europe", "url": "http://www.rte.ie/"} +{"d:Title": "Future Television", "d:Description": "Lebanese terrestrial television channel and satellite broadcast station. Includes schedule and programming information.", "topic": "Top/Arts/Television/Stations/Middle_East", "url": "http://www.futuretvnetwork.com/"} +{"d:Title": "SuperTech TV Station", "d:Description": "Broadcasts free-to-air on Arabsat, as well as providing high-tech products and training worldwide for computer applications and networks.", "topic": "Top/Arts/Television/Stations/Middle_East", "url": "http://www.supertechtv.com/"} +{"d:Title": "City", "d:Description": "Program listings, news, and contact information.", "topic": "Top/Arts/Television/Stations/North_America/Canada", "url": "http://www.citytv.com/"} +{"d:Title": "C21ETV", "d:Description": "Independent TV production. Calgary.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://www.c21etv.com/"} +{"d:Title": "The Miracle Channel", "d:Description": "Christian station in Lethbridge. Webcasts, program schedules and station information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://www.miraclechannel.ca/"} +{"d:Title": "CBC Calgary", "d:Description": "News, program schedules, contact information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://www.cbc.ca/calgary/"} +{"d:Title": "CBC Edmonton", "d:Description": "News, weather and program information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://www.cbc.ca/edmonton/"} +{"d:Title": "Global News - Lethbridge", "d:Description": "Program information, news headlines, community events, links to local government, and sports.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://globalnews.ca/lethbridge/"} +{"d:Title": "Global News - Calgary", "d:Description": "News, sports, contests, community events, profiles of on-air personalities, and contact information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://globalnews.ca/calgary/"} +{"d:Title": "Global News - Edmonton", "d:Description": "Includes programming information, news, sports, and community events.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://globalnews.ca/edmonton/"} +{"d:Title": "CTV News - Edmonton", "d:Description": "Part of the CTV Network for Edmonton, and Northern Alberta.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://edmonton.ctvnews.ca/"} +{"d:Title": "CTV News - Calgary", "d:Description": "Part of the CTV Network for Calgary, Lethbridge and Southern Alberta.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Alberta", "url": "http://calgary.ctvnews.ca/"} +{"d:Title": "CKPG TV", "d:Description": "Jim Pattison Broadcast Group, based in Prince George. For northern BC.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://www.ckpg.com/"} +{"d:Title": "Knowledge Network", "d:Description": "Provincial public educational station. Schedules and program information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "https://www.knowledge.ca/"} +{"d:Title": "Global News - BC", "d:Description": "Formerly BCTV. Includes news, sports, community events, profiles of on-air personalities, and contact information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://globalnews.ca/bc/"} +{"d:Title": "Global News - Okanagan", "d:Description": "News, sports, and program listings.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://globalnews.ca/okanagan/"} +{"d:Title": "CTV News - Vancouver", "d:Description": "Provincial CTV affiliate. News and program listings.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://bc.ctvnews.ca/"} +{"d:Title": "CFJC Kamloops", "d:Description": "CBC affiliate in the Interior. News, weather, and program schedules.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://cfjctoday.com/"} +{"d:Title": "CTV News - Vancouver Island", "d:Description": "Program schedules, show information and news.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://vancouverisland.ctvnews.ca/"} +{"d:Title": "CHEK", "d:Description": "Serving Vancouver Island since 1956. Now the only employee-owned station in North America. Based in Victoria.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://www.cheknews.ca/"} +{"d:Title": "Northwest Broadcasters", "d:Description": "Listing of stations, with their locations and frequencies, in the Pacific Northwest and lower British Columbia region.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://www.nwbroadcasters.com/"} +{"d:Title": "CBC British Columbia", "d:Description": "Centred in Vancouver and is the CBC affiliate for most of the province. News, program details, and weather.", "topic": "Top/Arts/Television/Stations/North_America/Canada/British_Columbia", "url": "http://www.cbc.ca/news/canada/british-columbia"} +{"d:Title": "CityTV Winnipeg", "d:Description": "Schedules, contests, and information on prime time films.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Manitoba", "url": "http://www.citytv.com/winnipeg/"} +{"d:Title": "CBC Manitoba", "d:Description": "Local, national, and international headlines.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Manitoba", "url": "http://www.cbc.ca/news/canada/manitoba"} +{"d:Title": "Global News - Winnipeg", "d:Description": "News, weather, and program schedules.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Manitoba", "url": "http://globalnews.ca/winnipeg/"} +{"d:Title": "CTV News - Winnipeg", "d:Description": "Provincial CTV affiliate. News, weather, and program guides.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Manitoba", "url": "http://winnipeg.ctvnews.ca/"} +{"d:Title": "Newfoundland Television (NTV)", "d:Description": "Local CTV affiliate in St. John's. News, program schedules, and live picture of St. John's Harbour.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Newfoundland_and_Labrador", "url": "http://www.ntv.ca/"} +{"d:Title": "CBC Newfoundland&Labrador", "d:Description": "News and program information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Newfoundland_and_Labrador", "url": "http://www.cbc.ca/nl/"} +{"d:Title": "CBC New Brunswick", "d:Description": "News, schedules, contact information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/New_Brunswick", "url": "http://www.cbc.ca/nb/"} +{"d:Title": "CBC Nova Scotia", "d:Description": "News, weather, and program guides.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Nova_Scotia", "url": "http://www.cbc.ca/ns/"} +{"d:Title": "Global News - Halifax", "d:Description": "News, weather, business and health.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Nova_Scotia", "url": "http://globalnews.ca/halifax/"} +{"d:Title": "CTV News - Atlantic", "d:Description": "CTV affiliate for the Maritimes. Contact information, station history and a program grid.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Nova_Scotia", "url": "http://atlantic.ctvnews.ca/"} +{"d:Title": "TVOntario", "d:Description": "Government-owned educational and documentary television network. Schedules, show information, educational links and games for kids.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://www.tvo.org/"} +{"d:Title": "CHEX Television", "d:Description": "CBC affiliate in Peterborough and southeastern Ontario. News, weather, and listings.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://www.chextv.com/"} +{"d:Title": "OMNI TV", "d:Description": "Multicultural station in Toronto. Program information in English and other languages.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://www.omnitv.ca/"} +{"d:Title": "CBC Ottawa", "d:Description": "Headlines, business news, weather, and contact information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://www.cbc.ca/ottawa/"} +{"d:Title": "CBC Toronto", "d:Description": "Local and national headlines, weather, arts news, traffic reports, programming information, and webcams.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://www.cbc.ca/toronto/"} +{"d:Title": "CTV News - Toronto", "d:Description": "CTV's flagship station. News, newscasts and contests.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://toronto.ctvnews.ca/"} +{"d:Title": "CHCH Hamilton", "d:Description": "News, sports, and program guides.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://www.chch.com/"} +{"d:Title": "Global News - Toronto", "d:Description": "Includes news, sports, programming schedule, community events, and contests.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://globalnews.ca/toronto/"} +{"d:Title": "CTV News - Ottawa", "d:Description": "Station information and history, newscast information, contests.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://ottawa.ctvnews.ca/"} +{"d:Title": "CTV News - Kitchener", "d:Description": "Covers much of Southern Ontario (outside of the Toronto area). E-mail addresses, link to programming information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://kitchener.ctvnews.ca/"} +{"d:Title": "World TV Radio - Canada", "d:Description": "Database with station name, stream URL for TV available on the Internet.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://www.worldtvradio.com/TV-channel-Canada"} +{"d:Title": "Blue Ant Media", "d:Description": "Create and distribute content worldwide ranging from nature to DIY, outdoor living to music, engaging fans across television, digital, magazines and live events. Toronto based.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Ontario", "url": "http://blueantmedia.ca/"} +{"d:Title": "CBC Prince Edward Island", "d:Description": "News, video clips, and weather.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Prince_Edward_Island", "url": "http://www.cbc.ca/pei/"} +{"d:Title": "CBC Montreal", "d:Description": "News, news clips, and weather.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Quebec", "url": "http://www.cbc.ca/montreal/"} +{"d:Title": "CTV News - Montreal", "d:Description": "Provincial CTV affiliate. News, weather, program schedules, station information, and contact information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Quebec", "url": "http://montreal.ctvnews.ca/"} +{"d:Title": "Global News - Montreal", "d:Description": "News, weather, and program listings.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Quebec", "url": "http://globalnews.ca/montreal/"} +{"d:Title": "CBC Saskatchewan", "d:Description": "News, video clips, and weather.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Saskatchewan", "url": "http://www.cbc.ca/sask/"} +{"d:Title": "CityTV - Saskatchewan", "d:Description": "Program schedule and information.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Saskatchewan", "url": "http://www.citytv.com/saskatchewan/"} +{"d:Title": "Global News - Saskatoon", "d:Description": "News, weather, and program schedules.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Saskatchewan", "url": "http://globalnews.ca/saskatoon/"} +{"d:Title": "Global News - Regina", "d:Description": "Weather, news, and program listings.", "topic": "Top/Arts/Television/Stations/North_America/Canada/Saskatchewan", "url": "http://globalnews.ca/regina/"} +{"d:Title": "WJBF News Channel 6", "d:Description": "Augusta. Covering local news from both Georgia and South Carolina, weather, community calendar, program guide, station history, and job opportunities. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States", "url": "http://www.wjbf.com/"} +{"d:Title": "Station Index", "d:Description": "Includes a list of thousands of television stations from across the country.", "topic": "Top/Arts/Television/Stations/North_America/United_States", "url": "http://www.stationindex.com/"} +{"d:Title": "KGCS Channel 57", "d:Description": "Low powered station in Joslin airing America One programming.", "topic": "Top/Arts/Television/Stations/North_America/United_States", "url": "http://www.mssu.edu/kgcs/"} +{"d:Title": "WFGX Television", "d:Description": "Includes schedule and weather. Serving the Emerald Coast, including Pensacola and Fort Walton Beach.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wfgxtv.com/"} +{"d:Title": "WBCF (MusicMusic USA/UATV)", "d:Description": "Florence.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wbcf.com/"} +{"d:Title": "WAAY TV 31", "d:Description": "Huntsville. News, weather, sports, program schedule, and community events. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.waaytv.com/"} +{"d:Title": "WCFT/WJSU ABC 33/40", "d:Description": "Birmingham. News, weather, sports, programming information, and community calendar. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.abc3340.com/"} +{"d:Title": "WAFF 48 Huntsville", "d:Description": "News, weather, sports, community events, consumer alerts, and promotions. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.waff.com/"} +{"d:Title": "WSFA 12 Montgomery", "d:Description": "Local and national news, weather, sports, community events, consumer reports, and broadcast schedule. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wsfa.com/"} +{"d:Title": "WTTO 21", "d:Description": "Birmingham. Sports, television schedule, community events, and contests. [WB]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wtto21.com/"} +{"d:Title": "WKRG 5", "d:Description": "Featuring local area news, weather, sports, community and schedule. Webcams and Doppler radar are also available. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wkrg.com/"} +{"d:Title": "WHNT", "d:Description": "Offering news, weather, Doppler radar, sports, health, entertainment, money, auto and community information. Also features a message board. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.whnt.com/"} +{"d:Title": "WPMI NBC15 Mobile", "d:Description": "Programming schedule, news, weather, sports, and community events. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.local15tv.com/"} +{"d:Title": "WCOV-20 Montgomery", "d:Description": "Sports, programming, lots of facts about the station. [FOX]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wcov.com/"} +{"d:Title": "Alabama Public Television - Stations", "d:Description": "Schedule, program information, and educational services. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.aptv.org/Inside/stations.asp"} +{"d:Title": "WVTM NBC13 Birmingham", "d:Description": "News, weather, sports, consumer information, and program highlights. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wvtm13.com/"} +{"d:Title": "WBRC-6 Birmingham", "d:Description": "News and weather, program schedule and community calendar. [FOX]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wbrc.com/"} +{"d:Title": "WZDX-54 Huntsville", "d:Description": "Program schedule, children's site, community events, station information. [FOX]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.rocketcitynow.com/"} +{"d:Title": "Alabama News Network", "d:Description": "Featuring local news, weather, sports, entertainment, health and fitness. [CBS, CW, ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.alabamanews.net/"} +{"d:Title": "WTVY TV Dothan", "d:Description": "Featuring news, weather, sports, community, message boards and movie reviews. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alabama", "url": "http://www.wtvy.com/"} +{"d:Title": "KTUU 2", "d:Description": "Anchorage. News, weather, aurora and ski reports, program schedule, and community events. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alaska", "url": "http://www.ktuu.com/"} +{"d:Title": "KATH-TV", "d:Description": "Channel 15, Juneau. NBC affiliate. Includes schedule, FAQ, and information on services and advertising.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alaska", "url": "http://www.kath.tv/"} +{"d:Title": "KXD CBS13 Fairbanks", "d:Description": "Offering news archive, talkback and program listings. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alaska", "url": "http://www.tvtv.com/"} +{"d:Title": "KTOO", "d:Description": "Juneau. Videos, member services, radio schedule, and links. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alaska", "url": "http://www.ktoo.org/"} +{"d:Title": "Alaska Public Telecommunications", "d:Description": "KAKM 7 and KSKA FM 91.1. Television and radio schedule, program highlights, and education services.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Alaska", "url": "http://www.alaskapublic.org/"} +{"d:Title": "The Arizona Channel", "d:Description": "Regional cable television station originiating in Sedona, Arizona. Programming features classic family entertainment.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.arizonachannel.com/index.html"} +{"d:Title": "azfamily.com", "d:Description": "KTVK, an independent station based in Phoenix. Includes local news, programming schedule, and weather.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.azfamily.com/"} +{"d:Title": "KGUN 9", "d:Description": "Tucson. News, weather, sports, schedule, community events, and station history. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.kgun9.com/"} +{"d:Title": "KPHO-TV5 Home", "d:Description": "Offering news, weather, sports, health, entertainment, shopping, consumer and programming information. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.kphotv5.com/"} +{"d:Title": "News 13 KOLD-TV Home", "d:Description": "Featuring news, weather, sports, health beat and entertainment. Also local events and program schedule. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.kold.com/"} +{"d:Title": "KPHO", "d:Description": "Online local news, programming schedule, and polls. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.kpho.com/"} +{"d:Title": "KVOA 4", "d:Description": "Tucson. News, weather, program schedule, and traffic reports. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.kvoa.com/"} +{"d:Title": "KSAZ 10", "d:Description": "Phoenix. Programming schedule. [FOX]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.myfoxphoenix.com/"} +{"d:Title": "KNXV ABC15", "d:Description": "Phoenix. News, weather, sports, programming information, and traffic cams. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.abc15.com/"} +{"d:Title": "Eight", "d:Description": "Based at Arizona State University. Schedule and program information. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.azpbs.org/"} +{"d:Title": "KUTP", "d:Description": "Features an online programming schedule. [UPN]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arizona", "url": "http://www.my45.com/"} +{"d:Title": "KTVE Region 10 El Dorado/Monroe", "d:Description": "Broadcast schedule, news, weather, staff biographies, and community events. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.region10.com/"} +{"d:Title": "WVVH-TV- Southampton", "d:Description": "Channel 58, includes programming schedule, program information, pictures.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.wvvh.com/"} +{"d:Title": "KTKO 8", "d:Description": "Harrison. Serves Boone, Carroll, Marion, Taney, Madison, Newton, Ozark, and Searcy counties. Includes weather and programming schedule.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://tko8.com/"} +{"d:Title": "KAIT 8", "d:Description": "Jonesboro. Weather, news and programming information. Introduction to departments and station personalities. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.kait8.com/"} +{"d:Title": "KATV 7", "d:Description": "Little Rock. News, weather, sports, community events, and forums. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.katv.com/"} +{"d:Title": "KTHV - Today's THV", "d:Description": "CBS affiliate in Little Rock, Channel 11, provides programming information, anchor profiles, and studio contact numbers.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.kthv.com/"} +{"d:Title": "KNWA", "d:Description": "Northwest Arkansas. Local and regional weather, on air personalities biographical information, and links to entertainment news. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.knwa.com/"} +{"d:Title": "AETN", "d:Description": "Arkansas Educational Television Network, broadcasting through six stations around Arkansas. Provides information on programming, events, sponsorship information, employment and staff profiles. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.aetn.org/"} +{"d:Title": "KASN 38", "d:Description": "Little Rock. Includes programming information and community events. [UPN]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.upn38tv.com/"} +{"d:Title": "KLRT 16", "d:Description": "Little Rock. News, weather, sports, programming, ratings, community, events, and jobs. [FOX]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.fox16.com/"} +{"d:Title": "KFSM 5 - Fort Smith - CBS", "d:Description": "CBS affiliate with news and local information. Programming guide and contact numbers. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.5newsonline.com/"} +{"d:Title": "KHBS-KHOG 40/29", "d:Description": "Fort Smith/Fayetteville. News, weather, sports, community calendar, and profiles of the on-air personalities. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Arkansas", "url": "http://www.4029tv.com/"} +{"d:Title": "KQED", "d:Description": "San Francisco. News, programming information, community events, and station profile. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kqed.org/"} +{"d:Title": "Community TV of Santa Cruz County", "d:Description": "Government and local access television in California.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.communitytv.org/"} +{"d:Title": "KTSF", "d:Description": "Chinese language station based in San Francisco. Offers program highlights and schedule.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.ktsf.com/"} +{"d:Title": "4 SanDiego", "d:Description": "Local independent San Diego station offering local sports and news broadcasts", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.4sd.com/"} +{"d:Title": "KJLA-LA TV", "d:Description": "Los Angeles based bilingual station. Offers program schedule and employment information.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kjla.com/"} +{"d:Title": "KLCS Los Angeles", "d:Description": "Educational station. Includes schedule, coverage, contact information and links.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.klcs.org/"} +{"d:Title": "KTLN", "d:Description": "Novato Christian station.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.ktln.tv/"} +{"d:Title": "KMSG TV-55", "d:Description": "Central California Azteca America affiliate. Spanish language channel, first in the valley to provide programming direct from Mexico.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kmsgtv.com/"} +{"d:Title": "Peninsula TV", "d:Description": "Channel 26. Public, education, and government station in San Mateo County.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.pentv.tv/"} +{"d:Title": "KABC-TV", "d:Description": "Los Angeles. Includes news, weather, sports, programming, traffic reports, and corporate profile. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://abclocal.go.com/kabc/"} +{"d:Title": "KESQ TV-3", "d:Description": "Palm Springs. Includes national and local news, weather, sports, programming schedule, community events, and station profile. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kesq.com/"} +{"d:Title": "KEYT 3", "d:Description": "Santa Barbara. News, sports, community events, and profiles of the on-air personalities. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.keyt.com/"} +{"d:Title": "KFSN 30", "d:Description": "Fresno. News, weather, sports, and traffic cam. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://abclocal.go.com/kfsn/"} +{"d:Title": "KRCR 7", "d:Description": "Redding, Chico, Red Bluff. News, weather, sports, program schedule, and community events. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.krcrtv.com/"} +{"d:Title": "KCBS Channel 2000 - Los Angeles", "d:Description": "Featuring local, world and national news. Also weather, sports, politics, health beat, finance and entertainment. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.cbs2.com/"} +{"d:Title": "KFMB TV 8 San Diego - CBS", "d:Description": "Featuring local and national news, weather, sports, health, community and program schedule. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kfmb.com/"} +{"d:Title": "KHSL 12 Chico", "d:Description": "CBS affiliate based in Chico.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.khsltv.com/"} +{"d:Title": "KTVU-TV 2", "d:Description": "San Francisco's Fox affiliate.Includes programming and local news.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.ktvu.com/"} +{"d:Title": "KJEO TV 32", "d:Description": "Fresno community sports station. programming and weekly weblog. [America One]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kjeotv.com/"} +{"d:Title": "KJEO 47", "d:Description": "Offering news, weather, sports and program schedule. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.cbs47.tv/"} +{"d:Title": "KCRA CHANNEL 3 Sacramento - Stockton - Modesto", "d:Description": "Programming schedule, streaming video, news, weather, sports, and community events. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kcra.com/"} +{"d:Title": "KSBY 6 San Luis Obispo", "d:Description": "News, weather, sports, programming schedule, and community calendar. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.ksby.com/"} +{"d:Title": "KSEE-24 Fresno", "d:Description": "Programming schedule, ratings guide, news, weather, and community events. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.ksee24.com/"} +{"d:Title": "KCET Online", "d:Description": "Los Angeles. Program guide, news, membership information, and station profile. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kcet.org/"} +{"d:Title": "KCSM TV", "d:Description": "San Mateo. Includes program guide, information about production services and distance learning, and corporate profile. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kcsm.org/"} +{"d:Title": "KEET 13", "d:Description": "Eureka. Includes program schedule, events, community projects, and corporate profile. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.keet.org/"} +{"d:Title": "KIXE 9", "d:Description": "Redding. Educational services, community events, program schedule, membership information, and corporate profile. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kixe.org/"} +{"d:Title": "KPBS 15", "d:Description": "San Diego. Includes program and membership information. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kpbs.org/"} +{"d:Title": "KRCB Television 22", "d:Description": "Santa Rosa. Auction information, program guide, FAQ, and cable coverage. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.krcb.org/"} +{"d:Title": "KVCR 24", "d:Description": "San Bernardino/Riverside. Program guide, employment opportunities, contact information, and cable coverage. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kvcr.org/"} +{"d:Title": "KVIE Channel 6", "d:Description": "Sacramento/Stockton/Modesto. Program schedule, membership information, online pledges, and station profile. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kvie.org/"} +{"d:Title": "KAIL TV", "d:Description": "Fresno. Programming schedule and cable coverage information. [UPN]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kail.tv/"} +{"d:Title": "KERO 23", "d:Description": "Bakersfield. News, weather, sports, and information about the station. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.turnto23.com/"} +{"d:Title": "KNSD 7/39 San Diego", "d:Description": "Program listings, news, weather, sports, traffic, and community events. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.nbcsandiego.com/"} +{"d:Title": "KGTV 10", "d:Description": "San Diego. News, weather, sports, traffic reports, and community projects. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.10news.com/"} +{"d:Title": "Channel 11 KTTV", "d:Description": "Fox affiliate for Los Angeles.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.myfoxla.com/"} +{"d:Title": "Fox40 KTXL", "d:Description": "Sacramento. Programming, news, weather and sports. Fox TV affiliate.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.fox40.com/"} +{"d:Title": "KSBW-TV 8 Salinas", "d:Description": "Includes broadcast schedule, news, weather, sports, and stock quotes. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.ksbw.com/"} +{"d:Title": "KXTV 10", "d:Description": "Sacramento, Stockton, Modesto. News, weather, program schedule, traffic reports, and webcams. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.news10.net/"} +{"d:Title": "KSWB 5/69", "d:Description": "San Diego. Community events, programming information, news, and FAQ. [Fox]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.fox5sandiego.com/"} +{"d:Title": "KTLA 5", "d:Description": "Los Angeles. News, programming information, history, and FAQ.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.ktla.com/"} +{"d:Title": "KCOY 12", "d:Description": "CBS television affiliate in Santa Barbara and San Luis Obispo areas. Includes program schedule, news, weather and local guide.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kcoy.com/"} +{"d:Title": "KNBC 4 Los Angeles", "d:Description": "News, weather, traffic, and program highlights. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.nbclosangeles.com/"} +{"d:Title": "NBC 11", "d:Description": "San Jose, San Francisco, Oakland. News, weather, sports, community events, and contests. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.nbcbayarea.com/"} +{"d:Title": "PBS SoCal", "d:Description": "Huntington Beach. Includes program schedule, membership information, and community events. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.pbssocal.org/"} +{"d:Title": "KPIX 5 San Francisco", "d:Description": "Featuring news, weather, sports, program schedule and webcams. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://sanfrancisco.cbslocal.com/"} +{"d:Title": "CBS 13/CW 31", "d:Description": "Sacramento. News, weather, sports, program schedule and webcams. [CBS/CW]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://sacramento.cbslocal.com/"} +{"d:Title": "CBS 13/UPN 31", "d:Description": "National and local breaking top stories, including traffic, weather and sports.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://sacramento.cbslocal.com/feed/"} +{"d:Title": "KRON-TV 4 San Francisco", "d:Description": "News, weather, sports, community events, programming schedule, and traffic.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kron4.com/"} +{"d:Title": "KGET 17 Bakersfield", "d:Description": "News, weather, entertainment information, and programming. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kerngoldenempire.com/"} +{"d:Title": "KGO 7", "d:Description": "San Francisco. News, weather, sports, and Bay Area guide. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://abc7news.com/"} +{"d:Title": "KMIR 6 Palm Springs", "d:Description": "Programming schedule, news, weather, and local activities. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/California", "url": "http://www.kmir.com/"} +{"d:Title": "Cocola Broadcasting", "d:Description": "Owns many low-powered Spanish and shopping stations in Fresno and Bakersfield.", "topic": "Top/Arts/Television/Stations/North_America/United_States/California/Multilingual_Stations", "url": "http://www.cocolatv.com/"} +{"d:Title": "WMLW TV", "d:Description": "Channel 41 in Milwaukee. Program schedules, broadcast and cable coverage maps.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.wmlw.com/"} +{"d:Title": "KJCT 8", "d:Description": "News and weather station serving Grand Junction, Montrose and Glenwood Springs with translators to Gunnison and Cortez.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.kjct8.com/"} +{"d:Title": "KMGH 7", "d:Description": "Denver. News, weather, sports, and webcams. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.thedenverchannel.com/"} +{"d:Title": "KKTV 11 Colorado Springs", "d:Description": "Includes news, weather, sports, lottery results, and community events. Version for PDA users available. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.kktv.com/"} +{"d:Title": "WFSB 3", "d:Description": "Hartford. News, weather, sports, editorials, and program schedule. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.wfsb.com/"} +{"d:Title": "Rocky Mountain PBS", "d:Description": "Public Broadcasting Television network serving the Rocky Mountain region. CH6/Denver, CH18/Grand Junction, CH8/Pueblo and Colorado Springs. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.rmpbs.org/"} +{"d:Title": "KKCO 11 Grand Junction", "d:Description": "News, programming, and contact information. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.nbc11news.com/"} +{"d:Title": "KOAA-TV 5&30 Pueblo/Colorado Springs", "d:Description": "Programming schedule, news, weather, sports, and community events. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.koaa.com/"} +{"d:Title": "KUSA 9 Denver", "d:Description": "Local, national, and international news, weather, sports, and programming. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.9news.com/"} +{"d:Title": "Crested Butte Television", "d:Description": "CBTV28 offers realtime weather and outdoor conditions for the Gunnison Valley offering a connection to rural, high altitude living.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.cbtv.tv/"} +{"d:Title": "KWGN 2", "d:Description": "Denver. News, weather, primetime highlights, and schedule.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.kwgn.com/"} +{"d:Title": "KRDO TV News 13", "d:Description": "Colorado Springs/Pueblo. Includes top news headlines, weather, photo gallery and sports. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.krdo.com/"} +{"d:Title": "KXRM-TV FOX 21", "d:Description": "Fox networkaffiliate with program guide, top news stories, sports, weather, and community and consumer information.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.fox21news.com/"} +{"d:Title": "KCNC 4 Denver", "d:Description": "News, weather, sports, contests, employment and internship opportunities, traffic reports, and program guide. [CBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://denver.cbslocal.com/"} +{"d:Title": "Colorado Public Television CPT12", "d:Description": "Includes program highlights, program list, and events. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Colorado", "url": "http://www.cpt12.org/"} +{"d:Title": "Nutmeg Public Access Television", "d:Description": "Serves government, local, and education access television to eight area towns.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://www.nutmegtv.org/"} +{"d:Title": "WTNH-TV Channel 8", "d:Description": "New Haven. News, weather, sports, community events, job search, and programming schedules. [ABC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://www.wtnh.com/"} +{"d:Title": "WVIT-TV Channel 30", "d:Description": "News, weather, health reports, sports and features. [NBC]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://www.nbc30.com/"} +{"d:Title": "Connecticut Network", "d:Description": "CT-N airs Connecticut state government deliberations, and public policy events, through cable television, public access channels, and live Internet video feeds. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://www.ctn.state.ct.us/"} +{"d:Title": "Connecticut Public Television", "d:Description": "Covering Connecticut with channels 24, 49, 53, and 65. Includes schedule, viewer services, events, auctions, coverage map, and online shop.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://cptv.org/"} +{"d:Title": "Connecticut Public Broadcasting Network", "d:Description": "Featuring programs and news from Connecticut Public Television and Radio. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://www.cpbn.org/"} +{"d:Title": "WTIC-TV Fox 61", "d:Description": "Programs, News at Ten, sports, features, contests and information on employment.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://www.fox61.com/"} +{"d:Title": "MyNetworkTV New Haven", "d:Description": "Includes programming, news, events, contests, and company store. MyNetworkTV based in New Haven, CT.", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://www.myzone.tv/"} +{"d:Title": "WCCT-TV", "d:Description": "Includes schedule, contests, news, weather and sports. [CW]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Connecticut", "url": "http://ct.com/"} +{"d:Title": "WHYY TV12", "d:Description": "Serving the Delaware Valley. Programming, history and employment information. [PBS]", "topic": "Top/Arts/Television/Stations/North_America/United_States/Delaware", "url": "http://whyy.org/"} diff --git a/dmozparser/parser.py b/dmozparser/parser.py new file mode 100644 index 0000000..c2c509c --- /dev/null +++ b/dmozparser/parser.py @@ -0,0 +1,128 @@ +""" +USAGE: %(program)s origin_data_path data_destination + +Load and explore the data. + +Example: + python parser.py ./data/content.rdf.u8 ./data/parsed.json +""" + +from __future__ import division +from xml.sax import make_parser, handler +import os +import sys +import logging + +from smart_open import smart_open + + +from handlers import JSONWriter, CSVWriter + +logger = logging.getLogger(__name__) + + +class DmozHandler(handler.ContentHandler): + + def __init__(self, handler): + self._handler = handler + self._current_page = '' + self._current_topic = '' + self._capture_content = False + self._current_content = {} + self._capture_content_type = '' + + def startElement(self, name, attrs): + if name == 'ExternalPage': + # starting an external page entry. Set scene for capturing content + self._current_page = attrs['about'] + self._current_content = {} + elif name in ['d:Title', 'd:Description', 'priority', 'topic']: + self._capture_content_type = name + self._current_content[self._capture_content_type] = '' + self._capture_content = True + elif name == 'Topic': + self._current_topic = attrs['r:id'] + # Example of such a Topic entry: + # < Topic r:id = "Top/Arts/Movies/Titles/1/10_Rillington_Place" > + # < catid > 205108 < /catid > + # < link r:resource = "http://us.imdb.com/Title?0066730" / > + # < link r:resource = "http://www.britishhorrorfilms.co.uk/rillington.shtml" / > + # < link r:resource = "http://www.shoestring.org/mmi_revs/10-rillington-place.html" / > + # < link r:resource = "http://www.tvguide.com/movies/database/ShowMovie.asp?MI=22983" / > + # < /Topic > + + def endElement(self, name): + #if ending one of the supported blocks, end content capturing + if name in ['d:Title', 'd:Description', 'priority', 'topic']: + self._capture_content = False + # if ending an ExternalPage, write the current entry in our content handler + if name == 'ExternalPage': + # first, check if we read a topic in the current ExternalPage entry. + # older DMOZ dumps do not have this, so we will use the last Topic read + if not 'topic' in self._current_content.keys(): + self._current_content['topic'] = self._current_topic + # now save + self._handler.page(self._current_page, self._current_content) + + def characters(self, content): + if self._capture_content: + # this bit of dark magic is to address content coming in two separate waves + self._current_content[self._capture_content_type] = ''.join([self._current_content[self._capture_content_type], content.strip()]) + # An example for an ExternalPage entry. Note that might be missing in older dumps + # + # Animation World Network + # Provides information resources to the international animation community. Features include searchable database archives, monthly magazine, web animation guide, the Animation Village, discussion forums and other useful resources. + # 1 + # Top/Arts/Animation + # + + def endDocument(self): + self._handler.finish() + + +class DmozParser: + def __init__(self, input_path='content.rdf.u8'): + self._parser = make_parser() + self.input_path = input_path + + def run(self): + self._parser.setContentHandler(DmozHandler(self._handler)) + self._parser.parse(smart_open(self.input_path)) + + def add_handler(self, handler): + self._handler = handler + + +if __name__ == '__main__': + logging.basicConfig( + format='%(asctime)s : %(levelname)s : %(module)s:%(funcName)s:%(lineno)d : %(message)s', + level=logging.INFO) + logger.info("running %s", " ".join(sys.argv)) + + # check and process cmdline input + program = os.path.basename(sys.argv[0]) + + if len(sys.argv) < 3: + print(globals()['__doc__'] % locals()) + sys.exit(1) + + input_path = sys.argv[1] + output_path = sys.argv[2] + + parser = DmozParser(input_path) + + foo, file_extension = os.path.splitext(output_path) + # in case we ask directly for a zipped file + if file_extension in [".bz2", ".gz"]: + _, file_extension = os.path.splitext(foo) + + if file_extension == ".json": + parser.add_handler(JSONWriter(output_path)) + elif file_extension == ".csv": + parser.add_handler(CSVWriter(output_path)) + else: + logger.info("Only .json or .csv output files are supported.") + sys.exit(1) + parser.run() + + logger.info("finished running %s", program) diff --git a/dmozparser/requirements.txt b/dmozparser/requirements.txt new file mode 100644 index 0000000..37845e9 --- /dev/null +++ b/dmozparser/requirements.txt @@ -0,0 +1 @@ +smart-open==1.2.1 \ No newline at end of file diff --git a/dmozparser/sample.py b/dmozparser/sample.py new file mode 100755 index 0000000..d821fd5 --- /dev/null +++ b/dmozparser/sample.py @@ -0,0 +1,27 @@ + +#!/usr/bin/env python + +from parser import DmozParser +from handlers import JSONWriter + +class LawrenceFilter: + def __init__(self): + self._file = open("seeds.txt", 'w') + + def page(self, page, content): + if page != None and page != "": + topic = content['topic'] + if topic.find('Venture') > 0 or topic.find('Financial_Services') > 0 : + self._file.write(page + " " + topic + "\n") + print("found page %s in topic %s" % (page , topic)) + + def finish(self): + self._file.close() + + +parser = DmozParser() +parser.add_handler( + LawrenceFilter() + #JSONWriter('output.json') +) +parser.run() diff --git a/dmozparser/seeds.txt b/dmozparser/seeds.txt new file mode 100644 index 0000000..7965fbf --- /dev/null +++ b/dmozparser/seeds.txt @@ -0,0 +1,21406 @@ +http://www.theventures.com/ Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The +http://ventures.pl.ru/ Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The +http://groups.yahoo.com/group/undergroundfire Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The +http://www.ne.jp/asahi/mochizuki/kiyoshi/kiyoshi/mosriteowner/ownerlist.html Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The +http://www.ne.jp/asahi/mochizuki/kiyoshi/kiyoshi/kiyoshi.html Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The +http://www.nokieedwards.com/ Top/Arts/Music/Styles/R/Rock/Surf/Bands_and_Artists/Ventures,_The +http://www.nicfn.com/ Top/Business/Automotive/Financial_Services +http://www.credaccept.com/ Top/Business/Automotive/Financial_Services +http://www.fifsg.com/ Top/Business/Automotive/Financial_Services +http://www.inds.com/ Top/Business/Automotive/Financial_Services +http://alcorngold.tripod.com/ Top/Business/Energy/Oil_and_Gas/Operating_Companies/Exploration_and_Production/Venture_Capital +http://www.apectec.com/ Top/Business/Energy/Oil_and_Gas/Operating_Companies/Exploration_and_Production/Venture_Capital +http://www.sunlife.com/ Top/Business/Financial_Services +http://www.sterling-group.com/ Top/Business/Financial_Services +http://undergroundtrader.com/ Top/Business/Financial_Services +http://www.saperston.com/ Top/Business/Financial_Services +http://www.ascmi.net/ Top/Business/Financial_Services +http://www.stonetapert.com/ Top/Business/Financial_Services +http://www.ttt.co.uk/ Top/Business/Financial_Services +http://www.upfcindia.com/ Top/Business/Financial_Services +http://www.cbiz.com/ Top/Business/Financial_Services +http://www.gellerco.com/ Top/Business/Financial_Services +http://www.promed-financial.com/ Top/Business/Financial_Services +http://www.complinet.com/ Top/Business/Financial_Services +http://www.shareholdervalue.com/ Top/Business/Financial_Services +http://www.dtcc.com/ Top/Business/Financial_Services +http://www.loanservicecenter.com/ Top/Business/Financial_Services +http://www.winterbotham.com/ Top/Business/Financial_Services +http://finance.pro2net.com/ Top/Business/Financial_Services +http://www.gecapital.com/ Top/Business/Financial_Services +http://www.fastenterprises.com/ Top/Business/Financial_Services +http://www.agstar.com/ Top/Business/Financial_Services +http://www.zawya.com/ Top/Business/Financial_Services +http://www.gmacfs.com/ Top/Business/Financial_Services +http://www.citigroup.com/ Top/Business/Financial_Services +http://www.jpmorganchase.com/ Top/Business/Financial_Services +http://www.svb.com/ Top/Business/Financial_Services +http://www.primerica.com/ Top/Business/Financial_Services +http://www.topix.com/business/financial-services Top/Business/Financial_Services +http://www.morganstanley.com/ Top/Business/Financial_Services +http://www.permal.com/ Top/Business/Financial_Services +http://www.chpconsulting.com/ Top/Business/Financial_Services +http://projects.exeter.ac.uk/RDavies/arian/scandals/ Top/Business/Financial_Services +http://www.shaga-group.com/shaga2000.html Top/Business/Financial_Services +http://www.valuationspecialists.com/ Top/Business/Financial_Services/Appraisal_and_Valuation +http://www.ana-appraisers.org/ Top/Business/Financial_Services/Appraisal_and_Valuation +http://www.amerival.net/ Top/Business/Financial_Services/Appraisal_and_Valuation +http://www.antiquesappraiser.info/ Top/Business/Financial_Services/Appraisal_and_Valuation +http://www.first-european.co.uk/ Top/Business/Financial_Services/Automobile_Buying_and_Financing +http://www.barnonesales.com/ Top/Business/Financial_Services/Automobile_Buying_and_Financing +http://www.westlakefinancial.com/ Top/Business/Financial_Services/Automobile_Buying_and_Financing +http://www.gmfinancial.com/ Top/Business/Financial_Services/Automobile_Buying_and_Financing +http://www.ruesch.com/ Top/Business/Financial_Services/Banking_Services +http://www.fmsinc.org/ Top/Business/Financial_Services/Banking_Services +http://www.shoprate.com/ Top/Business/Financial_Services/Banking_Services +http://www.cardreport.com/ Top/Business/Financial_Services/Banking_Services +http://www.bizcurrency.com/ Top/Business/Financial_Services/Banking_Services +http://www.atec-inc.com/ Top/Business/Financial_Services/Banking_Services +http://www.thebanker.com/ Top/Business/Financial_Services/Banking_Services +http://www.bankingtech.com/ Top/Business/Financial_Services/Banking_Services +http://www.smartstepsolutions.com/ Top/Business/Financial_Services/Banking_Services +http://www.citco.com/ Top/Business/Financial_Services/Banking_Services +http://www.bankcapitalgroup.net/ Top/Business/Financial_Services/Banking_Services +http://blogs.olsonresearch.com/ Top/Business/Financial_Services/Banking_Services +http://www.topix.com/rss/business/banking.xml Top/Business/Financial_Services/Banking_Services +http://www.nacha.org/ Top/Business/Financial_Services/Banking_Services/Associations +http://www.spcint.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.bankersx.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atmsurcharges.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atmmachine.com/atmmachine.html Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.platinumatm.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atmia.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.afsiatms.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.advent-inc.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.directcash.net/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atmservnet.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.mapacific.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.shazam.net/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.mobilemoney.net/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atmscentral.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atm24.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atm-industries.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.scott-tod.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.companionsystems.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atmsofthesouth.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.trustedsecurity.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.mastercard.com/cardholderservices/atm/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atms4less.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.atmmarketplace.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.daltonsatm.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.tritonatm.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.acfn.info/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.expresstellerservices.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.protech-solutions.biz/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.kertechinc.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.cashlessatm.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.aatms.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.heritageind.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://atmdistribution.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.access-cash.com/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://theexchangenetwork.ca/ Top/Business/Financial_Services/Banking_Services/Automatic_Teller_Machines +http://www.asociacionbancaria.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abif.cl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.finansraadet.dk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.realkreditraadet.dk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.ksda.or.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.arb.ru/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.voeb.de/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.swissbanking.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +https://bankenverband.de/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.pangaliit.ee/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.nvb.nl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.fxcomtky.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.zenginkyo.or.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.hkab.org.hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.ba.org.tw/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.zbp.pl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abbl.lu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.afb.fr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.apbt.org.tn/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.bankasoc.lv/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.hba.gr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.tbb.org.tr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.cba.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.bai.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.bankers.asn.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.hub.hr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.pankkiyhdistys.fi/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.fbf.fr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.nzba.org.nz/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abs.org.sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.zbs-giz.si/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://bankszovetseg.hu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.bankenverband.li/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.fsf.org.nz/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.hypo.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.rbap.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abl.org.lb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abj.org.jo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.foreignbankers.nl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.afma.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.pfandbrief.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.orx.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.envirobank.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.aplma.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.baiphil.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.equator-principles.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.jba.org.jm/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.banking.org.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.trlibor.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.bvr.coop/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.cica.ky/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.ibfed.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.aseanbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.apbsrilanka.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.uabonline.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.kfb.or.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.mba.mn/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abacus.org.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.iba.org.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.banksbahrain.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.crt-ii.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abe-eba.eu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.tma.org.hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.ebf-fbe.eu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.ebaclearing.eu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.cdsmodel.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.creditfixings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.sifma.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.euribor-ebf.eu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.acb.com.cy/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.rdba.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.sadcbanking.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.shibor.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://asba-supervision.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.lma.eu.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.abps.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +https://www.bankenverband.at/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +https://acifma.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.bpfi.ie/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +https://www.fno.no/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations +http://www.bcca.co.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.bsa.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.cml.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.bba.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.jmlsg.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.wmba.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.fla.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.lbma.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.scotbanks.co.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.gba.gi/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.jerseybankersassociation.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.arab-bankers.co.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.ciobs.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.scotbanks.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.restoreuk.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.fmlc.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.building-societies-members.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.abcul.coop/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.iomba.org.im/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.turkishbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.friendlysocieties.co.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://internationalbankers.org.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_Kingdom +http://www.mbaa.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.aba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.aabd.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.thefirma.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.fsround.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.icba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.acams.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.nacha.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.rmahq.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.cbanet.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.wib.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.iib.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.mbac.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.silverinstitute.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.ny.frb.org/fxc/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.mbaofpr.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.tristateleague.info/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.haba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.marinebankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.lsta.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.mismo.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +https://www.fraud-net.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.arabbankers.bluestep.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.afsaonline.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.nefma.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.nationalbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States +http://www.alabamabankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Alabama +http://www.mbaal.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Alabama +http://www.azmortgagelenders.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Arizona +http://www.azbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Arizona +http://www.arkbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Arkansas +http://arcommunitybankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Arkansas +http://www.calbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/California +http://www.cib.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/California +http://www.cmba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/California +http://www.bankerscompliancegroup.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/California +http://sc-cg.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/California +http://www.ufscla.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/California +http://www.ibcbanks.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Colorado +http://www.cmla.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Colorado +http://www.ctbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Connecticut +http://www.debankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Delaware +http://www.floridabankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Florida +http://www.mbaf.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Florida +http://www.fiba.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Florida +http://www.mbaswf.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Florida +http://www.mbaofjax.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Florida +http://www.gulfcoastmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Florida +http://www.mbag.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Georgia +http://www.cbaofga.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Georgia +http://www.gabankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Georgia +http://www.mbasavannah.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Georgia +http://www.idahobankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Idaho +http://imba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Illinois +http://www.cbai.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Illinois +http://www.ilbanker.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Illinois +http://www.chicagolandcompliance.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Illinois +http://www.ilfi.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Illinois +http://www.indianamba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Indiana +http://www.indianabankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Indiana +http://www.iowabankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Iowa +http://www.cbiaonline.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Iowa +http://www.cbak.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Kansas +http://www.mbakc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Kansas +http://ksbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Kansas +http://www.kybanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Kentucky +http://www.mbalou.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Kentucky +http://www.lba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Louisiana +http://www.lmla.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Louisiana +http://www.mecb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Maine +http://www.mortgagemaine.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Maine +http://www.mdbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Maryland +http://www.mdmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Maryland +http://www.massmba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Massachusetts +http://www.massbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Massachusetts +http://www.gbba.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Massachusetts +http://www.mibankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Michigan +http://www.mmla.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Michigan +http://www.minnbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Minnesota +http://www.msbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Mississippi +http://www.mobankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Missouri +http://www.miba.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Missouri +http://www.mbastl.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Missouri +http://www.montanabankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Montana +http://www.mibonline.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Montana +http://www.nebankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Nebraska +http://www.nicbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Nebraska +http://www.nhbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_Hampshire +http://www.mbba-nh.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_Hampshire +http://www.mbanj.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_Jersey +http://www.nmbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_Mexico +http://www.icbanm.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_Mexico +http://www.nyba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_York +http://www.esmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_York +http://www.mbaneny.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_York +http://www.mbarochester.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_York +http://www.mhvmba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/New_York +http://www.ncbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/North_Carolina +http://www.icbnd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/North_Dakota +http://www.ndba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/North_Dakota +http://www.cbao.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Ohio +http://www.ohiomba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Ohio +http://www.columbusmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Ohio +http://www.mbanwo.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Ohio +http://www.gcmba.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Ohio +http://www.cba-ok.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Oklahoma +http://www.oba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Oklahoma +http://www.oregonbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Oregon +http://www.pacb.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Pennsylvania +http://www.pabanker.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Pennsylvania +http://www.mbagp.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Pennsylvania +http://www.mba-swpa.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Pennsylvania +http://www.rimba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Rhode_Island +http://www.scbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/South_Carolina +http://www.sdba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/South_Dakota +http://www.tnbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Tennessee +http://www.tnmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Tennessee +http://www.ibat.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Texas +http://www.texasbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Texas +http://www.austinmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Texas +http://www.dallasmortgagebankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Texas +http://www.texasmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Texas +http://www.fortworthmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Texas +http://www.uba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Utah +http://www.vtbanker.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Vermont +http://www.vermontmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Vermont +http://www.vacb.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Virginia +http://www.vabankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Virginia +http://www.tmba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Virginia +http://www.virginiamla.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Virginia +http://www.wabankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Washington +http://www.communitybankers-wa.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Washington +http://www.psmla.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Washington +http://www.mbamw.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Washington,_DC +http://www.wvacb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/West_Virginia +http://www.wvbankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/West_Virginia +http://www.communitybankers.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Wisconsin +http://www.wisbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Wisconsin +http://www.wimba.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Wisconsin +http://www.wyomingbankers.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Associations/United_States/Wyoming +http://www.bdc.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Development_Banks +http://www.fundmoremerchantbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Development_Banks +http://www.bcd.ly/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Development_Banks +http://www.privatebanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.swconsult.ch/chbanks/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.portalino.it/banks/links.htm Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.bancado.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.banksite.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.betterbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.banklocations.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +https://www.branchspot.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +https://www.wheresmybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.culookup.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://www.bankdirectory.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Directories +http://ibankdesign.com/board/index.php Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/Chats_and_Forums +http://boards.fool.com/online-banking-100152.aspx?mid=20311903 Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/Chats_and_Forums +http://www.smile.co.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_Kingdom +http://uk.virginmoney.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_Kingdom +http://www.egg.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_Kingdom +http://www.virtualbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.presidential.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.everbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.giantbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.principal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.umbrellabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://thebancorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.bankdirect.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.firstrepublic.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +https://bankus.etrade.com/e/t/bank/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +https://home.capitalone360.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Internet_Banks/United_States +http://www.sheshunoff.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.bankrate.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.banktech.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.bankstars.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.cardforum.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.bankdirector.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.bpubs.com/Industry_Publications/Financial_Services/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.prattsletter.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.bankrecordkeeping.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.americanbanker.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.topix.com/business/banking Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.centralbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.northwesternfinancialreview.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/News_and_Media +http://www.bankersalmanac.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional +http://www.bceao.int/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa +http://www.nbe.com.eg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Egypt +http://www.cibeg.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Egypt +http://www.alexbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Egypt +http://www.bog.gov.gh/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Ghana +http://www.fidelitybank.com.gh/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Ghana +http://www.stanbic.com.gh/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Ghana +http://www.sg-ssb.com.gh/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Ghana +http://www.cba.co.ke/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Kenya +http://www.abcthebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Kenya +http://www.co-opbank.co.ke/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Kenya +http://www.kestrelcapital.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Kenya +http://www.mcb.mu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Mauritius +https://www.bom.mu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Mauritius +http://www.bon.com.na/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Namibia +http://www.bankwindhoek.com.na/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Namibia +http://www.fnbnamibia.com.na/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Namibia +http://www.standardbank.com.na/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Namibia +http://www.absa.co.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/South_Africa +http://www.africanbank.co.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/South_Africa +http://www.fnb.co.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/South_Africa +http://www.nedbank.co.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/South_Africa +http://www.rmb.co.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/South_Africa +http://www.standardbank.co.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/South_Africa +http://www.resbank.co.za/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/South_Africa +http://www.bot-tz.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Tanzania +http://www.azaniabank.co.tz/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Tanzania +http://www.cavmont.com.zm/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Zambia +https://www.sc.com/zm/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Africa/Zambia +http://www.adb.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia +http://www.emeap.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia +https://www.araratbank.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.inecobank.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +https://www.acba.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.hsbc.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.byblosbankarmenia.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.anelik.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.armswissbank.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.cba.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://panarmenianbank.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.artsakhbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://mellatbank.am/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Armenia +http://www.azkreditbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.ibar.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.xalqbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.accessbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.agbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.atabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.bfb.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.muganbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.parabank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.kapitalbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.amrahbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.unibank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.bankavrasiya.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.bankofbaku.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.nbcbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.rabitabank.com/eng/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.gunaybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.bankrespublika.az/?lang=en Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.alfa.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.dekabank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.atrabank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.turanbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.pashabank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.nikoil.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.demirbank.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.kautharbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.cbar.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.cdb.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.asb.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://vtb.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.banksilkway.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.afb.az/az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.aqrarkredit.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.btb.az/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Azerbaijan +http://www.icbc.com.cn/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China +http://www.dahsing.com.hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.shacombank.com.hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.asia.ccb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.chbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.hangseng.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.hsbc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.hkexporter.net/bank.html Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.bnpparibas.com.hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.fubonbank.com.hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.winglungbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.hkbea.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +https://www.sc.com/hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.hkma.gov.hk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Hong_Kong +http://www.bocmacau.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://www.lusobank.com.mo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://www.taifungbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://amcm.macau.gov.mo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://www.bcm.com.mo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://www.bnu.com.mo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://www.hkbea.com.mo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://www.icbc.com.mo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/China/Macau +http://www.tbcbank.com.ge/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Georgia +http://www.bankofindia.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.thanejanata.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.bankofbaroda.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.nabard.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.syndicatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +https://www.rbi.org.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.sbbjbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.saraswatbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.banknetindia.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.icicibank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.southindianbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.idbibank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.ucobank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.corpbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.denabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.sbi.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.cosmosbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.vijayabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.hdfcbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.indusind.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.sidbi.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.lvbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.cityunionbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.jkbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.adcbindia.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.amco-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.amanath-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.kotakmahindrabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.sbhyd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.sbp.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.statebankoftravancore.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.unitedbankofindia.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.svcbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.ingvysyabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.online.citibank.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.unionbankofindia.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.csb.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.yesbank.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.indianbank.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.axisbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.bankofmaharashtra.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.abnamro.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.statebankofmysore.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +https://www.obcindia.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.deutschebank.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.dhanbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.iob.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.federalbank.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.guardianbank.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.centralbankofindia.co.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.canarabank.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.barclays.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.pmcbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.kapolbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.karnatakabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.psbindia.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.greaterbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://andhrabank.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.theratnakarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.newindiabank.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +https://www.allahabadbank.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.pnbindia.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.eximbankindia.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://rajasthanbank.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.tmb.in/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/India +http://www.bi.go.id/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Indonesia +http://www.bii.co.id/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Indonesia +http://www.bni.co.id/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Indonesia +http://www.aozorabank.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.miebank.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.towabank.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.anz.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.iyobank.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.boy.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.kagin.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.sagabank.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.mizuhobank.co.jp/english/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.orix.co.jp/index_e.htm Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.citibank.co.jp/en/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.hirogin.co.jp/ir/disclosure/disclosure/annual.html Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://all.rokin.or.jp/english/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.shizuokabank.co.jp/english/en-001.jsp Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.boj.or.jp/en/index.htm Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.pnbtokyo.co.jp/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://sbijapan.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.smbc.co.jp/global/index.html Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.joyobank.co.jp/eng/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.fsa.go.jp/en/index.html Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.btm.co.jp/english/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.zenginkyo.or.jp/en/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.77bank.co.jp/english/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.82bank.co.jp/english/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Japan +http://www.affinbank.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.hsbc.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.hlb.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.bankrakyat.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.tabunghaji.gov.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.maybank2u.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.ocbc.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.cagamas.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.bankislam.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.muamalat.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.cimbbank.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.citibank.com.my/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.ambankgroup.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Malaysia +http://www.citibank.com/pakistan Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Pakistan +http://www.bsp.gov.ph/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.adfiap.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.pbb.com.ph/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.bankard.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.citibank.com.ph/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.metrobank.com.ph/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.pnb.com.ph/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.pnbrci.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +https://www.rcbc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.securitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.unionbankph.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.ucpb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.citystatesavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.chinabank.ph/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +https://www.aub.com.ph/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +https://www.sc.com/ph Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Philippines +http://www.ocbc.com.sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +http://www.uob.com.sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +http://www.mas.gov.sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +http://www.citibank.com.sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +http://www.maybank.com.sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +http://www.hsbc.com.sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +http://www.dbs.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +https://www.sc.com/sg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Singapore +http://www.bok.or.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.hanvitbank.co.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.daegubank.co.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.kiupbank.co.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.koreaexim.go.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.shinhan.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.bnpparibas.co.kr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.wooribank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://kbstar.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/South_Korea +http://www.cbsl.gov.lk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Sri_Lanka +http://www.cbc.gov.tw/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Taiwan +http://www.banksinopac.com.tw/bsp/eng/index/index.asp Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Taiwan +http://www.chb.com.tw/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Taiwan +http://www.scb.co.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +https://www.tmbbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.krungsri.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.cimbthai.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.kasikornbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.kiatnakin.co.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.ktb.co.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.tisco.co.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.bot.or.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.boaam.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.scbam.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.tiscoasset.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.ghbank.co.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.citibank.co.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.hsbc.co.th/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Asia/Thailand +http://www.nba.ai/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Anguilla +http://www.centralbankbahamas.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Bahamas +http://www.privatetrustco.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Bahamas +http://www.centralbank.org.bb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Barbados +http://www.cimoney.com.ky/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Cayman_Islands +http://www.ncbcayman.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Cayman_Islands +http://www.nbdominica.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Dominica +http://www.boj.org.jm/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Jamaica +http://www.jncb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Jamaica +http://www.centralbank.cw/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Caribbean/Netherlands_Antilles +http://www.ebrd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe +http://www.coebank.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe +http://www.ecb.europa.eu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe +http://www.bankofalbania.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Albania +http://www.andbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Andorra +http://www.kathrein.at/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Austria +http://www.eurambank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Austria +http://www.oenb.at/en/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Austria +http://www.bankaustria.at/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Austria +http://www.nbrb.by/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Belarus +http://priorbank.by/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Belarus +http://www.belarusbank.minsk.by/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Belarus +http://www.nbb.be/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Belgium +http://www.kbc.be/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Belgium +http://www.bbl.be/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Belgium +http://www.fortisbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Belgium +http://www.bnb.bg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Bulgaria +http://www.unicreditbulbank.bg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Bulgaria +http://www.rb.cz/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Czech_Republic +http://www.cnb.cz/en/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Czech_Republic +http://www.volksbank.cz/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Czech_Republic +http://www.amagerbanken.dk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Denmark +http://www.nationalbanken.dk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Denmark +https://www.swedbank.ee/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Estonia +http://www.nordea.ee/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Estonia +http://www.krediidipank.ee/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Estonia +http://www.seb.ee/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Estonia +http://www.sampolife.ee/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Estonia +http://www.bigbank.ee/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Estonia +http://www.bof.fi/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Finland +http://www.aktia.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Finland +http://www.sampo.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Finland +http://www.banque-france.fr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/France +http://www.bnpparibas.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/France +http://www.societegenerale.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/France +https://www.nordlb.de/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Germany +https://www.db.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Germany +http://www.commerzbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Germany +http://www.cbksec.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Germany +http://www.dresdner-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Germany +http://www.dzbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Germany +https://www.nbg.gr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Greece +http://www.eurobank.gr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Greece +http://www.alpha.gr/mainen.asp Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Greece +http://www.barings-guernsey.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Guernsey +http://www.co-operativebank.co.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Guernsey +http://www.efggroup.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Guernsey +http://www.bankofireland.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ireland +http://www.aib.ie/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ireland +http://www.angloirishbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ireland +http://www.ulsterbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ireland +https://www.permanenttsb.ie/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ireland +http://www.danskebank.ie/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ireland +http://www.mps.it/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Italy +http://www.carifirenze.it/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Italy +http://www.rietumu.lv/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Latvia +http://www.bank.lv/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Latvia +http://www.tkb.lv/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Latvia +http://www.lkb.lv/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Latvia +http://www.norvik.lv/en/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Latvia +http://www.volksbank.li/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Liechtenstein +http://www.lgt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Liechtenstein +https://www.seb.lt/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Lithuania +http://www.lbank.lt/home/default.asp?lang=e Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Lithuania +http://www.banquedeluxembourg.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Luxembourg +https://www.bcee.lu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Luxembourg +http://www.clearstream.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Luxembourg +http://www.carnegie.lu/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Luxembourg +https://www.bil.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Luxembourg +http://www.kb.com.mk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Macedonia +http://www.nbrm.mk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Macedonia +http://www.bnm.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Moldova +http://www.fincombank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Moldova +http://www.eximbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Moldova +http://www.bem.md/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Moldova +http://www.cmb.mc/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Monaco +http://www.kempen.nl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +http://www.kasbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +https://www.abnamro.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +http://www.ykb.nl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +http://www.rabobank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +http://www.mcb-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +http://www.triodos.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +https://www.bngbank.nl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +http://www.centralbank.cw/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Netherlands +http://www.nbp.pl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Poland +http://www.raiffeisen.pl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Poland +http://www.bcp.pt/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Portugal +http://www.bnu.com.mo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Portugal +http://www.finantia.pt/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Portugal +http://www.centrinvest.ru/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Russia +http://www.binbank.ru/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Russia +http://www.cbr.ru/eng/main.asp Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Russia +http://www.alfa-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Russia +http://www.nlb.si/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Slovenia +https://lacaixa.es/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Spain +http://www.bde.es/homee.htm Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Spain +http://www.riksbank.se/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Sweden +http://www.swedbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Sweden +http://www.credit-suisse.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.pictet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +https://www.rahnbodmer.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.larochebanquiers.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.bordier.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.ubs.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.ubp.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.juliusbaer.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.darierhentsch.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.syzbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.mirabaud.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.sarasin.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.bcvgroup.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.swissbanking.org/en/home.htm Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.huet.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.corner.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.oysterfunds.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.ferrierlullin.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.edmond-de-rothschild.ch/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.lombardodier.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Switzerland +http://www.dragon-capital.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ukraine +http://www.kreditprombank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ukraine +http://www.pravex.com/eng/main Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Europe/Ukraine +http://www.nbbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Bahrain +http://www.bankofcyprus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Cyprus +http://www.centralbank.gov.cy/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Cyprus +http://www.alphabank.com.cy/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Cyprus +http://www.hellenicbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Cyprus +http://www.tejaratbank.ir/EN/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Iran +http://www.cbi.ir/default_en.aspx Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Iran +http://www.persiabank.co.uk/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Iran +http://www.banksepah.ir/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Iran +http://www.bsi.ir/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Iran +http://www.parsian-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Iran +http://www.karafarinbank.ir/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Iran +http://www.fibi.co.il/fibi/serve/templates/index_english.asp Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Israel +http://www.bankisrael.gov.il/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Israel +http://www.science.co.il/Banks.asp Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Israel +http://www.cbj.gov.jo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.jordanislamicbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.ahli.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.ajib.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.jlgc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.jordinvest.com.jo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.jkb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.capitalbank.jo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Jordan +http://www.kfh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Kuwait +http://www.nbk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Kuwait +http://www.cbk.gov.kw/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Kuwait +http://www.markaz.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Kuwait +http://www.burgan.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Kuwait +http://www.bdl.gov.lb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.eblf.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.creditlibanais.com.lb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.byblosbank.com.lb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.blom.com.lb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.bml.com.lb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.al-baraka.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.fransabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Lebanon +http://www.cbo-oman.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Oman +http://www.nbo.co.om/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Oman +http://www.bankmuscat.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Oman +http://www.qcb.gov.qa/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Qatar +http://www.dohabank.com.qa/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Qatar +http://www.qatarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Qatar +http://www.cbq.com.qa/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Qatar +http://www.alfransi.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Saudi_Arabia +http://www.alahli.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Saudi_Arabia +http://www.alrajhibank.com.sa/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Saudi_Arabia +http://www.sabb.com.sa/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Saudi_Arabia +http://www.bso.com.sy/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Syria +http://www.slcb.com.lb/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Syria +http://www.ibtf.com.sy/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Syria +http://www.tcmb.gov.tr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Turkey +http://www.ziraatbank.com.tr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Turkey +http://www.isbank.com.tr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Turkey +http://www.nurolbank.com.tr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Turkey +http://www.akbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Turkey +http://www.eximbank.gov.tr/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Turkey +http://www.garantibank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Turkey +http://www.cbiuae.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/United_Arab_Emirates +http://www.citibank.com/uae/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/United_Arab_Emirates +http://www.unb.co.ae/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/United_Arab_Emirates +http://www.ibyemen.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Yemen +http://www.ybrd.com.ye/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Yemen +http://www.cacbank.com.ye/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Middle_East/Yemen +http://www.cdic.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.osfi-bsif.gc.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.bmo.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.cibc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.td.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.cba.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.bank-banque-canada.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.peacehills.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.cwt.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.citizensbank.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.cwbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.agrifinance.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.scotiabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.atb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.nbc.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.bmoharris.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.pcfinancial.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.tdcanadatrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.icicibank.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.tangerine.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.rbcroyalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +https://www.laurentianbank.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.versabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.manulifebank.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +https://www.zagbank.ca/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Canada +http://www.bancomer.com.mx/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/Mexico +http://www.jpmorgan.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.bankaudiusa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.key.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.zionsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.centralbnk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.firstcitizens.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.compassweb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.bancwestcorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.midwesttrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.citibank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.pinnbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.pncbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.marquette.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.usbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.ustrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.wellsfargo.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.lasallebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.bancorpsouth.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.bankofamerica.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.bankozarks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.bankunitedfla.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.tcfbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.cit.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.statefarm.com/bank/bank.htm Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.regions.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.bbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.integrabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.websterbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.fsnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.ibc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.pnbdirect.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.enorthfield.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.midcountrybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.statebanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.bnymellon.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.tdbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.southstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.mtb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.bankofbridger.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.herringbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.cnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://fcbresource.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.myprovidencebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.bankfidelity.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +https://www.midwestone.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States +http://www.fsnbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.bibank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.cbsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.peoplesexchangebk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://www.phenix-girard.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.sterlingmontgomery.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.unionstate.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.auburnbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.hendersonbancshares.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.troybankandtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://cadencebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://cbtbankea.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://www.unitedbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://www.alabamaagcredit.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.robertsonbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.firstusbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.fmlafayette.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://exba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://riverbankandtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://www.southpointbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +https://altline.sobanco.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alabama +http://www.fnbalaska.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alaska +http://www.northrim.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alaska +http://www.mtmckinleybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Alaska +http://www.valleycommercebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arizona +http://www.canyoncommunitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arizona +http://www.thefoothillsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arizona +http://www.alliancebankofarizona.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arizona +http://www.cbankandtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.littleriverbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.simmonsfirst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.ffbh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.fnbwynne.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.arvest.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.fnbmh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.firstarkansasbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.farmersbankbly.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://banksouthern.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +https://www.cstonebank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Arkansas +http://www.uboc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.borregospringsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.bankorient.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.borel.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.southcountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.eldoradosavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.bankofthewest.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.calbanktrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://thatsmybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.universalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.regentsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.fremontbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.unitedsecuritybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.bankbac.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.lutherbsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.pacifictrustbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.imperialcapital.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.firstbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.bankofpetaluma.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.wilshirebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.bankofthesierra.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.bankofmarin.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.hanmi.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.nbcal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.balboathrift.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.ccombank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.reddingbankofcommerce.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.capitalsourcebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.rivercitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +https://www.opusbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +https://www.tcbk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.snl.com/irweblinkx/corporateprofile.aspx?iid=4019167 Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +https://montecito.bank/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/California +http://www.alpinebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.colobank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.canonbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://pueblobankandtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.citywidebanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.nvbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.efirstbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.enterpriseebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.fnbrockies.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.csbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.1stnationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.banksanjuans.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.guarantybankco.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Colorado +http://www.unionsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.jcsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.liberty-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.ironbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.savingsinstitute.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.peoples.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.sbdanbury.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.milfordbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.simsburybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.essexsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.firstcountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.tdbanknorth.com/default.aspx?state=ct Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.fnbanksuffield.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.rockvillebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.websteronline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.fnbl.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.farmingtonbankct.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +https://www.fairfieldcountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Connecticut +http://www.artisansbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Delaware +http://www.comtrst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Delaware +https://www.wilmingtontrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Delaware +https://www.wsfsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Delaware +http://www.sovereignbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Delaware +http://www.americannationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.bankofpensacola.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.fnbmd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.bankatlantic.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.centerbankjax.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.bankfirst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.tibbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.ffsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.warringtonbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.fnbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.firstnavybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.talstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.firstcitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.federaltrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.buexpress.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.ccbg.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.charlottestatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.intercreditbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.citizensfb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.peoplesgraceville.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.bankoftampa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.optimumbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.brannenbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://1stnatbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.keysbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +https://www.citynationalcm.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Florida +http://www.peoplesbanktrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.colonybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.morrisstate.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.cbwc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.fmbnk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.colonialbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.sunmarkbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.fnb-trust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.bankofhazlehurst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.securitybank-albany.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.tnbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.gdwbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.athensfirstbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.nbwc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.sumterbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.firstbankofdalton.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://southcrestbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://banknorthgeorgia.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://citizensfirst-rome.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://fsbtc.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://fcbtifton.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://cohuttabank.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://gbtcalhoun.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://bankcb.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://combanktrust.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://www.greatercb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +https://columbusbankandtrust.synovus.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://www.personsbankingcompany.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Georgia +http://hawaiinational.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Hawaii +http://www.fhb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Hawaii +http://www.asbhawaii.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Hawaii +http://www.boh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Hawaii +http://www.territorialsavings.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Hawaii +http://www.firstfd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Idaho +http://www.idahotrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Idaho +http://www.twinriverbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Idaho +http://www.panhandlebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Idaho +http://www.betterbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.firstambank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.westsuburbanbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.mazonstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.northshoretrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.central-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.busey.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.fhlbc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.old2nd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.brickyardbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.secondfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.obb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.02bancorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.elsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.communityelmhurst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.thefarmbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.mercantilebk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.communitybankws.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.barringtonbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.lakeforestbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.libertyvillebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.crystallakebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.hinsdalebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.bankofhwfs.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.clarendonhillsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.firstfedsavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.citizenscommunitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.o2bancorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.bankofwaukegan.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.giffordbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.itascabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.archerbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.chicagocommunitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.edensbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.metropolitanbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.plazabankillinois.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.washingtonsavings.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.firstmid.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.illinistatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.peoplesnationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.franklinbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.edgarcountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.bankchampaign.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.devonbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.banksbc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +https://www.aboc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://jacksonvillesavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +https://www.bylinebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Illinois +http://www.busey.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.1stsource.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.firstib.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.ambfinancial.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.jcbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.lbtbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.thsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.starfinancial.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.grabillbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.hendrickscountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.ossianstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.centier.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.accesshorizon.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.bankucb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.netdsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.statebankoflizton.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +https://www.pacesetter-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +https://www.ibankpeoples.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.iabfinancial.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Indiana +http://www.clintonnational.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.firstcitizensnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.dubuquebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.panora.org/bank/index.html Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.issbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.ptsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.statecentralbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.midstatesbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.fmsb4me.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.yalebankiowa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.iowastatebanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.isb-algona.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +https://www.snbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.citystatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.gatewaysb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.unitedbk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.bankcsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.nsbbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.clarkebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.qcbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.1stsecuritybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.fsbwc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.csbindianola.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.gcsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.solonstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.gnbbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.americanstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.firstfederalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.rolfestatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.fnbames.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.bank-northwest.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Iowa +http://www.countryclubbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.fnbscott.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.centralnational.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.bankkansas.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.capfed.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.cbtks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.fidelitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.sunflowerbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.unbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.emprisebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.fnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.douglascountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +https://www.kansascityfed.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +https://www.ksstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.communitybanktopeka.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +https://www.valleystatebank2.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kansas +http://www.first-citizens.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.homefederalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.fcbok.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.fsnb.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.fnbgrayson.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.cubbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.cvnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.republicbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.mycgb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.pbfco.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.centralbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.firstandpeoplesbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.firstnationalbankjackson.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Kentucky +http://www.jdbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.bofm.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.cpb.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.citizensnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.stateinvestors.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.midsouthbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.mutualsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.citizenssb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.gibslandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.bankoflouisiana.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +https://www.iberiabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.bankonnet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.louisianalandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.plaqbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +https://www.home24bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +https://www.resource.bank/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Louisiana +http://www.bhbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.fsbme.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.mainebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.mechanicssavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.kennebunksavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.yourhomebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.camdennational.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.gorhamsavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.damariscottabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.northeastbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.bangorsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.tdbanknorth.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +https://www.thefirst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maine +http://www.americanfsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.severnbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.thebankofglenburnie.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.firstshorefed.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.rosedalefederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.theharborbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.baltcosavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.provbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.cnbmd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.firstannapolis.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +https://www.bankofdelmarvahb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +https://www.baybankmd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Maryland +http://www.easternbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.thecooperativebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.everettbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.stonehambank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.winterhillbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.citizensbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.colonial4banking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.hinghamsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.bankatcommerce.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.clintonsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.greenfieldsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.deanbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.bridgewatersavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.bankatunited.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.ebsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.countrybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.rocklandtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.melrosecoop.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.century-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.brooklinebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.cambridgesavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.bostonprivate.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.lawrencesavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.village-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.framinghambank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.pittsfieldcoop.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.georgetownbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +https://agreatbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +https://www.sagebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.northbrookfieldsavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +https://www.baystatesavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +https://www.spencerbankonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +https://www.beverlybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +https://www.southshorebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Massachusetts +http://www.bankofannarbor.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.chemicalbankmi.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.choiceone.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.countynationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.thefsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.baybank.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.nmbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.unitedbankofmichigan.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.university-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.thestatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.ubmich.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.statesavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.first-federal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.wolverinebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.gotocsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.aldenbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.sterlingbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +https://www.chelseastate.bank/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Michigan +http://www.northeastbank-mn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.lcfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.heritagebankna.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.libertysavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.justcallhome.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.lakeareabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.citizensros.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.villagebankonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.thelakebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.banknorthshore.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.cambridgestatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankfirstfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.northwoodsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.citizensstatebanknya.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.firstmooselake.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.ucbankmn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.pineislandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.nsbov.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.mahnomenbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fsbrosemount.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankwithpsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.winonanationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankofmapleplain.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.woodlandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankcib.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.securitysbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.tsbf.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.citizensmn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.security-banks.com/index.htm Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fmb-ebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.adrianstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.hsbofmn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.ultimabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.ffmbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankofzumbrota.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.wadenastatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fnbwadena.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.brainerdsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.annabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.wellsfederalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.unitedprairiebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.gilbertbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankrepublic.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fmbanknym.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fsbwabasha.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.sterlingstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.lakeelmobank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.sherburnestatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.profinium.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.paragonbankmn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.eaglebankmn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fnbmilaca.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://statebankofrichmond.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.pineriverstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.bankvista.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.western-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.community-resourcebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fnbcokato.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.csbtylermn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.americanbankmn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.centernationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fsbminnesota.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.grandtimberbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankofgrovecity.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankcherokee.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.premierbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.logbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.fnbmn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.cnbbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.statebankofdelano.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankwithvision.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.statebankoftaunton.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.maple-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.stearnsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.statebankcoldspring.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.comdevbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.pinecountrybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.prinsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.americanafinancial.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.thebankofelkriver.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.midwestbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.asbgrygla.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.statebankofchandler.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bremer.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.mysentrybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.primesecurity.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.kensingtonbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.fnber.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.granitecommunitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.peoplesstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.fnbezbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.fsbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.perennialbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +https://www.fa-bank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Minnesota +http://www.bankcom.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.bankofhollysprings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.bankofwinona.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.clevelandstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.copiahbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.fnbop.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.firstsecuritybk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.mandmbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.planters-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.trustmark.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.firststatebnk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.mslandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.thepeoples.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.yourcnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Mississippi +http://www.progressiveozarkbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.bankofmonticello.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +https://www.centralbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.commercebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.countryclubbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.ozarkbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.jbt-stl.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.peoplesbk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.stjohnsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.fscb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.midwestbankcentre.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.smbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.greatsouthernbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.commercialbank-stl.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.kennettnationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.lbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.nasb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.bankofwashington.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.ffbkc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +https://www.bankovonline2.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +https://www.landmarkbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://peoplescommunitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Missouri +http://www.firstinterstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.fnbmontana.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +https://www.ourbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.westernsecuritybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.americanbankmontana.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.rmbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://statebank-dillon.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.yellowstonebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.bigskybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.valleybankmt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +https://www.glacierbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.thetrustpeople.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.familyofbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.americanfederalsavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.westernbankwp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +https://trailwest.bank/l Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Montana +http://www.enterpriseebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.farmersbanknc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.equitableonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.abtbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.cattlebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.tricountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.fmnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.cornhuskerbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.cornerstoneconnect.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.greatwesternbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.hersheybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.firstnational.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.mnb1.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.penderstate.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.ubt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.statebankofhildreth.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.statebankofodell.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.mindenexchange.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.homefedgi.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.firstnebraskabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://acommunitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://fmbankne.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://corebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nebraska +http://www.nsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Nevada +http://www.mvsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.fsbnh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.lakesunbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.claremontsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.ledyardbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.mascomabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.piscataqua.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.walpolebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.fsbdover.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.stmarysbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.theguarantybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.mcsbnh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Hampshire +http://www.magbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.somersetsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.unitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.manasquanbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.nvebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.providentnj.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.asbnow.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.valleynationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://llewellyn-edison.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.csbnj.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.brunswickbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.shorecommunitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.lakelandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.metuchensavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.grandbk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Jersey +http://www.lanb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Mexico +http://www.fnb-sf.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Mexico +http://www.centinelbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Mexico +http://www.citizenslc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Mexico +http://www.cbnm.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_Mexico +http://www.wilberbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +https://www.chase.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.bsnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.bankaudiusa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.astoriafederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.ccblv.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.eab.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.geddesfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.firstscotia.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.jeffbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://us.hsbc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.mandtbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.fnbli.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.champlainbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.geneseeregionalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.bankofbaroda-usa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.ridgewoodbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.scnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.unionstate.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.habibamericanbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.licb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.pathfinderbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.hudsonvalleybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.drydenbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.elmirasavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.five-starbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.statebankofli.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.bbh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.sterlingbancorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.newyorkcommercialbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +https://www.pioneerbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.senecasavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/New_York +http://www.piedmontfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.fmbnc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.highpointbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.rrsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.thecornerstonebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.maconbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.mfbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.bankatharrington.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.firstsouthnc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +https://www.capitalbank-us.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Carolina +http://www.ffbnk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Dakota +http://www.americanfederalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Dakota +http://www.bankcenterfirst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Dakota +http://www.firststatebanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Dakota +http://www.bnccorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Dakota +http://www.ramseybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Dakota +http://www.ucbnd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/North_Dakota +http://www.dollarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.fairfieldfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.ohiosavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.cnbohio.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.consumersbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.1stfedvw.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.fjsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.firstknox.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.firstmerit.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.heartlandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.monitorbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.thecombank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +https://www.northwestsavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.settlersbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.ffl.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.farmersandsavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +https://www.53.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.friendlybremenbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.parkviewfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.richlandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.kingstonnationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.thehenrycountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.faheybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.rurbanfinancial.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.parknationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +https://www.key.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.peoplesbancorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Ohio +http://www.bankofthelakes.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.fmbankokc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.fnbdavis.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.fnbec.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.bancfirst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.snbenid.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.fnbchix.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.firstbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.americanbanktulsa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.fnbcoweta.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.bokf.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://cboktulsa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.banksnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.visionbankok.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oklahoma +http://www.botc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oregon +http://www.evergreenbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oregon +http://www.citizensebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Oregon +http://www.atlanticcentral.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.avbpgh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.blcnet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.eaglenational.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.essabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.quaintoak.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.firststarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.fultonbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.bmtc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.norrybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.pastatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.natbankmal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.kishbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www2.epix.net/~peoples/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.eurekabancorp.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.cnbankpa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.jvbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.enterprisebankpgh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.stonebridgebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.fsbperkasie.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.midpennbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.greenvillesavings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.prudentialsavingsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.alignedpartners.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.swbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.knbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.dollarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.fcbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.cnbthebankonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +http://www.qnbbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +https://www.ameriserv.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Pennsylvania +https://www.bankri.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Rhode_Island +http://www.banknewport.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Rhode_Island +http://www.washtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Rhode_Island +http://www.agfirst.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.banksc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.fmbsc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.palmettobank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.firstreliance.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.carolinabank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.southcoastbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.bankofwalterboro.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.conwaynationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.fnbsc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +https://www.ecountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Carolina +http://www.dacotahbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.mysunrisebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.bankwest-sd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +https://www.homefederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.fnbsf.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.ffb-sd.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.s-b-a.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.pioneerbankandtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.cantonfarmersstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.firstdakota.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.reliabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.commercialstate.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.americanbanktrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/South_Dakota +http://www.cbsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.cbtn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.bankofcleveland.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.fcbtn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.athensfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.fcbanktn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.peoplesbankbyrdstown.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.firstcentralbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.fnboneida.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.ftb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.bankatsecurity.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.seviercobank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.tradersbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.volstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.franklinnetbranch.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.bpc-financial.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.pbbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.tristarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.highlandfederal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.ffbtn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.cfbk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.insouth.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.cfbwartburg.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.wilsonbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.centralbanksavannah.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.fnblebanon.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.cbtcnet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.fsbankmctn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.cnbtn.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.ctcbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.firstpeoplesbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.reelfootbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://bankoffayettecounty.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://bankoffrankewing.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.hardincountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.fandmbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.citizens-bank.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.banktennessee.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.tnstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.firstvolunteer.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.carrollbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +https://www.firstcitizens-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Tennessee +http://www.heritagelandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.austinbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.mybankcsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.cnbt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.thebankandtrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.fhlb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.alliancebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://www.amnat.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.independent-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.bnbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.bradynationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.cnb-brownwood.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.csbtx.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.cnbtxk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.firstclassbanking.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.farmcreditbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.dallasfed.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.fbtet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.1stnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.gnty.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.statebnk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.northhoustonbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.natlbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.statenationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.fsbshallowater.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.synergybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.texasbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.capitalfarmcredit.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.tnbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.mansfieldbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.bealbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.firststatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.texaslandbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.agtexas.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.texasflba.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.agrilandfcs.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.communitybank-tx.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.nstarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.anbtx.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.lnb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.fstbnk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.cbtwaco.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.falconbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.fnbbellville.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.texasstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.woodforest.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.anb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.frostbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.firstunited.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://www.abtexas.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://fcbweb.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.texaschampionbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://www.comerica.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.ffin.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://www.texasfirstbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://www.weststarbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://fnbhome.bank/spearman Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://www.fnbtrenton.bank/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +https://www.prosperitybankusa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Texas +http://www.hebervalleybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Utah +https://www.sbsu.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Utah +http://www.centralbankutah.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Utah +http://www.ls-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Utah +http://www.draperbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Utah +http://www.libertybankofutah.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Utah +http://www.tabbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Utah +http://www.communitynationalbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Vermont +http://www.brattbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Vermont +http://www.nsbvt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Vermont +http://www.mbvt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Vermont +http://www.unionbankvt.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Vermont +http://www.chittenden.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Vermont +http://www.passumpsicbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Vermont +http://bankofcharlotte.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.bankofclarke.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.cbbonline.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.bsvnet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.lbtc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.nbbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.ubsh.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.burkeandherbertbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.farmersandmerchants.biz/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.bankofessex.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.shorebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.townebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.bankwithunited.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Virginia +http://www.botc.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +https://www.columbiabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.ssbwa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.riverviewbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.watrust.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.the-bank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.hf-wa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.kitsapbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.frontierbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.bannerbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.community1st.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.anchornetbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +https://www.ourfirstfed.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.paccrest.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +https://www.homestreet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Washington +http://www.firstexchangebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/West_Virginia +http://www.wesbanco.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/West_Virginia +http://www.calhounbanks.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/West_Virginia +http://www.fnbromney.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/West_Virginia +http://www.freedombankwv.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/West_Virginia +http://bankofromney.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/West_Virginia +http://www.abbybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.sterlingbank.ws/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.anchorbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.anbnet.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.glsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.dellsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.statebankofchilton.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.csbloyal.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.firstcitizensww.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.fcbmilton.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.parkbankmilwaukee.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.fmub.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.greenwoodsstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.hiawatha-nb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.jacksoncountybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.msbonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.mononabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.northshorebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.parkbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.mazobank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.unitedbankwi.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.crossplainsbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.ub-t.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.fsbwaupaca.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.bankmidamerica.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.statebankviroqua.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.walworthbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.waukeshabank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.moundcitybank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.cbbwi.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.cfbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.shelllakestatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.fmberlin.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.florencestatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.capitolbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.deforestbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.bankersbankusa.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.oostburgbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.nicoletbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.southportbank.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.fandmstbk.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.unionbankofblair.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.brcbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.home-savings.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.citizenscommunityfederal.net/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.sbankonline.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://bankofbrodhead.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.fnbrf.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.townbank.us/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.nsbashland.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.bankatcsb.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wisconsin +http://www.firstinterstatebank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wyoming +http://www.wyomingbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wyoming +http://www.oregontrailbank.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/North_America/United_States/Wyoming +http://www.adelaidebank.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.westpac.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.stgeorge.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.anz.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.ampbanking.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.advance.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.newcastlepermanent.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.commbank.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.banksa.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.bendigobank.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.citibank.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.bankwest.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.imb.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.ingdirect.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.macquarie.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.boq.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.rba.gov.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.elders.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.rabobank.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.hsbc.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.cua.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.loancorp.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.trustar.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.royalguardian.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.heritageonline.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +https://www.perpetual.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.qantascu.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.virginmoney.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.anz.com/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.americanexpress.com/australia/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.nab.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.widebayaust.com.au/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Australia +http://www.rbf.gov.fj/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Fiji +http://www.bankpng.gov.pg/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/Oceania/Papua_New_Guinea +http://www.iadb.org/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/South_America +http://www.bancosol.com.bo/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/South_America/Bolivia +http://www.bndes.gov.br/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/South_America/Brazil +http://www.bcentral.cl/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/South_America/Chile +http://www.banrep.gov.co/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/South_America/Colombia +http://www.bankofguyana.org.gy/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/South_America/Guyana +http://www.bcrp.gob.pe/ Top/Business/Financial_Services/Banking_Services/Banks_and_Institutions/Regional/South_America/Peru +http://www.mastercard.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards +http://www.visa.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards +http://www.neba.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards +http://www.ceridian.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards +http://www.creditnet.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards +http://www.hsbc.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.commercebank.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +https://www.53.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.capitalone.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.jcbusa.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.americanexpress.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.wellsfargo.com/credit_cards/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.bankofamerica.com/creditcards/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.tdcanadatrust.com/tdvisa/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.merrickbank.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.discover.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.simmonsfirst.com/personal_banking/credit_cards.aspx Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.creditonebank.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.firstnational.com/001/html/en/personal/cardproducts/creditcards/credit_card_main.html?utm_id=16 Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +https://creditcards.citi.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.appliedbank.com/cards.html Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.rbcroyalbank.com/credit-cards/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +https://creditcards.chase.com/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +https://www.usbank.com/credit-cards/compare.html Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +https://www.statefarm.com/finances/banking/credit-cards/ Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +https://www.firsttennessee.com/Personal/Banking/Credit-Cards Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +http://www.bmo.com/main/personal/credit-cards Top/Business/Financial_Services/Banking_Services/Credit_Cards/Issuers +https://www.ahoconsulting.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.commonbond.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +https://www.cumis.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.dhilton.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.filene.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.infonancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.lenderstechnology.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.coverasolutions.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.callahan.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.co-opfs.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://creditunions.fiserv.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Agencies_and_Vendors +http://www.njcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.gacreditunions.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.woccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.mncun.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.cuna.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.ccul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.wvcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.dcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.cues.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.ecuc.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.vermontcreditunions.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.idahocul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.iculeague.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.kcua.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.mcun.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.nebrcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.sccul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.vacul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.creditunion.nb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.abcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.nhcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.cucentral.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.yourleague.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.ccacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.creditunion.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.nzacu.org.nz/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.saccol.org.za/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.ncuf.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.membertrust.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.pcua.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.mainecul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.mcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.mcua.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.theleague.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.nafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.maleague.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.nacuw.org.uk/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.ncua.gov/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.mscua.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.mddccua.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +https://www.creditunionsofontario.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.cornerstoneleague.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.cuanm.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Associations +http://www.cunews.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/News_and_Media +http://www.cutimes.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/News_and_Media +http://www.cujournal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/News_and_Media +http://creditunionmagazine.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/News_and_Media +http://creditunionaccess.com/rss.xml Top/Business/Financial_Services/Banking_Services/Credit_Unions/News_and_Media +http://www.woccu.org/publications/cuworld Top/Business/Financial_Services/Banking_Services/Credit_Unions/News_and_Media +http://www.cua.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.cu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.securitycu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.accu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.ecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.adcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.bccu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.bdcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.calarecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.cmcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.circle.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.communityfirst.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.comtax.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.encompasscu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.eecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.familyfirst.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.firecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.fccl.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.fccs.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.gmcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.hccu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.horizon.org.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.lcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.lysaghtcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.macquariecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.maritimecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.memberfirst.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.necu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.nicu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.novacu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.orangecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.pncs.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.policecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.pcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.powercu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.pulsecredit.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.queenslanders.org.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.reliance.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.rtascu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.satisfac.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.selectcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.socu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.sgecu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.swscu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.swcredit.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.sccu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.sutherlandcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.sydneycu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.unicredit.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.wawcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.westerncu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.railcu.org.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.nurses1st.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.bhccu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.imcu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.telstracu.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.summerland.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.defencebank.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.tmbank.com.au/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Australia +http://www.desjardins.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada +http://atlanticcreditunions.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada +http://www.1stchoicesavings.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.beaumontcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.bowvalleycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.edsoncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.lakelandcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://legacysavings.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.pinchercreek-creditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.rockycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.safewaycucalgary.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.shellcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.transcanadacreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +https://www.servus.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +https://rivercitycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +https://www.visioncu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +https://www.chinookfinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +https://www.mvcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +https://www.firstcalgary.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +https://www.encompasscu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Alberta +http://www.bvcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.ccec.bc.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.coastcapitalsavings.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.cccu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.comsavings.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.cdcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.ekccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://envisionfinancial.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.gvccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.interiorsavings.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.kscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.mtlehman.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.nelsoncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.northsave.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.osoyooscreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.revcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.sascu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.sharonscu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.sdcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.sunshineccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.valleyfirst.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://vancity.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.wscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.wldcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.gffg.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.integriscu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.prospera.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.blueshorefinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.vantageone.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.heritagecu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.gfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.islandsavings.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.npscu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +https://www.firstcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/British_Columbia +http://www.achieva.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.assiniboine.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.bpcu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.crocuscu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.ericksoncu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.nwcu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.oakbankcu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.outlookfinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.portagecu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.scu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.vanguardcu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.westoba.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.cambrian.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.caseracu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.nivervillecu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +https://www.sunovacu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.sunrisecu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.grandviewcu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.carpathiacu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.crosstowncivic.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.accesscu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.rcu.ca/site/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.minnedosacu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +https://wpcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.belgianalliancecu.mb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://catalystcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://strathclaircreditu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +https://noventis.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +https://www.ffcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Manitoba +http://www.eaglerivercu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Newfoundland_and_Labrador +http://www.reddyk.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Newfoundland_and_Labrador +http://www.easternedgecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Newfoundland_and_Labrador +https://www.lecu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Newfoundland_and_Labrador +https://www.venturecu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Newfoundland_and_Labrador +https://www.hscunl.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Newfoundland_and_Labrador +http://www.bayviewnb.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/New_Brunswick +http://www.beaubear.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/New_Brunswick +http://www.omista.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/New_Brunswick +http://www.citizenscreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/New_Brunswick +http://www.nbtacu.nb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/New_Brunswick +http://www.uni.ca/en/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/New_Brunswick +https://www.progressivecu.nb.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/New_Brunswick +http://www.caissepopclare.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.bergengrencu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.communitycreditunion.ns.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.cua.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.newwaterfordcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.sydneycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.valleycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.victorycreditunion.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.stjosephscreditu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.lahaverivercreditunion.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.coastalfinancial.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +https://www.provincialemployees.com/Home/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Nova_Scotia +http://www.citysavingscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://duca.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.hmecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.northerncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.oshawacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.sudburycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.ukrainiancu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.bayshorecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.ddcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.espanolacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.estoniancu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.finnishcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.ganaraskacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.kawarthacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.nlcu.on.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.oecu.on.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.oppacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.pboccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.teucu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.vccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.buduchnist.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.tpcu.on.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.firstontariocu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.memberone.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.meridiancu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.yourcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.alterna.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.applecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.pacecu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.penfinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.unitedcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.firecreditunion.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.awccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://libro.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.mainstreetcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.limestonecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://membersavings.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.luminusfinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.tandia.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.wfcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.kindredcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.moyafinancial.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.communityfirst-yncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://cooperativebanking.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.mcccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://baycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.yncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://kccu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.copperfin.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.rapportcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +http://www.bcufinancial.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.southwestcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Ontario +https://www.provincialcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +https://www.morellcreditu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +https://www.consolidatedcreditu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +https://www.tignishcreditu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +https://www.malpequebaycreditu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +https://www.souriscreditu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +https://www.eccu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +http://www.creditunionspei.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Prince_Edward_Island +http://www.raymorecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.spiritwoodcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.northvalleycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.advantagecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.bengough.cu.sk.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.biggarcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.churchbridgecu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.debdencu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.kerrobert.cu.sk.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.stoughtoncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.cypresscu.sk.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.newcommunitycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.plainsview.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.prairiepridecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.scecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.laflechecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.sandhillscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.pccu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +https://www.conexus.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.dodslandcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.macklincreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +https://www.affinitycu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.foamlakecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://porcupinecu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +https://www.weyburncu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +https://www.cornerstonecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.accentcu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +https://www.unitycu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +https://www.diamondnorthcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.synergycu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +https://www.radiuscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.crossroadscu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.horizoncu.ca/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Canada/Saskatchewan +http://www.ballyphehanecu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.ansaccu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.athenrycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.ballinasloecreditunion.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.cashelcreditunion.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.castlebar.ie/clubs/credit_union/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.citybuscu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.clonmelcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.droghedacu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.dundrumcu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.goreycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.hsscu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.larnecreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.lccuonline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.newrosscreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.portlaoisecu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.rahenycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.rdcu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://gofree.indigo.ie/~roscu/default.htm Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.roscreacu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.stgabrielcu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.tallowcu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.loughcu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.tipperarycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.maynoothcu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.newbridgecu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://blackrockcu.ie/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/Ireland +http://www.policecu.org.nz/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/New_Zealand +http://www.creditunion.co.nz/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/New_Zealand +http://nzcubaywide.co.nz/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/New_Zealand +http://www.leedscitycreditunion.co.uk/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_Kingdom +http://www.bluesandtwos.org.uk/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_Kingdom +http://www.blackpearcu.plus.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_Kingdom +http://www.mycu.co.uk/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_Kingdom +http://www.abecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.chevronfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.andrewsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.navyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.penfed.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.truliantfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.riverfrontfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.usfed.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.eastmancu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.ecolabcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.thinkcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +https://www.western.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.energyservicesfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.torofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +https://www.gmfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +https://www.adviacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States +http://www.amfirst.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.apcocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.aacfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.asecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.alabamacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.caecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +https://www.redfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.tvafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.alabamacentral.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.aufcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.mymax.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alabama +http://www.alaskausa.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alaska +http://www.cu1.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alaska +http://www.denalifcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alaska +http://www.mosquitonet.com/~coffcu/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alaska +http://www.mvfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alaska +http://www.northernschoolsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alaska +http://www.tongassfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Alaska +http://www.alhambracu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.aeafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.hccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.desertschools.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.hughesfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.pimafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.shamrockfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.tscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.tucsonfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.topcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.bafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +https://www.azcentralcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.bannerfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.pinalcountyfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.firstcu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://truwest.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +https://www.azstcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +https://www.swacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +https://www.arizonafederal.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.cuwest.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +https://www.altiercu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://deervalleycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +https://www.mysunwest.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arizona +http://www.afcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arkansas +http://www.neafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arkansas +http://www.telcoe.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arkansas +http://www.fortsmith.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arkansas +http://www.mil-wayfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Arkansas +http://www.slfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.firstent.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.centcoastfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.wescom.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.coastccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.xfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.polam.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.miramarfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sdccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sdffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.calcoastcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.patelco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.amerfirst.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.unclecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.bhcefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://burbankfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.cbcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.chaffey.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.fafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.gasfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.lbsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.matadors.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.octfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.parsonsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.toyotafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sccfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.1stuscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.providentcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.rcsse.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.starone.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.usecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.firstcalfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sesloc.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.golden1.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.eecufresno.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.valleyoak.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.foxcredit.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.alamedacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.ahfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.arrowheadcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.calstatela-fcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.centralstatecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.chabotfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.coopfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.contracostafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.cscefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.dowcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.safecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.downeyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.edwardsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.ibew332.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.eccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://fastcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.ficu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.fiscal.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.ffdcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.fpdcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.gsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.hafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.hondafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.ksfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.kingsfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.lbcefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.lafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.lcua.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.marincu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.mercedschoolcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.merco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.mocse.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.desertcommunitiesfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.mwfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.mwdfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.fresnocfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.oefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.pacificservice.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.pactrust.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.partnersfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.ppcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.premier.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sanfranciscofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.redwoodcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sactocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.safe1.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.safeamerica.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sdmfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sdmcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sbcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.scefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sierracentral.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.siskiyoucu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.sbcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.technicolorfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.ucu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.tucoemas.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.valleyfirstcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.unionpacificfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.umfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.uspsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.visionone.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.wpcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.upscreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.alliancecreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.northcountycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.safcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.comfirstcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.techcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://addisonavenue.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.altaone.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.usccreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.premierccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.vccuonline.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.californiacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.bournscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.olcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.seawest.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.norgrumfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.cefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.bayfed.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.wealthcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.firstus.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://foothillcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.musicianscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.orangecountyscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.silveradocu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.chevronfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.americaschristiancu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.calagcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.traviscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.firstcitycu.org/home/home Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.missionfed.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.scccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.figfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +https://www.logixbanking.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/California +http://www.dfdfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.redrocks.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.spaceagefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.coorscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.foothills-cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.cudenver.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.electricalfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.bvcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.pscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.pgafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.soopercu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.weldschoolscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.wfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.rmlefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.westernrockiesfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.auroracu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.harrisond2fcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.whitecrown.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.bellco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.ccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.aafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.elevationscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.partnercoloradocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.ent.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.cuofco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.sunwestecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://nococu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +https://www.pmcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Colorado +http://www.stamfordcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://dutchpoint.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.americaneagle.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.nwhcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.franklintrust.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.sikorskyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.charteroak.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.ghafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.wffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.hhcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.nsefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.ubifcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.mckessonfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.unitedshoreline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.firstconnecticut.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.greaterwatertown.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.tvtfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +https://www.coreplus.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.enfieldcommunityfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.chcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.nutmegstatefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.hsfcuonline.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.wctfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.unitedshoreline.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.hartfordfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.nefamily.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.waterburytelephonefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +https://www.connexcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.pbefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.firstnewengland.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +https://www.scientfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +https://www.firstbristol.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +https://www.mygecreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Connecticut +http://www.americanspirit.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.chestnutrunfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.udel.edu/udelfcu/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.louviers.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.doverfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.dexsta.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.dspfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +https://www.del-one.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.cpwrfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Delaware +http://www.mpscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.dcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.baycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.campuscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.bscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.coastlinefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.metronorthfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.fwccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.fsucu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.keysfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.tpcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.penair.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.1stcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.sccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.fairwinds.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.cecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.flcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.gcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.ibmsecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.jaxfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.martinfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.membersfirstfl.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.midflorida.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.pefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.ricu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.tlfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.tmhfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.tyndallfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.ucumiami.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.srctfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.orlandofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.ccufl.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.vystarcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.fldotcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.fltcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.unitedpolicefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.innovationsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://mycfe.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://www.growfinancial.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.velocitycommunity.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://www.manateecfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.bhcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.citycountycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.coralfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.mefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.firstchoicecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.flcustomsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.frsacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.jetstreamfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.magnifycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.service1stcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.ccecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.miamifcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.monroecountyteachersfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.safcu1.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.smecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.smfcu.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.suncu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.sacfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.wcfecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.bhsffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.countryfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.mffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.pinellasfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://www.tampabayfederal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://www.launchfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://www.financialcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://www.firstflorida.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://wefloridafinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://fbcu.info/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +https://www.suncoastcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Florida +http://www.agsouthfc.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.robinsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.powerco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.gacreditunions.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.altamaha.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.afecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.apcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.corecreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.emoryfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +https://www.excelfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +https://www.fivestarcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.ftcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.gwinnettfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.cdcfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.georgiasown.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +https://www.kineticcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Georgia +http://www.cuhawaii.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Hawaii +http://www.hicommfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Hawaii +http://www.htefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Hawaii +http://www.hfefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Hawaii +http://www.wcfcuhawaii.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Hawaii +http://www.hccu.info/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Hawaii +http://www.kalanet.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Hawaii +http://www.bhive.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.latahfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.iccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.idahounited.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.lewisclarkcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.pioneerfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.westmark.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.busefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.numericacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.trugrocer.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.cornerstonecu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +https://www.caped.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Idaho +http://www.financialplus.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.selfreliance.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.ispfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.earthmovercu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.oaktrust.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.nscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.dccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.ivcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.cicu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.access-cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.nwccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +https://www.bcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://source1cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.cornerstonecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.mygcscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.myconsumers.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +https://www.dupagecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.mcuonline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.cafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +https://vibrantcreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Illinois +http://www.newhorizonscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.hoosierhillscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.profedcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.centra.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.ifcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.techcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.aaafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.bsfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.barcons.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.imcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.busindcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.coffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.cranecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.etfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.fcfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.gencu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.angelfire.com/in/ipfecu/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.mwafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.lampco.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.ndfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.pccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.vigocountyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.regionalfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.solfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.wrfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.linkfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.forumcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.beaconcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.hfcu.info/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.allegius.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.fortressfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.usfederalcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://firstcountyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.harvesterfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.hometownfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.midwestfamilyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.mypscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.fortfinancialcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +https://www.iucu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.taperlockcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.3riversfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.memberschoicefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.unitedcuonline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.financialbuilders.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.purduefed.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.encompasscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.mykemba.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +https://www.hoosierunitedcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.thrivecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.inovafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.afenafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.firsttrustcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +https://www.viacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +https://www.sufcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Indiana +http://www.niccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.alliantcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.collinscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.dupaco.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.dutrac.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.midwestcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.linnareacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +https://www.metcocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.rvcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.dmmcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.tradesmenccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.uiccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.veridiancu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +https://www.ascentra.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +https://www.marinecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +https://www.capview.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.financialpluscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Iowa +http://www.gpcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.cu.bv.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.cu1ks.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.wmfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.cuofamerica.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.kucu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.mrcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.kcffcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.ksucreditunion.com/ksu/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kansas +http://www.co-united.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.chemcofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.ccuky.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.kecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.kytelco.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.ofcuonline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.parkfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.rccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.kuefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.uky.edu/UKFCU/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.dctfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.lnfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.fortknoxfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +https://www.thefcu.com/webfederal.asp Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Kentucky +http://www.riverlandcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.asifcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.bfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.bayoufcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.campusfederal.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.lafed.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.wesla.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.brfdfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.pelicanstatecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.unofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.brecofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.efedcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.eaglefederal.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.jpefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.carterfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Louisiana +http://www.fivecounty.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.emmcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.eastmillfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.semifcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.seaboardfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.infinityfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.lisboncu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.rainbowfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.sacovalley.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.countyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.tcfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.ucu.maine.edu/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.brewerfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.wcfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.winthropcreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.gardinerfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.norstatefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.mainestatecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +https://www.connectedcreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +https://www.bangorfederal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +https://www.changingseasonsfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +https://www.otisfcu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +https://www.myccfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +https://www.egcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maine +http://www.uspsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.andrewsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.firstfinancial.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.aplfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.ccumd.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.pbcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.saefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.towerfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.jhfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.apgfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.affcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.cpfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.firsteaglefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.energyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://harcocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.lfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.moneyonefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.mafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.mctfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.nihfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.nrlfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.firstpeoples.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.lmfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.secumd.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.wepcofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.bdfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.chessiefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.nasafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Maryland +http://www.huecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.landmark-cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.rtn.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.cpcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.firstcitizens.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.websterfirst.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.sharoncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.tauntonfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.direct.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.mitfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.leominstercu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.hfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.lffcu.com/staff.htm Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.pncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.aldenvillecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.bridgewatercu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.communitycreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.pnculoansonline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.merrimack-valley-fcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.gfafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.goldmarkfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.newbedfordcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.sjbfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.icu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.lusofederal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.mastatecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.stannes.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.stcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.somersetfedcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.stjeanscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.stmaryscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.smefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.twcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.tremontcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://wcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://stannecreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.energycreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.winchestercu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.everettcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.massmutualfcuhb.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.iccreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.firstprioritycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.cuecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +https://www.dcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +https://www.greylock.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Massachusetts +http://www.craftsmancu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.1stcomm.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.dortonline.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.meijercreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://vipcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.soocoop.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.aaacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.mcccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.tlccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.elgacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.locfederal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.tcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.smfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.telcomcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.atlcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.casecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.cathfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.teamonecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.cfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.cuone.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.grfecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.fme.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.frankenmuthcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.gecuionia.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.lafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.msufcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.negauneecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.grccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.iccuonline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.glffcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.wanigas.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.familyfinancialcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.menomineecountyfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.motorcitycoopcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.bbcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.smsefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.dfcufinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +https://www.michedcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://communityfocusfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.affinitygroupcu.org/mhscu/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.mfcu.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Michigan +http://www.fedecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.hiway.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.mpecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.northshorefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.slcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.southmet.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.affinityplus.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.mncathcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.ahcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.co-opcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.dawsonco-opcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.diversifiedcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.firstalliancecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.fuldaareacreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.greatriverfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.redwingcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.wakotafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.myshareadvantage.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.hermantownfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.mayocreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.collegevillecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.recu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.toplinecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.austincityecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.emcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.floodwoodcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.hccu.net/ASP/home.asp Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.mnteamsterscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://www.rbcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.myrcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.smwfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.trustarfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.thfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.stcloudfcu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.novation.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.peoplesccu.com/mainpage.jsp?PageName=home Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.nrccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.stpaulfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.proctorfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.accentracu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.pipefitterscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://midlandcoopcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.alexcreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.cwpcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.eatoncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.evfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.endurafinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://www.ahcu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://www.financialonecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://www.spire-banking.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.carpentersfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.buildingtradescu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://trustonefinancial.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.starchoicecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.westmetroschoolscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.starcorcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://savewithemployeesfirstcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.mycmcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://www.wingsfinancial.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.alcitycountycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.lakesareacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.citiescu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.hometowncu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.mnvalleyfcu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://www.idealcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://www.membersccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +https://northmemorialfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Minnesota +http://www.powerco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Mississippi +http://www.kfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Mississippi +http://www.mutualcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Mississippi +http://www.gmcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.abecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.firstcommunity.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.arsenalcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.alliancecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.centurycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.countycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.csdcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.edisoncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.greatplainsfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.kccu.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.mazuma.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.communitypartner.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.mocentral.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.westcommunitycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.1stmocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.centralcommunications.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.vcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.ffcu4u.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +https://www.1stfinancialfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +https://missouricu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +https://www.electrosavings.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +https://www.stlouiscommunity.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +https://www.healthcarefamilycreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +https://blucurrent.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +https://www.publicsafetycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Missouri +http://www.billingsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Montana +http://www.montanafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Montana +http://www.gatewaycommunityfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Montana +http://www.missoulafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Montana +http://www.lincolncountycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Montana +http://www.bearpawcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Montana +http://www.altanafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Montana +http://www.nufcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.sacfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.omahafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.gofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.ne-fcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.creightonfederal.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.centrisfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.libertyfirstcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nebraska +http://www.gncu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nevada +http://www.silverstatecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nevada +http://www.weststar.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Nevada +http://www.nhfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Hampshire +http://www.gscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Hampshire +http://www.hrcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Hampshire +http://www.servicecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Hampshire +http://www.necu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Hampshire +http://www.bccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Hampshire +http://www.affinityfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.atlfedcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.fdufcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.bmsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.njfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.monmouthpostalcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.midstatefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.adpfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.refcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.fafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.njcul.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.greateralliance.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://fenfcu.homestead.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Jersey +http://www.kirtlandfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.nmefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.wsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.oterofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.slfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.ffnm.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.sandia.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.secunm.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_Mexico +http://www.psfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.montauk-cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.spxfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.xcelfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://mcgrawhillefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.adkcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.alternatives.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +https://www.capcomfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.vfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +https://www.corningcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.countryside.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.eicu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.esl.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.fafcuny.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.flfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.flhc.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.fhfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.fsource.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.ghsfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.gpoconnect.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.hhfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.ibmmetro.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.orutilfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.lisefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.mhvfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.neafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.nassaued.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.pafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.pittsfordfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.rufcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.school-systems.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.selfrelianceny.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.sidneyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.sperryfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.sefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.suffolkfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.summitfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.teachersfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.tefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.ulsfedcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +https://www.usalliance.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.unfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.horizonsfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.westarnet.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.wuhefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.hvfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.melrosecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.bacreditunion.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.advantagefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.empirestatecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.gencoopfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.rocmon.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.syrfirecu.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.communityresource.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.telcofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.palisadesfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.quorumfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.nassaufinancial.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.nymcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.sjpfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.nyufcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.firstnewyork.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.empowerfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.tvfcubatavia.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.familyfirstny.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.oswegofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +https://www.bethpagefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/New_York +http://www.memcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.lgcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.apfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.ctelco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.greensboromcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.electelccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.fortbraggfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.freedomfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.marinefederal.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.lfcun.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.pacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.premierfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.self-help.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.ncsecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.carolinafarmcredit.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.allegacyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.cpcuonline.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.rtpfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.ecustacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://www.carolinacoopcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +https://www.coastal24.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Carolina +http://capcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Dakota +http://www.citizens-cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Dakota +http://www.dakotaplainscreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Dakota +http://northstarccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Dakota +http://www.dakotawestcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Dakota +https://www.myfccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/North_Dakota +http://www.millstreamcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.chacocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.fallsccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.tpolcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.bmifcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.cenfedcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.cmefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.codecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.corpone.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://dayair.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.daymetcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.dffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.emeryfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.fofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.fefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.firstcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.newhorizonscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.ohioedcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.kemba.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.genfed.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.wccfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.cinco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.classicfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://kemba.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +https://www.cinfed.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +https://truecore.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.faithcommcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +https://www.edisonfinancialcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +https://www.trupartnercu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +https://www.umethodist.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Ohio +http://www.allegiancecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oklahoma +http://www.clackamasfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.midoregon.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.nrfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.pnwfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.osufederal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.wesaveyou.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.roguefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.selco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.sspfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.waunafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.linncofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.laneco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.centralwcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.oregonfirstccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.rivermarkcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.oregonianscu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +https://online.firsttechfed.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +https://www.unitusccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Oregon +http://www.patriotfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.pffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.members1st.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.psecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.omegafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.pfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.belco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.freedomcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.ndcupa.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.fmfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.mcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.americhoice.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.pannoniafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.ibew56fcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.amhfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.newcastlebellco.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.alcoapittfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.bfcu.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.heritagevalleyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.hersheyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.lancofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.wheatlandcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://glatcocu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.pennstatefederal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Pennsylvania +http://www.dextercu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Rhode_Island +http://www.cucf.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Rhode_Island +http://www.cffcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/South_Carolina +http://www.palmettocitizens.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/South_Carolina +http://www.blackhillsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/South_Dakota +http://www.siouxfallsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/South_Dakota +http://www.m-ofcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/South_Dakota +http://www.kimberlyclarkcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.greatereastern.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.faafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.fecca.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.ornlfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.ohcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.kpocu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.epbecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.tvfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.volcorp.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.y12fcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.ttcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.pias.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.lifewaycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +https://www.ktfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.consumercreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.cfecu.coop/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.vanderbiltcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.tvacreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.es-cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +https://www.cornerstonefinancialcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://myfafcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.firstchoiceccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.utfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://lowlandcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.firstsouth.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +https://orionfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Tennessee +http://www.cyfairfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.fccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.hefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.communitycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.atfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.ccutx.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.gefcu-austin.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.gtfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.ufcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.datcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.tbacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.starcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.sacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.amocofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.shellfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.rbfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.educationcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.tpfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.gcefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.texanscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.chemcel.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.accesscreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://walkercountyfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.membersourcecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.austinfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.ftwccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.myncu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.borderfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.firstabilenefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.conchoeducators.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.ecudallas.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.ssfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.investexcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.peoplestrustfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.acutx.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +https://www.cutx.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +https://www.mccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Texas +http://www.americafirst.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Utah +http://www.dfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Utah +http://www.nefcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Vermont +http://www.northcountry.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Vermont +http://www.vsecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Vermont +http://www.greenmountaincu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Vermont +http://www.maccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Vermont +http://www.oppsvt.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Vermont +http://www.vbsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.fairfaxcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.constellationfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.henricofcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.mdcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.norfolkcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.abnbfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.qpafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.colonialfarmcredit.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.blwd.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.chartway.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.roanokevalleyfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.vumcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Virginia +http://www.numericacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.western.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.becu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.cascadefcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.columbiacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.gesa.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.globalcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.hapo.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.harborstone.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.hzcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.nordcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.northcoastcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.northland.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.obee.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.pcfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.spokanefederal.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.qualstarcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.stcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.simpsoncreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.wvfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.whiterivercu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.smcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.physndent.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.industrialcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.lshore4cu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.slecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.primesourcecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.avistacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.wecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.evergreendirect.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.twinstarcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.wsecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://bmcu.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://online.firsttechfed.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.mountaincrestcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.inspiruscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +https://www.waterfrontfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington +http://www.dctfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +https://www.ussfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://www.docfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://www.sdfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://www.transfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://www.bfsfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://hudfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://www.doifcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://www.jfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Washington,_DC +http://www.starusafcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.c-ocreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.wvccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.huntingtonized.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.fairmontfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.wvucu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.onecommunityfcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.firstchoiceamericacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/West_Virginia +http://www.citizensfirst.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +https://www.bacu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +https://www.capitalcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.coopcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.communitycu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.ecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.firstccu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.fortcommunity.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.foxcommunitiescu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.guardiancu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.heartlandcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.kohlercu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.lakeviewcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +https://www.landmarkcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.pccu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.pioneercu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.rmecu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.ripco.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.rcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.tri-county.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.westconsincu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.sentrycu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.harborcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.southernlakescu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.newcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.firstchoicecreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.communityfirstcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.mcucreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.shorelinecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.badgerglobecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.pcmcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.becu.net/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.smacu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.countycitycreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.covantagecu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.unisoncu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.myucu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.uwcu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.summitcreditunion.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.altra.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.connexuscu.org/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.yourpfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wisconsin +http://www.firstedfcu.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wyoming +https://www.uniwyo.com/ Top/Business/Financial_Services/Banking_Services/Credit_Unions/Regional/United_States/Wyoming +http://www.moneybookers.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.achprocessing.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.checkfree.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.neach.org/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.hyperwallet.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.chips.org/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.paypal.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.praxell.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.freedompay.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.ecocard.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.paypalwarning.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.fundtech.com/ Top/Business/Financial_Services/Banking_Services/Electronic_Cash +http://www.jfc.be/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.sedonacorp.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.cumminsallison.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.digitalcheck.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.primeassociates.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.affirmativeusa.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.srssystem.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.dealing.ru/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.ipbs.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.pathfindergroupltd.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bankequipment.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.farin.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.rdmcorp.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.castlesix.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.branchconnect.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.mav.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.thecasemanager.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.tcalc.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.banksupplies.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.ucp-net.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.nextbill.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.americansafedeposit.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.kamakuraco.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.mag-ii.com/index.html Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.pciwiz.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bb-tech.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.jdcrow.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.lhasystems.co.uk/main/start.htm Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.mitchellandassoc.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.aftech.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.streetresource.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.lhasystems.co.uk/main/2200.htm Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.financialinteractive.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.hmastarware.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://ipresource.tripod.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.nbsinc-tx.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.optimumsystem.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bankersx.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bonairesoft.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.balboacheckpro.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.shawsystems.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.posregister.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.opensolutions.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.netbankaudit.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.citynetworks.co.uk/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.starexfinancial.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.micrprime.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.lombardrisk.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.anasys.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.uscreditcardequipment.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.rtfm.co.uk/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.ibservices.ch/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.agiletics.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.businesslogic.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bankmktg.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.btbinc.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.pibas.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.sheafferonesource.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.centerprise.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.pietech.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.milenio.com.br/ksc/ingles Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.pirum.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.tradesystemsinc.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.fundsxpress.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.westonmuir.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.alexebanking.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.aducredit.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.harrisoncompany.net/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.zootweb.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.banksys.net/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.parabit.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://smart-solution.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.cr2.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.atris.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.surecomp.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.stratinfotech.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://infosysinbanking.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bankerstoolbox.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.gladstonellc.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.andersonimaging.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.teamservicesinc.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.tns-smart.net/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.probanx.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.fnstar.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.ipsboston.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.technisys.net/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.dbswll.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.trinergy.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.misys.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.clcsiii.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.smartstream-stp.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.ctsgroup.it/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bottomline.co.uk/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.euronetworldwide.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.levelfour.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.banktrade.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.tradesoft.co.il/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.crahelp.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.corecard.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.ofacanalyzer.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.friscobay.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.financialtools.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.banctec.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +https://www.tranquilmoney.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.carreker.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +https://www.fiserv.com/index.aspx Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.tspartnersinc.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.3i-infotech.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.add-it.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.wolterskluwerfs.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.bellcon.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.eurobase.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.appraisaltrac.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.csc.com/financial_services Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://centaurassociates.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.fisglobal.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.aircharge.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.polarisft.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.dieboldnixdorf.com/ Top/Business/Financial_Services/Banking_Services/Equipment_and_Software +http://www.tdcanadatrust.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.ohiosavings.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.bannerbank.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.firstib.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.onlinebankingreport.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.cahoot.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.365online.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +https://www1.bmo.com/cgi-bin/netbnx/NBmain Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.hkbea-cyberbanking.com/index.htm Top/Business/Financial_Services/Banking_Services/Online_Banking +https://fnc.asbbank.co.nz/ Top/Business/Financial_Services/Banking_Services/Online_Banking +https://www.nwolb.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.deluxe.com/dlxfi/deluxe-financial-institutions.jsp Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.usbank.com/cgi_w/cfm/personal/sub_global/usb_internet_banking.cfm Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.barclays.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +https://online.citibank.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.unity.co.uk/ Top/Business/Financial_Services/Banking_Services/Online_Banking +http://www.payments.com.au/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Bill_Payment_Services +http://www.billjunction.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Bill_Payment_Services +http://www.clearnow.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Bill_Payment_Services +http://www.bills.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Bill_Payment_Services +http://www.yodlee.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Bill_Payment_Services +http://paytrust.intuit.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Bill_Payment_Services +http://www.fbr.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Online_Investment_Banks +http://www.wrhambrecht.com/ Top/Business/Financial_Services/Banking_Services/Online_Banking/Online_Investment_Banks +http://www.drw.com/ Top/Business/Financial_Services/Cash_Flow +http://www.buymortgage.com/ Top/Business/Financial_Services/Cash_Flow +http://www.creative-finance.com/ Top/Business/Financial_Services/Cash_Flow +http://www.combs-properties.com/ Top/Business/Financial_Services/Cash_Flow +http://www.nationalcontractbuyers.com/ Top/Business/Financial_Services/Cash_Flow +http://www.westernfinancialaz.com/ Top/Business/Financial_Services/Cash_Flow +http://www.noteinvestor.com/ Top/Business/Financial_Services/Cash_Flow +http://www.kgfunding.com/ Top/Business/Financial_Services/Cash_Flow +http://www.notenet.com/ Top/Business/Financial_Services/Cash_Flow +http://www.colonialfundinggroup.com/ Top/Business/Financial_Services/Cash_Flow +http://www.heiradvance.com/ Top/Business/Financial_Services/Cash_Flow +http://www.mortgagebuyers.us/ Top/Business/Financial_Services/Cash_Flow +http://www.capitalfunders.com/ Top/Business/Financial_Services/Cash_Flow +http://www.americanfunding.com/ Top/Business/Financial_Services/Cash_Flow +http://www.dafusa.com/ Top/Business/Financial_Services/Cash_Flow +http://www.charternotes.com/ Top/Business/Financial_Services/Cash_Flow +http://www.moneypower.com/ Top/Business/Financial_Services/Cash_Flow +http://www.barkleytower.com/ Top/Business/Financial_Services/Cash_Flow +http://www.jgwentworth.com/ Top/Business/Financial_Services/Cash_Flow +http://www.inheritancefunding.com/ Top/Business/Financial_Services/Cash_Flow +https://novationsettlementsolutions.com/ Top/Business/Financial_Services/Cash_Flow +http://www.mit-c.net/cascadecapital/ Top/Business/Financial_Services/Cash_Flow +http://www.stonestreet.com/ Top/Business/Financial_Services/Cash_Flow/Annuities +http://www.setcap.com/ Top/Business/Financial_Services/Cash_Flow/Annuities +http://www.colonialsettlement.com/ Top/Business/Financial_Services/Cash_Flow/Annuities +http://www.annuitytransfers.com/ Top/Business/Financial_Services/Cash_Flow/Annuities +http://encorefunding.com/ Top/Business/Financial_Services/Cash_Flow/Annuities +http://www.prosperitypartners.com/ Top/Business/Financial_Services/Cash_Flow/Annuities +http://www.granoffenterprises.com/ Top/Business/Financial_Services/Cash_Flow/Annuities +http://www.tabbank.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.montereyfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.armcor.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.pbcc.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.healthcapitalinvestors.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.21stfinancialsolutions.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.businesscash.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.accesscapitalinc.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.rtsfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.myreceivables.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.westbrookcapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.ocf.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://portercap.net/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.factorloads.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.actioncapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.jdfactors.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.ccassociates.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.jdfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.ntfusa.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.capcofinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.hamiltongroup.net/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.brookridgefunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.benefactor.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.vertexfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.advantagefunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.thefalkcompany.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.ucfactors.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.ucdc.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.transfac.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.dsafactors.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.rpgreceivables.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.affactors.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.milbergfactors.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.apexcapitalcorp.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://sunbeltfinance.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.cfgroup.net/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.transportationfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.aerofund.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.sevenoakscapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.highfallsfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.cjmfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.prnfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://peswa.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.paragonfinancial.net/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.kwreceivables.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.bcfg.com.au/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.factorfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.americanreceivable.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.aberdeenfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.usafactoring.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.prestigecapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.mdsfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.freightcheck.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.marblebridge.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.infusionfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.capitaldepotcorporation.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.eq-shelter.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.sterlingfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.aimbusinesscap.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.millenniumfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://americanfinancesolutions.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.chartercapitalusa.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.arcashflow.com.au/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.mpstarfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.oxygenfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.bridgeportcapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.finance-factoring.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.rivierafinance.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.universalfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.freightcash.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://afcorp.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://accordfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.fundinvoice.co.uk/ Top/Business/Financial_Services/Cash_Flow/Factoring +https://www.allegiant1.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.pcgfactoring.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.wfgfactor.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +https://www.tcicapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring +http://www.factoring.org/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.franklincapitalnetwork.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.spectrumcommercial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.finacity.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.burdale.co.uk/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.cfa.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.quantumfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.cbcredit.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.rosenthalinc.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.westgatefinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.finsoft.net/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.abfjournal.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.assetbasedgroup.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.presidentialfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.fablg.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.capitalplus.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://factoring.qlfs.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://icg.us/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.midcapcredit.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.prebanc.com/index.htm Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.businessmoneytoday.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.abladvisory.co.uk/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://sdainc.net/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://bizcap.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.bentwoodfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.appliedcapital.net/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.interfacefinancial.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.rapidadvance.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.bfifinance.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.tcifactoringloans.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.rapidcapitalfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://ocflink.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.lsq.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.bayviewfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.bibbyusa.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.purchaseorderfinancing.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.amerimerchant.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.americanfactoring.org/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.cashprior.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.advantagecapitalfunds.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.ablexampros.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://ifactoring.ca/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://diversifiedresources.cc/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.lendstats.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.fisherenterprisesllc.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.fostrian.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://wsscapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://shieldfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.lenderscf.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.yendoracapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.crestmark.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.fastarfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://merchantbridgefinance.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.psfinance.co.uk/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.agrifact.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.taycor.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.fordhamcapitalpartners.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://fullcourtadvisors.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.merchantcash.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.steelheadfinance.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://yellowstonecap.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Asset_Based_Loans +http://www.getfactored.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.excap.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.invoicebankers.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.sentinelfunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.creative-funding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.taxeswilltravel.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.simonjorrick.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.factoringquotes.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.astrafunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.accessfundingcenter.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.efinancialcap.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.brisbanebusinessfinance.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.factoringfinance.co.uk/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.carsonnash.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.invoicestocash.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.cfsfunds.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.firstfactoruk.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://iacfb.org/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.interstatecapital.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.eastbridgefunding.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.factoringfast.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.coronacapitalgroup.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.factoringhelpline.co.uk/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.justfactoring.co.uk/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.cash4truckers.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://businesscapitalfunder.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Brokers +http://www.commissionexpress.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Commission_Advances +http://www.ecommission.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Commission_Advances +http://www.realcommissions.com/ Top/Business/Financial_Services/Cash_Flow/Factoring/Commission_Advances +http://www.cascadefunding.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.efwd.com/american/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.trustdeedbuyer.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.maxicash.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.bostonnote.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://cfpnotes.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.thenotepeople.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.nbdc.net/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.riversidebanc.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.leadoriginators.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.cash4contracts.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.westloan.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.colonialblue.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.themortgagebuyer.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.freedomfinancialllc.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.reliantfinancial.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.ownernotesales.com/ Top/Business/Financial_Services/Cash_Flow/Real_Estate_Notes +http://www.american-viatical.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.viatical.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.legacybenefits.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.lifepartnersinc.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.thelifeline.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.safeharborfunds.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.viasourcefunding.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.vspi.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.settlementbenefits.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.lifeequity.net/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.maplelifefinancial.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.thevoiceoftheindustry.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.milestonesettlements.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.legallifesettlements.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.amritafinancial.com/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.elsa-sls.org/ Top/Business/Financial_Services/Cash_Flow/Viatical_and_Senior_Settlements +http://www.studentloan.com/ Top/Business/Financial_Services/College_Financing +http://www.finaid.org/ Top/Business/Financial_Services/College_Financing +http://www.collegesavings.com/ Top/Business/Financial_Services/College_Financing +http://www.cslf.com/ Top/Business/Financial_Services/College_Financing +http://www.esfweb.com/ Top/Business/Financial_Services/College_Financing +http://www.upromise.com/ Top/Business/Financial_Services/College_Financing +http://www.lagrantfoundation.org/ Top/Business/Financial_Services/College_Financing +http://www.northstar.org/ Top/Business/Financial_Services/College_Financing +http://www.afford.com/ Top/Business/Financial_Services/College_Financing +http://www.edfund.org/ Top/Business/Financial_Services/College_Financing +http://www.accessgroup.org/ Top/Business/Financial_Services/College_Financing +http://www.suntrusteducation.com/ Top/Business/Financial_Services/College_Financing +http://www.savingforcollege.com/ Top/Business/Financial_Services/College_Financing +http://www.edsouth.org/ Top/Business/Financial_Services/College_Financing +http://www.collegeboundfund.com/ Top/Business/Financial_Services/College_Financing +http://www.slcsloanhelp.com/ Top/Business/Financial_Services/College_Financing +http://www.nslds.ed.gov/ Top/Business/Financial_Services/College_Financing +http://www.529solutions.com/ Top/Business/Financial_Services/College_Financing +http://www.mystudentloanonline.com/ Top/Business/Financial_Services/College_Financing +http://www.collegeloan.com/ Top/Business/Financial_Services/College_Financing +http://www.cfnc.org/ Top/Business/Financial_Services/College_Financing +http://www.edfinancial.com/ Top/Business/Financial_Services/College_Financing +http://www.loantolearn.com/ Top/Business/Financial_Services/College_Financing +http://www.nelnet.com/ Top/Business/Financial_Services/College_Financing +http://www.salliemae.com/ Top/Business/Financial_Services/College_Financing +https://www.wellsfargo.com/student/ Top/Business/Financial_Services/College_Financing +http://www.simpletuition.com/ Top/Business/Financial_Services/College_Financing +http://www.edfed.com/ Top/Business/Financial_Services/College_Financing +http://www.college-doctor.com/ Top/Business/Financial_Services/College_Financing +http://www.beat-tuition.com/ Top/Business/Financial_Services/College_Financing +http://www.studentfinancedomain.com/ Top/Business/Financial_Services/College_Financing +http://www.scstudentloan.org/ Top/Business/Financial_Services/College_Financing +http://www.fynanz.com/ Top/Business/Financial_Services/College_Financing +https://www.applyists.net/ Top/Business/Financial_Services/College_Financing +http://www.sponsorchange.org/ Top/Business/Financial_Services/College_Financing +http://www.educationgrant.com/ Top/Business/Financial_Services/College_Financing +http://www.collegefc.com/ Top/Business/Financial_Services/College_Financing +http://www.asa.org/ Top/Business/Financial_Services/College_Financing +http://www.ecmc.org/ Top/Business/Financial_Services/College_Financing +https://www.privatecollege529.com/ Top/Business/Financial_Services/College_Financing +http://www.overturemarketplace.com/ Top/Business/Financial_Services/College_Financing +http://educationgrant.org/ Top/Business/Financial_Services/College_Financing +http://www.cedaredlending.com/ Top/Business/Financial_Services/College_Financing +http://www.usafunds.org/Pages/nela.aspx Top/Business/Financial_Services/College_Financing +https://www.mygreatlakes.org/ Top/Business/Financial_Services/College_Financing +http://collegeaidformiddleclass.com/ Top/Business/Financial_Services/College_Financing +https://www.chase.com/content/chasecom/en/student-loans/servicing/student-loan-servicing Top/Business/Financial_Services/College_Financing +http://www.pnconcampus.com/ Top/Business/Financial_Services/College_Financing +http://www.studentmarket.com/student-loans.html Top/Business/Financial_Services/College_Financing +http://www.citizensbank.com/student-borrowing/ Top/Business/Financial_Services/College_Financing +http://www.businesslenders.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.alaskagrowth.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.barclayassociates.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.lease-smart.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.deschutescapital.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.londonmanhattan.net/ Top/Business/Financial_Services/Commercial_Lending +http://www.projectsfinance.com/ Top/Business/Financial_Services/Commercial_Lending +https://www.dfsfin.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.eloansforbusiness.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.nacmne.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.ppcloan.com/ Top/Business/Financial_Services/Commercial_Lending +http://vitors1.tripod.com/constru/ Top/Business/Financial_Services/Commercial_Lending +http://www.hathawaycapital.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.kingtradecapital.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.carwashloans.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.agloan.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.harry-hoffmann.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.iscfinancial.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.sunstateconsulting.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.commercialmortgagecentral.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.loansforbusiness.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.kensingtoncross.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.jsmulticapital.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.coffmancapital.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.bentleybanks.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.lexrule.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.creativefinancialcorp.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.cm-group.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.commercialbancorp.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.finance-manager.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.1stcommercialcredit.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.crediflex.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.lendingapps.com/ Top/Business/Financial_Services/Commercial_Lending +http://cplloans.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.greatnation.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.businessfinancialservices.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.mannfinancial.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.paragonanalysis.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.shockproof.biz/ Top/Business/Financial_Services/Commercial_Lending +http://www.church-loans.net/ Top/Business/Financial_Services/Commercial_Lending +http://www.privatemoneysource.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.petromac.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.capitalsource.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.agquest.biz/ Top/Business/Financial_Services/Commercial_Lending +http://www.noblebusinessloans.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.mygaac.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.lendicom.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.textronfinancial.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.firstbusiness.com/ Top/Business/Financial_Services/Commercial_Lending +https://www.fgiww.com/ Top/Business/Financial_Services/Commercial_Lending +http://corp.bankofamerica.com/ Top/Business/Financial_Services/Commercial_Lending +http://www.computerfinancing.net/ Top/Business/Financial_Services/Computer_Financing +http://www.dellfinancialservices.com/ Top/Business/Financial_Services/Computer_Financing +http://www.ncb.com/ Top/Business/Financial_Services/Cooperatives +http://www.cooperativefund.org/ Top/Business/Financial_Services/Cooperatives +https://www.nrucfc.coop/ Top/Business/Financial_Services/Cooperatives +http://www.concentrafinancial.ca/ Top/Business/Financial_Services/Cooperatives +http://www.creditguru.com/ Top/Business/Financial_Services/Credit_and_Collection +http://www.collectionindustry.com/ Top/Business/Financial_Services/Credit_and_Collection +http://www.collection-training.com/ Top/Business/Financial_Services/Credit_and_Collection +http://www.banko.com/ Top/Business/Financial_Services/Credit_and_Collection +http://www.creditmanagementworld.com/ Top/Business/Financial_Services/Credit_and_Collection +http://www.cashinusa.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.windhampros.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://members.tripod.com/jamesmwelch/mountaineerrecovery.html Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.scs-1.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.ffcc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.professionalcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.brownandjoseph.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.penncredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.intrum.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.conserve-arm.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.valer.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.proconsrv.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.advantagecollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.tcd.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.procollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.tcmgroup.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://mampaalong.tripod.com/Redarrow/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.credit-connection.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.commercialdebtrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.commcredit.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.credit-mgmt.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.vnkinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.getitpaid.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://merchantscredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.globalcontrolinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.bilateral.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.aro.ca/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.eurocash.de/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.cbfbusinesssolutions.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.expressclaims.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.fastcollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.grumanww.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.nacscom.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.assetcollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.collectiongroup.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.cpa.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://access-receivables.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.sequoiafinancial.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.arc.ca/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.dbfcc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.automatedcollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.coopadj.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.cbegroup.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.nes1.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.amsher.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.onlinecollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.controlrisk.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.clientservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.iarg.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.rabinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.advancedcollection.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.liencollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.bcc-chicago.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.yourcollector.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.debtrs.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.tlthompson.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.msgerald.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.summitcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.aargon.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.brennanclark.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.cmrefsi.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.wakeassoc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.pcc-crs.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.pmscollects.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.icsystem.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.creditdebtlegal.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.creditcollections.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.gsbcollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.debtcollect.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.rickart.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.mjaltman.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.centralcreditaudit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.diversified-credit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.remitcorp.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://debtrecoveryconsultants.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.iwantmymoney.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.alliedaccountservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.andc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.collectionhouse.com.au/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.csipays.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.staf.it/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.nca-collect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.attorneycollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.globalrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.collectionhouse.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.illinoiscollectionagency.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.wecollect4u.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.pbcollection.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.gcs-group.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.commercialcollection.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.rmacollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.rmbcollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.nbccollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.nwcollectors.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.newcomen.com.au/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.regalcredit.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.collectyourbills.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.cbc-international.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.sandersdouglas.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.psicollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.moretonsmith.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.conradco.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.portfoliorecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.legaxxi.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.recoveryonellc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.nsbi.net/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.chartermerc.com.au/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.directrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.primeritec.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.b2bcollector.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.wecollectbest.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://mechanicslien.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.jnrcollects.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.ormca.it/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.qcsincorp.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.creditbase.com.hk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.collectionservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.abc-amega.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.diversifiedadjustment.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.creditpartners.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.harleylegal.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.dcmservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.fin-rec.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.collectritecollections.ca/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://gdcollectionagency.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +https://generalcreditservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.eos-canada.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://creditcollectionssanluisobispo.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.mjrcapital.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +https://www.pioneercreditrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.resurgent.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.grosvenorservices.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.savit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.tsico.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +https://www.facebook.com/CDRFinancial Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://jmcmillanbailiffcollection.ca/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.financesysteminc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services +http://www.inkasso.no/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.lic-international.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.fenca.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.hkccma.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.imal.com.au/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.cajp.org/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.bpca.org/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.calcollectors.net/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.acainternational.org/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.coheao.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.acdba.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.federationofcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Associations +http://www.childsupport.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Child_Support +http://www.supportkids.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Child_Support +http://www.supportcollectors.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Child_Support +http://www.childsupportnow.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Child_Support +http://www.nationalchildsupport.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Child_Support +http://www.collectchildsupport.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Child_Support +http://www.caine-weiner.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.ccicollects.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.creditdecisions.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.cabcollects.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.hpsears.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.industrialcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.rmtc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.cmiweb.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.allencollects.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.centralcollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.ccg2.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.amscollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.stacollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.amscollects.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.bccs2.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.charteradjustments.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.globaldebtsolutions.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.wbw-wwc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.credisolve.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.hamptoncollects.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.collectthemoney.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.walkerweiss.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.accesscm.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://millenniumcollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://american-credit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://mediareceivablemanagement.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.njicorp.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.jmandw.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.ciscocollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.ccc-worldwide.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.debtscreen.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.cmcs.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.arhelp.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.cashinusa.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.alliedcollection.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Commercial_Accounts +http://www.enforcemyjudgment.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments +http://www.hmh-law.com/avoiding-pitfalls.htm Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments +http://www.zvulony.com/foreign_judgments.html Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments +http://www.nationaljudgment.net/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments +http://www.jcsusa.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments/Asset_Search +http://www.judgmentfirm.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments/Judgment_Recovery +http://www.judgmentcollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments/Judgment_Recovery +http://nuallainassociates.tripod.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Court_Judgments/Judgment_Recovery +http://www.ersinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Educational_Accounts +http://www.icrcollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Educational_Accounts +http://www.generalrevenue.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Educational_Accounts +http://www.accountcontrol.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Educational_Accounts +http://www.physndent.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.arscollect.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.angelfire.com/ny3/ebj/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.healthcareinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.kingscredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.meddatsys.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.hbcs.org/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.hfsllc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.acsi-collections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.berkscredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.hfacollections.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +https://www.benefitrecoveryinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.americanadjustmentbureau.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.beaconrecoverygroup.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/Medical_Accounts +http://www.checkassist.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/NSF_Checks +http://www.checkcare.com/ Top/Business/Financial_Services/Credit_and_Collection/Collection_Services/NSF_Checks +http://www.e-prg.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.cornerstonesupport.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.resourcemanagement.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.crfonline.org/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.kaulkin.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.creditworthy.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://dandhcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.intelitechgroup.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.bridgeforce.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.marionfinancial.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.arialinternational.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.onsiteassociates.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.pmacorp.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.coveringcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Consulting +http://www.del-risco.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.advtrade.it/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.rencom.fr/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.experian.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.cbfbusinesssolutions.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.sarma.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacm.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.equifax.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.transunion.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmsw.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.creditscope.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.cby.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.creditbureau.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.dnb.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.pscredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmchicago.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmsouthtexas.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmflorida.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmet.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmlouisiana.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmaz.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmbcs.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmtampa.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://arcredit.freeservers.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.mcbusa.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.continfo.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.infospectrum.net/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.misource.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.asiancredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.dcicredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.experian-scorex.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.amsinform.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.creditservices.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://credittechnologies.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://aric.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.firstknow.it/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.shinbo.co.kr/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.onicra.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.screeningservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.ncocreditservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.cedar-rose.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.creditdatasystems.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.crifgroup.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.globalonline.equifax.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.bicb.com.au/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.creditinfo.ro/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.servicefirstcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.owens.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.choicedata.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.pbcollection.co.uk/company_reports.htm Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.icpcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.pacbis.com.au/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.directroute.uk.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.cdiaonline.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.krollfactualdata.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.forius.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.top-service.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.ncrainc.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://prbc.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.rimeib.com/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.nacmoregon.org/ Top/Business/Financial_Services/Credit_and_Collection/Credit_Reports +http://www.debtmarketplace.com/ Top/Business/Financial_Services/Credit_and_Collection/Debt_Brokers +http://www.chargeoffclearinghouse.com/ Top/Business/Financial_Services/Credit_and_Collection/Debt_Brokers +http://www.oliphantfinancial.com/ Top/Business/Financial_Services/Credit_and_Collection/Debt_Brokers +http://www.unifund.com/ Top/Business/Financial_Services/Credit_and_Collection/Debt_Brokers +http://www.encorecapital.com/ Top/Business/Financial_Services/Credit_and_Collection/Debt_Brokers +http://www.repomichigan.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.jandsrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.capitolrecoveryservice.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.capitalrecoveries.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.allstaterecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.equitableservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.marinelendersservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.repofl.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.iiafirst.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.americanlenders.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.accuratebailiffs.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.rtrservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.angelfire.com/tn2/repo/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.brantleyadj.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.panhandlerecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.mprecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.campingcompanies.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.houstonrepo.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.illinoisrepossessions.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.landsheriffs.co.uk/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.repoland.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.repossessions.com.au/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.premierrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.ohiorepossessions.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.peakservice.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.premieradjusters.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.rhodeislandrepos.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.shamrockrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.srsrepo.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.tcar-recovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.universalautorecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.badgerlandautorecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.abarecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.ableautoadjusters.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.actionrecoveryservice.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.adjusters-inc.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.afainc.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.affiliatedrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.americanrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.arkansasrepos.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.arkansasrepossessions.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.californiarecoveries.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.coloradorepossessions.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.dakotaadjusters.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.hallrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.homedetectivecompany.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.intermountainrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.keyautorecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.repodallastx.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.greenwoodgrp.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.bakerrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.wesleyautorecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.whitesandsrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.dctrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.michigancreditors.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.allcitiesrecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.bestrecoveryservices.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://tristateautorecoveryinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.autolockunlimited.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.arservicesinc.us/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.carmastersinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.cascaderecovery.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.ontariorepo.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.accurateeffectivebailiffs.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://home.classicnet.net/seagoville/worth1/rwa_Welcome.htm Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://uadrshell.com/uar/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.bidslc.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://njrepossessions.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.rtsservicehawaii.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.repou.net/ Top/Business/Financial_Services/Credit_and_Collection/Repossession +http://www.tfaguide.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession/Associations +http://www.alliedfinanceadjusters.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession/Associations +http://www.repoman.com/ Top/Business/Financial_Services/Credit_and_Collection/Repossession/Associations +http://www.skiptracer.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.searchamerica.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.skiptracers.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.1stlocate.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.omnitrace.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.frankahearn.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.accutracinc.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.skiptraceconsultants.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.skiptracepros.com/ Top/Business/Financial_Services/Credit_and_Collection/Skiptracing +http://www.rentalresearch.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.rentgrow.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.landlordconnection.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.tsci.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.creditlink.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.tenant-screening.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.nail-usa.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.thelpa.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.zipreports.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.youcheckcredit.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.anewtenant.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.checkfirstonline.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.usatenant.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.residentscreening.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.saferent.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.tenant-screening-company.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.pacificscreening.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.realchek.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.residencybureau.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.landlord2landlord.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.rate-a-renter.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +https://www.fabcogroup.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.keyservicescorp.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +https://www.amerusa.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.atshome.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.aoausa.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.contemporaryinfo.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +https://usabackground.com/ Top/Business/Financial_Services/Credit_and_Collection/Tenant_Screening +http://www.nattax.com/ Top/Business/Financial_Services/Education_and_Training +http://www.wallacect.co.uk/ Top/Business/Financial_Services/Education_and_Training +http://www.russellandassociates.co.uk/ Top/Business/Financial_Services/Education_and_Training +http://www.cutraining.com/ Top/Business/Financial_Services/Education_and_Training +http://audittrends.com/ Top/Business/Financial_Services/Education_and_Training +http://www.edgedevelopment.com/ Top/Business/Financial_Services/Education_and_Training +http://www.johnsonlipman.com/ Top/Business/Financial_Services/Education_and_Training +http://www.epigon.co.uk/ Top/Business/Financial_Services/Education_and_Training +http://itreasury.net/ Top/Business/Financial_Services/Education_and_Training +http://www.taylorassociates.co.uk/ Top/Business/Financial_Services/Education_and_Training +http://www.operis.com/ Top/Business/Financial_Services/Education_and_Training +http://www.financialknowledge.com/ Top/Business/Financial_Services/Education_and_Training +http://www.bcmtraining.com/ Top/Business/Financial_Services/Education_and_Training +http://www.series-7.com/ Top/Business/Financial_Services/Education_and_Training +http://www.competencetoexcellence.co.uk/ Top/Business/Financial_Services/Education_and_Training +http://www.goto-psi.com/ Top/Business/Financial_Services/Education_and_Training +http://www.introducingthecity.com/ Top/Business/Financial_Services/Education_and_Training +http://www.wife.org/ Top/Business/Financial_Services/Education_and_Training +http://www.independentmeans.com/ Top/Business/Financial_Services/Education_and_Training +http://www.financialfinesse.com/ Top/Business/Financial_Services/Education_and_Training +http://www.mefinance.com/ Top/Business/Financial_Services/Education_and_Training +http://amedsys.com/ Top/Business/Financial_Services/Education_and_Training +http://www.afcpe.org/ Top/Business/Financial_Services/Education_and_Training +http://www.deakinprime.com/ Top/Business/Financial_Services/Education_and_Training +http://www.financialmultimedia.co.uk/ Top/Business/Financial_Services/Education_and_Training +http://www.questacorp.com/ Top/Business/Financial_Services/Education_and_Training +http://www.first-finance.com/ Top/Business/Financial_Services/Education_and_Training +http://www.ucs-edu.net/ Top/Business/Financial_Services/Education_and_Training +http://www.asset-protection-information.com/ Top/Business/Financial_Services/Education_and_Training +http://www.ahiassociates.com/ Top/Business/Financial_Services/Education_and_Training +http://www.kfeducation.com/ Top/Business/Financial_Services/Education_and_Training +http://www.scu.edu/business/cepi/ Top/Business/Financial_Services/Education_and_Training +http://thepcbs.org/ Top/Business/Financial_Services/Education_and_Training +http://www.kfeducation.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.financialcampus.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.investorcampus.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.lifelong-learners.com/opt Top/Business/Financial_Services/Education_and_Training/Online +http://cffp.edu/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.inmarkets.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.accountingcoach.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://clearcert.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.opentuition.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.bankerstraining.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.cift.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.intuition.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.accountingunplugged.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.kesdee.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.iit.edu.au/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.bhfe.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://simplestudies.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.webce.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.scps.nyu.edu/areas-of-study/finance/continuing-education/ Top/Business/Financial_Services/Education_and_Training/Online +http://drummondgeometry.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.internetce.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.training-classes.com/course_hierarchy/Accounting_and_Finance/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.wallstreetprep.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.mdatraining.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.alhudacibe.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://financetrainingcourse.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.equityscholar.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.edupristine.com/ Top/Business/Financial_Services/Education_and_Training/Online +http://www.exinfm.com/training/index.html Top/Business/Financial_Services/Education_and_Training/Online +http://www.bankjobs.com/ Top/Business/Financial_Services/Employment +http://www.insidecareers.co.uk/ Top/Business/Financial_Services/Employment +http://www.acltd.demon.co.uk/ Top/Business/Financial_Services/Employment +http://www.rerecruit.com/ Top/Business/Financial_Services/Employment +http://johnmulligan.com/ Top/Business/Financial_Services/Employment +http://www.nbn-jobs.com/ Top/Business/Financial_Services/Employment +http://www.cityjobs.com/ Top/Business/Financial_Services/Employment +http://www.job.co.nz/ Top/Business/Financial_Services/Employment +http://www.nyjobexchange.com/ Top/Business/Financial_Services/Employment +http://www.trimbleassociates.com/ Top/Business/Financial_Services/Employment +http://www.capitalsearchgroup.com/ Top/Business/Financial_Services/Employment +http://www.annasteven.com/ Top/Business/Financial_Services/Employment +http://www.bankstaffers.com/ Top/Business/Financial_Services/Employment +http://www.insuranceworks.com/ Top/Business/Financial_Services/Employment +http://www.amgi.com/ Top/Business/Financial_Services/Employment +http://www.brokerhunter.com/ Top/Business/Financial_Services/Employment +http://www.russellstephens.com/ Top/Business/Financial_Services/Employment +http://www.mortgagecareer.com/ Top/Business/Financial_Services/Employment +http://www.gaapweb.com/ Top/Business/Financial_Services/Employment +http://www.hays.com/ Top/Business/Financial_Services/Employment +http://www.wetfeet.com/ Top/Business/Financial_Services/Employment/Job_Search +http://www.antaeans.com/ Top/Business/Financial_Services/Employment/Job_Search +http://www.advanceyourcareer.com/ Top/Business/Financial_Services/Employment/Job_Search +http://www.ing.jobs/Global/Careers.htm Top/Business/Financial_Services/Employment/Job_Search +http://jhhegeler.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.harrisonstone.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.harrisandassociates.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.cspjobs.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.damtopcpa.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.etsearch.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.cressidapartners.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.floresfinancialservices.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.hcgsearch.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.execu-search.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.alchemysearch.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.financeleaders.org/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.sheffieldhaworth.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://derwentexecutive.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Executive_Search +http://www.hanoversearch.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.acltd.demon.co.uk/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.nbn-jobs.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.arthursloan.com/atlanta_accounting_finance.htm Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.morgan-west.co.uk/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.raymondalexander.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.splacement.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.hamilton-recruitment.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.adams-inc.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.hmala.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.asijobs.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.qarecruiting.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.wellsbradley.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.accountingprincipals.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.boltongroup.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.sosincjobs.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.exchangeconsulting.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.employmentatlanta.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.solomonedwards.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.factor-ten.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.ca.co.za/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.sfsacorp.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.optimusrecruiting.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.waterstreetrecruiting.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.lostdutchmansearch.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.justinbradley.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.kprecruiting.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.treasuryrecruitment.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.robertapullen.co.uk/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.kindrickandluther.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.rjfglobalsearch.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.smfrecruitment.com.au/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.garelliwong.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.grantlawson.co.uk/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.careeradvance.co.uk/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.elliottrecruiting.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.verriez.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.sherpallc.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.probus-exec.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.hasselbach.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.andrews-salt.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.bancassurance-recruitment.co.uk/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.buxtonandassociates.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.gatewaypersonnel.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.chasemoulande.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.controllersoncall.ca/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.morganmckinley.co.uk/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://asset-management.masonblake.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.sharpconsultancy.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.addisongroup.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Recruiters +http://www.bealepersonnel.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Staffing_Services +http://www.roberthalf.net/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Staffing_Services +http://www.jacobsononline.com/ Top/Business/Financial_Services/Employment/Recruitment_and_Staffing/Staffing_Services +http://www.dskendall.com/ Top/Business/Financial_Services/Employment/Resumes +http://raulfdez.tripod.com/ Top/Business/Financial_Services/Employment/Resumes +http://www.kinnamon.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.fivebrms.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.turnerofthecentury.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.douglasguardian.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.plmweb.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.reonetwork.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.qacinc.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.reoallegiance.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.york-jersey.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.cyprexx.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.nationalfieldreps.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.themackaygroup.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.nationalcreditors.com/ Top/Business/Financial_Services/Field_Service_Companies +http://redaranch.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.firstpreston.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.ishopforyou.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.sirs4quality.org/ Top/Business/Financial_Services/Field_Service_Companies +http://www.fieldservices.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.mtginfo.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.mmmortgage.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.keystonebest.com/ Top/Business/Financial_Services/Field_Service_Companies +http://remusa.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.safeguardproperties.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.imaginefl.com/ Top/Business/Financial_Services/Field_Service_Companies +http://members.tripod.com/R_Cleveland/ICOM.html Top/Business/Financial_Services/Field_Service_Companies +http://www.hausernet.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.city-suburban.com/ Top/Business/Financial_Services/Field_Service_Companies +http://reoservicing.com/ Top/Business/Financial_Services/Field_Service_Companies +http://reonationwide.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.eaglepros.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.goodmandean.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.reoillinois.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.classicmortgageservices.net/ Top/Business/Financial_Services/Field_Service_Companies +http://www.mcbreo.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.mcdarghconsulting.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.fieldinspection.com/ Top/Business/Financial_Services/Field_Service_Companies +http://nvms.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.newrep.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.ingeprom.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.pacfield.com/ Top/Business/Financial_Services/Field_Service_Companies +http://pciwest.biz/ Top/Business/Financial_Services/Field_Service_Companies +http://4smsi.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.trustfci.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.fieldassets.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.snowcompanies.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.supersvcs.com/ Top/Business/Financial_Services/Field_Service_Companies +http://legalmessenger.tripod.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.clearcapital.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.flamingonet.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.associates.devorss.net/ Top/Business/Financial_Services/Field_Service_Companies +http://www.cavanaughandcompany.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.orep.org/ Top/Business/Financial_Services/Field_Service_Companies +http://reliancefieldservices.com/ Top/Business/Financial_Services/Field_Service_Companies +http://reomanagementny.tripod.com/realestateownedmanagement/ Top/Business/Financial_Services/Field_Service_Companies +http://www.procleanout.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.mcs360.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.lpsfs.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.servicelinkfnf.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.csina.com/ Top/Business/Financial_Services/Field_Service_Companies +http://www.corfina.de/ Top/Business/Financial_Services/Financial_Consultants +http://www.galloconsulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.gordiangroup.com/ Top/Business/Financial_Services/Financial_Consultants +https://www.wilmingtontrust.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.iprofessional.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.treasurystrat.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.bridgebay.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.royalalliance.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.itginc.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.fcmstablevalue.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.gspartners.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.taxdeferrals.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.thecfoconnection.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.corfina.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.philipsaunders.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.rainiergroup.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.truenth.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.bokconsulting.com.au/ Top/Business/Financial_Services/Financial_Consultants +http://www.greycourt.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.polarisbusinessgroup.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.financialdr.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.thefecg.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.tegi.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.kmg.co.uk/ Top/Business/Financial_Services/Financial_Consultants +http://www.globeadvisor.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.ncsonline.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.dmconsulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.esi-enterprise.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.arthurconsulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.familyoffice.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.robbevans.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.nelsonbusiness.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.springsted.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.rlrmgmt.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.devisscher.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.cmra.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.fticonsulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.themillergroup.net/ Top/Business/Financial_Services/Financial_Consultants +http://www.tdacompliance.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.brandfinance.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.empoweredwealth.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.mmafinancial.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.oasismanagement.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.tunstallconsulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.advantage-consulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.kalotay.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.biz911.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.execucor.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.bdsolutions.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.bizloanapps.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.healthbusiness.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.bostonportfolio.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.mimigriffith.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.nubank.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.firmdecisions.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.bostonstreetadvisors.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.cfoforrent.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.aanazzaro.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.myinvestmentcounselor.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.fedfin.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.isc-global.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.financialtech.net/ Top/Business/Financial_Services/Financial_Consultants +http://www.fmtsolutions.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.canbyfinancial.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.geyermanagement.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.physiciansfinancialadvisors.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.paystreamadvisors.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.jmtconsulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.usadvisoryservices.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.crmfinancial.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.askewkabala.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.gckw.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.estradagy.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.findiag.com.au/ Top/Business/Financial_Services/Financial_Consultants +http://www.mmconsult.biz/ Top/Business/Financial_Services/Financial_Consultants +http://www.ldbailey.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.kendalto.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.graeffe.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.winx.be/ Top/Business/Financial_Services/Financial_Consultants +http://www.vertic.biz/ Top/Business/Financial_Services/Financial_Consultants +http://www.vpfg.net/ Top/Business/Financial_Services/Financial_Consultants +http://www.goldbergcf.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.bspudly.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.cfohr.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.kgsfinancial.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.thecbmgroup.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.replicon.fi/ Top/Business/Financial_Services/Financial_Consultants +http://www.concordfinancialadvisors.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.cfosp.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.fountainagricounsel.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.strategiccfo.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.terrywakefield.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.benningtonpartners.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.wealthco.ca/ Top/Business/Financial_Services/Financial_Consultants +http://www.pi-eta.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.hhg.net/ Top/Business/Financial_Services/Financial_Consultants +http://www.lynchfinancialadvisors.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.epicpartnersllc.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.sfadvisors.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.optimafr.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.jpbe.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.hedgetrackers.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.ralotter.com/ Top/Business/Financial_Services/Financial_Consultants +http://pembroke.uk.net/ Top/Business/Financial_Services/Financial_Consultants +http://noalessingfusco.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.sionline.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.wealthadvisorygroup.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.cfgllc.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.ameriprise.com/ Top/Business/Financial_Services/Financial_Consultants +https://www.pearlmeyer.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.nextstepinc.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.jdsannuities.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.kasina.com/ Top/Business/Financial_Services/Financial_Consultants +http://pegaesusgroup.net/ Top/Business/Financial_Services/Financial_Consultants +http://fraserhone.tripod.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.srr.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.f-p.ie/ Top/Business/Financial_Services/Financial_Consultants +http://www.willowcreekwealth.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.ldswealthadvisors.com/ Top/Business/Financial_Services/Financial_Consultants +http://berkconsulting.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.finext.nl/ Top/Business/Financial_Services/Financial_Consultants +http://campbellwilliams.com/ Top/Business/Financial_Services/Financial_Consultants +https://www.wyeriver.com/ Top/Business/Financial_Services/Financial_Consultants +http://riconsulting.ca/ Top/Business/Financial_Services/Financial_Consultants +http://financial.parksglobalgroup.net/ Top/Business/Financial_Services/Financial_Consultants +http://www.signer.swiss/ Top/Business/Financial_Services/Financial_Consultants +http://stocksandtaxes.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.axeliapartners.com/ Top/Business/Financial_Services/Financial_Consultants +http://www.worldoutlookconference.com/ Top/Business/Financial_Services/Financial_Planning +http://www.lasallest.us/ Top/Business/Financial_Services/Financial_Planning +http://www.cfo-advisor.com/ Top/Business/Financial_Services/Financial_Planning +http://www.financial-planning.com/ Top/Business/Financial_Services/Financial_Planning +http://www.finplan.com/ Top/Business/Financial_Services/Financial_Planning +http://www.equinoxfinancial.com/ Top/Business/Financial_Services/Financial_Planning +http://www.napfa.org/ Top/Business/Financial_Services/Financial_Planning +http://www.prycewarner.com/ Top/Business/Financial_Services/Financial_Planning +http://us.dimensional.com/ Top/Business/Financial_Services/Financial_Planning +http://martula.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.divorce-and-money.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.wealthmgt.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.frostfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.jentner.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.feeonlyplanning.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.cfp-ca.org/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.bradyassoc.com.au/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.rrsp.org/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.iraplanning.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.yeske.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.multop.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.northstarfp.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.rewacker.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.columbusfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.coffmanadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.kooman.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.planner4u.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.humphreysgroup.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.protectassets.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.pfslink.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.lelajahn.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.carolgosho.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.capitalstrategiesinc.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.parlan.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.joandsiegel.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.partnersinwealth.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.armstrong-financial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.kielycapital.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.myhdvest.com/thomashahn Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.salmiceli.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.beckfs.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.stevegfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.spinnakerfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.amesfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.castlefinancialadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.stowefinancialplanning.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.trinfin.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.pollockplanning.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.herrcapital.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.raymignone.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.thefeeonlyplanner.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.blattels.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.altiusfin.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.alden-capital.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.cambridgefinancialgroup.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.focusfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.ifslegacy.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.planningmadeeasy.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.professionalfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.abepcs.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.galecki.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.joelisaacson.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.tax-finance.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.tuckerfinancialservices.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.stilesfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.starksfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.faskc.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.mcapitalmgt.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://barriosfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.kringfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://buffcapital.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.mackensen.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.jonathansmith.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.hatchmuir.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.bransonfowlkes.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.toalfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.tasselcapital.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.fsfinancialstrategies.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.themainstreetgroup.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.pauleyfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.canwealth.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.amywhitlatch.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.jpw.ca/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.objectiveadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.dsneal.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.lpl.com/html/index.html Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.e-planmark.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.fostergrp.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.blankenshipfinancialplanning.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.mnplanner.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.camarda.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.cfp.net/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.assetplanningcorp.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.thefrygroup.co.uk/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.lifetimefp.net/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.napfa.org/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.blueprintfinancialservices.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.pacwealth.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.onefpa.org/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.thewisergroup.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.ibachfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.hampleandpeck.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.sagebroadview.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://bluestemfa.com/ Top/Business/Financial_Services/Financial_Planning/Certified_Financial_Planners +http://www.budgetplanners.net/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.creditadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.cambridge-credit.org/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.academicloangroup.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.corporateturnaround.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.onesimpleloan.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.fundamental.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.caprocessing.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.debtgone.ca/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.crsfinancial.net/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.credifix.ca/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://debtmediators.com.au/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.crisiscreditcounseling.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.amerassist.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation +http://www.mycreditsolutions.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.newagecredit.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.creditfixnow.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.buyerscreditcoach.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.disputevalet.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.legacylegal.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +https://skybluecredit.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://crusaderservices.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.freedomcreditservices.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.realeasycredit.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.acscreditrepair.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.disputesuite.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.mycreditgroup.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.unifiedcreditservices.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.financiallit.org/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://money.cnn.com/2008/02/18/pf/saving/toptips/index.htm Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.imaxcredit.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.halocredit.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.ncacreditrepair.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.veracitycredit.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.ovationcredit.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.creditfixed.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.creditrestorationconsultants.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.creditrestoreusa.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.consumer.ftc.gov/articles/0058-credit-repair-how-help-yourself Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://onyxcreditrepair.net/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.cbsnews.com/news/spotting-credit-repair-counseling-scams/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Credit_Repair +http://www.americanfinasco.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.hoffmanbrinker.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.nationwidedr.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.consumerdebtsolutions.net/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.dpmcusa.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.freedomdebtrelief.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.waterfordnow.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.erasingdebt.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.tridentdebtsolutions.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.debtsettlementusa.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.dmbfinance.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.zipdebt.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.superiordebtrelief.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.pfs1.net/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.totaldebtfreedom.ca/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://eagleonedebtsolutions.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.franklindebtrelief.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.halodebt.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.debtmerica.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.donaldsonwilliams.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.stopbusinessdebt.net/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.ccdr.ca/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.debtrx.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.debtxscape.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.firstchoicedebtrelief.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://thinkdebtrelief.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.miracledebt.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.ovlg.com/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.moneysolve.co.uk/ Top/Business/Financial_Services/Financial_Planning/Debt_Consolidation/Debt_Settlement +http://www.business-estate.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.theweinberggroup.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.specialneedsplanning.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.monarchfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.nafep.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.naepc.org/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.couryfg.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.trustsandestates.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.boschenlaw.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.estateplanningsuccess.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.richardson-financial.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.reninc.com/ Top/Business/Financial_Services/Financial_Planning/Estate_Planning +http://www.rrsp.org/newslet.htm Top/Business/Financial_Services/Financial_Planning/News_and_Media +http://www.bauerfinancial.com/ Top/Business/Financial_Services/Financial_Planning/News_and_Media +http://www.soundmindinvesting.com/ Top/Business/Financial_Services/Financial_Planning/News_and_Media +http://www.kitces.com/ Top/Business/Financial_Services/Financial_Planning/News_and_Media +http://www.alexlai.com.hk/ Top/Business/Financial_Services/Financial_Planning/Regional +http://www.wb.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.charter.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.mateljan.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.retirewell.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://users.hunterlink.net.au/~ddjfb/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.remunerator.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.prominent.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.fsi.net.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.retireinvest.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.fpa.asn.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.axisfg.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.cbussuper.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.mccarthygroup.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.count.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.hillross.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.wilsonhtm.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.jsagroup.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.fitz.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.directadvisers.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/Australia +http://www.scmag.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.kiplinger.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.herrcapital.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.pauleyfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.achinvest.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.adkfamilybusiness.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.alleghenyfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.annwolfson.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.antolino.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.axaonline.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.bersonandcorrado.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.braunfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.bryfi.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.cainwatters.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.centerfinplan.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.cplanning.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.coreasset.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.cornerstoneri.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.davidsoncap.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.derncapital.com/index.asp Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.efmoody.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.emeraldinc.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.efs.com.my/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.ferruccicompany.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.fiduciary-trust.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.financialgroupmn.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.plannersindex.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.financialsoftware.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.firstfinancialgroup.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.financialmanagementinc.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.fptransitions.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.russell.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.fjfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.hdvest.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.iarfc.org/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.infohq.com/CPA/OnlineCPA.htm Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.kneppfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.leapsystems.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.legend-financial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.lfg.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.nashobafinancialplanning.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.networthstrategies.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.omniadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.parsecfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.planningtemplates.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.prudential.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.raymondjames.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.rfpi.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.savewealth.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.sibigroup.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.strategicfp.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.vfa.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.globalviewadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.mallardadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.mercerwealthsolutions.com.au/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.alpha-advisors.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.adkasset.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.davidjboczar.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.gofsg.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.pinnacleinvestments.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.cambridgeadvisors.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.brfinc.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.mpplplan.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.fastfinancialanalysis.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.divorceandfinance.org/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.oliverslearning.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.dfmwealth.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://ing.us/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.npafinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.morningstar.com/advisor Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.ctcmycfo.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.edelmanfinancial.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://carterwealth.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://sarasotainvestmentadvisor.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.yourstatebank.com/wealth-management.htm Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.summitadvisorslongisland.com/ Top/Business/Financial_Services/Financial_Planning/Regional/United_States +http://www.billmyclients.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services +http://www.orangegenie.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.contractormoney.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.trafalgaraccounting.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.orangeandgold.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.clwmanagement.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.iflmanagement.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.djcolom.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.amlimited.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.tarpon-uk.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.gabem.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.freestyleaccounting.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.inniaccounts.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.contractorlimited.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.parasolgroup.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.1stcontact-umbrella.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.danbro.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.bfca.eu/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.freelancerfinancials.uk.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.antonyjholdsworth.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.ics.me.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.freelancesupermarket.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.ibalance.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.darwinpay.co.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.umbrellacompanies.org.uk/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_Kingdom +http://www.chancellor.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_States +http://www.yurcor.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_States +http://www.iprofessional.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_States +http://www.zerochaos.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_States +https://www.mbopartners.com/ Top/Business/Financial_Services/Freelancer-Contractor_Services/United_States +http://www.kbc.com/ Top/Business/Financial_Services/Holding_Companies +http://www.paulsoninvestment.com/ Top/Business/Financial_Services/Holding_Companies +http://www.lfg.com/ Top/Business/Financial_Services/Holding_Companies +https://www.abnamro.com/ Top/Business/Financial_Services/Holding_Companies +http://www.aib.ie/ Top/Business/Financial_Services/Holding_Companies +http://www.commercebank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.capfed.com/ Top/Business/Financial_Services/Holding_Companies +https://www.iberiabank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.fnbli.com/ Top/Business/Financial_Services/Holding_Companies +http://www.scnb.com/ Top/Business/Financial_Services/Holding_Companies +http://www.licb.com/ Top/Business/Financial_Services/Holding_Companies +http://www.washtrust.com/ Top/Business/Financial_Services/Holding_Companies +http://www.lakesunbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.bmtc.com/ Top/Business/Financial_Services/Holding_Companies +https://www.homefederal.com/ Top/Business/Financial_Services/Holding_Companies +http://www.busey.com/ Top/Business/Financial_Services/Holding_Companies +http://www.unitybank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.lakelandbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.botc.com/ Top/Business/Financial_Services/Holding_Companies +https://www.northwestsavingsbank.com/ Top/Business/Financial_Services/Holding_Companies +https://www.53.com/ Top/Business/Financial_Services/Holding_Companies +http://www.parkviewfederal.com/ Top/Business/Financial_Services/Holding_Companies +http://www.thepeoples.com/ Top/Business/Financial_Services/Holding_Companies +http://www.bankfirstfederal.com/ Top/Business/Financial_Services/Holding_Companies +https://www.wsfsbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.sovereignbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.firstcitizens.com/ Top/Business/Financial_Services/Holding_Companies +http://www.regions.com/ Top/Business/Financial_Services/Holding_Companies +http://www.bbt.com/ Top/Business/Financial_Services/Holding_Companies +http://www.websterbank.com/ Top/Business/Financial_Services/Holding_Companies +https://www.ibc.com/ Top/Business/Financial_Services/Holding_Companies +https://www.columbiabank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.riverviewbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.frontierbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.auburnbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.federaltrust.com/ Top/Business/Financial_Services/Holding_Companies +http://www.ccbg.com/ Top/Business/Financial_Services/Holding_Companies +https://www.glacierbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.anchorbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.homefederalbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.1stsource.com/ Top/Business/Financial_Services/Holding_Companies +http://www.ambfinancial.com/ Top/Business/Financial_Services/Holding_Companies +http://www.gnty.com/ Top/Business/Financial_Services/Holding_Companies +http://www.simmonsfirst.com/ Top/Business/Financial_Services/Holding_Companies +http://www.ffbh.com/ Top/Business/Financial_Services/Holding_Companies +http://www.wesbanco.com/ Top/Business/Financial_Services/Holding_Companies +http://www.svb.com/ Top/Business/Financial_Services/Holding_Companies +http://www.amnb.com/ Top/Business/Financial_Services/Holding_Companies +http://www.arrowfinancial.com/ Top/Business/Financial_Services/Holding_Companies +http://www.associatedbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.zionsbancorporation.com/ Top/Business/Financial_Services/Holding_Companies +http://www.peoplesbanknet.com/ Top/Business/Financial_Services/Holding_Companies +http://www.cobizbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.ctbi.com/ Top/Business/Financial_Services/Holding_Companies +http://www.communitywest.com/ Top/Business/Financial_Services/Holding_Companies +http://www.eastwestbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.evb.org/ Top/Business/Financial_Services/Holding_Companies +http://www.fiiwarsaw.com/ Top/Business/Financial_Services/Holding_Companies +http://www.firstbancorp.com/ Top/Business/Financial_Services/Holding_Companies +https://www.firstcharter.com/ Top/Business/Financial_Services/Holding_Companies +http://www.fdef.com/ Top/Business/Financial_Services/Holding_Companies +http://www.ffin.com/ Top/Business/Financial_Services/Holding_Companies +http://www.first-online.com/ Top/Business/Financial_Services/Holding_Companies +http://www.firstfinancialholdings.com/ Top/Business/Financial_Services/Holding_Companies +http://www.1stmarinerbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.firstmerchants.com/ Top/Business/Financial_Services/Holding_Companies +http://www.firstmidwest.com/ Top/Business/Financial_Services/Holding_Companies +http://www.mybankfirstunited.com/ Top/Business/Financial_Services/Holding_Companies +http://www.firstfedbessemer.com/ Top/Business/Financial_Services/Holding_Companies +http://www.fmer.com/ Top/Business/Financial_Services/Holding_Companies +http://www.flagstar.com/ Top/Business/Financial_Services/Holding_Companies +http://www.fnbcorporation.com/ Top/Business/Financial_Services/Holding_Companies +http://www.fult.com/ Top/Business/Financial_Services/Holding_Companies +http://www.gbbk.com/ Top/Business/Financial_Services/Holding_Companies +http://www.greatercommunity.com/ Top/Business/Financial_Services/Holding_Companies +http://www.hancockbank.com/ Top/Business/Financial_Services/Holding_Companies +http://hudsoncitysavingsbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.huntington.com/ Top/Business/Financial_Services/Holding_Companies +http://www.lakecitybank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.4lnb.com/ Top/Business/Financial_Services/Holding_Companies +http://www.logansportsavings.com/ Top/Business/Financial_Services/Holding_Companies +http://www.mercantile.net/ Top/Business/Financial_Services/Holding_Companies +http://www.midwestbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.nationalbankshares.com/ Top/Business/Financial_Services/Holding_Companies +http://www.natpennbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.nbtbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.ntrs.com/ Top/Business/Financial_Services/Holding_Companies +http://www.norwoodfc.com/ Top/Business/Financial_Services/Holding_Companies +http://www.ovbc.com/ Top/Business/Financial_Services/Holding_Companies +https://www.oldnational.com/ Top/Business/Financial_Services/Holding_Companies +http://www.pcbancorp.com/ Top/Business/Financial_Services/Holding_Companies +http://www.pmbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.peoplesbancorp.com/ Top/Business/Financial_Services/Holding_Companies +http://www.pulaskibankstl.com/ Top/Business/Financial_Services/Holding_Companies +http://www.southside.com/ Top/Business/Financial_Services/Holding_Companies +http://www.timberlandbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.unitedbancorp.com/ Top/Business/Financial_Services/Holding_Companies +http://www.washingtonfederal.com/ Top/Business/Financial_Services/Holding_Companies +http://www.webcityfed.com/ Top/Business/Financial_Services/Holding_Companies +http://www.westamerica.com/ Top/Business/Financial_Services/Holding_Companies +http://www.whitneybank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.wintrust.com/ Top/Business/Financial_Services/Holding_Companies +https://www.ucbi.com/ Top/Business/Financial_Services/Holding_Companies +http://www.susquehanna.net/ Top/Business/Financial_Services/Holding_Companies +http://www.independentbank.com/ Top/Business/Financial_Services/Holding_Companies +http://www.loews.com/ Top/Business/Financial_Services/Holding_Companies +https://www.farmersbankky.com/ Top/Business/Financial_Services/Holding_Companies +https://www.capitalbank-us.com/ Top/Business/Financial_Services/Holding_Companies +http://www.cnbthebankonline.com/ Top/Business/Financial_Services/Holding_Companies +https://www.thefirst.com/ Top/Business/Financial_Services/Holding_Companies +https://www.mbfinancial.com/ Top/Business/Financial_Services/Holding_Companies +http://www.bbvacompass.com/ Top/Business/Financial_Services/Holding_Companies +http://www.bankwithunited.com/ Top/Business/Financial_Services/Holding_Companies +http://www.pvbank.com/ Top/Business/Financial_Services/Holding_Companies +https://www.theprivatebank.com/ Top/Business/Financial_Services/Holding_Companies +https://www.tcbk.com/ Top/Business/Financial_Services/Holding_Companies +http://www.powercorporation.com/ Top/Business/Financial_Services/Holding_Companies +http://www.snl.com/irweblinkx/corporateprofile.aspx?iid=4019167 Top/Business/Financial_Services/Holding_Companies +https://www.baybankmd.com/ Top/Business/Financial_Services/Holding_Companies +https://www.cnbbank.bank/ Top/Business/Financial_Services/Holding_Companies +https://bankofnashville.synovus.com/ Top/Business/Financial_Services/Holding_Companies +https://www.renasantbank.com/ Top/Business/Financial_Services/Holding_Companies +https://ffsbky.bank/ Top/Business/Financial_Services/Holding_Companies +http://www.gbankmo.com/ Top/Business/Financial_Services/Holding_Companies +https://ubsi-inc.com/ Top/Business/Financial_Services/Holding_Companies +https://www.centurybank.com/ Top/Business/Financial_Services/Holding_Companies +https://chemicalbank.com/ Top/Business/Financial_Services/Holding_Companies +https://www.bankatcity.com/ Top/Business/Financial_Services/Holding_Companies +https://www.tfb.bank/ Top/Business/Financial_Services/Holding_Companies +http://www.federalreserve.gov/generalinfo/fhc/ Top/Business/Financial_Services/Holding_Companies/Directories +http://www.skyminder.com/ Top/Business/Financial_Services/Information_Services +http://bvdep.com/ Top/Business/Financial_Services/Information_Services +http://www.laneguide.com/ Top/Business/Financial_Services/Information_Services +http://www.papersourceonline.com/ Top/Business/Financial_Services/Information_Services +http://www.irn-research.com/ Top/Business/Financial_Services/Information_Services +http://www.money-rates.com/ Top/Business/Financial_Services/Information_Services +http://www.funddata.com/ Top/Business/Financial_Services/Information_Services +http://www.gftt.com/ Top/Business/Financial_Services/Information_Services +http://www.veribanc.com/ Top/Business/Financial_Services/Information_Services +http://www.wilshire.com/ Top/Business/Financial_Services/Information_Services +http://www.equityresearchassociates.com/ Top/Business/Financial_Services/Information_Services +http://www.anna-web.com/ Top/Business/Financial_Services/Information_Services +http://www.intex.com/ Top/Business/Financial_Services/Information_Services +http://www.moneywebsearch.com/ Top/Business/Financial_Services/Information_Services +http://www.cardtechnology.com/ Top/Business/Financial_Services/Information_Services +http://www.afponline.org/ Top/Business/Financial_Services/Information_Services +http://www.telekurs-financial.com/ Top/Business/Financial_Services/Information_Services +http://www.euromoneydata.com/ Top/Business/Financial_Services/Information_Services +http://www.sheshunoff.com/ Top/Business/Financial_Services/Information_Services +http://www.financial.com/ Top/Business/Financial_Services/Information_Services +http://www.factset.com/ Top/Business/Financial_Services/Information_Services +http://www.absnet.net/ Top/Business/Financial_Services/Information_Services +http://www.geosegment.com/ Top/Business/Financial_Services/Information_Services +http://www.bankersonline.com/ Top/Business/Financial_Services/Information_Services +http://www.businessmonitor.com/ Top/Business/Financial_Services/Information_Services +http://www.karengleason.com/ Top/Business/Financial_Services/Information_Services +http://www.synergisticsresearch.com/ Top/Business/Financial_Services/Information_Services +http://www.firstlinedata.com/ Top/Business/Financial_Services/Information_Services +http://www.gfceconomics.com/ Top/Business/Financial_Services/Information_Services +http://www.defaultrisk.com/ Top/Business/Financial_Services/Information_Services +http://www.maths.gr/business Top/Business/Financial_Services/Information_Services +http://www.cfo.com/finance/ Top/Business/Financial_Services/Information_Services +http://www.neuermarkt.com/?LANG=en Top/Business/Financial_Services/Information_Services +http://thomson.com/financial/financial.jsp Top/Business/Financial_Services/Information_Services +http://www.debtmarketplace.com/ Top/Business/Financial_Services/Information_Services +http://www.e-compliance-inc.com/ Top/Business/Financial_Services/Information_Services +http://www.dbc.com/ Top/Business/Financial_Services/Information_Services +http://www.sourcemedia.com/ Top/Business/Financial_Services/Information_Services +http://www.pppbulletin.com/ Top/Business/Financial_Services/Information_Services +http://www.nyxdata.com/ Top/Business/Financial_Services/Information_Services +http://www.intellasia.net/ Top/Business/Financial_Services/Information_Services +http://www.actuary.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.beanactuary.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.examfirst.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.iso.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.actuarialgrads.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.actuarialfoundation.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.contingencies.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.life-insurance-underwriting.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.guythomas.org.uk/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.actuarial-files.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://r0k.us/insurance/vp/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.polysystems.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.actuarialoutpost.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.benassist.org/pension-administration-free-calculators.htm Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.americanterm.com/about Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.actuarialjokes.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science +https://www.actuarialdirectory.org/solutionsite/default.aspx?tabid=123#_ctl0__ctl0__ctl0_tbl Top/Business/Financial_Services/Insurance/Actuarial_Science +http://www.dsacted.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/Asia +http://www.saasweb.hku.hk/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/Asia +http://www.ma.hw.ac.uk/ams.html Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/Europe +http://www.fam.tuwien.ac.at/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/Europe +http://www.act.ku.dk/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/Europe +http://www.cass.city.ac.uk/facact/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/Europe +http://www.imsv.unibe.ch/content/index_eng.html Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/Europe +http://www.stat.sfu.ca/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/Canada +http://www.utstat.toronto.edu/sam/homep.html Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/Canada +http://fisher.stats.uwo.ca/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/Canada +http://www.bu.edu/actuary/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://www.stat.uiowa.edu/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://www.math.purdue.edu/actuary/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://www.iun.edu/~math/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://www.math.uiuc.edu/ResearchAreas/actsci.html Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://www.mtsu.edu/~acsi/ASC.html Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://www.pstat.ucsb.edu/instruction/actuary.htm Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://math.illinoisstate.edu/actuary/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://dept.math.lsa.umich.edu/research/actuarial/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Academic_Departments/North_America/United_States +http://www.n-a-c.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://www.iowaactuariesclub.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://www.acsw.us/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://www.anea-asna.ca/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://www.sias.org.uk/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://www.blackactuaries.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://groups.yahoo.com/group/actuarialsciencestudents/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://chicagoactuarialassociation.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://groups.google.com/group/kaas Top/Business/Financial_Services/Insurance/Actuarial_Science/Clubs +http://www.aacgllc.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://actuarialmodeling.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.actuary.co.nz/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.dion-durrell.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.hauseactuarial.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.irmsactuary.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.wakelyconsulting.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.rosshealthactuarial.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.richardsherman.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.actmanre.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.goldingsmith.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.hughespricewalker.co.uk/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.puntersouthall.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.wakelyactuarial.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.louisepryor.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.mhcllc.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.behan.ws/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://lewisellis.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.aisrc.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.amirisk.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://myweb.tiscali.co.uk/kseducation/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.rabsolutions.net/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.perrknight.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.insurance-strat.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.coulter-and-associates.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.merlinosinc.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.aaiphil.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.pension-actuary.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.michaellamb.net/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.demotech.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +https://www.quantium.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Consulting +http://www.actuarialseminars.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Exam_Preparation +http://www.all10.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Exam_Preparation +http://www.actuarialbookstore.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Exam_Preparation +http://www.actexmadriver.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Exam_Preparation +http://www.digitalactuarialresources.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Exam_Preparation +http://www.abcdboard.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.actuary.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.casact.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.actuaries.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.soa.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.actuaries.org.uk/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.actuaries.asn.au/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.actuariesindia.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.actuaries.org.hk/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.actuaries.org.nz/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.ccactuaries.org/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://actuaries.org.my/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Professional_Organizations +http://www.scinternational.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://andoverresearch.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.stewartsearch.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.actuary.tv/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.darwinrhodes.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.acumen-resources.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.skassociates.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.emerald-group.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.huntermercantile.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.elliottbauer.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.actuarialcareers.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.ces-recruitment.co.za/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.hanoversearch.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.dwsimpson.com/ Top/Business/Financial_Services/Insurance/Actuarial_Science/Recruiters +http://www.fernet.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.motorcycleservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.insuredirect.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://rvainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.carinsurancequotes.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.bikesure.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.buymotorcycleinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.quotecarinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.goodsinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.autoinsuranceremedy.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.carinsurancequotes.tv/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://autoinsuranceaxis.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive +http://www.thegeneral.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.progressive.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.americancollectors.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.directgeneral.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.carinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.goodsamcsp.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://carsandinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://hartfordauto.thehartford.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.localinsuranceagent.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.autoinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://personalinsure.about.com/cs/vehicleratings/a/blautominimum.htm Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.autoinsuremall.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States +http://www.thegeneral.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.serenitygroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.amigomexinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.coastautoinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +https://www.shiftins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +https://www.titan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.classiccollectors.com/index.html Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://justautoins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +https://www.fredloya.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.e-sr22filing.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.mylowcostauto.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.waic.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.fiestainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.costulessdirect.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/California +http://www.sr-22colorado.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Colorado +http://www.floridauto.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Florida +http://www.artypagan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Florida +http://www.thegeneral.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Georgia +http://www.aarcoamerican.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Illinois +http://lincolnautoinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Illinois +http://www.accurateautoins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Illinois +http://www.insureonthespotservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Illinois +http://www.economyspringfield.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Massachusetts +http://www.nyinsuranceonline.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/New_York +http://ensureins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/New_York +http://www.nccarinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/North_Carolina +http://www.thegeneral.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Ohio +http://www.agworkers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Texas +http://www.soundinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Automotive/United_States/Washington +http://www.flightsure.co.za/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation +http://www.billowen.com.au/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation +http://www.skyterra.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation +http://air-pros.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.pimi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://southwestaviation.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.traversaviation.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.nationair.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.hopeaviation.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.lancetoland.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.skysmith.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.haltonhall.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.aisins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +https://www.avemco.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.regalaviation.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.hoxton.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.aviationinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.falconinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.lljohns.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.avnins.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.gliderinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.kelpetersen.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.aimofohio.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.piclife.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.hardyaviationins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.ddiair.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.airsouthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.aerorisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.wingsagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://insurance.aopa.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Aviation/United_States +http://www.itinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial +http://www.offshorerisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial +http://www.mmc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial +http://www.ncric.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.hpso.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.nso.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.techinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.profrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.oumchiropractor.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://jorgensenandcompany.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.ezinssolutions.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.insurtecinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://cambridgealliance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.insurecast.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.aonphysicianalliance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.hfpinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.clownsoftheus.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.cmiprorisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.diederichhealthcare.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://ahc.lockton-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Professional_Liability +http://www.provins-insurance.se/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Sweden +http://www.pipinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.ramonins-usa.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.rsiinsurancebrokers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.truckinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.postfinancial.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.dealercover.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.sparksins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.1stguard.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.dumptruckinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.adcasey.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.transinsurers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.railroadinsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.trsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.insuremyrig.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.transure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.grw-products.com/Cargo%20Insurance.htm Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.berrierinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.commercialtruckinsurancenitic.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.isisinsurance.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.rjstruckinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.shipsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.motortradeinsurance.eu/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.truck-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.atlas-fin.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.truckinsurancemart.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.marincon.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/Transportation +http://www.aegisins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Arizona +http://www.aegisins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.eandoinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.epli.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.pacificcoastplanning.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.berkettinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.aherninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.cibaservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.uwib.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.pgpartners.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.pacificaginsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.cacontractorsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.americanhealth911.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.calworkercomp.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.milestonepromise.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://sterling-agency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.prevotassociates.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://crmbc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://insure-ccia.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.mainline-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.cbmalagains.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.abiweb.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/California +http://www.yachtinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.blackbearagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.insuranceflorida.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.aanig.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.hatcherins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.allsouth.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.floridawc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.workcompassoc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Florida +http://www.keyrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Georgia +http://www.docliability.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Illinois +http://www.amityinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Massachusetts +http://www.tonry.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Massachusetts +http://www.macvac.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Massachusetts +http://www.aliac.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Massachusetts +http://www.gbkinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Michigan +http://www.manageability.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Michigan +http://www.truckwriters.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Minnesota +http://www.stieginsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Montana +http://www.jmassociatesltd.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.lohmaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.fgib.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.mcgriff.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.viacompinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.ze-usi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.alarmchannel.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://emerykarrigan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.gccrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.insurancenoodle.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.proquestinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://thehuntgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.eibdirect.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.egbowman.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://covenantrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.alliedspecialty.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.vistainternational.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.cpai.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.siegelagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.charterschoolinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://eventinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.euisela.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.paularnoldassoc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.sterlingriskadvisors.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State +http://www.blackadarmarine.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State/Marine +http://www.worldwidemarineins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State/Marine +http://www.atlas-insurances.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State/Marine +http://www.stricklandmarineins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State/Marine +http://www.marineunderwriting.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Multi-State/Marine +http://www.aegisins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Nevada +http://www.ibn1.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/New_Jersey +http://www.bgiains.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/New_Jersey +http://www.builderstrust.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/New_Mexico +http://www.frenkel.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/New_York +http://www.friedlandergroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/New_York +http://www.gastonassoc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/New_York +http://www.skginsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/New_York +http://www.imcipls.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/North_Carolina +http://www.keyrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/North_Carolina +http://medicalmalpracticeinsurance.pro/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Ohio +http://www.ibn1.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Pennsylvania +http://www.imcipls.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/South_Carolina +http://www.keyrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/South_Carolina +http://tlie.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Texas +http://www.monroe-monroe.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Texas +http://www.csicoverage.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Texas +http://www.streamlineinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Texas +http://www.sbotit.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Texas +http://www.imcipls.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Virginia +http://www.tislimited.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Commercial/United_States/Wisconsin +http://www.cundy.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Consulting +http://members.tripod.com/pmhassociates/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Consulting +http://www.savitz.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Consulting +http://www.insurancebenefit.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.ajg.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.nvo.com/shildtfinancial Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.abcbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.thelit.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.flexiblebenefitsolutions.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.abc-incorp.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.weitzfn.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.robbidavisagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Group_Insurance +http://www.nbs-i.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Pension_Planning +http://www.milliman.com/eb/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Pension_Planning +http://www.benefitplans.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/Pension_Planning +http://www.benico.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States +http://www.efpnow.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States +http://www.gsanational.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States +http://www.plandesignservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States +http://www.nyhart.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States +http://www.garnett-powers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/California +http://www.benefit-resources.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Colorado +http://www.gbac.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Connecticut +http://www.bbibenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Massachusetts +http://www.newenglandbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Massachusetts +http://www.benefitsmart.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Massachusetts +http://www.progressivebenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Ohio +http://www.benefitsplusservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Texas +http://www.grouphealthplanning.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Wisconsin +http://www.burkwald.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Employee_Benefits/United_States/Wisconsin +http://www.landmark-dental.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.studentdental.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.dentalcarerx.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.capital-benefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.dentalselect.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.directdentalplans.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.libertydentalplan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.dentalhealthservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.securiandental.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.insurancecompany.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Dental +http://www.disabilitybroker.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Disability +http://www.ssdihelp.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Disability +http://www.william-russell.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Disability +http://www.disabilityquotes.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Disability +http://www.doctordisability.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Disability +http://www.allsup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Disability +http://www.medidirect.ca/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.compbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.amebenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.ucci.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.dentalsave.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.dentalplans.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.careington.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.accesshealthcare.us/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.dorseydiscountservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://medi-share.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.ardentdentalsavings.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.edpdental.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Discount_Plans +http://www.ltcinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.oicltc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://nyltcb.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.ltcipros.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.starsforseniors.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.ltcindiana.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.ltcq.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.ltcfs.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.acculifeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.californialongtermcare.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.thelongtermcarespecialist.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.preferred-benefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.long-term-care-insurance-planners.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.amwarnerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/Long_Term_Care +http://www.ahuinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.anthem.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.abrams-california-health-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.familyheritagelife.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.health1insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.healthaxis.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.hhcgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.lbax.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.magellanhealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.medsave.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.myaffordablehealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.digitalinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.acehealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States +http://www.individualhealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Arizona +http://www.advisorsllc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Arizona +http://www.zassurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Arizona +http://www.swhealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Arizona +http://www.qcark.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Arkansas +http://www.healthbroker.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.chgsd.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.kaiserinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.renaissance-inc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.californiahealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.individualhealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.calhealth.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.manningins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://insurancehandbook.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.cahealthquoteins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.yaleinsuranceservice.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.thehealthinsurancestore.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.onlyhealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.healthinsurancepros.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.americanhealthquotes.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.health-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.accessyourbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.bphealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.plansforhealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.goodmanbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://epaweb.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.barricksinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/California +http://www.coloradohealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Colorado +http://www.insuranceshoppers.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Colorado +http://www.hlhall.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Florida +http://www.florida-health-quotes.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Florida +http://www.benefit-plan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Georgia +http://www.ebrm.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Illinois +http://www.healthcareinsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Illinois +http://www.healthcareinsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Indiana +http://www.indianahealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Indiana +https://kyenroll.ky.gov/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Kentucky +http://www.passporthealthplan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Kentucky +http://www.houmahealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Louisiana +http://www.connectcare.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Michigan +http://www.mnhealthnetwork.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Minnesota +http://mrhealthinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Minnesota +http://www.creelmaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Nevada +http://www.deltadentalnj.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/New_Jersey +http://www.njgrouphealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/New_Jersey +http://www.groupbenefitsagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Ohio +http://www.franklinassociatesinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/South_Carolina +http://www.hintx.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Texas +http://www.healthinsurance-quotes.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Texas +http://medicalbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Health/United_States/Washington +http://www.cahomeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property +http://www.brokersinsurancegroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property +http://www.cchs.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property +http://hwbins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property +http://www.homewarranty.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property +http://www.movinginsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property +http://www.quakepolicy.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property/Earthquake +http://www.buyquakeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property/Earthquake +http://www.eq-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property/Earthquake +http://thefloodinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Home_and_Property/Flood +http://www.lifequote.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.matrixdirect.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.quotenavigator.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.spectrumdirect.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.termprovider.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.selectquote.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.wholesaleinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://davidmjones.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.lifenetinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.rootfin.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.truebluelifeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.peaklifeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://termlife2go.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.topquotelifeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://noexam.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.lifeinsuranceblog.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.intelliquote.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +https://lifeinsuranceadvisors.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.accuquote.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.pivot.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.advantageoneinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://www.choicemutual.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://bestliferates.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States +http://tri-valley-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States/California +http://www.tomkatsisinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States/California +http://www.insuranceblogbychris.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States/California +http://www.coomesinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States/California +http://kofcknights.org/FieldAgentSite/indexnew.asp?FA=84 Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Life/United_States/Maryland +http://www.jackmartin.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine +http://www.rvins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine/United_States +http://www.nmu.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine/United_States +http://www.millerrvinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine/United_States +http://overseainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine/United_States +http://www.unitedmarine.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine/United_States +http://www.mcgrathinsurancegroup.com/boat/index.htm Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine/United_States +http://www.totaldollarinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Marine/United_States +http://nbudhiraja.tripod.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/India +http://www.e-insuranceireland.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Ireland +http://powerinsurances.ie/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Ireland +http://www.ombg.ie/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Ireland +http://www.lynskeyryan.ie/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Ireland +http://www.topquotedirect.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Ireland +http://www.scroopeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Ireland +https://www.allianz.ie/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Ireland +http://www.harel-group.co.il/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Israel +http://www.mexpro.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/Mexico +http://www.cybersure.co.za/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/South_Africa +http://www.gargashinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_Arab_Emirates +http://www.angelinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.thehortongroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.accuterm.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.ambushinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.health-life.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.assurancebrokers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.bestquote.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.bollingerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.briceland.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.voluntarydental.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.cartercompany.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.cdcallen.com Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://cimaworld.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.clements.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.dhinsurance.com Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.ebix.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.familysecurityplan.com Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.4freequotes.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.isugsw.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.globalhealthinsurance.net Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.leeins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.hillusher.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.holmesmurphy.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.insureone.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.isunetwork.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.leavitt.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://martininsuranceconsultants.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.termhouse.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.ncbainc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.rmiinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.netquote.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://piu.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.phillipsgroupinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.ruleco.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.senioramericanins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.schinnerer.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://wildeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.hylant.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.boardwalkinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.nisona.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.commercialinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.esurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States +http://www.turnerinsur.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Alabama +http://www.clarkassocinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Alabama +http://www.sginc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Alaska +http://www.alaskausainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Alaska +http://www.combsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Alaska +http://www.chialaska.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Alaska +http://www.oasisinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.idealins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.lehrmangroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.lovitt-touche.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://benefitlogic.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.alliedinsgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://dmlovitt.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.theadamsagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.pancrazi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.halsi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.mikepierceinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.insurancequotesareus.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.rightsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arizona +http://www.martinagencyinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arkansas +http://www.chenalinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Arkansas +http://www.whitman-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.cybersure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://jeffmangelli.tripod.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.calnevadains.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.strachota.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.5thaveins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.mintowilkie.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.cfpnet.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.jkelleyinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.sr22.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://douglasclark.8m.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.marq2insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.filice.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.tmib.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.brownandriding.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.benchcom.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.bozzutoinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.2020insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.wintemuteinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.millsins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.pacifichealthbrokers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.winnins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://palmspringsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.hnainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.buysomeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.huckabyins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.dcdis.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://inspro4you.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://agent.allstate.com/48049 Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.tonysteuer.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.ronnhall.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://rw-esure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.barneyandbarney.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.alandale.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.centerwestinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://insurance4u.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.centurynational.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.csisinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.membrila.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.westernvalley.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.expresshealthquote.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://supple-merrill.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.isusf.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.tcsig.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.nighthawkinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.cavignac.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.mc-isaac.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.insuranceconceptswest.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.ramaticiins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.goughinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.newsfi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://arlanknutsoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.allaccess-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.fairviewinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.serrabenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.bayrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.valuehealth.us/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://bairdinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.insuranceguys.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.palmdesertinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.dhins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.insurancelink.biz/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.kardelinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.covplus.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.eisinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.nadlerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.thatinsuranceguy.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.mcvins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.inb-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://boswellinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.maroevich.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.hanninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://tcinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.archerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://etrustedadvisor.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +https://agents.farmers.com/ca/modesto/neal-groesbeck Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/California +http://www.roperinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Colorado +http://www.grizzlyinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Colorado +http://kffinancial.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Colorado +http://www.frontierinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Colorado +http://www.suzioinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.advancedamerican.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.gerhardtinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.cie-ltd.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.curtisinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.fitzpatrickagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.ctunderwriters.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://diamondeagleagency.tripod.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.savainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.jjfinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.mwfinancial.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.palmerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.colonnainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.quintalagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.bernheimerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://matherandpitts.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.robertsins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Connecticut +http://www.alleninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Delaware +http://www.tmkrisk.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.kiteinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.all-lines.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.cypressinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.hughcotton.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.patthomas.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.reitanoinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.arc-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.aubreyrogers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.setnorbyer.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.insuranceland.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://arnecarlsonins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.fdaservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.gulfshoreinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.parlininsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.millerassociatesins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.msilverandassociates.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.sihle.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.bouchardinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.chesterinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Florida +http://www.cdofinancial.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://www.bpbinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://www.diversifiedins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://www.siegelinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://cowartinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://www.gillmanins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://www.bbrowninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://www.kaydainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://dewittagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Georgia +http://www.mcclaren.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.activeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.equityinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.queryinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.westpointinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.weinbergonline.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.biu-inc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.ginsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.insurancecouncilinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.stantoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.wmanny.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.stinsoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://clemensinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.mchughinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.insuringchicago.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.ferrellinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.dascoins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.premierfinancialinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +https://www.farmerbrown.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.toginsrisk.com Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.hillandstone.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.primeinsurance.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://crbrokers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.spinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.mavcoinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.csstrategy.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://pdlbroker.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.schatzins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.maturehealthservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.insureyourfuture.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.equityinsurancegroup.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.securecareofamerica.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.h-kinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://aisgi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://pucin.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.gohealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.cginsag.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.atlasbrokerage.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.homestarins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.prairiestateins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.kanegroupins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.zandeins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.promiseinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://wag-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.stasseninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.midwestinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.4agent.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.gwinsurance.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.pardridge.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.the401kman.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.patmuir.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.weissins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.farmersagent.com/ssimon1 Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.bridgemaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://justinsureme.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Illinois +http://www.stewartbrimner.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://www.dunawayinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://www.cardinalinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://reiman-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://www.cassady.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://www.1stsecurityinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://hostetleragency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://www.pceinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://www.jensenfordinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Indiana +http://www.stantoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.associatedagents.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.alllinesofiowa.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.auto-rate.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.molyneaux.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.lmcins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.gisiowa.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.melfosterinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Iowa +http://www.buterbaughandhandlin.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kansas +http://www.peelholland.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.eliteky.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.emford.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.crawfordins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.larueinsurance.net/index.html Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.cmmoore.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.ruddinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://jessieinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.sturminsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.ligcanhelp.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.epicinsurancesolutions.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Kentucky +http://www.kennedylewis.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Louisiana +http://www.adpins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Louisiana +http://www.alliance-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Louisiana +http://www.ldcv.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Louisiana +http://ellis4u.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Louisiana +http://www.insurancepc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maine +http://www.insurancemadeeasy.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maine +http://www.fapeabody.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maine +http://www.ghmagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maine +http://www.insurancebrokersofmd.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.stanginsurancegroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.jsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.duckworthins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.baldersoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.tie-inc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.masoncarter.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.statlandkatz.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.rktongue.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.hoffberger.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.hockleyandodonnell.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.deaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.firstinsurancegroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.carrolltonins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.businsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.benefitdesigngroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.phyllisablair.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.abcoics.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.yorkinsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.grantinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.heberinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Maryland +http://www.almeidacarlson.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.toinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.ellisinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.gotobeacon.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.gaudreaugroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.hannon-ryan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.piam.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.crosbiemac.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.quincyinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.sullivaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.horganinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.applebywyman.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://berkshirequote.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.wishinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://dickeyinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Massachusetts +http://www.regency-group.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Michigan +http://www.pciaonline.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Michigan +http://www.dieboldinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Michigan +http://www.mispecialtyins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Michigan +http://www.colburngroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Michigan +http://www.alliedinsmgr.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Michigan +http://www.rickyounginsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Michigan +http://www.mnepic.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Minnesota +http://www.larsoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Minnesota +http://www.ltdagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Minnesota +http://www.billmarks.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Minnesota +http://www.corp4.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Minnesota +http://www.associatedagents.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Minnesota +http://www.mcclaren.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Missouri +http://www.loomisinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Missouri +http://www.zimmerinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nebraska +http://www.unionagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nebraska +http://www.jeffmunnsagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nebraska +http://www.aplusbrokerage.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nebraska +http://www.grabensteininsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nebraska +http://www.tcagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nebraska +http://www.calnevadains.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nevada +http://www.orgillsinger.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Nevada +http://www.insurancepc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://www.abgile.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://www.hometownins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://www.kapiloff.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://www.davistowle.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://brownellinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://www.clarkmortenson.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +https://www.crossagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://www.slawsbyinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Hampshire +http://www.chagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://konikow.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.walczakassociates.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.afsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.mcgloneins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.pavesemccormick.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.slcinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.ardavisagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.hardenberghins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.shorebenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.reevesandmelvin.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.thecig.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.suydam.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.mullerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.kapeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.njdriver.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.bogleagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.clarkmorrison.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.your-friend-in-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.bordenperlman.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.insurance-nj.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Jersey +http://www.gmpkinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_Mexico +http://www.insurewow.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.allinfo.net/FB/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.gerelli-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.jdahurley.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://konikow.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.johansoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://coverrisk.tripod.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://emeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.qdlinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://cgaassociates.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.reyinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.uafc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.benefitsdesigngroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.joyinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.pepeinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.ryanagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.mogil.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.ddoins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.niagaranational.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.ubsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.fetinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.insurecig.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.lighthouseinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.insmarketplace.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.walczakassociates.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.agencyins.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.armny.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.albionagencies.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/New_York +http://www.gladwellinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.connorinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.knauffins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.kesnerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.menshinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.standardins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.clinardinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://allchoiceinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.statewideinsurancenc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.summitgreensboro.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.myallianceinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://catawbavalleyinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.sistatesville.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.jonesinsuranceagency.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.lipstoneinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.pelnik.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://bestratesforinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Carolina +http://www.mangerins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/North_Dakota +http://www.wpdolle.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.payak.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.fourstarinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.reichleyins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://tiffininsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.alliancegroupohio.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.nickinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.katzbachinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.tfwinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.woodcox.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://leuschinsurance.tripod.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.cantonins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.jplins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.wadeins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.mewinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.pmnins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.thehoffmangrp.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.ohioinsureplan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.kendallinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.lockardinsuranceinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.huesmanschmid.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.hillandhamilton.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.paynebrown.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.lewisclarkinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.reedbaur.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.scharerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Ohio +http://www.cpcinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Oklahoma +http://www.ghainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Oklahoma +http://www.lowinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Oregon +http://www.hartinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Oregon +http://www.ehealthlink.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Oregon +http://www.sgopro.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Oregon +http://www.dpainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.afsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.reagleins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.wolfinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.weberinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.ijinsman.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.phcunity.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.hopcrafthockleyodonnel.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.nsminc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.insurancebrokersofmd.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.lccsaves.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.mcgrathinsurancegroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.paciottiinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.mcdowellinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.doupleinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.securusgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.insurancemasters.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.ekmcconkey.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://lehmanins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.lake-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.dunninsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.myersbenner.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.balsbaughinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.krombolzins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.mcgloneins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.hartzellinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.jkj.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://nwagent.nationwide.com/agentwebpages/OfficePage.asp?agent=richard_zeises.html&Language=en Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.weinsurephilly.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.fcbanking.com/insurance/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.communitysure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Pennsylvania +http://www.egisgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Rhode_Island +http://www.hunterinsuranceonline.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Rhode_Island +http://www.irmoinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.triestagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.waterwayfinancialgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://hecos.com/index.cfm Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.stokesfarnham.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://thewatsoninsurancegroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.lowerpremiums.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.carolinapolicies.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.heritageinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.chandlerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.coastalplains.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.fieldinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.csp4me.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.waccamawinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://theodoreinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.fosterinsagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.advisorsinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.mgi-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Carolina +http://www.hawleyinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Dakota +http://www.straitinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Dakota +http://www.howaltmcdowell.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Dakota +http://www.gpbi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/South_Dakota +http://www.wade-egbert.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Tennessee +http://insurance.crye-leike.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Tennessee +https://irmllc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Tennessee +http://www.wallace-murray.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.txassurancecare.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.jessakin.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.pipinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.gia-tx.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.harrisoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.dyerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.donwright.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.townandcountryins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.cravenswarren.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://allaboardbenefits.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://aig.home.texas.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.mhbt.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.mitchtaskerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.texasinsurancezone.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.magnumins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.lairdins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.jeffmartinagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.789auto.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.thompsonhicks.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.txam.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.stamfordinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.wiainsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.perkins-ins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.mykeystoneins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.billhaddoxinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.insurewithwalker.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.dreamteamagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.mayoagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.crophail.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.3dinsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.texasinsuranceprovider.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.bectoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.herrongroup.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.whitmireandwhitmire.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.sandains.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.popeinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.hpg-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.theemerginginsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.ledbetterinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.stovercrouch.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.cbsins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.garrettinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.swbd.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.thebenefitsadvisors.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.bridgettehearne.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.texaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.rockbottomquotes.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.howellhealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.texasinsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.lonestarinsurance.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.benwarthan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.bellgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.krpinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.mrazsimmons.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.ezinsuranceagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.texasinsuranceplace.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.quotetexas.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.sbcia.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.jrbreazeale.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Texas +http://www.sorenseninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.trustcoinc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.insurancesaltlake.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.statewideslc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.farmersutah.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.findinsurancesaltlake.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://insuremoab.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.easternutah.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://agency.nationwide.com/agent/osama-sarhan-430037470-43003747000000 Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.archibald-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.srvins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.sentrywest.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.saltcityins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.kalebkunz.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.in-houseinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.wisemaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Utah +http://www.stanginsurancegroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://midpen.net/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://www.jsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://www.duckworthins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://www.metroinsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://www.shortinsuranceltd.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://www.kerxton.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://www.jacksoninsagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Virginia +http://www.midlakesinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington +http://www.duncanins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington +http://www.seemoney.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington +http://www.stanginsurancegroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington,_DC +http://www.jsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington,_DC +http://www.baldersoninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington,_DC +http://www.tie-inc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington,_DC +http://www.howard-hoffman.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington,_DC +http://www.pentagonfinancialgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Washington,_DC +http://www.angelfire.com/wv/wrvance/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/West_Virginia +http://www.unitedsecurityagency.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/West_Virginia +http://www.insurancecenters.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/West_Virginia +http://www.jimlively.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/West_Virginia +http://www.associatedagents.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.schwarzins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.leitchinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.holdeninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.burkart-heisdorf.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.zimdars.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://schultz-schultz.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.gendelman.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.klinnerinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.ansay.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wisconsin +http://www.rainsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wyoming +http://www.hoinesslabar.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wyoming +http://www.edmurraysons.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Multi-Line/United_States/Wyoming +http://www.terrorismins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty +http://gtacontractorinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty +http://www.petinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.classiconweb.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petassure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petsecure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.buddies.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petplan.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.healthypawspetinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.embracepetinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +https://www.petfirst.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.argospetinsurance.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petsplusus.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.bowwowinsurance.com.au/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.pet-insurance.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://insurance.rogz.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petpremium.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +https://1300insurance.com.au/pet-insurance/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petplan.com.au/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.trupanion.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.aspcapetinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petplan.net.nz/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.petplansanctuary.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://pet-insurance.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +https://www.akcpetinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.surewise.com/pet-insurance Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet +http://www.insurehorses.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.buxtonequine.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://chevalinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.equispec.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.equineworldinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.equisure-inc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.northwestequineinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.independentequineagents.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.wcequine.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://kaycassell.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.yearsleybloodstockgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.starhinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +https://www.equicover.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.markelinsurance.com/horseandfarm/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Animal_and_Pet/Equine +http://www.rcis.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.aginsuranceservices.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.rainhail.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.spartancrop.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.eqgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.mize-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.livestockins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://livestockinsurance.biz/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.stallingscrop.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.users.on.net/~billmunro/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.thehartford.com/business-insurance/livestock-insurance Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/Farming,_Agriculture_and_Aquatic +http://www.amvetins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.njiua.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.collegestudentinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.esecuritel.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.frame-it.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.globalweatherinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.racensur.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.hagerty.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://wmills.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.lawrencesimon.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.libris.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://lelandwest.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.mwhi.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.wedsafe.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.rochesurety.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.rvnuccio.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.traderiskgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.pawninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.bpl-global.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.plan.org/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://protectlawyers.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.fenner-esler.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.euclidinsuranceagencies.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.vertafore.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://nurseliability.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://insuretail.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.macneillgroup.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +https://mynrainsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Specialty/United_States +http://www.sportscover.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure +http://www.specialtyrisk.ca/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure +http://www.bene-marc.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.specialmarkets.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.martialartsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.karateinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.oigcorp.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.monumentsports.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://lefebvreinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.esportsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.pullenins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://fdean.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Sports_and_Leisure/United_States +http://www.expatriate-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.allaboardbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.asaincor.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.visitorsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.servingabroad.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.internationalbenefits.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.expatfinancial.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.intlhealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.highwaytohealth.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.imagineinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.globalhealthinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.internationalcorpben.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.imglobal.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.internationalsos.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.internationalhealthins.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.internationalplan.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://insure4travel.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.navigatortravel.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.nyig.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.globalunderwriters.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.europ-assistance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.travelersmed.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.iia2000.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.insureandgo.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.allcleartravel.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.mrlinsurance.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +https://www.worldwideinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.gotravelinsurance.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.travelinsured.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.24drtravel.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.primaryinsurance.co.uk/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.sevencorners.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.hccmis.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.tia-insurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel +http://www.pucherinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Canada +http://www.travelinsurancenow.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Canada +http://www.mexican-autoinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Mexico +http://www.mexicaninsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Mexico +http://www.instant-mex-auto-insur.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Mexico +http://www.sanbornsinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Mexico +http://www.bestmex.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Mexico +http://www.mexipass.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Mexico +http://www.mexinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/Mexico +http://www.travelinsure.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.globalmedicalsecurity.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.jannetteintl.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.insurancefortrips.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.csatravelprotection.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.1travelinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.usaflightinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://tripinsurancestore.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.travelsafe.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.travelexinsurance.com/ Top/Business/Financial_Services/Insurance/Agents_and_Marketers/Travel/United_States +http://www.axcoinfo.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.kennedylicensing.com/main.html Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.disabilityinsuranceforums.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.rockwoodinsurance.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.insuranceisland.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://crstemphousing.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.alocalagent.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.biltd.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.ipipeline.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.insurance-applications.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.homeinfomax.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://agents.norvax.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.apptical.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.coveragefirst.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.ltcceo.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.insuranceproshop.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.andersoncomputer.ca/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.supportiveis.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.advisenltd.com/ Top/Business/Financial_Services/Insurance/Agent_Resources +http://www.agencymanagement.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Consulting +http://www.crekern.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Consulting +http://www.insurancemergers.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Consulting +http://www.icmotion.net/ Top/Business/Financial_Services/Insurance/Agent_Resources/Consulting +http://www.underwritersinfo.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.tisinspects.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.millinfo.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.keystoneriskservices.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.calinspect.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.upfro.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://castleis.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://olsi.net/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://rldassociates.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.northstarreports.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.cornerstone-appraisals.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.seerinspections.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.fieldservicesco.net/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.rsi-reports.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.coxandsmith.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.us-reports.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +https://www.muellerreports.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.directlosscontrol.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Field_Service_Companies +http://www.ltcleads.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.abcleads.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.hometownquotes.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.prospectzone.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.benepath.net/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.localleadsllc.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.efesonline.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.onlineleads.org/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://preciseleads.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.allwebleads.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.lifeinsuranceleads.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://insuranceleadsguide.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.healthinsuranceleads.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.insuranceleadreviews.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Lead_Generating_Services +http://www.insuranceproposals.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Marketing_and_Advertising +http://www.pmiinc.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Marketing_and_Advertising +http://www.imms.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Marketing_and_Advertising +http://www.getenhanced.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Marketing_and_Advertising +http://www.ibqsystems.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Marketing_and_Advertising +http://www.insurezone.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Marketing_and_Advertising +http://gameplanfinancial.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Marketing_and_Advertising +http://www.apfcinc.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Premium_Financing +http://www.firstinsurancefunding.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Premium_Financing +http://www.ifs-finance.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Premium_Financing +http://www.financepremium.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Premium_Financing +http://www.premiumfundingassociates.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Premium_Financing +http://www.input1.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Premium_Financing +http://www.jjins.com/JJPF/ Top/Business/Financial_Services/Insurance/Agent_Resources/Premium_Financing +http://www.quoteforms.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.akabroker.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.insurancewebdesigns.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.insurancewebsiteservices.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.insurance-web-sales.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.alicorsolutions.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +https://www.agentquote.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.confluencysolutions.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.inswebsites.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.agentmethods.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +https://www.shiftins.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.getitc.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.agencyrevolution.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.proweaver.com/insurance-custom-web-design Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.agencyeagle.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.websites4insurance.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.naaip.org/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.connectedagent.biz/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://agencywave.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +https://www.ezlynx.com/agency-websites.html Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.blueprintmarketing.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.gregreindel.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.wplifeinsurance.com/ Top/Business/Financial_Services/Insurance/Agent_Resources/Web_Design_and_Development +http://www.annuitylibrary.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuityshopper.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuitynexus.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.schwab.com/public/schwab/investment_products/annuities?cmsid=P-981557&lvl1=investment_products&lvl2=annuities Top/Business/Financial_Services/Insurance/Annuities +http://www.jhannuities.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.totalreturnannuities.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.fixedannuitydirect.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.buyannuitiesonline.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuityadviceonline.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuityforlife.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuityarrow.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.libertybankerslife.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuityfyi.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuitydiscount.co.uk/ Top/Business/Financial_Services/Insurance/Annuities +http://www.annuityadvantage.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.forethought.com/ Top/Business/Financial_Services/Insurance/Annuities +http://www.iasa.org/ Top/Business/Financial_Services/Insurance/Associations +http://www.niba.com.au/ Top/Business/Financial_Services/Insurance/Associations +http://www.attic.org.tt/ Top/Business/Financial_Services/Insurance/Associations +http://www.microinsurancecentre.org/ Top/Business/Financial_Services/Insurance/Associations +http://www.acord.org/ Top/Business/Financial_Services/Insurance/Associations +http://www.abi.org.uk/ Top/Business/Financial_Services/Insurance/Associations +http://www.fnyip.com/ Top/Business/Financial_Services/Insurance/Associations +http://www.aicp.net/ Top/Business/Financial_Services/Insurance/Associations +http://www.genevaassociation.org/ Top/Business/Financial_Services/Insurance/Associations +http://www.federatedrural.com/ Top/Business/Financial_Services/Insurance/Associations +http://www.nasbp.org/ Top/Business/Financial_Services/Insurance/Associations +http://www.fsiga.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.figafacts.com/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.tpciga.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.txlifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.ncigf.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.gfms.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.nolhga.com/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.caiga.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.laiga.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.njguaranty.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.ppciga.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.nelifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.ctlifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.idlifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.califega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.delifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.hilifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.inlifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.ialifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.kslifega.org/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.assuris.ca/ Top/Business/Financial_Services/Insurance/Associations/Guaranty +http://www.iiaba.org/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.ibawest.com/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.iiaa.org/eprise/main/CB_Website/Affiliated/StateAssociation/MS/default? Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.massagent.com/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.faia.com/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.iiaw.com/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.iiat.org/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.aiia.org/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.hiia.org/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.iabforme.com/ Top/Business/Financial_Services/Insurance/Associations/Independent_Insurance_Agents_and_Brokers_of_America +http://www.aig.com/ Top/Business/Financial_Services/Insurance/Carriers +https://www.travelers.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.zurichna.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.chubb.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.safeco.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.mutualofomaha.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.thehartford.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.amica.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.usaa.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.guideone.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.allstate.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.cooperators.ca/ Top/Business/Financial_Services/Insurance/Carriers +http://www.sentry.com/ Top/Business/Financial_Services/Insurance/Carriers +http://statefarm.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.markelinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.nationwide.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.wawanesa.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.countryfinancial.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.greatamericaninsurance.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.pekininsurance.com/ Top/Business/Financial_Services/Insurance/Carriers +http://hammondnational.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.assurant.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.berkshirehathaway.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.libertymutual.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.amfam.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.hanover.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.farmers.com/ Top/Business/Financial_Services/Insurance/Carriers +https://www.ohioindemnity.com/ Top/Business/Financial_Services/Insurance/Carriers +http://www.eulerhermes.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.argonautgreatcentral.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.benchmarkinsco.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.amerisure.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.churchmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.penn-america.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.american-club.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.westpandi.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.alaskanational.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.ncmic.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.markelcorp.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.mlmic.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.medicalmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.professionalsadvocate.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.managementliability.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.gwccnet.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.lloyds.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.lammico.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.brotherhoodmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://firstmonetary.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.plls.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.cmgmi.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.coface.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.mlmins.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.doctorsdirectinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.sgifs.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.radian.biz/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.proassurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.tsm.ch/en/ Top/Business/Financial_Services/Insurance/Carriers/Commercial +http://www.amerisafe.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial/Workers'_Compensation +http://www.aimmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial/Workers'_Compensation +http://www.buildersinsurancegroup.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial/Workers'_Compensation +http://www.guard.com/ Top/Business/Financial_Services/Insurance/Carriers/Commercial/Workers'_Compensation +https://www.worksafe.qld.gov.au/ Top/Business/Financial_Services/Insurance/Carriers/Commercial/Workers'_Compensation +http://www.farmbureauinsurance-mi.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.sfbcic.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.sfbli.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.fbfs.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.okfarminsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.ncfbins.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.cfbmic.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.ruralins.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.kyfb.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +https://fbitn.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.farmbureausellscropinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Farm_Bureau +http://www.ahip.org/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health +http://www.assurity.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.american-equity.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.aig.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +https://www.aflac.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.equitable.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.americo.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.auroralife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.amalgamatedlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.anico.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.amedex.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.assumption.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.aigag.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.advanceinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.eams.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.aafmaa.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.amhomelife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.americansentinel.cc/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.allianzlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.aaalife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.americansavingslife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.american-community.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.aetna.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.americanrepublic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +https://www.araglegal.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/A +http://www.bankerslife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +http://www.baptistlife.org/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +http://www.atlam.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +http://www.bankerslifeinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +http://www.bostonmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +http://www.bnlac.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +http://www.baltlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +https://www.bupaglobal.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/B +http://www.anthem.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsil.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsm.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsok.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bluecrossca.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbst.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.horizon-bcbsnj.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsal.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsnm.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsri.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcidaho.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.carefirst.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.empireblue.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsfl.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bluecrossmn.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsaz.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbs.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.fepblue.org/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.southcarolinablues.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbswny.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bsneny.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.sk.bluecross.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bluecross.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.qc.croixbleue.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.ab.bluecross.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.pac.bluecross.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.mb.bluecross.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.useblue.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.wellmark.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsne.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsga.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsla.com/web/default.asp Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsms.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcbsnc.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bcnepa.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.excellus.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +https://www.premera.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.ibx.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.medavie.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.bluecrossma.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +https://www.highmarkbcbsde.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.arkansasbluecross.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/BlueCross_BlueShield +http://www.conseco.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.celtic-net.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.citizensinc.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.cfglife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.centralreserve.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.colonialinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.centralbenefits.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.cnlf.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.cbl-life.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.cigna.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.commercialtravelers.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.coloniallife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.canadalife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.continentalgeneral.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.columbuslife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.cottonstatesinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.colonialpenn.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.cunamutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/C +http://www.dsf-dfs.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/D +http://www.deltadental.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/D +http://www.deltadentaloh.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/D +http://www.deltadentalin.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/D +http://www.dominiondental.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/D +http://www.deltadentalmi.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/D +http://www.equilife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/E +http://www.empire.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/E +http://www.familyheritagelife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/F +http://www.fdl-life.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/F +http://www.federated.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/F +https://home.fglife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/F +http://www.gtlic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.greatwest.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.gilico.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.gwic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.greenshield.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.gerberlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.gpmlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.guardianlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.gerling.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.galic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.garden-state.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.greatsouthern.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.greatwestlife.com/001/Home/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/G +http://www.healthamerica.cvty.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/H +http://www.hcf.com.au/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/H +http://www.humana-one.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/H +http://www.ing.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/I +http://www.imglobal.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/I +http://www.illinoismutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/I +http://www.independenthealth.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/I +http://www.jacksonnational.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/J +http://www.jhancock.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/J +http://www.lfg.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +https://www.lgamerica.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.lifeofsouthwest.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.llic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.lhlic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.lifeofboston.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.lawrencelife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.lifewiseac.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.lifeinvestors.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.landmarklife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.londonlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.lifeofalabama.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/L +http://www.manulife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.miilife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.maxnewyorklife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.mutualtrust.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.medmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.msvlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.madisonlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.monlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.minnesotalife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.centralunited.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.metlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.massmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.mnlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.midwestlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.cslic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +https://www.megainsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.motoristsgroup.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/M +http://www.nclife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.nhic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.nationalwesternlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.nlia.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.ncmutuallife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.newyorklife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.nationallife.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.nacolah.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.nationwideprovident.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.northwesternmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/N +http://www.oaic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/O +http://www.ozark-national.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/O +http://www.ohiostatelife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/O +http://www.oxfordlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/O +http://www.odscompanies.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/O +http://www.ohionatl.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/O +http://www.oneamerica.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/O +http://www.prudential.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.pyramidlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.phmic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.pacificlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.axa.com.ph/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.pennmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.pacificguardian.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.penntreaty.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.panamericanlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.pmic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +https://www.phoenixwm.phl.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.presidentiallife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.penncorp.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.pennlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/P +http://www.mutualofamerica.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/Pension +http://www.fidelitypensions.co.uk/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/Pension +http://www.erisa.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/Pension +http://www.nrpadvisors.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/Pension +http://www.ta-retirement.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/Pension +http://www.regencelife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/R +http://www.rsli.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/R +http://www.rbcinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/R +http://www.aia.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/R +http://www.sunsetlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://starmountlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +https://www.sbliusa.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://www.securitylife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://www.shenlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://www.settlerslife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +https://www.sbli.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://www.sunlife.com.ph/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://www.sunlife.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://www.siriusgroup.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/S +http://www.trustmarkins.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/T +http://www.transamerica.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/T +http://www.tdcanadatrust.com/tdinsurance/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/T +http://www.torchmarkcorp.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/T +http://www.twasite.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/T +http://www.ullico.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.unity-life.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.unitedheritage.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.uhahealth.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.utaic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.unicare.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.usablelife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.ugcorp.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.usa-cal.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.usfli.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.unitylife.ca/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.unum.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/U +http://www.vzajemna.si/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/V +http://www.vsp.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/V +http://www.wpsic.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/W +http://www.westernreserve.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/W +http://www.westernsouthernlife.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/W +http://www.wpa.org.uk/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/W +http://www.westernlifeassurance.net/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/W +http://www.wula.com/ Top/Business/Financial_Services/Insurance/Carriers/Life_and_Health/W +http://www.tokiomarine-nichido.co.jp/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://asc-usi.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.progressive.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.atlam.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://accredited-inc.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.amig.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.congregational.co.uk/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.aami.com.au/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://www.axa-art.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.buckeye-ins.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.carolinacas.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.fcci-group.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.cbic.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.westernmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.jewelersmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.dairylandinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://dufferinmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.fmiwv.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.fmglobal.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.fmins.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.geico.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.worthcasualty.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.erc-corp.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.truckinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.nig-uk.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.amcinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.icwgroup.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.pennnationalinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.kingsway-financial.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.madisonmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.mbainsurance.net/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.mendota-insurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.michiganinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.mimillers.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.mvmic.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://nazarethmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.northlandins.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.portagemutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.securitymutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.seibels.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://tigspecialty.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://www.travelers.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.twmic.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.wnins.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.oldunited.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.thedoctors.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.rockfordmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.electricinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.thebarnstable.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.unionmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.wiins.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.rockinghamgroup.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.repwest.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.arizonahomeinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.nycm.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.acentralinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.foremost.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://www.ciginsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.unitedfiregroup.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.stateauto.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.emcins.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.if.ee/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.phly.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.belleprairie.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.afi.org/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.celinainsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://www.erieinsurance.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.firemansfund.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://www.kemutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.nationalgeneral.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.mygeosource.com/GVIC/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://thecommonwell.ca/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.kemper.com/wps/portal/Kemper/Home Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://www.heartlandfarmmutual.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.coverys.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.rsagroup.ca/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://allied.mv/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://new.chubb.com/en/ca/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +https://www.selective.com/ Top/Business/Financial_Services/Insurance/Carriers/Property_and_Casualty +http://www.studioscognamiglio.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.gielisch.de/ Top/Business/Financial_Services/Insurance/Claims +http://www.gallagherbassett.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.ohioadjusters.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.firemark-investigation.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.catadjuster.org/ Top/Business/Financial_Services/Insurance/Claims +http://www.npccrs.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.hickman-shearer.co.uk/ Top/Business/Financial_Services/Insurance/Claims +http://www.mibi.ie/ Top/Business/Financial_Services/Insurance/Claims +http://www.daviesandgray.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.klaipedosnetas.lt/ Top/Business/Financial_Services/Insurance/Claims +http://www.certusclaims.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.rvappraisals.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.datascribe-inc.com/ Top/Business/Financial_Services/Insurance/Claims +http://www.napia.com/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.easternclaimsconference.com/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://okclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.rpa-adjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.insurancefraud.org/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.ofainc.com/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.naiia.com/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.appraisers.org/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.isa-appraisers.org/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.bicma.org.uk/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.iada.org/ Top/Business/Financial_Services/Insurance/Claims/Associations +http://www.tmarkfay.com/ Top/Business/Financial_Services/Insurance/Claims/Consulting +http://www.alacrityservices.com/ Top/Business/Financial_Services/Insurance/Claims/Consulting +http://www.imrr.net/ Top/Business/Financial_Services/Insurance/Claims/Consulting +http://www.disabilityconcepts.com/ Top/Business/Financial_Services/Insurance/Claims/Consulting +http://www.insuranceaudits.com/ Top/Business/Financial_Services/Insurance/Claims/Consulting +http://www.haig-lewin.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://insuranceclaimsconsult.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.vericlaiminc.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.custard.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.crmsinc.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.dakotaclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.earenfroe.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.frontieradjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.js-investigations.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.johnseastern.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.kernaghan.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.mimiclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.multi-lineclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.ncagroup.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.racadj.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.reliableadjusting.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.sgdinc.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.schneiderclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.schweglerassociated.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.claiminvestigations.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.woclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.wiltonalaska.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.davidmorse.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.montanaclaimsservice.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.ableclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.atlanticclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.calnorthadjustersllc.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.mccloudclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.moultonclaim.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.carolinaclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.quality-claims.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.cormieradjusting.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.bbcg.ca/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.premierclaim.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.mclarensyoung.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.kinders.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://nolanandcompany.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.nichs.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.boothandassociates.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.horsemeninc.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://ultimate-corp.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.pibadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://icsadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.crawfordandcompany.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.erquinnco.com/ Top/Business/Financial_Services/Insurance/Claims/Insurance_Industry_Support +http://www.srpsubro.com/ Top/Business/Financial_Services/Insurance/Claims/Legal_Services/Subrogation +http://www.usasubro.com/ Top/Business/Financial_Services/Insurance/Claims/Legal_Services/Subrogation +http://www.praxisconsulting.com/ Top/Business/Financial_Services/Insurance/Claims/Legal_Services/Subrogation +http://www.adjustersinternational.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.apicellaadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.thepublicadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.fennerandgravitz.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.hardmangroup.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.lewisandassociates.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.mainlineadjustment.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.metropa.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.propadj.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.claimsmgt.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.shopalberta.com/arbitration/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.digycat.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.gentilirossini.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.helpwithyourclaim.co.uk/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.publicadjustersusa.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.greenspanai.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.gabvalue.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.andrewknox.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.figlinassoc.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.adjust4u.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.insuranceclaimconsultants.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.skiptonandassociates.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.corporate-claims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.dietzinternational.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.adjustersoncall.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.continentaladjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.public-claims-adjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://members.shaw.ca/dougbutcher/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.collisionconsulting.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.iabclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://bdbirmingham.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.metroadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.9adjust.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.qualityclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.uclaim.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.insuranceclaimhelp.org/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.docudamage.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.theshelleygroupinc.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://insuranceclaimadvocates.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.rhinoclaim.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.transcoamericanclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.worldclaim.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.atlanticadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://homeclaims.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.getclaimhelp.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.propertyloss.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.unitedstatesadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://michiganfireclaimsinc.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.myfloridapublicadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://ablepublicadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.1800adjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.advocateclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.tlc-pa.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.insuranceclaims.co.uk/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.sill.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.atlanticcoastpa.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.cflid.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.adsic.kz/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.commonwealthadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.clearys.ie/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.suncoastclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.swerling.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.public-adjuster.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.floridalosspublicadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://horizonpublicadjusters.org/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.newjerseypublicadjusters.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.chicagopublicadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.executiveadjusting.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.harrisclaimsservices.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://intellaclaim.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.claimconsultantsintl.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.claimquest.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://proflossadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://insurancebusters.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.sarasohn.net/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.statepublicadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.communityclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.thehowarthgroup.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://hawaiipublicadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.floridianpa.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.lossrecoveryservices.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.aafpaa.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.claimsadvocates.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.publicadjuster.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://amclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.adjusterca.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://fivestarclaimsadjusting.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.apiadjusters.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://claimconcepts.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://diminishedvalue.co/ Top/Business/Financial_Services/Insurance/Claims/Public +http://benchmarkpa.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.carehomepayments.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://aboutinsuranceclaims.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://ai-texas.com/ Top/Business/Financial_Services/Insurance/Claims/Public +http://www.replacementservices.com/ Top/Business/Financial_Services/Insurance/Claims/Replacement_Services +http://www.gemcor.net/ Top/Business/Financial_Services/Insurance/Claims/Replacement_Services +http://www.lloydscasualty.com/ Top/Business/Financial_Services/Insurance/Commercial +http://www.rlicorp.com/ Top/Business/Financial_Services/Insurance/Commercial +http://www.plusweb.org/ Top/Business/Financial_Services/Insurance/Commercial/Associations +http://www.resorthotelinsurance.com/ Top/Business/Financial_Services/Insurance/Commercial/Associations +http://www.icisa.org/ Top/Business/Financial_Services/Insurance/Commercial/Associations +http://www.loanprotector.com/ Top/Business/Financial_Services/Insurance/Commercial/Consulting +http://www.icofmaine.com/ Top/Business/Financial_Services/Insurance/Commercial/Consulting +http://www.cws-gr.com/ Top/Business/Financial_Services/Insurance/Commercial/Consulting +http://www.floodwiz.com/ Top/Business/Financial_Services/Insurance/Commercial/Consulting +http://www.bankinsurance.com/ Top/Business/Financial_Services/Insurance/Commercial/Consulting +http://proinsure.ca/ Top/Business/Financial_Services/Insurance/Commercial/Consulting +http://www.saai.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.certificatesolutions.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.wis-inc.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.jackfries.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.renolan.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.roberthughes.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.aim-grp.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.mcdonaldconsultinggroup.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.aartrijk.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.allegientsystems.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.siver.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.altair-usa.net/ Top/Business/Financial_Services/Insurance/Consulting +http://www.rmfields.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.aamcompany.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.stewarteconomics.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.jheathco.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.merger-acquisition.net/ Top/Business/Financial_Services/Insurance/Consulting +http://northlandsvs.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.trilogy-consulting.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.licensingpros.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.abarileconsult.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.kcicllc.com/ Top/Business/Financial_Services/Insurance/Consulting +http://www.ultimateinsurancelinks.com/ Top/Business/Financial_Services/Insurance/Directories +http://www.ambest.com/ Top/Business/Financial_Services/Insurance/Directories +http://www.search4finance.com/insurance.html Top/Business/Financial_Services/Insurance/Directories +http://www.einsuranceprofessional.com/inscomp.htm Top/Business/Financial_Services/Insurance/Directories +http://www.insuranceinstitute.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.aeiclaimslaw.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.adbanker.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.aicpcu.org/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.brokered.net/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.kruise.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.infinityschools.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.trainingbroker.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.successins.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.examsimulator.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.insurance-schools.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.sitkins.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.floridaschool.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.ceproviders.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.licenserenewals.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.insuranceducators.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.richardsed.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.gopolestar.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.affordableeducators.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.ecuinc.biz/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.prismslearning.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.floridainsuranceschool.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.iti-ny.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://irmi.webce.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.ceisbooks.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.ilscorp.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.ceu.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.noblece.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.insurancece.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.proed-center.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.ieatraining.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.hondros.com/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.continuingeducationgroup.net/ Top/Business/Financial_Services/Insurance/Education_and_Training +http://www.premiercareers.com/ Top/Business/Financial_Services/Insurance/Employment +http://underwritingjobs.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.insuranceoverload.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.ultimateinsurancejobs.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.platzerrecruiting.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.insurancedayjobs.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.greatinsurancejobs.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.insuranceworkforce.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.insurancejobs.com/ Top/Business/Financial_Services/Insurance/Employment +http://jobs.actuary.com/ Top/Business/Financial_Services/Insurance/Employment +http://www.cnrsearch.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.lamortesearch.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.ceinsurance.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.bwhiggins.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.graylingassociates.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.insurancerecruiting.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.insurancerecruiters.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.nirassn.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.insurancestaffing.net/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.usinsurancerecruiters.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.jlnixon.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.northbridgeadmin.com.au/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.rmainc.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://leedsandleeds.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.freeman-ent.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.simon-solutions.net/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.rollinssearch.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.allisonpersonnel.com/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.hudsonassociates.net/ Top/Business/Financial_Services/Insurance/Employment/Recruitment_and_Staffing +http://www.afgi.org/ Top/Business/Financial_Services/Insurance/Financial_Guaranty +http://www.munibondadvisor.com/BondInsurance.htm Top/Business/Financial_Services/Insurance/Financial_Guaranty +http://www.cifg.com/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.fgic.com/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.ambac.com/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.mbia.com/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.xlca.com/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.aca.com/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.assuredguaranty.com/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.radian.biz/ Top/Business/Financial_Services/Insurance/Financial_Guaranty/Carriers +http://www.jeffbank.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.healthaxis.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.presidentiallife.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.phly.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.transre.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.whitemountains.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.hcch.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.stancorpfinancial.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.allianzgroup.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.fairfax.ca/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.unitedhealthgroup.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.aegon.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.cccis.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.cinfin.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.harleysvillegroup.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.meemic.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.navigators-insurance.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.oxhp.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.picoholdings.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.pmagroup.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.wrbc.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +https://www.generalandmedical.com/ Top/Business/Financial_Services/Insurance/Holding_Companies +http://www.loma.org/default.aspx Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.limra.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.mdrt.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.ifhp.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://nmhia.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://risfsp.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.aaltci.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.acli.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.guaa.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.financialpro.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.usba.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.advocis.ca/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.liam.org.my/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.nbch.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.alu-web.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations +http://www.fahu.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Health_Underwriters +http://www.iahu.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Health_Underwriters +http://www.uahu.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Health_Underwriters +http://www.ewahu.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Health_Underwriters +http://www.cahu.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Health_Underwriters +http://www.njahu.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Health_Underwriters +http://www.vahu.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Health_Underwriters +http://www.naifa.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Insurance_and_Financial_Advisors +http://www.naifa-mn.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Insurance_and_Financial_Advisors +http://www.ncaifa.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Insurance_and_Financial_Advisors +http://www.naifacalifornia.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Insurance_and_Financial_Advisors +http://www.naifa-il.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Insurance_and_Financial_Advisors +http://www.naifa-virginia.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Insurance_and_Financial_Advisors +http://www.naifawisconsin.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Associations/National_Association_of_Insurance_and_Financial_Advisors +http://www.afries.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.frasergroup.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.charlesworth.net/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.healthpol.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.nurseaudit.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.benschechter.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.barbarabrody.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.unitedreview.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.ricomaha.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.carewisehealth.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Consulting +http://www.cvty.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.healthplanofnevada.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.amerigroupcorp.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.firsthealth.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.molinahealthcare.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.prospectmedical.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.concentra.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.healthhelp.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.corvel.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +https://www.bupa.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.chipa.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.humana.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.welshmountain.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.ashcompanies.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.ccbh.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.dhcs.ca.gov/services/medi-cal/Pages/default.aspx Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care +http://www.ncqa.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Associations +http://www.urac.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Associations +http://www.aappo.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Associations +http://www.amcp.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Associations +http://www.babicm.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Associations +http://www.deltadentalca.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.fhpl.net/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.firstcare.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.personalcare.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.hipusa.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +https://www.kaiserpermanente.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.nhp.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.cchphmo.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.care1st.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.scfhp.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://siho.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Health_Maintenance_Organizations_[HMO] +http://www.asurisnorthwesthealth.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.ccnusa.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.ihnppo.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.fortifiedprovider.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.healthscopebenefits.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.pphn.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.encoreconnect.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.ufcwnationalfund.org/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +https://www.uhcindia.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.onecallmedical.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.blueridgehealthnetwork.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Preferred_Provider_Organizations_[PPO] +http://www.managingmanagedcare.com/ Top/Business/Financial_Services/Insurance/Life_and_Health/Managed_Care/Resources +http://www.insurancenetworking.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.claims-portal.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.apiw.org/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.einsuranceprofessional.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.cii.co.uk/is Top/Business/Financial_Services/Insurance/News_and_Media +http://www.programbusiness.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.scinsnews.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.insurance-business-review.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.producersweb.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.artemis.bm/ Top/Business/Financial_Services/Insurance/News_and_Media +http://fscmarketlink.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.ltcconnection.com/ Top/Business/Financial_Services/Insurance/News_and_Media +http://www.topix.com/business/insurance Top/Business/Financial_Services/Insurance/News_and_Media +http://www.topix.com/rss/business/insurance.xml Top/Business/Financial_Services/Insurance/News_and_Media +http://www.insurancenewsnet.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.advisortoday.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.claimsmag.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.tradepub.com/free/ri Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.asiainsurancereview.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.claimspages.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.ltcsales.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.agentandbroker.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.nationalunderwriter.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.insurance-journal.ca/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.hi-mag.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.judydiamond.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.calbrokermag.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.mib.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.roughnotes.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.insurancejournal.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Magazines_and_E-zines +http://www.ambest.com/news/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.barlist.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.namic.org/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.propertyandcasualty.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.sirnet.org/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.msaresearch.com/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.actuarialnews.org/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.tdi.texas.gov/company/ Top/Business/Financial_Services/Insurance/News_and_Media/Reporting_and_Regulatory_Journals +http://www.namic.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.erraonline.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.insurancestats.com.au/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.bluegoose.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.biba.org.uk/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.georgiaunderwriting.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.illinoisinsurance.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.mamic.net/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.icnj.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.aiadc.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.cpcusociety.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.agentsalliance.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.csio.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.ibc.ca/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.miic.org.uk/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.aiaweb.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.aaisonline.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.piam.org.my/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.iiminfo.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.ohioinsurance.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.iihs.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations +http://www.ibac.ca/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/Insurance_Brokers_Association_of_Canada +http://www.ibao.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/Insurance_Brokers_Association_of_Canada +http://www.pianet.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.piand.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.piavadc.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.piaofnc.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.piaonline.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +https://www.piaw.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.piaga.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.piafl.org/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.pianeia.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Associations/National_Association_of_Professional_Insurance_Agents +http://www.gettyengineering.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Consulting +http://www.msonet.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Consulting +http://www.nationalunderwriting.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Consulting +http://www.riscllc.com/ Top/Business/Financial_Services/Insurance/Property_and_Casualty/Consulting +http://www.condonlaw.com/ Top/Business/Financial_Services/Insurance/Reinsurance +http://www.catex.com/ Top/Business/Financial_Services/Insurance/Reinsurance +http://www.ruschlikon.net/ Top/Business/Financial_Services/Insurance/Reinsurance +http://www.devonshiregroup.com/ Top/Business/Financial_Services/Insurance/Reinsurance +http://www.irasinc.com/ Top/Business/Financial_Services/Insurance/Reinsurance +http://www.brma.org/ Top/Business/Financial_Services/Insurance/Reinsurance/Associations +http://www.irua.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Associations +http://www.reinsurance.org/ Top/Business/Financial_Services/Insurance/Reinsurance/Associations +http://www.under35s.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Associations +http://www.summit-re.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.guycarp.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.benfieldgroup.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.marineaviation.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.unionsimedarby.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.usre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.filco.net/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.evergreenre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.aon.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.jltgroup.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.heathlambert.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.willisre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.kclife.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.ahintermediaries.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.accessre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.re-solutions.net/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://timmonsre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Brokers +http://www.toare.co.jp/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.aleagroup.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.everestregroup.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.munichre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.optimumre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.partnerre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.rgare.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.swissre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.transre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.riskbasedsolutions.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.sava-re.si/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.unifiedlife.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.odysseyre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.genre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.hannover-re.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.renre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.ccr.fr/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.koreanre.co.kr/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.endurance.bm/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.awac.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.archcapgroup.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.thaire.co.th/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.millire.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.malaysian-re.com.my/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.africa-re.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.dorinco.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.ioare.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.labuanre.com.my/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.wiltonre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.ghanare.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.scor.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.crc.com.tw/index2.asp Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.customdisability.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.marclife.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.poolre.co.uk/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://gicofindia.in/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.aspen.co/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.axiscapital.com/en-us Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.polishre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.southwestre.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Carriers +http://www.providerrisk.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Consulting +http://www.risk-reinsurance-solutions.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Consulting +http://www.paragonbenfield.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Consulting +http://www.qsi-r2.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Consulting +http://www.grantconsulting.us/ Top/Business/Financial_Services/Insurance/Reinsurance/Consulting +http://axisins.com/ Top/Business/Financial_Services/Insurance/Reinsurance/Consulting +http://www.globalreinsurance.com/ Top/Business/Financial_Services/Insurance/Reinsurance/News_and_Media +http://www.insiderquarterly.com/ Top/Business/Financial_Services/Insurance/Reinsurance/News_and_Media +http://www.reactionsnet.com/ Top/Business/Financial_Services/Insurance/Reinsurance/News_and_Media +http://www.informainsurance.com/ Top/Business/Financial_Services/Insurance/Reinsurance/News_and_Media +http://www.insuranceinsider.com/ Top/Business/Financial_Services/Insurance/Reinsurance/News_and_Media +http://www.natrisk.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.exploredata.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.qualityplanning.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.mcneary.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.sigmarisk.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.stegnerconsulting.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.rmri.co.uk/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.riskmetrics.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.riskcare.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.rmrrisk.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://riskconsult.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.lmc-softtest.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.riskmanagementstrategies.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.idrisk.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://marsh.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.robertmcurrey.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.risk-doctor.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.inrico.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.rmsolutions.ca/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.consultibc.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.jmbins.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.periculum.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.imacorp.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.seattlespecialty.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://icrmsonline.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.fluxrisk.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.arimi.org/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.sgen.com.au/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.partnerslosscontrol.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.prmp.co.uk/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.riskrewardlimited.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.firestorm.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://en.wikipedia.org/wiki/Risk_management Top/Business/Financial_Services/Insurance/Risk_Management +http://www.alexanderforbes.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.alperservices.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.appliedriskcontrol.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.austega.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.basisrisk.com.au/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.breitstone.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.connleywalker.com.au/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.cti5.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.towerswatson.com/ Top/Business/Financial_Services/Insurance/Risk_Management +http://pncr.co.uk/ Top/Business/Financial_Services/Insurance/Risk_Management +http://www.thefirma.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.rmahq.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.aepronet.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.armnortheast.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.aria.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.erraonline.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.parma.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.primacentral.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.agrip.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://airmic.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.ashrm.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.garp.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.theirm.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.rims.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.sra.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.irmsa.org.za/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.rmia.org.au/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.wrma.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Associations +http://www.captive.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives +http://www.strategicrisks.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives +http://www.captiveresources.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives +https://www.capstoneassociated.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives +http://www.captives.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives +http://www.garnetcaptive.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives +http://www.captiveinsurancecompanies.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives +http://www.sccia.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Associations +http://www.vcia.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Associations +http://www.azcia.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Associations +http://www.dccaptives.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Associations +http://www.hawaiicaptives.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Associations +http://www.imac.ky/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Associations +http://tlie.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Insurers +http://www.afiic.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Insurers +http://www.gemre.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Insurers +http://www.housingcenter.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Insurers +http://www.trianglecompanies.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Captives/Insurers +http://www.civicassurance.co.nz/ Top/Business/Financial_Services/Insurance/Risk_Management/Pools +http://www.mmia.net/ Top/Business/Financial_Services/Insurance/Risk_Management/Pools +http://www.ritrust.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Pools +http://www.cfsa.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Pools +http://www.mirma.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Pools +http://www.niac.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Pools +http://www.texasassociationofpublicschools.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Pools +http://www.irmi.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Resources +http://www.globalcontinuity.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Resources +http://www.continuitycentral.com/ Top/Business/Financial_Services/Insurance/Risk_Management/Resources +http://www.riskinstitute.org/ Top/Business/Financial_Services/Insurance/Risk_Management/Resources +http://www.directreimbursement.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.rhadministrators.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.cobraaid.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.coastaladmin.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://dmg-at-work.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://cprtpa.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.fara.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.gma-usa.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.ugpinc.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.accesshma.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.mesvision.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.self-funded.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.benicomp.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.umr.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.idatpa.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.risksolutions.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.ims-tpa.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.eagleclaims.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.fleetclaims.net/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.bpstpa.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.natlplan.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://disabilitymanagementservices.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.driasi.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.getperformax.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.nmatpa.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.bactpa.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.nwadmin.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.selffunding.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.ecs-libertyville.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.maxonco.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.neuman-claims.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.agia.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.vitacompanies.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.healthcomp.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.rockvrisk.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.pomcogroup.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +https://www.hpainsurance.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.isi1959.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.ebsobenefits.com/ Top/Business/Financial_Services/Insurance/Third_Party_Administrators +http://www.gmmarine.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.alliednational.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.starlinegroup.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.amwins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.thecasongroup.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.primeasset.co.za/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.etreiber.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.picins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.kandkinsurance.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://uiaa.net/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.siaa.net/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.bupllc.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution +http://www.aamga.org/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Associations +http://www.napslo.org/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Associations +http://www.floridasurpluslinesassociation.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Associations +http://www.pima-assn.org/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Associations +http://www.ausco.fprsi.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.b-h-a.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.combinedgroup.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.everguardins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://gresham-inc.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.mediaprof.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://pcmgroup.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.seaboardunderwriters.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.target-capital.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.truservices.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://londonamericantx.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.the-mplc.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Commercial +http://www.jsausa.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Excess_and_Surplus +http://www.surpluslinemanagers.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Excess_and_Surplus +http://www.xsbrokers.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Excess_and_Surplus +http://www.valleyins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Excess_and_Surplus +http://www.crcins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Excess_and_Surplus +http://www.cuifla.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Excess_and_Surplus +http://www.annuityadvisors.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.bjfim.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.bsibroker.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.brokersaccess.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.calkinskramer.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.ronviola.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.icsglobalcorp.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://ltcsolutions.net/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.subrisk.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.pfsins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.safeharborfinancial.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.selarioagency.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.siamarketing.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.victorson.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://bobmccloskey.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.emimktg.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.dmi.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.towergatelifestyle.co.uk/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.dmbanet.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.jetter.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://bbxhale.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.lifepro.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.coxhealthplans.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.pbsworksforme.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://exceptionalriskadvisors.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.natbenco.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.healthcareil.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.lifeinsurance-southafrica.co.za/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.foxdisabilityins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.urlinsgroup.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Life_and_Health +http://www.iroquoisgroup.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +http://www.sbins.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +http://www.taga1.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +http://www.formexicoinsurance.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +http://www.ceta.co.uk/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +http://www.marketscout.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +http://www.baldwinandlyons.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +https://countrywidebrokerage.hosting-advantage.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Property_and_Casualty +http://www.chaucerplc.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Syndicates +http://www.beazley.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Syndicates +http://www.faraday.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Syndicates +http://www.watkins-syndicate.co.uk/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Syndicates +http://www.libertyspecialtymarkets.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Syndicates +http://xlcatlin.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Syndicates +http://www.cnahardy.com/ Top/Business/Financial_Services/Insurance/Wholesale_and_Distribution/Syndicates +http://arkselfinsure.com/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Associations +http://www.txans.org/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Associations +http://www.aascif.org/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Associations +http://www.wccp.org/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Associations +http://www.fwciweb.org/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Associations +http://www.mwcia.org/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Associations +http://www.workcompaudit.com/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Consulting +http://www.wcaudit.com/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Consulting +http://www.empirepac.com/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Consulting +http://www.compcheck.net/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Consulting +http://www.cutcomp.com/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Consulting +http://www.ncci.com/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Consulting +http://www.cutcompcosts.com/ Top/Business/Financial_Services/Insurance/Workers'_Compensation/Consulting +http://www.sarscapital.com/ Top/Business/Financial_Services/Investment_Banks +http://www.jpmorgan.com/ Top/Business/Financial_Services/Investment_Banks +http://www.ml.com/ Top/Business/Financial_Services/Investment_Banks +http://www.ms.com/ Top/Business/Financial_Services/Investment_Banks +http://www.gs.com/ Top/Business/Financial_Services/Investment_Banks +https://www.db.com/ Top/Business/Financial_Services/Investment_Banks +http://www.jegi.com/ Top/Business/Financial_Services/Investment_Banks +http://www.bankofscotland.co.uk/ Top/Business/Financial_Services/Investment_Banks +http://www.douglasgroup.net/ Top/Business/Financial_Services/Investment_Banks +http://www.cowen.com/ Top/Business/Financial_Services/Investment_Banks +http://www.updata.com/ Top/Business/Financial_Services/Investment_Banks +http://www.encapital.com/ Top/Business/Financial_Services/Investment_Banks +http://www.deutschebank.co.nz/ Top/Business/Financial_Services/Investment_Banks +http://www.merger.com/ Top/Business/Financial_Services/Investment_Banks +http://www.texada.com/ Top/Business/Financial_Services/Investment_Banks +http://www.csfb.com/ Top/Business/Financial_Services/Investment_Banks +http://www.kuhncap.com/ Top/Business/Financial_Services/Investment_Banks +http://www.leonardgreen.com/ Top/Business/Financial_Services/Investment_Banks +http://www.corsum.cz/en/ Top/Business/Financial_Services/Investment_Banks +http://www.presidio.com.sg/ Top/Business/Financial_Services/Investment_Banks +http://www.tmcapital.com/ Top/Business/Financial_Services/Investment_Banks +http://www.hsbc.com/ Top/Business/Financial_Services/Investment_Banks +http://www.rbcds.com/ Top/Business/Financial_Services/Investment_Banks +http://www.hlhz.com/ Top/Business/Financial_Services/Investment_Banks +http://www.oscargruss.com/ Top/Business/Financial_Services/Investment_Banks +http://www.glconline.com/ Top/Business/Financial_Services/Investment_Banks +http://www.gkbaum.com/ Top/Business/Financial_Services/Investment_Banks +http://www.gordiangroup.com/ Top/Business/Financial_Services/Investment_Banks +http://www.wallstreetorganization.com/ Top/Business/Financial_Services/Investment_Banks +http://www.tii.com/ Top/Business/Financial_Services/Investment_Banks +http://www.menke.com/ Top/Business/Financial_Services/Investment_Banks +http://www.barcap.com/ Top/Business/Financial_Services/Investment_Banks +http://www.guzman.com/ Top/Business/Financial_Services/Investment_Banks +http://www.kbw.com/ Top/Business/Financial_Services/Investment_Banks +http://www.crossborderent.com/ Top/Business/Financial_Services/Investment_Banks +http://www.griffinsecurities.com/ Top/Business/Financial_Services/Investment_Banks +http://www.ic-c.com/ Top/Business/Financial_Services/Investment_Banks +http://www.rwbaird.com/ Top/Business/Financial_Services/Investment_Banks +http://www.buchananstreet.com/ Top/Business/Financial_Services/Investment_Banks +http://www.macadamcapital.com/ Top/Business/Financial_Services/Investment_Banks +http://www.metosinvest.com/ Top/Business/Financial_Services/Investment_Banks +http://www.cameronthomson.com/ Top/Business/Financial_Services/Investment_Banks +http://www.greyco.com/ Top/Business/Financial_Services/Investment_Banks +http://www.agawampartners.com/ Top/Business/Financial_Services/Investment_Banks +http://www.janecapital.com/ Top/Business/Financial_Services/Investment_Banks +http://www.clsa.com/ Top/Business/Financial_Services/Investment_Banks +http://www.youngandpartners.com/ Top/Business/Financial_Services/Investment_Banks +http://www.allisonwilliams.com/ Top/Business/Financial_Services/Investment_Banks +http://www.energyspectrum.com/ Top/Business/Financial_Services/Investment_Banks +http://www.pharusadvisors.com/ Top/Business/Financial_Services/Investment_Banks +http://www.arabinvest.com.lb/ Top/Business/Financial_Services/Investment_Banks +http://www.simmonsco-intl.com/ Top/Business/Financial_Services/Investment_Banks +http://www.bmonesbittburns.com/ Top/Business/Financial_Services/Investment_Banks +http://www.avondaleonline.com/ Top/Business/Financial_Services/Investment_Banks +http://www.ziegler.com/ Top/Business/Financial_Services/Investment_Banks +http://www.cibcwm.com/ Top/Business/Financial_Services/Investment_Banks +http://www.aldermanco.com/ Top/Business/Financial_Services/Investment_Banks +http://www.instreampartners.com/ Top/Business/Financial_Services/Investment_Banks +http://www.macquarie.com/ Top/Business/Financial_Services/Investment_Banks +http://www.topix.com/rss/business/investment-banking.xml Top/Business/Financial_Services/Investment_Banks +http://www.nomuraholdings.com/ Top/Business/Financial_Services/Investment_Banks +http://www.jefferies.com/ Top/Business/Financial_Services/Investment_Banks +http://www.marketstreetpartners.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.huginonline.co.uk/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.wolfeaxelrod.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.redingtoninc.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.sternir.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.bernardgroup.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.theequitygroup.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.thomsonfinancialcarson.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.braincomm.com/ Top/Business/Financial_Services/Investment_Banks/Investor_Relations +http://www.ansleycapital.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.thecapitalcorp.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.greentreecapital.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.newburypiret.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.shieldsco.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.ciequities.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.bcccapital.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.dakgroup.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.thegreystonegroup.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.inmanco.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.prospect-partners.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.alerion.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.edsltd.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.twkinc.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.sperrymitchell.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.gulfstargroup.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.bengurbryan.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.gatewaypartnersinc.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.davischambers.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.prairiecap.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.woodbridgegrp.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.gulfatlanticcapital.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.innovationadvisors.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.headwatersmb.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.ruddercapital.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.bentleylp.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.dynastycapital.net/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.akinbay.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.dunningcapital.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.jordanknauff.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.cccinvestmentbanking.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.matrixcmg.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://roseview.com/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.mcfaddinmetis.net/ Top/Business/Financial_Services/Investment_Banks/Middle_Market +http://www.keatinginvestments.com/ Top/Business/Financial_Services/Investment_Banks/Reverse_Mergers +http://www.gopublic.com/ Top/Business/Financial_Services/Investment_Banks/Reverse_Mergers +http://www.tcc5.com/ Top/Business/Financial_Services/Investment_Banks/Reverse_Mergers +http://www.investmentbankersassociation.org/ Top/Business/Financial_Services/Investment_Banks/Reverse_Mergers +http://www.dodiligence.com/ Top/Business/Financial_Services/Investment_Services +http://www.investoradvisor.com/ Top/Business/Financial_Services/Investment_Services +http://www.thearbitragegroup.com/ Top/Business/Financial_Services/Investment_Services +http://www.swissadvantage.com/ Top/Business/Financial_Services/Investment_Services +http://www.ramirezco.com/ Top/Business/Financial_Services/Investment_Services +http://www.greatplainstrust.com/ Top/Business/Financial_Services/Investment_Services +http://www.crmllc.com/ Top/Business/Financial_Services/Investment_Services +http://www.advisortech.com/ Top/Business/Financial_Services/Investment_Services +http://www.commonfund.org/ Top/Business/Financial_Services/Investment_Services +http://www.am-a.com/ Top/Business/Financial_Services/Investment_Services +http://www.mscsonline.com/ Top/Business/Financial_Services/Investment_Services +http://www.mesirowfinancial.com/ Top/Business/Financial_Services/Investment_Services +http://www.liftburden.com/ Top/Business/Financial_Services/Investment_Services +http://www.ultimusfundsolutions.com/ Top/Business/Financial_Services/Investment_Services +http://www.leemunder.com/ Top/Business/Financial_Services/Investment_Services +http://www.rockco.com/ Top/Business/Financial_Services/Investment_Services +http://www.tmcdanco.com/ Top/Business/Financial_Services/Investment_Services +http://www.offwallstreet.com/ Top/Business/Financial_Services/Investment_Services +http://www.nubase.com/ Top/Business/Financial_Services/Investment_Services +http://www.forestlandgroup.com/ Top/Business/Financial_Services/Investment_Services +http://www.advisoryworld.com/ Top/Business/Financial_Services/Investment_Services +http://www.forestcap.com/ Top/Business/Financial_Services/Investment_Services +http://www.nepc.com/ Top/Business/Financial_Services/Investment_Services +http://www.saisecurities.com/ Top/Business/Financial_Services/Investment_Services +http://www.newriver.com/ Top/Business/Financial_Services/Investment_Services +http://www.armstrongpacific.com/ Top/Business/Financial_Services/Investment_Services +http://www.investorforce.com/ Top/Business/Financial_Services/Investment_Services +http://cincycapital.com/ Top/Business/Financial_Services/Investment_Services +http://www.fundaccount.com/ Top/Business/Financial_Services/Investment_Services +http://www.naps-ltd.com/ Top/Business/Financial_Services/Investment_Services +http://www.closetreasury.co.uk/ Top/Business/Financial_Services/Investment_Services +http://www.redbus.co.uk/ Top/Business/Financial_Services/Investment_Services +http://www.abkorelin.com/ Top/Business/Financial_Services/Investment_Services +http://www.altin.ch/ Top/Business/Financial_Services/Investment_Services +http://www.darierhentsch.com/ Top/Business/Financial_Services/Investment_Services +http://www.fundquest.com/ Top/Business/Financial_Services/Investment_Services +http://www.bridport.ch/ Top/Business/Financial_Services/Investment_Services +http://www.gicdirect.com/ Top/Business/Financial_Services/Investment_Services +http://www.investmentscorecard.com/ Top/Business/Financial_Services/Investment_Services +http://www.ubglobe.com/ Top/Business/Financial_Services/Investment_Services +http://www.iimagazine.com/ Top/Business/Financial_Services/Investment_Services +http://www.microrate.com/ Top/Business/Financial_Services/Investment_Services +http://www.charteris.co.uk/ Top/Business/Financial_Services/Investment_Services +http://www.markovprocesses.com/ Top/Business/Financial_Services/Investment_Services +http://ptsmanagement.com/ Top/Business/Financial_Services/Investment_Services +http://www.ocie.de/ Top/Business/Financial_Services/Investment_Services +http://www.photonicsinvestments.com/ Top/Business/Financial_Services/Investment_Services +http://www.ifdsgroup.com/ Top/Business/Financial_Services/Investment_Services +http://www.ftinstitutional.com/ Top/Business/Financial_Services/Investment_Services +http://www.quantitative.com/ Top/Business/Financial_Services/Investment_Services +http://www.wattcarmichael.com/ Top/Business/Financial_Services/Investment_Services +http://www.fdlfinancial.com/ Top/Business/Financial_Services/Investment_Services +http://www.mooringfinancial.com/ Top/Business/Financial_Services/Investment_Services +http://www.lucid-is.com/ Top/Business/Financial_Services/Investment_Services +http://www.historicequity.com/ Top/Business/Financial_Services/Investment_Services +http://www.iii.co.uk/ Top/Business/Financial_Services/Investment_Services +http://www.icmarc.org/ Top/Business/Financial_Services/Investment_Services +http://www.topix.com/rss/business/investment-services.xml Top/Business/Financial_Services/Investment_Services +http://www.statestreet.com/ Top/Business/Financial_Services/Investment_Services +http://www.clearbridge.com/ Top/Business/Financial_Services/Investment_Services +http://www.gecapitalinvestdirect.com/ Top/Business/Financial_Services/Investment_Services +http://www.msci.com/ Top/Business/Financial_Services/Investment_Services +http://www.moneysourceinc.com/ Top/Business/Financial_Services/Leasing_Services +http://www.ams-leasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.quailcap.com/ Top/Business/Financial_Services/Leasing_Services +http://www.milease.com/ Top/Business/Financial_Services/Leasing_Services +http://www.ncpleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.advantagefund.com/ Top/Business/Financial_Services/Leasing_Services +http://www.elease.com/ Top/Business/Financial_Services/Leasing_Services +http://www.rochesterleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.advantageleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.alliancefinancing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.ecentral.org/ Top/Business/Financial_Services/Leasing_Services +http://www.velcor.com/ Top/Business/Financial_Services/Leasing_Services +http://www.oblfinancial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.whitneycapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.maquilafinance.com/ Top/Business/Financial_Services/Leasing_Services +http://www.equipmentfinancing.org/ Top/Business/Financial_Services/Leasing_Services +http://www.lmtfinancial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.keystoneleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.accordlease.com/ Top/Business/Financial_Services/Leasing_Services +http://www.bizleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.kefonline.com/ Top/Business/Financial_Services/Leasing_Services +https://www.leaseplan.com/ Top/Business/Financial_Services/Leasing_Services +http://www.pacifica-capital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.nationalleasingco.com/ Top/Business/Financial_Services/Leasing_Services +http://www.efsolutionsinc.com/ Top/Business/Financial_Services/Leasing_Services +http://www.praeneste.com/ Top/Business/Financial_Services/Leasing_Services +http://www.abcoleasing.com/index.html Top/Business/Financial_Services/Leasing_Services +http://www.gen-cap.com/ Top/Business/Financial_Services/Leasing_Services +http://www.vgmfinancial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.firstcredit.com/ Top/Business/Financial_Services/Leasing_Services +http://harryfry.com/ Top/Business/Financial_Services/Leasing_Services +http://www.iconcapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.forumleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.financialfederal.com/ Top/Business/Financial_Services/Leasing_Services +http://www.harrisleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasedimensions.com/ Top/Business/Financial_Services/Leasing_Services +http://www.residco.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasefunders.com/ Top/Business/Financial_Services/Leasing_Services +http://www.northstarleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.fsmleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leaseurope.org/ Top/Business/Financial_Services/Leasing_Services +http://www.federal-leasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.goaffiliated.com/ Top/Business/Financial_Services/Leasing_Services +http://www.julesandassociates.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasesourceinc.com/ Top/Business/Financial_Services/Leasing_Services +http://www.diplomatcapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.socalleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.public-finance.com/ Top/Business/Financial_Services/Leasing_Services +http://www.quiktrak.com/ Top/Business/Financial_Services/Leasing_Services +http://www.centercapitalcorp.com/ Top/Business/Financial_Services/Leasing_Services +http://www.csafinancial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.aglease.com/ Top/Business/Financial_Services/Leasing_Services +http://ogdenleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.pbs4u.com/ Top/Business/Financial_Services/Leasing_Services +http://www.meridianfinance.com/ Top/Business/Financial_Services/Leasing_Services +http://www.american-leasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.netlease.com/ Top/Business/Financial_Services/Leasing_Services +http://www.accurateleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.amerifund.cc/ Top/Business/Financial_Services/Leasing_Services +http://www.pfsc.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasesource.net/ Top/Business/Financial_Services/Leasing_Services +http://www.elaonline.com/ Top/Business/Financial_Services/Leasing_Services +http://www.mbaleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.cbc-group.com/ Top/Business/Financial_Services/Leasing_Services +http://www.usfinancialgroup.com/ Top/Business/Financial_Services/Leasing_Services +http://www.intechfunding.com/ Top/Business/Financial_Services/Leasing_Services +http://www.ccafinancial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.nvla.org/ Top/Business/Financial_Services/Leasing_Services +http://www.leasingsolutionsllc.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasefoundation.org/ Top/Business/Financial_Services/Leasing_Services +http://www.ofccap.com/ Top/Business/Financial_Services/Leasing_Services +http://www.northland-financial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.acceleratedleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.bargainlease.com/ Top/Business/Financial_Services/Leasing_Services +http://www.ffsiusa.com/ Top/Business/Financial_Services/Leasing_Services +http://www.m2lease.com/ Top/Business/Financial_Services/Leasing_Services +http://www.sundarcorp.com/ Top/Business/Financial_Services/Leasing_Services +http://www.microfinancial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.firstgovernment.com/ Top/Business/Financial_Services/Leasing_Services +http://www.flexleasefinancial.com/ Top/Business/Financial_Services/Leasing_Services +http://www.e-f-n.com/ Top/Business/Financial_Services/Leasing_Services +http://www.allstatecapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.caladesicapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.delagelanden.com/ Top/Business/Financial_Services/Leasing_Services +http://www.orionfirst.com/ Top/Business/Financial_Services/Leasing_Services +http://www.tigerleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.eleaseinternational.com/ Top/Business/Financial_Services/Leasing_Services +http://www.21stcenturyleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasecure.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasemark.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leaseexperts.com/ Top/Business/Financial_Services/Leasing_Services +http://www.mosaicleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.saulhill.com/ Top/Business/Financial_Services/Leasing_Services +http://www.baystone.net/ Top/Business/Financial_Services/Leasing_Services +http://www.aelbf.com/ Top/Business/Financial_Services/Leasing_Services +http://www.ula.net/ Top/Business/Financial_Services/Leasing_Services +http://www.mdt-uk.com/ Top/Business/Financial_Services/Leasing_Services +http://www.capital-advance.com/ Top/Business/Financial_Services/Leasing_Services +http://www.firstpacificfunding.com/ Top/Business/Financial_Services/Leasing_Services +http://www.capstarleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.cornercapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.osfcorp.com/ Top/Business/Financial_Services/Leasing_Services +http://centralleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.sfccapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.triconleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.elasalle.com/ Top/Business/Financial_Services/Leasing_Services +http://www.tatonkacapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.coastalleasing.com/ Top/Business/Financial_Services/Leasing_Services +http://www.gatx.com/ Top/Business/Financial_Services/Leasing_Services +http://www.matrixbusinesscapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.topix.com/business/leasing Top/Business/Financial_Services/Leasing_Services +http://www.bluestreetcapital.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasewithpremier.com/ Top/Business/Financial_Services/Leasing_Services +http://summitleasingcorp.com/ Top/Business/Financial_Services/Leasing_Services +http://www.leasebynet.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.primelease.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.superiorleasing.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.swapalease.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.leasetrader.com/e/home.asp Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.gap-insurance.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.leasecompare.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.autoleasingspecialist.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.theleaseoutlet.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.autoflex.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.weleasebytel.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.unionleasing.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.dmautoleasing.com/ Top/Business/Financial_Services/Leasing_Services/Automotive +http://www.medallionfinancial.com/ Top/Business/Financial_Services/Loans +http://www.getsmart.com/ Top/Business/Financial_Services/Loans +http://www.helpcard.com/ Top/Business/Financial_Services/Loans +http://www.lendingtree.com/ Top/Business/Financial_Services/Loans +http://www.todaysmoney.com/ Top/Business/Financial_Services/Loans +http://www.1ffc.com/ Top/Business/Financial_Services/Loans +http://www.essexcredit.com/ Top/Business/Financial_Services/Loans +http://www.eloan.com/ Top/Business/Financial_Services/Loans +http://www.pioneermilitaryloans.com/ Top/Business/Financial_Services/Loans +http://www.genesisgroupinc.com/ Top/Business/Financial_Services/Loans +http://www.studentloan.com/ Top/Business/Financial_Services/Loans +http://www.nac-loans.com/ Top/Business/Financial_Services/Loans +http://www.celticcapital.com/ Top/Business/Financial_Services/Loans +http://www.carecredit.com/ Top/Business/Financial_Services/Loans +http://www.federalreserve.gov/fomc/fundsrate.htm Top/Business/Financial_Services/Loans +http://www.heightsfinance.com/ Top/Business/Financial_Services/Loans +http://www.mediqfinancial.com/ Top/Business/Financial_Services/Loans +http://www.worldbusinesscapital.com/ Top/Business/Financial_Services/Loans +http://loansfast.com/ Top/Business/Financial_Services/Loans +http://www.hfc.com/ Top/Business/Financial_Services/Loans +http://www.prosper.com/ Top/Business/Financial_Services/Loans +http://www.unsecuredsolutions.com/ Top/Business/Financial_Services/Loans +http://www.lyonfinancial.net/ Top/Business/Financial_Services/Loans +http://www.e-farmcredit.com/ Top/Business/Financial_Services/Loans +http://www.newhorizon.org/ Top/Business/Financial_Services/Loans +http://www.dontbebroke.com/ Top/Business/Financial_Services/Loans +http://www.americancapital.com/ Top/Business/Financial_Services/Loans +http://www.onemainfinancial.com/ Top/Business/Financial_Services/Loans +http://www.jjbest.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.toyotafinancial.com/ Top/Business/Financial_Services/Loans/Auto_Loans +https://www.hondafinancialservices.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.up2drive.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://pages.motors.ebay.com/buy/financing-center/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.roadloans.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://auto-loans.wellsfargo.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.woodsidecredit.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.mbfs.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.santanderconsumerusa.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.vipcarfinance.co.uk/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.carfinance.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.tdautofinance.com/ Top/Business/Financial_Services/Loans/Auto_Loans +https://www.usaa.com/inet/pages/bank_loan_auto Top/Business/Financial_Services/Loans/Auto_Loans +http://www.ally.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.capitalone.com/auto-financing/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.auto-money.co.uk/ Top/Business/Financial_Services/Loans/Auto_Loans +https://www.chase.com/auto-loans Top/Business/Financial_Services/Loans/Auto_Loans +http://bbt.com/bbtdotcom/lending/personal-loans/vehicle-loan.page Top/Business/Financial_Services/Loans/Auto_Loans +http://www.oraclefinance.co.uk/ Top/Business/Financial_Services/Loans/Auto_Loans +http://capitoltitleloans.com/ Top/Business/Financial_Services/Loans/Auto_Loans +http://credit.ford.com/ Top/Business/Financial_Services/Loans/Auto_Loans +https://www.usbank.com/loans-lines/auto-loans/ Top/Business/Financial_Services/Loans/Auto_Loans +https://www.53.com/borrowing-basics.html Top/Business/Financial_Services/Loans/Auto_Loans +https://logbookloans.co.uk/ Top/Business/Financial_Services/Loans/Auto_Loans +http://www.drivetime.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.acemotoracceptance.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.autoapproved.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.jdbyrider.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.cbtno.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.nationwideautolending.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.cnac.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.blueskyautofinance.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.universalautoloans.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://50stateautoloan.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.driversapproved.com/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.thecarfinancecompany.co.uk/ Top/Business/Financial_Services/Loans/Auto_Loans/Sub_Prime +http://www.eboatloans.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.offshorefinancial.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.sterlingacceptance.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.chesapeakefinancial.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.eyachtloan.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.excelcredit.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.boatloans.net/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.mfsloans.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.your-marine-lender.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.marinebanking.com/ Top/Business/Financial_Services/Loans/Boat_Financing +http://www.boatbanker.com/ Top/Business/Financial_Services/Loans/Boat_Financing +https://www.key.com/html/boat-loans.html Top/Business/Financial_Services/Loans/Boat_Financing +http://www.enhancepatientfinance.com/menu_pt.asp Top/Business/Financial_Services/Loans/Patient_Financing +http://www.patientsource.net/ Top/Business/Financial_Services/Loans/Patient_Financing +http://www.creditmedical.com/ Top/Business/Financial_Services/Loans/Patient_Financing +http://www.cashadvancenow.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.nationalcashadvance.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.worldcashnow.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.checkintocash.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.4paycheckadvance.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.ezpaydaycash.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.usadvance.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.745cash.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.mrpayday.ca/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.paydayloansuk.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.cashinawink.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.apncash.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.zippycash.ca/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.spotya.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.wirelend.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.nationalcashlenders.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +https://www.paydayuk.co.uk/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.providentpersonalcredit.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.paydayloanhelp.org/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.choicepersonalloans.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.quikcheck.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.ftc.gov/bcp/edu/pubs/consumer/alerts/alt060.shtm Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.fdic.gov/news/news/financial/2007/fil07083.html Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.moneyloanscompany.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.instantpaydaycash.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.checkcity.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.moneytreeinc.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +https://www.checkngo.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.cashdoctors.com.au/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.cashnetusa.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.speedycash.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.wonga.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.lendingstream.co.uk/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.cashtoday.com.au/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.cashlineloans.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.mrlender.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.310loan.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.fiatfinancial.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.accelloans.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.wagedayadvance.co.uk/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.advanceamerica.net/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.nationalpayday.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.hotpayday.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.cashone.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.financiercorp.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.paydayadvance.co.nz/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.shorttermloans.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.usacashservices.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +https://mobilequid.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.1stchoicemoney.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.dollarsdirect.ca/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +https://www.247moneybox.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +https://www.callcheckmate.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +https://www.ezmoney.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +https://www.mogo.ca/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.cashstore.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.americashplatinum.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.risecredit.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services +http://www.aocg.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services/Software +http://www.answersetc.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services/Software +http://www.introxl.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services/Software +http://www.cashtrax.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services/Software +http://www.echecktrac.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services/Software +http://paydayloanmanager.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services/Software +http://www.kwik-loan.com/ Top/Business/Financial_Services/Loans/Payday_Advance_Services/Software +http://www.turbotitleloan.com/ Top/Business/Financial_Services/Loans/Title_Loans +http://www.gregmcandrews.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.westbrookfin.com.au/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.investors-marketing.de/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.wechsler.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.thedesigndsk.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.capitalmarketrelations.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.michaelneill.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.whartonstrategic.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.smartmarketingnow.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.fundamentalmedia.net/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.businessvisions.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.leadsource.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.marketingpartners.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.ptarmiganmedia.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.fourbroadgate.com/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.lexicon.cm/ Top/Business/Financial_Services/Marketing_and_Advertising +http://www.statpay.com/ Top/Business/Financial_Services/Medical_Billing +http://www.acsmd.com/ Top/Business/Financial_Services/Medical_Billing +http://www.chiropractorbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.plexushealthsolutions.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mmcoa.com/ Top/Business/Financial_Services/Medical_Billing +http://www.angelfire.com/pa3/jarysmedicalbilling/ Top/Business/Financial_Services/Medical_Billing +http://www.mbpros.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mbmmedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.insurance-data.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medi-syn.com/ Top/Business/Financial_Services/Medical_Billing +http://k_coles.tripod.com/ Top/Business/Financial_Services/Medical_Billing +http://www.risingms.com/ Top/Business/Financial_Services/Medical_Billing +http://www.allegrobilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.promedllc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medac.com/ Top/Business/Financial_Services/Medical_Billing +http://www.texaspmb.com/ Top/Business/Financial_Services/Medical_Billing +http://www.nemmg.com/ Top/Business/Financial_Services/Medical_Billing +http://www.physibill.com/ Top/Business/Financial_Services/Medical_Billing +http://www.rbmusa.com/ Top/Business/Financial_Services/Medical_Billing +http://www.acc-q-data.com/ Top/Business/Financial_Services/Medical_Billing +http://www.18002getiba.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ambsinc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medexbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.peakhealthcare.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mmri-ny.com/ Top/Business/Financial_Services/Medical_Billing +http://www.totustuusmm.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medicalbilling4u.com/ Top/Business/Financial_Services/Medical_Billing +http://www.phylmart.com/ Top/Business/Financial_Services/Medical_Billing +http://www.solutions4mds.com/ Top/Business/Financial_Services/Medical_Billing +http://www.backofficemd.com/ Top/Business/Financial_Services/Medical_Billing +http://www.multimedbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.bestbillingservice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medproservices.net/ Top/Business/Financial_Services/Medical_Billing +http://www.rcmclean.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mdlouisville.com/ Top/Business/Financial_Services/Medical_Billing +http://www.phservnet.com/ Top/Business/Financial_Services/Medical_Billing +http://www.hserve.com/ Top/Business/Financial_Services/Medical_Billing +http://www.actioncollection.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mypmb.com/ Top/Business/Financial_Services/Medical_Billing +http://www.billshrinkers.com/ Top/Business/Financial_Services/Medical_Billing +http://www.reimbtech.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mehcc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.practicare.net/ Top/Business/Financial_Services/Medical_Billing +http://www.paradigm-healthcare.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ajubanet.net/ Top/Business/Financial_Services/Medical_Billing +http://www.medicount.com/ Top/Business/Financial_Services/Medical_Billing +http://www.directbillingassociates.com/ Top/Business/Financial_Services/Medical_Billing +http://www.anesthesiaservices.com/ Top/Business/Financial_Services/Medical_Billing +http://www.healthpromedical.com/ Top/Business/Financial_Services/Medical_Billing +http://www.progressivepractice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.precisionhcc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.anihcs.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medclaimsllc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.qmbs-medical-billing-service.com/ Top/Business/Financial_Services/Medical_Billing +http://www.m-e-b.com/ Top/Business/Financial_Services/Medical_Billing +http://www.podiatrybilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pmptrs.com/ Top/Business/Financial_Services/Medical_Billing +http://www.e-zbill.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medreviewllc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.instreamservices.com/ Top/Business/Financial_Services/Medical_Billing +http://www.prsinc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.senexco.com/ Top/Business/Financial_Services/Medical_Billing +http://www.payerconnection.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pacanomedical.com/ Top/Business/Financial_Services/Medical_Billing +http://www.quefinancial.com/ Top/Business/Financial_Services/Medical_Billing +http://www.fasttrackbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.genesisbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.claim.md/ Top/Business/Financial_Services/Medical_Billing +http://www.mtbc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.msmnet.com/ Top/Business/Financial_Services/Medical_Billing +http://www.emsclaims.com/ Top/Business/Financial_Services/Medical_Billing +http://www.wchsb.com/ Top/Business/Financial_Services/Medical_Billing +http://www.primarybilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.accubillinc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pomsid.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mbamedical.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mbsys.us/ Top/Business/Financial_Services/Medical_Billing +http://www.claimpower.com/ Top/Business/Financial_Services/Medical_Billing +http://www.remitdata.com/ Top/Business/Financial_Services/Medical_Billing +http://www.clinicservice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mednorth.com/ Top/Business/Financial_Services/Medical_Billing +http://www.phylogic.com/ Top/Business/Financial_Services/Medical_Billing +http://www.51oakwood.com/ Top/Business/Financial_Services/Medical_Billing +http://www.doctorsonlinebilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medicabilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mcawv.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medical-billing-solutions-tn.com/ Top/Business/Financial_Services/Medical_Billing +http://www.aurora-healthcare.com/ Top/Business/Financial_Services/Medical_Billing +http://www.kaizenus.com/ Top/Business/Financial_Services/Medical_Billing +http://www.chiropracticbillingservices.com/ Top/Business/Financial_Services/Medical_Billing +http://www.smartmedonline.com/ Top/Business/Financial_Services/Medical_Billing +http://metromedicare.50megs.com/ Top/Business/Financial_Services/Medical_Billing +http://www.time-track.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pbo.com/ Top/Business/Financial_Services/Medical_Billing +http://www.billworx.com/ Top/Business/Financial_Services/Medical_Billing +http://www.drsbillinginc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.avid-anesthesiology.com/ Top/Business/Financial_Services/Medical_Billing +http://www.saddlebacksoftware.com/ Top/Business/Financial_Services/Medical_Billing +http://www.rollinshealthcare.com/ Top/Business/Financial_Services/Medical_Billing +http://www.oandpoffice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.eyebillforyou.com/ Top/Business/Financial_Services/Medical_Billing +http://www.carecommunications.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medvaluebpo.com/ Top/Business/Financial_Services/Medical_Billing +http://www.accudataservice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.awmedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.claritycoding.com/ Top/Business/Financial_Services/Medical_Billing +http://www.amdmedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.onlinesharp.com/ Top/Business/Financial_Services/Medical_Billing +http://www.comp-med.com/ Top/Business/Financial_Services/Medical_Billing +http://www.arsnetwork.com/ Top/Business/Financial_Services/Medical_Billing +http://www.psychiatricbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mpbsinc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.aventallc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.directbillingservices.com/ Top/Business/Financial_Services/Medical_Billing +http://www.healthcare-automation.com/ Top/Business/Financial_Services/Medical_Billing +http://www.dmebillers.com/ Top/Business/Financial_Services/Medical_Billing +http://www.daleyservices.com/ Top/Business/Financial_Services/Medical_Billing +http://www.haaseandlong.com/ Top/Business/Financial_Services/Medical_Billing +http://www.samedaytranscriptions.com/ Top/Business/Financial_Services/Medical_Billing +http://www.osoh.com/ Top/Business/Financial_Services/Medical_Billing +http://www.outsourcemedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ppm-il.com/ Top/Business/Financial_Services/Medical_Billing +http://www.cpsbilling.net/ Top/Business/Financial_Services/Medical_Billing +http://www.healthquist.com/ Top/Business/Financial_Services/Medical_Billing +http://www.proclaiminc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.indoswift.com/ Top/Business/Financial_Services/Medical_Billing +http://www.westshorenet.com/ Top/Business/Financial_Services/Medical_Billing +http://www.a1bs.com/ Top/Business/Financial_Services/Medical_Billing +http://www.practicecare.com/ Top/Business/Financial_Services/Medical_Billing +http://www.psychbiller.com/ Top/Business/Financial_Services/Medical_Billing +http://www.billminderpro.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medpronow.com/ Top/Business/Financial_Services/Medical_Billing +http://www.cpcunlimited.com/ Top/Business/Financial_Services/Medical_Billing +http://www.billpro.net/ Top/Business/Financial_Services/Medical_Billing +http://www.electroniclaim.com/ Top/Business/Financial_Services/Medical_Billing +http://www.devington.com/ Top/Business/Financial_Services/Medical_Billing +http://www.paperlessdoc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.psapath.com/ Top/Business/Financial_Services/Medical_Billing +http://www.qualityproviderservice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medicalservicesmso.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pmbill.com/ Top/Business/Financial_Services/Medical_Billing +http://www.entbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ipcbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.eclaims.com/ Top/Business/Financial_Services/Medical_Billing +http://www.libertybilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.hmpllc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.kellison.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pmgpays.com/ Top/Business/Financial_Services/Medical_Billing +http://www.sjtinc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ciproms.com/ Top/Business/Financial_Services/Medical_Billing +http://www.physchoice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.consulmed.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pfspays.com/ Top/Business/Financial_Services/Medical_Billing +http://www.hms-systems.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medusabil.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medicalbillingphr.com/ Top/Business/Financial_Services/Medical_Billing +http://www.mcbeeassociates.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medi-corp.com/ Top/Business/Financial_Services/Medical_Billing +http://www.neltnerbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.atlanticmedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medamericabilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ohipbillingagents.com/ Top/Business/Financial_Services/Medical_Billing +http://www.practicemax.com/ Top/Business/Financial_Services/Medical_Billing +http://www.radmaxonline.com/ Top/Business/Financial_Services/Medical_Billing +http://www.healthcareprovidersolutions.com/ Top/Business/Financial_Services/Medical_Billing +http://www.advancedmcc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.edwardselectronicprocessing.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pbn-medbill.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pbamed.com/ Top/Business/Financial_Services/Medical_Billing +http://www.surgicalbilling.net/ Top/Business/Financial_Services/Medical_Billing +http://www.claimcare.net/ Top/Business/Financial_Services/Medical_Billing +http://www.elitemedicalbill.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medofficesolutions.net/ Top/Business/Financial_Services/Medical_Billing +http://www.mmpmi.com/ Top/Business/Financial_Services/Medical_Billing +http://www.1stopmdoffice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.phmnetwork.com/ Top/Business/Financial_Services/Medical_Billing +http://www.firstchoice-medical.com/ Top/Business/Financial_Services/Medical_Billing +http://www.xpressbillerz.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ttmedbill.com/ Top/Business/Financial_Services/Medical_Billing +http://www.raintreeinc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.healthcarebiller.com/ Top/Business/Financial_Services/Medical_Billing +http://www.billingsolutions.us/ Top/Business/Financial_Services/Medical_Billing +http://www.shoham.com/ Top/Business/Financial_Services/Medical_Billing +http://www.valleymedicalbillingservices.com/ Top/Business/Financial_Services/Medical_Billing +http://www.compuclaimbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.freewebs.com/ecsmedbill/ Top/Business/Financial_Services/Medical_Billing +http://www.northwestmedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medusind.com/ Top/Business/Financial_Services/Medical_Billing +http://www.meddata.com/ Top/Business/Financial_Services/Medical_Billing +http://www.lifeforcemanagement.com/ Top/Business/Financial_Services/Medical_Billing +http://www.billspectrum.com/ Top/Business/Financial_Services/Medical_Billing +http://www.taylorbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.clinicsolutions.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medicalbillinggroupllc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.proclaimhealthcare.com/ Top/Business/Financial_Services/Medical_Billing +http://www.gebbs.com/ Top/Business/Financial_Services/Medical_Billing +http://www.greatlakesmb.com/ Top/Business/Financial_Services/Medical_Billing +http://www.profmedbill.com/ Top/Business/Financial_Services/Medical_Billing +http://www.ventecgroup.com/ Top/Business/Financial_Services/Medical_Billing +http://www.azmedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://missy719-ivil.tripod.com/ Top/Business/Financial_Services/Medical_Billing +http://www.acsmb.com/ Top/Business/Financial_Services/Medical_Billing +http://www.pbatoday.com/ Top/Business/Financial_Services/Medical_Billing +http://www.outsourcebillingpro.com/ Top/Business/Financial_Services/Medical_Billing +http://www.cms-billing.com/ Top/Business/Financial_Services/Medical_Billing +http://www.emdeon.com/ Top/Business/Financial_Services/Medical_Billing +http://marinmedicalbilling.tripod.com/ Top/Business/Financial_Services/Medical_Billing +http://www.nationalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.thepsychbiller.com/ Top/Business/Financial_Services/Medical_Billing +http://www.m-scribe.com/ Top/Business/Financial_Services/Medical_Billing +http://www.snfcb.com/ Top/Business/Financial_Services/Medical_Billing +https://pragmaticpractice.com/ Top/Business/Financial_Services/Medical_Billing +http://www.doccomply.com/ Top/Business/Financial_Services/Medical_Billing +http://www.nextservices.com/ Top/Business/Financial_Services/Medical_Billing +http://www.interproweb.com/ Top/Business/Financial_Services/Medical_Billing +http://www.odbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.compassbilling.net/ Top/Business/Financial_Services/Medical_Billing +http://www.pmd.com/ Top/Business/Financial_Services/Medical_Billing +http://www.medicalbilling4docs.com/ Top/Business/Financial_Services/Medical_Billing +http://cmbsllc.net/ Top/Business/Financial_Services/Medical_Billing +http://pfmsllc.com/ Top/Business/Financial_Services/Medical_Billing +http://msb-services.com/ Top/Business/Financial_Services/Medical_Billing +http://zotecpartners.com/ Top/Business/Financial_Services/Medical_Billing +http://www.theaccumedgroup.com/ Top/Business/Financial_Services/Medical_Billing +http://www.rapidreturnmedicalbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://mba-nc.com/ Top/Business/Financial_Services/Medical_Billing +http://www.dmeclaim.com/ Top/Business/Financial_Services/Medical_Billing +http://www.associatedbilling.com/ Top/Business/Financial_Services/Medical_Billing +http://www.billingcenterlist.com/ Top/Business/Financial_Services/Medical_Billing/Directories +http://www.brownre.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.peregrinmedicalreview.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.gatewayedi.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.codingstrategies.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.chis-inc.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.hmedata.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.healthcarebusinessoffice.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.ahacentraloffice.org/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.reimbursementcodes.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.freeclaims.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.hcca-info.org/ Top/Business/Financial_Services/Medical_Billing/Resources +http://members.myactv.net/~s.saxena/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.aacca.net/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.zirmed.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.hbma.org/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.medicalbillinglive.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://medbill.net/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.episodealert.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.doctorstoolbox.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.appeallettersonline.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.justcms1500forms.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.supercoder.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.apexedi.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.ambanet.net/amba.htm Top/Business/Financial_Services/Medical_Billing/Resources +http://www.billing-coding.com/ Top/Business/Financial_Services/Medical_Billing/Resources +http://www.ssiclaimsnet.com/ Top/Business/Financial_Services/Medical_Billing/Resources +https://www.firstdata.com/ Top/Business/Financial_Services/Merchant_Services +http://www.cybersource.com/ Top/Business/Financial_Services/Merchant_Services +http://www.worldpay.com/ Top/Business/Financial_Services/Merchant_Services +http://www.vantagecard.com/ Top/Business/Financial_Services/Merchant_Services +http://www.avanticorp.net/ Top/Business/Financial_Services/Merchant_Services +http://www.gtech.com/ Top/Business/Financial_Services/Merchant_Services +http://www.powercredit.com/ Top/Business/Financial_Services/Merchant_Services +http://www.gotmerchant.com/ Top/Business/Financial_Services/Merchant_Services +http://www.elect-mer.com/ Top/Business/Financial_Services/Merchant_Services +http://www.tidel.com/ Top/Business/Financial_Services/Merchant_Services +http://www.annomate.com/ Top/Business/Financial_Services/Merchant_Services +http://www.moneris.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.bankcardamerica.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.bridgeviewbank.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.businessamerica1.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.chargegateway.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.datacash.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.internetsecure.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.ipaymentinc.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.merchant-accounts.ca/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.multiservice.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.propay.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +https://www.securepay.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.upcbiz.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.ccnow.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.usms.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.ccavenue.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.firstatlanticcommerce.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.intellipay.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.securetrading.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.cardnetcorp.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.eprocessingnetwork.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.ecenow.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.heartlandpaymentsystems.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.npc.net/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.psigate.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.gettrx.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.transecute.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.global-payment-services.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.wholesaleprocessor.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.merchantservicesbergen.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.planetpayment.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.bankcardusa.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.chasepaymentech.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.instabill.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.1nps.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.crownmerchants.com/ Top/Business/Financial_Services/Merchant_Services/Card_Processing +http://www.paybyweb.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.checkvantage.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.checksoftware.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.draftability.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.checkman.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.e-complish.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.chekplus.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.checksnet.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://officepro.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.checkgateway.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.cross-check.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.ventanex.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://checkwriter.net/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.acheftproviders.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.verichek.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.clearcheck.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.icheckgateway.com/ Top/Business/Financial_Services/Merchant_Services/Check_Processing +http://www.escrow.com/ Top/Business/Financial_Services/Merchant_Services/Escrow_Services +http://www.layeway.com/ Top/Business/Financial_Services/Merchant_Services/Escrow_Services +http://www.bankcardlaw.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.infomerchant.net/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.merchant911.org/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.merchantaccountforum.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.themerchantsguide.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.unfaircreditcardfees.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.shopping-cart-reviews.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.electronicpayments.org/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.onlinepaysystems.info/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://projects.exeter.ac.uk/RDavies/arian/emoney.html Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.webpayments.ie/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.merchantmaverick.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.pymnts.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.cardpaymentoptions.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://swiftcodes.org/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.cardfellow.com/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://directresponseforum.org/ Top/Business/Financial_Services/Merchant_Services/Guides_and_Directories +http://www.electran.org/ Top/Business/Financial_Services/Merchant_Services/Organizations +http://www.napcp.org/ Top/Business/Financial_Services/Merchant_Services/Organizations +http://www.authorize.net/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.ccbill.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://paypernet.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.paymentpartners.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.othentik.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.symbiant.co.uk/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.paymentconsulting.biz/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.bdbdata.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.itransact.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.trustcommerce.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.e-xact.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.2checkout.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.orbian.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.eoneglobal.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.scinet-corp.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.cmcone.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.professionalcharges.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.merchantanywhere.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.safepaysys.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.revtrak.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.midamericasolutions.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.2000charge.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.clear2pay.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.universalpaymentprocessing.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.iveri.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.ffapaysmart.com.au/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems +http://www.ais-az.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Bills_Settlements +http://multicards.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Bills_Settlements +http://www.allopass.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Micropayments +http://www.westernunion.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.moneygram.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.netgiro.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.wmtransfer.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.nationalach.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.tsainc.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.neteller.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.transcardinter.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://duales.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.xoom.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.gmtonline.biz/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.globalcollect.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +https://www.aws24.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Money_Transfers +http://www.netbilling.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Recurrent_Billing +http://renttopay.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Recurrent_Billing +http://www.verotel.com/ Top/Business/Financial_Services/Merchant_Services/Other_Payment_Systems/Recurrent_Billing +http://www.merchant-accounts-4u.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/1 +http://1stamericancardservice.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/1 +http://www.adultcardprocessing.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/A +http://www.americanmerchant.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/A +http://www.ameribanks.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/A +http://www.beanstream.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/B +http://www.e-bankcard.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/B +http://www.b2u.nl/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/B +http://www.csigoldcoast.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.merchantsource.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.canada-merchant-accounts.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.telechargeit.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.charge.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.csimerchant.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.cardservice101.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.cardpayohio.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.merchantlane.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.cornerstonecard.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.cccpinc.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.cardservicewindycity.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://takecardstoday.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/C +http://www.mktmkt.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/D +http://www.emscorporate.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/E +http://www.gorealtime.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/E +http://www.ecxoc.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/E +http://www.eps-na.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/E +http://www.frontlineprocessing.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/F +http://www.globalpaymentsinc.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/G +http://www.givex.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/G +http://www.imerchantnetwork.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/I +http://www.merchantrates.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.takepayment.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.mastercard-visa.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.cardpay.net/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.merchantaccountassociates.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.meracard.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.moneris.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.msihq.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/M +http://www.nationalpaymentprocessing.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/N +http://www.prioritymerchantservices.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/P +http://www.primaxpayments.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/P +http://www.paybyweb.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/P +http://www.practicepaysolutions.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/P +http://www.paystation.co.nz/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/P +http://www.pacnetservices.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/P +http://www.paynetsystems.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/P +http://selecttransactions.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/S +http://www.signaturecard.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/S +http://www.totalmerchantservices.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/T +http://www.totalpaymentsystems.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/T +http://www.thompsonmerchant.com/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/T +http://www.vcs.co.za/ Top/Business/Financial_Services/Merchant_Services/Sales_Agents/V +http://www.kiva.org/ Top/Business/Financial_Services/Microfinance +http://www.unitedprosperity.org/ Top/Business/Financial_Services/Microfinance +http://www.mftransparency.org/ Top/Business/Financial_Services/Microfinance +http://www.wokai.org/ Top/Business/Financial_Services/Microfinance +http://indiamicrofinance.com/ Top/Business/Financial_Services/Microfinance +http://mixmarket.org/ Top/Business/Financial_Services/Microfinance +http://www.finca.org/ Top/Business/Financial_Services/Microfinance +http://www.lendwithcare.org/ Top/Business/Financial_Services/Microfinance +http://www.microcapital.org/ Top/Business/Financial_Services/Microfinance +http://www.veecus.com/ Top/Business/Financial_Services/Microfinance +http://www.ada-microfinance.org/ Top/Business/Financial_Services/Microfinance +http://www.napmw.org/ Top/Business/Financial_Services/Mortgages/Associations +http://www.acuma.org/ Top/Business/Financial_Services/Mortgages/Associations +http://www.nrmlaonline.org/ Top/Business/Financial_Services/Mortgages/Associations +http://www.namb.org/ Top/Business/Financial_Services/Mortgages/Associations +http://upfrontmortgagebrokers.org/ Top/Business/Financial_Services/Mortgages/Associations +http://mortgagebankers.org/ Top/Business/Financial_Services/Mortgages/Associations +http://www.yourmortgage.com.au/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.erate.com/calc.htm Top/Business/Financial_Services/Mortgages/Calculators +http://www.vipps.com/mortgage-calculator.htm Top/Business/Financial_Services/Mortgages/Calculators +http://www.realestate-calc.com/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.mortgagesum.com/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.calculated.com/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.mortgagecalculator.org/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.mortgagecalculators.info/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.calculators4mortgages.com/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.drcalculator.com/mortgage/ Top/Business/Financial_Services/Mortgages/Calculators +http://www.the-loancalculator.com/home-mortgage.aspx Top/Business/Financial_Services/Mortgages/Calculators +https://www.mortgagewarehouse.co.nz/tools Top/Business/Financial_Services/Mortgages/Calculators +http://mortgage-x.com/ Top/Business/Financial_Services/Mortgages/Directories +http://www.mortgagemag.com/ Top/Business/Financial_Services/Mortgages/Directories +http://www.compareinterestrates.com/ Top/Business/Financial_Services/Mortgages/Directories +http://www.lendfast.com/ Top/Business/Financial_Services/Mortgages/Directories +http://www.ezdesk.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +http://www.kambuck.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +http://www.xinnix.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +http://trainingpro.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +http://www.gregfrost.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +http://www.walkerandmiller.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +http://www.brokerschool.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +https://capstoneinstitute.com/ Top/Business/Financial_Services/Mortgages/Education_and_Training +http://www.mbaa.org/ Top/Business/Financial_Services/Mortgages/Mortgage_Banking +http://www.scotsmanguide.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.mortgageoriginator.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.nationalmortgagenews.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.mortgagedaily.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.mortgagenewsdaily.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.canadianmortgagetrends.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.housingwire.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.blownmortgage.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://ml-implode.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.thetruthaboutmortgage.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.insidemortgagefinance.com/ Top/Business/Financial_Services/Mortgages/News_and_Media +http://www.mortgageprocessor.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.loanassist.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.willow-creek.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.calyxsoftware.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.mortgageflex.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.spn-loans.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.loanamortizer.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.idsdoc.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.lendersupport.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.docmagic.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.originationpro.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.advectis.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.digitaldocs.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.mortgagebot.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.fics.com/ Top/Business/Financial_Services/Mortgages/Processing +http://www.mortgagechoice.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.aussiehomeloans.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.selectmortgageservices.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.morganbrooks.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.mortgagehouse.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.loanfinder.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +https://www.rams.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.nationwidemortgage.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.nationalmortgage.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.smartline.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.elgroup.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.mortgagefreeaustralia.com/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.themortgagegallery.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.mortgageworldaustralia.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.aaamortgagesolutions.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.homeloanconnexion.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.firstchoicemortgage.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.bluestone.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.macrofinans.com/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.amortgage.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.loansite.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.calvarygroup.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://properfinance.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.webdeal.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.loansense.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.mortgageaustralia.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.smartsearchfinance.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.homeloans.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.drmortgage.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia +http://www.aaamortgages.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/New_South_Wales +http://www.mortgagestore.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/New_South_Wales +http://www.mortgage-wisdom.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/New_South_Wales +http://jrhl.com/ Top/Business/Financial_Services/Mortgages/Regional/Australia/New_South_Wales +http://mortgagesforwomen.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/New_South_Wales +http://www.hqhomeloans.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/New_South_Wales +http://homeloans-badcredit.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/New_South_Wales +http://www.aaafin.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Queensland +http://www.qfh.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Queensland +http://www.pacificmortgage.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Queensland +http://www.homeloanspecialists.net/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Queensland +http://www.bfl.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Queensland +http://www.futurefinancial.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Queensland +http://www.housingloansgroup.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Victoria +http://www.imortgage.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Victoria +http://www.interestrate.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Victoria +http://www.unitedhomeloans.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Victoria +http://www.fussfreefinance.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Victoria +http://www.peachhomeloans.com.au/ Top/Business/Financial_Services/Mortgages/Regional/Australia/Victoria +http://invis.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mortgageland.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.homefund.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.covemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.cmcapitalcorp.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.midislandmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.equitabletrust.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mortgagemakers.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.gomortgagebroker.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mcap.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.onestopmortgage.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.donohuefinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mortgageforless.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mortgagecentre.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.penmor.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.chip.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.okanaganmortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.bowdenmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.lighthousemortgage.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://mortgageintelligence.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mybcmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mtg-plus.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.firstline.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://garibaldimortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.consumerschoicemortgages.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.wildwoodcapitalinc.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://kamloopsmortgagegroup.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.canadian-mortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.truenorthmortgage.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.lendingmax.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.kelownamortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.securemortgage.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.realmortgagesolutions.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.firstnational.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://absolutemortgage.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.genesismortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.centum.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.pioneerwest.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.cmhc-schl.gc.ca/en/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.discountmortgagecanada.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.capitaldirect.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.canadianmortgagesinc.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.lsm-secure.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.omac.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +https://www.blueshorefinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.mortgagegroup.com/ Top/Business/Financial_Services/Mortgages/Regional/Canada +https://www.superbrokers.ca/ Top/Business/Financial_Services/Mortgages/Regional/Canada +http://www.interstatemortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.alliancemtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.crhome.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mortgagecafe.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.freddiemac.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.lendingexpo.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.homeloanbank.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.starmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.newportcapitalcorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mortgage4homes.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mortgagebase.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.approvedonline.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.ernstfinancialservices.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.rivercitymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.look4mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.citimortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.phhmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.prosperitymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.cuhlc.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.abcloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.lendersxchange.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.1stmidwestloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.taum4loans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.interestonlyloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mortgage-lenders-plus.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.adobefinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.hartfordfunding.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.everhomemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.themoneystore.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.amortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.comm-loans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.ditech.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.fanniemae.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.great-eastern.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.farmermac.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.afcmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.firsthorizon.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mortgageinvestors.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.american-home-equity-loans.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.fammortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.katzmortgageteam.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.atlantismtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mortgageit.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.quickenloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mhloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.nationstarmtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.fhahomeloanmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.horizonbank.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.mccormicklendinggroup.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.starwestmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.worldwidecredit.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States +https://www.provident.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.ziegler.com/investment-banking/religion/ Top/Business/Financial_Services/Mortgages/Regional/United_States +http://www.lawhornmortgagecompany.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alabama +http://www.americapitalfunding.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alabama +http://www.magnoliamortgagecompany.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alabama +http://www.firstlenders.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alabama +http://www.divermtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alabama +http://www.mortgageprosinc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alabama +http://www.lifestyle-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alabama +http://www.alaskausamortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alaska +http://www.residentialmtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alaska +http://www.titanmortgage.com Top/Business/Financial_Services/Mortgages/Regional/United_States/Alaska +http://www.firstrateak.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alaska +http://www.homestatemtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Alaska +http://www.fcfs.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.originalmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.fairwaymtgaz.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.mortgageloansaz.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.azmortgagepro.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.certoteam.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.csimortgageaz.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.novahomeloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://myreversehelpline.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.arizona-reverse-mortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://loantucson.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.prostaffmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.jeremyhouse.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.azlendingexperts.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.reversesecure.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.capstone-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.paramountfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arizona +http://www.carrollmortgagegroup.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arkansas +http://www.firstarkansasfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Arkansas +http://www.hotloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.ranchosantafemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.tierracapital.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.resourcemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.loan-wolf.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.calcofinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.sunrisevista.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.pfeifferfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.paradisefinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.rbfinancialservices.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.homeloans411.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.dominfin.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.e-constructionloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.libertyfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.oceansidemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.centek.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.davemeis.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.fundingusa.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.stratisfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.paylessloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.cbinvestments.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.dreamhomeloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.loansdoneright.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.deltalending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.sheamortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.gemcorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.emortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.polonsky.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.pacificfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.lafayettefinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.closeprobate.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.reversemortgagestore.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.pacificinland.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.mvfs.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.newloan4you.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.1stwesterngroup.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.homeconstructionloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.harpfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.firstratemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.firsttimehomebuyercenter.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.swm-co.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.trivalleylending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://lasallefinance.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.carmel-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://pdjsprivatelendersnetwork.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.reverse-your-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://qualityfunding.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.tofinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://residentialfirst.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.patagoniafinance.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.alliedcommercialfunding.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.lyndel.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.platinumfundinginc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.loanforbiz.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.closeyourownloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.southlandhomefinance.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.nationwideconstructionloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.sunpacificmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.pyramidcapitalinvestments.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/California +http://www.dwhite.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.lendingcorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.lifetimelender.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.creativemortgagefunding.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.ulc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.southparkmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.realtymortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.veteranhomeloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.edenvermortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.montegra.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.loansatwholesale.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.boulderhomeloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.colohfa.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.maximumfinancialinc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.mortgagemint.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.mountaincrest.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.distinctivehomelending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.reedmc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.academynational.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://macrofinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.megastarfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.coloansonline.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.fhaonline.biz/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Colorado +http://www.pfsmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://www.landmark-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://www.statefinance.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://www.totalmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://www.righttracfg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://www.elmtreefunding.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://guaranty-federal.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://www.westportmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://atlanticresidential.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Connecticut +http://www.louviersmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Delaware +http://www.floridamortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.sharpemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.bachmanlear.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.loansconsolidation.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.uamc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.shorelinemtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.jumbolending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.loanyes.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.halpernassociates.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.baysidemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.chasediversified.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.leadingedgemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.midfloridamortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://khmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.westshoremortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.capitaltrustmtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.firsthousingfl.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.manhattanmortgageusa.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.orlandofinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.web-mtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.samplemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.americasmoneysource.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.rosshomeloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.manningfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.firstequitymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Florida +http://www.mbag.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.familyga.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.southeastmortgage.us/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.amstarloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.peachtreemortgageservices.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.hometownmortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.georgialending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.atlantahomeloans.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.atlantagamortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.mortgage-atlanta.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +https://banknorthgeorgia.synovus.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Georgia +http://www.pacificaccessmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Hawaii +http://ainamortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Hawaii +http://www.coventrymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Idaho +http://www.amresco.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Idaho +http://www.trinityhomemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Idaho +http://www.corbymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.sterlinghomemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.angelfire.com/il2/forummtg/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.firsthomebuyers.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.fcmortgages.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.cfsmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.hartfordloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.acclaimmortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://imba.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.illinoismortgagebroker.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +https://www.accunet.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.compmort.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Illinois +http://www.diamondmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Indiana +http://www.indymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Indiana +http://www.ruoff.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Indiana +http://www.gotosummitmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Indiana +http://approvedmortgageonline.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Kansas +http://www.leader1.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Kansas +http://www.nbofkc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Kansas +http://www.royalmortgageco.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Kentucky +http://www.victorymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Kentucky +http://www.financeauthority.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Louisiana +http://www.avenue-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Louisiana +http://www.bighorizonmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maine +http://www.bartonmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maine +http://www.fidelityfirst.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.professionalmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.affinitymortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.atlanticfinancialinc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.amcmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.realtycouncil.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://baysidemortgageservices.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.fscontheweb.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://mortgagecompaniesloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.marylandsmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://marylandlowrates.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.gofirsthome.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.goldstandardfinancial.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://marylandlending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Maryland +http://www.massmba.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +https://www.diamondmortgageservice.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://www.askanchor.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://www.cmgloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://www.gombergfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://www.firsteastern.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://www.macap.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://www.newfed.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://mortgageresources.biz/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +https://www.leaderbank.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Massachusetts +http://www.americu.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Michigan +http://www.rmcreport.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Michigan +http://www.firstloans.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Michigan +http://www.mmla.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Michigan +http://lmcu.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Michigan +http://precisionfinancial.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Michigan +http://www.juliepiper.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Minnesota +http://www.physiciansmortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Minnesota +http://www.thorntonmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Minnesota +http://joemetzler.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Minnesota +http://www.tomraymond.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Minnesota +http://www.highlandfederalmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Minnesota +http://www.homesweethomeequity.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Minnesota +http://www.aamortg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Missouri +http://www.mortgage-ams.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Missouri +http://www.delmarfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Missouri +http://www.primemortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Missouri +http://www.stlouismortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Missouri +http://www.cfmloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Missouri +http://www.stllending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Missouri +http://www.globalmontana.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Montana +http://www.regentfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Nebraska +http://www.valleywestmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Nevada +http://www.chattelmortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Nevada +http://www.regencymtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Hampshire +http://www.omnimortgagecompany.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Hampshire +http://www.bluewatermtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Hampshire +http://www.presmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Hampshire +http://www.cousinshomelending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Hampshire +http://www.titlemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Hampshire +http://www.saramortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Hampshire +http://www.goldmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.cendantmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.alternativemortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.njlenders.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.theloantree.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://advantagehomefunding.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.atlantichomeloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.jerseymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.sqf.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.villanovagroup.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_Jersey +http://www.celticfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.nyamb.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://the203kbanker.home.mindspring.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.1stprioritymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.mortgageadvantage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.ajmfunding.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.wolkenberg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.eastcoastcap.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.dmlmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.fairviewmortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.gardencitymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.nfimortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.moneylinenewyork.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.firstratefunding.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.mortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/New_York +http://www.thiesnet.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/North_Carolina +http://www.amerisouth.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/North_Carolina +http://www.ncmortgageprofessionals.org/ Top/Business/Financial_Services/Mortgages/Regional/United_States/North_Carolina +http://www.forthebestrate.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/North_Carolina +http://www.itsabreeze.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/North_Dakota +http://www.emeraldmtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://towermortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.bancorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.signature-financial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.dollarbankmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.franklinfinancialgroup.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.callequity.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.physicianloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.bankersguarantee.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.mtgnow.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.fhainfo.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.gofirstsecurity.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.ammcorp.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.fairwayloansnortheastohio.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Ohio +http://www.loansnow.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.joshleake.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.stevelowary.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.directorsmortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.oregonlandmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.rosecitymtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.mountainmtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.knightfinancial1.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.oregonrealestateloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.pmrloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Oregon +http://www.pmc-pa.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.pottstownmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.homesourcemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.fedlend.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.centurylending.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.papreferredmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.fantasticrates.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.federatedmortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Pennsylvania +http://www.mtgpros.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Rhode_Island +http://www.familyfinancialgroup.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Rhode_Island +http://www.presidentialmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/South_Carolina +http://www.luceymortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/South_Carolina +http://www.firstrate-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/South_Carolina +http://www.agsouthfc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/South_Carolina +http://www.21stmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.franklinamerican.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.1st-southern.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.mortgage-south.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.crumpmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.bankmbc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.guarantytrust.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.mortgageinvestorsgroup.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://fountaincityfinance.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.fcmhomeloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Tennessee +http://www.colonialsavings.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.garyakright.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.saloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.houstoncapital.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.missionmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.neidermortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.adammortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.houseloan.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.morrismortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.goldfinancialservices.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.davispenn.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.lenderstexas.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.ascotmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.optimahomeloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.moneyctr.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.citymortgagetexas.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.visionmortgageco.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.loansmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.mortgageassoc.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.megamerica.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.amerimort.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.homeloanshouston.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.texasmortgagecenter.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.financiersmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.legacyreverse.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.smimortgage.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.advantageresidential.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Texas +http://www.integrityloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Utah +http://www.axiomfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Utah +http://www.brizzee.net/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Utah +http://www.firstcolonymortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Utah +http://www.sprucemortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Vermont +http://www.1stnatbk.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Virginia +http://www.firstmeridianmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Virginia +http://www.vhda.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Virginia +http://www.southerntrust.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Virginia +http://www.2ownahome.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Virginia +http://www.virginiamortgagelender.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Virginia +http://crownmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Virginia +http://www.loansnow.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.bestmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.mountainviewmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.yourequityservices.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.mortgagemasterwa.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.plnmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.wa-mortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.stjulienhomeloans.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.sammamishmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.primeonemtg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington +http://www.firstwashingtonmortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Washington,_DC +http://www.gatewaymortgagecorp.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Wisconsin +http://www.packerlandmortgagepros.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Wisconsin +http://www.wimort.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Wisconsin +http://www.firstwisconsinfinancial.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Wisconsin +http://www.superiormg.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Wisconsin +http://www.majormortgage.com/ Top/Business/Financial_Services/Mortgages/Regional/United_States/Wyoming +http://www.gtnews.com/ Top/Business/Financial_Services/News_and_Media +http://www.snl.com/ Top/Business/Financial_Services/News_and_Media +http://www.topix.com/rss/business/financial-services.xml Top/Business/Financial_Services/News_and_Media +http://journal.fsv.cuni.cz/ Top/Business/Financial_Services/News_and_Media +http://www.scotsmanguide.com/ Top/Business/Financial_Services/News_and_Media +http://www.fa-mag.com/ Top/Business/Financial_Services/News_and_Media +http://www.prnewswire.com/ Top/Business/Financial_Services/News_and_Media/News_Feeds +http://www.offshore-company.org/ Top/Business/Financial_Services/Offshore_Services +http://www.seychellesoffshore.com/ Top/Business/Financial_Services/Offshore_Services +http://www.artha-trust.com/ Top/Business/Financial_Services/Offshore_Services +http://www.goccp.com/ Top/Business/Financial_Services/Offshore_Services +http://www.oterogroup.com/ Top/Business/Financial_Services/Offshore_Services +http://www.orbitcostarica.com/absolute/ Top/Business/Financial_Services/Offshore_Services +http://www.compagne-matred.com/ Top/Business/Financial_Services/Offshore_Services +http://www.offshoresimple.com/ Top/Business/Financial_Services/Offshore_Services +http://www.icsl.com/ Top/Business/Financial_Services/Offshore_Services +http://www.lowtax.net/ Top/Business/Financial_Services/Offshore_Services +http://www.offshore-trust.li/ Top/Business/Financial_Services/Offshore_Services +http://www.offshorebelize.com/ Top/Business/Financial_Services/Offshore_Services +http://www.offshoreinfo.com/ Top/Business/Financial_Services/Offshore_Services +http://www.cayman-hk.com/ Top/Business/Financial_Services/Offshore_Services +http://www.inpactcy.com/ Top/Business/Financial_Services/Offshore_Services +http://www.users.globalnet.co.uk/~mutual/lawson/ Top/Business/Financial_Services/Offshore_Services +http://www.netincorp.com/ Top/Business/Financial_Services/Offshore_Services +http://www.ils-world.com/ Top/Business/Financial_Services/Offshore_Services +http://www.assetprotectionnews.com/ Top/Business/Financial_Services/Offshore_Services +http://www.ujoffshore.com/ Top/Business/Financial_Services/Offshore_Services +http://www.ict-commerce.com/ Top/Business/Financial_Services/Offshore_Services +http://www.volcon.ch/ Top/Business/Financial_Services/Offshore_Services +http://www.assetprotection.com/ Top/Business/Financial_Services/Offshore_Services +http://www.nationwideinternational.com/ Top/Business/Financial_Services/Offshore_Services +http://www.creditsolutions.f9.co.uk/ Top/Business/Financial_Services/Offshore_Services +http://www.squirrelyournutsoffshore.com/ Top/Business/Financial_Services/Offshore_Services +http://www.unitrustcapital.com/ Top/Business/Financial_Services/Offshore_Services +http://www.guardianfinance.com/ Top/Business/Financial_Services/Offshore_Services +http://www.worldoffshorebanks.com/ Top/Business/Financial_Services/Offshore_Services +http://www.expat.ca/ Top/Business/Financial_Services/Offshore_Services +http://www.henleyglobal.com/ Top/Business/Financial_Services/Offshore_Services +http://www.cashprivacy.com/ Top/Business/Financial_Services/Offshore_Services +http://www.trustandcompanies.com/ Top/Business/Financial_Services/Offshore_Services +http://www.slogold.net/ Top/Business/Financial_Services/Offshore_Services +http://www.tridenttrust.com/ Top/Business/Financial_Services/Offshore_Services +http://www.lloydstsb-offshore.com/ Top/Business/Financial_Services/Offshore_Services +http://www.stluciafinance.com/ Top/Business/Financial_Services/Offshore_Services +http://www.ilcssez.com/ Top/Business/Financial_Services/Offshore_Services +http://www.pinnaclestlucia.com/ Top/Business/Financial_Services/Offshore_Services +http://www.privacy-solutions.com/ Top/Business/Financial_Services/Offshore_Services +http://www.ocra.com/ Top/Business/Financial_Services/Offshore_Services +http://www.shirleytrust.com/ Top/Business/Financial_Services/Offshore_Services +http://www.apintertrust.com/ Top/Business/Financial_Services/Offshore_Services +http://www.castletowninsurance.com/ Top/Business/Financial_Services/Offshore_Services +http://www.sovereigngroup.com/ Top/Business/Financial_Services/Offshore_Services +http://www.whittencapitalgroup.com/ Top/Business/Financial_Services/Offshore_Services +http://www.csb.com.mt/ Top/Business/Financial_Services/Offshore_Services +http://www.beaumontcorp.com/ Top/Business/Financial_Services/Offshore_Services +http://www.abacusiom.com/ Top/Business/Financial_Services/Offshore_Services +http://www.offshoreonline.org/ Top/Business/Financial_Services/Offshore_Services +http://www.taxhaven-opm.org/ Top/Business/Financial_Services/Offshore_Services +http://www.offshore-protection.com/ Top/Business/Financial_Services/Offshore_Services +http://www.p-wos.com/ Top/Business/Financial_Services/Offshore_Services +http://www.overseasincorporationservices.com/ Top/Business/Financial_Services/Offshore_Services +http://www.bycpa.com/ Top/Business/Financial_Services/Offshore_Services +http://www.milonline.com/ Top/Business/Financial_Services/Offshore_Services +http://www.intlca.com/ Top/Business/Financial_Services/Offshore_Services +https://www.bbp-net.com/ Top/Business/Financial_Services/Offshore_Services +http://www.crwwgroup.net/ Top/Business/Financial_Services/Offshore_Services +http://www.manivestasia.com/ Top/Business/Financial_Services/Offshore_Services +http://www.confidentialbanking.com/ Top/Business/Financial_Services/Offshore_Services +http://vipconsultant.net/ Top/Business/Financial_Services/Offshore_Services +http://www.mandarinpacific.com/ Top/Business/Financial_Services/Offshore_Services +http://www.assetprotectionattorneys.com/ Top/Business/Financial_Services/Offshore_Services +http://www.minerva-trust.com/ Top/Business/Financial_Services/Offshore_Services +http://www.firstnames.com/ Top/Business/Financial_Services/Offshore_Services +http://www.jaygaltd.com/ Top/Business/Financial_Services/Offshore_Services +http://www.jtcgroup.com/ Top/Business/Financial_Services/Offshore_Services +http://www.groupchesterfield.com/ Top/Business/Financial_Services/Offshore_Services +http://www.taxport-international.com/ Top/Business/Financial_Services/Offshore_Services +http://www.websterlawbwi.com/ Top/Business/Financial_Services/Offshore_Services +http://www.citibank.com.hk/ Top/Business/Financial_Services/Offshore_Services/Banks +http://www.loyalbank.com/ Top/Business/Financial_Services/Offshore_Services/Banks +http://www.rietumu.com/ Top/Business/Financial_Services/Offshore_Services/Banks +http://www.jtbanktrust.com/ Top/Business/Financial_Services/Offshore_Services/Banks +http://www.kjd.com/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.cibc-global.com/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.gaafunds.com/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.admiraladmin.com/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.valuepartners.com.hk/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.ifina.com/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.sparinvest.lu/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.manorpark.com/ Top/Business/Financial_Services/Offshore_Services/Fund_Groups +http://www.lipperweb.com/ Top/Business/Financial_Services/Offshore_Services/Research +http://www.progs4wealth.com/ Top/Business/Financial_Services/Offshore_Services/Research +http://www.offshore-rebates.com/ Top/Business/Financial_Services/Offshore_Services/Research +http://www.nztrust.co.nz/ Top/Business/Financial_Services/Offshore_Services/Trust_Formation +http://www.enemanbrugge.be/ Top/Business/Financial_Services/Outsourcing +http://www.ais-az.com/ Top/Business/Financial_Services/Outsourcing +http://www.gellerco.com/ Top/Business/Financial_Services/Outsourcing +http://www.ustwo.com/ Top/Business/Financial_Services/Outsourcing +http://www.hsc.uk.net/ Top/Business/Financial_Services/Outsourcing +http://www.htm.co.jp/ Top/Business/Financial_Services/Outsourcing +http://www.billingpros.com/ Top/Business/Financial_Services/Outsourcing +http://www.accountant-anywhere.com/ Top/Business/Financial_Services/Outsourcing +http://www.jrllc.com/ Top/Business/Financial_Services/Outsourcing +http://www.nextprocess.com/ Top/Business/Financial_Services/Outsourcing +http://www.iqbackoffice.com/ Top/Business/Financial_Services/Outsourcing +http://www.outsource-billing.com/ Top/Business/Financial_Services/Outsourcing +http://www.globalexpense.com/ Top/Business/Financial_Services/Outsourcing +http://www.convergys.com/ Top/Business/Financial_Services/Outsourcing +http://www.cenlar.com/ Top/Business/Financial_Services/Outsourcing +http://www.navvis.com/ Top/Business/Financial_Services/Outsourcing +http://www.pierianservices.com/ Top/Business/Financial_Services/Outsourcing +http://www.jamesgillco.com/ Top/Business/Financial_Services/Outsourcing +http://www.celink.com/ Top/Business/Financial_Services/Outsourcing +http://www.excellencetech.com/ Top/Business/Financial_Services/Outsourcing +http://www.fdoutsourcing.com/ Top/Business/Financial_Services/Outsourcing +http://www.ikogkalidis-consulting.com/ Top/Business/Financial_Services/Outsourcing +http://www.xpitax.com/ Top/Business/Financial_Services/Outsourcing +http://www.cassutility.com/ Top/Business/Financial_Services/Outsourcing +http://www.quscient.com/ Top/Business/Financial_Services/Outsourcing +http://www.integraoutsourcing.co.uk/ Top/Business/Financial_Services/Outsourcing +http://www.ohiopayrollplus.com/ Top/Business/Financial_Services/Outsourcing +http://www.hitechbookkeepingservices.com/ Top/Business/Financial_Services/Outsourcing +http://www.iwebaccountant.com/ Top/Business/Financial_Services/Outsourcing +http://www.pos.co.th/ Top/Business/Financial_Services/Outsourcing +http://www.ezustax.com/ Top/Business/Financial_Services/Outsourcing +http://www.consystentinfo.com/ Top/Business/Financial_Services/Outsourcing +http://www.pscu.com/ Top/Business/Financial_Services/Outsourcing +http://www.bookkeepingaccounting.co.uk/ Top/Business/Financial_Services/Outsourcing +http://www.cosmicitservices.com/ Top/Business/Financial_Services/Outsourcing +http://www.compupay.com/ Top/Business/Financial_Services/Payroll_Services +http://www.paychex.com/ Top/Business/Financial_Services/Payroll_Services +http://www.adp.com/ Top/Business/Financial_Services/Payroll_Services +http://www.expressdatasys.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payroll.ca/ Top/Business/Financial_Services/Payroll_Services +http://www.accudatapayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.i-pay.co.uk/ Top/Business/Financial_Services/Payroll_Services +http://www.payrollcenter.com/ Top/Business/Financial_Services/Payroll_Services +http://www.parkwoodcs.com/ Top/Business/Financial_Services/Payroll_Services +http://www.corpay.com/ Top/Business/Financial_Services/Payroll_Services +http://www.onecheck.com/ Top/Business/Financial_Services/Payroll_Services +http://www.paycor.com/ Top/Business/Financial_Services/Payroll_Services +http://www.damianservices.com/ Top/Business/Financial_Services/Payroll_Services +http://www.cspayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.advantagepayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.fspn.org/honkamp Top/Business/Financial_Services/Payroll_Services +http://www.timeclockplus.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payrollmgt.com/ Top/Business/Financial_Services/Payroll_Services +http://www.surepayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.apc1040.com/ Top/Business/Financial_Services/Payroll_Services +http://www.gomatano.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payrollnw.com/ Top/Business/Financial_Services/Payroll_Services +http://www.accuratepayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.ihouse.com/ Top/Business/Financial_Services/Payroll_Services +http://www.certifiedpayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.beyondpay.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payroll1.com/ Top/Business/Financial_Services/Payroll_Services +http://www.americanpayroll.org/ Top/Business/Financial_Services/Payroll_Services +http://www.buckeyepayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payecheck.co.uk/ Top/Business/Financial_Services/Payroll_Services +http://www.pbs.uk.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payrollpeople.com/ Top/Business/Financial_Services/Payroll_Services +http://www.albionpayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.coadvantage.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payrollnetwork.com/ Top/Business/Financial_Services/Payroll_Services +http://www.epaylogic.com/ Top/Business/Financial_Services/Payroll_Services +http://casapayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.sdppayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.custompay.us/ Top/Business/Financial_Services/Payroll_Services +http://www.ipssa.co.za/ Top/Business/Financial_Services/Payroll_Services +http://www.telepayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.contractors-solutions.com/ Top/Business/Financial_Services/Payroll_Services +http://www.automatedpayroll.net/ Top/Business/Financial_Services/Payroll_Services +http://www.paperlesspay.org/ Top/Business/Financial_Services/Payroll_Services +http://www.payprocorp.com/ Top/Business/Financial_Services/Payroll_Services +http://www.flex-pay.com/ Top/Business/Financial_Services/Payroll_Services +http://www.accupay.net/ Top/Business/Financial_Services/Payroll_Services +http://www.nevadapayrollservices.com/ Top/Business/Financial_Services/Payroll_Services +http://www.bottomline.com/ Top/Business/Financial_Services/Payroll_Services +http://www.abcopayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.ccmlpay.com/ Top/Business/Financial_Services/Payroll_Services +http://www.paymedia.net/ Top/Business/Financial_Services/Payroll_Services +http://thepayrollsite.co.uk/ Top/Business/Financial_Services/Payroll_Services +http://www.qtspayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.prodataontheweb.com/ Top/Business/Financial_Services/Payroll_Services +http://www.alphastaff.com/ Top/Business/Financial_Services/Payroll_Services +http://www.1stchoicepayroll.co.uk/ Top/Business/Financial_Services/Payroll_Services +http://www.bluegarden.no/ Top/Business/Financial_Services/Payroll_Services +http://www.timeplus.com/ Top/Business/Financial_Services/Payroll_Services +http://www.ibspayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.armstrongpayrollsolutions.co.uk/ Top/Business/Financial_Services/Payroll_Services +http://payrollonabudget.com/ Top/Business/Financial_Services/Payroll_Services +http://www.pbsltd.co.uk Top/Business/Financial_Services/Payroll_Services +http://www.jacobsongrp.com Top/Business/Financial_Services/Payroll_Services +http://www.ipspayroll.com Top/Business/Financial_Services/Payroll_Services +http://www.dominionsystems.com/ Top/Business/Financial_Services/Payroll_Services +http://www.compuchex.com/ Top/Business/Financial_Services/Payroll_Services +http://www.adcomputer.com/ Top/Business/Financial_Services/Payroll_Services +http://www.paymaster.net/ Top/Business/Financial_Services/Payroll_Services +http://www.pro-pay.co.uk/ Top/Business/Financial_Services/Payroll_Services +http://www.quintpay.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payplusco.com/ Top/Business/Financial_Services/Payroll_Services +http://www.bim.org.uk/ Top/Business/Financial_Services/Payroll_Services +http://ampayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.businessasap.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payrollcompany.biz/ Top/Business/Financial_Services/Payroll_Services +http://churchpayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.cincypayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payrollbs.co.uk/ Top/Business/Financial_Services/Payroll_Services +https://www.paycheckservicesltd.co.uk/ Top/Business/Financial_Services/Payroll_Services +http://www.checkmatepayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://payrollresourcegroup.com/ Top/Business/Financial_Services/Payroll_Services +http://payroll.intuit.com/ Top/Business/Financial_Services/Payroll_Services +http://www.payservpayroll.com/ Top/Business/Financial_Services/Payroll_Services +http://www.paycom.com/ Top/Business/Financial_Services/Payroll_Services +http://www.chagency.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.ssasurety.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.stollagency.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.cnasurety.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.inscodico.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.expresssuretybonds.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.tradecreditsolutions.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.suretybondassociates.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.bondsexpress.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.guardiangroup.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.southcoastsurety.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.aaasurety.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.jwsuretybonds.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.thebarbourgroup.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.poindextersuretyservices.com/ Top/Business/Financial_Services/Surety_Bonds +http://www.ameribonds.com/ Top/Business/Financial_Services/Surety_Bonds +http://beachcitybonds.com/ Top/Business/Financial_Services/Surety_Bonds +http://aladdinbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States +http://www.dakinebail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States +http://cashonlybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://navapachebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://tallinibailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://www.alliancebailbondsaz.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://www.johnottobailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://www.blackdogbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://maricopacountybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://www.phoenix-bailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://phoenixbailbonds.co/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://www.bailzona.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Arizona +http://www.apexbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.terryfinn.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.shbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.ebail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.acmebail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.ssmehrbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.labail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.kingstahlmanbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.harveybail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://buffybail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.absolutebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.bailbondslocal.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.888bailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.axelbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.8thamendmentbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://houseofbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.lovebail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://jacksbailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.cherrybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://bayareabailbonds.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.santaritabailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://bailunitbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.805bail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.bail-bonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.bailbondsman247.com/locations/los-angeles-bail-bonds/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.faustosbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +https://www.kingtritonbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.apollobailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://sunsetbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.bailbondsdirect.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.allprobailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.tapoutbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.i5bailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/California +http://www.atbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.cityofdenverbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.pdqbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.releasebonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.arapahoebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://bwbbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.stanthebailman.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://aclassbailbondsdenver.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.dennisblackwellbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.denvervipbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Colorado +http://www.ctjailbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Connecticut +http://www.abestbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Connecticut +http://www.bailcobailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Connecticut +http://www.bailbondsmiami.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.sternbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.actionbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.brandybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.able2bail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.thebailbondfirm.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://braypoolebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.barbiesbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://bail-2-go.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +https://bail2go.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.macbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.afbb.us/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.sarasotabradentonbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.injail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.allamericanbb.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.ryanwellsbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.bergholtzbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.altmanbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.49thstreetbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.aheavensentbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.champbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.bailbondsnow.org/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.usimmigrationbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.magicbailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://ricksbailbondsfl.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://aboveallbailbonds-fl.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.wefinancebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://frankchavezbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://alliancebailbonds.org/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Florida +http://www.anytimebail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Georgia +http://www.a24hourbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Georgia +http://hotlanta-bonding-company.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Georgia +http://gabailbonding.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Georgia +http://www.johnthebondsman.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Georgia +http://falconbonding.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Georgia +http://asc-usi.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Indiana +http://www.jeffdownerbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Indiana +http://www.smithamericanbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Indiana +http://www.woodsbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Indiana +http://www.merideth-bailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Indiana +http://www.jaredbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Louisiana +http://www.ezfreebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Louisiana +http://www.a1bail.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Louisiana +http://www.aallbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Louisiana +http://www.lexingtonnational.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Maryland +http://www.beltwaybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Maryland +http://freedomfightersbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Maryland +http://www.alwaysmebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Michigan +http://www.2ndchancebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Michigan +http://www.detroitbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Michigan +http://www.freebailnow.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Michigan +http://www.bailbondsminnesota.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Minnesota +http://www.freemefast.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Minnesota +http://bailbondsdoctor.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Minnesota +http://www.badboyzbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Missouri +http://www.barnettbailbonds.org/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Missouri +http://www.actionfastbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Missouri +http://sharpbonding.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Missouri +http://saintlouisbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Missouri +http://www.herolv.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Nevada +http://www.freebailbondsagency.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Nevada +http://vegasbail.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Nevada +http://www.expressbailagency.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Nevada +http://www.dadslv.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Nevada +http://www.hangoverbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Nevada +http://www.brooksbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Nevada +http://www.aaabailpros.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.speedybailbondsnj.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.bailbondsnewjersey.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.capitolbailbondshouston.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.rightawaybails.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.applebailbonds.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.alloutbailbondsnj.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.approvedbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://bergencountybailbondsnj.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://alltownebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.outnowbailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +https://dollarbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_Jersey +http://www.affordablebails.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_York +http://sites.google.com/site/aaabailbondsinc2/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_York +http://nycbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_York +http://www.davidjakabbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/New_York +http://www.dnabailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +http://carolinabailgroup.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +https://www.holmesbailbonding.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +http://www.raleighbailbonding.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +http://www.allprosbailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +http://www.bailbondsmannc.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +http://www.inandoutbailbondscharlotte.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +http://www.bigmikebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/North_Carolina +http://awayoutbailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://www.freewebs.com/ywbbt/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://murraybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://bailez.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://www.atlasbonding.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://www.slybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://www.andycallifbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://www.freemanbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Ohio +http://www.bailbondsokcok.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Oklahoma +http://www.bigbrothersbonding.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Oklahoma +http://www.goodguysbailbonds.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Oklahoma +http://www.thunderbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Oklahoma +http://signaturebail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Oklahoma +http://www.tricountybailbondingpa.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Pennsylvania +http://www.abcbail.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Pennsylvania +http://www.allamericanbailbonds.org/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Tennessee +http://www.aaabailbonding.net/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Tennessee +http://www.ebailbond.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Texas +http://www.dentontexasbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Texas +http://www.awayoutbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Texas +http://www.beehivebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Utah +http://www.badboysbailbondsutah.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Utah +http://www.chancesbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Virginia +http://www.1stout-bailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Virginia +http://www.hopkinsbailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Virginia +http://www.allcitybailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Washington +http://a-affordablebailbonds.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Washington +http://www.becausejailsucks.com/ Top/Business/Financial_Services/Surety_Bonds/Bail_Bonds/United_States/Washington +http://www.jaic-vc.co.jp/ Top/Business/Financial_Services/Venture_Capital +http://www.labrador.com/ Top/Business/Financial_Services/Venture_Capital +http://www.augustcap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.maton.com/ Top/Business/Financial_Services/Venture_Capital +http://www.trinityventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.mdcp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.kpcb.com/ Top/Business/Financial_Services/Venture_Capital +http://www.usvp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.waldenvc.com/ Top/Business/Financial_Services/Venture_Capital +http://www.idgventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.srfunds.com/ Top/Business/Financial_Services/Venture_Capital +http://www.igpequity.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sjfund.com/ Top/Business/Financial_Services/Venture_Capital +http://www.tridentcap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.norwestvc.com/ Top/Business/Financial_Services/Venture_Capital +http://www.jlaventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.baincapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.mdbcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.oakinv.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sequoiacap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.matrixpartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.mayfield.com/ Top/Business/Financial_Services/Venture_Capital +http://www.foundationcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.interwest.com/ Top/Business/Financial_Services/Venture_Capital +http://www.venrock.com/ Top/Business/Financial_Services/Venture_Capital +http://www.nea.com/ Top/Business/Financial_Services/Venture_Capital +http://www.shv.com/ Top/Business/Financial_Services/Venture_Capital +http://www.ta.com/ Top/Business/Financial_Services/Venture_Capital +http://www.summitpartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.ivp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.chasecapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.greylock.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sigmapartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.tcv.com/ Top/Business/Financial_Services/Venture_Capital +http://www.cstonecapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.gapartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sproutgroup.com/ Top/Business/Financial_Services/Venture_Capital +http://www.morgenthaler.com/ Top/Business/Financial_Services/Venture_Capital +http://www.jafco.com/ Top/Business/Financial_Services/Venture_Capital +http://www.enertechcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.adventinternational.com/ Top/Business/Financial_Services/Venture_Capital +http://www.tlventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.frontenac.com/ Top/Business/Financial_Services/Venture_Capital +http://www.jkbcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.intersouth.com/ Top/Business/Financial_Services/Venture_Capital +http://www.technologypartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.nbvp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.novakbiddle.com/ Top/Business/Financial_Services/Venture_Capital +http://www.roserventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.salixventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.southatlantic.com/ Top/Business/Financial_Services/Venture_Capital +http://www.westonpresidio.com/ Top/Business/Financial_Services/Venture_Capital +http://www.wppartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.generalcatalyst.com/ Top/Business/Financial_Services/Venture_Capital +http://www.pacifichorizon.com/ Top/Business/Financial_Services/Venture_Capital +http://www.cdcgroup.com/ Top/Business/Financial_Services/Venture_Capital +http://www.rccf.com/ Top/Business/Financial_Services/Venture_Capital +http://www.visioncap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.ncicfund.org/ Top/Business/Financial_Services/Venture_Capital +http://www.edelsontech.com/ Top/Business/Financial_Services/Venture_Capital +http://www.rafind.com/ Top/Business/Financial_Services/Venture_Capital +http://www.rre.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sanderling.com/ Top/Business/Financial_Services/Venture_Capital +http://www.ti.com/tiventures Top/Business/Financial_Services/Venture_Capital +http://www.carsten.com/ Top/Business/Financial_Services/Venture_Capital +http://www.dsppartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.spcap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.svoco.com/ Top/Business/Financial_Services/Venture_Capital +http://www.gabrielvp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.freemanspogli.com/ Top/Business/Financial_Services/Venture_Capital +http://www.warburgpincus.com/ Top/Business/Financial_Services/Venture_Capital +http://www.gemini-investors.com/ Top/Business/Financial_Services/Venture_Capital +http://americancapitalonline.com/ Top/Business/Financial_Services/Venture_Capital +http://www.american-securities.com/ Top/Business/Financial_Services/Venture_Capital +http://www.cmequity.com/ Top/Business/Financial_Services/Venture_Capital +http://www.springcap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.allantra.com/ Top/Business/Financial_Services/Venture_Capital +http://www.pacificafund.com/ Top/Business/Financial_Services/Venture_Capital +http://www.silicomventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.dragonflycapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.signallake.com/ Top/Business/Financial_Services/Venture_Capital +http://www.gaebler.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sagicap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.claritypartners.net/ Top/Business/Financial_Services/Venture_Capital +http://www.quadcmanagement.com/ Top/Business/Financial_Services/Venture_Capital +http://www.roundtablehp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.turkven.com/ Top/Business/Financial_Services/Venture_Capital +http://www.concertcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.tuckermancapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sepfunds.com/ Top/Business/Financial_Services/Venture_Capital +http://www.covalence.ch/ Top/Business/Financial_Services/Venture_Capital +http://www.abundancevc.com/ Top/Business/Financial_Services/Venture_Capital +http://www.parallaxcap.com/ Top/Business/Financial_Services/Venture_Capital +http://www.rockmontcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.needhamcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.tullisdickerson.com/ Top/Business/Financial_Services/Venture_Capital +http://www.bluepointcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.cardvent.com/ Top/Business/Financial_Services/Venture_Capital +http://www.palladiumequity.com/ Top/Business/Financial_Services/Venture_Capital +http://www.3i.com/ Top/Business/Financial_Services/Venture_Capital +http://www.lynwoodcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.dragonventure.com/ Top/Business/Financial_Services/Venture_Capital +http://northamericanfund.com/ Top/Business/Financial_Services/Venture_Capital +http://www.kpsfund.com/ Top/Business/Financial_Services/Venture_Capital +http://www.falconseaboard.com/ Top/Business/Financial_Services/Venture_Capital +http://www.regdresources.com/ Top/Business/Financial_Services/Venture_Capital +http://www.river-capital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.summerstreetcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.peninsulafunds.com/ Top/Business/Financial_Services/Venture_Capital +http://www.incytecapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.atvcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.brockwaymoran.com/ Top/Business/Financial_Services/Venture_Capital +http://www.foxpaine.com/ Top/Business/Financial_Services/Venture_Capital +http://www.thestartuplab.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sihi.net/ Top/Business/Financial_Services/Venture_Capital +http://www.capvent.com/ Top/Business/Financial_Services/Venture_Capital +http://www.hrco.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sterlinglp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.harbourvest.com/ Top/Business/Financial_Services/Venture_Capital +http://www.flagshipventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.astrinacapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.apax.com/ Top/Business/Financial_Services/Venture_Capital +http://www.foresthillpartners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.schwartzgroup.com/ Top/Business/Financial_Services/Venture_Capital +http://www.agiicorp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.westaim.com/ Top/Business/Financial_Services/Venture_Capital +http://www.flagcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.outlookventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sterling-group.com/ Top/Business/Financial_Services/Venture_Capital +http://www.b4ventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.amg.com/ Top/Business/Financial_Services/Venture_Capital +http://www.koor.co.il/ Top/Business/Financial_Services/Venture_Capital +http://www.corven.com/ Top/Business/Financial_Services/Venture_Capital +http://khazaen.com/ Top/Business/Financial_Services/Venture_Capital +http://www.rockiesventureclub.org/ Top/Business/Financial_Services/Venture_Capital +http://www.tcvn.org/ Top/Business/Financial_Services/Venture_Capital +http://vcexperts.com/ Top/Business/Financial_Services/Venture_Capital +http://www.equilant.com/ Top/Business/Financial_Services/Venture_Capital +http://www.fulcrum-partners.com/ Top/Business/Financial_Services/Venture_Capital +http://www.fundingpost.com/ Top/Business/Financial_Services/Venture_Capital +http://www.ieconsulting.co.uk/ Top/Business/Financial_Services/Venture_Capital +http://www.marcusventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.tvin.co.uk/ Top/Business/Financial_Services/Venture_Capital +http://www.ventureplan.com/ Top/Business/Financial_Services/Venture_Capital +http://www.secondventure.com/ Top/Business/Financial_Services/Venture_Capital +http://www.seafweb.org/ Top/Business/Financial_Services/Venture_Capital +http://www.mycapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.proma-investment.com/ Top/Business/Financial_Services/Venture_Capital +http://www.puretechventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sablelion.com/ Top/Business/Financial_Services/Venture_Capital +http://www.springboardenterprises.org/ Top/Business/Financial_Services/Venture_Capital +http://www.williamblair.com/ Top/Business/Financial_Services/Venture_Capital +http://www.tvm-capital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.hhvc.com/ Top/Business/Financial_Services/Venture_Capital +https://www.bvp.com/ Top/Business/Financial_Services/Venture_Capital +http://www.sierraventures.com/ Top/Business/Financial_Services/Venture_Capital +http://www.almeida-capital.com/ Top/Business/Financial_Services/Venture_Capital +https://www.menlovc.com/ Top/Business/Financial_Services/Venture_Capital +http://ampersandcapital.com/ Top/Business/Financial_Services/Venture_Capital +http://www.ct-venture.org/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.lava.org/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.michiganvca.org/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.mava.org/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.nvca.org/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.ohioventure.org/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.vanj.com/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.incubator.com/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.cednc.org/ Top/Business/Financial_Services/Venture_Capital/Associations +http://ats.business.gov.au/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.investeurope.eu/ Top/Business/Financial_Services/Venture_Capital/Associations +http://www.capital-connection.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.garage.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.angelsforum.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.vcaonline.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.angel-investors.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.entreeventurenetwork.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.oaktreeventures.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.pwcmoneytree.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.rainmakermarketing.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.capitalfundsgroup.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.capitalforbusiness.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.cvcap.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.ang-corpfinance.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.amerifinancial.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.techcoastangels.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.castlecrow.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.gtcr.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.investorscircle.net/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.crp.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.firstcapitalgroup.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.capitalsouthwest.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.fremontgroup.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.access-capital-partners.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.proseed.co.il/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.lcpartners.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.gate2growth.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.greyrockcapitalgroup.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.shotgunfund.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.canovabancorp.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.abry.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.allianceofangels.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.growthink.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.kugarandholdings.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.clemensen.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.asianfn.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.resgrp.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://candela-capital.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.eastwardcp.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.capitalconnect.gr/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.venturechoice.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.bandangels.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.businesspartners.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.thequinnpartnership.co.uk/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.synchronyvm.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.gpbullhound.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.d2lcapital.com/ Top/Business/Financial_Services/Venture_Capital/Capital_Access_-_Financing +http://www.earlystageeast.org/ Top/Business/Financial_Services/Venture_Capital/Conferences +http://www.venturenet.org/ Top/Business/Financial_Services/Venture_Capital/Conferences +http://www.alloyventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.baypartners.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.battery.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.cambriagroup.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.canaan.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.advantagecap.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.venturehousegroup.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.vcfirm.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.boulderventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.mgroupinc.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.lovettmiller.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.acm.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.brookventure.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.xyte.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.skyblaze.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.egj.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.siliconpastures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.chrysalix.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.ignitionventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.gatheringofangels.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.imsventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.airamcapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.blumbergcapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.ingroup.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.earlybird.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.hudsonventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.jumpstartinc.org/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.newyorkangels.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.dcxworld.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage +http://www.plantagenetcapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.spectrumequity.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.northwoodventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.wheatleypartners.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.3ius.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.eastoncapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.crosslinkcapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.resourcecapitalfunds.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.incubic.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.aipartners.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.mcduffcapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.ruppertcompanies.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/All_Stages +http://www.techcapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/First_Round +http://www.celtic-house.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/First_Round +http://www.opticality.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/First_Round +http://www.redpoint.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/First_Round +http://www.sofinnova.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.wichitatechnology.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.ahvp.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.greenhillsventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.trilos.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.advantagebusinessangels.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.sherpalo.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.labrador.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.mtifirms.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Seed +http://www.humwin.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.kbpartners.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.woodsidefund.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.mediaplicity.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.cedarfund.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.solcap.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.virtual-incubation.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.vinci-innovation.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.catamountventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.islandconnections.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.cap-res.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.venturefactory.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.bsvg.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.bonaventurecapital.net/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.lucidventures.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.synogen.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.medsciencescapital.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.nextventurepartners.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.stageonepartners.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.interactmanagement.com/ Top/Business/Financial_Services/Venture_Capital/Development_Stage/Very_Early +http://www.ezinfofind.com/ Top/Business/Financial_Services/Venture_Capital/Directories +http://www.vcgate.com/ Top/Business/Financial_Services/Venture_Capital/Directories +http://www.socaltech.com/ Top/Business/Financial_Services/Venture_Capital/Directories +http://www.cardinalpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.centennial.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.domainvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.accel.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.ampappas.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.oresaventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.psilos.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.longworth.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.archventure.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.hf.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://centurycap.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.provequity.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.chsonline.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.newburyven.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.athenatv.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.bluewatercapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.rocketventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.transoceancapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.progenyvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.guideventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.cortecgroup.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.timberlinevc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.colcap.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.threearchpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.riversidepartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.housatonicpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.targetpartners.de/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.venturebank.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.seapointventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.crescendoventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.pacrimpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.meritechcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.oxbio.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.berkshirepartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.compasstechpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.ridgewoodcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.argosycapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.assetman.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.altapartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.convergencepartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.hcp.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.mdv.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.kineticventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.scfpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.palomarventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.iminpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.porticocapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.atlasventure.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.argoglobal.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.intelligentcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.gc-partners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.rctech.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.hotel-funding.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.prismventure.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.ivp2000.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.stormventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.steamboatvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.entrepia.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.newworldvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.thpartners.net/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.abscapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.drapervc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.onset.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.rockportcap.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.abingworth.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.avogadro.us/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.quakerbio.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.newtechfinancing.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.brjg.net/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.oakpointpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.arsenalcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.hlmventurepartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.meritagefunds.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.dncapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.icp.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://walnutventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.bcvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.mcpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.mepvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.polarispartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus +http://www.newportcapitalcorp.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.cardinalpartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.claytonassociates.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.chlmedical.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.radiusventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.mpmcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.versantventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.neuroventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.lsp.nl/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.ascensionhealthventures.org/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.essexwoodlands.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.proquestvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.aperturevp.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.biogress.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.satellitehealth.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.foundmed.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.capitalroyalty.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.genesysventure.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.forwardventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.medven.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.vertical-group.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.spraypartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Healthcare +http://www.cmgi.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.cpvp.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.xdl.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.g51.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.carmelventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.internetcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.thinktank.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.webmagic.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.amicuscapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Internet +http://www.intra-con.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.amfund.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.rwwentworth.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.accessventurepartners.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.in3inc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.techventures.org/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.discoverycapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.waldenintl.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.xacp.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.vimac.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.gores.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.spinnakercapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.firstfloorcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.thomvest.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.najdorf.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.agilitycap.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.apexvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.tallwoodvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.vpvp.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.tvc.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.nexitventures.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.wingateinvest.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.littlebear.us/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.brainspark.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.i-hatch.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.luxcapital.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.stellarventures.net/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.venturecapital.org/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.brightspark.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.silverlake.com/ Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.federatedinvestors.com/FII/leaf/display.do?cid=122548 Top/Business/Financial_Services/Venture_Capital/Industry_Focus/Technology +http://www.redherring.com/ Top/Business/Financial_Services/Venture_Capital/News_and_Media +http://www.privateequitymedia.com.au/ Top/Business/Financial_Services/Venture_Capital/News_and_Media +https://www.techcrunch.com/ Top/Business/Financial_Services/Venture_Capital/News_and_Media +http://www.topix.com/rss/business/venture-capital.xml Top/Business/Financial_Services/Venture_Capital/News_and_Media +http://www.empwdc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Africa +http://www.mbendi.com/cdc/index.htm Top/Business/Financial_Services/Venture_Capital/Regional/Africa +http://www.vcfirm.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia +http://www.sycamorevc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia +http://www.bpepasia.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia +http://www.tvca.org.tw/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia +http://www.plventure.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia +http://www.mekongcapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia +http://www.invespar.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia/India +http://www.chryscapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Asia/India +http://www.ariadnecapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.innovacap.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.ventureconsulting.si/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.meri.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.permira.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.cgs-management.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.delta.ie/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.triago.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.copan.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.northzone.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.investindustrial.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.natixis-pe.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe +http://www.bioagency.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Germany +http://www.adastra.de/en/mission_e.php Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Germany +http://www.europeanfounders.de/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Germany +http://www.peppermint-vp.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Germany +http://www.gilde.nl/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Netherlands +http://www.planetcapital.nl/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Netherlands +http://www.venturis.nl/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Netherlands +http://www.wecaretoo.com/Organizations/RUS/sobcbi.html Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Russia +http://www.aravis.ch/ Top/Business/Financial_Services/Venture_Capital/Regional/Europe/Switzerland +http://www.turquoisepartners.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East +http://www.dubaibeat.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East +http://www.tandem.ae/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East +http://www.oasis500.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East +http://www.cantonhermidas.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East +http://www.becocapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East +http://www.alabbarenterprises.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East +http://www.israelseed.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East/Israel +http://www.cedar.co.il/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East/Israel +http://www.gemini.co.il/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East/Israel +http://jvpvc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/Middle_East/Israel +http://www.cvca.ca/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.ventureswest.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.vancitycapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.wenvest.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.angelforum.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.technocap.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.royalbaycapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.financingforum.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.torquest.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.rbc.com/vp/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.propulsionventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/Canada +http://www.sequelvc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.cordovaventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.embryon.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.mavf.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.alphacapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.egancapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.madrona.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.ovp.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.voyagercap.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.aurorafunds.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.noro-moseley.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.openprairie.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.castileventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.smartforest.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.alercap.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.eglholdings.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.maxwell-investments.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.meridian-venture.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.eldorado.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.core-capital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.dibarigroup.net/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.chrysalisventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.lasallecapitalgroup.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.valhallapartners.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States +http://www.arcapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Arkansas +http://www.versantventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.constellationventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.missionventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.windwardventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.zonevc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.sbtechnology.com/index_main.htm Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.vintagecapitalgroup.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.rusticcanyon.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://altos.vc/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/California +http://www.flagcapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://www.ironwoodcap.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://www.centricap.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://cvg.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://www.cavacapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://www.fairviewcapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://www.longitudecapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://venturecapital-advisors.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://www.twjcapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Connecticut +http://www.htdc.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Hawaii +http://capitalfunders.com/capitalfundingofamerica/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Illinois +http://midwestventure.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Kansas +http://www.crv.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Massachusetts +http://www.arborpartners.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Michigan +http://www.edfvc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Michigan +http://www.northcoastvc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Michigan +http://www.huroncapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Michigan +http://www.midstatescapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Michigan +http://www.sherpapartners.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Minnesota +http://www.itascadv.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Minnesota +http://www.prairielandedc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Minnesota +http://www.quatrisfund.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Minnesota +http://www.basecampventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/New_Jersey +http://www.ploughpenny.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/New_York +http://www.carrotcapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/New_York +http://www.prospectstreet.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/New_York +http://www.carofin.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/North_Carolina +http://www.innovationworks.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Pennsylvania +http://www.sep.benfranklin.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Pennsylvania +http://www.progenyvc.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/South_Carolina +http://www.trelys.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/South_Carolina +http://www.watermarkadvisors.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/South_Carolina +http://www.masseyburch.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Tennessee +http://www.austinventures.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Texas +http://www.antarescapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Texas +http://www.houstontech.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Texas +http://www.littlefield.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Texas +http://www.texasinvestmentnetwork.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Texas +http://www.vacapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Virginia +http://www.cascadiacapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Washington +http://www.mountaineercapital.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/West_Virginia +http://www.wvjit.org/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/West_Virginia +http://www.ventureinvestors.com/ Top/Business/Financial_Services/Venture_Capital/Regional/North_America/United_States/Wisconsin +http://www.rmbcapital.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania +http://www.privateequitymedia.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.tvp.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.firstgroup.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.momentumvc.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.avcal.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.businessangels.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.equitypartners.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.capstart.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.unisearch.com.au/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/Australia +http://www.investnz.co.nz/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/New_Zealand +http://www.directcapital.co.nz/ Top/Business/Financial_Services/Venture_Capital/Regional/Oceania/New_Zealand +http://www.nationalfonds.org/ Top/Business/Financial_Services/World_War_II_Dormant_Accounts +http://www.crt-ii.org/ Top/Business/Financial_Services/World_War_II_Dormant_Accounts +http://www.avotaynu.com/HolocaustList/ Top/Business/Financial_Services/World_War_II_Dormant_Accounts +http://www.claimscon.org/ Top/Business/Financial_Services/World_War_II_Dormant_Accounts +http://www.enemyproperty.bis.gov.uk/ Top/Business/Financial_Services/World_War_II_Dormant_Accounts +http://www.acord.org/ Top/Computers/Programming/Component_Frameworks/COM/Application_Areas/Financial_Services +http://www.scouting.org/venturing/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts +http://www.nationalventuringcabinet.org/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts +http://www.palosverdes.com/venturing Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/California +http://venturing2000.8m.com/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/California +http://www.v27.org/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/California +http://www.troop464.com/venture%20home%20page.htm Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/California +http://bcn.boulder.co.us/community/explorer72/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Colorado +http://members.tripod.com/~RavenDancers/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Colorado +http://venturecrew485.tripod.com/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Florida +http://www.bsa13.com/crew/index.html Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Illinois +http://venturecrew396.wix.com/mainpage Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Maryland +http://www.qsl.net/crewdx Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Massachusetts +http://crew168.mtass.com/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Massachusetts +http://www.whitelakescouting.com/2048/index.htm Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Michigan +http://www.facebook.com/group.php?gid=36426751594 Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Michigan +http://crew781.freeservers.com/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Minnesota +http://vcrew2674.tripod.com/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Missouri +http://www.angelfire.com/ne2/fremontreact/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Nebraska +http://www.hvcband.org/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/New_York +http://americanodyssey.homestead.com/venturecrew444.html Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/North_Carolina +http://rocko63.tripod.com/blanchesterventurecrew179 Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Ohio +https://sites.google.com/site/athenspaventurecrew105/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Pennsylvania +http://www.freewebs.com/venturingcrew161/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Texas +http://www.kwahadi.com/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Texas +http://www.w3bsa.org/ Top/Recreation/Scouting/Organizations/Boy_Scouts_of_America/Venture_Scouts/Virginia +http://d_kowacki.tripod.com/Venturers/ Top/Recreation/Scouting/Organizations/Scouts_Australia/Venturers +http://www.pakenham-venturers.iwarp.com/ Top/Recreation/Scouting/Organizations/Scouts_Australia/Venturers +http://raveintas.8k.com/ Top/Recreation/Scouting/Organizations/Scouts_Australia/Venturers +http://www.deerparkscouts.asn.au/venturers.htm Top/Recreation/Scouting/Organizations/Scouts_Australia/Venturers +http://gkays.customer.netspace.net.au/1stbpv.html/ Top/Recreation/Scouting/Organizations/Scouts_Australia/Venturers/Sea_Venturers +http://www.angelfire.com/ns/juicy/2nd_ep_venturers.html Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.jdv.8m.com/ Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.angelfire.com/biz/26guelph/ Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.angelfire.com/pe/montagueventurers/ Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.venturers.itgo.com/ Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.angelfire.com/vt2/guelphfireventurers/ Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.angelfire.com/pq/kluaneventurers/main.html Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://members.tripod.com/~TimminsVenturer/index.html Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.firststreetsville.freewebspace.com/ Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.333venturers.ca/ Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.angelfire.com/vt/venturers/index.html Top/Recreation/Scouting/Organizations/Scouts_Canada/Venturer_Company +http://www.afdb.org/ Top/Regional/Africa/Business_and_Economy/Financial_Services +http://www.nlpi.net/ Top/Regional/Africa/Business_and_Economy/Financial_Services +http://www.liquidafrica.com/ Top/Regional/Africa/Business_and_Economy/Financial_Services +http://www.investinginafrica.net/ Top/Regional/Africa/Business_and_Economy/Financial_Services +http://www.mukuru.com/ Top/Regional/Africa/Business_and_Economy/Financial_Services +http://www.mbendi.com/ernsty/index.htm Top/Regional/Africa/Business_and_Economy/Financial_Services/Accounting +http://www.standardbank.com/ Top/Regional/Africa/Business_and_Economy/Financial_Services/Banks +http://www.ecobank.com/ Top/Regional/Africa/Business_and_Economy/Financial_Services/Banks +https://www.sc.com/cm Top/Regional/Africa/Cameroon/Business_and_Economy/Financial_Services +http://www.nbe.com.eg/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Banks +http://www.cibeg.com/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Banks +http://www.alexbank.com/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Banks +http://www.portalino.it/banks/_eg.htm Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Banks +http://www.egypt.hsbc.com/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Banks +http://www.connexassistance.com/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Insurance +http://www.sci-egypt.net/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Insurance +http://www.egyptianstocks.com/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Investing +http://www.efg-hermes.com/ Top/Regional/Africa/Egypt/Business_and_Economy/Financial_Services/Investing +http://www.ghanare.com/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://www.gse.com.gh/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://westcapitalmarkets.com/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://www.ghanahomeloans.com/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://www.metinsurance.com/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://www.sdcgh.com/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://www.vanguardassurance.com/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://www.ghamfin.org/ Top/Regional/Africa/Ghana/Business_and_Economy/Financial_Services +http://www.portalino.it/banks/_ke.htm Top/Regional/Africa/Kenya/Business_and_Economy/Financial_Services +http://www.poapay.com/ Top/Regional/Africa/Kenya/Business_and_Economy/Financial_Services +http://www.mystocks.co.ke/ Top/Regional/Africa/Kenya/Business_and_Economy/Financial_Services +http://www.pan-africa.com/ Top/Regional/Africa/Kenya/Business_and_Economy/Financial_Services/Insurance +http://www.jwseagon.com/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services +http://www.icpak.com/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services +http://www.nationalbank.co.ke/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services +http://www.helb.co.ke/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services +http://www.nse.co.ke/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services +http://www.barclays.co.ke/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services +http://www.cannonassurance.com/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services/Insurance +http://www.icea.co.ke/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services/Insurance +http://www.madison.co.ke/ Top/Regional/Africa/Kenya/Localities/Nairobi/Business_and_Economy/Financial_Services/Insurance +http://www.standardbank.co.mw/ Top/Regional/Africa/Malawi/Business_and_Economy/Financial_Services +http://www.mse.co.mw/ Top/Regional/Africa/Malawi/Business_and_Economy/Financial_Services +http://www.cdh-malawi.com/ Top/Regional/Africa/Malawi/Business_and_Economy/Financial_Services/Investing +http://www.fdh.co.mw/ Top/Regional/Africa/Malawi/Business_and_Economy/Financial_Services/Investing +http://www.ocra-mauritius.com/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.abrl.net/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.loita.com/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.mauritianeagle.com/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.globalwealth-ms.com/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.stateinvestment.com/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.alliance-mauritius.com/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.fscmauritius.org/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.stockexchangeofmauritius.com/ Top/Regional/Africa/Mauritius/Business_and_Economy/Financial_Services +http://www.dbn.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services +http://www.ijg.net/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services +http://www.rfsol.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services +http://www.namfisa.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services +http://www.rfin.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services +http://www.bankwindhoek.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services/Banks +http://www.fnbnamibia.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services/Banks +http://www.standardbank.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services/Banks +http://www.nedbank.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services/Banks +http://www.welwitschia.com.na/ Top/Regional/Africa/Namibia/Business_and_Economy/Financial_Services/Insurance +http://www.yearofmicrocredit.org/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.efccnigeria.org/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://citn.org/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.fitc-ng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.financialnigeria.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.agusto.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://lasg-ebs-rcm.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.allnairapros.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.ashfordandmcguire.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.premiumpension.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://trustfundpensions.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.crusaderpensions.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.easykobo.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://ndic.gov.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://viratecglobal.com.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.skoybus.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.finance.gov.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.hygeiagroup.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.utnigeria.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.rosabon-finance.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.riafinancial.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.pencom.gov.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.penop.com.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.igipfm.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.stanbicibtcpension.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.proshareng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.oakpensions.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.firstguaranteepension.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.sigmapensions.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.pensure-nigeria.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.palpensions.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +https://www.legacypension.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.firstpensioncustodian.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.zenithcustodian.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +https://www.interswitchgroup.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services +http://www.peersconsulting.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://www.sanyaconsults.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://www.synergysystemsng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://www.icanig.org/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://sulaimonandco.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://www.tsc-accountants.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://www.siao-ng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://raywhite-folorunsho.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Accounting +http://www.firstbanknigeria.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.ubagroup.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.unionbankng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.wemabank.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.zenithbank.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.diamondbank.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.accessbankplc.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.skyebankng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.sterlingbankng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.fcmb.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +https://www.sc.com/ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.gtbank.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.keystonebankng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.cbn.gov.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +https://www.fidelitybank.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Banks +http://www.nem-insurance.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.continental-re.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.tabinsurancebrokers.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.leadway.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://consolidatedhallmark.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.nigerinsurance.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.aiicoplc.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.casibltd.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +https://www.axamansard.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.goldlinkplc.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.unitykapital.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Insurance +http://www.allnairapros.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.arm.com.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.kakawa.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.ifpng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.oandoholdings.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.premiumpension.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.dominionng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://trustfundpensions.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.crusaderpensions.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.remita.net/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.aiicopension.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://fsdhgroup.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.nlpc-ng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.dmo.gov.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.nlpcpfa.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.sfsnigeria.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Investing +http://www.c-ileasing.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Leasing +http://www.cashcraft.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Stockbrokers +http://www.vetiva.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Stockbrokers +http://www.eurocommsecurities.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Stockbrokers +http://www.capitalassets.com.ng/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Stockbrokers +http://www.meristemng.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Stockbrokers +http://www.forthrightsec.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Stockbrokers +http://www.investment-one.com/ Top/Regional/Africa/Nigeria/Business_and_Economy/Financial_Services/Stockbrokers +http://www.pasa.org.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.finforum.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.fsb.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.inca.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.futuregrowth.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.fmi.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.oldmutual.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.itc.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.glondon.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.cadiz.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.agfs.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.21century.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.dectra.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.allangray.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.finlaw.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.imani.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.genesis-analytics.com/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.remchannel.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +https://www.tennant.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.eagletraders.com/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.reichtec.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.awsolutions.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.debtcollectionafrica.com/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.swissfin.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://financialplanners.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.propertyloans.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.homeloans-southafrica.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.bondbusters.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.fin24.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.dhaonline.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.paymatters.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.aliwalroad.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.findanadvisor.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.sahomeloans.com/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.multinetmortgages.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services +http://www.absa.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.africanbank.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.fnb.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.nedbank.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.rmb.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.standardbank.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.banking.org.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.resbank.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Banks +http://www.flightsure.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.irmsa.org.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.bnbsure.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.gib.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.socrates.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.peterpyburn.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.multiprof.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.staysure.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.insuranceworld.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.jankelow.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://petsure.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.northwood.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.insuranceza.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Insurance +http://www.psg-online.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Investing +http://www.sharenet.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Investing +http://www.fxhometrader.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Investing +http://www.tembeka.co.za/ Top/Regional/Africa/South_Africa/Business_and_Economy/Financial_Services/Investing +http://www.bot-tz.org/ Top/Regional/Africa/Tanzania/Business_and_Economy/Financial_Services +http://www.coresecurities.co.tz/ Top/Regional/Africa/Tanzania/Business_and_Economy/Financial_Services +http://www.stanbicbank.co.tz/ Top/Regional/Africa/Tanzania/Business_and_Economy/Financial_Services +http://www.cmauganda.co.ug/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://www.crestedsecurities.com/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://www.cranebanklimited.com/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://www.uap.co.ug/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://uibfs.or.ug/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://www.housingfinance.co.ug/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +https://www.dfcugroup.com/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://www.bankofbaroda.ug/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://www.stanbicbank.co.ug/ Top/Regional/Africa/Uganda/Business_and_Economy/Financial_Services +http://www.pangaeapartners.com/zambia/zamindex.htm Top/Regional/Africa/Zambia/Business_and_Economy/Financial_Services +http://www.investrustbank.com/ Top/Regional/Africa/Zambia/Business_and_Economy/Financial_Services +http://www.zanaco.co.zm/ Top/Regional/Africa/Zambia/Business_and_Economy/Financial_Services +http://www.stanbicbank.co.zm/ Top/Regional/Africa/Zambia/Business_and_Economy/Financial_Services +http://www.picz.co.zm/ Top/Regional/Africa/Zambia/Business_and_Economy/Financial_Services +http://www.pentact.com/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services +http://www.oldmutual.co.zw/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services +http://www.zimbabwe-stock-exchange.com/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services +http://www.cbz.co.zw/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services/Banks +http://www.mbca.co.zw/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services/Banks +http://www.nmbz.co.zw/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services/Banks +http://www.fbc.co.zw/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services/Banks +https://www.sc.com/zw Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services/Banks +http://www.zimnatlife.co.zw/ Top/Regional/Africa/Zimbabwe/Business_and_Economy/Financial_Services/Insurance +http://arca.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://acra.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.fca.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://tontoninvest.com/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.aregak.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.armenbrok.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.youthbank.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://uba.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://php.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.ampartners.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://kamurj.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://fredallc.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.finca.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +https://www.globalcredit.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://currency.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://paradigma.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://gaf.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://capitalfunds.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://firstmortgage.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://moneymarket.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.bdoarmenia.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +https://www.c-quadrat-ampega.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://www.amundi-acba.am/ Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +https://home.kpmg.com/am/en/home.html Top/Regional/Asia/Armenia/Business_and_Economy/Financial_Services +http://fcm.am/ Top/Regional/Asia/Armenia/Yerevan/Business_and_Economy/Financial_Services +http://hayaudit.com/ Top/Regional/Asia/Armenia/Yerevan/Business_and_Economy/Financial_Services +http://www.ataholding.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.ansar.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://iib.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.azersun.com/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.a-group.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://goldenpay.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.auditmak.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://ciic.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.azericard.com/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.standardleasing.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://azpromo.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.siar.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.azergarant.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.alfa-audit.com/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.aic.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.bakertilly.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.deloitte.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.ekvita.com/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.kpmg.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +https://gpp.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services +http://www.standardinsurance.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.ateshgah.com/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.alfa.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.azre.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.pasha-insurance.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.senayesigorta.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.bakisigorta.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.atasigorta.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.axambask.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://aib.az/ Top/Regional/Asia/Azerbaijan/Business_and_Economy/Financial_Services/Insurance +http://www.dsebd.org/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services +http://www.bangladesh-bank.org/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services +http://www.sec.gov.bd/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services +http://www.islamibankbd.com/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.janatabank-bd.com/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.mblbd.com/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.uttarabank-bd.com/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.hsbc.com.bd/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.bankasia-bd.com/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.jamunabankbd.com/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.aims-bangladesh.com/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.ebl.com.bd/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +https://www.sc.com/bd Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.ucb.com.bd/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Banking_Services +http://www.usaid.gov/bd/ Top/Regional/Asia/Bangladesh/Business_and_Economy/Financial_Services/Multilateral_Agencies +http://www.business-in-asia.com/ Top/Regional/Asia/Business_and_Economy/Financial_Services +http://aric.adb.org/ Top/Regional/Asia/Business_and_Economy/Financial_Services +http://www.hk.invesco.com/ Top/Regional/Asia/Business_and_Economy/Financial_Services +http://www.ftbbank.com/ Top/Regional/Asia/Cambodia/Business_and_Economy/Financial_Services +http://www.caminco.com.kh/ Top/Regional/Asia/Cambodia/Business_and_Economy/Financial_Services +http://www.cambodiare.com.kh/ Top/Regional/Asia/Cambodia/Business_and_Economy/Financial_Services +http://www.acledabank.com.kh/ Top/Regional/Asia/Cambodia/Business_and_Economy/Financial_Services +http://www.shibor.org/ Top/Regional/Asia/China/Business_and_Economy/Financial_Services +http://www.grantthornton.ge/ Top/Regional/Asia/Georgia/Business_and_Economy/Financial_Services +http://www.valutebi.ge/?language_code=en-us Top/Regional/Asia/Georgia/Business_and_Economy/Financial_Services +http://www.dbwarrants.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.octopuscards.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +https://www.cmu.org.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.invested.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.publicfinance.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.dps.org.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.hkex.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.asiapacificic.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.delta-asia.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.mezra.com/asia/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.companysec.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.doingbusinessinhongkong.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.t6pr.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.axiom-invest.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +https://www.fidelity.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.firstshanghai.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.guoco.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.haninet.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.pcn.com.hk/eindex.html Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.quamnet.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.strategic.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://webb-site.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.coface.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.ibchk.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.cmshk.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.american-appraisal.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.doricapital.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://home.boom.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.riaexchange.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.imerchants.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.nobleapex.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services +http://www.shkpinsurance.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.bupa.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.oci.gov.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.manulife.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.hkecic.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.grandtrust.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.fp-marine.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.zurich.com.hk/eng/index.htm Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://kwiksure.com/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://www.falconinsurance.com.hk/ Top/Regional/Asia/Hong_Kong/Business_and_Economy/Financial_Services/Insurance +http://finanz.8m.com/ Top/Regional/Asia/India/Andhra_Pradesh/Localities/Hyderabad/Business_and_Economy/Financial_Services +http://www.sathguru.com/ Top/Regional/Asia/India/Andhra_Pradesh/Localities/Hyderabad/Business_and_Economy/Financial_Services +http://www.agarwalassociates.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.transecute.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.icicibank.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.online.citibank.co.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.billjunction.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.lamconschool.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.shriram.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.sundaramfinance.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.nritaxservices.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.bajajcapital.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.india-financing.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.canbankfactors.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.billdesk.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.stcionline.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.caclubindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.crisil.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.cmie.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.canfinhomes.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.indianpropertyloans.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.cdslindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.timesofmoney.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.kotak.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.cshari.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.indiaforensic.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.birlasunlife.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.matrixgroup.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.ebs.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.indbankonline.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://icra.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.cafindia.org/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://online.stockholding.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.iapib.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.hdfc.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.worldbank.org/en/country/india Top/Regional/Asia/India/Business_and_Economy/Financial_Services +http://www.cholamandalam.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Financial_Institutions +http://www.peerless.co.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Financial_Institutions +http://www.maxnewyorklife.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.tata-aig.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.travelinsuranceindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.iciciprulife.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.sbilife.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.licindia.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.hdfclife.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.orientalinsurance.org.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.royalsundaram.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Insurance +http://www.tradingpicks.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://traderji.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.stratstar.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.tradersedgeindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.capitalmarket.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.cilsecurities.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.avendus.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.crnindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.trendwatchindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.moneycontrol.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.sharekhan.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.equitymaster.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.5paisa.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.primedatabase.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.fi-advisor.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.sparkcapital.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing +http://www.indiabulls.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Brokers +http://www.icicidirect.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Brokers +http://www.rbksbl.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Brokers +http://www.16anna.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Brokers +http://www.jmfinancial.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Brokers +http://www.amfiindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Funds +http://www.camsonline.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Funds +http://www.franklintempletonindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Funds +http://www.icicipruamc.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Funds +http://www.solitairecapital.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Investing/Funds +https://www.rbi.org.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Regulatory_Bodies +http://www.nhb.org.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Regulatory_Bodies +http://www.cse-india.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +http://www.bseindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +http://www.iseindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +http://www.nse-india.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +https://nsdl.co.in/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +http://www.punestockexchange.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +http://www.mcx-sx.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +http://aselindia.com/ Top/Regional/Asia/India/Business_and_Economy/Financial_Services/Stock_Exchanges +http://www.srdinodia.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://www.sgrj.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://www.mukeshraj.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://www.dbatra.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://www.aicmas.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://www.gkkediaandco.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://www.jvfinancial.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://taxindiaonline.com/ Top/Regional/Asia/India/Delhi/Business_and_Economy/Financial_Services +http://www.gvfl.com/ Top/Regional/Asia/India/Gujarat/Localities/Ahmedabad/Business_and_Economy/Financial_Services +http://www.sewainsurance.org/ Top/Regional/Asia/India/Gujarat/Localities/Ahmedabad/Business_and_Economy/Financial_Services +http://www.aananyastocks.com/ Top/Regional/Asia/India/Gujarat/Localities/Ahmedabad/Business_and_Economy/Financial_Services +http://www.sduca.com/ Top/Regional/Asia/India/Karnataka/Localities/Bangalore/Business_and_Economy/Financial_Services +http://www.phillipos.com/ Top/Regional/Asia/India/Karnataka/Localities/Bangalore/Business_and_Economy/Financial_Services +http://www.vscaglobal.com/ Top/Regional/Asia/India/Karnataka/Localities/Bangalore/Business_and_Economy/Financial_Services +http://www.way2wealth.com/ Top/Regional/Asia/India/Karnataka/Localities/Bangalore/Business_and_Economy/Financial_Services +http://www.guitcom.com/ Top/Regional/Asia/India/Karnataka/Localities/Bangalore/Business_and_Economy/Financial_Services +http://www.statebankoftravancore.com/ Top/Regional/Asia/India/Kerala/Business_and_Economy/Financial_Services +http://www.cochinstockexchange.com/ Top/Regional/Asia/India/Kerala/Business_and_Economy/Financial_Services +http://www.manappuram.com/ Top/Regional/Asia/India/Kerala/Business_and_Economy/Financial_Services +http://www.bcasonline.org/ Top/Regional/Asia/India/Maharashtra/Business_and_Economy/Financial_Services +http://www.indiataxes.com/ Top/Regional/Asia/India/Maharashtra/Business_and_Economy/Financial_Services +http://www.ctconline.org/ Top/Regional/Asia/India/Maharashtra/Business_and_Economy/Financial_Services +http://www.nitingada.com/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.kdpaccountants.com/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.transecute.com/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.tradersedgeindia.com/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.bseindia.com/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.rathi.com/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.kapolbank.com/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.bharadhwaj.org/ Top/Regional/Asia/India/Maharashtra/Localities/Mumbai/Business_and_Economy/Financial_Services +http://www.gkglobal.net/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.vmaca.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.sundaramfinance.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.cholainsurance.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.prithvifx.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.idfc.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.balusserychitsonline.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.licchennai.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://tnpowerfinance.com/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Chennai/Business_and_Economy/Financial_Services +http://www.tiic.org/ Top/Regional/Asia/India/Tamil_Nadu/Localities/Coimbatore/Business_and_Economy/Financial_Services +http://www.ucobank.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.unitedbankofindia.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.cse-india.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.avenuecorp.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.vkaprofessionals.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.investpath.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.indcapadvisors.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.shyamco.com/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +https://www.allahabadbank.in/ Top/Regional/Asia/India/West_Bengal/Localities/Kolkata/Business_and_Economy/Financial_Services +http://www.trimegah.com/ Top/Regional/Asia/Indonesia/Business_and_Economy/Financial_Services/Investing +http://www.makindo-ct.com/ Top/Regional/Asia/Indonesia/Business_and_Economy/Financial_Services/Investing +http://www.tomida.co.id/ Top/Regional/Asia/Indonesia/Provinces/Jakarta/Business_and_Economy/Financial_Services +http://www.pajak2000.com/ Top/Regional/Asia/Indonesia/Provinces/Jakarta/Business_and_Economy/Financial_Services +http://www.kpmg.or.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.private-equity.co.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.ba-net.co.jp/english/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.analyticajapan.com/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.hi-ho.ne.jp/yokoyama-a/taxationinjapan.htm Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.htmjapan.com/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.bdo.or.jp/eng/adt/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www2.gol.com/users/victaxrk/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.konomi.co.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.ir-aiful.com/english/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.okamoto-co.co.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://corporate.saisoncard.co.jp/co.nsf/en/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.nomuraholdings.com/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services +http://www.miebank.co.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.resona-gr.co.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.shintaku-kyokai.or.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.boy.co.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.higobank.co.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.smbc.co.jp/global/index.html Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.mizuho-fg.co.jp/english/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.btm.co.jp/english/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.zenginkyo.or.jp/en/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.morgenevan.com/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.77bank.co.jp/english/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.shinseibank.com/english/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Banks +http://www.ihidanmark.jp/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Insurance +http://www.legendtravelers.com/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Insurance +http://www.ms-ins.com/english/ Top/Regional/Asia/Japan/Business_and_Economy/Financial_Services/Insurance +http://blog.neoteny.com/neoteny/ Top/Regional/Asia/Japan/Business_and_Economy/Venture_Capital +http://www.sumitomocorp.co.jp/english/index.htm Top/Regional/Asia/Japan/Business_and_Economy/Venture_Capital +http://www.j-seed.com/ Top/Regional/Asia/Japan/Business_and_Economy/Venture_Capital +http://www.acom.co.jp/ Top/Regional/Asia/Japan/Prefectures/Tokyo/Business_and_Economy/Financial_Services +http://www.specialized-group.com/en/ Top/Regional/Asia/Japan/Prefectures/Tokyo/Business_and_Economy/Financial_Services +http://www.sansei-tokyo.co.jp/ Top/Regional/Asia/Japan/Prefectures/Tokyo/Business_and_Economy/Financial_Services +http://nationalbank.kz/ Top/Regional/Asia/Kazakhstan/Business_and_Economy/Financial_Services/Banking_Services +http://www.centercredit.kz/ Top/Regional/Asia/Kazakhstan/Business_and_Economy/Financial_Services/Banking_Services +http://www.worldbank.org.kz/ Top/Regional/Asia/Kazakhstan/Business_and_Economy/Financial_Services/Banking_Services +http://en.kkb.kz/ Top/Regional/Asia/Kazakhstan/Business_and_Economy/Financial_Services/Banking_Services +http://www.citibank.com/kazakhstan/ Top/Regional/Asia/Kazakhstan/Business_and_Economy/Financial_Services/Banking_Services +http://www.bankpozitiv.kz/ Top/Regional/Asia/Kazakhstan/Business_and_Economy/Financial_Services/Banking_Services +http://www.nbkr.kg/ Top/Regional/Asia/Kyrgyzstan/Business_and_Economy/Financial_Services +http://www.hmv.com.mo/ Top/Regional/Asia/Macao/Business_and_Economy/Financial_Services +http://www.cagamas.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.matrade.gov.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.micci.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.orix.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.newvest.net/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.bnm.gov.my/index.php?ch=32&tpl_id=36 Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.arb.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.bankinginfo.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.rakanserumpun.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://toyotacapital.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.kwsp.gov.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.midf.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services +http://www.liam.org.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.piam.org.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.insurance.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.pacific-orient.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.aia.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.axa.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.allianz.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www2.prudential.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.manulife.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.maphi.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Insurance +http://www.fima.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Investment +http://www.ram.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Stock_Market +http://www.angelfire.com/me/keithy/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Stock_Market +http://www.klsedaily.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Stock_Market +http://www.klsetracker.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Stock_Market +http://www.rhbinvest.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Stock_Market +http://www.bursamalaysia.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Stock_Market +https://www.jupiteronline.com.my/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Stock_Market +http://www.vcaonline.com/ Top/Regional/Asia/Malaysia/Business_and_Economy/Financial_Services/Venture_Capital +http://www.icapital.biz/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.vlc.com.my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.marc.com.my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.dmcorporate.com/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.readycompanies.com/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.mustaphakhoo.com/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.barber-assoc.com/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.klmanagement.com.my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services +http://www.affinbank.com.my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Banking_Services +http://www.maybank2u.com/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Banking_Services +http://www.uob.com.my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Banking_Services +http://www.citibank.com.my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Banking_Services +http://www.ambankgroup.com/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Banking_Services +https://www.sc.com/my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Banking_Services +http://www.malaysian-re.com.my/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Insurance +http://www.rpl.bizhosting.com/ Top/Regional/Asia/Malaysia/States_and_Federal_Territories/Kuala_Lumpur/Business_and_Economy/Financial_Services/Insurance +http://www.tdbm.mn/ Top/Regional/Asia/Mongolia/Business_and_Economy/Financial_Services +http://www.golomtbank.com/ Top/Regional/Asia/Mongolia/Business_and_Economy/Financial_Services +http://www.khanbank.com/ Top/Regional/Asia/Mongolia/Business_and_Economy/Financial_Services +http://www.bdsec.mn/ Top/Regional/Asia/Mongolia/Business_and_Economy/Financial_Services +http://www.eurasiac.com/ Top/Regional/Asia/Mongolia/Business_and_Economy/Financial_Services +http://www.nepalstock.com/ Top/Regional/Asia/Nepal/Business_and_Economy/Financial_Services +http://www.ramsbay.com/ Top/Regional/Asia/Nepal/Business_and_Economy/Financial_Services +http://www.citibank.com/pakistan Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.sidathyder.com.pk/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.habibbank.com/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.efuinsurance.com/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.soneribank.com/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.albaraka.com.pk/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.ample.com.pk/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.ublonline.com/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.portalino.it/banks/_pk.htm Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.adamjeeinsurance.com/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://jubileelife.com/ Top/Regional/Asia/Pakistan/Business_and_Economy/Financial_Services +http://www.kse.com.pk/ Top/Regional/Asia/Pakistan/Provinces/Sindh/Localities/Karachi/Business_and_Economy/Financial_Services +http://www.kasb.com/ Top/Regional/Asia/Pakistan/Provinces/Sindh/Localities/Karachi/Business_and_Economy/Financial_Services +http://www.kapadia.com.pk/ Top/Regional/Asia/Pakistan/Provinces/Sindh/Localities/Karachi/Business_and_Economy/Financial_Services +http://pearlfinance.tripod.com/ Top/Regional/Asia/Pakistan/Provinces/Sindh/Localities/Karachi/Business_and_Economy/Financial_Services +http://mampaalong.tripod.com/Redarrow/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services +http://www.philratings.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services +http://www.pinoymoneytalk.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services +http://www.forexworld.us/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services +http://www.cocoplans.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Insurance +http://www.nonlifeinsurance.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Insurance +http://fxremit.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Money_Transfers +http://www.pinoy-express.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Money_Transfers +http://www.remithome.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Money_Transfers +https://www.rcbcsec.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Stocks_and_Bonds +http://www.2tradeasia.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Stocks_and_Bonds +http://groups.yahoo.com/group/philippinestockmarket/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Stocks_and_Bonds +http://www.financemanila.net/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Stocks_and_Bonds +http://cianoyinthephilippinestockmarket.blogspot.com/ Top/Regional/Asia/Philippines/Business_and_Economy/Financial_Services/Stocks_and_Bonds +http://www.hsbc.com.ph/ Top/Regional/Asia/Philippines/Regions/National_Capital_Region/Makati_City/Business_and_Economy/Financial_Services +http://www.prulifeuk.com.ph/ Top/Regional/Asia/Philippines/Regions/National_Capital_Region/Makati_City/Business_and_Economy/Financial_Services +http://www.veteransbank.com.ph/ Top/Regional/Asia/Philippines/Regions/National_Capital_Region/Makati_City/Business_and_Economy/Financial_Services +http://sg.finance.yahoo.com/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services +http://www.hbiz.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services +http://www.ibf.org.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services +http://www.dpgroup.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services +http://masnet.mas.gov.sg/masnet.html Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services +https://www.iia.org.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting +http://www.parkinsons.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Bookkeeping +http://singapore_aberdeen.tripod.com/harford.htm Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Corporate_Secretarial +http://singapore_aberdeen.tripod.com/incorporation.htm Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Corporate_Secretarial +http://www.aberdeen.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Corporate_Secretarial +http://www.bslcs.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Corporate_Secretarial +http://www.knowledge-pro.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Software +http://www.databit.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Software +http://www.twm.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Accounting/Software +http://www.pimco.com/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Asset_and_Fund_Management +http://www.uob.com.sg/uobam/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Asset_and_Fund_Management +http://www.templeton.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Asset_and_Fund_Management +http://www.remuneration.net/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Commerce +http://www.limtan.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Guides +http://www.nets.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Guides +http://www.grantthornton.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Guides +http://www.dollardex.com/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Guides +http://www.income.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.aia.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.ecics.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.first-insurance.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.lifeisgreat.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.iii.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.aceinsurance.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.allianz.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.jltasia.com/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.lq.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.oac.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.axa.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.prudential.com.sg/corporate_sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Insurance +http://www.gic.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.ocbcproperty.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.phillip.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.shareinvestor.com/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.remisiers.org/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.cys.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://hcm.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.prudential.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.aberdeen-asia.com/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.cyberquote.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing +http://www.eq.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing/Online_Financial_Trading +http://www.poems.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing/Online_Financial_Trading +http://www.uobkayhian.com/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Investing/Online_Financial_Trading +http://www.ols.com.sg/ Top/Regional/Asia/Singapore/Business_and_Economy/Financial_Services/Leasing_Services +http://www.ksda.or.kr/ Top/Regional/Asia/South_Korea/Business_and_Economy/Financial_Services +http://english.hvic.co.kr/ Top/Regional/Asia/South_Korea/Business_and_Economy/Financial_Services +http://www.ckcaccounting.com/ Top/Regional/Asia/South_Korea/Business_and_Economy/Financial_Services +http://www.daehyuncpas.co.kr/ Top/Regional/Asia/South_Korea/Seoul/Business_and_Economy/Financial_Services +http://www.rating.co.kr/ Top/Regional/Asia/South_Korea/Seoul/Business_and_Economy/Financial_Services +http://english.imeritz.com/ Top/Regional/Asia/South_Korea/Seoul/Business_and_Economy/Financial_Services +http://eng.nice.co.kr/ Top/Regional/Asia/South_Korea/Seoul/Business_and_Economy/Financial_Services +http://www.hnb.net/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.sampath.lk/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.combank.net/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.plc.lk/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.lankaorix.com/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.srl.lk/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.unionb.com/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.dfcc.lk/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.ndbbank.com/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.hsbc.lk/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.boc.lk/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +https://www.sc.com/lk/ Top/Regional/Asia/Sri_Lanka/Business_and_Economy/Financial_Services +http://www.cse.lk/ Top/Regional/Asia/Sri_Lanka/Western/Colombo/Business_and_Economy/Financial_Services +http://www.primasia.com/ Top/Regional/Asia/Taiwan/Business_and_Economy/Financial_Services +http://www.ask.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.trinitythai.com/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.ktb.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.aeon.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.asiaplus.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.bualuang.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +https://www.cgsec.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.kgieworld.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.uob.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.set.or.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.thaistocks.com/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.asco.or.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.ktzmico.com/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services +http://www.dhipaya.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services/Insurance +http://www.deves.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services/Insurance +http://www.axa.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services/Insurance +http://www.bangkokinsurance.com/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services/Insurance +http://www.allianz-assistance.co.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Financial_Services/Insurance +http://www.inventvc.com/ Top/Regional/Asia/Thailand/Business_and_Economy/Startups/Venture_capital_and_Investment +http://500.co/500tuktuks-fund/ Top/Regional/Asia/Thailand/Business_and_Economy/Startups/Venture_capital_and_Investment +http://www.bangkokvc.com/ Top/Regional/Asia/Thailand/Business_and_Economy/Startups/Venture_capital_and_Investment +http://tvca.or.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Startups/Venture_capital_and_Investment +http://inspireventures.com/ Top/Regional/Asia/Thailand/Business_and_Economy/Startups/Venture_capital_and_Investment +https://new.set.or.th/ Top/Regional/Asia/Thailand/Business_and_Economy/Startups/Venture_capital_and_Investment +http://www.grouplease.co.th/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.mfcfund.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.cns.co.th/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.seamico.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.phatrasecurities.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.bfit.co.th/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.bangkokaudit.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.bakertillythailand.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.bangkokpractice.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.europeanaccountants.co.th/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.panwagroup.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.accountingthailand.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.thaiaccounting.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.cslthailand.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.mezra.com/thailand/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.settrade.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.tiscoetrade.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.thailand-accounting.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.trafalgar-intl.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.crevelingandcreveling.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.better-account.com/ Top/Regional/Asia/Thailand/Provinces/Bangkok/Business_and_Economy/Financial_Services +http://www.caaef.com/ Top/Regional/Asia/Uzbekistan/Business_and_Economy/Financial_Services +http://www.uzbek-banks.narod.ru/ Top/Regional/Asia/Uzbekistan/Business_and_Economy/Financial_Services +http://www.nbu.com/ Top/Regional/Asia/Uzbekistan/Business_and_Economy/Financial_Services +http://www.baoviet.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services +http://www.pvfc.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services +https://www.hdbank.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services/Banks +http://www.indovinabank.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services/Banks +https://www.vietcombank.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services/Banks +http://www.acb.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services/Banks +http://www.citibank.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services/Banks +http://www.sacombank.com.vn/ Top/Regional/Asia/Vietnam/Business_and_Economy/Financial_Services/Banks +http://www.hsbc.com.vn/ Top/Regional/Asia/Vietnam/Provinces/Ho_Chi_Minh/Ho_Chi_Minh_City/Business_and_Economy/Financial_Services +http://www.amtraruba.com/ Top/Regional/Caribbean/Aruba/Business_and_Economy/Financial_Services +http://www.arubabank.com/ Top/Regional/Caribbean/Aruba/Business_and_Economy/Financial_Services/Banks +http://www.cmbnv.com/ Top/Regional/Caribbean/Aruba/Business_and_Economy/Financial_Services/Banks +http://www.centralbankbahamas.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.privatetrustco.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.winterbotham.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.allianceinvest.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.scb.gov.bs/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.britgroup.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.bfsb-bahamas.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.bisxbahamas.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.bbloffshore.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.guarantybahamas.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.aibt-bahamas.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.nassauconference.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.ipg-protector.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.kpmg.com/BS Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services +http://www.jsjohnson.com/ Top/Regional/Caribbean/Bahamas/Business_and_Economy/Financial_Services/Insurance +http://www.fortressfund.com/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.jtbanktrust.com/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.bse.com.bb/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.sagicor.com/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.signiafinancial.com/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.globalre.bb/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.sungeneral.net/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.askbarbados.com/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +https://www.massyunitedinsurance.com/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.icbl.com/ Top/Regional/Caribbean/Barbados/Business_and_Economy/Financial_Services +http://www.shirleytrust.com/ Top/Regional/Caribbean/British_Virgin_Islands/Business_and_Economy/Financial_Services +http://www.vpbank.vg/ Top/Regional/Caribbean/British_Virgin_Islands/Business_and_Economy/Financial_Services +http://www.offshorebvi.com/ Top/Regional/Caribbean/British_Virgin_Islands/Business_and_Economy/Financial_Services +http://www.folioadmin.com/ Top/Regional/Caribbean/British_Virgin_Islands/Business_and_Economy/Financial_Services +http://www.cactusaccounting.com/ Top/Regional/Caribbean/Caribbean_Netherlands/Bonaire/Business_and_Economy/Financial_Services +http://www.csx.com.ky/ Top/Regional/Caribbean/Cayman_Islands/Business_and_Economy/Financial_Services +http://www.caymannational.com/ Top/Regional/Caribbean/Cayman_Islands/Business_and_Economy/Financial_Services +http://www.admiraladmin.com/ Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services +http://www.kpmg.ky/ Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services +http://www.gtcayman.com/ Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services +http://www.iib.com.ky/ Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services +http://www.caymanfinancialservice.com/ Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services +http://www.caymanmanagement.com/ Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services +http://www.icg.ky/ Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services +http://www.royalbank.com/caribbean/cayman_list.html Top/Regional/Caribbean/Cayman_Islands/Grand_Cayman/Business_and_Economy/Financial_Services/Banks +http://www.mcb-bank.com/ Top/Regional/Caribbean/Curaçao/Business_and_Economy/Financial_Services +http://www.tggweb.com/quast/ Top/Regional/Caribbean/Curaçao/Business_and_Economy/Financial_Services +http://www.sftbank.com/ Top/Regional/Caribbean/Curaçao/Business_and_Economy/Financial_Services +http://www.bancodicaribe.com/ Top/Regional/Caribbean/Curaçao/Business_and_Economy/Financial_Services +http://www.nibanc.com/ Top/Regional/Caribbean/Curaçao/Business_and_Economy/Financial_Services +http://www.cifa-curacao.com/ Top/Regional/Caribbean/Curaçao/Business_and_Economy/Financial_Services +http://www.goccp.com/ Top/Regional/Caribbean/Dominica/Business_and_Economy/Financial_Services +http://www.boj.org.jm/ Top/Regional/Caribbean/Jamaica/Business_and_Economy/Financial_Services +http://www.jmmb.com/ Top/Regional/Caribbean/Jamaica/Business_and_Economy/Financial_Services +http://www.jamstockex.com/ Top/Regional/Caribbean/Jamaica/Business_and_Economy/Financial_Services +http://www.jncb.com/ Top/Regional/Caribbean/Jamaica/Business_and_Economy/Financial_Services +http://www.cwjcu.com/ Top/Regional/Caribbean/Jamaica/Business_and_Economy/Financial_Services +http://www.icac.org.jm/ Top/Regional/Caribbean/Jamaica/Business_and_Economy/Financial_Services +http://www.icaj.org/ Top/Regional/Caribbean/Jamaica/Business_and_Economy/Financial_Services +http://www.capital-credit.com/ Top/Regional/Caribbean/Jamaica/Localities/Kingston/Business_and_Economy/Financial_Services +http://www.pf-cpa.com/ Top/Regional/Caribbean/Puerto_Rico/Business_and_Economy/Financial_Services +http://www.segurosmultiples.com/ Top/Regional/Caribbean/Puerto_Rico/Business_and_Economy/Financial_Services +http://www.gmgroup.com/ Top/Regional/Caribbean/Puerto_Rico/Business_and_Economy/Financial_Services +http://www.agfirst.com/ Top/Regional/Caribbean/Puerto_Rico/Business_and_Economy/Financial_Services/Banks_and_Institutions +http://www.caribefederal.com/ Top/Regional/Caribbean/Puerto_Rico/Business_and_Economy/Financial_Services/Banks_and_Institutions +http://www.gdb-pur.com/ Top/Regional/Caribbean/Puerto_Rico/Business_and_Economy/Financial_Services/Banks_and_Institutions +http://www.bankofsaintlucia.com/ Top/Regional/Caribbean/Saint_Lucia/Business_and_Economy/Financial_Services +http://www.saintluciaifc.com/ Top/Regional/Caribbean/Saint_Lucia/Business_and_Economy/Financial_Services +http://www.stockex.co.tt/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services +http://www.ttutc.com/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services +http://www.rhand.org.tt/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services +http://www.homemortgagett.com/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services +http://www.bourseinvestment.com/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services +http://www.republictt.com/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services/Banks +http://www.firstcitizenstt.com/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services/Banks +http://www.rbtt.com/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services/Banks +http://www.tatil.co.tt/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services/Insurance +http://www.agostini.com/ Top/Regional/Caribbean/Trinidad_and_Tobago/Business_and_Economy/Financial_Services/Insurance +http://www.chartered-tci.com/ Top/Regional/Caribbean/Turks_and_Caicos/Business_and_Economy/Financial_Services +http://www.coriats.com/ Top/Regional/Caribbean/Turks_and_Caicos/Business_and_Economy/Financial_Services +http://www.meridiantrust.tc/ Top/Regional/Caribbean/Turks_and_Caicos/Business_and_Economy/Financial_Services +http://www.firstbankvi.com/ Top/Regional/Caribbean/US_Virgin_Islands/Business_and_Economy/Financial_Services +http://www.familyinsurance.vi/ Top/Regional/Caribbean/US_Virgin_Islands/St._Thomas/Business_and_Economy/Financial_Services +http://www.hrcubelize.org/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services +http://www.centralbank.org.bz/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services +http://www.icab.bz/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services +http://www.orionibc.com/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services +http://www.belizebank.com/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services +http://www.atlabank.com/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services +http://www.belizeinsurance.com/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services/Insurance +http://www.rfglife.com/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services/Insurance +http://www.rfginsurancebelize.com/ Top/Regional/Central_America/Belize/Business_and_Economy/Financial_Services/Insurance +http://www.garrettbrokers.com/ Top/Regional/Central_America/Costa_Rica/Business_and_Economy/Financial_Services/Insurance +http://www.kpmg.co.cr/ Top/Regional/Central_America/Costa_Rica/San_Jose_Province/Localities/San_Jose/Business_and_Economy/Financial_Services +http://www.grupocamacho.com/ Top/Regional/Central_America/Costa_Rica/San_Jose_Province/Localities/San_Jose/Business_and_Economy/Financial_Services +http://www.capital-fa.com/ Top/Regional/Central_America/Costa_Rica/San_Jose_Province/Localities/San_Jose/Business_and_Economy/Financial_Services +http://www.banguat.gob.gt/ Top/Regional/Central_America/Guatemala/Business_and_Economy/Financial_Services +http://www.adelantefoundation.org/ Top/Regional/Central_America/Honduras/Business_and_Economy/Financial_Services +http://www.intertrustpanama.com/ Top/Regional/Central_America/Panama/Business_and_Economy/Financial_Services +http://www.superbancos.gob.pa/ Top/Regional/Central_America/Panama/Business_and_Economy/Financial_Services +http://www.p-wos.com/ Top/Regional/Central_America/Panama/Business_and_Economy/Financial_Services +http://www.trustserv.com/ Top/Regional/Central_America/Panama/Business_and_Economy/Financial_Services +http://www.kpmg.com.pa/ Top/Regional/Central_America/Panama/Business_and_Economy/Financial_Services +http://www.bankofalbania.org/ Top/Regional/Europe/Albania/Business_and_Economy/Financial_Services +http://www.creditandorragroup.ad/ Top/Regional/Europe/Andorra/Business_and_Economy/Financial_Services +http://www.oenb.at/ Top/Regional/Europe/Austria/Business_and_Economy/Financial_Services +http://www.taxes.at/ Top/Regional/Europe/Austria/Provinces/Vienna/Business_and_Economy/Financial_Services +http://www.investkredit.at/ Top/Regional/Europe/Austria/Provinces/Vienna/Business_and_Economy/Financial_Services +http://www.sniper.at/ Top/Regional/Europe/Austria/Provinces/Vienna/Business_and_Economy/Financial_Services +http://www.greco-international.com/ Top/Regional/Europe/Austria/Provinces/Vienna/Business_and_Economy/Financial_Services +http://www.portalino.it/banks/_by.htm Top/Regional/Europe/Belarus/Business_and_Economy/Financial_Services +http://www.nbb.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.belgolaise.com/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.ing.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.jfc.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.bcc.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.gbl.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.puilaetco.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.euroclear.com/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.fortisbank.com/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.aegon.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.eastwest.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.intellect.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.moneytrans.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +https://www.keytradebank.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.fsma.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.delcredereducroire.be/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services +http://www.swisslife.com/ Top/Regional/Europe/Belgium/Business_and_Economy/Financial_Services/Insurance +http://www.bdo.be/ Top/Regional/Europe/Belgium/Regions/Brussels/Business_and_Economy/Financial_Services +http://www.bvbafidum.com/ Top/Regional/Europe/Belgium/Regions/Brussels/Business_and_Economy/Financial_Services +http://www.fidutax.com/ Top/Regional/Europe/Belgium/Regions/Brussels/Business_and_Economy/Financial_Services +http://www.taxconsult.be/ Top/Regional/Europe/Belgium/Regions/Brussels/Business_and_Economy/Financial_Services +http://www.bse-sofia.bg/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://www.activ.bg/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://www.mmconsult.biz/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://www.strategma.bg/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://sfb.bia-bg.com/index.php?lang=en&sel Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://www.interlease.bg/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://beis.bia-bg.com/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://karoll.bg/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services +http://www.bnb.bg/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services/Banks +http://www.unicreditbulbank.bg/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services/Banks +http://web.need.bg/fidelitas/ Top/Regional/Europe/Bulgaria/Business_and_Economy/Financial_Services/Insurance +http://www.swedbank.com/ Top/Regional/Europe/Business_and_Economy/Financial_Services +http://www.closeam.com/ Top/Regional/Europe/Business_and_Economy/Financial_Services +http://www.europeanpensions.net/ Top/Regional/Europe/Business_and_Economy/Financial_Services +http://www.temmes-management.com/ Top/Regional/Europe/Business_and_Economy/Financial_Services +http://www.mks.ch/ Top/Regional/Europe/Business_and_Economy/Financial_Services +http://www.aaae-europe.eu/ Top/Regional/Europe/Business_and_Economy/Financial_Services +http://www.golddirect.com/ Top/Regional/Europe/Business_and_Economy/Financial_Services +http://www.axa-schengen.com/ Top/Regional/Europe/Business_and_Economy/Financial_Services/Insurance +http://www.splitskabanka.hr/ Top/Regional/Europe/Croatia/Business_and_Economy/Financial_Services/Banks +http://www.zaba.hr/ Top/Regional/Europe/Croatia/Business_and_Economy/Financial_Services/Banks +http://www.hub.hr/ Top/Regional/Europe/Croatia/Business_and_Economy/Financial_Services/Banks +http://www.zse.hr/ Top/Regional/Europe/Croatia/Business_and_Economy/Financial_Services/Stock_Exchange +https://www.swedbank.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.nordea.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.krediidipank.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.tbb.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.tavid.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.lhv.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.seb.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.pangaliit.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +https://www.omaraha.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.bigbank.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.cresco.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.avaron.com/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +https://www.evli.com/web/EE/en/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.citadele.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.mandatumlife.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.danskebank.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +https://www.bondora.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.versobank.com/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services +http://www.if.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services/Insurance +http://www.seesam.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services/Insurance +http://www.salva.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services/Insurance +http://www.ergo.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services/Insurance +http://www.bta-kindlustus.ee/ Top/Regional/Europe/Estonia/Business_and_Economy/Financial_Services/Insurance +http://www.banque-france.fr/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.amf-france.org/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.creditfoncier.fr/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.bnpparibas.com/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.cna-autoroutes.com/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.lrf.fr/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.fusacom.com/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.arjil.fr/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.groupedulouvre.com/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.societegenerale.com/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services +http://www.henner.com/ Top/Regional/Europe/France/Business_and_Economy/Financial_Services/Insurance/France +http://deutsche-boerse.com/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services +http://www.steubing.de/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services +http://www.concur.de/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services +http://www.deutschebulls.com/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services +http://www.gbh.de/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services/Insurance +http://www.vd-international.de/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services/Insurance +http://www.neocare.co.za/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services/Insurance +http://www.suedvers.de/ Top/Regional/Europe/Germany/Business_and_Economy/Financial_Services/Insurance +http://www.gba.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://www.ujoffshore.com/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://www.gics.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://www.investorseurope.com/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://www.leeds-holbeck.com/gibraltar/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://easypay.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://www.capurroinsurance.com/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://saveontax.net/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://www.gam.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://jyskebank.com/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services +http://www.deloitte.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://www.drummonds.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://www.ecsinter.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://www.bdo.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://www.cruzlaw.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://www.benadycohen.com/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://amp-accountants.com/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://www.bakertillygibraltar.gi/ Top/Regional/Europe/Gibraltar/Business_and_Economy/Financial_Services/Accountants +http://www.attica-ventures.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.hba.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.alpha.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +https://www.nbg.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.eurobank.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.hellascapital.ca/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.kpmg.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.solae.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.atticabank.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.piraeusbankgroup.com/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services +http://www.kemexpo.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services/Franchising +https://www.allianz-assistance.gr/en/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services/Insurance +http://www.ase.gr/ Top/Regional/Europe/Greece/Business_and_Economy/Financial_Services/Investing +http://www.petrofin.gr/ Top/Regional/Europe/Greece/Prefectures/Attica/Athens/Business_and_Economy/Financial_Services +http://www.alphamutual.gr/ Top/Regional/Europe/Greece/Prefectures/Attica/Athens/Business_and_Economy/Financial_Services +http://an-kyklos.gr/ Top/Regional/Europe/Greece/Prefectures/Attica/Athens/Business_and_Economy/Financial_Services +http://www.greekshares.com/ Top/Regional/Europe/Greece/Prefectures/Attica/Athens/Business_and_Economy/Financial_Services +http://www.ibl.gr/ Top/Regional/Europe/Greece/Prefectures/Attica/Athens/Business_and_Economy/Financial_Services +https://taxsupport.gr/en/ Top/Regional/Europe/Greece/Prefectures/Attica/Athens/Business_and_Economy/Financial_Services +http://www.fenfar.gr/ Top/Regional/Europe/Greece/Prefectures/Attica/Business_and_Economy/Financial_Services +http://www.manorpark.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.heritage.co.gg/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.assetrisk.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.artemisci.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.willowtrust.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.bourse.gg/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.cogentlimited.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.hansardtrust.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.skiptoninternational.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.chamberlainfiduciaries.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.jupiter.gg/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.guernseyfinancialmanager.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.ipes.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.thecise.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.grantthorntonci.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services +http://www.nordben.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Insurance +http://www.willis.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Insurance +http://www.rossgower.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Insurance +https://www.generali-worldwide.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Insurance +http://www.localinstitutes.cii.co.uk/guernsey/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Insurance +http://www.co-operativebank.co.uk/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Investment_Banks +http://www.efggroup.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Investment_Banks +http://www.bankofbutterfield.com/international.html#Guernsey Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Investment_Banks +http://www.natwestoffshore.com/ Top/Regional/Europe/Guernsey/Business_and_Economy/Financial_Services/Investment_Banks +http://www.spron.is/ Top/Regional/Europe/Iceland/Business_and_Economy/Financial_Services +http://www.islandsbanki.is/ Top/Regional/Europe/Iceland/Business_and_Economy/Financial_Services +http://www.businessworld.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.creditunion.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.accountingnet.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.fxcentre.com/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.mabs.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.irishmanagedcompanies.com/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.enterpriseequity.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.acornlife.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.insolvencyjournal.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.itssltd.com/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.mbna.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.rbk.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.omnipro.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.avidpartners.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.dbass.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.blueskyfinancial.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services +http://www.askaboutmoney.com/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.finfacts.com/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.retirementadvice.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.piba.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.clearys.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.nononsense.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.bradyinsurance.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.sheridan.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.firstireland.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.vhi.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.libertyinsurance.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.wrightcover.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.xsdirect.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance/Automotive +http://www.layahealthcare.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance/Health_Insurance +http://www.hia.ie/ Top/Regional/Europe/Ireland/Business_and_Economy/Financial_Services/Insurance/Health_Insurance +http://parfreymurphy.ie/ Top/Regional/Europe/Ireland/Cork/Localities/Cork_City/Business_and_Economy/Financial_Services +http://www.payeroll.ie/ Top/Regional/Europe/Ireland/Cork/Localities/Cork_City/Business_and_Economy/Financial_Services +http://www.mymortgages.ie/ Top/Regional/Europe/Ireland/Cork/Localities/Cork_City/Business_and_Economy/Financial_Services +http://www.petinsure.ie/ Top/Regional/Europe/Ireland/Cork/Localities/Cork_City/Business_and_Economy/Financial_Services +http://www.imc.ie/ Top/Regional/Europe/Ireland/Cork/Localities/Cork_City/Business_and_Economy/Financial_Services +http://www.financeclaims.ie/ Top/Regional/Europe/Ireland/Cork/Localities/Cork_City/Business_and_Economy/Financial_Services +http://www.bmurphyco.ie/ Top/Regional/Europe/Ireland/Cork/Localities/Cork_City/Business_and_Economy/Financial_Services +http://www.ise.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.moneywise.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.irishlife.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.deltaindex.com/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.alliedrisk.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.axa.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.moneymarkets.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.das.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.mortgagebrokers.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.robertkingandco.com/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.threesquare.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.newireland.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.glennons.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.franklenny.com/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.independent-trustee.com/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.greenman.com/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.irishmortgage.com/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services +http://www.noonecasey.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Business_and_Economy/Financial_Services/Accountants +http://www.harbourmaster.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Irish_Financial_Services_Centre +http://www.mccannfitzgerald.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Irish_Financial_Services_Centre +http://www.maxol.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Irish_Financial_Services_Centre +http://www.ifsc.ie/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Irish_Financial_Services_Centre +http://www.aceinsure.ie/travel/ Top/Regional/Europe/Ireland/Dublin/Localities/City_Centre/Irish_Financial_Services_Centre +http://www.johnmullane.net/ Top/Regional/Europe/Ireland/Limerick/Localities/Limerick_City/Business_and_Economy/Financial_Services +http://www.henchyinsurance.com/ Top/Regional/Europe/Ireland/Limerick/Localities/Limerick_City/Business_and_Economy/Financial_Services +http://www.obi.ie/ Top/Regional/Europe/Ireland/Limerick/Localities/Limerick_City/Business_and_Economy/Financial_Services +http://www.bdolimerick.ie/ Top/Regional/Europe/Ireland/Limerick/Localities/Limerick_City/Business_and_Economy/Financial_Services +http://www.bjdennehy.ie/ Top/Regional/Europe/Ireland/Limerick/Localities/Limerick_City/Business_and_Economy/Financial_Services +http://www.thomondam.com/ Top/Regional/Europe/Ireland/Limerick/Localities/Limerick_City/Business_and_Economy/Financial_Services +http://www.byrneandmaguire.ie/ Top/Regional/Europe/Ireland/Louth/Localities/Dundalk/Business_and_Economy/Financial_Services +http://keystone.ie/ Top/Regional/Europe/Ireland/Louth/Localities/Dundalk/Business_and_Economy/Financial_Services +http://www.monitum.com/ Top/Regional/Europe/Ireland/Louth/Localities/Dundalk/Business_and_Economy/Financial_Services +http://www.ocad.ie/ Top/Regional/Europe/Ireland/Louth/Localities/Dundalk/Business_and_Economy/Financial_Services +http://www.quoteme.ie/ Top/Regional/Europe/Ireland/Louth/Localities/Dundalk/Business_and_Economy/Financial_Services +http://www.rmclifeandpensions.com/ Top/Regional/Europe/Ireland/Waterford/Localities/Tramore/Business_and_Economy/Financial_Services +http://www.iombank.com/ Top/Regional/Europe/Isle_of_Man/Business_and_Economy/Financial_Services +http://www.hardinglewis.com/ Top/Regional/Europe/Isle_of_Man/Douglas/Business_and_Economy/Financial_Services +http://www.iomagroup.co.im/ Top/Regional/Europe/Isle_of_Man/Douglas/Business_and_Economy/Financial_Services +http://www.i.im/ Top/Regional/Europe/Isle_of_Man/Douglas/Business_and_Economy/Financial_Services +http://www.grupposmile.it/ Top/Regional/Europe/Italy/Business_and_Economy/Financial_Services +http://www.fitd.it/ Top/Regional/Europe/Italy/Business_and_Economy/Financial_Services +http://www.telemutuo.com/ Top/Regional/Europe/Italy/Business_and_Economy/Financial_Services +http://www.financialcenter.com/index.php?regionid=4italy.html Top/Regional/Europe/Italy/Business_and_Economy/Financial_Services +http://www.borsaitalia.it/ Top/Regional/Europe/Italy/Business_and_Economy/Financial_Services/Investing +http://www.brambillatitoli.it/ Top/Regional/Europe/Italy/Business_and_Economy/Financial_Services/Investing +http://www.eurizon.it/ Top/Regional/Europe/Italy/Lombardy/Localities/Milan/Business_and_Economy/Financial_Services +http://web.tiscali.it/mag2/inglese/ Top/Regional/Europe/Italy/Lombardy/Localities/Milan/Business_and_Economy/Financial_Services +http://www.operasgr.it/ Top/Regional/Europe/Italy/Lombardy/Localities/Milan/Business_and_Economy/Financial_Services +http://www.jerseybankersassociation.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.rosscot.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.fundadministrators.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.hepburnsinsurance.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.volaw.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.bba.je/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.mooremanagement.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.bksfamilyoffice.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.minerva-trust.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.bdo.je/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.hawksford.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.nautilustrust.com/ Top/Regional/Europe/Jersey/Business_and_Economy/Financial_Services +http://www.nasdaqomxbaltic.com/ Top/Regional/Europe/Latvia/Business_and_Economy/Financial_Services +https://www.bbp-net.com/ Top/Regional/Europe/Latvia/Riga_Region/Riga/Business_and_Economy/Financial_Services +http://www.baltikums.com/ Top/Regional/Europe/Latvia/Riga_Region/Riga/Business_and_Economy/Financial_Services +http://www.artha-trust.com/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +http://www.arcomm.li/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +https://www.llb.li/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +https://www.vpbank.com/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +http://www.bankenverband.li/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +http://www.confida.li/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +http://www.profitfund.com/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +http://www.jeeves-group.com/ Top/Regional/Europe/Liechtenstein/Business_and_Economy/Financial_Services +http://www.finmin.lt/ Top/Regional/Europe/Lithuania/Business_and_Economy/Financial_Services +http://www.csdl.lt/ Top/Regional/Europe/Lithuania/Business_and_Economy/Financial_Services +http://www.portalino.it/banks/_lt.htm Top/Regional/Europe/Lithuania/Business_and_Economy/Financial_Services +http://www.lt.omxgroup.com/?lang=en Top/Regional/Europe/Lithuania/Business_and_Economy/Financial_Services +http://www.lb.lt/ Top/Regional/Europe/Lithuania/Business_and_Economy/Financial_Services +http://www.investlithuania.com/ Top/Regional/Europe/Lithuania/Business_and_Economy/Financial_Services +http://www.bourse.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.abbl.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.alfi.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.sparinvest.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.atoz.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.alliance-revision.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.interinvest.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.cetrel-securities.lu/ Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.deloitte.com/view/en_LU/lu/index.htm Top/Regional/Europe/Luxembourg/Business_and_Economy/Financial_Services +http://www.kb.com.mk/ Top/Regional/Europe/Macedonia/Business_and_Economy/Financial_Services +http://www.stb.com.mk/ Top/Regional/Europe/Macedonia/Business_and_Economy/Financial_Services +http://www.mse.org.mk/ Top/Regional/Europe/Macedonia/Business_and_Economy/Financial_Services +http://www.pbb.com.mk/ Top/Regional/Europe/Macedonia/Business_and_Economy/Financial_Services +http://www.bov.com/ Top/Regional/Europe/Malta/Business_and_Economy/Financial_Services +http://www.apsbank.com.mt/ Top/Regional/Europe/Malta/Business_and_Economy/Financial_Services +http://www.lombardmalta.com/ Top/Regional/Europe/Malta/Business_and_Economy/Financial_Services +https://www.centralbankmalta.org/ Top/Regional/Europe/Malta/Business_and_Economy/Financial_Services +http://www.jesmondmizzi.com/ Top/Regional/Europe/Malta/Business_and_Economy/Financial_Services +http://www.grantthornton.com.mt/ Top/Regional/Europe/Malta/Business_and_Economy/Financial_Services +http://www.bnm.org/ Top/Regional/Europe/Moldova/Business_and_Economy/Financial_Services +http://www.eximbank.com/ Top/Regional/Europe/Moldova/Business_and_Economy/Financial_Services +http://www.cmb.mc/ Top/Regional/Europe/Monaco/Business_and_Economy/Financial_Services +http://www.taxresidences.com/ Top/Regional/Europe/Monaco/Business_and_Economy/Financial_Services +https://www.ca-indosuez.com/monaco/fr Top/Regional/Europe/Monaco/Business_and_Economy/Financial_Services +http://www.expatax.nl/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +https://www.abnamro.com/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://www.medsciencescapital.com/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://www.nmt-group.nl/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://holstege.tripod.com/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://www.accinterra.nl/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://www.montesquieu.nl/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://www.ceramicpartners.com/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://www.btmholland.com/ Top/Regional/Europe/Netherlands/Business_and_Economy/Financial_Services +http://www.nordea.com/ Top/Regional/Europe/Norway/Business_and_Economy/Financial_Services +http://www.storebrand.com/ Top/Regional/Europe/Norway/Business_and_Economy/Financial_Services +http://www.carnegie.se/en/no/ Top/Regional/Europe/Norway/Business_and_Economy/Financial_Services +http://www.sibs.pt/ Top/Regional/Europe/Portugal/Business_and_Economy/Financial_Services +http://www.swedbank.com/ Top/Regional/Europe/Regions/Baltics/Business_and_Economy/Financial_Services +http://www.trigoncapital.com/ Top/Regional/Europe/Regions/Baltics/Business_and_Economy/Financial_Services +http://www.bvb.ro/ Top/Regional/Europe/Romania/Bucharest/Business_and_Economy/Financial_Services +http://www.creditplus.ro/ Top/Regional/Europe/Romania/Bucharest/Business_and_Economy/Financial_Services +http://www.creditinfo.ro/ Top/Regional/Europe/Romania/Bucharest/Business_and_Economy/Financial_Services +http://www.alphafinance.ro/ Top/Regional/Europe/Romania/Bucharest/Business_and_Economy/Financial_Services +http://www.bnro.ro/ Top/Regional/Europe/Romania/Business_and_Economy/Financial_Services +http://www.alal.ru/ Top/Regional/Europe/Russia/Administrative_Regions/Moscow/Business_and_Economy/Financial_Services +http://www.absolutbank.com/ Top/Regional/Europe/Russia/Administrative_Regions/Moscow/Business_and_Economy/Financial_Services +http://www.euroskill.ru/ Top/Regional/Europe/Russia/Administrative_Regions/Moscow/Business_and_Economy/Financial_Services +http://www.viking.spb.ru/ Top/Regional/Europe/Russia/Administrative_Regions/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.baltbank.ru/ Top/Regional/Europe/Russia/Administrative_Regions/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.pscb.ru/ Top/Regional/Europe/Russia/Administrative_Regions/Saint_Petersburg/Business_and_Economy/Financial_Services +http://en.bspb.ru/ Top/Regional/Europe/Russia/Administrative_Regions/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.bfa.ru/ Top/Regional/Europe/Russia/Administrative_Regions/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.micex.com/ Top/Regional/Europe/Russia/Business_and_Economy/Financial_Services +http://www.gtrus.ru/ Top/Regional/Europe/Russia/Business_and_Economy/Financial_Services +http://www.bsm.sm/ Top/Regional/Europe/San_Marino/Business_and_Economy/Financial_Services +http://www.belex.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services +http://www.kombank.com/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Banks +http://www.erstebank.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Banks +http://www.societegenerale.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Banks +http://www.raiffeisenbank.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Banks +http://www.creditagricole.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Banks +http://www.unicreditbank.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Banks +http://www.bancaintesa.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Banks +http://www.dunav.com/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Insurance +http://www.wiener.co.rs/ Top/Regional/Europe/Serbia/Business_and_Economy/Financial_Services/Insurance +http://www.bsse.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services +http://www.slovensko.com/koruna/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services +http://www.nbs.sk/KL/INDEXA.HTM Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services +http://nbs.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Banks +http://www.slsp.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Banks +http://www.unicreditbank.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Banks +http://www.vub.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Banks +http://www.tatrabanka.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Banks +http://www.citibank.com/slovakia/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Banks +http://www.otpbank.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Banks +http://www.vubfact.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Factoring +http://www.socpoist.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Insurance +http://www.concorde.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Insurance +http://www.bnpparibascardif.sk/ Top/Regional/Europe/Slovakia/Business_and_Economy/Financial_Services/Insurance +http://www.nlb.si/ Top/Regional/Europe/Slovenia/Business_and_Economy/Financial_Services +http://www.portalino.it/banks/_si.htm Top/Regional/Europe/Slovenia/Business_and_Economy/Financial_Services +http://www.ilirika.com/ Top/Regional/Europe/Slovenia/Business_and_Economy/Financial_Services +http://www.investslovenia.org/ Top/Regional/Europe/Slovenia/Business_and_Economy/Financial_Services +http://www.prvafina.si/ Top/Regional/Europe/Slovenia/Business_and_Economy/Financial_Services +http://www.fluentfinanceabroad.com/ Top/Regional/Europe/Spain/Autonomous_Communities/Andalucia/Malaga/Marbella/Business_and_Economy/Financial_Services +http://www.opdebeeck-worth.com/ Top/Regional/Europe/Spain/Autonomous_Communities/Andalucia/Malaga/Marbella/Business_and_Economy/Financial_Services +http://www.holacredito.com/ Top/Regional/Europe/Spain/Autonomous_Communities/Andalucia/Malaga/Marbella/Business_and_Economy/Financial_Services +http://www.asec.es/ Top/Regional/Europe/Spain/Autonomous_Communities/Andalucia/Malaga/San_Pedro_de_Alcantara/Business_and_Economy/Financial_Services +http://www.knight-insurance.com/ Top/Regional/Europe/Spain/Business_and_Economy/Financial_Services/Insurance +http://www.aktiespararna.se/ Top/Regional/Europe/Sweden/Business_and_Economy/Financial_Services +http://www.fm-g.com/ Top/Regional/Europe/Sweden/Business_and_Economy/Financial_Services +http://www.penser.se/ Top/Regional/Europe/Sweden/Stockholm_County/Localities/Stockholm/Business_and_Economy/Financial_Services +http://www.erikainsurance.com/ Top/Regional/Europe/Sweden/Stockholm_County/Localities/Stockholm/Business_and_Economy/Financial_Services +http://www.snb.ch/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services +http://www.swisslife.com/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services +http://www.geneve-finance.ch/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets +http://www.swx.com/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets +http://www.swissquote.ch/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets +http://www.foxir.com/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets +https://www.tradedirect.ch/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets +http://www.swissbanking.org/en/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets/Associations +http://www.acisuisse.ch/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets/Associations +http://www.sfoa.org/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets/Associations +http://www.foreignbanks.ch/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets/Associations +http://www.telekurs.com/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets/Infrastructure +http://www.finma.ch/ Top/Regional/Europe/Switzerland/Business_and_Economy/Financial_Services/Financial_Markets/Market_Supervision +http://www.bank.gov.ua/ Top/Regional/Europe/Ukraine/Business_and_Economy/Financial_Services +http://www.ineko.com/ Top/Regional/Europe/Ukraine/Business_and_Economy/Financial_Services +http://www.kinto.com/ Top/Regional/Europe/Ukraine/Business_and_Economy/Financial_Services +http://www.evu.kiev.ua/ Top/Regional/Europe/Ukraine/Business_and_Economy/Financial_Services +http://www.fas.com.ua/en/index.php Top/Regional/Europe/Ukraine/Business_and_Economy/Financial_Services +http://www.investadviser.com.ua/ Top/Regional/Europe/Ukraine/Business_and_Economy/Financial_Services +http://artcapital.ua/ Top/Regional/Europe/Ukraine/Business_and_Economy/Financial_Services +http://www.ey.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.careeradvance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.julianhodgebank.com/group/recruitment/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www2.goldmansachs.com/careers/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.kpmgcareers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.gaapweb.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.bankofenglandjobs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://careers.deloitte.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.ml.com/careers Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +https://www.sc.com/en/careers Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.lloydsbankinggroup.com/careers/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services +http://www.darwinrhodes.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.arcconsulting.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.cityjobs.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.cityexec.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.jamesassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.opusmitchell.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.waverecruit.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.managementstaff.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.jaredjames.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.mcgregor-boyall.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.accountancysupport.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.sfrecruitment.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.aegle.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.financial-network.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.phprecruit.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.pendry.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.michelangelo.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.financialrecruitment.biz/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.morganmckinley.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.walkerjoice-recruitment.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.alplc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.keillar.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.roberthalf.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.allertonpark.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.aspectsrecruit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.topfinancialjobs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.healyhunt.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.progressiverec.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.suerees.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.xl-recruitment.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.integralsearch.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.wilkinsonandassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.harrisonholgate.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.austinandrew.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://www.creativetaxrecruitment.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Employment/By_Sector/Financial_Services/Agencies +http://smithandwilliamson.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services +http://www.ksagroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services +http://www.parasolgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting +http://www.hallamstock.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting +http://www.icknield.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting +http://www.accountsanywhere.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting +http://www.businesscontrol.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting +http://www.traderisks.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting +http://www.orangegenie.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.danbro.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.batesweston.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.pacificgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.duttonmoore.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.doddaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.clbcoopers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://myersons.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.pem.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.brightbrown.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.cranleys.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.apsleys.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.cdjltd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.wiseandco.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.c-todd.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.ballams.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.saint.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.acklands.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.gwjones.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.howardsca.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.cwturner.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.balancedaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://arsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.cheyettes.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bowkerorford.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.citycas.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.robinsonslondon.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.accountantinilford.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.numerion.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.sterlings.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.wisteria.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.acumen-accounting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.barcantbeardon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.companybooks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.abggroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.duffyregan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.pink-accounts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.barterdm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.daclark.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.indigotax.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.spofforths.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mjsmith.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.rileycom.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.foxevans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.leigh-christou.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.jordan-ca.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.stanleyyule.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.michaelletch.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.pinpointaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.alanwsimons.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.jwaccounts.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.asquithand.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.hall-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.evansaccountants.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.nixonwilliams.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.brownbutler.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bensonwood.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.churchill-knight.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://dmaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.hicks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mercerhole.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.dre.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.turner-peachey.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.banksco.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.leesaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bourneandco.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.alliotts.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bournerbullock.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.streetsweb.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.ellacotts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.tax.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.ttrbarnes.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.donaldreid.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.caladine.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.sellensfrench.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.russellheath.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.broomfield.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bainhenryreid.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.adplusaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mraccountancy.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.athollscott.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.woollard.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.nelsongilmoursmith.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.tindellgrant.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.wyliebisset.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.campbelldallas.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.oneaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.scott-moncrieff.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.frenchduncan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.henderson-taxation.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.alexandersloan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.defacto-fd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.griffithswilcock.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.hardiecaldwell.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.kajavid.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.macmillancraig.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mcallisters-ca.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mpwca.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.robertsoncraig.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.tbdunn.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.thomasbarrie.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.agp-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mgi-uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.spa.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.wags.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.wingrave.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.hwfisher.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.franklin.uk.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.frenkeltopping.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://specialistdentalaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mjb.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.mazars.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.moorestephens.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.jmdconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.lanham.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.louwandco.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.lubbockfine.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.rogerlugg.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.jwscrivens.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.schofieldsonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.sjdaccountancy.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.sloane.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.howardfrank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.isoscelesfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.philiphudson.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.grant-thornton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.griffin-chapman.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.cvdfk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.baginskycohen.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.beatons.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bennettbrooks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.blickrothenberg.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bluedotconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.aisma.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bsgvalentine.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.gregwild.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.gilmour-hamilton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.richardkyte.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.lysi.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.accountingservicesonline.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.cole-group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.johnsonsca.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.smithpearman.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.stirklambert.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.wtca.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.uhy-uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bdo.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bickpartnership.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bkl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.fitch.tv/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.dyerpartnership.us/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.samwood.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.haslers.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.fluencysolutions.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.easyaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.moorestephens.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.taxassist.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.ellis-uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.lopiangb.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.rickaby.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.sumco.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.morriscook.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.sageco.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.bookkeepers.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.hwca.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.montpelierchartered.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.gpca.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.christiegriffith.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.husco.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.atb-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.nortonaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.fjc-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.pittalisgilchrist.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://alexander-ene.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.begbiesaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.accountsnet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.dixonwilson.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.kpmg.com/UK Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.goodmanjones.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://brealeyandnewbury.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.dpcaccountants.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.greenstones.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.firstcapitalcashflow.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.neilsonrenton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.nlpca.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.leesaccountants.co.uk/shaw-walker-lees Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://johnstoncarmichael.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.asmaccountants.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://bhatti-wolverhampton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.pbs.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.netpaydue.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.poweraccountax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.payman.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.theofficeconcept.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.paybureau.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.dcspayroll.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.citation.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.uk-payroll.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.payroll-solutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.harper-morris.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.moorepay.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.securefacilities.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.payrollplus.ltd.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.pscpayroll.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.onlinepayrolls.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.nannywageltd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.painlesspayroll.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.payrollprofession.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.easypayrollservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://dataplanpayroll.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Accounting/Payroll +http://www.bancamarch.es/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.bankofscotland.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.hsbc.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.barcap.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.co-operativebank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.rbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.charterhouse.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.sghambros.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.harrodsbank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.campbell-lutyens.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.bank-of-ireland.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.barclays.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.if.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.hoaresbank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.cbonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.coutts.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.ftbni.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.halifax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.hsbc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.julianhodgebank.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.northernrock.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.sainsburysbank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.standardbank.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.woolwich.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.ybonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.alliance-leicestercommercialbank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.ingdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.caterallen.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.adambank.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.cazenove.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.cahoot.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.abbey.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.firstdirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.standardlife.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.citibank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.rothschild.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.gatehousebank.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.gmlinternational.ltd.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.natwest.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.natwest.com/business.ashx Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.northernbank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.angloirishbank.ie/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.santander.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.unity.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.handelsbanken.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.bryangarnier.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +https://www.sc.com/uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +https://www.eabplc.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.htb.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +https://wealth.barclays.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.closebrothers.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.almeida-capital.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.candoverinvestments.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.lloydsbank.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Banks +http://www.beverleybs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.darlington.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.furnessbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.srbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.esbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.mhbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.saffronbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.thenottingham.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.mansfieldbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.skipton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.themarsden.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.thevernon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.nandp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.newbury.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.scottishbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.ybs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.teachersbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.newcastle.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.nationwide.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.coventrybuildingsociety.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.barnsley-bs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.thechelsea.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.swansea-bs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.snutrust.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.hrbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.penrithbuildingsociety.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.stroudandswindon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.harpendenbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.cumberland.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.dudleybuildingsociety.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.themelton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.chorleybs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.ecology.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.thehanley.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.holmesdale.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.ncbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.themanchester.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.principality.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.theprogressive.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.westbrom.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://en.wikipedia.org/wiki/Building_society Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.thetipton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.monbs.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.leekunited.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.ibs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.cambridgebs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.leedsbuildingsociety.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.kentreliance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Building_Societies +http://www.londonstockexchange.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.sterlingknight.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.strategiccorporatefinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.touchfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.creditmercantile.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.ukcommercialfinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.aaa-mergers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.bankingconsultants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.closeassetfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.clpuk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.ecsgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.mezra.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.nacfb.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.regentsmead.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.sterlingcapitalreserve.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.imprimaturcapital.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.owensprofessional.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.regent.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.beechcroftassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.bishopsgatecf.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.hml.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.eastwoodanglo.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.theguthriegroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.corporatefinanceassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.ageddebtfunding.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.janusventurefinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.smallbusinessmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.hwcf.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.johnsonreed.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.leasingit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.greshampe.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.developmentfinancebank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.paceequity.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.ukcommercialmortgage.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.darwinpe.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.watts-commercial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.rsvmanagement.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://proprietaryfinanceventures.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.optimafunding.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.enablefinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.v12retailfinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.activesecurities.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.lloydsbankcommercialfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +https://www.lendingcrowd.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +https://www.christiefinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance +http://www.mtifirms.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.3i.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.ldc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.bvca.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.firsttuesday.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.saffronhill.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.sturgeonventures.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.summit-group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.pondventures.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.oxfordtechnology.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.biotechnet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.nvm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.langholm.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.lucrum.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.elderstreet.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.empresaria.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.equityventures.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.e-synergy.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.etcapital.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.abingworth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.amadeuscapital.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.archangelsonline.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.avonmoredevelopments.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.bridgesventures.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.mmcventures.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.alchemypartners.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.redbus.co.uk/redbusVentures.html Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.act.is/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.endlessllp.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.bridgepoint.eu/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.atomico.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.angelsden.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.marwyn.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.angloscientific.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.sparkventures.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.sep.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.dukestreet.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.calash.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.yfmep.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.ecipartners.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Corporate_Finance/Venture_Capital +http://www.icpcredit.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://ukdata.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.equifax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.experian.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.checksure.biz/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.freesearch.graydon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.checkmyfile.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.creditserve.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.creditsolveuk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.checksearch.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.firstreport.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.bartercard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.checkthatcompany.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.creditgeeks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.paulhumphreys.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.creditgate.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://uk.marsh.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +https://www.nationaldebtline.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services +http://www.debts-solved.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.chapelassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.kallis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.thedebtadvisor.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.purnells.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.hendersonandco.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.cba-insolvency.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.financialguardian.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.marshmanprice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.idealcs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.mccambridgeduffy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.curtisfaraday.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.barringtons-insolvency.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.bdl.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.realbusinessrescue.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.taxgone.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.fasimms.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.begbies-traynorgroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Credit_Services/Debt_Administration +http://www.fxstreet.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.moneycorp.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.easyexchange.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.uk-locals.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.recordcm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.purefx.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.hifx.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.irelandfx.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.halofinancial.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.currencysolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.fxcm.com/uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Currency_Services +http://www.accesscm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.creditdebtlegal.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.creditcollections.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.pbcollection.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.regalcredit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.cbc-international.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.harleylegal.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.directroute.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.transcom.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.debtsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.ajenforce.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.jpassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.pegasus-international.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.dlcollect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.activecreditmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.accountcollection.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.thomas-higgins.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.civilandcorporate.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.credittel.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.cwharwood.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.cngaunt.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.debit2credit.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.creditresourcesolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.legalrecoveries.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.ceatta.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.thorntonscollect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.tcmuk.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.excelenforcement.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.uksearchlimited.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.pro2col.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.ccilegal.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.dukeslimited.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.frontline-collections.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.millmeadscm.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.gms-legal.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.directcollections.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +https://www.jacobsenforcement.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.d2group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection +http://www.csa-uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection/Associations +http://www.icm.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Debt_Collection/Associations +http://www.insurance-brokers.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Directories +http://www.factor21.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.ashleyfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.skiptonbusinessfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.bibbyfinancialservices.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.ultimatefinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.abfa.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.rbsif.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.closeinvoice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://factoringblog.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.aldermore.co.uk/business/invoice-finance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.peakcashflow.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.calvertonfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://igfgroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.nucleuscommercialfinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.lloydsbankcommercialfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.abnamrocomfin.com/gbr/en/our-solutions/factoring Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +https://www.shawbrookbusinesscredit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.bcrpub.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +https://www.interfacefinancial.co.uk/index.php Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.business.hsbc.uk/en-gb/finance-and-borrowing/receivables-finance/invoice-discounting Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.workingcapitalpartners.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.intelligentfundingbusiness.co.uk/acg/acg-home Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.marketinvoice.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.amicuscommercialfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.upstreampositive.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +https://www.metrobankonline.co.uk/business/borrowing/products/working-capital/invoice-financing/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.hitachicapital.co.uk/invoice-finance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.pulsecashflow.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +https://www.tradepayables.com/home Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring +http://www.factoringfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.heskethboyd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.factorchoice.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.justfactoring.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.fundinvoice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://clancyinvoicefinance.ie/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.factoringpartners.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.pegasusfunding.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.factoringandinvoicediscounting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.fastinvoicefinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +https://www.simplyfactoringbrokers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Factoring/Brokers +http://www.russellbedford.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.riskrewardlimited.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://dallasmartin.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.heritage-financial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.hhassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.turnerlittle.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.sjp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.employeeshareschemes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.graceconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.financial-coaching.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.hoskynchild.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.hymans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.faulkner-international.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.aag.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.abg.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.cmt-consulting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.securitiesfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.bvaltd.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.cat-alliance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.debtwise.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.dbuchler.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.insightmsc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.pmiconsult.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.pantheonfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.company-rescue.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.gamesinvestor.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.expense-reduction.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://aesinternational.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.costcare.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.b2bcashflowsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://blackbeargroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.inpartnershipfd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://attivogroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.northsquare.info/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.laxtonconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://a1financialmodelling.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://craigcorporate.com/cc/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.spenceandpartners.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.carefeesfirst.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.cherryfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +https://www.bollington.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.fandc.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.iii.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.newton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.greenparkcapital.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +https://www.fidelity.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.alliancetrusts.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.bailliegifford.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.kytegroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.sjp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.aberdeen-asset.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.fundsdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.garrison.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.henderson.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.inscape.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.martincurrie.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.olympuscapital.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.oldmutualfunds.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.power-robbins.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.ruffer.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.sit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.securitiestrust.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.delmore.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.rlam.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.morningstar.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.7im.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.oxeye.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.wayfunds.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.londonandcapital.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.investmentfunds.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.axa-im.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.rathbones.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.aegonam.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.bankofscotlandprivateclients.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.closeam.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.standardlifeinvestments.com/index.html?site=uk Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.brownshipleyfunds.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.hl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.jupiteram.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.ritcap.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.waygroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Fund_Management +http://www.ashdalefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.alansteel.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.adcockfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.acuityfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.abbotsfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.alexandersfinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.argylefinancialgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.armitageandyoung.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.apafin.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.atkinsbland.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.alanboswell.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/A +http://www.brianmole.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/B +http://www.bloomsburyfp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/B +http://www.blacktowerfm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/B +http://www.contractormoney.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/C +http://www.chessman.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/C +http://www.clarkeandpartners.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/C +http://www.city-financial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/C +http://www.client-first.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/C +http://www.churchsfp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/C +http://www.chasedevere.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/C +http://www.dmontague.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/D +http://www.fraserspy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/F +http://www.fivewaysinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/F +http://www.fandwfinancialsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/F +http://www.facgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/F +http://www.fiduciagroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/F +http://www.gnbyrd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/G +http://www.gileswarren.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/G +http://www.unbiased-advice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/H +http://www.hedgelands.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/H +http://www.herbertscott.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/H +http://www.harveycurtis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/H +http://www.haymarketifa.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/H +http://www.ifadirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/I +http://www.icplanning.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/I +http://www.unbiased.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/I +http://www.investandprotect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/I +http://www.josephlamb.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/J +http://www.johnearnshaw.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/J +http://www.lebc-group.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/L +http://www.lawrenceclarke.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/L +http://www.modernmoney.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/M +http://www.mcp-financial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/M +http://www.mulberryifa.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/M +http://www.retirementis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/M +http://morethanmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/M +http://www.progressive-fp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://www.pil.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://www.thinkpositive.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://www.pjcliftonfs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://home2.btconnect.com/ewltd/ifa/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://www.psfm.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://www.pensionsadviceleeds.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://www.pulseind.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/P +http://www.rosspays.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/R +http://www.rmfinancialadvice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/R +http://www.regentscourtfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/R +http://www.rafm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/R +http://www.financialadvice.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/R +http://www.sigmaifa.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/S +http://www.sgholding.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/S +http://www.sterlingandlaw.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/S +http://www.seemoney.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/S +http://www.saveandinvest.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/S +http://www.turnerscott.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/T +http://www.taylortaylor.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/T +http://www.towergatefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/T +https://www.towry.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/T +http://www.vouchedfor.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/V +http://www.visionfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/V +http://www.veracityfp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/V +http://www.wentworthfs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Independent_Financial_Advisors/W +http://www.telegraph.co.uk/finance/personalfinance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.pensionsorter.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.trustnet.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.graphicinvestor.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.j4b.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://moneyfacts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.plimsoll.co.uk Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.merlinscottassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.entitledto.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.finsight.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.moneysurgery.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.mjeconomics.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.toptrak.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.advfn.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.is4profit.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.ariespensions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.rm2.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.financialmanagementdevelopment.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://sharingpensions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.investorease.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.biro.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.digitallook.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.bloomberg.com/news/regions/uk.html Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.investegate.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.fullertreacymoney.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Information_Services +http://www.nig-uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.lloyds.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +https://www.onecalldirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.swinton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.brokernetwork.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.stonewaysinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.eosrisq.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.saga.co.uk/insurance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +https://www.towergateinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.premierguarantee.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.ajginternational.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.gocompare.com/insurance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.aig.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.axa.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.aviva.co.uk/insurance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +https://insurance.aon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.caleb-roberts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance +http://www.buddies.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.petplan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.petsecure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +https://www.kbis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.healthy-pets.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +https://www.shearwater-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.insuremyhorse.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.petinsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.lrms.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.petprotect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +https://www.exoticdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.jahis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +https://www.equicover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +https://www.horse-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.rspca.org.uk/shoponline/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +https://www.animalfriends.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +https://www.pdsa.org.uk/shop/pdsa-pet-insurance Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Animal_and_Pet +http://www.ppa-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.alanboswell.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.tradesmansaver.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +https://www.caunceohara.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.wpcommercial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.lloydbolam.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.rawlinsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.cofaceuk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.insurancesolution.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.hibl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.backhouse.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.bentley-charles.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.brasil.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.macbeths.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.midlandsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +https://www.blackfriarsgroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.directorsandofficersinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.unravelit.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.richardthacker.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.fhaplc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.riskworksint.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.wilbyltd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.goslinginsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.bjpinsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.fsb-insurance-service.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://huttprofessional.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.ncinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.msilm.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +https://www.suttonwinson.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +https://www.jcbinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.astonscott.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://uk.marsh.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.markelinternational.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.jelfgroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.higos.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.coversure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.bluefingroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.morethanbusiness.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.insurancesurrey.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.thistlebusiness.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.northernalliance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.uk-commercial-insurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.cookeandmason.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.lucasfettes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.eulerhermes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.mgunderwriting.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +https://www.smeinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.milestonehouse.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +https://www.nelsonsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.insurelink.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.businessinsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.sabreinsuranceservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.bucklandharvester.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.quotedesk.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.smiplacings.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.insuranceprice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.alan-thomas.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.regencybrokers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.butlerevans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.networktradeinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.acorninsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +https://www.riscx.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.crm-insurance-services.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.qbeeurope.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.charteredbroker.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.qfidirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Agricultural +http://www.nfumutual.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Agricultural +http://www.fandmgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Agricultural +http://www.kl-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Construction +http://www.collegiate.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.pro-ii.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://piibrokers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.liability.1st.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +https://www.policybee.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +https://www.coulsonpritchardonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +https://www.johnheath.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.hammondpi.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +https://www.professionalinsuranceagents.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.lloydwhyte.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +https://www.kingsbridge.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.griffithsandarmour.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.apexinsurancebrokers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.fincred.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.marshcompany.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.premiummedicalprotection.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.charlesinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.caseinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://ateinsurance.info/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Professional_Indemnity +http://www.easylet.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.deacon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.darwinclayton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.coverquote.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.advanceunderwriting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.howard-wright.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.buildingwarranties.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.gp-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://homelet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.bateman-group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.cia-commercial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +https://www.intelligentinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.commercialandgeneral.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.letsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.1stsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +https://www.rentguard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.citylandlord.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.stride.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.letalliance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.primecover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.cover4letproperty.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Property +http://www.gleaminginsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Public_Liability +http://yourpublicliability.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Public_Liability +http://www.corgi-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Public_Liability +http://clubinsurancecover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Public_Liability +https://www.insuremyliability.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Public_Liability +http://www.planinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.anthonydevans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://mobilers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.wrightsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://cargoinsuranceuk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.motorwaydirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.toddcue.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +https://www.bollington.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.ratcliffes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://tradesureinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.anthonyjones.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.mastercover.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.aldium4couriers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.unicominsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.firstinsfleet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.insurancefactory.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.wrightsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://fleetcover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +https://www.insurecourier.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.avisinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +https://www.dayinsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.newberrymotortrade.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +https://www.instructorcoverplus.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.broadsuredirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.warrantydirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.covermycab.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.collingwoodlearners.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Commercial/Transport +http://www.1stopinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/1 +http://www.aquote.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.ashburnham-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.activeinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.anturinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.academyinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.aplan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://ajinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.amtrusteurope.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.ageuk.org.uk/products/insurance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.alliedinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.absoluteproductsltd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.awcins.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.abbeygateinsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.theaa.com/insurance/index.jsp Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.aldium.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.autonetinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.acegroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.askfinancially.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://aeinsurancegroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/A +http://www.bostoninsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.portwood.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bricksandmotor.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bwacommercialltd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +https://www.bespokeinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bibinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.brightsidegroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bhibinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bisinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.cantgetinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bondlovis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bridleinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.bluedropservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +https://www.bettersafe.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/B +http://www.ceta.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.castleinsuranceservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.chesham-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.cswinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.chubbinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.collrich.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.cornhilldirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.clainsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.countrylifeinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.cia-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.cofton-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.central-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.cheersinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.hughesandking.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.comparecrazy.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.commercialdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.constructaquote.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.creativerisksolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.centor.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.crosbyinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.capita-sis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.ccibroking.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.clubcareinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.christopherroweinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/C +http://www.directline.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.drewberryinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.dmcager.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www2.directchoice.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.davidjmiller.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.dibinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.dixonsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.dna-insurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.domesticandgeneral.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/D +http://www.easy2insure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://www.endsleigh.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://www.esure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://www.eandl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://www.essexinsurancebrokers.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://upischemes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://www.europa-group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://www.edisonfordinsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/E +http://www.ladybirdinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/F +http://www.4counties.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/F +http://www.fergusonlaw.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/F +http://www.freewayinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/F +http://www.first.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/F +http://www.fosters.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/F +http://www.graham-sykes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +http://www.greenlands.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +http://www.guyclarke.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +http://www.gm-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +http://www.gsi-insurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +https://goskippycompare.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +https://www.gadget-cover.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +http://www.fineinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/G +http://www.hodgsoninsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/H +http://haltoninsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/H +http://www.hallsdale.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/H +http://www.highworthinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/H +http://www.henryseymour.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/H +http://www.howdengroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/H +http://www.inspop.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +http://www.insure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +http://www.insurance-world.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +http://www.insurescotland.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +http://www.insurancechoice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +http://www.insuredrisks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +http://www.insure4lessthan.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +https://www.icarhireinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/I +https://www.jswinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/J +http://www.jlmorris.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/J +http://www.jacksons-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/J +http://www.johnlewis-insurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/J +http://www.keeleys.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/K +http://kineticbrokers.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/K +http://www.lifesure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/L +http://www.larkinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/L +http://www.lockton.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/L +http://www.littlenlarge.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/L +http://www.lawshield.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/L +http://www.masterquote.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.manorinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.metcalfeins.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.morethan.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.mayfaironline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.miller-insurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.mceinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.motordirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.mastercover.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.insurance4kitcars.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.centralins.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/M +http://www.needtoinsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/N +http://www.osbornesins.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/O +http://www.oakland-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/O +http://www.onesureinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/O +http://www.over50choices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/O +http://www.phoenixins.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.philipwilliams.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.prudential.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.penshurstinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.pjinsurancebrokers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.peterjamesinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.premierline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +https://uk.protectyourbubble.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.policyexpert.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.peacockinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.prestigeinsurancebrokers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.finchgroup.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/P +http://www.quotelinedirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +http://www.quote123.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +https://quotestore.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +https://www.quoteme4.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +http://www.quoteus.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +http://www.quotiva.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +https://www.questor-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +http://www.quotezone.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Q +http://www.rowett-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +https://www.rampdale.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.rkshipman.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.rsagroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.rowlands-hames.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.ryans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.rigbyfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.rcib.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.rigtoninsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://realinsurance.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.ra-group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/R +http://www.smith-pinching.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.soreeyes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.schofieldinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.srins.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.saxoninsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.skyinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.strathtayinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.seib.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.simplybusiness.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/S +http://www.theidol.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.insurance-policies.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.tigltd.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.topinsuranceservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.tiger.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.trident-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.trdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.trickettsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.totalinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.theinsuregroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +https://www.thinkinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/T +http://www.vancompare.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/V +http://uk.virginmoney.com/virgin/splash/insurance.jsp Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/V +http://www.windrushinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/W +http://www.wwib.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/W +http://www.wrsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/W +http://www.bedfordinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/W +http://www.wilorg.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/W +http://www.westhill-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/W +http://www.welldunninsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/W +http://www.xyzinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/X +http://www.zurich.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/General/Z +http://www.william-russell.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.generalandmedical.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.premierhealth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.simplyhealth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.healthshield.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.securehealth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.121healthcare.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.medibroker.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.denplan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.cannonpmi.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.healthcarespecialists.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.universalprovident.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.cshealthcare.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.healthcareinternational.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.redarc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://morgan-price.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.dental-insurance-plan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.halcyon-healthcare.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.bupa.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.datahealth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.affordable-health.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.westfieldhealth.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.expacare.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.freedomhealthinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.benenden.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.medicalmoney.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.aetnainternational.com/ai/en Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.onefamily.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.novastris.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.medicash.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.health-on-line.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://integraglobal.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.combinedinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://righttohealth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.pontelandhealthcaresolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.bestmedicalcover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://pch.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.activequote.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.alchealth.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.m-i-c.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.dencover.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.sovereignhealthcare.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.chasetempleton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.expatriatehealthcare.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.advogroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://anglia-healthcare.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.cignaglobal.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +https://www.e111.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.ukhealthinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.balens.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.xactexpat.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.pshp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Health +http://www.schofields.ltd.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.assetsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://ellisdavid.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.heritageinsuranceagency.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +https://www.hiscox.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.propertyletinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.home-insurance-direct.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +https://www.ukinsurancenet.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.click4quote.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.boshers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.andrewcopeland.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +https://www.propertyinsurancecentre.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +https://www.homeprotect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.hsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.parkhomeassist.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.thepropertyinsurer.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.specialistinsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.firstportinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Home_and_Contents +http://www.paymentcare.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.redundancyprotection.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.paymentprotectioninsurance.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.repaymentprotector.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.britishinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.unum.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +https://brunelfranklin.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.ppiclaimadviceline.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.jpsfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.rclme.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.emcasclaims.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.creditlinefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.moneyclaims.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.consumerfinanceclaims.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.ppi.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.helpucover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.theclaimsguys.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.fasttrackreclaim.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.bestinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.wrongmortgage.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.eagleclaims.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.openresolution.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.paymentprotectionrefunds.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.misselling.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Income_and_Mortgage_Protection +http://www.das.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.ctbs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.qlp.ltd.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://proximo.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.ibexlegal.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.universallegal.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.boxlegal.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.thejudge.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.msl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.tsginsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.arag.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Legal +http://www.mallowstreet.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions +http://www.topquoteonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions +http://www.dalriadatrustees.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions +http://www.pensionsadvisoryservice.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions +http://www.bestrustees.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions +http://www.pensionsclarity.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions +https://britishlife.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions +http://www.assetguard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/A +http://www.activebrokers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/A +http://www.bandce.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/B +http://www.bcsss-pension.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/B +http://www.bestdealinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/B +https://www.britishseniors.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/B +http://www.bestmatchfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/B +https://www.bestpricefs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/B +http://www.cheshire-trafford.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/C +http://www.chasebuckingham.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/C +http://www.dentonspensions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/D +http://www.daycooperday.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/D +http://www.equitable.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/E +http://www.einsured.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/E +http://www.e-insurer.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/E +https://www.friendslife.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/F +http://www.ft.com/personal-finance Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/F +http://www.guardianfs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/G +http://www.insuranet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/I +http://www.johnralfe.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/J +http://www.lifesearch.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/L +http://www.lifeinsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/L +http://www.liciuk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/L +http://www.michaeljfield.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/M +http://www.nowsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/N +http://www.opdu.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/O +http://www.over50lifeinsurance4u.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/O +http://www.protectdirectonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/P +http://www.phoenixlife.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/P +http://www.plumbingpensions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/P +http://www.plsa.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/P +http://www.qrops-pension-transfer.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/Q +https://www.railwayspensions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/R +http://www.retirementdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/R +http://www.royallondon.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/R +http://www.scottishwidows.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/S +http://www.surrendalink.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/S +http://www.scoteq.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/S +http://www.sovemp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/S +http://www.sunlifedirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/S +https://www.smartinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/S +http://www.pensioncheck.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/Stakeholder +http://www.talbotmuir.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/T +http://www.tuc.org.uk/pensions/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/T +http://www.transatlantictransfers.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/T +http://uk.virginmoney.com/virgin/splash/pensions.jsp Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/V +http://www.vanilla-life.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/V +http://www.vfs-lifeinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/V +https://worksmart.org.uk/pension-advice/pensions-basics Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/W +http://www.expatifa.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/W +http://wholeoflifequotes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Life_and_Pensions/W +http://www.euromarine-ltd.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.yacht-insurance.info/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.noblemarine.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +https://www.newtoncrum.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.craftinsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.seains.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.insure-a-boat.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.sunderlandmarine.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.yachtlineinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.admiralyacht.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.merciamarine.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.russellwhitemarine.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.insurance-4-boats.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.velosinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.boatinsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.devittinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.bennetts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.caravanwise.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.lancasterinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.hastingsdirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.zenith-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.privilege.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.carolenash.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.adrianflux.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.elephant.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.admiral.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.diamond.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.norton-ib.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.jmi.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.comfort-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.minibus-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.tourerselect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.classiclineinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.tradewiseinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.driverplan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.choicequote.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.ecarinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.yesinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.historicinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.mybestquote.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.expressinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.bell.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.click4warranty.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.emeraldprotection.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.impoundedcarinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.insure365.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.highgear.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.kwik-fitinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.kabsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.warrantywise.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.tempcover.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.qdosinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.gapinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.keithmichaels.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.shieldtotalinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.coverbox.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +https://www.insurethebox.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Motor +http://www.tysers.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Reinsurance +http://www.riceman.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Reinsurance +http://www.tokiomarinekiln.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Reinsurance +http://www.globalre-group.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Reinsurance +http://www.compucover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.musicguard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.sfs-group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.gauntlet.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.adsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.cusc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.ladbrook.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.cabshield.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.pinnacle.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.insuranceforholidayhomes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.stackhouse.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.caravanguard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.accessinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.event-assured.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.beechunderwriting.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.events-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.insurastore.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://trainsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.insuremycaravan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.mortonmichel.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.uia.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.insurance2go.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.nacoservices.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.imaginginsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.filminsurancecover.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.pluginsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.bcshendricks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.thmarch.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.performance-insurance.tv/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.websiteinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.clsl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://easygap.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.ala.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.chartwellinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.homeapplianceguard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.ecclesiastical.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://gapinsurance123.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.taxproinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.insure4retirement.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.ryanmi.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.directgap.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.filminsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://movingfood.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.dsc-strand.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.theeducationbroker.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.bicyinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.rtwilliams.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.showtimeinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.lumleyinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.insuringsport.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.jltspecialty.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.chauffeurplan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://markbatesltd.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.adfinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.click4gap.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.hiscoxbroker.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.global-aero.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.gadget-cover.com/mobile-phone-insurance Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.clubcareinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.moris.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.prepaidfuneralplans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.caravanstar.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://businessprotectionexpert.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.sportscoverdirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +https://www.kaput.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.sompocanopiusbroker.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.surewise.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://securityinsured.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.fishinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.talktotrinity.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.forcesmutual.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Speciality_Lines +http://www.columbusdirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.worldwideinsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.gotravelinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.deltainsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.globelink.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.freedominsure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.direct-travel.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.leadedge.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.snowcard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.outbackerinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.duinsure.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.citybond.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.clubdirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.oinc.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.jsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.world-first.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.buddyindepth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.diabetictravel.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.foggtravelinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.travel.schofields.ltd.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.truetraveller.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.assuredtravelinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.staysure.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.freespirittravelinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.tia-insurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.orbisplus.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.atlasdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.able2travel.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.cover2travel.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.bengotravel.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.insurefortravel.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.jlttravel.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.erv.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.debenhams-travelinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.hbinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.holidaysafe.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.barebonesinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.itssoeasytravelinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.worldwidetravelplan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.justtravelcover.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.avantitravelinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.holidayguard.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.mpibrokers.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.covered2go.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.247travelinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.insurewithease.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.cheaptravelinsurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.insureandaway.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.oktotravelinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.exportandgeneral.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.onestop4.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.wearetravelinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.dogtag.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.fla.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.corporatebusinessfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.plusfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.johnsonreed.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.oaklease.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.1pm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.tromanfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.armadaassetfinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.shireleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.hardsoftcomputers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.csg-lease.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing +http://www.1stcarleasing.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/1 +http://www.applewoodfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/A +http://www.aldautomotive.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/A +http://www.aztecvehiclesolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/A +http://www.auf.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/A +http://www.alphabet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/A +http://www.albionvehicles.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/A +http://www.athenaleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/A +http://www.corevehicles.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.cvsl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.ukcontracthire.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.crystallease.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.car-finance.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.castleleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.carcontracthire.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.centralleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.carleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.cameron-clarke.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.conceptvehicleleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.citroenleasing.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.carefreecontracts.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/C +http://www.directcarleasing.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/D +http://www.economyleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/E +http://www.first-european.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/F +http://www.fleetdrive.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/F +http://www.fleetnet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/F +http://www.financeacar.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/F +http://www.fraikin.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/F +http://www.firstvehiclefinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/F +http://www.nationalfleetservices.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/F +http://www.gullivers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/G +http://www.grosvenor-contracts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/G +http://www.gateway2lease.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/G +http://www.carfinance.uk.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/H +http://www.hawkinsvelocity.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/H +http://www.intelligentcarleasing.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/I +http://www.jigsawfinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/J +http://www.leaseacar.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/L +http://www.companycarleasing.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/L +http://meridian-finance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/M +http://www.newgatefinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/N +http://www.newlease.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/N +http://www.nicheconcept-leasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/N +http://www.ovl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/O +http://www.osv.ltd.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/O +http://www.oraclefinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/O +http://www.prestige-car-leasing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/P +http://www.rjvs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/R +http://www.smartcontracthire.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/S +http://www.scorpion-vehicles.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/S +http://www.tuskerdirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/T +http://www.tilsungroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/T +http://www.vehiclecontracts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/V +http://www.vehicleconsulting.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/V +http://www.vanslondon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/V +http://www.whitedovegroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Leasing/Motoring/W +http://www.bottomline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.apacs.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.sortingcodes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.billpayment.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.bacs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.link.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.cashbacs.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.cardprocessing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services +http://www.securetrading.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +http://www.trintech.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +http://www.bises.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +http://www.the-logic-group.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +http://www.idirectdebit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +http://www.eazipay.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +http://www.servebase.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +http://www.chipandpinsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +https://www.pay360.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Merchant_Services/Payment_Service_Providers +https://www.ft.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.telegraph.co.uk/finance/personalfinance/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.efinancialnews.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.thisismoney.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.fool.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.frb.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.capitalmarketdaily.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.yourmortgage.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.accountancyage.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.postonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.moneynews.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.pensionsworld.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.fx-mm.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.informaprofessional.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.moneyhighstreet.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.moneymarketing.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.insuranceage.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.proactiveinvestors.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.financial-news.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://everyinvestor.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.johnnydebt.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://news.sky.com/business Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.bankingtimes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.nfcworld.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.stockopedia.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.insurancetimes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/News_and_Media +http://www.royaloakinvest.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Offshore_Services +http://www.companyformationcorporation.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Offshore_Services +http://www.mossfon.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Offshore_Services +http://www.molybank.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Offshore_Services +https://www.wis-international.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Offshore_Services +http://www.businessincorp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Offshore_Services +http://www.accaglobal.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.att.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.cml.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.bba.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.biba.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.taxaid.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.icas.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.financialplanning.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.tax.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.fscs.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.fs-cp.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.nasma.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.accountantsdefence.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.cii.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.fdforum.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.aat.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.thecityuk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://bafa.ac.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Organisations +http://www.aviva.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.alliance-leicester.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.morethan.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.moneybrain.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.pru.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.forester.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.mypersonalfinances.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.moneysavingexpert.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.ifa-guide.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.moneyobserver.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.torquilclark.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.legalandgeneral.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://money.marksandspencer.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.tescobank.com/personal/finance/home.html Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.financialdiscounts.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.schoolfeesadvice.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.theguardian.com/uk/money Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.aisapro.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance +http://www.capitalone.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.marbles.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.creditsearcher.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.partnershipcard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.discountdiesel.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.halifax.co.uk/creditcards/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.mbna.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.natwest.com/personal/credit-cards.ashx Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.vanquis.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.creditcards4badcredit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.nationwide.co.uk/creditcard/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.bankofscotland.co.uk/creditcards/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.mastercard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.rbs.co.uk/personal/credit-cards.ashx Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.theukcardsassociation.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.barclaycard.co.uk/personal Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.fuelmate.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www1.firstdirect.com/1/2/credit-cards Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.postoffice.co.uk/credit-card Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.smile.co.uk/creditcards Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.co-operativebank.co.uk/creditcards Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.hsbc.co.uk/1/2/credit-cards/credit-card Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.tescobank.com/creditcards/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +https://www.theshoutcard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +https://www.americanexpress.com/uk/content/credit-cards/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.lloydsbank.com/credit-cards.asp Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.santander.co.uk/uk/credit-cards Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://uk.virginmoney.com/virgin/cards/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.theaa.com/credit-card-deals Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.creation.co.uk/credit-cards/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Credit_Cards +http://www.debtadvicefoundation.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.ashleypark.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.debtsimple.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +https://www.capuk.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.harringtonbrooks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.123debtsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.freeivaadvice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.debtfreedirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.cleardebt.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.piggybankrupt.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.mccambridgeduffy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.iva.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.debtquestions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.payplan.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.communitymoneyadvice.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.debtsupporttrust.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.debtadvicebureau.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.my-iva-adviser.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.debtreleasedirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.brightoak.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Debt_and_Bankruptcy +http://www.hbfsonline.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Equity_Release +http://www.afs.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.druidsfriendly.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.dengen.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.cirencester-friendly.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.scottishfriendly.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.thechildrensmutual.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.oddfellows.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.healthshield.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.cshealthcare.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.holloway.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.schoolteachers.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.compass-fs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.kingstonunity.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.engagemutual.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.lv.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.partnership.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.shepherdsfriendly.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.friendlysocieties.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.teachersassurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.familyinvestments.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.wiltshirefriendly.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.tfs.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +https://www.exeterfamily.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.policemutual.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.healthyinvestment.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.britishfriendly.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +https://www.benenden.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Friendly_Societies +http://www.proshareclubs.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Investment_Clubs +http://www.rollingstocks.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Investment_Clubs +http://www.thebakers.force9.co.uk/pearshaped/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Investment_Clubs +http://www.mobiusclub.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Investment_Clubs +http://threebrooks.50megs.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Investment_Clubs +http://www.creditmercantile.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.admloans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.paragon-group.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.paydayloan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.lombard.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.nortonfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.sakgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.gf-loans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.mcqueenltd.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +https://www.mobilemoney.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.oceanfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.thfcorp.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.nemo-loans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.masthaven.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.homeownerloans.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.phonealoan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.primebridgingsolutions.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://poundstopocket3-px.rtrk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.willowsfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +https://www.theloansengine.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.lloydsbank.com/loans.asp Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +https://www.carcashpoint.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Loans +http://www.ability2repay.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.amsmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.astonmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.ascotlloyd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.assetfm.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.abacus-mortgage.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.asap-mortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.alexanderhall.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.amberhomeloans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.abcmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.abcfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.a1businessmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.affordablemortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.abraham-associates.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.amortgagenow.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.ascotmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.astonandsmith.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.abacusmp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.ashleighmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/A +http://www.brilliantadvice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.beechfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.pub-mortgage.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.bestmortgagesforyou.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.buckinghammortgage.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.buytoletmortgagedeals.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.bestukmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.bluemoon.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.burwoodfc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.berkshiremortgageservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.best4let.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.bestfrenchmortgage.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.bankofirelandmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.equityreleasedeals.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.bmsavings.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.brightadvice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.broadviewfinancialservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.brunelmortgageandinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.badcreditbuster.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.sunshinemortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.pentagonloans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/B +http://www.carlton.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.cpfconsultancy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.compass-mortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.coopermortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.mortgagebeater.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.charleshamer.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.bestcommercialmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.charcol.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.mortgagescountrywide.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.cheltglos.co.uk/mortgages/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.capitalfortune.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.summersheaney.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.crystalmortgages.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.charlestonfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/C +http://www.mortgageadvicebureau.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/D +http://www.djboyes.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/D +http://www.direct2mortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/D +http://mortgageadviseryorkshire.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/D +http://www.dependablefinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/D +http://www.discountmortgagesuk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/D +http://www.enhancedwealth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/E +http://www.essex-mortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/E +http://www.ecugroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/E +http://www.xpressmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/E +http://www.ennessprivate.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/E +http://www.french-mortgage-advice.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://firststop.moneymart.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.firstmortgage.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.ferristolley.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.french-mortgages.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.frenchmortgagedirect.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.findtheperfectmortgage.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.french-mortgage-connection.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.tfchomeloans.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.firstcomplete.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://frenchprivatefinance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/F +http://www.go4mortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/G +http://www.grovelawnfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/G +http://www.hacmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.invernessmortgages.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.mortgageandproperty.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://hyde-associates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.hodgelifetime.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.hayesmortgagesolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.mortgagepro.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.higginsonmortgageservices.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.humeassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://mortgagefinancetaunton.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/H +http://www.international-mortgage-plans.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/I +http://www.imsinternet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/I +http://www.imab.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/I +http://www.imsmortgages.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/I +https://register.fca.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/I +http://www.mortgage-next.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/J +http://www.jkassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/J +http://www.jp-financial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/J +http://www.jigsawindependent.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/J +http://www.jarlands.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/J +http://www.jdms.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/J +http://www.kmc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/K +http://www.kingstonmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/K +http://www.kentmortgagepractice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/K +http://www.lcplc.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/L +http://www.loans4poorcredit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/L +http://www.lprmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/L +http://www.lea-financial-services.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/L +http://www.loanotter.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/L +http://www.metrofinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mmbfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.medwaymortgageshop.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagehunter2000.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagemaestro.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagesolutions-scotland.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgage-intelligence.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.modelmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagelineservices.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagesforbusiness.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgageroute.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagesorter.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagefinder.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagechoices.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgages-online.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgage-planners.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgages4badcredit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagesolutionsforyou.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.msol.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagesfortheselfemployed.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.tmas.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagearrangers.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.amortgage4you.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgageiq.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mirabeaufinancial.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mosaicmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgages-direct-uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagesupport.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagebeaters.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgageforce.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgageangels.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgages-expert.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.morlandpotter.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgageoptions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagedealsdirect.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.cambridgemortgagecentre.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.bestmortgagefix.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgage-xperts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagesforchristians.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgagebrokeripswich.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgages-first.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mottsmortgages.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.moving-experience.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.mortgageconcepts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/M +http://www.northantsmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/N +http://www.nmfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/N +http://www.northridgemortgagesolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/N +http://www.nonstandardmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/N +http://www.oneaccount.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/O +http://www.optionsmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/O +http://www.orchardfinancialservices.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/O +http://www.oaktreemortgages.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/O +http://www.project-builder-insurance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.poorcreditsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.poorcreditfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.premiermortgageservices.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.prosperhomeloans.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.platform.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.pavilionmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.peachesmortgagesolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.parkgate.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/P +http://www.rightmortgageadvice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.rainbowgrp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.rivingtons.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.ravenmann.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.rbs.co.uk/personal/mortgages.ashx Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.residentbroker.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.reach4mortgages.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.rmlfinancialmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.rmmortgagesolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.remortgage.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/R +http://www.selectmortgages.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.smartmole.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.stevemears.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.squarefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.solution-mortgages.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.toploandeals.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.santandertotta.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.sellandrentbacksolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.sbms-online.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.simplyselfemployed.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/S +http://www.themoneycentre.net/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.thedata.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.themortgageexplorer.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.topmortgagedeals.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.themortgagemonkey.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.bestsavingsaccounts4u.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.themortgageguyltd.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.tylermm.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.themortgagebrokercardiff.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.theoptionsgroup.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/T +http://www.bestukloans.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/U +http://www.westerby.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/W +http://www.williamlowden.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/W +http://www.wall2wallfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/W +http://www.wfsl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/W +http://wmsltd.weebly.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/W +http://www.whmltdifa.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/W +http://www.yourmoneyguide.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/Y +http://www.theyesmortgagecentre.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Mortgages/Y +http://www.endowmentsurrenderplus.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.policyplus.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.foster-and-cranfield.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.aap.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.tepexchange.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.sellingmyendowment.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.sellendowments.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.1stpolicy.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Personal_Finance/Traded_Endowments +http://www.lendingstandardsboard.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation +http://www.easyrule.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Compliance +http://www.simplybiz.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Compliance +http://www.cpaaudit.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Compliance +http://www.bovill.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Compliance +http://www.cclcompliance.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Compliance +http://www.icaew.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.actuaries.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.thepensionsregulator.gov.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.publications.parliament.uk/pa/ld199900/ldjudgmt/jd000720/equite-1.htm Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.opsi.gov.uk/si/si1997/71612--j.htm#77 Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://ec.europa.eu/internal_market/insurance/index_en.htm Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/ukpga/2000/8/contents Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1996/944/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1996/942/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1996/3128/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1996/3126/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1996/3127/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1996/1536/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1995/3248/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/uksi/1994/1516/contents/made Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.legislation.gov.uk/ukpga/1995/26/contents/enacted Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Regulation/Pensions +http://www.ethicalmoney.org/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.ethicalinvestors.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.ethicalinvestment.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.shared-interest.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.ecology.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +https://www.ccla.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.ethicalproperty.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.naturesave.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.triodos.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.cpfund.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.1stethical.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.circleearth.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://gaeia.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Socially_Responsible_Investment +http://www.etxcapital.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.stocktrade.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.caves.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.redmayne.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.charles-stanley.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.uksharenet.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.ramseycrookall.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.jbrearley.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.tdwaterhouse.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.bfl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.kytegroup.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.selftrade.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.stockbrokers.barclays.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.jamessharp.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.killik.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.share.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://cfds.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.efgha.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.brewin.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.jarvisim.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.peelhunt.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.hl.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.spreadbets.org.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.pilling.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Stockbrokers +http://www.payecheck.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.interfis.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.taxreturn.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.lidotax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.lowtax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.taxassist.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.comcar.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.cambridgetax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.easytax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.just-tax.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.taxcafe.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.taxbak.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.taxquick.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.frankhirth.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.glenvaughan.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.taxation-consultants.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.buckinghams.uk.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.capitus.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.robertnewey.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.thetaxexperts.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +https://www.gov.uk/government/organisations/hm-revenue-customs Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation +http://www.thevatclearinghouse.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.vatease.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.thevatpractice.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.lavat.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.accordancevat.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.thevatconsultancy.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.vatcentre.com/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +https://www.gov.uk/browse/tax/vat Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.thevatpeople.co.uk/ Top/Regional/Europe/United_Kingdom/Business_and_Economy/Financial_Services/Taxation/VAT +http://www.excelaccountantsltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bedfordshire/Bedford/Business_and_Economy/Financial_Services +http://www.jwaccounts.com/ Top/Regional/Europe/United_Kingdom/England/Bedfordshire/Bedford/Business_and_Economy/Financial_Services +http://www.gkp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bedfordshire/Luton/Business_and_Economy/Financial_Services +http://caulie.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bedfordshire/Luton/Business_and_Economy/Financial_Services +http://www.griffins.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Newbury/Business_and_Economy/Financial_Services +http://www.newbury.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Newbury/Business_and_Economy/Financial_Services +http://www.at-centre.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Newbury/Business_and_Economy/Financial_Services +http://www.hfadvisers.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Newbury/Business_and_Economy/Financial_Services +http://www.edmonds-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Reading/Business_and_Economy/Financial_Services +http://www.settle-my-debt.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Reading/Business_and_Economy/Financial_Services +http://www.debtsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Slough/Business_and_Economy/Financial_Services +http://www.masons-accountants.com/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Slough/Business_and_Economy/Financial_Services +http://www.technologypartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Slough/Business_and_Economy/Financial_Services +http://www.loanspersonal.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Windsor/Business_and_Economy/Financial_Services +http://www.peterhillier.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Windsor/Business_and_Economy/Financial_Services +http://www.ukdebtcollection.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Windsor/Business_and_Economy/Financial_Services +http://www.ascotlloyd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Wokingham/Business_and_Economy/Financial_Services +http://www.lml.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Wokingham/Business_and_Economy/Financial_Services +http://www.ellis-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/Berkshire/Wokingham/Business_and_Economy/Financial_Services +http://www.ibalance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.nortontaxshops.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.acklands.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.citimark.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.pbaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.cabotlease.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.bristolifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.gwjones.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.ladybirdinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.bristolcreditunion.org/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.b-a-s.net/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.bridgingloans.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.bedrock-financial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.myaccountant.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.focusaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.hunteraccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.hl.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.bristolaccountants-flowerandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.whyattpakeman.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.eurekamortgagesbristol.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services +http://www.cliftonside.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services/Insurance +http://www.easy2insure.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services/Insurance +http://www.parkinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services/Insurance +http://markrichard.co.uk/ Top/Regional/Europe/United_Kingdom/England/Bristol/Business_and_Economy/Financial_Services/Insurance +http://www.fishpartnership.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Business_and_Economy/Financial_Services +http://www.nunn-hayward.com/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Business_and_Economy/Financial_Services +http://www.jmbt.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://www.cannonmoorcroft.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://www.pink-accounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://www.financefunction.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://www.scholtke.com/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://www.stca.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://www.questfinancialsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://dashwoodsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/High_Wycombe/Business_and_Economy/Financial_Services +http://www.advanceaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Milton_Keynes/Business_and_Economy/Financial_Services +http://www.camfieldchapmanlowe.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Milton_Keynes/Business_and_Economy/Financial_Services +http://www.srlynn.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Milton_Keynes/Business_and_Economy/Financial_Services +http://www.homeandlegacy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Milton_Keynes/Business_and_Economy/Financial_Services +http://www.wealthandtax.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Milton_Keynes/Business_and_Economy/Financial_Services +http://www.ifamiltonkeynes.com/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Milton_Keynes/Business_and_Economy/Financial_Services +http://www.hickesonboyce.co.uk/ Top/Regional/Europe/United_Kingdom/England/Buckinghamshire/Stony_Stratford/Business_and_Economy/Financial_Services +http://www.accentgroup.org/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.companyrescue.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.vilcol.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.leonardcurtis.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.cashmycheques.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.adsaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.wesaveutax.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.charter-house.net/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.freemanbaker.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.geoffreymartin.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.throgmorton.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.cashgenerator.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.fsmd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.wigwamonline.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.banksco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.duncanlawrie.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.aidhanfinancial.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.wilsonfield.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.capitafinancial.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.samcorp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.nathan-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://suttonmcgrathhartley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services +http://www.bishopfleming.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.cliffordtowers.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.jcssutton.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.malcolmhurst.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.sochallsmith.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.davis-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.jrwatson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.moco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.simpsonwreford.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.chancellers.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.howsons.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.hawsons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.ross-brooke.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.kinghope.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.leesaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.bourneandco.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.alliotts.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.taxandfigures.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.wildercoe.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.streetsweb.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.chavereys.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.hardcastleburton.net/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.dsg.uk.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.wellersaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.sterlingfinance.net/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.ouryclark.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.forthsonline.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.fpca.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.shipleys.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.hlb-group.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.fpss.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.jgaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.rothmansllp.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.wilkinskennedy.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.loucas.org.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.rwbaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.thetaxteam.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.sknservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.parkinsonuk.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.accountsco.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://goringeaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://kagdadia.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.burgisbullock.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://stonham-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.hjssolutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Accountants +http://www.assetmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.optimafinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.lovellconsulting.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.capitaloptions.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.parmentierarthur.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.castlefield.com/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.courtneysmith.co.uk/ Top/Regional/Europe/United_Kingdom/England/Business_and_Economy/Financial_Services/Insurance +http://www.streetsweb.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Business_and_Economy/Financial_Services +http://axiom-e.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.pgassoc.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.gibbsdenley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.pcg-accountants.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.fletcher-thompson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.nicholas-cliffe.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.rwaonline.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.prentis.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://lake.blogs.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.chaterallan.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.freeivaadvice.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.cambridgeaccounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.tax.uk.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.footmanjames.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.elucidare.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Cambridge/Business_and_Economy/Financial_Services +http://www.bulleydavey.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.a-s-f.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.jaggards.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.budgetinsurance.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.ietaxguard.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.lansonfinancial.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.effectivecc.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.akbook-keeping.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.peterboroughaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.nandp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.stephensonsmart.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.clearviewaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.gkwnet.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.brilliantsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.rawlinsons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.greenstones.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +https://www.ggmaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Peterborough/Business_and_Economy/Financial_Services +http://www.lancasterinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/St_Ives/Business_and_Economy/Financial_Services +http://dmandco.com/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/St_Ives/Business_and_Economy/Financial_Services +http://www.ewingassociates.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/St_Ives/Business_and_Economy/Financial_Services +http://www.bjca.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/St_Neots/Business_and_Economy/Financial_Services +http://www.lifesure.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/St_Neots/Business_and_Economy/Financial_Services +http://www.themortgagebroker.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/St_Neots/Business_and_Economy/Financial_Services +http://www.wheelers.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cambridgeshire/Wisbech/Business_and_Economy/Financial_Services +http://www.breakspears.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cheshire/Business_and_Economy/Financial_Services +http://www.meacher-jones.com/ Top/Regional/Europe/United_Kingdom/England/Cheshire/Chester/Business_and_Economy/Financial_Services +http://www.chesterinsurancebrokers.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cheshire/Chester/Business_and_Economy/Financial_Services +http://www.chesterfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cheshire/Chester/Business_and_Economy/Financial_Services +http://www.mapleleafconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cheshire/Chester/Business_and_Economy/Financial_Services +http://www.ogdentownsend.com/ Top/Regional/Europe/United_Kingdom/England/Cheshire/Macclesfield/Business_and_Economy/Financial_Services +https://www.clickfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cheshire/Macclesfield/Business_and_Economy/Financial_Services +http://www.joslinrhodes.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cleveland/Middlesbrough/Business_and_Economy/Financial_Services +http://www.millenniummarketing.fcpages.com/ Top/Regional/Europe/United_Kingdom/England/Cleveland/Middlesbrough/Business_and_Economy/Financial_Services +http://ghornby.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cleveland/Middlesbrough/Business_and_Economy/Financial_Services +http://www.walker-moyle.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cornwall/Business_and_Economy/Financial_Services +http://www.hodgsons.uk.com/ Top/Regional/Europe/United_Kingdom/England/Cornwall/Business_and_Economy/Financial_Services +http://bennettjonesandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cornwall/Business_and_Economy/Financial_Services +http://www.anthony-williams.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cornwall/Penzance/Business_and_Economy/Financial_Services +http://www.proconnectmarketing.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cornwall/Penzance/Business_and_Economy/Financial_Services +http://www.torfx.com/ Top/Regional/Europe/United_Kingdom/England/Cornwall/Penzance/Business_and_Economy/Financial_Services +http://www.evansfalco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cornwall/Penzance/Business_and_Economy/Financial_Services +http://www.murrayandlamb.co.uk/ Top/Regional/Europe/United_Kingdom/England/County_Durham/Business_and_Economy/Financial_Services +http://www.darlington.co.uk/ Top/Regional/Europe/United_Kingdom/England/County_Durham/Darlington/Business_and_Economy/Financial_Services +http://www.teesdaleconsultants.co.uk/ Top/Regional/Europe/United_Kingdom/England/County_Durham/Darlington/Business_and_Economy/Financial_Services +http://www.smartifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/County_Durham/Darlington/Business_and_Economy/Financial_Services +http://www.cliveowen.com/ Top/Regional/Europe/United_Kingdom/England/County_Durham/Darlington/Business_and_Economy/Financial_Services +http://capitalideas.co.uk/ Top/Regional/Europe/United_Kingdom/England/County_Durham/Darlington/Business_and_Economy/Financial_Services +http://www.furnessbs.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Barrow-in-Furness/Business_and_Economy/Financial_Services +http://www.furnessenterprise.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Barrow-in-Furness/Business_and_Economy/Financial_Services +http://homeandfinance.org/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Barrow-in-Furness/Business_and_Economy/Financial_Services +http://www.jlwinder.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Barrow-in-Furness/Business_and_Economy/Financial_Services +http://www.rfm-more.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Barrow-in-Furness/Business_and_Economy/Financial_Services +http://www.saint.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Business_and_Economy/Financial_Services +http://www.lamontpridmore.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Business_and_Economy/Financial_Services +http://www.wensley-mackay.com/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Carlisle/Business_and_Economy/Financial_Services +http://www.taxrebateservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Carlisle/Business_and_Economy/Financial_Services +http://www.armstrongwatson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Carlisle/Business_and_Economy/Financial_Services +http://www.david-allen.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Carlisle/Business_and_Economy/Financial_Services +http://www.cumbriainsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Carlisle/Business_and_Economy/Financial_Services +http://www.financialconcepts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Carlisle/Business_and_Economy/Financial_Services +http://www.ahaleaflets.co.uk/ Top/Regional/Europe/United_Kingdom/England/Cumbria/Kendal/Business_and_Economy/Financial_Services +http://www.tbookman.free-online.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Business_and_Economy/Financial_Services +http://www.derbyshireifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Business_and_Economy/Financial_Services +http://www.dna-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Chesterfield/Business_and_Economy/Financial_Services +http://www.shorts.uk.com/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Chesterfield/Business_and_Economy/Financial_Services +http://www.mpsaccounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.lings-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.a-butler.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.maple.uk.com/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.batesweston.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.alpha-accounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.flintbishop.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.sibbald.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.belmontregency.com/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.howardthomas.co.uk/ Top/Regional/Europe/United_Kingdom/England/Derbyshire/Derby/Business_and_Economy/Financial_Services +http://www.evans-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Barnstaple/Business_and_Economy/Financial_Services +http://www.brauntonifa.ltd.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Braunton/Business_and_Economy/Financial_Services +http://www.simpkinsedwards.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.wbw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.francisclark.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.easterbrooks.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.abacusaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.bromheadco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.peplows.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.thomaswestcott.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.cfmltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.thompson-jenner.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.gloverstanbury.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.paveygroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Business_and_Economy/Financial_Services +http://www.kirkhills.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://www.fcfp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://cloverwealth.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +https://www.the-exeter.com/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://www.lkca.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://patrickvincent.net/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://www.chrysalisaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://www.professional-mortgage-services.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://www.4squaredsw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exeter/Business_and_Economy/Financial_Services +http://www.graham-sykes.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exmouth/Business_and_Economy/Financial_Services +http://www.thomas-crown.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Exmouth/Business_and_Economy/Financial_Services +http://www.net-accounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Newton_Abbot/Business_and_Economy/Financial_Services +http://www.lameys.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Newton_Abbot/Business_and_Economy/Financial_Services +http://www.rupp-fraser.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Newton_Abbot/Business_and_Economy/Financial_Services +http://www.wcgplc.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Newton_Abbot/Business_and_Economy/Financial_Services +http://www.marslandnash.com/ Top/Regional/Europe/United_Kingdom/England/Devon/Paignton/Business_and_Economy/Financial_Services +http://www.rileycom.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Plymouth/Business_and_Economy/Financial_Services +http://www.markholt.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Plymouth/Business_and_Economy/Financial_Services +http://www.franklinsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Plymouth/Business_and_Economy/Financial_Services +http://www.sheppardw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Plymouth/Business_and_Economy/Financial_Services +http://www.taxgenie.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Plymouth/Business_and_Economy/Financial_Services +http://www.turnbullaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Devon/Plymouth/Business_and_Economy/Financial_Services +http://www.plymouth-bookkeeping.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Plymouth/Business_and_Economy/Financial_Services +http://www.bedrock.uk.com/ Top/Regional/Europe/United_Kingdom/England/Devon/Torquay/Business_and_Economy/Financial_Services +http://www.check-book.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Torquay/Business_and_Economy/Financial_Services +http://www.pension-drawdown.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Torquay/Business_and_Economy/Financial_Services +http://www.acbofficeservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Torquay/Business_and_Economy/Financial_Services +http://www.wchc.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Torquay/Business_and_Economy/Financial_Services +http://www.philipmeek.co.uk/ Top/Regional/Europe/United_Kingdom/England/Devon/Torquay/Business_and_Economy/Financial_Services +http://www.hixsons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.firstcapitalfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.stewartaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.bulpittcrocker.com/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.lewisinvestment.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.jlmorris.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.alanwsimons.com/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.stbartsfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.martincompany.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://stephenpenny-partners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.carterandcoley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.thecolemangroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.experiencemi.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Bournemouth/Business_and_Economy/Financial_Services +http://www.newtonmagnus.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Poole/Business_and_Economy/Financial_Services +http://www.castlecover.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Poole/Business_and_Economy/Financial_Services +http://www.bestkeptbooks.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Poole/Business_and_Economy/Financial_Services +http://www.bluegreenfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Poole/Business_and_Economy/Financial_Services +http://www.birkett.co/ Top/Regional/Europe/United_Kingdom/England/Dorset/Poole/Business_and_Economy/Financial_Services +http://www.successiongroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Poole/Business_and_Economy/Financial_Services +http://www.jaygaltd.com/ Top/Regional/Europe/United_Kingdom/England/Dorset/Poole/Business_and_Economy/Financial_Services +http://www.ashmanaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Wimborne/Business_and_Economy/Financial_Services +http://www.clarkeandpartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Wimborne/Business_and_Economy/Financial_Services +http://www.wardgoodman.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Wimborne/Business_and_Economy/Financial_Services +http://www.englandandcompany.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Wimborne/Business_and_Economy/Financial_Services +http://pjcacs.co.uk/ Top/Regional/Europe/United_Kingdom/England/Dorset/Wimborne/Business_and_Economy/Financial_Services +http://www.beverleybs.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Business_and_Economy/Financial_Services +http://www.duttonmoore.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Business_and_Economy/Financial_Services +http://www.jacksonrobson.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Business_and_Economy/Financial_Services +http://www.eya-finance.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Kingston_Upon_Hull/Business_and_Economy/Financial_Services +http://www.hnt.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Kingston_Upon_Hull/Business_and_Economy/Financial_Services +http://www.hlas.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Kingston_Upon_Hull/Business_and_Economy/Financial_Services +http://www.insurance-partnership.com/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Kingston_Upon_Hull/Business_and_Economy/Financial_Services/Insurance +http://www.hrook.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Kingston_Upon_Hull/Business_and_Economy/Financial_Services/Insurance +http://www.rixonsfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Riding_of_Yorkshire/Kingston_Upon_Hull/Business_and_Economy/Financial_Services/Insurance +http://www.ashdalefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.ghiaci.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.talkmoney.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.accountants-brighton.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.cardensaccountants.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.brighton-accountants.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.skerritts.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.youraccountsteam.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.assistedclaims.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.plusaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.hhda.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.jmbookkeepingservices.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.maydayassistance.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.hfbookkeeping.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.brightonfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://mymortgagecompany.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.parkerpartnership.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.quantumaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Brighton_and_Hove/Business_and_Economy/Financial_Services +http://www.breezeassociates.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Business_and_Economy/Financial_Services +http://www.greeninsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Business_and_Economy/Financial_Services +http://www.mcphersons.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Business_and_Economy/Financial_Services +http://www.kempaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Crowborough/Business_and_Economy/Financial_Services +http://www.jacksoninsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Crowborough/Business_and_Economy/Financial_Services +http://www.numis.ltd.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Crowborough/Business_and_Economy/Financial_Services +http://www.french-mortgage-advice.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Crowborough/Business_and_Economy/Financial_Services +http://www.bankingconsultants.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Crowborough/Business_and_Economy/Financial_Services +http://www.payrolloutsourced.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Crowborough/Business_and_Economy/Financial_Services +http://www.hessel.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Crowborough/Business_and_Economy/Financial_Services +http://www.dominichill.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.plummer-parsons.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.price.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.prontac-accounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.advanta-ca.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.caladine.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.psfportal.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.obcaccountants.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.theasc.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.davidjcox.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.simpsonswealth.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Eastbourne/Business_and_Economy/Financial_Services +http://www.sellensfrench.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/St_Leonards_on_Sea/Business_and_Economy/Financial_Services +https://www.newtoncrum.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/St_Leonards_on_Sea/Business_and_Economy/Financial_Services/Insurance +http://www.swindellsaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Uckfield/Business_and_Economy/Financial_Services +http://jctinsurance.com/ Top/Regional/Europe/United_Kingdom/England/East_Sussex/Uckfield/Business_and_Economy/Financial_Services +http://www.lambert-chapman.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Business_and_Economy/Financial_Services +http://www.bird-luckin.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Business_and_Economy/Financial_Services +http://rickardluckin.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Business_and_Economy/Financial_Services +http://www.spencerfellows.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Chelmsford/Business_and_Economy/Financial_Services +http://www.edmund-carr.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Chelmsford/Business_and_Economy/Financial_Services +http://www.cbhc.uk.com/ Top/Regional/Europe/United_Kingdom/England/Essex/Chelmsford/Business_and_Economy/Financial_Services +http://www.exchangefinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Chelmsford/Business_and_Economy/Financial_Services +http://www.lucentum.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Chelmsford/Business_and_Economy/Financial_Services +http://www.psbook.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://beaumont-seymour.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://www.woodruff-fp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://www.whittles.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://www.granitemorgansmith.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://www.naffaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://www.rbs-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://www.yourtreasurer.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Colchester/Business_and_Economy/Financial_Services +http://www.essexfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Leigh-on-Sea/Business_and_Economy/Financial_Services +http://www.plattrushton.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Leigh-on-Sea/Business_and_Economy/Financial_Services +http://www.barrons-bds.com/ Top/Regional/Europe/United_Kingdom/England/Essex/Leigh-on-Sea/Business_and_Economy/Financial_Services +http://www.wallerbyford.com/ Top/Regional/Europe/United_Kingdom/England/Essex/Leigh-on-Sea/Business_and_Economy/Financial_Services +http://www.cksonline.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Leigh-on-Sea/Business_and_Economy/Financial_Services +http://www.datarek.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Southend-on-Sea/Business_and_Economy/Financial_Services +http://www.accountingit.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Southend-on-Sea/Business_and_Economy/Financial_Services +http://www.devonports.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Southend-on-Sea/Business_and_Economy/Financial_Services +http://www.josephlamb.com/ Top/Regional/Europe/United_Kingdom/England/Essex/Southend-on-Sea/Business_and_Economy/Financial_Services +http://www.southend-bookkeeping.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Southend-on-Sea/Business_and_Economy/Financial_Services +http://www.essexbookkeepingcompany.co.uk/ Top/Regional/Europe/United_Kingdom/England/Essex/Southend-on-Sea/Business_and_Economy/Financial_Services +http://www.kingscott-dix.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Business_and_Economy/Financial_Services +http://www.hazlewoods.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Business_and_Economy/Financial_Services +http://www.neilgaylerco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.davies-mayers.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.mitchells.org/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.thetaxshop.com/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.gardnerbrown.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.bpcpartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.bookcheck.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.elitefinancialaccounting.com/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Cheltenham/Business_and_Economy/Financial_Services +http://www.berkeleyhamilton.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Gloucester/Business_and_Economy/Financial_Services +http://www.bartlettkershawtrott.com/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Gloucester/Business_and_Economy/Financial_Services +http://www.littglos.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Gloucester/Business_and_Economy/Financial_Services +http://www.mbsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Gloucester/Business_and_Economy/Financial_Services +http://www.apsaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Gloucester/Business_and_Economy/Financial_Services +http://jensenaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Gloucester/Business_and_Economy/Financial_Services +http://www.pg-t.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Gloucester/Business_and_Economy/Financial_Services +http://www.randall-payne.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Stroud/Business_and_Economy/Financial_Services +http://www.davidrichardsonandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Gloucestershire/Stroud/Business_and_Economy/Financial_Services +http://www.bishopkay.com/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Basingstoke/Business_and_Economy/Financial_Services +http://www.lawrenceyoung.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Basingstoke/Business_and_Economy/Financial_Services +http://www.sloc.co.uk/slfuk Top/Regional/Europe/United_Kingdom/England/Hampshire/Basingstoke/Business_and_Economy/Financial_Services +http://www.angelfire.com/in/vestinukgrowthshares/index.html Top/Regional/Europe/United_Kingdom/England/Hampshire/Business_and_Economy/Financial_Services +http://www.butler-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Business_and_Economy/Financial_Services +http://www.jays.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Business_and_Economy/Financial_Services +http://www.davidwinterifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Fareham/Business_and_Economy/Financial_Services +http://www.alliottwingham.com/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Fareham/Business_and_Economy/Financial_Services +http://www.mybusinesscovered.com/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Fareham/Business_and_Economy/Financial_Services +http://www.arthurdaniels.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Fareham/Business_and_Economy/Financial_Services +http://www.justpure.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Fareham/Business_and_Economy/Financial_Services +http://www.deepbluefinancial.com/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Fareham/Business_and_Economy/Financial_Services +http://www.htb.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Fareham/Business_and_Economy/Financial_Services +http://www.pfmassociates.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Portsmouth/Business_and_Economy/Financial_Services +http://www.lgold.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Portsmouth/Business_and_Economy/Financial_Services +http://www.davidbailey.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Portsmouth/Business_and_Economy/Financial_Services +http://www.revisandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Portsmouth/Business_and_Economy/Financial_Services +http://www.taylorcocks.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Portsmouth/Business_and_Economy/Financial_Services +http://www.challisbright.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.roches.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.dfe.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.mortgagehome.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.hjsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.rogersmallman.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://cadde.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.forestersfriendlysociety.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.davidpooleaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.gmtax.com.au/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.financialadvisorsouthampton.com/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Southampton/Business_and_Economy/Financial_Services +http://www.stilwellgray.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Winchester/Business_and_Economy/Financial_Services +http://www.winchesterbourne.com/ Top/Regional/Europe/United_Kingdom/England/Hampshire/Winchester/Business_and_Economy/Financial_Services +http://www.jelfgroup.com/ Top/Regional/Europe/United_Kingdom/England/Herefordshire/Business_and_Economy/Financial_Services +http://www.padstone-fm.co.uk/ Top/Regional/Europe/United_Kingdom/England/Herefordshire/Hereford/Business_and_Economy/Financial_Services +http://www.prolease.co.uk/ Top/Regional/Europe/United_Kingdom/England/Herefordshire/Hereford/Business_and_Economy/Financial_Services +http://www.crisis-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Herefordshire/Hereford/Business_and_Economy/Financial_Services +http://www.moneyboxcu.org.uk/ Top/Regional/Europe/United_Kingdom/England/Herefordshire/Leominster/Business_and_Economy/Financial_Services +http://www.cookpartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Business_and_Economy/Financial_Services +http://www.resultsforbusiness.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Business_and_Economy/Financial_Services +http://www.meyerwilliams.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Hertford/Business_and_Economy/Financial_Services +http://www.continuumib.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Hertford/Business_and_Economy/Financial_Services +http://www.nortonfolgate.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Hertford/Business_and_Economy/Financial_Services +http://www.wwwserv.co.uk/scf/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Hertford/Business_and_Economy/Financial_Services +http://jmjaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Hertford/Business_and_Economy/Financial_Services +http://www.paylesstax.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/St_Albans/Business_and_Economy/Financial_Services +http://www.phebys.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/St_Albans/Business_and_Economy/Financial_Services +http://www.mercerhole.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/St_Albans/Business_and_Economy/Financial_Services +http://www.homer-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/St_Albans/Business_and_Economy/Financial_Services +http://www.lesliewoolfson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Watford/Business_and_Economy/Financial_Services +http://www.myersclark.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Watford/Business_and_Economy/Financial_Services +http://www.letchworths.co.uk/ Top/Regional/Europe/United_Kingdom/England/Hertfordshire/Watford/Business_and_Economy/Financial_Services +http://www.harrisonblack.co.uk/ Top/Regional/Europe/United_Kingdom/England/Isle_of_Wight/Newport/Business_and_Economy/Financial_Services +http://www.benbishop.co.uk/ Top/Regional/Europe/United_Kingdom/England/Isle_of_Wight/Newport/Business_and_Economy/Financial_Services +http://www.heritage-financial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Isle_of_Wight/Newport/Business_and_Economy/Financial_Services +http://rouseltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Isle_of_Wight/Newport/Business_and_Economy/Financial_Services +http://www.blainpritchard.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Business_and_Economy/Financial_Services +http://www.mfw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Business_and_Economy/Financial_Services +http://www.kentreliance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Business_and_Economy/Financial_Services +http://www.robertdalby.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Dartford/Business_and_Economy/Financial_Services +http://www.finsburyrobinson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Dartford/Business_and_Economy/Financial_Services +http://www.accountingfreedom.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Dartford/Business_and_Economy/Financial_Services +http://www.dendyneville.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Maidstone/Business_and_Economy/Financial_Services +http://www.pims-online.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Maidstone/Business_and_Economy/Financial_Services +http://www.susanfleck.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Maidstone/Business_and_Economy/Financial_Services +http://www.charcroftbaker.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Maidstone/Business_and_Economy/Financial_Services +http://www.samsextontaxconsultant.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Maidstone/Business_and_Economy/Financial_Services +http://www.rnfba.com/ Top/Regional/Europe/United_Kingdom/England/Kent/Maidstone/Business_and_Economy/Financial_Services +http://www.ipsl.uk.com/ Top/Regional/Europe/United_Kingdom/England/Kent/Maidstone/Business_and_Economy/Financial_Services +http://www.creaseys.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Tunbridge_Wells/Business_and_Economy/Financial_Services +http://www.ward-mackenzie.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Tunbridge_Wells/Business_and_Economy/Financial_Services +http://www.cwturner.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Tunbridge_Wells/Business_and_Economy/Financial_Services +http://www.maslins.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Tunbridge_Wells/Business_and_Economy/Financial_Services +http://www.balancedaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Tunbridge_Wells/Business_and_Economy/Financial_Services +http://cubbon.co.uk/ Top/Regional/Europe/United_Kingdom/England/Kent/Tunbridge_Wells/Business_and_Economy/Financial_Services +http://www.wellsassociates.com/ Top/Regional/Europe/United_Kingdom/England/Kent/Tunbridge_Wells/Business_and_Economy/Financial_Services +http://www.jwwebster.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackburn/Business_and_Economy/Financial_Services +http://www.knw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackburn/Business_and_Economy/Financial_Services +http://www.egan.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackburn/Business_and_Economy/Financial_Services +http://www.pierce.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackburn/Business_and_Economy/Financial_Services +http://www.mnhplatinum.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackburn/Business_and_Economy/Financial_Services +http://www.pmm.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackburn/Business_and_Economy/Financial_Services +http://www.mwica.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackburn/Business_and_Economy/Financial_Services +http://www.hbsgroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackpool/Business_and_Economy/Financial_Services +http://www.nixonwilliams.com/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackpool/Business_and_Economy/Financial_Services +http://www.rowlands-hames.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Blackpool/Business_and_Economy/Financial_Services +http://www.cwr-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Business_and_Economy/Financial_Services +http://www.rtaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Business_and_Economy/Financial_Services +http://www.themarsden.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Business_and_Economy/Financial_Services +http://www.annewray.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Chorley/Business_and_Economy/Financial_Services +http://www.abramsashtonchorley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Chorley/Business_and_Economy/Financial_Services +http://www.scott-wilkinson.com/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Lancaster/Business_and_Economy/Financial_Services +http://www.bridgesandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Lancaster/Business_and_Economy/Financial_Services +http://www.wrightandlord.com/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Morecambe/Business_and_Economy/Financial_Services +http://www.profitwithprinciple.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.pmbcharteredaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.rileymoss.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.btc-nw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.gleesonbessent.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.harrison-salmon.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.accountant-in-preston.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.ainv.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +https://www.keyretirement.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lancashire/Preston/Business_and_Economy/Financial_Services +http://www.newbycastleman.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Business_and_Economy/Financial_Services +http://www.whitehallaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Business_and_Economy/Financial_Services +http://www.cheyettes.com/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Business_and_Economy/Financial_Services +http://www.ashwoodlaw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Business_and_Economy/Financial_Services +http://www.taad-payroll.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.markjrees.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.bpc.cc/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.powerthompson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.universalcashloans.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.rowleys.biz/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.cunnington-130.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.proactiveresolutions.com/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.mjrwm.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.clockwise.coop/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://hillgrafford.tppowered.com/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Leicester/Business_and_Economy/Financial_Services +http://www.turnerandsmith.com/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Loughborough/Business_and_Economy/Financial_Services +http://www.chestertonhouse.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Loughborough/Business_and_Economy/Financial_Services +http://www.essexabel.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Loughborough/Business_and_Economy/Financial_Services +http://www.nigelricks.com/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Loughborough/Business_and_Economy/Financial_Services +http://www.ncatsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/Leicestershire/Loughborough/Business_and_Economy/Financial_Services +http://www.forrester-boyd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Business_and_Economy/Financial_Services +http://www.weaverwroot.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Business_and_Economy/Financial_Services +http://www.boyers.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Business_and_Economy/Financial_Services +http://www.tandr.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Business_and_Economy/Financial_Services +http://www.gloverand.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Business_and_Economy/Financial_Services +http://www.crlaccountingservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Grimsby/Business_and_Economy/Financial_Services +http://www.paul-duckworth.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Grimsby/Business_and_Economy/Financial_Services +http://www.bell-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Grimsby/Business_and_Economy/Financial_Services +http://www.bygottandcoaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Grimsby/Business_and_Economy/Financial_Services +http://www.russellpayne.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Lincoln/Business_and_Economy/Financial_Services +http://www.swfs-ltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Lincoln/Business_and_Economy/Financial_Services +http://www.mumbyheppenstall.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Lincoln/Business_and_Economy/Financial_Services +http://www.tabbibannister.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Lincoln/Business_and_Economy/Financial_Services +http://www.moorethompson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Spalding/Business_and_Economy/Financial_Services +http://www.bulleydavey.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Spalding/Business_and_Economy/Financial_Services +http://www.cannon-williamson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Lincolnshire/Spalding/Business_and_Economy/Financial_Services +http://www.djcolom.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.a1companies.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.brooksgreen.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.davisbonley.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.glazers.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.highwood.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.sydneyparker.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.zanepartnership.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.kleinmangraham.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.sterlings.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.davidfishel.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.cohenarnold.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.spwca.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.udaiparmar.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.briggsfiscal.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.greenandpeter.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.cartwrights-ca.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.wisteria.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.grunberg.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.kelmanson.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.harrisbalcombe.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.perlinfranco.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://alexander-ene.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.defriesweiss.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.nyoaccountants.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.cmla.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://tuchbands.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.eafsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +https://www.uwopportunity.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.business-brokers.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.pgcca.com/ Top/Regional/Europe/United_Kingdom/England/London/Barnet/Business_and_Economy/Financial_Services +http://www.sawhneyconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.keshani.com/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.dukegodley.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.andrewsharma.com/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.jspaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.motiandco.com/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.afconsult.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.dnsassociates.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.cgincorporations.com/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.neilosullivan.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Brent/Business_and_Economy/Financial_Services +http://www.hammondsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Bromley/Beckenham/Business_and_Economy/Financial_Services +http://www.mathspartnership.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Bromley/Beckenham/Business_and_Economy/Financial_Services +http://www.edwardscharteredaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Bromley/Beckenham/Business_and_Economy/Financial_Services +http://www.bakerbritthelm.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Bromley/Business_and_Economy/Financial_Services +http://www.grove-pension-release.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Bromley/Business_and_Economy/Financial_Services +http://www.bcrpub.com/ Top/Regional/Europe/United_Kingdom/England/London/Bromley/Business_and_Economy/Financial_Services +http://www.numbersandbeyond.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Bromley/Business_and_Economy/Financial_Services +http://www.ldc.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.adlershine.com/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.dyer-co.com/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.awcltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.gordonsknight.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.barnesroffe.com/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.inmanca.com/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.accountingearth.com/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.mggroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.blon.uk/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services +http://www.cila.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services/Insurance +http://www.cabsurance.com/ Top/Regional/Europe/United_Kingdom/England/London/Business_and_Economy/Financial_Services/Insurance +http://www.aims.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.kbsp.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.wilsonwright.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.sawin-edwards.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.fspg.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.issaassociates.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.chandlersca.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.rhodesandrhodes.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.bournerbullock.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.1stcontact.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.amaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +https://www.residentsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://belsizeaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.bankingtaxfinance.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.goldwins.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.collection-debt.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.mgrwk.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.fitzandlaw.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://expat-insurance-specialists.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://newshams.com/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.certaxlondon.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Camden/Business_and_Economy/Financial_Services +http://www.restoreuk.org.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.tjaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.ashassociate.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.winterdean.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.riskaudit.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.carbonaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.centralmarkets.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.truedynamic.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.atcsolutions.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.appositecapital.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.numerion.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.grosvenor-partners.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.singerfinancialtrust.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.fiscalreps.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.reespollock.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.bradburyhamilton.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.corbettkeeling.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.dalmorecapital.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.bdgfs.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.sammon-mortgages.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.iplanaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.profileinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.begbiesaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.niceactimize.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.mah.uk.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.fxcm.com/uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.ipgroupplc.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.libertyspecialtymarkets.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.fcexchange.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.geminifundraising.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.accounting-help.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://iib-uk.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +https://www.borro.com/ Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +https://www.blackrock.com/corporate/en-gb/home?switch=y Top/Regional/Europe/United_Kingdom/England/London/City_of_London/Business_and_Economy/Financial_Services +http://www.pamandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.bryden-johnson.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.ledgersparks.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.scfl.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.simpsonwreford.com/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.taxdataltd.com/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.hamilton-eddy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.adrianco.com/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.soteriouchristou.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://north-london-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.figureweaveaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.goldenleaves.com/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.aamatthewaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.friendly-accountants.com/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://frostgroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.goalgroup.com/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.nicheadvice.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://omegaaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.parkaccounts.com/ Top/Regional/Europe/United_Kingdom/England/London/Croydon/Business_and_Economy/Financial_Services +http://www.bhanot.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.wem.biz/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.stern.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.staraccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.taxandmoney.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.reddysiddiqui.com/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.lidotax.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.shieldinsuranceservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.cblfinance.com/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.gnc-accounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.polacc.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.vgwoodhouse.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Ealing/Business_and_Economy/Financial_Services +http://www.the-johnson-partnership.com/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.clover-insurance.demon.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.mcintyre-irwin.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.archersonline.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.brmaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.gls.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.ramsaybrown.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.goldassessors.com/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.accountant-in-north-london.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Enfield/Business_and_Economy/Financial_Services +http://www.robinsonslondon.com/ Top/Regional/Europe/United_Kingdom/England/London/Hackney/Business_and_Economy/Financial_Services +http://www.jeffreyshenry.com/ Top/Regional/Europe/United_Kingdom/England/London/Hackney/Business_and_Economy/Financial_Services +http://www.booglesltd.com/ Top/Regional/Europe/United_Kingdom/England/London/Hackney/Business_and_Economy/Financial_Services +http://www.northlondonaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hackney/Business_and_Economy/Financial_Services +http://www.alphaauditservices.com/ Top/Regional/Europe/United_Kingdom/England/London/Hackney/Business_and_Economy/Financial_Services +http://www.bpp.com/ Top/Regional/Europe/United_Kingdom/England/London/Hammersmith_and_Fulham/Business_and_Economy/Financial_Services +http://www.london-1st.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hammersmith_and_Fulham/Business_and_Economy/Financial_Services +http://www.ascotdrummond.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hammersmith_and_Fulham/Business_and_Economy/Financial_Services +http://www.acuityassociates.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hammersmith_and_Fulham/Business_and_Economy/Financial_Services +http://www.lbks.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hammersmith_and_Fulham/Business_and_Economy/Financial_Services +http://aesinternational.com/ Top/Regional/Europe/United_Kingdom/England/London/Hammersmith_and_Fulham/Business_and_Economy/Financial_Services +http://www.smartcurrencybusiness.com/ Top/Regional/Europe/United_Kingdom/England/London/Hammersmith_and_Fulham/Business_and_Economy/Financial_Services +http://www.ajay-associates.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Haringey/Business_and_Economy/Financial_Services +http://www.venittandgreaves.com/ Top/Regional/Europe/United_Kingdom/England/London/Haringey/Business_and_Economy/Financial_Services +http://www.kjsaccountancy.com/ Top/Regional/Europe/United_Kingdom/England/London/Haringey/Business_and_Economy/Financial_Services +http://www.nicholasassie.com/ Top/Regional/Europe/United_Kingdom/England/London/Haringey/Business_and_Economy/Financial_Services +http://www.goldmanread.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Haringey/Business_and_Economy/Financial_Services +http://www.premiercru.com/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.banneracc.com/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.dua.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.kbmd.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.sterlingca.com/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.st-partnership.com/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.linkca.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.admoor.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.dattani.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.djpartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.lawrencegrant.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.as-associates.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.hillwooldridge.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.neilscott.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.smrassociates.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.leftley-rowe.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.alansolomons.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.dpglossassessors.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.mountsides.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://charlesrippin.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://north-london-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.duncanlewisdalston.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://harrowbookkeepers.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.sobellrhodes.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.lyndhurstaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.mhiaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Harrow/Business_and_Economy/Financial_Services +http://www.robertclow.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.ashmans.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.mlco.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.apex-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.rumfordandco.com/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.passmanleonard.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.bryanjames.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.brassbandinsuranceservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.ghld.uk.com/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://magnus-finance.com/ Top/Regional/Europe/United_Kingdom/England/London/Hillingdon/Business_and_Economy/Financial_Services +http://www.wilshers.com/ Top/Regional/Europe/United_Kingdom/England/London/Hounslow/Business_and_Economy/Financial_Services +http://www.appliedcorporatefinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hounslow/Business_and_Economy/Financial_Services +http://www.gecapital.eu/ Top/Regional/Europe/United_Kingdom/England/London/Hounslow/Business_and_Economy/Financial_Services +http://www.anro-as.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Hounslow/Business_and_Economy/Financial_Services +http://www.kerrassociates.com/ Top/Regional/Europe/United_Kingdom/England/London/Hounslow/Business_and_Economy/Financial_Services +http://www.cdjltd.co.uk/cdj-online Top/Regional/Europe/United_Kingdom/England/London/Hounslow/Business_and_Economy/Financial_Services +http://www.westbury.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.barcantbeardon.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.companybooks.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.gmpifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.westwakeprice.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.abggroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.landon-seamer.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.klsa.net/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.wilsonstevens.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.marchantlewis.com/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.beattyandco.biz/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.bondfinancialservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.xhiaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.taxpartnersuk.com/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.accountabilityeu.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.bramallnixon.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://oswaldmurdock.com/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.ckpartnership.com/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.eq-ifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Islington/Business_and_Economy/Financial_Services +http://www.fin-ex.com/ Top/Regional/Europe/United_Kingdom/England/London/Kensington_and_Chelsea/Business_and_Economy/Financial_Services +http://www.forexltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Kensington_and_Chelsea/Business_and_Economy/Financial_Services +http://www.mjaccountantslondon.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Kensington_and_Chelsea/Business_and_Economy/Financial_Services +http://www.lavenpartners.com/ Top/Regional/Europe/United_Kingdom/England/London/Kensington_and_Chelsea/Business_and_Economy/Financial_Services +http://www.damgoodpensions.com/ Top/Regional/Europe/United_Kingdom/England/London/Kensington_and_Chelsea/Business_and_Economy/Financial_Services +http://www.gbandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Kingston/Business_and_Economy/Financial_Services +http://www.jws.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Kingston/Business_and_Economy/Financial_Services +http://www.mckennafinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Kingston/Business_and_Economy/Financial_Services +http://www.thorntonspringer.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Lambeth/Business_and_Economy/Financial_Services +http://www.accountasyougo.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Lambeth/Business_and_Economy/Financial_Services +http://www.paulfc.co/ Top/Regional/Europe/United_Kingdom/England/London/Lewisham/Business_and_Economy/Financial_Services +http://emmljones.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Lewisham/Business_and_Economy/Financial_Services +http://www.chowndewhurst.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.creditunion.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.rickardsmedia.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.stmworldwide.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.charterhouselombard.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.hnlaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.purebridging.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.andrewsco.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.finalysisuk.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.abbibeckaaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.idfmcity.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://marcus-bishop.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.validis.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.caatalyst.com/ Top/Regional/Europe/United_Kingdom/England/London/Southwark/Business_and_Economy/Financial_Services +http://www.ability2repay.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.halseyandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.turpinba.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://actionplanning.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.osborneandsons.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.jcssutton.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.bestbridgingloans.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.eurofinanceifa.com/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.pottershand.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.limitedcompanyliquidation.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.holdawayjohnson.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.hazelnutaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Sutton/Business_and_Economy/Financial_Services +http://www.cbw.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Tower_Hamlets/Business_and_Economy/Financial_Services +http://www.rbsca.com/ Top/Regional/Europe/United_Kingdom/England/London/Tower_Hamlets/Business_and_Economy/Financial_Services +http://www.touchfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Tower_Hamlets/Business_and_Economy/Financial_Services +http://landlordstax.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Tower_Hamlets/Business_and_Economy/Financial_Services +http://tajaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Tower_Hamlets/Business_and_Economy/Financial_Services +http://www.chartered.org/ Top/Regional/Europe/United_Kingdom/England/London/Tower_Hamlets/Business_and_Economy/Financial_Services +http://www.templetonthorp.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.atlasventure.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.zopa.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.elmanwall.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.bowkerorford.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.bgm.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.cmrworld.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.redford.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.winstongross.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.berley.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.ferguson-maidment.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.hew.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.benjamintaylor.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.steinrichards.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.hazlemsfenton.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.equifax.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.leighcarr.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.breckmanandcompany.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.protector-policies.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.civvals.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.citycas.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.alphafinanceadvisors.net/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.harestockcapital.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.conciseaccountancy.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.agicorporatefinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.centrepointsoftware.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.asc.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.blinkhorns.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.saunders-wood.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.cameronbaum.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.masthaven.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.pawnbrokerstoday.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.feroxcapital.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.tfwealth.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.hcfintl.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.bridgingloansbrokers.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.lewisgolden.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://granttree.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.arnoldhill.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.enpeyz.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.dfmadvice.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +https://www.ebury.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.welbeckassociates.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.accesspay.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.hopkinsandjones.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.hannamandpartners.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.scodiedeyong.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.f4b.co.uk/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.brooksmacdonald.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.chadsan.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.leamanmattei.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://johannesboutique.com/ Top/Regional/Europe/United_Kingdom/England/London/Westminster/Business_and_Economy/Financial_Services +http://www.dissolvedebt.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://matravers.com/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://www.bslumbrella.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://parsonagefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://www.hlmsecretaries.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://www.mbl.solutions/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://moneyplus.com/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://www.capify.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Altrincham/Business_and_Economy/Financial_Services +http://www.leedham-ifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bolton/Business_and_Economy/Financial_Services +http://www.pbsyd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bolton/Business_and_Economy/Financial_Services +http://www.haywoodaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bolton/Business_and_Economy/Financial_Services +http://www.ryans-uk.com/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bolton/Business_and_Economy/Financial_Services +http://www.schofields.ltd.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bolton/Business_and_Economy/Financial_Services +http://www.kdwmortgagespecialist.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bolton/Business_and_Economy/Financial_Services +http://www.donnellybentley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bolton/Business_and_Economy/Financial_Services +http://www.moscrops.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bury/Business_and_Economy/Financial_Services +http://www.cdmaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bury/Business_and_Economy/Financial_Services +http://accountancymatters.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bury/Business_and_Economy/Financial_Services +http://www.tcja.info/ Top/Regional/Europe/United_Kingdom/England/Manchester/Bury/Business_and_Economy/Financial_Services +http://www.pahull.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Business_and_Economy/Financial_Services +http://www.usherspiby.com/ Top/Regional/Europe/United_Kingdom/England/Manchester/Business_and_Economy/Financial_Services +http://www.tapcontractors.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Business_and_Economy/Financial_Services +http://www.clarkenicklin.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Cheadle/Business_and_Economy/Financial_Services +http://www.shacter-cohen-bor.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/City_Centre/Business_and_Economy/Financial_Services +http://www.supremefinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/City_Centre/Business_and_Economy/Financial_Services +http://www.bainesandernst.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/City_Centre/Business_and_Economy/Financial_Services +http://www.alexander.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/City_Centre/Business_and_Economy/Financial_Services +http://www.bridgestones.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Oldham/Business_and_Economy/Financial_Services +http://www.cashaccountslimited.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Oldham/Business_and_Economy/Financial_Services +http://www.metcalfeins.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Stockport/Business_and_Economy/Financial_Services +http://www.boothainsworth.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Stockport/Business_and_Economy/Financial_Services +http://www.equipment-finance-and-leasing.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Stockport/Business_and_Economy/Financial_Services +http://www.thevernon.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Stockport/Business_and_Economy/Financial_Services +http://www.bvllp.com/ Top/Regional/Europe/United_Kingdom/England/Manchester/Stockport/Business_and_Economy/Financial_Services +http://www.allensaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Manchester/Stockport/Business_and_Economy/Financial_Services +http://www.infinitycarfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Stockport/Business_and_Economy/Financial_Services +http://www.fairhurstaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Manchester/Wigan/Business_and_Economy/Financial_Services +http://www.nrbarton.co.uk Top/Regional/Europe/United_Kingdom/England/Manchester/Wigan/Business_and_Economy/Financial_Services +http://www.hca.org.uk/ Top/Regional/Europe/United_Kingdom/England/Manchester/Wigan/Business_and_Economy/Financial_Services +http://www.mcwallace.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Birkenhead/Business_and_Economy/Financial_Services +http://www.judgesdemand.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Birkenhead/Business_and_Economy/Financial_Services +http://www.sb-p.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Bootle/Business_and_Economy/Financial_Services +http://www.wainwrightslimited.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Bromborough/Business_and_Economy/Financial_Services +http://www.lutroo.com/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.douglasfairless.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.malthouse.com/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.langtonsliverpool.com/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.123debtsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.cobhams.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.advancedpayrollservices.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://tendermanagement.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.bwm.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.erconline.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Liverpool/Business_and_Economy/Financial_Services +http://www.kafarr.co.uk/ Top/Regional/Europe/United_Kingdom/England/Merseyside/Southport/Business_and_Economy/Financial_Services +http://www.haworth-ltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Business_and_Economy/Financial_Services +http://www.hornbeam-accountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.pacificgroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.investing-ethically.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.hfp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.smith-pinching.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.carltonfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://moneyfacts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.themoneycentre.net/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.clarksongray.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.mapartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.mw-w.com/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.sgwealthmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.ktib.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.rjlifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://argentsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Norfolk/Norwich/Business_and_Economy/Financial_Services +http://www.jervisandpartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Northamptonshire/Business_and_Economy/Financial_Services +http://www.dngca.com/ Top/Regional/Europe/United_Kingdom/England/Northamptonshire/Business_and_Economy/Financial_Services +http://www.checksure.biz/ Top/Regional/Europe/United_Kingdom/England/Northamptonshire/Northampton/Business_and_Economy/Financial_Services +http://www.mcshanewright.co.uk/ Top/Regional/Europe/United_Kingdom/England/Northamptonshire/Northampton/Business_and_Economy/Financial_Services +http://www.bluecubebusiness.com/ Top/Regional/Europe/United_Kingdom/England/Northamptonshire/Northampton/Business_and_Economy/Financial_Services +http://www.cubepartners.com/ Top/Regional/Europe/United_Kingdom/England/Northamptonshire/Northampton/Business_and_Economy/Financial_Services +http://www.cobdes.co.uk/ Top/Regional/Europe/United_Kingdom/England/Northamptonshire/Northampton/Business_and_Economy/Financial_Services +http://www.davidnewton.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Business_and_Economy/Financial_Services +http://www.firstfactoruk.com/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Harrogate/Business_and_Economy/Financial_Services +http://www.lithgow-perkins.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Harrogate/Business_and_Economy/Financial_Services +http://www.opus-services.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Harrogate/Business_and_Economy/Financial_Services +http://www.lesliecouldwell.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Harrogate/Business_and_Economy/Financial_Services +http://www.hfspfg.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Harrogate/Business_and_Economy/Financial_Services +http://www.skipton.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Skipton/Business_and_Economy/Financial_Services +http://www.shepherdpartnership.com/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Skipton/Business_and_Economy/Financial_Services +http://www.pearsonandassociates.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Skipton/Business_and_Economy/Financial_Services +http://www.arthurewalker.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/Skipton/Business_and_Economy/Financial_Services +http://www.certifiedaccounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/York/Business_and_Economy/Financial_Services +http://www.peckittogden.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/York/Business_and_Economy/Financial_Services +http://www.turnerlittle.com/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/York/Business_and_Economy/Financial_Services +http://s271297508.websitehome.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/York/Business_and_Economy/Financial_Services +http://www.deford.co.uk/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/York/Business_and_Economy/Financial_Services +http://www.ardentuk.com/ Top/Regional/Europe/United_Kingdom/England/North_Yorkshire/York/Business_and_Economy/Financial_Services +http://www.experian.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Business_and_Economy/Financial_Services +http://www.pbs.uk.com/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services +http://www.heskethboyd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services +http://www.rogers-spencer.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services +http://www.sterlingfs.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services +http://www.cardinalfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services +http://www.new.ac/NEWWeb/Index.htm Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.lingphipp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.pagekirk.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.ebsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.evansaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.newtax.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.netaccountancy.net/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://brealeyandnewbury.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.hsksgreenhalgh.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Accounting +http://www.debtsimple.co.uk/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Personal_Finance +http://www.money-magnet.org/ Top/Regional/Europe/United_Kingdom/England/Nottinghamshire/Nottingham/Business_and_Economy/Financial_Services/Personal_Finance +http://www.ellacotts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Banbury/Business_and_Economy/Financial_Services +http://www.bellforce.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Bicester/Business_and_Economy/Financial_Services +http://pages.123-reg.co.uk/winston4-585275/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Business_and_Economy/Financial_Services +http://www.critchleys.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Business_and_Economy/Financial_Services +http://www.adamsleeclark.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Henley_on_Thames/Business_and_Economy/Financial_Services +http://www.kench.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Henley_on_Thames/Business_and_Economy/Financial_Services +http://www.pjbeedham.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Henley_on_Thames/Business_and_Economy/Financial_Services +http://www.themgroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://www.natlus.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://www.prismxpat.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://www.cornerstoneifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://www.shawgibbs.com/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://www.sbm-finance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://ariesaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://www.focusllp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Oxford/Business_and_Economy/Financial_Services +http://www.ajcarter.com/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Witney/Business_and_Economy/Financial_Services +http://www.morgancameron.com/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Witney/Business_and_Economy/Financial_Services +http://www.jamesons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Witney/Business_and_Economy/Financial_Services +http://www.ngifinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Witney/Business_and_Economy/Financial_Services +http://www.expertwealthmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/England/Oxfordshire/Witney/Business_and_Economy/Financial_Services +http://www.whitingandpartners.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.pem.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.lovewell-blake.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.pricebailey.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.scruttonbland.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.lighthouseaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.stephenson-smart.com/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.provisio.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.astonshaw.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.duntop.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/East_Midlands/Business_and_Economy/Financial_Services +http://www.ashgates.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/East_Midlands/Business_and_Economy/Financial_Services +http://www.smithcooper.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/East_Midlands/Business_and_Economy/Financial_Services +http://www.willisscott.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_East/Business_and_Economy/Financial_Services +http://www.straughans.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_East/Business_and_Economy/Financial_Services +http://www.taitwalker.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_East/Business_and_Economy/Financial_Services +http://www.oraclefp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_East/Business_and_Economy/Financial_Services +http://www.stokoerodger.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_East/Business_and_Economy/Financial_Services +http://www.harlandsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_East/Business_and_Economy/Financial_Services +http://www.hurst.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.mitchellcharlesworth.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.cassons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.doddaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.hallidays.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.hanleyandco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +https://www.stephensons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.clbcoopers.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.mooreandsmalley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.buxtonaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.cowgills.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.erconline.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.champion-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.amyerson.com/ Top/Regional/Europe/United_Kingdom/England/Regions/North_West/Business_and_Economy/Financial_Services +http://www.hsc.uk.net/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.kirkrice.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.pwwpl.com/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.accordaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.orchardaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.sheen-stickland.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.brightbrown.com/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.gardinerhunter.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.harwoodhutton.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.clarkhowes.com/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.cranleys.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.lbgroupltd.com/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.bishopsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.ejbc.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.shaunhartcfp.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.hainesco.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.tshtax.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_East/Business_and_Economy/Financial_Services +http://www.lentells.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_West/Business_and_Economy/Financial_Services +http://www.apsleys.com/ Top/Regional/Europe/United_Kingdom/England/Regions/South_West/Business_and_Economy/Financial_Services +http://www.burton-sweet.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_West/Business_and_Economy/Financial_Services +http://www.wantshop.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_West/Business_and_Economy/Financial_Services +http://www.stone-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_West/Business_and_Economy/Financial_Services +http://www.basiljames.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/South_West/Business_and_Economy/Financial_Services +http://www.crowther.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/West_Midlands/Business_and_Economy/Financial_Services +http://www.wortonrock.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/West_Midlands/Business_and_Economy/Financial_Services +http://www.p-d-w.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/West_Midlands/Business_and_Economy/Financial_Services +http://baillieaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/West_Midlands/Business_and_Economy/Financial_Services +http://www.barringtons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/West_Midlands/Business_and_Economy/Financial_Services +http://www.townends.com/ Top/Regional/Europe/United_Kingdom/England/Regions/Yorkshire_and_the_Humber/Business_and_Economy/Financial_Services +http://www.garbutt-elliott.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Yorkshire_and_the_Humber/Business_and_Economy/Financial_Services +http://www.gibsonbooth.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Yorkshire_and_the_Humber/Business_and_Economy/Financial_Services +http://www.ainleysinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Yorkshire_and_the_Humber/Business_and_Economy/Financial_Services +http://thompsons.accountants/ Top/Regional/Europe/United_Kingdom/England/Regions/Yorkshire_and_the_Humber/Business_and_Economy/Financial_Services +http://www.bprheaton.co.uk/ Top/Regional/Europe/United_Kingdom/England/Regions/Yorkshire_and_the_Humber/Business_and_Economy/Financial_Services +http://www.dykeyaxley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Shropshire/Shrewsbury/Business_and_Economy/Financial_Services +http://www.crownwealthmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/England/Shropshire/Shrewsbury/Business_and_Economy/Financial_Services +http://www.saltd.org/ Top/Regional/Europe/United_Kingdom/England/Shropshire/Shrewsbury/Business_and_Economy/Financial_Services +http://www.htbaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Shropshire/Shrewsbury/Business_and_Economy/Financial_Services +http://www.mortgagemaestro.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.bathmortgagesearch.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.lcplc.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.money-wise.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.kenfryer.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.gcbarber.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://rogerhatherall.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.bathinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.lesliewardanddrew.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Bath/Business_and_Economy/Financial_Services +http://www.acmole.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Business_and_Economy/Financial_Services +http://www.pgtax.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Business_and_Economy/Financial_Services +http://www.amhersts.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Business_and_Economy/Financial_Services +http://www.albertgoodman.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Taunton/Business_and_Economy/Financial_Services +http://www.arnold-cf.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Taunton/Business_and_Economy/Financial_Services +http://www.milsted-langdon.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Taunton/Business_and_Economy/Financial_Services +http://www.mitchells-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Taunton/Business_and_Economy/Financial_Services +http://www.imlfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Taunton/Business_and_Economy/Financial_Services +http://www.westernfinancialplanning.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Taunton/Business_and_Economy/Financial_Services +http://www.bjdixonwalsh.com/ Top/Regional/Europe/United_Kingdom/England/Somerset/Taunton/Business_and_Economy/Financial_Services +http://www.pwjohn.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Weston-super-Mare/Business_and_Economy/Financial_Services +http://www.alanthomasbookkeeping.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Weston-super-Mare/Business_and_Economy/Financial_Services +http://www.atwellpayroll.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Weston-super-Mare/Business_and_Economy/Financial_Services +http://www.taxassist.co.uk/johnmayer/ Top/Regional/Europe/United_Kingdom/England/Somerset/Weston-super-Mare/Business_and_Economy/Financial_Services +http://www.sambrownaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Weston-super-Mare/Business_and_Economy/Financial_Services +http://www.ch-investments.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Yeovil/Business_and_Economy/Financial_Services +http://www.higos.co.uk/ Top/Regional/Europe/United_Kingdom/England/Somerset/Yeovil/Business_and_Economy/Financial_Services +http://www.austinbanks.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Doncaster/Business_and_Economy/Financial_Services +http://www.lewiss.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Doncaster/Business_and_Economy/Financial_Services +http://www.myaccounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Doncaster/Business_and_Economy/Financial_Services +http://www.taxgone.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Doncaster/Business_and_Economy/Financial_Services +http://www.andertonsliversidge.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Rotherham/Business_and_Economy/Financial_Services +http://www.roslyns.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Rotherham/Business_and_Economy/Financial_Services +http://www.ashleypark.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Rotherham/Business_and_Economy/Financial_Services +http://www.accesscm.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.hollisco.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.westonsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.knowleswarwick.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.c-todd.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.piscesaccounts.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.hartshaw.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.metrofinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.gvt-sheffield.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.accessds.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.johnsonwalker.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.wellsrichardson.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.firstaccountancy.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.hebblethwaites.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.hewittsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.r-rose.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.hodgsonandoldfield.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.haywoodandco.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.jsbethell.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.strategiccorporatefinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.voice-co.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://home2.btconnect.com/SOUTH-YORKSHIRE-/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.enablefinance.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.georgeandcoaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://bucknellwhitehouse.co.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://fisy.org.uk/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.taxmatters.eu/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.winterandco.net/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +https://charterfields.com/ Top/Regional/Europe/United_Kingdom/England/South_Yorkshire/Sheffield/Business_and_Economy/Financial_Services +http://www.bankruptcyservice.co.uk/ Top/Regional/Europe/United_Kingdom/England/Staffordshire/Stoke-on-Trent/Business_and_Economy/Financial_Services +http://davidmatley.co.uk/ Top/Regional/Europe/United_Kingdom/England/Staffordshire/Stoke-on-Trent/Business_and_Economy/Financial_Services +http://www.turners-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Staffordshire/Stoke-on-Trent/Business_and_Economy/Financial_Services +https://www.jswinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Staffordshire/Stoke-on-Trent/Business_and_Economy/Financial_Services +http://www.dpcaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Staffordshire/Stoke-on-Trent/Business_and_Economy/Financial_Services +http://www.islesandstorer.com/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Business_and_Economy/Financial_Services +http://www.srrenvoize.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Felixstowe/Business_and_Economy/Financial_Services +http://www.walterwright.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Hadleigh/Business_and_Economy/Financial_Services +http://www.excalibur.uk.com/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Haverhill/Business_and_Economy/Financial_Services +http://home2.btconnect.com/GRANTA-COMPUTING/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Haverhill/Business_and_Economy/Financial_Services +http://www.ballams.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Ipswich/Business_and_Economy/Financial_Services +http://www.ensors.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Ipswich/Business_and_Economy/Financial_Services +http://www.poundgates.com/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Ipswich/Business_and_Economy/Financial_Services +http://www.westendleasing.com/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Ipswich/Business_and_Economy/Financial_Services +http://www.highfieldstrand.com/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Ipswich/Business_and_Economy/Financial_Services +http://www.somerville.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Sudbury/Business_and_Economy/Financial_Services +http://www.mooregreen.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Sudbury/Business_and_Economy/Financial_Services +http://www.pinfold.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Woodbridge/Business_and_Economy/Financial_Services +http://www.yachtmasterinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Woodbridge/Business_and_Economy/Financial_Services +http://www.mbaaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Suffolk/Woodbridge/Business_and_Economy/Financial_Services +http://www.fuller-spurling.co.uk/ Top/Regional/Europe/United_Kingdom/England/Surrey/Business_and_Economy/Financial_Services +http://www.cadoganwilson.co.uk/ Top/Regional/Europe/United_Kingdom/England/Surrey/Epsom/Business_and_Economy/Financial_Services +http://www.performancecarfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Surrey/Epsom/Business_and_Economy/Financial_Services +http://www.wacoaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Surrey/Epsom/Business_and_Economy/Financial_Services +http://www.sixtyplusonline.co.uk/ Top/Regional/Europe/United_Kingdom/England/Surrey/Epsom/Business_and_Economy/Financial_Services +http://www.cwmaccountants.com/ Top/Regional/Europe/United_Kingdom/England/Surrey/Epsom/Business_and_Economy/Financial_Services +http://www.mantlefp.com/ Top/Regional/Europe/United_Kingdom/England/Surrey/Epsom/Business_and_Economy/Financial_Services +http://www.globalqrops.com/ Top/Regional/Europe/United_Kingdom/England/Surrey/Epsom/Business_and_Economy/Financial_Services +http://www.heatherltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Surrey/Guildford/Business_and_Economy/Financial_Services +http://www.premierfunds.co.uk/ Top/Regional/Europe/United_Kingdom/England/Surrey/Guildford/Business_and_Economy/Financial_Services +http://www.agbfp.com/ Top/Regional/Europe/United_Kingdom/England/Surrey/Guildford/Business_and_Economy/Financial_Services +http://www.herbertparnell.com/ Top/Regional/Europe/United_Kingdom/England/Surrey/Woking/Business_and_Economy/Financial_Services +http://www.sfbrocklehurst.com/ Top/Regional/Europe/United_Kingdom/England/Surrey/Woking/Business_and_Economy/Financial_Services +http://www.hamlyns.com/ Top/Regional/Europe/United_Kingdom/England/Surrey/Woking/Business_and_Economy/Financial_Services +http://inspirefinancialmanagement.com/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Gateshead/Business_and_Economy/Financial_Services +http://www.clarkes-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Gateshead/Business_and_Economy/Financial_Services +http://www.rwco.net/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Gateshead/Business_and_Economy/Financial_Services +http://www.reevesifa.com/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Gateshead/Business_and_Economy/Financial_Services +http://www.rhk.co.uk/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Gateshead/Business_and_Economy/Financial_Services +http://www.kinseyjones.com/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Newcastle/Business_and_Economy/Financial_Services +http://www.lowes.co.uk/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Newcastle/Business_and_Economy/Financial_Services +http://www.shared-interest.com/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Newcastle/Business_and_Economy/Financial_Services +http://www.glencrodger.co.uk/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Newcastle/Business_and_Economy/Financial_Services +http://www.r-m-t.co.uk/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Newcastle/Business_and_Economy/Financial_Services +http://www.stephensoncoates.co.uk/ Top/Regional/Europe/United_Kingdom/England/Tyne_and_Wear/Newcastle/Business_and_Economy/Financial_Services +http://www.gnbyrd.co.uk/ Top/Regional/Europe/United_Kingdom/England/Warwickshire/Leamington_Spa/Business_and_Economy/Financial_Services +http://www.pattinsons.co.uk/ Top/Regional/Europe/United_Kingdom/England/Warwickshire/Nuneaton/Business_and_Economy/Financial_Services +https://priscum-public.sharepoint.com/ Top/Regional/Europe/United_Kingdom/England/Warwickshire/Nuneaton/Business_and_Economy/Financial_Services +http://www.harrisandassociates.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.heaven-co.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.rochesters.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.accountant-birmingham.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.lowsonward.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.flintandthompson.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.cashlinepawnbrokers.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.stanleyyule.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.keeleys.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.covertax.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.bullionstore.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.adaptaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.friendllp.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.nationwideassist.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.burnsandco-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.pawnbrokersbirmingham.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Birmingham/Business_and_Economy/Financial_Services +http://www.vinings.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Business_and_Economy/Financial_Services +http://www.d-w-m.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Business_and_Economy/Financial_Services +http://www.dafferns.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.leigh-christou.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.alternativefs.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.hboltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.primeaccountants.co.uk/pilleys/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.simpsonfs.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.amassbtc.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.weaversaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.luckmans.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.askews.co/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.bttj.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.baldwinsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services +http://www.endsleigh.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services/Insurance +http://www.bennetts.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services/Insurance +http://www.musicguard.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services/Insurance +http://www.anthonydevans.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services/Insurance +http://www.dialdirect.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Coventry/Business_and_Economy/Financial_Services/Insurance +http://www.primeaccountants.co.uk/rafterys/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Solihull/Business_and_Economy/Financial_Services +http://www.businessfinancequote.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Solihull/Business_and_Economy/Financial_Services +http://jerroms.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Solihull/Business_and_Economy/Financial_Services +http://www.amsmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Sutton_Coldfield/Business_and_Economy/Financial_Services +http://www.daal-accounting.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Sutton_Coldfield/Business_and_Economy/Financial_Services +http://www.gemini-wm.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Sutton_Coldfield/Business_and_Economy/Financial_Services +http://www.fdcuk.net/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Sutton_Coldfield/Business_and_Economy/Financial_Services +http://www.weathererbaileybragg.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Sutton_Coldfield/Business_and_Economy/Financial_Services +http://www.hill-lillis.com/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Sutton_Coldfield/Business_and_Economy/Financial_Services +http://www.crom.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Wolverhampton/Business_and_Economy/Financial_Services +http://www.miadvice.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Wolverhampton/Business_and_Economy/Financial_Services +http://bhatti-wolverhampton.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Midlands/Wolverhampton/Business_and_Economy/Financial_Services +http://www.bromleyclackett.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Bognor_Regis/Business_and_Economy/Financial_Services +http://www.matthewshanton.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Bognor_Regis/Business_and_Economy/Financial_Services +http://www.worrellfry.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Bognor_Regis/Business_and_Economy/Financial_Services +http://www.your-mortgagecentre.com/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Bognor_Regis/Business_and_Economy/Financial_Services +http://www.tailoredmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Bognor_Regis/Business_and_Economy/Financial_Services +http://www.adamsbeeny.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Bognor_Regis/Business_and_Economy/Financial_Services +http://www.indigotax.com/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Business_and_Economy/Financial_Services +http://www.spofforths.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Business_and_Economy/Financial_Services +http://www.mcewen.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Chichester/Business_and_Economy/Financial_Services +http://www.mjbpartnership.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Chichester/Business_and_Economy/Financial_Services +http://www.lewisbrownlee.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Chichester/Business_and_Economy/Financial_Services +http://www.accesstohealthcare.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Chichester/Business_and_Economy/Financial_Services +http://www.marchwoodifa.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Chichester/Business_and_Economy/Financial_Services +http://www.alexandra-durrant.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/East_Grinstead/Business_and_Economy/Financial_Services +http://www.placeflight.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/East_Grinstead/Business_and_Economy/Financial_Services +http://www.ransons.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/East_Grinstead/Business_and_Economy/Financial_Services +http://www.snowdonpropertyfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/East_Grinstead/Business_and_Economy/Financial_Services +http://www.atomltd.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Horsham/Business_and_Economy/Financial_Services +http://www.daclark.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Horsham/Business_and_Economy/Financial_Services +http://www.sladeedwards.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Horsham/Business_and_Economy/Financial_Services +http://www.thesussexbookkeeper.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Horsham/Business_and_Economy/Financial_Services +http://www.bushwellbookkeeping.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Horsham/Business_and_Economy/Financial_Services +http://www.staxmanaccounting.com/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Horsham/Business_and_Economy/Financial_Services +http://www.auckland-fs.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.crawford-davis.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.ayresbrightvickers.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.warwick-davis.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.carpenterbox.com/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.westsussexcreditunion.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.investment-solutions.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.theoptionsgroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Sussex/Worthing/Business_and_Economy/Financial_Services +http://www.ashfords-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Bradford/Business_and_Economy/Financial_Services +http://www.beaumont-robinson.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Bradford/Business_and_Economy/Financial_Services +http://www.clarksonandcompany.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Bradford/Business_and_Economy/Financial_Services +http://www.kjagroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Bradford/Business_and_Economy/Financial_Services +http://www.wypf.org.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Business_and_Economy/Financial_Services +http://sandersgeeson.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Business_and_Economy/Financial_Services +http://www.wycas.org.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Business_and_Economy/Financial_Services +http://www.mobiusclub.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Business_and_Economy/Financial_Services +http://www.ba-ca.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Halifax/Business_and_Economy/Financial_Services +http://www.sladdininsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Halifax/Business_and_Economy/Financial_Services +http://www.caravanguard.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Halifax/Business_and_Economy/Financial_Services +http://www.cresswells.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Hebden_Bridge/Business_and_Economy/Financial_Services +http://www.simpleaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Hebden_Bridge/Business_and_Economy/Financial_Services +http://www.shearer.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Horsforth/Business_and_Economy/Financial_Services +http://www.connorssurveyors.com/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Horsforth/Business_and_Economy/Financial_Services +http://www.tenetgroup.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Horsforth/Business_and_Economy/Financial_Services +http://www.wheawill.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Huddersfield/Business_and_Economy/Financial_Services +http://www.atraxa.com/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Huddersfield/Business_and_Economy/Financial_Services +http://www.revellward.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Huddersfield/Business_and_Economy/Financial_Services +http://www.leedscitycreditunion.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.chamberlain-co.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.taxexpertshops.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.hentons.com/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.sochallsmith.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.grants-acc.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.brownbutler.com/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.redmayne.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.ajmalik.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.sagars.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.re10.org.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.romerosportsandleisure.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.dbaca.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.kirknewsholme.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.yfmep.com/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.leedsbuildingsociety.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.capitalincomesolutions.com/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Leeds/Business_and_Economy/Financial_Services +http://www.connellyaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Todmorden/Business_and_Economy/Financial_Services +http://www.jolliffecork.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Wakefield/Business_and_Economy/Financial_Services +http://www.insightfm.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Wakefield/Business_and_Economy/Financial_Services +http://www.spbmortgages.co.uk/ Top/Regional/Europe/United_Kingdom/England/West_Yorkshire/Wakefield/Business_and_Economy/Financial_Services +http://www.pearsonmay.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Business_and_Economy/Financial_Services +http://www.cityinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Salisbury/Business_and_Economy/Financial_Services +http://www.fletchpart.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Salisbury/Business_and_Economy/Financial_Services +http://www.unbiased-advice.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Salisbury/Business_and_Economy/Financial_Services +http://www.hugh-davies.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Salisbury/Business_and_Economy/Financial_Services +http://www.cliffordfryandco.com/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Salisbury/Business_and_Economy/Financial_Services +http://www.emerylittle.com/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Salisbury/Business_and_Economy/Financial_Services +http://www.numericaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Salisbury/Business_and_Economy/Financial_Services +http://www.monahans.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.mmbfinance.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.alexanderswan.com/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.wheelernichols.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.crmswindon.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.derricknewman.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.sdknee.com/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.iafnet.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.executivewealthmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/England/Wiltshire/Swindon/Business_and_Economy/Financial_Services +http://www.ardenfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/England/Worcestershire/Redditch/Business_and_Economy/Financial_Services +http://www.duffyregan.co.uk/ Top/Regional/Europe/United_Kingdom/England/Worcestershire/Worcester/Business_and_Economy/Financial_Services +http://www.anchorage-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/England/Worcestershire/Worcester/Business_and_Economy/Financial_Services +http://www.harbinson-mulholland.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.mckeaguemorgan.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.miscampbell.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.muiraddy.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.financemattersni.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.openanddirect.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.erpms.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.febni.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.docklandsltd.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.bakertillymooneymoore.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.enterpriseequity.ie/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.pgaccountants.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.nimoney.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.jbkaccountants.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.gmcgca.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.rmsgroupservices.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Belfast/Business_and_Economy/Financial_Services +http://www.theprogressive.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +http://www.pfc.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +http://www.ufcu.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +http://www.ulsterbank.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +http://boini.bankofireland.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +http://www.danskebank.co.uk/en-gb/Personal/Pages/personal.aspx Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +http://personal.firsttrustbank.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +https://www.abbeyinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services +http://www.cleaverblack.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Accountants +http://www.jackson-andrews.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Accountants +http://www.dalypark.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Accountants +http://www.charteredaccountants.ie/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Accountants +http://www.oaklandinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.hughesinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.axani.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.landlordbuddy.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.apricotinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.toddni.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.abcni.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +https://www.redmetro.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.bmginsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.findinsuranceni.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.allianzni.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.refreshfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.mcaleerinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://its4women.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Business_and_Economy/Financial_Services/Insurance +http://www.mbmcgrady.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Down/Business_and_Economy/Financial_Services +http://www.autoline.co.uk/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Down/Business_and_Economy/Financial_Services +http://www.fitzpatrickandkearney.com/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Down/Business_and_Economy/Financial_Services +http://www.gmcgca.com/about-us/gmcg-lisburn/ Top/Regional/Europe/United_Kingdom/Northern_Ireland/Down/Business_and_Economy/Financial_Services +http://www.aab.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeen,_City_of/Business_and_Economy/Financial_Services +http://www.aberdeenmoney.com/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeen,_City_of/Business_and_Economy/Financial_Services +http://home2.btconnect.com/b2mltd/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeen,_City_of/Business_and_Economy/Financial_Services +http://www.fyfemoirassociates.com/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeen,_City_of/Business_and_Economy/Financial_Services +http://fwaccounting.com/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeen,_City_of/Business_and_Economy/Financial_Services +http://www.newtonhill.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeenshire/Business_and_Economy/Financial_Services +http://www.leiperandsummers.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeenshire/Business_and_Economy/Financial_Services +http://www.bainhenryreid.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeenshire/Business_and_Economy/Financial_Services +http://www.grampiancreditunion.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeenshire/Business_and_Economy/Financial_Services +http://www.nescu.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeenshire/Business_and_Economy/Financial_Services +http://www.sfp-ifa.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Aberdeenshire/Business_and_Economy/Financial_Services +http://www.bankofscotland.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.natwestfraud.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.sfe.org.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.irrvscotland.org.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.scottishfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.ria.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.avetongiffordassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.houstoun.org.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.wslf.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.scottishbs.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.brucestevenson.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.mlmsolutions.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.face2faceadvice.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.mycu.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.carbonfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.affinityifa.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://personal.rbs.co.uk/personal.html Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.scotlanddebt.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services +http://www.raclement.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.athollscott.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.woollard.org.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.nelsongilmoursmith.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.tindellgrant.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.wyliebisset.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.a9partnership.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.campbelldallas.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.oneaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.scott-moncrieff.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.frenchduncan.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.jsmackie.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.barriescott.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.dicksonmiddleton.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.walkerdunnett.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.eqaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.mmgca.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.jrwca.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.hall-morrice.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.gpca.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.thelongpartnership.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.connollyaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.ritsonsca.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.acumen.info/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.whitelawwells.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://hlca.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.toughsca.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.braidwoodgraham.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.jenkinsandcofalkirk.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.four-m.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://johnstoncarmichael.com/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Accountants +http://www.signumconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Insurance +http://www.clarkthomson.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Business_and_Economy/Financial_Services/Insurance +http://www.cswinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Dumfries_and_Galloway/Business_and_Economy/Financial_Services +http://www.alliancetrusts.com/ Top/Regional/Europe/United_Kingdom/Scotland/Dundee,_City_of/Business_and_Economy/Financial_Services +http://www.findlay-ca.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Dundee,_City_of/Business_and_Economy/Financial_Services +http://www.thorntons-law.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Dundee,_City_of/Business_and_Economy/Financial_Services +http://www.dandcarnegie.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Dundee,_City_of/Business_and_Economy/Financial_Services +http://www.geoghegans.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.springfords.com/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.bailliegifford.com/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.chiene.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.orchardindependent.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.george-co.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.brianconnor.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.blueplanet.eu/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.bbca.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.firstclassmortgageadvice.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.rockfieldcapital.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.bluecygnet.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.neilnisbet.com/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.odco.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.panliska.com/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://jamescap.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.jsca.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://ah-ltd.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.scottish-pet-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.conduitfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.frosts-ca.com/ Top/Regional/Europe/United_Kingdom/Scotland/Edinburgh,_City_of/Business_and_Economy/Financial_Services +http://www.condie.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Fife/Dunfermline/Business_and_Economy/Financial_Services +http://www.carstairsandliddell.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Fife/Dunfermline/Business_and_Economy/Financial_Services +http://www.thomsoncooper.com/ Top/Regional/Europe/United_Kingdom/Scotland/Fife/Dunfermline/Business_and_Economy/Financial_Services +http://www.abacusifa.com/ Top/Regional/Europe/United_Kingdom/Scotland/Fife/Dunfermline/Business_and_Economy/Financial_Services +http://williamgeorge.info/ Top/Regional/Europe/United_Kingdom/Scotland/Fife/Dunfermline/Business_and_Economy/Financial_Services +http://www.dedicatedmortgages.com/ Top/Regional/Europe/United_Kingdom/Scotland/Fife/Dunfermline/Business_and_Economy/Financial_Services +http://www.skyridgefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Fife/Dunfermline/Business_and_Economy/Financial_Services +http://www.debtscotland.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.drumchapelcreditunion.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.fraserspy.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.technologyleasing.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.moneyquest.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.scottishfriendly.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.debt-advisers.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.hendersonstone.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.directline.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.hunteradvisers.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.henderson-taxation.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.dsl-businessfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.fleetalliance.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.glasgowcu.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.simplefinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.ogilviemunro.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.scotwest.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.speirsjeffrey.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.tdcllp.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.newtonfinancial.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.trustdeedscotland.net/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.reidshepherd.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.wilsonandrews.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.paymentprotectionscotland.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.bookkeeper-bill.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.invoicefinancescotland.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.maximwealthmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.pcu.org.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://safedepositsscotland.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.sjpp.co.uk/robertmcilroy/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.trust-deed.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.dlbloomer.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.damgoodpensions.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.ppiglasgow.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://advancedasset.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://simplefp.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services +http://www.alexandersloan.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.defacto-fd.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.griffithswilcock.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.hardiecaldwell.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.kajavid.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.macmillancraig.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.mcallisters-ca.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.mpwca.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.robertsoncraig.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.tbdunn.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.thomasbarrie.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.cookandco.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.pinpointbookkeeping.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.growyourbusiness.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.sdbm.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.johngriffithsaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.grantsca.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.dmmcnaught.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.taxkings.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.gillilandca.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.ngmaccountants.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.christiegriffith.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.lucaslevy.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.advantageacc.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.accountantsglasgowcity.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.mvnassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.alterledger.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.brecoaccounting.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Accountants +http://www.hamiltonleonard.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Insurance +http://www.okdfs.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Insurance +http://www.localinstitutes.cii.co.uk/glasgow/home/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Insurance +http://www.c-amackie.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Insurance +http://www.hollandinsurancebrokers.com/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Insurance +http://munroinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Glasgow,_City_of/Business_and_Economy/Financial_Services/Insurance +http://www.eagleconsulting.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Highland/Inverness/Business_and_Economy/Financial_Services +http://www.mackenziekerr.com/ Top/Regional/Europe/United_Kingdom/Scotland/Highland/Inverness/Business_and_Economy/Financial_Services +http://www.highlandaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Highland/Inverness/Business_and_Economy/Financial_Services +http://www.invernessmortgages.com/ Top/Regional/Europe/United_Kingdom/Scotland/Highland/Inverness/Business_and_Economy/Financial_Services +http://www.hornco.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Highland/Inverness/Business_and_Economy/Financial_Services +http://www.abconsulting.org.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Highland/Inverness/Business_and_Economy/Financial_Services +http://www.bellandcompany.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Perth_and_Kinross/Perth/Business_and_Economy/Financial_Services +http://www.bandl.biz/ Top/Regional/Europe/United_Kingdom/Scotland/Perth_and_Kinross/Perth/Business_and_Economy/Financial_Services +http://www.gsinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Perth_and_Kinross/Perth/Business_and_Economy/Financial_Services +http://www.highfieldforestry.com/ Top/Regional/Europe/United_Kingdom/Scotland/Perth_and_Kinross/Perth/Business_and_Economy/Financial_Services +http://www.morrisandyoung.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Perth_and_Kinross/Perth/Business_and_Economy/Financial_Services +http://www.preplanprosper.com/ Top/Regional/Europe/United_Kingdom/Scotland/Stirling_District/Stirling/Business_and_Economy/Financial_Services +http://www.mcentral.co.uk/ Top/Regional/Europe/United_Kingdom/Scotland/Stirling_District/Stirling/Business_and_Economy/Financial_Services +http://www.agincourtpractice.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.jtthomas-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.bevanbuckland.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.broomfield.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.cbkwales.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.ashmole.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.obrienandpartners.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.hsjaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.hwja-accountants.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.ashmole.co.uk/english/ Top/Regional/Europe/United_Kingdom/Wales/Business_and_Economy/Financial_Services +http://www.fooks.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Caerphilly_County_Borough/Business_and_Economy/Financial_Services +http://www.kfatax.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Caerphilly_County_Borough/Business_and_Economy/Financial_Services +http://www.gatehouse-bookkeeping.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Caerphilly_County_Borough/Business_and_Economy/Financial_Services +http://www.brianbellmeyer.com/ Top/Regional/Europe/United_Kingdom/Wales/Caerphilly_County_Borough/Business_and_Economy/Financial_Services +http://www.macmanusassetfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Caerphilly_County_Borough/Business_and_Economy/Financial_Services +http://www.pcmobilityservices.com/ Top/Regional/Europe/United_Kingdom/Wales/Caerphilly_County_Borough/Business_and_Economy/Financial_Services +http://www.bhbi.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.davieswilliams.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.carstonaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.bpuaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.birr.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.doyledavies.com/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.mazumamoney.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.kingswoodassociates.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.mortgageukassoc.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.hayvenhursts.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.linghamscharteredaccountants.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.freemansaccountancy.com/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +https://www.llewellyns.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Cardiff/Business_and_Economy/Financial_Services +http://www.cbi-insurance.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Conwy_County_Borough/Colwyn_Bay/Business_and_Economy/Financial_Services +http://www.williamsdenton.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Gwynedd/Bangor/Business_and_Economy/Financial_Services +http://www.hartparry.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Gwynedd/Bangor/Business_and_Economy/Financial_Services +http://www.davidstock.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Newport,_City_of/Newport/Business_and_Economy/Financial_Services +http://ccs553.tripod.com/ Top/Regional/Europe/United_Kingdom/Wales/Newport,_City_of/Newport/Business_and_Economy/Financial_Services +http://www.underwoodinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Newport,_City_of/Newport/Business_and_Economy/Financial_Services +http://www.arthurgait.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Newport,_City_of/Newport/Business_and_Economy/Financial_Services +http://www.howardjonesaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Newport,_City_of/Newport/Business_and_Economy/Financial_Services +http://www.kymin.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Newport,_City_of/Newport/Business_and_Economy/Financial_Services +https://www.uhy-uk.com/newport/ Top/Regional/Europe/United_Kingdom/Wales/Newport,_City_of/Newport/Business_and_Economy/Financial_Services +http://www.parkestax.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services +http://www.stuartcollins.com/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services +http://www.ajenforce.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services +http://www.stdavidspartnership.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services +http://www.anturinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services +http://www.stephenkentandco.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.morganhemp.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.swanseaaccounts.com/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.johnfharvey.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.agsmanagement.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.geraldthomas.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.jamesanduzzell.com/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.stevenelliot.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.wbv.ltd.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.harrisbassett.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.hrharrispartners.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Swansea_County/Swansea/Business_and_Economy/Financial_Services/Accountants +http://www.adam-reeves.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.bruceroberts.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.mdcoxey.com/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.nwia.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.touchstonecommercialfinance.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.johndavies.biz/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.rmdaccountancy.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.tudorhouseinsurance.co.uk/ Top/Regional/Europe/United_Kingdom/Wales/Wrexham_County_Borough/Wrexham/Business_and_Economy/Financial_Services +http://www.banksbahrain.org/ Top/Regional/Middle_East/Bahrain/Business_and_Economy/Financial_Services +http://www.bahrainbourse.net/ Top/Regional/Middle_East/Bahrain/Business_and_Economy/Financial_Services +http://www.bkic.com/ Top/Regional/Middle_East/Bahrain/Business_and_Economy/Financial_Services/Insurance +http://www.mubasher.info/ Top/Regional/Middle_East/Business_and_Economy/Financial_Services +http://www.uabonline.org/ Top/Regional/Middle_East/Business_and_Economy/Financial_Services +http://www.zawya.com/ Top/Regional/Middle_East/Business_and_Economy/Financial_Services +http://www.atfp.org.ae/ Top/Regional/Middle_East/Business_and_Economy/Financial_Services +http://www.arabicinsurance.com/ Top/Regional/Middle_East/Business_and_Economy/Financial_Services/Insurance +http://www.gulfwarranties.com/ Top/Regional/Middle_East/Business_and_Economy/Financial_Services/Insurance +http://www.oneworldweb.net/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services +http://www.cy.parkerrandall.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services +http://www.gtcyprus.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services +http://www.francoudi.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services +http://www.windsorbrokers.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services +https://www.tfimarkets.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services +http://gstaxconsultants.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services +http://www.bdo.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Accounting +http://www.kaimakliotis.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Accounting +http://www.hlb.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Accounting +http://www.joannides.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Accounting +http://kdc.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Accounting +http://www.bankofcyprus.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.centralbank.gov.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.alphabank.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.hellenicbank.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.acb.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.nbg.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.piraeusbank.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.sgcyprus.com/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.usbbank.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.iac.org.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Insurance +http://www.mif.org.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Insurance +http://www.universallife.com.cy/ Top/Regional/Middle_East/Cyprus/Business_and_Economy/Financial_Services/Insurance +http://www.cpcservices.com.cy/ Top/Regional/Middle_East/Cyprus/Larnaca_District/Larnaca/Business_and_Economy/Financial_Services +http://kkp.com.cy/ Top/Regional/Middle_East/Cyprus/Larnaca_District/Larnaca/Business_and_Economy/Financial_Services +http://www.phstax.com/ Top/Regional/Middle_East/Cyprus/Larnaca_District/Larnaca/Business_and_Economy/Financial_Services +http://www.pioannides.com.cy/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://www.polydorides.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://www.equitynet.com.cy/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://www.lecrin.com.cy/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://www.alba.com.cy/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://www.ergoserve.biz/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://www.formation4u.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://eltoma-global.com/en/jurisdictions/cyprus/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services +http://www.cyauditplus.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.ksapre.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.premioserve.com.cy/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.prvaudit.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.auditchart.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.ayca.com.cy/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.djcaccountants.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.frsaudit.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.gpauditors.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.iacovou.com.cy/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.kpmasterchart.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.marnerou.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.multilysis.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.pvpanayiotou.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.pconstantinou.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://mplaccountants.com/ Top/Regional/Middle_East/Cyprus/Limassol_District/Limassol/Business_and_Economy/Financial_Services/Accounting +http://www.nexia.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Business_and_Economy/Financial_Services +http://www.consulco.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services +http://www.hmiaccountants.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services +http://www.yourexpatmoney.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services +http://www.worldserve.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services +http://www.offshore-company.org/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.papaky.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.amicha.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.euroaudit.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.gjosephakis.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.kpsa.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.pkalopetrides.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.sandrodikaios.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.shiakas.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.uhy.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.crowehorwath.net/cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.istosglobal.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.qnta.biz/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.reandacyprus.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.pek.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Accounting +http://www.cdb.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Banking_Services +http://www.tkb.com.cy/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Banking_Services +http://cyp.ccbank.bg/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Banking_Services +http://www.ellinasfinance.com/ Top/Regional/Middle_East/Cyprus/Nicosia_District/Nicosia/Business_and_Economy/Financial_Services/Banking_Services +http://www.adanir.com/ Top/Regional/Middle_East/Cyprus/Northern_Cyprus/Business_and_Economy/Financial_Services +http://www.akfinans.com/ Top/Regional/Middle_East/Cyprus/Northern_Cyprus/Business_and_Economy/Financial_Services +http://www.sunforeignexchange.com/ Top/Regional/Middle_East/Cyprus/Northern_Cyprus/Business_and_Economy/Financial_Services +http://www.iktisatbank.com/ Top/Regional/Middle_East/Cyprus/Northern_Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.creditwestbank.com/ Top/Regional/Middle_East/Cyprus/Northern_Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.turkishbank.net/ Top/Regional/Middle_East/Cyprus/Northern_Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.kktcmerkezbankasi.org/ Top/Regional/Middle_East/Cyprus/Northern_Cyprus/Business_and_Economy/Financial_Services/Banking_Services +http://www.kassinopoullos.com/ Top/Regional/Middle_East/Cyprus/Paphos_District/Paphos/Business_and_Economy/Financial_Services +http://www.timkas.net/ Top/Regional/Middle_East/Cyprus/Paphos_District/Paphos/Business_and_Economy/Financial_Services +http://www.abicgroup.com/ Top/Regional/Middle_East/Iran/Business_and_Economy/Financial_Services/Investing +http://www.cantonhermidas.com/ Top/Regional/Middle_East/Iran/Business_and_Economy/Financial_Services/Investing +http://www.persiabeat.com/ Top/Regional/Middle_East/Iran/Business_and_Economy/Financial_Services/Investing +http://www.cbi.iq/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services +http://www.investorsiraq.com/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services +http://www.globalsecurity.org/military/world/iraq/currency-reform.htm Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://www.dinarindex.com/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://www.dinar2u.com/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://iraqidinarchat.net/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://iraqidinarchat.com/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://www.nydinar.com/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://www.forbes.com/sites/jayadkisson/2012/07/30/you-cant-fix-stupid-the-iraqi-dinar-scam-lives/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://dinarrvnews.net/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://www.dinardaily.net/ Top/Regional/Middle_East/Iraq/Business_and_Economy/Financial_Services/Currency +http://www.amidex.com/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://americaisrael.com/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.giza.co.il/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.ishitech.co.il/quotes.html Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://makwa.com/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.kpmg.co.il/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.ivc-online.com/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.tamirfishman.com/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.israelcorp.com/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.dbisrael.co.il/english/ Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services +http://www.pelz.co.il/indexeng.html Top/Regional/Middle_East/Israel/Business_and_Economy/Financial_Services/Insurance +http://www.jicjo.com/ Top/Regional/Middle_East/Jordan/Business_and_Economy/Financial_Services +http://www.meico.com.jo/ Top/Regional/Middle_East/Jordan/Business_and_Economy/Financial_Services +http://www.al-alami.com/ Top/Regional/Middle_East/Jordan/Business_and_Economy/Financial_Services +http://www.al-nisr.com/ Top/Regional/Middle_East/Jordan/Business_and_Economy/Financial_Services +http://www.kfh.com/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://khazaen.com/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://www.bayaninvest.com/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://www.sabq8.org/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://www.globalinv.net/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://www.kuwaitse.com Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://www.asmainfo.com/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://www.kibs.edu.kw/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services +http://www.bkic.com/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services/Insurance +http://www.warbaonline.com/ Top/Regional/Middle_East/Kuwait/Business_and_Economy/Financial_Services/Insurance +http://www.pfsltd.com/ Top/Regional/Middle_East/Lebanon/Beirut/Business_and_Economy/Financial_Services +http://www.masri.com.lb/ Top/Regional/Middle_East/Lebanon/Beirut/Business_and_Economy/Financial_Services +http://www.ffaprivatebank.com/ Top/Regional/Middle_East/Lebanon/Beirut/Business_and_Economy/Financial_Services +http://www.al-baraka.com/ Top/Regional/Middle_East/Lebanon/Beirut/Business_and_Economy/Financial_Services/Banking_Services +http://www.omt.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services +http://www.eblf.com/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Banking_Services +http://www.creditlibanais.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Banking_Services +http://www.byblosbank.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Banking_Services +http://www.blom.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Banking_Services +http://www.bml.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Banking_Services +http://www.fransabank.com/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Banking_Services +http://www.abl.org.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Banking_Services +http://www.fidelity.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Insurance +http://www.cumberland.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Insurance +http://www.alig.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Insurance +http://www.grassavoyeliban.com.lb/ Top/Regional/Middle_East/Lebanon/Business_and_Economy/Financial_Services/Insurance +http://www.msm.gov.om/ Top/Regional/Middle_East/Oman/Business_and_Economy/Financial_Services +http://www.p-s-e.com/ Top/Regional/Middle_East/Palestine,_State_of/Business_and_Economy/Financial_Services +http://www.massar.com/ Top/Regional/Middle_East/Palestine,_State_of/Business_and_Economy/Financial_Services +http://www.ahlia.ps/ Top/Regional/Middle_East/Palestine,_State_of/Business_and_Economy/Financial_Services/Insurance +http://www.qcb.gov.qa/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.dohabank.com.qa/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.qatar.hsbc.com/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.thegroup.com.qa/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.qnb.com.qa/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.qib.com.qa/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.qe.com.qa/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +https://www.sc.com/qa Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.ffcqatar.com/ Top/Regional/Middle_East/Qatar/Business_and_Economy/Financial_Services +http://www.iobf.org/ Top/Regional/Middle_East/Saudi_Arabia/Localities/Riyadh/Business_and_Economy/Financial_Services +http://www.ranainvestment.com/ Top/Regional/Middle_East/Saudi_Arabia/Localities/Riyadh/Business_and_Economy/Financial_Services +http://www.tcmb.gov.tr/ Top/Regional/Middle_East/Turkey/Business_and_Economy/Financial_Services +http://www.hazine.gov.tr/ Top/Regional/Middle_East/Turkey/Business_and_Economy/Financial_Services +http://www.turkishbulls.com/ Top/Regional/Middle_East/Turkey/Business_and_Economy/Financial_Services +http://borsaistanbul.com/ Top/Regional/Middle_East/Turkey/Business_and_Economy/Financial_Services +http://www.irmresearch.com/ Top/Regional/Middle_East/Turkey/Business_and_Economy/Financial_Services +http://www.turkishmarketnews.com/ Top/Regional/Middle_East/Turkey/Business_and_Economy/Financial_Services +http://www.morisonmenon.com/ Top/Regional/Middle_East/United_Arab_Emirates/Business_and_Economy/Financial_Services +http://www.aman.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Business_and_Economy/Financial_Services +http://www.rakinvest.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Business_and_Economy/Financial_Services +http://www.kothariauditors.com/ Top/Regional/Middle_East/United_Arab_Emirates/Business_and_Economy/Financial_Services +http://www.sca.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Business_and_Economy/Financial_Services +http://www.cbd.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Dubai/Business_and_Economy/Financial_Services +http://www.shuaacapital.com/ Top/Regional/Middle_East/United_Arab_Emirates/Dubai/Business_and_Economy/Financial_Services +http://www.dfm.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Dubai/Business_and_Economy/Financial_Services +http://www.dfsa.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Dubai/Business_and_Economy/Financial_Services +http://www.difc.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Dubai/Business_and_Economy/Financial_Services +http://www.sharewadi.com/ Top/Regional/Middle_East/United_Arab_Emirates/Dubai/Business_and_Economy/Financial_Services +http://www.uab.ae/ Top/Regional/Middle_East/United_Arab_Emirates/Sharjah/Business_and_Economy/Financial_Services +http://www.orbisfunds.com/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.bsx.com/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.lom.com/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.bias.bm/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.independent.bm/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.anchor.bm/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.wpstewart.com/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.acumengroup.com/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://bda.bm/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +https://clarienbank.com/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.bois.bm/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services +http://www.whitemountains.com/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services/Insurance +http://www.bermuda-insurance.org/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services/Insurance +http://www.butterfield.bm/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services/Insurance +http://www.bfm.bm/ Top/Regional/North_America/Bermuda/Business_and_Economy/Financial_Services/Insurance +http://www.symcor.com/ Top/Regional/North_America/Business_and_Economy/Financial_Services +http://www.hubinternational.com/ Top/Regional/North_America/Business_and_Economy/Financial_Services/Insurance +http://www.collinsbarrow.com/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services +http://www.alger.ca/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services +http://www.bankruptcy-alberta.com/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services +http://www.leckieca.com/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services +http://www.morgex.com/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services/Insurance +http://www.alpineinsurance.ca/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services/Insurance +http://www.ama.ab.ca/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services/Insurance +http://www.tsginsurance.com/ Top/Regional/North_America/Canada/Alberta/Business_and_Economy/Financial_Services/Insurance +http://www.expat.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.macmillanestate.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.starrbusiness.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.networthassetmgt.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.wiseword.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.aagroup.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.cascadecapital.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.ervenplanning.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.leasedirect.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.continentaltax.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.customcare.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.heinfinancial.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.costplus.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.shaunessy.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://money-school.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.brockhealth.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.mercadocapital.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.mcclaryfinancial.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.wealthguide.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.farmlandinvestmentpartnership.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.bigi.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.dragoncorporatesolutions.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.solvingdebt.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.cavalcadeauto.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.hopestateplanning.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.artadvisors.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.godfreymorrow.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.mnp.ca/en Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services +http://www.kmss.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Accountants +http://www.kirkwormley.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Accountants +http://mjca-calgary-accountant.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Accountants +http://www.transcdatax.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Accountants +http://www.kiwpc.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Accountants +http://www.businessloss.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Accountants +http://www.olympiatrust.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Insurance +http://www.lucasandwright.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Insurance +http://pretaxhealth.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Insurance +http://www.alberta-mortgages.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.paragoncorp.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.calgary-mortgage.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgagecalgary.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.thebestrates.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.westcormortgage.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.mymortgagepros.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgagedeal.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.canquestmortgage.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.loangoddess.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.calgarydreamhome.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.axiommortgagepartners.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.canadafirstmortgage.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.qmortgage.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.thelenderguy.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.pavaomortgages.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.mymortgagebroker.com/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://mortgagegroup.ca/ Top/Regional/North_America/Canada/Alberta/Localities/C/Calgary/Business_and_Economy/Financial_Services/Mortgages +http://www.centrestreetinsurance.ca/ Top/Regional/North_America/Canada/Alberta/Localities/D/Drumheller/Business_and_Economy/Financial_Services +http://christianthut.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://foxmiles.ca/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.fundsoft.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.bankersandtraders.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.plbins.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.carfinco.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.planet.eon.net/~fsgroup/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.generalbilling.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.hatton.ca/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.patronwest.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://www.sheppardinsurance.com/ Top/Regional/North_America/Canada/Alberta/Localities/E/Edmonton/Business_and_Economy/Financial_Services +http://neilandassociates.ca/ Top/Regional/North_America/Canada/Alberta/Localities/G/Grande_Prairie/Business_and_Economy/Financial_Services +http://www.bentleybanks.com/ Top/Regional/North_America/Canada/Alberta/Localities/L/Lethbridge/Business_and_Economy/Financial_Services +http://www.astro-insurance.com/ Top/Regional/North_America/Canada/Alberta/Localities/L/Lethbridge/Business_and_Economy/Financial_Services +http://www.relianceappraisals.ca/ Top/Regional/North_America/Canada/Alberta/Localities/L/Lethbridge/Business_and_Economy/Financial_Services +http://www.telusplanet.net/public/vasil/ Top/Regional/North_America/Canada/Alberta/Localities/L/Lethbridge/Business_and_Economy/Financial_Services +http://www.lifecanada.com/ Top/Regional/North_America/Canada/Alberta/Localities/L/Lethbridge/Business_and_Economy/Financial_Services +http://www.jmhca.com/ Top/Regional/North_America/Canada/Alberta/Localities/M/Medicine_Hat/Business_and_Economy/Financial_Services +http://www.sunlife.ca/debbie.kammerer/e/index.htm Top/Regional/North_America/Canada/Alberta/Localities/M/Medicine_Hat/Business_and_Economy/Financial_Services +http://www.allserviceins.com/ Top/Regional/North_America/Canada/Alberta/Localities/R/Red_Deer/Business_and_Economy/Financial_Services +https://www.rogersinsurance.ca/red-deer/ Top/Regional/North_America/Canada/Alberta/Localities/R/Red_Deer/Business_and_Economy/Financial_Services +http://www.advico.ca/ Top/Regional/North_America/Canada/Alberta/Localities/S/Sherwood_Park/Business_and_Economy/Financial_Services +http://www.specializedbenefits.com/ Top/Regional/North_America/Canada/Alberta/Localities/S/Sherwood_Park/Business_and_Economy/Financial_Services +http://www.insurancediscounts.ca/ Top/Regional/North_America/Canada/Alberta/Localities/S/Sherwood_Park/Business_and_Economy/Financial_Services/Insurance +http://www.meyersinsurance.com/ Top/Regional/North_America/Canada/Alberta/Localities/S/Sherwood_Park/Business_and_Economy/Financial_Services/Insurance +http://www.baselineinsurance.ca/ Top/Regional/North_America/Canada/Alberta/Localities/S/Sherwood_Park/Business_and_Economy/Financial_Services/Insurance +http://www.tailormadeins.com/ Top/Regional/North_America/Canada/Alberta/Localities/S/Sherwood_Park/Business_and_Economy/Financial_Services/Insurance +http://www.mathesoninsurance.ca/ Top/Regional/North_America/Canada/Alberta/Localities/S/Sherwood_Park/Business_and_Economy/Financial_Services/Insurance +http://www.1stchoicesavings.ca/ Top/Regional/North_America/Canada/Alberta/Regions/Southern_Alberta/Business_and_Economy/Financial_Services +http://www.chinookcu.com/ Top/Regional/North_America/Canada/Alberta/Regions/Southern_Alberta/Business_and_Economy/Financial_Services +http://www.ypm.ca/ Top/Regional/North_America/Canada/Alberta/Regions/Southern_Alberta/Business_and_Economy/Financial_Services +http://www.coastcapitalsavings.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://vancity.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://www.gffg.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://tacc.ca/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://www.riteteam.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://www.sands-trustee.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://www.bcauditor.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://www.nicolawealth.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://www.prospera.ca/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +https://www.blueshorefinancial.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services +http://www.ibabc.org/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.icbc.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.wmbeck.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.fic.gov.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.bannertown.com/home_video_recording.html Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.bwinsurance.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.tsw-management.ca/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.autorenewal.ca/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.jmins.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.patand.com/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +https://www.westlandinsurance.ca/ Top/Regional/North_America/Canada/British_Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.mtlehman.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/A/Abbotsford/Business_and_Economy/Financial_Services +http://thebcmortgageguy.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/A/Abbotsford/Business_and_Economy/Financial_Services +http://www.saxbee.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/A/Abbotsford/Business_and_Economy/Financial_Services +http://www.cccns.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/A/Abbotsford/Business_and_Economy/Financial_Services +http://www.credit-queen.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/A/Abbotsford/Business_and_Economy/Financial_Services +http://www.accuratebailiffs.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://www.creditcounselingcanada.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://www.ascentteam.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://www.kjca.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://www.loanbox.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://brucecga.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://investorimmigrant.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://barkman-tanaka.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://www.bluefish.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services +http://www.rwfinancial.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services/Insurance +http://www.mutualmarine.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services/Insurance +http://www.shelterbay.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/B/Burnaby/Business_and_Economy/Financial_Services/Insurance +http://www.netfinance.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Campbell_River/Business_and_Economy/Financial_Services +http://www.reynen.net/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Campbell_River/Business_and_Economy/Financial_Services +http://chasesekulich.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Campbell_River/Business_and_Economy/Financial_Services +http://moellerandcompany.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Campbell_River/Business_and_Economy/Financial_Services +http://www.eprcoq.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Coquitlam/Business_and_Economy/Financial_Services +http://www.csandco.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Coquitlam/Business_and_Economy/Financial_Services +http://www.multi-lineclaims.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Coquitlam/Business_and_Economy/Financial_Services/Insurance +http://www.macdonaldgillinsurance.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Coquitlam/Business_and_Economy/Financial_Services/Insurance +http://acdcoquitlam.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/C/Coquitlam/Business_and_Economy/Financial_Services/Insurance +http://www.accountant-taxplanningbc.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/D/Delta/Business_and_Economy/Financial_Services +http://www.vermeulen-group.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/D/Delta/Business_and_Economy/Financial_Services +http://www.hslco.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/D/Duncan/Business_and_Economy/Financial_Services +http://www.cvcu.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/G/Golden/Business_and_Economy/Financial_Services +http://www.dwpage.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kamloops/Business_and_Economy/Financial_Services +http://www.antco.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kamloops/Business_and_Economy/Financial_Services +http://helpwithmoney.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kamloops/Business_and_Economy/Financial_Services +http://smarterfinancialplanning.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services +http://www.investkelowna.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services +http://www.jgerwingagency.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services +http://encompasssolutions.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services +http://thatmortgageman.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services +http://mountainplanning.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services +http://www.insuranceontheweb.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services/Insurance +http://www.wealthlinkfinancial.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/K/Kelowna/Business_and_Economy/Financial_Services/Insurance +http://www.churchpickard.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/N/Nanaimo/Business_and_Economy/Financial_Services +http://www.jasmith.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/N/Nanaimo/Business_and_Economy/Financial_Services +http://www.pioneerwest.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/N/North_Vancouver/Business_and_Economy/Financial_Services +http://www.studentsure.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/N/North_Vancouver/Business_and_Economy/Financial_Services +http://www.secondmortgageratecanada.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/N/North_Vancouver/Business_and_Economy/Financial_Services +http://www.jacobson-insurance.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/N/North_Vancouver/Business_and_Economy/Financial_Services +http://www.precisionwealth.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Parksville/Business_and_Economy/Financial_Services +http://www.carolplaisier.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Parksville/Business_and_Economy/Financial_Services +http://www.mortgagegroup-pg.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.angelfire.com/nd/sprucecity/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.sprucecu.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.san-cga.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.jbeverley.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.kalsbeek.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.mbbusiness.biz/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.rhbaccountants.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services +http://www.brownridgeinsurance.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services/Insurance +http://www.porterandmcmillan.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services/Insurance +http://summitins.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/P/Prince_George/Business_and_Economy/Financial_Services/Insurance +http://insuranceforyou.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.jerryaccounting.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.sanders-russell.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.rcgforex.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.centralagenciesbc.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.creditsolutions.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://www.tahirmian.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://www.mycanadapayday.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://www.pacificapartners.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://www.insightfulwealthsolutions.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://bccurrencyexchange.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://mtgexperts.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://speedymortgage.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services +http://www.sharmacga.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://leedadvisorsinc.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://asbubber.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.hwgca.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://jdsventures.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.marcuscocga.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.saklasaccounting.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.bouchardco.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.lillicoassociates.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.hbcga.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.shawcross.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.sandco.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.schmidtberg.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://morineandco.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Accounting +http://www.newtoninsurance.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/S/Surrey/Business_and_Economy/Financial_Services/Insurance +http://www.ccec.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.tfgglobal.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.industrialcredit.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.bowragroup.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.boalewood.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.csvan.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.moneyway.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://leasepartners.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.wcbc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +https://www.merchantadvancecap.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://croftandbarrowconsulting.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.granvillewest.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.lanegroup.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.mygreatmortgage.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www3.telus.net/davidlin/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.equityinc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.lamlonishio.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.qas.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.rolfebenson.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.stanwleeca.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.strategexgroup.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.nicameroninc.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.wdmca.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.fernandezyoung.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.davidson-co.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.kmvco.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://greenbergassociates.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.seecac.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.daisychucga.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.frozenlakeaccounting.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.cassfraser.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.rwelm.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://mcleanbartok.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://mackenziecompany.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.mackenziegeorge.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.lohncaulder.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://cwhallca.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://desaiassociates.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.sandhutax.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.acroaccounting.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://walshking.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.patersonjamieson.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://adams-wong.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.azimjamal.net/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.au-yeungcompany.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://andrewliu.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.byrne-associates.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.buckleydodds.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://davidchiucga.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://devissergray.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.hildaleung.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.goldsmithpoulos.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Accounting +http://www.biis.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +http://www.multi-lineclaims.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +http://www.johnrossinsurance.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +http://www.reliance.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +http://www.shawsabey.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +http://pemberton.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +http://allanfinancial.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +https://arbetovinsurance.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Insurance +http://www.mercantilebancorp.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://www.genuscap.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://www.kcmwealth.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://www.devantecapital.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://www.bcsc.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +https://www.phn.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://paragoninc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://ghughesgroup.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://www.lycosasset.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://www.lenskiadvisors.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Vancouver/Business_and_Economy/Financial_Services/Investing +http://www.burkett.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://getsred.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.viatec.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +https://www.harbordinsurance.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.fisgard.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.dec.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.mortgageinsurance.bc.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.lakewayinternational.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.mortgagecanada.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.terryprice.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.peterouellette.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.trentonfinancial.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.fosterfirst.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.cecraig.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.greenhorwood.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.candsaccounting.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.westoftherestfinancial.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.shipleygroup.ca/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://selectmortgage.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.gershonandco.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/W/Whistler/Business_and_Economy/Financial_Services +http://www.whistlerca.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/W/Whistler/Business_and_Economy/Financial_Services +http://www.rockymountainfinance.com/ Top/Regional/North_America/Canada/British_Columbia/Localities/W/Whistler/Business_and_Economy/Financial_Services +http://www.obsi.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +https://www.fcc-fac.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.310loan.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.moneysense.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.ledgers.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.payweb.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.canadamortgageservices.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.citifinancial.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.stopncash.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.ceridian.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.cashmoney.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.kingsdalecapital.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.hometrustsecuredvisa.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://canadian-creditreport.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.direct-advance.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.debtconsultants.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.travelersfinancial.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.dnb.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.alpinecredits.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.growthworks.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://allcanadacars.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.adp.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.payworks.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.canrates.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.eloancanada.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.merchantfastcash.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.cibcmellon.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.serveucash.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.gailvazoxlade.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.fpsc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +https://generalcreditservices.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services +http://www.bankruptcycanada.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.cairp.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.moneyproblems.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.afarber.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.canadabankruptcy.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.consolidatedcredit.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.ic.gc.ca/eic/site/bsf-osb.nsf/eng/home Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.bdodebthelp.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Bankruptcy +http://www.retirementchallenge.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.moneyconcepts.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.ipcc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.personalfinanceseries.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.cstplan.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.bpmmagazine.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://investingcanada.about.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.taxtips.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.fcsi.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.cefi.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.assante.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.gcaweb.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.cra-arc.gc.ca/tx/rgstrd/menu-eng.html Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning +http://www.cfp-ca.org/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://www.advocis.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://creditcounsellingcanada.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://www.mckinnonwealthmanagement.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://www.gemfinancialgroup.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://andrewjohns.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://www.yourlifeyourplan.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://www.janeabellay.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Financial_Planning/Financial_Advisors +http://www.baileycapitalfund.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Institutions +https://www.zagbank.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Institutions +http://www.eos-canada.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Institutions +http://www.nacca.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Institutions +http://canadabankcodes.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Institutions +http://www.kernaghan.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.csio.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.ibc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.ibac.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.insurance-canada.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.insurancehotline.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.wrayhail.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.kanetix.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.brokerbuilder.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.clhia.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.actuaries.ca/foundation/index.html Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.ifbc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.insurancedirectory.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://coastprovincesplan.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.johnstongroup.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.nitecinsurance.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.canquote.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.giocanada.org/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.sbis.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://surexdirect.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.punjabinsurance.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +https://www.tugo.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://ia.ca/individuals Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://americas.msh-intl.com/index.php?lang=en Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +https://www.axa-assistance.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.cia-ica.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://msgcanadainsuranceinc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.squareoneinsurance.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +https://insureye.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://coughlin.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +https://canada.marsh.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance +http://www.edc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.libertyiu.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.frontrowinsurance.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.hooperbenefits.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employee_Benefits +http://www.ralphmoss.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employee_Benefits +http://www.bbd.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employee_Benefits +http://www.acurehealth.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employee_Benefits +http://www.quikcard.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employee_Benefits +http://www.maximumbenefit.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employee_Benefits +http://www.dgacareers.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employment +http://www.insurancecareernet.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employment +http://www.insuranceworks.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Employment +http://www.aseq.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Health +http://www.benecaid.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Health +http://www.studentguard.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Health +http://www.tis.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Health +http://www.standardlife.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.canadalife.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.consumerterm.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.quality-ins.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.winquote.net/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.yourinsurancebrokers.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.equitable.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.terminsurance4canadian.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Life +http://www.insurance-journal.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Magazines +http://www.canadianunderwriter.ca/mediagroup/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Magazines +http://www.citopbroker.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Magazines +http://www.tdcanadatrust.com/tdinsurance/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.insurancedirectcanada.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.guthrieinsurance.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://jdsmithinsurance.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.gougeoninsurance.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.city-ins.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.aon.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.beaton-insurance.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://peakeandrichmond.bc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.wnatkinson.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.belair.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.brokerlink.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://goinsure.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.wawanesageneral.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.johnson.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.csa-acvm.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.outlookfinancial.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.edwardjones.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.cdcc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.cst.org/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.evansevans.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.rimcon.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.theuptrend.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.stockhouse.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.share.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.stock-anal.com/ca/index.htm Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.aftertaxes.bc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://theinvestorscentre.8m.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.heritageresp.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.scotiamcleod.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.investorsgroup.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.iamgroup.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://investorsfriend.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.esop-canada.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.csi.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.baystreet.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.d-x.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.ific.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.mfda.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.globalfinancial.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.sipa.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.stockwatch.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.stocktrades.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.gettingadvice.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.msn.com/en-ca/money Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing +http://www.bmonesbittburns.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +http://www.tradefreedom.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +http://www.tdwaterhouse.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +https://www.woodgundy.com/wg/en/home.jsp Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +http://www.interactivebrokers.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +https://www.bmoinvestorline.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +https://www.disnat.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +https://www.qtrade.ca/investor/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +http://www.rbcdirectinvesting.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Brokerages +http://www.baystreet.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.eresearch.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.market-analysis.8m.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.safehaven.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.stock-anal.com/stock-analyzer/ca.htm Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.inovestor.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.gummy-stuff.org/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.shakesprimer.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://www.cfee.org/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://nohypeinvesting.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Guides_and_Directories +http://superstockpicker.agnosoft.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Investment_Clubs +http://www.rrsp.org/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.mcleanbudden.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.excelfunds.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.mackenziefinancial.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.agf.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.saxonfunds.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.northgrowth.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.bmo.com/fcfunds/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.cifunds.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.dynamic.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.phn.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.fundata.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.templeton.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.fidelity.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.gbc.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.millennia.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.tradex.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.mawer.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.aicfunds.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.crystalwealth.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.goodwoodfunds.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.wickhaminvestments.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.abcfunds.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.globefund.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.fundscope.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.canadianhedgewatch.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +https://www.cannex.com/canada/english/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.ishares.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.invescotrimark.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.bmoguardianfunds.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.rbcam.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.advisorportfolioservices.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Mutual_Funds +http://www.canadianbusiness.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.newswire.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.canadianmoneysaver.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.fundlibrary.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.decisionplus.com/index_en.html Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.stockhouse.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.usetdas.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.efficientmarket.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.bylo.org/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.ndir.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://money.canoe.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.investmentexecutive.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.efficientmarket.ca/backend/efficientmarket.rdf Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.investmentreview.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.canadianfundwatch.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.newfuelnow.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.theboomer.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://cdndrips.blogspot.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.advisor.ca/advisors/news/index.jsp Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.theglobeandmail.com/globe-investor/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media +http://www.ormetal.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media/Newsletters +http://www.shareowner.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/News_and_Media/Newsletters +http://www.hr-reit.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.creit.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.capreit.net/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.morguardreit.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.reidepot.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.realpac.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.carevest.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.edwardsland.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Real_Estate +http://www.tsx.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Stock_Exchanges +http://www.m-x.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Investing/Stock_Exchanges +http://www.bdo.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://www.cra-arc.gc.ca/formspubs/menu-eng.html Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://www.davidsherman.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://www.grantsinternational.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://www.benefact.ca/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://www.ryan.com/Canada Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://www.miningtaxcanada.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://disabilitycreditcanada.com/ Top/Regional/North_America/Canada/Business_and_Economy/Financial_Services/Taxation +http://www.mtspensionplan.ca/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services +http://www.bankruptcy-manitoba.com/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services +http://www.ibam.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services/Insurance +http://www.icm.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services/Insurance +http://www.mpi.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services/Insurance +https://www.caamanitoba.com/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services/Insurance +http://www.miginsurance.ca/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services/Insurance +http://www.bsimb.com/ Top/Regional/North_America/Canada/Manitoba/Business_and_Economy/Financial_Services/Insurance +http://www.westoba.com/ Top/Regional/North_America/Canada/Manitoba/Localities/B/Brandon/Business_and_Economy/Financial_Services +http://www.centummortgagechoice.com/ Top/Regional/North_America/Canada/Manitoba/Localities/B/Brandon/Business_and_Economy/Financial_Services +http://www.guildhmsinsurance.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/B/Brandon/Business_and_Economy/Financial_Services +http://www.telpay.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.fbibusiness.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.bryanlupe.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.ibexpayroll.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.onelinkmortgage.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.exg.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +https://www.creditaid.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.mcarthurfinancial.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.mortgagewinnipeg.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://lctaylor.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.cfcs.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.recoupgroup.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.vertuity.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services +http://www.taxescanada.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Accounting +http://www.fbc.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Accounting +http://www.assiniboine.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.nwcu.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.cambrian.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.caseracu.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.creditunion.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.caissepop.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.carpathiacu.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.entegra.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.crosstowncivic.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +https://wpcu.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.belgianalliancecu.mb.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Banking_Services +http://www.coughlin.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.richardwayne.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.rangerinsurance.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.pleziainsurance.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.jmjohnsoninsurance.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.crossroadsinsurance.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.greatwestlife.com/001/Home/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.westernfgis.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Insurance +http://www.cardinal.ca/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Investing +http://www.diamondretirement.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Investing +http://www.efgi.com/ Top/Regional/North_America/Canada/Manitoba/Localities/W/Winnipeg/Business_and_Economy/Financial_Services/Investing +http://www.anthonyinsurance.com/ Top/Regional/North_America/Canada/Newfoundland_and_Labrador/Business_and_Economy/Financial_Services +https://www.cpanl.ca/ Top/Regional/North_America/Canada/Newfoundland_and_Labrador/Business_and_Economy/Financial_Services +http://www.nlcu.com/ Top/Regional/North_America/Canada/Newfoundland_and_Labrador/Localities/St._John's/Business_and_Economy/Financial_Services +http://www.cudgcnl.com/ Top/Regional/North_America/Canada/Newfoundland_and_Labrador/Localities/St._John's/Business_and_Economy/Financial_Services +http://www.respnb.ca/ Top/Regional/North_America/Canada/New_Brunswick/Business_and_Economy/Financial_Services +https://www.planthope.com/ Top/Regional/North_America/Canada/New_Brunswick/Business_and_Economy/Financial_Services +http://www.wilson.nb.ca/ Top/Regional/North_America/Canada/New_Brunswick/Localities/Fredericton/Business_and_Economy/Financial_Services +http://www.bayviewnb.com/ Top/Regional/North_America/Canada/New_Brunswick/Localities/Saint_John/Business_and_Economy/Financial_Services +http://www.andersonmctague.com/ Top/Regional/North_America/Canada/New_Brunswick/Localities/Saint_John/Business_and_Economy/Financial_Services +http://www.myfairweathers.com/ Top/Regional/North_America/Canada/New_Brunswick/Localities/Saint_John/Business_and_Economy/Financial_Services +http://www.easterncms.com/ Top/Regional/North_America/Canada/New_Brunswick/Localities/Saint_John/Business_and_Economy/Financial_Services +http://www.middletonandassociates.ca/ Top/Regional/North_America/Canada/New_Brunswick/Localities/Saint_John/Business_and_Economy/Financial_Services +http://www.tax-aid.ca/ Top/Regional/North_America/Canada/New_Brunswick/Localities/Saint_John/Business_and_Economy/Financial_Services +http://www.nscudic.org/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services +http://www.fraserhoyt.com/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services +http://www.wbli.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services +http://www.hopkinstrustee.com/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services +http://www.wedlakeinc.com/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services +http://www.apreid.com/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services/Insurance +http://www.wclbauld.com/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services/Insurance +http://www.ibans.com/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services/Insurance +http://www.lians.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services/Insurance +http://novascotia.ca/agri/programs-and-services/financial-funding/crop-livestock-insurance/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services/Insurance +http://archwayinsurance.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Business_and_Economy/Financial_Services/Insurance +http://www.ucai.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/D/Dartmouth/Business_and_Economy/Financial_Services +http://www.strategicwealth.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/D/Dartmouth/Business_and_Economy/Financial_Services +http://www.cfwgroup.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/D/Dartmouth/Business_and_Economy/Financial_Services +http://www.acgca.ca/index.php?/Nova-Scotia/hunter-tellier-belgrave-adamson.html Top/Regional/North_America/Canada/Nova_Scotia/Localities/D/Dartmouth/Business_and_Economy/Financial_Services +http://www.cjmsolutions.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/D/Dartmouth/Business_and_Economy/Financial_Services +http://assante.com/advisors/ngrady/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/D/Dartmouth/Business_and_Economy/Financial_Services +http://peverill.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/D/Dartmouth/Business_and_Economy/Financial_Services +http://www.cua.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.econolease.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.kevincochrane.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.gordonstirrett.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.rbrdev.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.ltdca.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.colesgroup.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.keyesinsurance.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.halifaxadvisor.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +https://www.provincialemployees.com/Home/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/H/Halifax/Business_and_Economy/Financial_Services +http://www.dmrfinancial.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/M/Mahone_Bay/Business_and_Economy/Financial_Services +http://www.sydneycreditunion.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/S/Sydney/Business_and_Economy/Financial_Services +http://www.aamunro.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/S/Sydney/Business_and_Economy/Financial_Services +http://bluenoseinsurance.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/S/Sydney/Business_and_Economy/Financial_Services +http://macleodlorway.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/S/Sydney/Business_and_Economy/Financial_Services +http://www.simplyfinancials.com/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/T/Truro/Business_and_Economy/Financial_Services +http://schoonerfinancial.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/T/Truro/Business_and_Economy/Financial_Services +http://www.fultonins.ca/ Top/Regional/North_America/Canada/Nova_Scotia/Localities/T/Truro/Business_and_Economy/Financial_Services +http://www.northerncu.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.meridiancu.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.indebt.org/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.whitleyfinancial.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.otpp.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.cbso.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.cga-ontario.org/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://mortgageexpertise.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.taylorleibow.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://turnermoore.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.omac.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +https://www.creditunionsofontario.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.collectritecollections.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services +http://www.carloansapproved.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Auto_Financing +http://rightcar.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Auto_Financing +http://www.bankruptcy-ontario.org/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Bankruptcy +http://www.hoyes.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Bankruptcy +http://www.paddonyorke.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Bankruptcy +http://www.startingovertoronto.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Bankruptcy +http://www.brieftrustee.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Bankruptcy +http://www.fontaineandassociates.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Bankruptcy +http://www.spergel.ca/en/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Bankruptcy +http://www.caasco.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.haymutual.on.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.mumby.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://carinsurance.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.neziol.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.moseyandmosey.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.e-djuster.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.daltontimmis.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.thedorseygroup.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.financialhorizons.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.insuranceprotection.biz/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.canada-insurance-source.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://myinsuranceshopper.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.humberviewinsurance.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.stoneridgeinsurance.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.cowangroup.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.cgbgroup.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://rivetinsurance.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.jdimi.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://highriskautopros.ca/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +https://algomafinancialgroup.com/ Top/Regional/North_America/Canada/Ontario/Business_and_Economy/Financial_Services/Insurance +http://www.execucor.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.mortgagefunding.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.sarjeantmanagementservices.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.efiletax.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.cbcollections.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.powelljones.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.kostrich.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.sslgroup.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.mikeparker.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services +http://www.jcmitchell.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services/Insurance +http://www.assuredlife.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services/Insurance +http://www.wmib.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services/Insurance +http://www.jdbgroup.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Barrie/Business_and_Economy/Financial_Services/Insurance +http://www.donmaycock.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Belleville/Business_and_Economy/Financial_Services +http://www.apatcinc.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services +http://www.millards.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services +http://www.williamsongroup.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services +http://www.financialnavigators.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services +http://www.chessleasing.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services +http://www.e-galdesigns.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services +http://www.thedorseygroup.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services/Insurance +http://www.brantmutual.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services/Insurance +http://www.csuonline.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services/Insurance +http://www.vandykins.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Brantford/Business_and_Economy/Financial_Services/Insurance +http://www.bankruptcy-trustees.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.butx.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.funnell.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.balquhain.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.solutionsfinancial.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.wdg.ca/ Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.ineedthatmortgage.com/ Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://advisor.holliswealth.com/content/retailAdvisor/en/IIROC/rreurink.html Top/Regional/North_America/Canada/Ontario/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.rates4less.com/ Top/Regional/North_America/Canada/Ontario/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.conestoga.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.northbridgeconsultants.com/ Top/Regional/North_America/Canada/Ontario/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.thirdwavefundraising.com/ Top/Regional/North_America/Canada/Ontario/Localities/C/Chatham/Business_and_Economy/Financial_Services +http://www.weiler.ca/ Top/Regional/North_America/Canada/Ontario/Localities/G/Guelph/Business_and_Economy/Financial_Services +http://www.fcfcorp.ca/ Top/Regional/North_America/Canada/Ontario/Localities/G/Guelph/Business_and_Economy/Financial_Services +http://www.global-currency.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/G/Guelph/Business_and_Economy/Financial_Services +http://www.rcami.com/ Top/Regional/North_America/Canada/Ontario/Localities/G/Guelph/Business_and_Economy/Financial_Services +http://www.completetax.biz/ Top/Regional/North_America/Canada/Ontario/Localities/G/Guelph/Business_and_Economy/Financial_Services +http://www.macleanfinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/G/Guelph/Business_and_Economy/Financial_Services +http://www.hmecu.com/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.vine.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.djb.com/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.ibah.org/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.mcedwards.com/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.theaccountingplace.net/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.personalmortgage.ca/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.hmtsalestax.com/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.procollectservices.com/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://incretech.ca/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.cbsolutions.ca/ Top/Regional/North_America/Canada/Ontario/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.wellingtonfx.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kingston/Business_and_Economy/Financial_Services +http://www.mortgageprokingston.com/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kingston/Business_and_Economy/Financial_Services +http://carloanskingston.ca/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kingston/Business_and_Economy/Financial_Services +http://www.staebler.com/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.kurt-ins.com/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.erb-erb.com/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.donovaninsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.arcafinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.simplytraining.ca/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.penad.com/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.jeffshirk.ca/ Top/Regional/North_America/Canada/Ontario/Localities/K/Kitchener-Waterloo/Business_and_Economy/Financial_Services +http://www.alliedgroup.ca/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.nationalunderwriting.com/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.dardancapital.com/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.joemalek.com/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.securedcreditcard.ca/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.dfgroup.ca/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.wernhamwealth.com/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.haasinsurance.ca/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.wisefinancial.ca/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.talbotstevens.com/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.thebenefitsolution.ca/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://casbmanagementgroup.com/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://m2oins.com/home.aspx Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://georgijevfinancial.ca/ Top/Regional/North_America/Canada/Ontario/Localities/L/London/Business_and_Economy/Financial_Services +http://www.toronto-accountant.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.interfacefinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.marshplan.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.ctsassociates.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.allstate.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.larry.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.jenningsfinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.droradan.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.deeksinsurance.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.universalfinance.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.johnduffyinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.americanexpress.com/canada/en/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.aiccorp.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://solidfinancialsolutions.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.crescan.com/consulting/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.norbram.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.raigrantinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.hlins.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.jmassociates.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.feldstein.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://ctap.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://brecklesgroup.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Markham/Business_and_Economy/Financial_Services +http://www.midlandaccounting.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Midland/Business_and_Economy/Financial_Services +http://www.minkinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Midland/Business_and_Economy/Financial_Services/Insurance +http://www.lloydlindsay.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.buttar.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.thebusinessplace.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.mortgage416.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.autoloanscanada.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.pfs.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.cleardebtsol.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://createwealthnow.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.cumminsallison.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +https://www.jcld.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://scpllp.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.crllp.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.rickgeddes.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://lowermymortgagerate.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.patrickromann.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://www.moneylady.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services +http://soandso.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services/Insurance +http://www.insuranceexecutives.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services/Insurance +http://www.travelinsurance4canada.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services/Insurance +http://www.precisioninsurance.ca/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services/Insurance +http://www.barringtonmullings.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services/Insurance +http://www.hubbardinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services/Insurance +http://jelenainsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/M/Mississauga/Business_and_Economy/Financial_Services/Insurance +http://www.yorkfingroup.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/N/Newmarket/Business_and_Economy/Financial_Services +http://www.ledgers.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/Newmarket/Business_and_Economy/Financial_Services +http://www.johnjordan.ca/ Top/Regional/North_America/Canada/Ontario/Localities/N/New_Hamburg/Business_and_Economy/Financial_Services +http://www.zehrinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/New_Hamburg/Business_and_Economy/Financial_Services +https://www.penfinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/Niagara_Falls/Business_and_Economy/Financial_Services +http://www.watersmeredith.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/Niagara_Falls/Business_and_Economy/Financial_Services +http://www.cccpc.ca/ Top/Regional/North_America/Canada/Ontario/Localities/N/Niagara_Falls/Business_and_Economy/Financial_Services +http://www.stenning.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/North_Bay/Business_and_Economy/Financial_Services +http://www.pucherinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/North_Bay/Business_and_Economy/Financial_Services/Insurance +http://www.ecanadianinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/North_Bay/Business_and_Economy/Financial_Services/Insurance +http://www.aultis.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/North_Bay/Business_and_Economy/Financial_Services/Insurance +http://www.kibl.com/ Top/Regional/North_America/Canada/Ontario/Localities/N/North_Bay/Business_and_Economy/Financial_Services/Insurance +http://fiscalagents.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oakville/Business_and_Economy/Financial_Services +http://www.equirex.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oakville/Business_and_Economy/Financial_Services +http://home.cogeco.ca/~svidyarthi1/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oakville/Business_and_Economy/Financial_Services +http://www.profitmaxcanada.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oakville/Business_and_Economy/Financial_Services +http://www.bankruptcy-trustees.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oakville/Business_and_Economy/Financial_Services +http://www.karlschempp.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oakville/Business_and_Economy/Financial_Services +http://www.integra.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oakville/Business_and_Economy/Financial_Services +http://www.oshawacu.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oshawa/Business_and_Economy/Financial_Services +http://www.jo-annesbookkeeping.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Oshawa/Business_and_Economy/Financial_Services +http://www.bdc.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.smallbizottawa.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.alterna.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.accu-rate.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.oclf.org/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.lee-power.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.macleod.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.a-q.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.rickbaird.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.sredsolutions.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.chantalsylvestre.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.heritagefinancial.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.scrivens.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://doylesalewski.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services +http://www.gtimmons.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.andrews.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.welchllp.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.ggfl.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.mccayduff.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.robertson-sharpe.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.logankatz.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.jrbowerman.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.frouin.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.accountrain.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.mclartyco.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.rcgt.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Accountants +http://www.brophyfinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://www.assante.com/advisors/dphipps/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://www.doneberley.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://www.futurefinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://iisottawa.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://www.stjohnfinancial.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://www.taylorfinancial.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://www.wdsinvest.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://jrsaint.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://capitalwealtharchitects.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Financial_Planning +http://www.term-mart.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.binks.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.spcs-ins.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.lifeinsuranceforeveryone.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.trigoninsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.insurancehomeandauto.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.rhodeswilliams.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.ottawabroker.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.assure-all.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.essentialbenefits.ca/mortgage/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.accessible-insurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Insurance +http://www.grgmortgage.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.cmhc.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgagesmadeeasy.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.capitalmortgages.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.lozinski.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.wilsonteam.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.ingridpatry.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.lendinghand.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.straight-talk-mortgages.com/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.evanweinermortgage.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgageweb.ca/stefankrepski Top/Regional/North_America/Canada/Ontario/Localities/O/Ottawa/Business_and_Economy/Financial_Services/Mortgages +http://www.tk.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/O/Owen_Sound/Business_and_Economy/Financial_Services +http://www.protectorsgroup.com/ Top/Regional/North_America/Canada/Ontario/Localities/P/Peterborough/Business_and_Economy/Financial_Services +http://www.darlinginsurance.net/ Top/Regional/North_America/Canada/Ontario/Localities/P/Peterborough/Business_and_Economy/Financial_Services +http://www.informedfinancialgrowth.com/ Top/Regional/North_America/Canada/Ontario/Localities/P/Peterborough/Business_and_Economy/Financial_Services +http://www.holmanins.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.northwoodmortgage.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.directdialinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.askpage.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://jdsmithinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.invesmar.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.wilbridge.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.cdninvest.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.basicmortgage.ca/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.paulcheeatow.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.insurancetoronto.com/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.science-experts.ca/ Top/Regional/North_America/Canada/Ontario/Localities/R/Richmond_Hill/Business_and_Economy/Financial_Services +http://www.creditcounsellingssm.ca/ Top/Regional/North_America/Canada/Ontario/Localities/S/Sault_Ste._Marie/Business_and_Economy/Financial_Services +http://www.vergeinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/S/St._Catharines/Business_and_Economy/Financial_Services +http://www.sheehanandrosie.com/ Top/Regional/North_America/Canada/Ontario/Localities/S/St._Catharines/Business_and_Economy/Financial_Services +http://www.schoenhals.ca/ Top/Regional/North_America/Canada/Ontario/Localities/S/St._Catharines/Business_and_Economy/Financial_Services +http://capital-wealth.ca/ Top/Regional/North_America/Canada/Ontario/Localities/S/St._Catharines/Business_and_Economy/Financial_Services +http://www.porcupinecomputers.com/sudbury/ Top/Regional/North_America/Canada/Ontario/Localities/S/Sudbury/Business_and_Economy/Financial_Services +http://www.benefitpartners.com/ Top/Regional/North_America/Canada/Ontario/Localities/S/Sudbury/Business_and_Economy/Financial_Services +http://www.collinsbarrow.com/officeprofile.asp?officeID=20 Top/Regional/North_America/Canada/Ontario/Localities/S/Sudbury/Business_and_Economy/Financial_Services +http://users.vianet.ca/hutton/ Top/Regional/North_America/Canada/Ontario/Localities/S/Sudbury/Business_and_Economy/Financial_Services +http://www.sudburycu.com/ Top/Regional/North_America/Canada/Ontario/Localities/S/Sudbury/Business_and_Economy/Financial_Services/Credit_Unions +http://www.cambrianinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/S/Sudbury/Business_and_Economy/Financial_Services/Insurance +http://www.raymondinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/S/Sudbury/Business_and_Economy/Financial_Services/Insurance +http://www.nadf.org/ Top/Regional/North_America/Canada/Ontario/Localities/T/Thunder_Bay/Business_and_Economy/Financial_Services +http://www.consumersincometax.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Thunder_Bay/Business_and_Economy/Financial_Services +http://www.paro.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Thunder_Bay/Business_and_Economy/Financial_Services +http://www.thunderbayventures.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Thunder_Bay/Business_and_Economy/Financial_Services +http://www.davidsondelaplante.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Timmins/Business_and_Economy/Financial_Services +http://www.bmtinsurance.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Timmins/Business_and_Economy/Financial_Services +http://www.chenierandassociates.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Timmins/Business_and_Economy/Financial_Services +http://www.cbtimmins.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Timmins/Business_and_Economy/Financial_Services +http://www.hoopp.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services +http://www.liquidcapitalcorp.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services +http://www.becomedebtfree.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services +http://www.inkas.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services +http://www.fullerlandau.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.rosen-associates.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://cdntaxlaw.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.trahair.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.intheblackbooks.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.jamesbridge.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://thehermanfile.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.theprofitline.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.samseidman.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://torontotaxhelp.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.goreca.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.stryperiley.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.taxaccountantnsider.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.theprofitcenter.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://www.adamsmiles.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +https://www.cpacanada.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://crowesoberman.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://mirzacpa.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Accounting_and_Taxation +http://braithwaite.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://duncanconsulting.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.cembenchmarking.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.genuinecta.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.rimcon.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.stephenfinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.ternanalytics.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://paterson-associates.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.cvpl.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.duffandphelps.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Consulting +http://www.ajohnpage.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Debt_Management +http://www.alliancecredit.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Debt_Management +http://www.davidsklar.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Debt_Management +http://www.gearyandcompany.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Debt_Management +http://www.mendlowitz.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Debt_Management +http://www.citysavingscu.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Diversified_Services +http://www.tpcu.on.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Diversified_Services +http://www.alliancefinancial.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Diversified_Services +http://www.safebridgefinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Diversified_Services +http://www.cccinvestmentbanking.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Diversified_Services +http://www.pacicc.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.humberviewinsurance.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.insuranceportfolio.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.fok.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.guthrieinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.massinsure.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.safewayinsure.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.alicefinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.jewellersblock.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.krg.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.flewwelling.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.skippersplan.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.hallmarkins.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://agincourtinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.teg.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.cpp.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.mcquillangroup.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.life-insurance-quotes.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.northcity.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.groupbenefits.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.tompkinsinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://www.garybanksinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://steerinsurance.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Insurance +http://banwellfinancial.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.agf.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.brantsec.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.coleford.net/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.fundlibrary.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.hillsdaleinv.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.iei.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.mstone.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://canadianinvestmentmanager.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.sigmanalysis.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.stronach-financial.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.tdwaterhouse.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.pensiontransfer.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.theuptrend.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.wealthstrategist.net/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.mccombteam.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.mcplanning.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.basketfunds.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.timetoinvest.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.manitouinvestment.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.tay.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.manulifemutualfunds.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.globalresp.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Investing +http://www.assuredmortgage.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgagesbycindy.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +http://romspen.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +http://calumross.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +http://www.monstermortgage.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +http://www.foremost-financial.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +http://www.crescentmortgage.ca/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +https://www.intellimortgage.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +https://www.morcandirect.com/ Top/Regional/North_America/Canada/Ontario/Localities/T/Toronto/Business_and_Economy/Financial_Services/Mortgages +http://www.millersaperia.com/ Top/Regional/North_America/Canada/Ontario/Localities/V/Vaughan/Business_and_Economy/Financial_Services +http://www.rsp.ca/ Top/Regional/North_America/Canada/Ontario/Localities/V/Vaughan/Business_and_Economy/Financial_Services +http://www.unitrustcapital.com/ Top/Regional/North_America/Canada/Ontario/Localities/V/Vaughan/Business_and_Economy/Financial_Services +http://www.bucklerins.com/ Top/Regional/North_America/Canada/Ontario/Localities/V/Vaughan/Business_and_Economy/Financial_Services +http://www.kent.net/vantax/ Top/Regional/North_America/Canada/Ontario/Localities/W/Wallaceburg/Business_and_Economy/Financial_Services +http://www.roth-mosey.com/ Top/Regional/North_America/Canada/Ontario/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://www.stewartfisher.com/ Top/Regional/North_America/Canada/Ontario/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://www.hyattlassaline.com/ Top/Regional/North_America/Canada/Ontario/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://www.southlandonline.com/ Top/Regional/North_America/Canada/Ontario/Localities/W/Windsor/Business_and_Economy/Financial_Services/Insurance +http://www.soulliere.com/ Top/Regional/North_America/Canada/Ontario/Localities/W/Windsor/Business_and_Economy/Financial_Services/Insurance +http://www.all-risks.com/ Top/Regional/North_America/Canada/Ontario/Localities/W/Windsor/Business_and_Economy/Financial_Services/Insurance +http://www.cogsdale.com/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://www.collectioncontrolservices.com/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://www.mcaltd.pe.ca/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://www.peake-mcinnis.com/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://www.excelpei.com/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://www.mrsbgroup.com/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://www.burgoyneinsurance.ca/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://thebucketlistprogram.com/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +https://www.provincialcu.com/ Top/Regional/North_America/Canada/Prince_Edward_Island/Localities/Charlottetown/Business_and_Economy/Financial_Services +http://www.nbc-insurance.ca/ Top/Regional/North_America/Canada/Quebec/Business_and_Economy/Financial_Services +http://www.reseaucapital.com/ Top/Regional/North_America/Canada/Quebec/Business_and_Economy/Financial_Services +http://www.decimal.ca/ Top/Regional/North_America/Canada/Quebec/Business_and_Economy/Financial_Services +http://www.gfaga.com/ Top/Regional/North_America/Canada/Quebec/Business_and_Economy/Financial_Services +http://www.impots-ici.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.menegakis.ca/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.padgettwestisland.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.progres.ca/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.drtax.ca/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.votrecourtier.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.rrsp.org/contact.htm Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.vbassociates.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.jgfortin.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.justincaseinsurance.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.marklalonde.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.stonebridge.ca/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.the-successful-wealth-approach.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.wermenlinger.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.secfile.com/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +https://lussierdaleparizeau.ca/en/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://northstarbrokers.ca/ Top/Regional/North_America/Canada/Quebec/Localities/M/Montreal/Business_and_Economy/Financial_Services +http://www.hrblockns.com/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.fairway.ca/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.ulnooweg.ca/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.atlanticcanadainsurance.com/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.usc.ca/resp-eng/index.html Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.mcti.ca/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.solveyourdebts.com/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +https://gotoinsure.ca/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.huestis.ca/ Top/Regional/North_America/Canada/Regions/Atlantic/Business_and_Economy/Financial_Services +http://www.mnp.ca/ Top/Regional/North_America/Canada/Regions/Western/Business_and_Economy/Financial_Services +http://www.mdaservicesltd.com/ Top/Regional/North_America/Canada/Regions/Western/Business_and_Economy/Financial_Services +http://www.mortgagestogo.ca/ Top/Regional/North_America/Canada/Regions/Western/Business_and_Economy/Financial_Services +https://www.optimum-general.com/ Top/Regional/North_America/Canada/Regions/Western/Business_and_Economy/Financial_Services +http://www.mymortgage.ca/ Top/Regional/North_America/Canada/Regions/Western/Business_and_Economy/Financial_Services +http://www.westernfinancialgroup.ca/ Top/Regional/North_America/Canada/Regions/Western/Business_and_Economy/Financial_Services +http://www.churchbridgecu.ca/ Top/Regional/North_America/Canada/Saskatchewan/Business_and_Economy/Financial_Services +http://www.saskcu.com/ Top/Regional/North_America/Canada/Saskatchewan/Business_and_Economy/Financial_Services +http://www.spectracu.com/ Top/Regional/North_America/Canada/Saskatchewan/Business_and_Economy/Financial_Services +http://www.saskatchewan-mortgages.com/ Top/Regional/North_America/Canada/Saskatchewan/Business_and_Economy/Financial_Services +https://www.affinitycu.ca/ Top/Regional/North_America/Canada/Saskatchewan/Business_and_Economy/Financial_Services +http://www.webhail.com/ Top/Regional/North_America/Canada/Saskatchewan/Business_and_Economy/Financial_Services +https://www.conexus.ca/ Top/Regional/North_America/Canada/Saskatchewan/Localities/R/Regina/Business_and_Economy/Financial_Services +http://www.reservepro.ca/ Top/Regional/North_America/Canada/Saskatchewan/Localities/R/Regina/Business_and_Economy/Financial_Services +http://www.allclaims.ca/ Top/Regional/North_America/Canada/Saskatchewan/Localities/R/Regina/Business_and_Economy/Financial_Services +http://profile.rbcwealthmanagement.com/Regina.Branch Top/Regional/North_America/Canada/Saskatchewan/Localities/R/Regina/Business_and_Economy/Financial_Services +http://www.manynations.com/ Top/Regional/North_America/Canada/Saskatchewan/Localities/S/Saskatoon/Business_and_Economy/Financial_Services +http://www.chriskmortgages.ca/ Top/Regional/North_America/Canada/Saskatchewan/Localities/S/Saskatoon/Business_and_Economy/Financial_Services +http://www.cookeinsurance.com/ Top/Regional/North_America/Canada/Saskatchewan/Localities/S/Saskatoon/Business_and_Economy/Financial_Services/Insurance +http://www.boothburnett.com/ Top/Regional/North_America/Canada/Saskatchewan/Localities/S/Saskatoon/Business_and_Economy/Financial_Services/Insurance +http://www.cherryinsurance.ca/ Top/Regional/North_America/Canada/Saskatchewan/Localities/S/Saskatoon/Business_and_Economy/Financial_Services/Insurance +http://www.banxico.org.mx/ Top/Regional/North_America/Mexico/Business_and_Economy/Financial_Services +http://www.nafin.com/ Top/Regional/North_America/Mexico/Business_and_Economy/Financial_Services +http://www.indeval.com.mx/ Top/Regional/North_America/Mexico/Business_and_Economy/Financial_Services +http://www.sif.com.mx/ Top/Regional/North_America/Mexico/Business_and_Economy/Financial_Services +http://www.ipab.org.mx/ Top/Regional/North_America/Mexico/Business_and_Economy/Financial_Services +http://www.abaseguros.com/ Top/Regional/North_America/Mexico/Business_and_Economy/Financial_Services/Insurance +http://www.mexadventure.com/ Top/Regional/North_America/Mexico/Business_and_Economy/Financial_Services/Insurance +http://www.mdacpa.com/ Top/Regional/North_America/United_States/Alabama/Business_and_Economy/Financial_Services +http://www.evabank.com/ Top/Regional/North_America/United_States/Alabama/Business_and_Economy/Financial_Services +http://revenue.alabama.gov/licenses/ Top/Regional/North_America/United_States/Alabama/Business_and_Economy/Financial_Services +http://www.christiandenaburg.com/ Top/Regional/North_America/United_States/Alabama/Localities/B/Birmingham/Business_and_Economy/Financial_Services +http://www.aiia.org/ Top/Regional/North_America/United_States/Alabama/Localities/B/Birmingham/Business_and_Economy/Financial_Services +http://www.clarkplanning.com/ Top/Regional/North_America/United_States/Alabama/Localities/B/Birmingham/Business_and_Economy/Financial_Services +http://www.webuyhousespro.com/ Top/Regional/North_America/United_States/Alabama/Localities/B/Birmingham/Business_and_Economy/Financial_Services +http://www.marcainc.com/ Top/Regional/North_America/United_States/Alabama/Localities/B/Birmingham/Business_and_Economy/Financial_Services +http://www.highlandbrokerage.com/ Top/Regional/North_America/United_States/Alabama/Localities/B/Birmingham/Business_and_Economy/Financial_Services +http://warrenaverett.com/ Top/Regional/North_America/United_States/Alabama/Localities/B/Birmingham/Business_and_Economy/Financial_Services +http://www.cullmansavingsbank.com/ Top/Regional/North_America/United_States/Alabama/Localities/C/Cullman/Business_and_Economy/Financial_Services +https://www.fcbcullman.com/ Top/Regional/North_America/United_States/Alabama/Localities/C/Cullman/Business_and_Economy/Financial_Services +https://www.merchantsbankal.com/ Top/Regional/North_America/United_States/Alabama/Localities/C/Cullman/Business_and_Economy/Financial_Services +http://www.dyerandsmith.com/ Top/Regional/North_America/United_States/Alabama/Localities/H/Huntsville/Business_and_Economy/Financial_Services +http://www.beasonnalley.com/ Top/Regional/North_America/United_States/Alabama/Localities/H/Huntsville/Business_and_Economy/Financial_Services +http://www.burkettinsurance.com/ Top/Regional/North_America/United_States/Alabama/Localities/H/Huntsville/Business_and_Economy/Financial_Services +http://www.wilkinsmiller.com/ Top/Regional/North_America/United_States/Alabama/Localities/M/Mobile/Business_and_Economy/Financial_Services +http://www.csbcpa.com/ Top/Regional/North_America/United_States/Alabama/Localities/M/Mobile/Business_and_Economy/Financial_Services +http://burkholderins.com/ Top/Regional/North_America/United_States/Alabama/Localities/M/Mobile/Business_and_Economy/Financial_Services/Insurance +http://www.jacksonthornton.com/ Top/Regional/North_America/United_States/Alabama/Localities/M/Montgomery/Business_and_Economy/Financial_Services +http://www.aldridgeborden.com/ Top/Regional/North_America/United_States/Alabama/Localities/M/Montgomery/Business_and_Economy/Financial_Services +http://www.alabamatax.net/ Top/Regional/North_America/United_States/Alabama/Localities/M/Montgomery/Business_and_Economy/Financial_Services +http://starkeagency.com/ Top/Regional/North_America/United_States/Alabama/Localities/M/Montgomery/Business_and_Economy/Financial_Services/Insurance +http://www.turnerinsur.com/ Top/Regional/North_America/United_States/Alabama/Localities/S/Selma/Business_and_Economy/Financial_Services/Insurance +http://www.rrbusiness-solutions.com/ Top/Regional/North_America/United_States/Alabama/Localities/T/Tuscaloosa/Business_and_Economy/Financial_Services +http://www.alaskausainsurance.com/ Top/Regional/North_America/United_States/Alaska/Business_and_Economy/Financial_Services/Insurance +http://www.alaskagrowth.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.fnbalaska.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.alaskausatrust.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.iclfinancial.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.swallingcpas.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.altrogco.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.rogersandco.biz/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.northernskiesfcu.org/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.leonardandmartens.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.ccspaymentsolutions.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.alaskatrust.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://tmitchellcpa.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services +http://www.chialaska.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://www.associatedinsurancealaska.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://www.deveryprinceagency.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://agent.allstate.com/AnnSteckNale/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://www.hageninsurance.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://agent.allstate.com/roybriley/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://www.akusainsurance.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://agent.allstate.com/BobMcvitty/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://www.pibinsure.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://www.da-insurance.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +http://www.akquote.com/ Top/Regional/North_America/United_States/Alaska/Localities/A/Anchorage/Business_and_Economy/Financial_Services/Insurance +https://www.hubinternational.com/offices/us/alaska/soldotna/ Top/Regional/North_America/United_States/Alaska/Localities/K/Kenai/Business_and_Economy/Financial_Services +http://www.combsinsurance.com/ Top/Regional/North_America/United_States/Alaska/Localities/W/Wasilla/Business_and_Economy/Financial_Services +http://www.kennedycpas.com/ Top/Regional/North_America/United_States/Alaska/Localities/W/Wasilla/Business_and_Economy/Financial_Services +http://www.matsutitle.com/ Top/Regional/North_America/United_States/Alaska/Localities/W/Wasilla/Business_and_Economy/Financial_Services +https://www.azcentralcu.org/ Top/Regional/North_America/United_States/Arizona/Business_and_Economy/Financial_Services +http://www.firstcu.coop/ Top/Regional/North_America/United_States/Arizona/Business_and_Economy/Financial_Services +https://www.azstcu.org/ Top/Regional/North_America/United_States/Arizona/Business_and_Economy/Financial_Services +http://www.realworldinc.com/ Top/Regional/North_America/United_States/Arizona/Business_and_Economy/Financial_Services +http://www.ironwoodfinancial.com/ Top/Regional/North_America/United_States/Arizona/Business_and_Economy/Financial_Services +https://www.altiercu.org/ Top/Regional/North_America/United_States/Arizona/Business_and_Economy/Financial_Services +https://www.mysunwest.com/ Top/Regional/North_America/United_States/Arizona/Business_and_Economy/Financial_Services +http://www.wealthtrust-arizona.com/ Top/Regional/North_America/United_States/Arizona/Counties/Maricopa/Business_and_Economy/Financial_Services +http://www.checkscashedaz.com/ Top/Regional/North_America/United_States/Arizona/Counties/Maricopa/Business_and_Economy/Financial_Services +http://www.cuwest.org/ Top/Regional/North_America/United_States/Arizona/Counties/Maricopa/Business_and_Economy/Financial_Services +http://deervalleycu.org/ Top/Regional/North_America/United_States/Arizona/Counties/Maricopa/Business_and_Economy/Financial_Services +https://www.bank34.com/ Top/Regional/North_America/United_States/Arizona/Counties/Maricopa/Business_and_Economy/Financial_Services +http://www.aztaxcpa.com/ Top/Regional/North_America/United_States/Arizona/Localities/C/Chandler/Business_and_Economy/Financial_Services +http://www.farmersagent.com/jbaselice1/ Top/Regional/North_America/United_States/Arizona/Localities/C/Chandler/Business_and_Economy/Financial_Services +http://www.cfofin.com/ Top/Regional/North_America/United_States/Arizona/Localities/C/Chandler/Business_and_Economy/Financial_Services +http://www.wearingtoomanyhats.com/ Top/Regional/North_America/United_States/Arizona/Localities/C/Chandler/Business_and_Economy/Financial_Services +http://www.noahstalvey.com/ Top/Regional/North_America/United_States/Arizona/Localities/F/Flagstaff/Business_and_Economy/Financial_Services/Insurance +http://www.iigins.com/ Top/Regional/North_America/United_States/Arizona/Localities/F/Flagstaff/Business_and_Economy/Financial_Services/Insurance +http://www.thetaxacademy.com/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services +http://www.estateplanningdr.com/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services +http://www.oasisinsurance.com/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services/Insurance +http://benefitlogic.net/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services/Insurance +http://www.theadamsagency.com/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services/Insurance +http://www.optimumbenefits.com/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services/Insurance +http://www.choicearizona.com/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services/Insurance +http://www.vhealthinsurance.net/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services/Insurance +http://www.azmerit.com/ Top/Regional/North_America/United_States/Arizona/Localities/M/Mesa/Business_and_Economy/Financial_Services/Insurance +http://www.alhambracu.org/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.desertschools.org/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.shamrockfcu.org/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.nacmaz.org/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.eldercpa.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.pivotalgroup.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.degreen.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.yoursourcefinancial.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.marisolcu.org/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.swhealthcarecu.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.trigonstaff.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.blackgould.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.goldstartrust.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://phxcpa.net/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://foothillsplanning.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.performancesuretybonds.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://bairdfinancialadvisor.com/lgellman/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services +http://www.idealins.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Insurance +http://www.advisorsllc.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Insurance +http://www.minico.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Insurance +http://www.bbphoenix.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Insurance +http://www.healthinsurancechoices.net/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Insurance +http://www.sucatoinsuranceagency.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Insurance +http://www.fcfs.net/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Mortgages +http://www.originalmortgage.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Mortgages +http://www.msfg.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Mortgages +http://www.kenwoodmortgage.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Mortgages +http://www.freemortgageaz.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgagereliefsolutions.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgageloansaz.com/ Top/Regional/North_America/United_States/Arizona/Localities/P/Phoenix/Business_and_Economy/Financial_Services/Mortgages +http://www.lattimerpc.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.premiersalesaz.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.buterbaughcpa.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.wealth-engineering.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.scottsdaleloancompany.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.wmsus.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://accountingworldcpas.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.fairwaymtgaz.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.scfinancialservices.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.taxgoddess.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://mcgrathcpa.net/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services +http://www.zassurance.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Insurance +http://www.swhealthinsurance.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Insurance +http://www.abc-incorp.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Insurance +http://www.classicinsurance.net/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Insurance +http://azfarmers.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Insurance +http://www.imortgage.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.imhfamily.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.vipmtginc.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.mccormicklendinggroup.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.incacapital.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.azmortgagepro.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.marketlinemortgage.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.scoutmortgage.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.pinnaclepeaklending.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Scottsdale/Business_and_Economy/Financial_Services/Mortgages +http://www.sedonainsurance.com/ Top/Regional/North_America/United_States/Arizona/Localities/S/Sedona/Business_and_Economy/Financial_Services +http://www.tscu.org/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tempe/Business_and_Economy/Financial_Services +http://www.bafcu.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tempe/Business_and_Economy/Financial_Services +http://www.schlottmancpa.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.stevecpa.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.hughesfcu.org/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.pimafcu.org/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.tucsonfcu.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.tucsonbusiness.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.lupitashestko-montiel.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.siatucson.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://rwwebb.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.wefinancewebsites.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.cdavisfinancial.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.randacpas.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.braunpc.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.klkcpa.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.bankoftucson.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://beachfleischman.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.flowersrieger.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.coppercanyontax.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services +http://www.alliedinsgroup.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Insurance +http://dmlovitt.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Insurance +http://www.halsi.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Insurance +http://www.mikepierceinsurance.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Insurance +http://www.rtinsurance.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Insurance +http://shoppeinsurance.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Insurance +http://www.stephenwolf.net/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Mortgages +http://www.hotchkissfinancial.com/ Top/Regional/North_America/United_States/Arizona/Localities/T/Tucson/Business_and_Economy/Financial_Services/Mortgages +http://www.thefoothillsbank.com/ Top/Regional/North_America/United_States/Arizona/Localities/Y/Yuma/Business_and_Economy/Financial_Services +http://www.pancrazi.com/ Top/Regional/North_America/United_States/Arizona/Localities/Y/Yuma/Business_and_Economy/Financial_Services +http://www.entrustfiduciary.com/ Top/Regional/North_America/United_States/Arizona/Localities/Y/Yuma/Business_and_Economy/Financial_Services +https://www.azfcu.org/ Top/Regional/North_America/United_States/Arizona/Metro_Areas_and_Regions/Phoenix_Metro/Business_and_Economy/Financial_Services +http://www.desertschools.org/ Top/Regional/North_America/United_States/Arizona/Metro_Areas_and_Regions/Phoenix_Metro/Business_and_Economy/Financial_Services +http://www.bannerfcu.org/ Top/Regional/North_America/United_States/Arizona/Metro_Areas_and_Regions/Phoenix_Metro/Business_and_Economy/Financial_Services +http://truwest.org/ Top/Regional/North_America/United_States/Arizona/Metro_Areas_and_Regions/Phoenix_Metro/Business_and_Economy/Financial_Services +http://www.vwestcu.org/ Top/Regional/North_America/United_States/Arizona/Metro_Areas_and_Regions/Phoenix_Metro/Business_and_Economy/Financial_Services +https://www.aerofed.net/ Top/Regional/North_America/United_States/Arizona/Metro_Areas_and_Regions/Phoenix_Metro/Business_and_Economy/Financial_Services +http://www.cowlingtitle.com/ Top/Regional/North_America/United_States/Arkansas/Business_and_Economy/Financial_Services +http://www.usablelife.com/ Top/Regional/North_America/United_States/Arkansas/Business_and_Economy/Financial_Services/Insurance +http://arkselfinsure.com/ Top/Regional/North_America/United_States/Arkansas/Business_and_Economy/Financial_Services/Insurance +http://www.cashionco.com/ Top/Regional/North_America/United_States/Arkansas/Business_and_Economy/Financial_Services/Insurance +http://edenfinancialgroup.com/ Top/Regional/North_America/United_States/Arkansas/Business_and_Economy/Financial_Services/Insurance +http://home-loan1.com/ Top/Regional/North_America/United_States/Arkansas/Localities/C/Conway/Business_and_Economy/Financial_Services +http://www.fnbfs.com/ Top/Regional/North_America/United_States/Arkansas/Localities/F/Fort_Smith/Business_and_Economy/Financial_Services +http://www.davidhern.com/ Top/Regional/North_America/United_States/Arkansas/Localities/F/Fort_Smith/Business_and_Economy/Financial_Services +http://www.comgroup.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services +http://www.brownrogers.net/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services +http://www.collectserv.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services +http://www.crewsfs.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services +http://www.stephens.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services +https://www.bankozarks.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Banking_Services +http://www.simmonsfirst.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Banking_Services +http://www.afcu.org/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Banking_Services +http://www.telcoe.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Banking_Services +http://www.chenalinsurance.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Insurance +http://www.affirmativeriskmgmt.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Insurance +http://www.gracegroup.net/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Insurance +http://www.arkansasbluecross.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Insurance +http://simmonsfirstinsurance.com/ Top/Regional/North_America/United_States/Arkansas/Localities/L/Little_Rock/Business_and_Economy/Financial_Services/Insurance +http://www.bjmweb.com/ Top/Regional/North_America/United_States/Arkansas/Localities/M/Mountain_Home/Business_and_Economy/Financial_Services +http://www.cbankandtrust.com/ Top/Regional/North_America/United_States/Arkansas/Localities/V/Van_Buren/Business_and_Economy/Financial_Services +http://www.crosscountybank.com/ Top/Regional/North_America/United_States/Arkansas/Localities/W/Wynne/Business_and_Economy/Financial_Services +http://www.alpfa.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.gofsg.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.nvca.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.newportgroup.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://shay.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.eta-find.gov/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.tsfpress.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.gt.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.cabanc.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.babsoncapital.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.horne-llp.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.paymentallianceintl.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.theentrustgroup.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://coefficientservices.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://rsmus.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://buckinghamadvisor.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services +http://www.namic.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.financialpro.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.naifa.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.pianet.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.iihs.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.ncigf.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.nolhga.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.aicp.net/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.iiaba.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.naic.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.sila.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.go-ires.org/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.nfp.com/ Top/Regional/North_America/United_States/Business_and_Economy/Financial_Services/Insurance +http://www.westlakefinancial.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +https://www.edwardsfcu.org/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://epaweb.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.ctec.org/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://pensionpros.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.ovcb.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.psrs.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.mikelebeau.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.ncclf.org/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.blackfordcapital.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.bvfinancialresources.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.owensfinancial.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +https://www.cbbank.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +https://www.wellsfargo.com/equity/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.singerlewak.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services +http://www.healthyfamilies.ca.gov/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services/Insurance +http://www.dibu.com/ Top/Regional/North_America/United_States/California/Business_and_Economy/Financial_Services/Insurance +http://www.sbepc.org/ Top/Regional/North_America/United_States/California/Counties/Los_Angeles/Business_and_Economy/Financial_Services +http://www.southern-california-bail-bonds.com/ Top/Regional/North_America/United_States/California/Counties/Los_Angeles/Business_and_Economy/Financial_Services +http://www.friendlyhillsbank.com/ Top/Regional/North_America/United_States/California/Counties/Los_Angeles/Business_and_Economy/Financial_Services +http://www.cnzcpa.com/ Top/Regional/North_America/United_States/California/Counties/Los_Angeles/Business_and_Economy/Financial_Services +http://www.jfla.org/ Top/Regional/North_America/United_States/California/Counties/Los_Angeles/Business_and_Economy/Financial_Services +http://www.expressmyway.com/ Top/Regional/North_America/United_States/California/Counties/Los_Angeles/Business_and_Economy/Financial_Services +https://www.opusbank.com/ Top/Regional/North_America/United_States/California/Counties/Los_Angeles/Business_and_Economy/Financial_Services +http://www.cashlessatm.com/ Top/Regional/North_America/United_States/California/Counties/Orange/Business_and_Economy/Financial_Services +http://www.metya.net/ Top/Regional/North_America/United_States/California/Counties/Orange/Business_and_Economy/Financial_Services +http://www.schoolsfirstfcu.org/ Top/Regional/North_America/United_States/California/Counties/Orange/Business_and_Economy/Financial_Services +https://www.opusbank.com/ Top/Regional/North_America/United_States/California/Counties/Orange/Business_and_Economy/Financial_Services +http://www.bayfed.com/ Top/Regional/North_America/United_States/California/Counties/Santa_Cruz/Business_and_Economy/Financial_Services +http://sccountybank.com/ Top/Regional/North_America/United_States/California/Counties/Santa_Cruz/Business_and_Economy/Financial_Services +https://www.scccu.org/ Top/Regional/North_America/United_States/California/Counties/Santa_Cruz/Business_and_Economy/Financial_Services +http://www.sdccu.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +http://www.sdffcu.org/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +http://www.kingstahlmanbail.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +http://www.feeonlyadvisor.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +http://www.plcu.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +http://www.winstaff.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +http://www.cabrillocu.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +https://www.missionfed.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services +http://www.matrixdirect.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.frankwestinsurance.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.bail-bonds-san-diego.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.baja-mex.com/ Top/Regional/North_America/United_States/California/Counties/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.valleyfirstcu.org/ Top/Regional/North_America/United_States/California/Counties/Stanislaus/Business_and_Economy/Financial_Services +https://www.self-helpfcu.org/ Top/Regional/North_America/United_States/California/Counties/Stanislaus/Business_and_Economy/Financial_Services +http://www.yolofcu.org/ Top/Regional/North_America/United_States/California/Counties/Yolo/Business_and_Economy/Financial_Services +http://www.hartmaninsurance.com/ Top/Regional/North_America/United_States/California/Localities/A/Alameda/Business_and_Economy/Financial_Services +http://providentcu.org/alameda/ Top/Regional/North_America/United_States/California/Localities/A/Alameda/Business_and_Economy/Financial_Services +http://www.caltax.com/ Top/Regional/North_America/United_States/California/Localities/A/Anaheim/Business_and_Economy/Financial_Services +http://www.sgedistribution.com/ Top/Regional/North_America/United_States/California/Localities/A/Anaheim/Business_and_Economy/Financial_Services +http://www.3dquotes.com/ Top/Regional/North_America/United_States/California/Localities/A/Anaheim/Business_and_Economy/Financial_Services/Insurance/Life +http://abetterchoicetax.com/ Top/Regional/North_America/United_States/California/Localities/A/Arroyo_Grande/Business_and_Economy/Financial_Services +http://www.odellcrosscpa.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.aginsuranceservices.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.hpsears.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.sjbts.net/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.brennan-millan.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.weircpa.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://shinaultbakercpa.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.dpvb.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.bowlesfinancial.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.hdpcpa.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://sisc.kern.org/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.bhkcpas.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.bacpas.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.markshallcpa.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.kmallpcpa.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services +http://www.kernfcu.org/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services/Banking_Services +http://www.bakcityefcu.org/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services/Banking_Services +http://quoteomega.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services/Insurance +http://www.jhfinsurance.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services/Insurance +http://www.lynncompany.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services/Insurance +http://gotosummitfinancial.com/ Top/Regional/North_America/United_States/California/Localities/B/Bakersfield/Business_and_Economy/Financial_Services/Mortgages +http://www.tcc5.com/ Top/Regional/North_America/United_States/California/Localities/B/Beverly_Hills/Business_and_Economy/Financial_Services +http://www.labail.com/ Top/Regional/North_America/United_States/California/Localities/B/Beverly_Hills/Business_and_Economy/Financial_Services +http://www.bailbondslocal.com/ Top/Regional/North_America/United_States/California/Localities/B/Beverly_Hills/Business_and_Economy/Financial_Services +http://www.cannontrading.com/ Top/Regional/North_America/United_States/California/Localities/B/Beverly_Hills/Business_and_Economy/Financial_Services +http://www.gaids.com/ Top/Regional/North_America/United_States/California/Localities/B/Beverly_Hills/Business_and_Economy/Financial_Services +http://www.leaguefinancial.com/ Top/Regional/North_America/United_States/California/Localities/B/Beverly_Hills/Business_and_Economy/Financial_Services +http://www.reliancemedicalfinance.com/ Top/Regional/North_America/United_States/California/Localities/B/Beverly_Hills/Business_and_Economy/Financial_Services +http://www.closeprobate.com/ Top/Regional/North_America/United_States/California/Localities/B/Brea/Business_and_Economy/Financial_Services +http://www.cmrefsi.com/ Top/Regional/North_America/United_States/California/Localities/B/Brea/Business_and_Economy/Financial_Services +http://www.insuranceko.com/ Top/Regional/North_America/United_States/California/Localities/B/Brea/Business_and_Economy/Financial_Services +http://www.corenteinsuranceagency.com/ Top/Regional/North_America/United_States/California/Localities/B/Brea/Business_and_Economy/Financial_Services +http://www.dbstcpa.com/ Top/Regional/North_America/United_States/California/Localities/B/Burbank/Business_and_Economy/Financial_Services +http://www.vpinsurance.com/ Top/Regional/North_America/United_States/California/Localities/B/Burbank/Business_and_Economy/Financial_Services +http://www.pfaffl.com/ Top/Regional/North_America/United_States/California/Localities/B/Burbank/Business_and_Economy/Financial_Services +http://www.isubaksarian.com/ Top/Regional/North_America/United_States/California/Localities/B/Burbank/Business_and_Economy/Financial_Services +https://www.logixbanking.com/ Top/Regional/North_America/United_States/California/Localities/B/Burbank/Business_and_Economy/Financial_Services +http://www.rosenthalcpa.com/ Top/Regional/North_America/United_States/California/Localities/C/Calabasas/Business_and_Economy/Financial_Services +http://www.digitalinsight.com/ Top/Regional/North_America/United_States/California/Localities/C/Calabasas/Business_and_Economy/Financial_Services +http://www.unionhomeloan.com/ Top/Regional/North_America/United_States/California/Localities/C/Calabasas/Business_and_Economy/Financial_Services +http://www.pensionaprs.com/ Top/Regional/North_America/United_States/California/Localities/C/Calabasas/Business_and_Economy/Financial_Services +http://www.homeloansbyjoe.com/ Top/Regional/North_America/United_States/California/Localities/C/Calabasas/Business_and_Economy/Financial_Services +http://asullivancpa.com/ Top/Regional/North_America/United_States/California/Localities/C/Camarillo/Business_and_Economy/Financial_Services +http://cgia.com/ Top/Regional/North_America/United_States/California/Localities/C/Camarillo/Business_and_Economy/Financial_Services +http://rsrcpa.com/ Top/Regional/North_America/United_States/California/Localities/C/Camarillo/Business_and_Economy/Financial_Services +http://yourinsurancebroker.com/ Top/Regional/North_America/United_States/California/Localities/C/Camarillo/Business_and_Economy/Financial_Services +http://www.assuredhealth.com/ Top/Regional/North_America/United_States/California/Localities/C/Carmichael/Business_and_Economy/Financial_Services +http://www.bieglercpa.net/ Top/Regional/North_America/United_States/California/Localities/C/Carmichael/Business_and_Economy/Financial_Services +http://www.kcoe.com/ Top/Regional/North_America/United_States/California/Localities/C/Chico/Business_and_Economy/Financial_Services +http://taxax.com/ Top/Regional/North_America/United_States/California/Localities/C/Chico/Business_and_Economy/Financial_Services +http://starcreditunion.com/ Top/Regional/North_America/United_States/California/Localities/C/Chico/Business_and_Economy/Financial_Services +http://www.westax.com/ Top/Regional/North_America/United_States/California/Localities/C/Coronado/Business_and_Economy/Financial_Services +http://www.hayescpa.com/ Top/Regional/North_America/United_States/California/Localities/C/Coronado/Business_and_Economy/Financial_Services +http://www.xfcu.org/ Top/Regional/North_America/United_States/California/Localities/E/El_Segundo/Business_and_Economy/Financial_Services +http://www.jwmcpa.com/ Top/Regional/North_America/United_States/California/Localities/E/El_Segundo/Business_and_Economy/Financial_Services +http://www.mbtrading.com/ Top/Regional/North_America/United_States/California/Localities/E/El_Segundo/Business_and_Economy/Financial_Services +https://www.mullintbg.com/ Top/Regional/North_America/United_States/California/Localities/E/El_Segundo/Business_and_Economy/Financial_Services +http://www.2cpusa.com/ Top/Regional/North_America/United_States/California/Localities/E/El_Segundo/Business_and_Economy/Financial_Services +http://www.nationwidemortgages.net/ Top/Regional/North_America/United_States/California/Localities/E/Encinitas/Business_and_Economy/Financial_Services +http://www.thinkcpa.com/ Top/Regional/North_America/United_States/California/Localities/E/Encinitas/Business_and_Economy/Financial_Services +http://www.mlbinc.com/ Top/Regional/North_America/United_States/California/Localities/E/Encinitas/Business_and_Economy/Financial_Services +http://www.americaninsurance.com/ Top/Regional/North_America/United_States/California/Localities/E/Encino/Business_and_Economy/Financial_Services +http://www.stollercpa.com/ Top/Regional/North_America/United_States/California/Localities/E/Encino/Business_and_Economy/Financial_Services +http://www.zisinsurance.com/ Top/Regional/North_America/United_States/California/Localities/E/Encino/Business_and_Economy/Financial_Services +http://www.raymondjames.com/encino/ Top/Regional/North_America/United_States/California/Localities/E/Encino/Business_and_Economy/Financial_Services +http://redwoodcapitalbank.com/ Top/Regional/North_America/United_States/California/Localities/E/Eureka/Business_and_Economy/Financial_Services +http://www.shawandpetersen.com/ Top/Regional/North_America/United_States/California/Localities/E/Eureka/Business_and_Economy/Financial_Services +http://www.cuttaxnow.com/ Top/Regional/North_America/United_States/California/Localities/E/Eureka/Business_and_Economy/Financial_Services +http://www.mooreincometax.com/ Top/Regional/North_America/United_States/California/Localities/F/Fremont/Business_and_Economy/Financial_Services +http://www.cpaplus.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.payrollpeople.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.firstcalfcu.org/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.ffdcu.org/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.bcf-cpa.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.foxnfox.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.midvalleyfinancial.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.mooregrider.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.hrhhcpas.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.ddccpa.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.bpfcpa.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.smith-cocpa.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.forscpa.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://sbabookkeepingservices.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services +http://www.priceassociates.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services/Insurance +http://www.ahartbenefits.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services/Insurance +http://dalenabenik.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services/Insurance +http://unitedvalleyins.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services/Insurance +http://terripetrucciinsurance.com/ Top/Regional/North_America/United_States/California/Localities/F/Fresno/Business_and_Economy/Financial_Services/Insurance +http://hensleecpa.com/ Top/Regional/North_America/United_States/California/Localities/F/Fullerton/Business_and_Economy/Financial_Services +http://www.minnickinsurance.com/ Top/Regional/North_America/United_States/California/Localities/F/Fullerton/Business_and_Economy/Financial_Services +http://www.richeyadvisors.com/ Top/Regional/North_America/United_States/California/Localities/F/Fullerton/Business_and_Economy/Financial_Services +http://www.gasfcu.org/ Top/Regional/North_America/United_States/California/Localities/G/Glendale/Business_and_Economy/Financial_Services +http://www.svhscpas.com/ Top/Regional/North_America/United_States/California/Localities/G/Glendale/Business_and_Economy/Financial_Services +http://www.loangallery.com/ Top/Regional/North_America/United_States/California/Localities/G/Glendale/Business_and_Economy/Financial_Services/Mortgages +http://www.premierebailbonds.com/ Top/Regional/North_America/United_States/California/Localities/H/Huntington_Beach/Business_and_Economy/Financial_Services +http://www.beacon-fp.com/ Top/Regional/North_America/United_States/California/Localities/H/Huntington_Beach/Business_and_Economy/Financial_Services +http://www.mattkasa.com/ Top/Regional/North_America/United_States/California/Localities/H/Huntington_Beach/Business_and_Economy/Financial_Services +http://www.directnetmortgage.com/ Top/Regional/North_America/United_States/California/Localities/H/Huntington_Beach/Business_and_Economy/Financial_Services/Mortgages +http://www.cpafirm.net/ Top/Regional/North_America/United_States/California/Localities/I/Irvine/Business_and_Economy/Financial_Services +http://www.corvel.com/ Top/Regional/North_America/United_States/California/Localities/I/Irvine/Business_and_Economy/Financial_Services +http://www.appliedfinancialplanning.com/ Top/Regional/North_America/United_States/California/Localities/I/Irvine/Business_and_Economy/Financial_Services +http://www.bennett4mortgage.com/ Top/Regional/North_America/United_States/California/Localities/I/Irvine/Business_and_Economy/Financial_Services/Mortgages +http://www.webloanapp.com/ Top/Regional/North_America/United_States/California/Localities/I/Irvine/Business_and_Economy/Financial_Services/Mortgages +http://www.home-refinancing.com/ Top/Regional/North_America/United_States/California/Localities/L/Laguna_Beach/Business_and_Economy/Financial_Services +http://www.mortgageteaminc.com/ Top/Regional/North_America/United_States/California/Localities/L/Lake_Forest/Business_and_Economy/Financial_Services +http://www.gwsherwold.com/ Top/Regional/North_America/United_States/California/Localities/L/Lake_Forest/Business_and_Economy/Financial_Services +http://lajollacpa.com/ Top/Regional/North_America/United_States/California/Localities/L/La_Jolla/Business_and_Economy/Financial_Services +http://www.indevia.com/ Top/Regional/North_America/United_States/California/Localities/L/La_Jolla/Business_and_Economy/Financial_Services +http://www.llme.com/ Top/Regional/North_America/United_States/California/Localities/L/La_Jolla/Business_and_Economy/Financial_Services +http://www.iqtrends.com/ Top/Regional/North_America/United_States/California/Localities/L/La_Jolla/Business_and_Economy/Financial_Services +http://www.lbsfcu.org/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.lbcefcu.org/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.guardianfinance.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.thehamergroup.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.lbfcu.org/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.goodrichgoodyear.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.windes.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.jjet.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.occu.net/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.afghomeloan.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services +http://www.hmbd.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services/Insurance +http://www.longbeachinsserv.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services/Insurance +http://www.galaxyinsurance.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services/Insurance +http://kellywilliamsins.com/ Top/Regional/North_America/United_States/California/Localities/L/Long_Beach/Business_and_Economy/Financial_Services/Insurance +https://www.lapfcu.org/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.wpcu.org/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.cfoforrent.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.freemanspogli.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.zonevc.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.vintagecapitalgroup.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.fareastnationalbank.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.greifco.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.kosmont.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.katzfram.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.tcw.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.cheryllindsey.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://halmoskowitz.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services +http://www.brownandriding.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.andersonmurison.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.motorsports-insurance.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.kelsey.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.sk-insurance.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.totalfinancial.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.susmaninsurance.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.frankelinsurance.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.usa-assist.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.abacus.net/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://ezins.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.dominfin.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Mortgages +http://www.gspartners.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Mortgages +http://www.easternmortgagecompany.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Mortgages +http://www.loneoakfund.com/ Top/Regional/North_America/United_States/California/Localities/L/Los_Angeles/Business_and_Economy/Financial_Services/Mortgages +http://loanlane.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services +http://www.rocketventures.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.mdv.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.icp.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.canaan.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.trinityventures.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.kpcb.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.usvp.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.sequoiacap.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.foundationcapital.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.interwest.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.venrock.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.nea.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.ivp.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.sigmapartners.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.sproutgroup.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.morgenthaler.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.cardinalvc.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.franciscopartners.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.ggvc.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.delphiventures.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.dfj.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.brv.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.sierraventures.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +https://www.menlovc.com/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://altos.vc/ Top/Regional/North_America/United_States/California/Localities/M/Menlo_Park/Business_and_Economy/Financial_Services/Venture_Capital +http://www.wood4loan.com/ Top/Regional/North_America/United_States/California/Localities/M/Mission_Viejo/Business_and_Economy/Financial_Services +http://bartzandbergen.com/ Top/Regional/North_America/United_States/California/Localities/M/Mission_Viejo/Business_and_Economy/Financial_Services +http://www.modestotaxes.com/ Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services +http://www.grimbleby-coleman.com/ Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services +http://www.olcu.org/ Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services +http://www.m1fcu.org/ Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services +http://www.bailbondgirl.com/ Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services +http://www.bohannoninsurance.com/ Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services/Insurance +http://www.capax.com/ Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services/Insurance +https://agents.farmers.com/ca/modesto/neal-groesbeck Top/Regional/North_America/United_States/California/Localities/M/Modesto/Business_and_Economy/Financial_Services/Insurance +http://www.montagnainc.com/ Top/Regional/North_America/United_States/California/Localities/N/Newport_Beach/Business_and_Economy/Financial_Services +http://www.unitedcp.com/ Top/Regional/North_America/United_States/California/Localities/N/Newport_Beach/Business_and_Economy/Financial_Services +http://www.seasidefinancial.com/ Top/Regional/North_America/United_States/California/Localities/N/Newport_Beach/Business_and_Economy/Financial_Services/Mortgages +http://www.robodocs.com/ Top/Regional/North_America/United_States/California/Localities/N/Newport_Beach/Business_and_Economy/Financial_Services/Mortgages +http://www.apexca.com/ Top/Regional/North_America/United_States/California/Localities/N/Newport_Beach/Business_and_Economy/Financial_Services/Mortgages +http://www.allianceportfolio.com/ Top/Regional/North_America/United_States/California/Localities/N/Newport_Beach/Business_and_Economy/Financial_Services/Mortgages +http://www.effective-mortgage.com/ Top/Regional/North_America/United_States/California/Localities/N/Northridge/Business_and_Economy/Financial_Services +http://www.mcneelyfs.com/ Top/Regional/North_America/United_States/California/Localities/N/Northridge/Business_and_Economy/Financial_Services +http://www.onlyhealthinsurance.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +http://www.firemansfund.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +http://www.californiaservicebureau.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +http://independentplanning.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +http://www.miller-robertson.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +http://www.boerio.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +http://www.kfacpa.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +http://emgmortgage.com/ Top/Regional/North_America/United_States/California/Localities/N/Novato/Business_and_Economy/Financial_Services +https://www.infinitysecurities.com/ Top/Regional/North_America/United_States/California/Localities/O/Oakland/Business_and_Economy/Financial_Services +http://www.focusedfinances.com/ Top/Regional/North_America/United_States/California/Localities/O/Oakland/Business_and_Economy/Financial_Services +http://farmersagent.com/rstroup Top/Regional/North_America/United_States/California/Localities/O/Oakland/Business_and_Economy/Financial_Services +https://www.bankcbb.com/ Top/Regional/North_America/United_States/California/Localities/O/Oakland/Business_and_Economy/Financial_Services +http://www.hardworkingcapital.com/ Top/Regional/North_America/United_States/California/Localities/P/Palm_Desert/Business_and_Economy/Financial_Services +http://www.lynnebushore.com/ Top/Regional/North_America/United_States/California/Localities/P/Palm_Springs/Business_and_Economy/Financial_Services +http://mentorgroupinc.blogspot.com/ Top/Regional/North_America/United_States/California/Localities/P/Palm_Springs/Business_and_Economy/Financial_Services +http://www.edfed.com/ Top/Regional/North_America/United_States/California/Localities/P/Pasadena/Business_and_Economy/Financial_Services +http://www.uwib.com/ Top/Regional/North_America/United_States/California/Localities/P/Pasadena/Business_and_Economy/Financial_Services +http://productioninsurance.com/ Top/Regional/North_America/United_States/California/Localities/P/Pasadena/Business_and_Economy/Financial_Services +http://www.snh-cpa.com/ Top/Regional/North_America/United_States/California/Localities/P/Pasadena/Business_and_Economy/Financial_Services +http://www.unitedagencies.com/ Top/Regional/North_America/United_States/California/Localities/P/Pasadena/Business_and_Economy/Financial_Services +http://isu-curry-insurance.com/ Top/Regional/North_America/United_States/California/Localities/P/Pasadena/Business_and_Economy/Financial_Services +http://www.mandateresourceservices.com/ Top/Regional/North_America/United_States/California/Localities/S/Sacramento/Business_and_Economy/Financial_Services +http://www.fundingsourcenetwork.com/ Top/Regional/North_America/United_States/California/Localities/S/Sacramento/Business_and_Economy/Financial_Services +http://www.cheapinsurance.com/ Top/Regional/North_America/United_States/California/Localities/S/Sacramento/Business_and_Economy/Financial_Services/Insurance +http://www.brandon-tibbs.com/ Top/Regional/North_America/United_States/California/Localities/S/Salinas/Business_and_Economy/Financial_Services +http://www.ssscpa.com/ Top/Regional/North_America/United_States/California/Localities/S/Salinas/Business_and_Economy/Financial_Services +http://www.firstam.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Ana/Business_and_Economy/Financial_Services +https://www.orangecountyscu.org/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Ana/Business_and_Economy/Financial_Services +http://www.schultzfinancial.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Ana/Business_and_Economy/Financial_Services +http://www.segco.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Ana/Business_and_Economy/Financial_Services +http://www.wfgfactor.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Ana/Business_and_Economy/Financial_Services +http://moneyandmarriage.com/cpa/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Barbara/Business_and_Economy/Financial_Services +http://www.rwcpa.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Barbara/Business_and_Economy/Financial_Services +http://www.liability.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Barbara/Business_and_Economy/Financial_Services +http://www.someracapital.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Barbara/Business_and_Economy/Financial_Services +http://www.dcdis.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Cruz/Business_and_Economy/Financial_Services +http://www.laureenyungmeyer.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Cruz/Business_and_Economy/Financial_Services +http://www.shockleyinsurance.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Cruz/Business_and_Economy/Financial_Services +http://www.meridianfinance.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Monica/Business_and_Economy/Financial_Services +http://www.mexicanautoinsurance.com/ Top/Regional/North_America/United_States/California/Localities/S/Santa_Monica/Business_and_Economy/Financial_Services +http://www.tfp8.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Clemente/Business_and_Economy/Financial_Services +http://www.coastwealthmanagement.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Clemente/Business_and_Economy/Financial_Services +http://www.sdbizadv.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.savewealth.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.calcoastcu.org/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.autopawn.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.forwardventures.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.missionventures.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.windwardventures.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.princetondaytrading.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.wheelerfrost.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.vaughnwoods.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.stolperco.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.equipmentrecovery.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.jaccardfinancial.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.gfhfinancial.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.fee-only-planner.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.daca4.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.curadebt.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.primaryfunding.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.mohrgroup.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.dunham.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.bdlowder.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.paymentsolutions.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.firstallied.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.lpl.com/jim.bogart Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.raylucia.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.sandiegofeeonly.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://permanentvalue.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.rpcpropertytax.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://lplfinancial.lpl.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.shustermanfinancial.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.seascapecapital.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://gdfsinc.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://servicer3d.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services +http://www.bjkcpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.blumandclark.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.teamtag.net/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.cccpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.fitz-cpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.teddyaccounting.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.planforwealth.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.bbbsinc.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.wrr-cpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.dangelocpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.balincorp.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.mtax.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.maxandpieters.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.leafcole.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.stevewcpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.hamletauditing.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://www.luersdyercpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Accounting +http://overseainsurance.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.barneyandbarney.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.cavignac.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.icwgroup.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.targetinsuranceservices.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.gaslampinsurance.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.nicoins.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.arrowheadgrp.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +https://agents.allstate.com/michael-n-hill-san-diego-ca.html Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Insurance +http://www.aimloan.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Mortgages +http://www.fcfunding.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Mortgages +http://www.homeplusmortgage.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Mortgages +http://www.insidermortgage.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Mortgages +http://www.pacificamortgage.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Mortgages +http://www.pacificmortgageloans.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Mortgages +http://www.membersloanservices.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Diego/Business_and_Economy/Financial_Services/Mortgages +http://www.e-bizcpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.cpaea.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.johnpwelchcpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.firstrepublic.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.wellsfargo.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.fpasf.org/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.andersontax.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.urbics.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.rsinvestments.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://sf-cpas.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Francisco/Business_and_Economy/Financial_Services +http://www.taxtrimmers.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.financialknowledge.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.asapcollect.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.jeewanjee.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.groupinsuranceplan.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.fwcg.net/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.bslcpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.danmycpa.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.jyac.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.vfcpas.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.ruzzo.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://pfahnl.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.btbtax.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.atobloans.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +https://www.heritagebankofcommerce.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Jose/Business_and_Economy/Financial_Services +http://www.amisinsurance.com/ Top/Regional/North_America/United_States/California/Localities/S/San_Marcos/Business_and_Economy/Financial_Services/Insurance +http://hains.biz/ Top/Regional/North_America/United_States/California/Localities/S/San_Marcos/Business_and_Economy/Financial_Services/Insurance +http://motherlodebank.com/ Top/Regional/North_America/United_States/California/Localities/S/Sonora/Business_and_Economy/Financial_Services +http://www.rlsinsurance.com/ Top/Regional/North_America/United_States/California/Localities/S/Sonora/Business_and_Economy/Financial_Services +http://wecpas4u.com/ Top/Regional/North_America/United_States/California/Localities/S/Sonora/Business_and_Economy/Financial_Services +http://www.atpros.com/ Top/Regional/North_America/United_States/California/Localities/S/Stockton/Business_and_Economy/Financial_Services +http://www.sanguinettico.com/ Top/Regional/North_America/United_States/California/Localities/S/Stockton/Business_and_Economy/Financial_Services +https://www.bankofstockton.com/ Top/Regional/North_America/United_States/California/Localities/S/Stockton/Business_and_Economy/Financial_Services +http://www.taxes4you.com/ Top/Regional/North_America/United_States/California/Localities/S/Stockton/Business_and_Economy/Financial_Services +http://www.sexton-freidin.com/ Top/Regional/North_America/United_States/California/Localities/S/Sunnyvale/Business_and_Economy/Financial_Services +http://www.sscllp.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services +http://www.izumoandcompany.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services +http://www.kkscpas.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services +http://www.wenderandmclainecpas.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services +http://www.cpajester.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services +http://www.wintemuteinsurance.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services/Insurance +http://www.stevereichinsurance.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services/Insurance +http://www.schuberg.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services/Insurance +http://www.farmersagent.com/myoung1 Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services/Insurance +http://www.bbpremierins.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services/Insurance +http://etrustedadvisor.com/ Top/Regional/North_America/United_States/California/Localities/T/Thousand_Oaks/Business_and_Economy/Financial_Services/Insurance +http://www.zwcpa.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services +http://www.dumoney.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services +http://www.vortexfinancial.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services +http://www.caswellcpa.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services +http://www.hbsfinance.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services +http://pdmcpas.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services +http://www.stanburns.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services/Insurance +http://www.richardtsao.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services/Insurance +http://www.zenkerinsurance.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services/Insurance +http://www.californiahealthplans.com/ Top/Regional/North_America/United_States/California/Localities/T/Torrance/Business_and_Economy/Financial_Services/Insurance +http://www.willsonco.com/ Top/Regional/North_America/United_States/California/Localities/T/Tracy/Business_and_Economy/Financial_Services +http://www.bigvalleyinsurance.com/ Top/Regional/North_America/United_States/California/Localities/T/Tracy/Business_and_Economy/Financial_Services/Insurance +http://www.aegisins.com/ Top/Regional/North_America/United_States/California/Localities/T/Truckee/Business_and_Economy/Financial_Services +http://www.abt-tax.com/ Top/Regional/North_America/United_States/California/Localities/T/Tustin/Business_and_Economy/Financial_Services +http://www.lakeins.com/ Top/Regional/North_America/United_States/California/Localities/T/Tustin/Business_and_Economy/Financial_Services +http://www.metroinsurance.com/ Top/Regional/North_America/United_States/California/Localities/T/Tustin/Business_and_Economy/Financial_Services +http://www.tustincmtybank.com/ Top/Regional/North_America/United_States/California/Localities/T/Tustin/Business_and_Economy/Financial_Services +http://www.jimkellycpa.com/ Top/Regional/North_America/United_States/California/Localities/T/Tustin/Business_and_Economy/Financial_Services +http://www.fmcloan.com/ Top/Regional/North_America/United_States/California/Localities/V/Valencia/Business_and_Economy/Financial_Services +http://jacksbailbond.com/ Top/Regional/North_America/United_States/California/Localities/V/Van_Nuys/Business_and_Economy/Financial_Services +http://www.sanbernardinoquickbail.com/ Top/Regional/North_America/United_States/California/Localities/V/Ventura/Business_and_Economy/Financial_Services +http://www.ritarichmond.com/ Top/Regional/North_America/United_States/California/Localities/V/Visalia/Business_and_Economy/Financial_Services +http://vdggcpa.com/ Top/Regional/North_America/United_States/California/Localities/V/Visalia/Business_and_Economy/Financial_Services +http://www.alfordcpa.net/ Top/Regional/North_America/United_States/California/Localities/W/Westlake_Village/Business_and_Economy/Financial_Services +http://www.corbettins.com/ Top/Regional/North_America/United_States/California/Localities/W/Westlake_Village/Business_and_Economy/Financial_Services +http://www.fga-cpas.com/ Top/Regional/North_America/United_States/California/Localities/W/Westlake_Village/Business_and_Economy/Financial_Services +http://www.insuranceforbicycles.com/ Top/Regional/North_America/United_States/California/Localities/W/Westlake_Village/Business_and_Economy/Financial_Services +http://www.sterlingfinancialstrategies.com/ Top/Regional/North_America/United_States/California/Localities/W/Westlake_Village/Business_and_Economy/Financial_Services +http://jlangcpa.com/ Top/Regional/North_America/United_States/California/Localities/W/Westlake_Village/Business_and_Economy/Financial_Services +http://www.taxrabbi.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +http://www.publicdocumentsplus.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +http://www.petemcpa.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +http://www.burnsaccounting.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +http://www.familybailbonds.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +https://www-1000.aig.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +http://woodlandhills.nm.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +http://www.richwiner.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services +http://www.rctemme.com/ Top/Regional/North_America/United_States/California/Localities/W/Woodland_Hills/Business_and_Economy/Financial_Services/Mortgages +http://www.cccsso.org/ Top/Regional/North_America/United_States/California/Localities/Y/Yreka/Business_and_Economy/Financial_Services +http://www.wescom.org/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.lava.org/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.fmb.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://yourcreditunion.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.orangecounty-bailbonds.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.cusocal.org/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.hcvt.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.glendasbailbonds.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.unitibank.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://montanacapital.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://www.capstonefcu.coop/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services +http://boltonco.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.shanainsurance.com/ Top/Regional/North_America/United_States/California/Metro_Areas/Los_Angeles/Business_and_Economy/Financial_Services/Insurance +http://www.groco.com/ Top/Regional/North_America/United_States/California/Metro_Areas/San_Francisco_Bay_Area/Business_and_Economy/Financial_Services +http://www.lenmarsh.com/ Top/Regional/North_America/United_States/California/Metro_Areas/San_Francisco_Bay_Area/Business_and_Economy/Financial_Services +http://www.benningfieldadvisors.com/ Top/Regional/North_America/United_States/California/Metro_Areas/San_Francisco_Bay_Area/Business_and_Economy/Financial_Services +http://www.ggcea.org/ Top/Regional/North_America/United_States/California/Metro_Areas/San_Francisco_Bay_Area/Business_and_Economy/Financial_Services +http://www.cdcloans.com/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services +http://www.dkllpcpa.com/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services +http://www.icb.biz/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services +http://www.pacificwesternbank.com/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services +http://pennybailbonds.com/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services +https://www.premieramerica.com/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services +http://www.mexicaninsurance.com/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services/Insurance +http://arlanknutsoninsurance.com/ Top/Regional/North_America/United_States/California/Regions/Southern_California/Business_and_Economy/Financial_Services/Insurance +https://www.firststatebank-co.com/ Top/Regional/North_America/United_States/Colorado/Business_and_Economy/Financial_Services +http://www.spaceagefcu.org/ Top/Regional/North_America/United_States/Colorado/Localities/A/Aurora/Business_and_Economy/Financial_Services +http://www.auroracu.com/ Top/Regional/North_America/United_States/Colorado/Localities/A/Aurora/Business_and_Economy/Financial_Services +http://www.betadental.com/ Top/Regional/North_America/United_States/Colorado/Localities/A/Aurora/Business_and_Economy/Financial_Services +http://www.allianceguaranty.com/ Top/Regional/North_America/United_States/Colorado/Localities/A/Aurora/Business_and_Economy/Financial_Services/Mortgages +http://www.almcmortgage.com/ Top/Regional/North_America/United_States/Colorado/Localities/A/Aurora/Business_and_Economy/Financial_Services/Mortgages +http://www.danday.com/ Top/Regional/North_America/United_States/Colorado/Localities/B/Boulder/Business_and_Economy/Financial_Services +http://www.castlerockbank.com/ Top/Regional/North_America/United_States/Colorado/Localities/C/Castle_Rock/Business_and_Economy/Financial_Services +http://www.epeoples.com/ Top/Regional/North_America/United_States/Colorado/Localities/C/Colorado_Springs/Business_and_Economy/Financial_Services +http://www.ppnb.com/ Top/Regional/North_America/United_States/Colorado/Localities/C/Colorado_Springs/Business_and_Economy/Financial_Services +https://www.ent.com/ Top/Regional/North_America/United_States/Colorado/Localities/C/Colorado_Springs/Business_and_Economy/Financial_Services +http://www.appleloans.com/ Top/Regional/North_America/United_States/Colorado/Localities/C/Colorado_Springs/Business_and_Economy/Financial_Services/Mortgages +http://www.royalgold.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.yacenter.org/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.msccm.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.coloradolendingsource.org/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.peakfinancial.net/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.ibgbusiness.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.brown-tedstrom.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.sealfinancial.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.coloradoenterprisefund.org/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://denver.nm.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services +http://www.eksh.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://www.comiskey.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://www.thecpaplanner.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://www.heincpa.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://www.grabau.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://www.rgo-cpa.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://www.kkb-cpa.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://accountingservicesdenver.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Accountants +http://www.manorins.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Insurance +http://www.deltadentalco.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Insurance +http://www.moodyins.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Insurance +http://www.mortgagemint.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Mortgages +http://macrofinancial.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Mortgages +http://www.uptownmortgage.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Mortgages +http://www.fcfsdenver.com/ Top/Regional/North_America/United_States/Colorado/Localities/D/Denver/Business_and_Economy/Financial_Services/Mortgages +https://www.bellco.org/ Top/Regional/North_America/United_States/Colorado/Localities/E/Englewood/Business_and_Economy/Financial_Services +http://www.kg-consultants.com/ Top/Regional/North_America/United_States/Colorado/Localities/E/Englewood/Business_and_Economy/Financial_Services +http://www.rogerbuswell.com/ Top/Regional/North_America/United_States/Colorado/Localities/E/Englewood/Business_and_Economy/Financial_Services +http://www.coloradofarmbureau.com/ Top/Regional/North_America/United_States/Colorado/Localities/E/Englewood/Business_and_Economy/Financial_Services +http://www.distinctivehomelending.com/ Top/Regional/North_America/United_States/Colorado/Localities/E/Englewood/Business_and_Economy/Financial_Services/Mortgages +http://www.tomschreiner.com/ Top/Regional/North_America/United_States/Colorado/Localities/E/Englewood/Business_and_Economy/Financial_Services/Mortgages +http://www.1stnationalbank.com/ Top/Regional/North_America/United_States/Colorado/Localities/F/Fort_Collins/Business_and_Economy/Financial_Services +http://www.krugercpas.com/ Top/Regional/North_America/United_States/Colorado/Localities/F/Fort_Collins/Business_and_Economy/Financial_Services +http://www.goetzinsurors.com/ Top/Regional/North_America/United_States/Colorado/Localities/F/Fort_Morgan/Business_and_Economy/Financial_Services +http://www.ncts.com/ Top/Regional/North_America/United_States/Colorado/Localities/F/Fort_Morgan/Business_and_Economy/Financial_Services +http://www.fmsbank.com/ Top/Regional/North_America/United_States/Colorado/Localities/F/Fort_Morgan/Business_and_Economy/Financial_Services +http://www.csdcpa.com/ Top/Regional/North_America/United_States/Colorado/Localities/G/Grand_Junction/Business_and_Economy/Financial_Services +http://www.gohallam.com/ Top/Regional/North_America/United_States/Colorado/Localities/G/Grand_Junction/Business_and_Economy/Financial_Services +http://www.janiczek.com/ Top/Regional/North_America/United_States/Colorado/Localities/G/Greenwood_Village/Business_and_Economy/Financial_Services +http://www.columbinefcu.org/ Top/Regional/North_America/United_States/Colorado/Localities/G/Greenwood_Village/Business_and_Economy/Financial_Services +http://www.lfcinc.com/ Top/Regional/North_America/United_States/Colorado/Localities/G/Greenwood_Village/Business_and_Economy/Financial_Services +http://thinkccig.com/ Top/Regional/North_America/United_States/Colorado/Localities/G/Greenwood_Village/Business_and_Economy/Financial_Services +http://www.nacmcolorado.com/ Top/Regional/North_America/United_States/Colorado/Localities/L/Lakewood/Business_and_Economy/Financial_Services +http://www.gcja.com/ Top/Regional/North_America/United_States/Colorado/Localities/L/Littleton/Business_and_Economy/Financial_Services +http://www.salmiceli.com/ Top/Regional/North_America/United_States/Colorado/Localities/L/Littleton/Business_and_Economy/Financial_Services +http://www.dwhite.com/ Top/Regional/North_America/United_States/Colorado/Localities/L/Littleton/Business_and_Economy/Financial_Services/Mortgages +http://www.longmont-insurance.com/ Top/Regional/North_America/United_States/Colorado/Localities/L/Longmont/Business_and_Economy/Financial_Services +http://www.pgafcu.org/ Top/Regional/North_America/United_States/Colorado/Localities/P/Pueblo/Business_and_Economy/Financial_Services +http://www.pueblohorizonsfcu.com/ Top/Regional/North_America/United_States/Colorado/Localities/P/Pueblo/Business_and_Economy/Financial_Services +http://mymwcu.com/ Top/Regional/North_America/United_States/Colorado/Localities/P/Pueblo/Business_and_Economy/Financial_Services +http://www.premierpueblo.net/ Top/Regional/North_America/United_States/Colorado/Localities/P/Pueblo/Business_and_Economy/Financial_Services +http://www.highcountrybank.net/ Top/Regional/North_America/United_States/Colorado/Localities/S/Salida/Business_and_Economy/Financial_Services +http://www.fnbtrinidad.com/ Top/Regional/North_America/United_States/Colorado/Localities/T/Trinidad/Business_and_Economy/Financial_Services +http://coetandcoet.com/ Top/Regional/North_America/United_States/Colorado/Localities/W/Westminster/Business_and_Economy/Financial_Services +http://www.yellowstonemortgage.com/ Top/Regional/North_America/United_States/Colorado/Localities/W/Westminster/Business_and_Economy/Financial_Services +http://www.create-wealth.com/ Top/Regional/North_America/United_States/Colorado/Localities/W/Woodland_Park/Business_and_Economy/Financial_Services +http://www.hrmtcpas.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.nwcommunitybank.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.survivorshiplife.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.gfoact.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.chif.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.aboutchet.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.natptax.com/Chapters/Pages/ConnecticutChapter.aspx Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.hedcoinc.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.ctcpas.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services +http://www.tdbanknorth.com/default.aspx?state=ct Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Banks +http://www.torringtonsavings.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Banks +https://www.savingsinstitute.com/locations/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Banks +http://dutchpoint.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.americaneagle.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.sikorskyfcu.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.unitedshoreline.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.nefamily.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +https://www.connexcu.org/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.mscu.net/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.compasscg.com/banking_links/connecticutbanks.html#CTCU Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.ct.gov/dob/cwp/view.asp?a=2237&Q=298042&dobNAV_GID=1660&dobNav=| Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.achievefinancialcu.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Credit_Unions +http://www.bakkerinsurance.com/ Top/Regional/North_America/United_States/Connecticut/Business_and_Economy/Financial_Services/Insurance +http://www.firstcountybank.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +http://www.stamfordcu.org/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +http://www.pbefcu.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +http://www.ridgefieldbank.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +http://www.nohocu.org/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +http://www.randinsurance.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +https://www.nsbonline.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +https://www.fairfieldcountybank.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Fairfield/Business_and_Economy/Financial_Services +http://fdmcpa.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services +http://www.businesslenders.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services +http://www.simsburybank.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Banks +http://www.fnbanksuffield.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Banks +http://www.windsorfederal.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Banks +http://www.farmingtonbankct.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Banks +http://www.franklintrust.org/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Credit_Unions +http://www.ubifcu.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Credit_Unions +http://www.nutmegstatefcu.org/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Credit_Unions +http://www.hartfordfcu.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Credit_Unions +http://www.lombardo-ins.com/ Top/Regional/North_America/United_States/Connecticut/Counties/Hartford/Business_and_Economy/Financial_Services/Insurance +http://www.wffcu.org/ Top/Regional/North_America/United_States/Connecticut/Counties/New_Haven/Business_and_Economy/Financial_Services/Credit_Unions +http://www.waterburytelephonefcu.com/ Top/Regional/North_America/United_States/Connecticut/Counties/New_Haven/Business_and_Economy/Financial_Services/Credit_Unions +https://www.coreplus.org/ Top/Regional/North_America/United_States/Connecticut/Counties/New_London/Business_and_Economy/Financial_Services +http://www.chelseagroton.com/ Top/Regional/North_America/United_States/Connecticut/Counties/New_London/Business_and_Economy/Financial_Services +http://www.ironwoodcap.com/ Top/Regional/North_America/United_States/Connecticut/Localities/A/Avon/Business_and_Economy/Financial_Services +http://www.norcom-usa.com/ Top/Regional/North_America/United_States/Connecticut/Localities/A/Avon/Business_and_Economy/Financial_Services +http://www.capitalstrategiesinc.com/ Top/Regional/North_America/United_States/Connecticut/Localities/B/Bloomfield/Business_and_Economy/Financial_Services +http://www.robertsins.com/ Top/Regional/North_America/United_States/Connecticut/Localities/B/Bloomfield/Business_and_Economy/Financial_Services +http://www.cigna.com/ Top/Regional/North_America/United_States/Connecticut/Localities/B/Bloomfield/Business_and_Economy/Financial_Services +http://www.cffcpa.com/ Top/Regional/North_America/United_States/Connecticut/Localities/B/Bloomfield/Business_and_Economy/Financial_Services +https://www.firstbristol.org/ Top/Regional/North_America/United_States/Connecticut/Localities/B/Bristol/Business_and_Economy/Financial_Services +http://www.tracy-driscoll.com/ Top/Regional/North_America/United_States/Connecticut/Localities/B/Bristol/Business_and_Economy/Financial_Services/Insurance +http://www.cvmasoninsurance.com/ Top/Regional/North_America/United_States/Connecticut/Localities/B/Bristol/Business_and_Economy/Financial_Services/Insurance +http://www.gracekeniston.com/home/index.php Top/Regional/North_America/United_States/Connecticut/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.aegisdebtconsolidation.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.barrongannon.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.brianboylecpa.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.legowskiltd.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Farmington/Business_and_Economy/Financial_Services +http://www.dhascpa.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Farmington/Business_and_Economy/Financial_Services +http://www.flrcpa.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Farmington/Business_and_Economy/Financial_Services +http://guaranty-federal.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Farmington/Business_and_Economy/Financial_Services +http://www.cmshris.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Farmington/Business_and_Economy/Financial_Services +http://www.fdicentral.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Farmington/Business_and_Economy/Financial_Services +http://www.havilandsams.com/ Top/Regional/North_America/United_States/Connecticut/Localities/F/Farmington/Business_and_Economy/Financial_Services +http://www.srandco.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Glastonbury/Business_and_Economy/Financial_Services +http://www.palmerinsurance.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Glastonbury/Business_and_Economy/Financial_Services +http://www.cmsmith.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Glastonbury/Business_and_Economy/Financial_Services +http://www.accountingresourcesinc.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Glastonbury/Business_and_Economy/Financial_Services +http://www.forensicaccountingservices.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Glastonbury/Business_and_Economy/Financial_Services +http://www.fmlcpas.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Glastonbury/Business_and_Economy/Financial_Services +http://www.bbnfirst.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Glastonbury/Business_and_Economy/Financial_Services +http://www.eshcompliance.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Guilford/Business_and_Economy/Financial_Services +http://www.benchmarkasset.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Guilford/Business_and_Economy/Financial_Services +http://www.crandg.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Guilford/Business_and_Economy/Financial_Services +http://www.gsb-yourbank.com/ Top/Regional/North_America/United_States/Connecticut/Localities/G/Guilford/Business_and_Economy/Financial_Services +http://www.byxbee.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hamden/Business_and_Economy/Financial_Services +http://www.cunneen.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hamden/Business_and_Economy/Financial_Services +http://www.colonnainsurance.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hamden/Business_and_Economy/Financial_Services/Insurance +http://www.macdco.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hamden/Business_and_Economy/Financial_Services/Insurance +http://ciaonline.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hamden/Business_and_Economy/Financial_Services/Insurance +http://www.therusconicompany.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://hopgoodgroup.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.conning.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.bfsinvest.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.infinancialservices.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.hartfordloans.org/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.skyig.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.virtus.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.whcpa.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services +http://www.aetna.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services/Insurance +https://www.travelers.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services/Insurance +http://www.thehartford.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services/Insurance +http://www.hsb.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services/Insurance +https://www.phoenixwm.phl.com/public/index.jsp Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services/Insurance +http://www.guilfordspecialty.com/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services/Insurance +https://www.travelers.com/boilerre/ Top/Regional/North_America/United_States/Connecticut/Localities/H/Hartford/Business_and_Economy/Financial_Services/Insurance +http://www.righttracfg.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.gormaninsurance.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.iicinsure.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.mmnt-cpa.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.comprehensivebusinesssolutions.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.gdscpas.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Middletown/Business_and_Economy/Financial_Services +http://www.rddk.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Middletown/Business_and_Economy/Financial_Services/Insurance +http://www.totalmortgage.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.milfordbank.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.bkia.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.ilzgroup.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Milford/Business_and_Economy/Financial_Services +https://www.mygecreditunion.com/ Top/Regional/North_America/United_States/Connecticut/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.ewinsure.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/New_Canaan/Business_and_Economy/Financial_Services +http://www.reynoldsrowella.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/New_Canaan/Business_and_Economy/Financial_Services +http://www.htgadvisors.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/New_Canaan/Business_and_Economy/Financial_Services +http://www.carterhayes.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/New_Haven/Business_and_Economy/Financial_Services +http://www.raymondjames.com/KreitlerAssoc/ Top/Regional/North_America/United_States/Connecticut/Localities/N/New_Haven/Business_and_Economy/Financial_Services +http://www.edgar-online.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://www.factset.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://www.depanfilis.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://www.johnmglover.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://www.levinassociates.com/ Top/Regional/North_America/United_States/Connecticut/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://ctinnovations.com/ Top/Regional/North_America/United_States/Connecticut/Localities/R/Rocky_Hill/Business_and_Economy/Financial_Services +http://www.dagostinoandmazzone.com/ Top/Regional/North_America/United_States/Connecticut/Localities/R/Rocky_Hill/Business_and_Economy/Financial_Services +http://www.hhcpas.com/ Top/Regional/North_America/United_States/Connecticut/Localities/R/Rocky_Hill/Business_and_Economy/Financial_Services +http://www.landmarkpartners.com/ Top/Regional/North_America/United_States/Connecticut/Localities/S/Simsbury/Business_and_Economy/Financial_Services +http://www.sagefinancialdesign.com/ Top/Regional/North_America/United_States/Connecticut/Localities/S/Simsbury/Business_and_Economy/Financial_Services +http://www.4structures.com/ Top/Regional/North_America/United_States/Connecticut/Localities/S/Stamford/Business_and_Economy/Financial_Services +http://www.nwhcu.com/ Top/Regional/North_America/United_States/Connecticut/Localities/T/Torrington/Business_and_Economy/Financial_Services +http://www.barronco.com/ Top/Regional/North_America/United_States/Connecticut/Localities/T/Torrington/Business_and_Economy/Financial_Services +http://www.ppscllc.com/ Top/Regional/North_America/United_States/Connecticut/Localities/T/Torrington/Business_and_Economy/Financial_Services +http://www.thefinancefixer.com/ Top/Regional/North_America/United_States/Connecticut/Localities/T/Torrington/Business_and_Economy/Financial_Services +http://www.osbcapital.com/ Top/Regional/North_America/United_States/Connecticut/Localities/T/Torrington/Business_and_Economy/Financial_Services +http://www.brookstoddmcneil.com/ Top/Regional/North_America/United_States/Connecticut/Localities/T/Torrington/Business_and_Economy/Financial_Services/Insurance +http://www.westonwealth.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Westport/Business_and_Economy/Financial_Services +http://www.cfig.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Westport/Business_and_Economy/Financial_Services/Insurance +http://atlanticresidential.net/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Westport/Business_and_Economy/Financial_Services/Mortgages +http://www.blumshapiro.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/West_Hartford/Business_and_Economy/Financial_Services +http://www.jaegerco.biz/ Top/Regional/North_America/United_States/Connecticut/Localities/W/West_Hartford/Business_and_Economy/Financial_Services +http://www.filomeno.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/West_Hartford/Business_and_Economy/Financial_Services +http://www.elmtreefunding.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/West_Hartford/Business_and_Economy/Financial_Services +http://www.bernheimerinsurance.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/West_Hartford/Business_and_Economy/Financial_Services +http://www.amteccorp.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/West_Hartford/Business_and_Economy/Financial_Services +http://www.commonfund.org/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Wilton/Business_and_Economy/Financial_Services +http://www.aisgroup.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Wilton/Business_and_Economy/Financial_Services +http://www.kreg.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Wilton/Business_and_Economy/Financial_Services +http://www.ssctech.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://amsservices.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://www.associatesfcu.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://windsorcc.org/Windsor/financialservices/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://www.dixon-co.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://www.horizonhomemtg.com/ Top/Regional/North_America/United_States/Connecticut/Localities/W/Windsor/Business_and_Economy/Financial_Services +http://www.tvtfcu.org/ Top/Regional/North_America/United_States/Connecticut/Metro_Areas/Capitol_Region/Business_and_Economy/Financial_Services/Credit_Unions +http://www.chcu.org/ Top/Regional/North_America/United_States/Connecticut/Metro_Areas/Capitol_Region/Business_and_Economy/Financial_Services/Credit_Unions +https://finexcu.org/ Top/Regional/North_America/United_States/Connecticut/Metro_Areas/Capitol_Region/Business_and_Economy/Financial_Services/Credit_Unions +https://www.360fcu.org/360fcu/ Top/Regional/North_America/United_States/Connecticut/Metro_Areas/Capitol_Region/Business_and_Economy/Financial_Services/Credit_Unions +http://www.artisansbank.com/ Top/Regional/North_America/United_States/Delaware/Business_and_Economy/Financial_Services +http://cardcenter.appliedbank.com/home.do Top/Regional/North_America/United_States/Delaware/Business_and_Economy/Financial_Services +https://www.averyhall.com/ Top/Regional/North_America/United_States/Delaware/Business_and_Economy/Financial_Services/Insurance +http://www.americanspirit.org/ Top/Regional/North_America/United_States/Delaware/Localities/N/Newark/Business_and_Economy/Financial_Services +http://www.dmms.us/ Top/Regional/North_America/United_States/Delaware/Localities/N/Newark/Business_and_Economy/Financial_Services +http://www.comtrst.com/ Top/Regional/North_America/United_States/Delaware/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.alleninsurance.com/ Top/Regional/North_America/United_States/Delaware/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.uchelp.com/ Top/Regional/North_America/United_States/Delaware/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.kcfinancialgroup.net/ Top/Regional/North_America/United_States/Delaware/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://dahlinkfinancial.com/ Top/Regional/North_America/United_States/Delaware/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.ameripriseadvisors.com/james.d.demars/ Top/Regional/North_America/United_States/Delaware/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.medisweans.com/ Top/Regional/North_America/United_States/Delaware/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.mpscu.org/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services +http://www.nacmflorida.org/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services +http://www.floridabaptist.org/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services +http://www.communitybankfl.com/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services +http://www.jmco.com/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services +http://www.templetoncpa.com/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services +http://myfamp.org/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services +http://www.stahlinsurance.com/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services/Insurance +http://www.fwcjua.com/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services/Insurance +http://www.lassiter-ware.com/ Top/Regional/North_America/United_States/Florida/Business_and_Economy/Financial_Services/Insurance +http://www.baycu.com/ Top/Regional/North_America/United_States/Florida/Counties/Bay/Business_and_Economy/Financial_Services +http://www.heartlandnb.com/ Top/Regional/North_America/United_States/Florida/Counties/Highlands/Business_and_Economy/Financial_Services +http://1stnatbank.com/ Top/Regional/North_America/United_States/Florida/Counties/Miami-Dade/Business_and_Economy/Financial_Services +http://www.welcomefunds.com/ Top/Regional/North_America/United_States/Florida/Localities/B/Boca_Raton/Business_and_Economy/Financial_Services +http://graucpa.com/ Top/Regional/North_America/United_States/Florida/Localities/B/Boca_Raton/Business_and_Economy/Financial_Services/Accountants +http://www.cpaweinberg.com/ Top/Regional/North_America/United_States/Florida/Localities/B/Boca_Raton/Business_and_Economy/Financial_Services/Accountants +http://www.buchbinder.com/ Top/Regional/North_America/United_States/Florida/Localities/B/Boca_Raton/Business_and_Economy/Financial_Services/Accountants +http://garberandgarbercpa.com/ Top/Regional/North_America/United_States/Florida/Localities/B/Boca_Raton/Business_and_Economy/Financial_Services/Accountants +http://dbllp.com/ Top/Regional/North_America/United_States/Florida/Localities/B/Boca_Raton/Business_and_Economy/Financial_Services/Accountants +http://www.olinhill.com/ Top/Regional/North_America/United_States/Florida/Localities/C/Cape_Coral/Business_and_Economy/Financial_Services +http://www.thecollectors.com/ Top/Regional/North_America/United_States/Florida/Localities/C/Clearwater/Business_and_Economy/Financial_Services +http://www.cuifla.com/ Top/Regional/North_America/United_States/Florida/Localities/C/Clearwater/Business_and_Economy/Financial_Services +http://www.floridamortgagecorp.com/ Top/Regional/North_America/United_States/Florida/Localities/C/Clearwater/Business_and_Economy/Financial_Services/Mortgages +http://www.rljcpas.com/ Top/Regional/North_America/United_States/Florida/Localities/D/Daytona_Beach/Business_and_Economy/Financial_Services +http://realpages.com/sites/insuranceworld/index.html Top/Regional/North_America/United_States/Florida/Localities/D/Deland/Business_and_Economy/Financial_Services +http://www.englewoodbank.com/ Top/Regional/North_America/United_States/Florida/Localities/E/Englewood/Business_and_Economy/Financial_Services +http://www.sternbailbonds.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Lauderdale/Business_and_Economy/Financial_Services +http://www.vrbusinessbrokers.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Lauderdale/Business_and_Economy/Financial_Services +http://www.aelaufer.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Lauderdale/Business_and_Economy/Financial_Services +http://www.alliedclaims.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Lauderdale/Business_and_Economy/Financial_Services +http://www.equitymax.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Lauderdale/Business_and_Economy/Financial_Services/Mortgages +http://www.wwrecpa.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Myers/Business_and_Economy/Financial_Services +http://www.kennetheguard.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Myers/Business_and_Economy/Financial_Services +http://www.millerhelms-folk.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Myers/Business_and_Economy/Financial_Services +http://www.deannarivera.info/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Myers/Business_and_Economy/Financial_Services +http://www.lott-gaylor.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Myers/Business_and_Economy/Financial_Services/Insurance +http://www.bbftmyers.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Myers/Business_and_Economy/Financial_Services/Insurance +http://www.swflinsurance.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Myers/Business_and_Economy/Financial_Services/Insurance +http://www.boatloans.net/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Pierce/Business_and_Economy/Financial_Services +http://www.ljlcpa.com/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Pierce/Business_and_Economy/Financial_Services +http://www.dmhbcpa.net/ Top/Regional/North_America/United_States/Florida/Localities/F/Fort_Pierce/Business_and_Economy/Financial_Services +http://www.lpsfs.com/ Top/Regional/North_America/United_States/Florida/Localities/J/Jacksonville/Business_and_Economy/Financial_Services +http://www.multimedical.net/ Top/Regional/North_America/United_States/Florida/Localities/J/Jacksonville/Business_and_Economy/Financial_Services +http://www.jaxcpa.com/ Top/Regional/North_America/United_States/Florida/Localities/J/Jacksonville/Business_and_Economy/Financial_Services +http://www.mswcpa.com/ Top/Regional/North_America/United_States/Florida/Localities/J/Jacksonville/Business_and_Economy/Financial_Services/Accounting +http://thelbagroup.com/ Top/Regional/North_America/United_States/Florida/Localities/J/Jacksonville/Business_and_Economy/Financial_Services/Accounting +http://www.butlermortgage.com/ Top/Regional/North_America/United_States/Florida/Localities/K/Kissimmee/Business_and_Economy/Financial_Services +http://www.pefcu.com/ Top/Regional/North_America/United_States/Florida/Localities/L/Lakeland/Business_and_Economy/Financial_Services +http://www.heacock.com/ Top/Regional/North_America/United_States/Florida/Localities/L/Lakeland/Business_and_Economy/Financial_Services +http://bayliscpas.com/ Top/Regional/North_America/United_States/Florida/Localities/L/Lakeland/Business_and_Economy/Financial_Services +http://www.jordanagency.com/ Top/Regional/North_America/United_States/Florida/Localities/L/Live_Oak/Business_and_Economy/Financial_Services +http://www.insurancefast.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Mary_Esther/Business_and_Economy/Financial_Services/Insurance +http://www.sccu.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Melbourne/Business_and_Economy/Financial_Services +http://www.atlanticcoastinsurance.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Melbourne/Business_and_Economy/Financial_Services +http://myfldreamhome.blogspot.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Melbourne/Business_and_Economy/Financial_Services/Mortgages +https://www.launchfcu.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Merritt_Island/Business_and_Economy/Financial_Services +http://www.thebailbondfirm.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Miami/Business_and_Economy/Financial_Services +http://www.theenrichmentgroup.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Miami/Business_and_Economy/Financial_Services +http://www.kaufmanrossin.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Miami/Business_and_Economy/Financial_Services/Accounting +http://www.dcfcu.org/ Top/Regional/North_America/United_States/Florida/Localities/M/Miami/Business_and_Economy/Financial_Services/Banks +http://www.unitedpolicefcu.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Miami/Business_and_Economy/Financial_Services/Banks +http://www.terrabank.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Miami/Business_and_Economy/Financial_Services/Banks +http://www.famleasing.com/ Top/Regional/North_America/United_States/Florida/Localities/M/Miami/Business_and_Economy/Financial_Services/Mortgages +http://www.rwhsgcpa.com/ Top/Regional/North_America/United_States/Florida/Localities/N/Naples/Business_and_Economy/Financial_Services +http://allstateocala.com/ Top/Regional/North_America/United_States/Florida/Localities/O/Ocala/Business_and_Economy/Financial_Services +http://tax-helper.com/ Top/Regional/North_America/United_States/Florida/Localities/O/Ocala/Business_and_Economy/Financial_Services +http://www.hughcotton.com/ Top/Regional/North_America/United_States/Florida/Localities/O/Orlando/Business_and_Economy/Financial_Services +http://rampell.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Palm_Beach/Business_and_Economy/Financial_Services +http://www.apins.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Palm_Beach_Gardens/Business_and_Economy/Financial_Services +http://www.cedfinancial.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Palm_Beach_Gardens/Business_and_Economy/Financial_Services +http://www.desmidtconsulting.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Palm_Harbor/Business_and_Economy/Financial_Services +http://www.cpagroup.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Panama_City/Business_and_Economy/Financial_Services +http://www.warringtonbank.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Pensacola/Business_and_Economy/Financial_Services +http://www.strang-cpa.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Punta_Gorda/Business_and_Economy/Financial_Services +http://www.kochcpas.com/ Top/Regional/North_America/United_States/Florida/Localities/P/Punta_Gorda/Business_and_Economy/Financial_Services +http://suntrust.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Augustine/Business_and_Economy/Financial_Services +http://www.herbiewiles.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Augustine/Business_and_Economy/Financial_Services +http://www.timhuberins.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Augustine/Business_and_Economy/Financial_Services +http://www.raymondjames.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.elease.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.ucfunding.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.bankersinsurance.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.millsandmahon.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Saint_Petersburg/Business_and_Economy/Financial_Services +http://www.cpadvantage.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Sarasota/Business_and_Economy/Financial_Services +http://www.cavanaugh-co.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Sarasota/Business_and_Economy/Financial_Services +http://www.certifiedcarcare.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Sarasota/Business_and_Economy/Financial_Services/Insurance +http://chrissullivaninsurance.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Sarasota/Business_and_Economy/Financial_Services/Insurance +http://www.heacock.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Sebring/Business_and_Economy/Financial_Services +http://www.sccu.com/ Top/Regional/North_America/United_States/Florida/Localities/S/Suntree/Business_and_Economy/Financial_Services/Banks +http://www.ccbg.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services +http://www.fmbbank.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services +http://www.northfloridafinancial.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services +http://www.envisioncu.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services +http://www.ingramaccounting.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services +http://myfamp.org/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services +http://www.capitalins.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services/Insurance +https://troyfaininsurance.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tallahassee/Business_and_Economy/Financial_Services/Insurance +http://firetruckleasing.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tampa/Business_and_Economy/Financial_Services +http://www.numberdoctor.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tampa/Business_and_Economy/Financial_Services +https://www.tampabayfederal.com/ Top/Regional/North_America/United_States/Florida/Localities/T/Tampa/Business_and_Economy/Financial_Services +http://www.houghcpa.com/ Top/Regional/North_America/United_States/Florida/Localities/V/Venice/Business_and_Economy/Financial_Services +http://www.ctrust.com/ Top/Regional/North_America/United_States/Florida/Localities/V/Venice/Business_and_Economy/Financial_Services +http://www.thcomptrollers.com/ Top/Regional/North_America/United_States/Florida/Localities/V/Venice/Business_and_Economy/Financial_Services +http://allhorizonfinancialservices.com/ Top/Regional/North_America/United_States/Florida/Localities/W/West_Palm_Beach/Business_and_Economy/Financial_Services +http://www.makara-assoc.com/ Top/Regional/North_America/United_States/Florida/Metro_Areas/Fort_Myers-Naples/Business_and_Economy/Financial_Services +http://www.fpafla.com/ Top/Regional/North_America/United_States/Florida/Metro_Areas/Orlando_Metro/Business_and_Economy/Financial_Services +http://dannagracey.com/ Top/Regional/North_America/United_States/Florida/Metro_Areas/Orlando_Metro/Business_and_Economy/Financial_Services +http://www.kbgrp.com/ Top/Regional/North_America/United_States/Florida/Metro_Areas/Tampa_Bay_Area/Business_and_Economy/Financial_Services +http://khmortgage.com/ Top/Regional/North_America/United_States/Florida/Metro_Areas/Tampa_Bay_Area/Business_and_Economy/Financial_Services +http://www.bankofcommercefl.com/ Top/Regional/North_America/United_States/Florida/Metro_Areas/Tampa_Bay_Area/Business_and_Economy/Financial_Services +http://www.harborcb.com/ Top/Regional/North_America/United_States/Florida/Metro_Areas/West_Palm_Beach-Fort_Pierce/Business_and_Economy/Financial_Services +http://www.colonybank.com/ Top/Regional/North_America/United_States/Georgia/Business_and_Economy/Financial_Services +http://www.fnb-trust.com/ Top/Regional/North_America/United_States/Georgia/Business_and_Economy/Financial_Services +http://www.trsga.com/ Top/Regional/North_America/United_States/Georgia/Business_and_Economy/Financial_Services +http://northeastgabank.com/ Top/Regional/North_America/United_States/Georgia/Business_and_Economy/Financial_Services +http://www.a-actionbonding.com/ Top/Regional/North_America/United_States/Georgia/Business_and_Economy/Financial_Services +http://tpbonline.com/ Top/Regional/North_America/United_States/Georgia/Business_and_Economy/Financial_Services +http://www.amerisbank.com/ Top/Regional/North_America/United_States/Georgia/Counties/Camden/Business_and_Economy/Financial_Services +http://positivelybalanced.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Acworth/Business_and_Economy/Financial_Services +http://www.draffin-tucker.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Albany/Business_and_Economy/Financial_Services +http://www.mjcpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Albany/Business_and_Economy/Financial_Services +http://www.eatlantacare.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Alpharetta/Business_and_Economy/Financial_Services +http://www.willgeercpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Alpharetta/Business_and_Economy/Financial_Services +https://banknorthgeorgia.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Alpharetta/Business_and_Economy/Financial_Services/Banking_Services +http://www.sumterbank.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Americus/Business_and_Economy/Financial_Services +http://www.collinscpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Accounting +http://www.turnerandpatat.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Accounting +http://data.gointranet.com/cgi-bin/unitloc/sbp/locator.cgi?cpage=main.html&cu=sginn&cl=001 Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Accounting +http://www.trinityaccountinggroup.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Accounting +http://www.jerkinslowe.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Accounting +http://www.wagcpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Accounting +http://www.smithadcock.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Accounting +http://www.aahps.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.insuringamerica.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.costainc.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://thefieldagency.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.medigap4u.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.chasteeninsurance.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.mikedekle.net/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.boswellinsurancegroup.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.garygarrett.net/ Top/Regional/North_America/United_States/Georgia/Localities/A/Athens/Business_and_Economy/Financial_Services/Insurance +http://www.prgx.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.equifax.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.aberdeenfunding.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.valuationadvisory.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.crestcapital.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.suretrust.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.facteon.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.epochfinancial.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.smithandstilwell.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.smallbizservices.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.chapesjpl.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services +http://www.pkm.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Accountants +http://www.bnkj.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Accountants +http://www.godel.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Accountants +http://www.hlbgrosscollins.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Accountants +http://www.crawfordandcompany.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Insurance +http://www.ebix.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Insurance +http://www.magmutual.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Insurance +http://www.afhsco.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Insurance +http://www.abtinsurance.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Atlanta/Business_and_Economy/Financial_Services/Insurance +http://www.assetadvisors.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Augusta/Business_and_Economy/Financial_Services +http://www.georgiabankandtrust.com/ Top/Regional/North_America/United_States/Georgia/Localities/A/Augusta/Business_and_Economy/Financial_Services +http://www.firstportcity.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Bainbridge/Business_and_Economy/Financial_Services +http://www.collectionbureauassociates.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Bainbridge/Business_and_Economy/Financial_Services +http://www.eburke.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Bainbridge/Business_and_Economy/Financial_Services +http://www.danielhealthinsurance.net/ Top/Regional/North_America/United_States/Georgia/Localities/B/Bainbridge/Business_and_Economy/Financial_Services +http://callahaninsagencyinc.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Bainbridge/Business_and_Economy/Financial_Services +http://www.accessunited.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Barnesville/Business_and_Economy/Financial_Services +http://www.swaininsurance.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Baxley/Business_and_Economy/Financial_Services +https://www.pineland.bank/ Top/Regional/North_America/United_States/Georgia/Localities/B/Baxley/Business_and_Economy/Financial_Services +http://www.atlanticnationalbank.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Brunswick/Business_and_Economy/Financial_Services +https://coastalbankofga.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/B/Brunswick/Business_and_Economy/Financial_Services +https://gbtcalhoun.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Calhoun/Business_and_Economy/Financial_Services +http://www.pcbankonline.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Camilla/Business_and_Economy/Financial_Services +http://www.mycsbonline.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Carrollton/Business_and_Economy/Financial_Services +http://www.rms-cpas.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Cartersville/Business_and_Economy/Financial_Services +http://www.wcpas.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Cartersville/Business_and_Economy/Financial_Services +http://www.centurybanknet.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Cartersville/Business_and_Economy/Financial_Services/Banking_Services +https://cohuttabank.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Chatsworth/Business_and_Economy/Financial_Services +http://www.rabuncountybank.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Clayton/Business_and_Economy/Financial_Services +http://www.guthrie-associatesinc.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Clayton/Business_and_Economy/Financial_Services +http://www.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.msnllc.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Columbus/Business_and_Economy/Financial_Services +https://www.fivestarcu.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Columbus/Business_and_Economy/Financial_Services/Banking_Services/Credit_Unions +https://www.kineticcu.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Columbus/Business_and_Economy/Financial_Services/Banking_Services/Credit_Unions +http://www.checkcare.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Columbus/Business_and_Economy/Financial_Services/Collection_Services +https://www.aflac.com/ Top/Regional/North_America/United_States/Georgia/Localities/C/Columbus/Business_and_Economy/Financial_Services/Insurance +http://www.firstbankofdalton.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Dalton/Business_and_Economy/Financial_Services +http://www.southeasternbank.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Darien/Business_and_Economy/Financial_Services +http://www.universalvatservices.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Decatur/Business_and_Economy/Financial_Services +http://www.cdofinancial.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Decatur/Business_and_Economy/Financial_Services/Insurance +http://www.palmeragency.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Decatur/Business_and_Economy/Financial_Services/Insurance +http://www.angelfire.com/ga/taxmanspage/ Top/Regional/North_America/United_States/Georgia/Localities/D/Dexter/Business_and_Economy/Financial_Services +http://www.fnbcc.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Douglas/Business_and_Economy/Financial_Services +http://www.tdconnally.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Douglasville/Business_and_Economy/Financial_Services +http://www.cktcpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Dublin/Business_and_Economy/Financial_Services/Accounting +http://www.morrisstate.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Dublin/Business_and_Economy/Financial_Services/Banking_Services +http://www.barnes-cpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Duluth/Business_and_Economy/Financial_Services/Accounting +http://www.wsjones.com/ Top/Regional/North_America/United_States/Georgia/Localities/D/Duluth/Business_and_Economy/Financial_Services/Insurance +http://www.tpbeatonton.com/ Top/Regional/North_America/United_States/Georgia/Localities/E/Eatonton/Business_and_Economy/Financial_Services +http://www.pinnaclebank.com/ Top/Regional/North_America/United_States/Georgia/Localities/E/Elberton/Business_and_Economy/Financial_Services +http://www.taxhelpattorney.com/ Top/Regional/North_America/United_States/Georgia/Localities/E/Ellijay/Business_and_Economy/Financial_Services +http://www.cbacollections.com/ Top/Regional/North_America/United_States/Georgia/Localities/E/Ellijay/Business_and_Economy/Financial_Services +http://www.houstonmyerscpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/G/Grayson/Business_and_Economy/Financial_Services +http://www.sunmarkbank.com/ Top/Regional/North_America/United_States/Georgia/Localities/H/Hawkinsville/Business_and_Economy/Financial_Services +http://www.bankofhazlehurst.com/ Top/Regional/North_America/United_States/Georgia/Localities/H/Hazlehurst/Business_and_Economy/Financial_Services +http://www.altamaha.org/ Top/Regional/North_America/United_States/Georgia/Localities/J/Jesup/Business_and_Economy/Financial_Services +http://www.the-heritage-bank.com/ Top/Regional/North_America/United_States/Georgia/Localities/J/Jesup/Business_and_Economy/Financial_Services +http://www.accuterm.com/ Top/Regional/North_America/United_States/Georgia/Localities/K/Kennesaw/Business_and_Economy/Financial_Services/Insurance +http://www.georgiaplatinummortgage.com/ Top/Regional/North_America/United_States/Georgia/Localities/K/Kennesaw/Business_and_Economy/Financial_Services/Mortgages +https://combanktrust.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/L/LaGrange/Business_and_Economy/Financial_Services/Banking_Services +http://www.malloryagency.com/ Top/Regional/North_America/United_States/Georgia/Localities/L/LaGrange/Business_and_Economy/Financial_Services/Insurance +http://www.hutchinsontraylor.com/ Top/Regional/North_America/United_States/Georgia/Localities/L/LaGrange/Business_and_Economy/Financial_Services/Insurance +http://www.capitalendeavors.com/ Top/Regional/North_America/United_States/Georgia/Localities/L/Lawrenceville/Business_and_Economy/Financial_Services +http://www.uspremiumfinance.com/ Top/Regional/North_America/United_States/Georgia/Localities/L/Lawrenceville/Business_and_Economy/Financial_Services +http://www.burnetteinsurance.com/ Top/Regional/North_America/United_States/Georgia/Localities/L/Lawrenceville/Business_and_Economy/Financial_Services/Insurance +http://www.southeastmortgage.us/ Top/Regional/North_America/United_States/Georgia/Localities/L/Lawrenceville/Business_and_Economy/Financial_Services/Mortgages +http://www.bdhassociates.com/ Top/Regional/North_America/United_States/Georgia/Localities/L/Lilburn/Business_and_Economy/Financial_Services +http://www.mbag.org/ Top/Regional/North_America/United_States/Georgia/Localities/M/Macon/Business_and_Economy/Financial_Services +http://www.kaydainsurance.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Macon/Business_and_Economy/Financial_Services/Insurance +http://www.maconmortgage.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Macon/Business_and_Economy/Financial_Services/Mortgages +http://ajkcpas.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.maloy.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Marietta/Business_and_Economy/Financial_Services +http://www.amac-usa.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Marietta/Business_and_Economy/Financial_Services +http://www.henssler.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Marietta/Business_and_Economy/Financial_Services +http://www.solvingtaxes.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Marietta/Business_and_Economy/Financial_Services +http://www.purchasingalliance.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Marietta/Business_and_Economy/Financial_Services/Insurance/Health_Insurance +http://www.exchangebankshares.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Milledgeville/Business_and_Economy/Financial_Services/Banking_Services +http://www.chippewapartners.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Milton/Business_and_Economy/Financial_Services +http://www.nbwc.com/ Top/Regional/North_America/United_States/Georgia/Localities/M/Monroe/Business_and_Economy/Financial_Services +http://www.higginsandsmith.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Accounting +http://www.cpa-newnan.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Accounting +http://www.newnantax.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Accounting +http://www.mcclendonpricecpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Accounting +http://www.artmurphycpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Accounting +http://www.chuckjohnsoncpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Accounting +http://www.newnancpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Accounting +https://banknorthgeorgia.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Newnan/Business_and_Economy/Financial_Services/Banking_Services +https://www.firstdata.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Norcross/Business_and_Economy/Financial_Services +http://www.checkfree.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Norcross/Business_and_Economy/Financial_Services +http://www.mindspring.com/~cpainnorcross/ Top/Regional/North_America/United_States/Georgia/Localities/N/Norcross/Business_and_Economy/Financial_Services/Accounting +http://www.custard.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Norcross/Business_and_Economy/Financial_Services/Insurance +http://www.atlantains.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Norcross/Business_and_Economy/Financial_Services/Insurance +http://www.broome-spencer.com/ Top/Regional/North_America/United_States/Georgia/Localities/N/Norcross/Business_and_Economy/Financial_Services/Insurance +http://www.completeinsurance.biz/ Top/Regional/North_America/United_States/Georgia/Localities/N/Norcross/Business_and_Economy/Financial_Services/Insurance +http://www.pelhambank.com/ Top/Regional/North_America/United_States/Georgia/Localities/P/Pelham/Business_and_Economy/Financial_Services +http://www.firstbankofcg.com/ Top/Regional/North_America/United_States/Georgia/Localities/P/Pembroke/Business_and_Economy/Financial_Services +http://dewittagency.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Rincon/Business_and_Economy/Financial_Services/Insurance +http://www.oglethorpemortgage.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Rincon/Business_and_Economy/Financial_Services/Mortgages +http://www.romecpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Rome/Business_and_Economy/Financial_Services/Accounting +http://www.nwgacu.org/ Top/Regional/North_America/United_States/Georgia/Localities/R/Rome/Business_and_Economy/Financial_Services/Banking_Services +https://citizensfirst-rome.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Rome/Business_and_Economy/Financial_Services/Banking_Services +http://www.irwinagency.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Rome/Business_and_Economy/Financial_Services/Insurance +http://www.gillmanins.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Roswell/Business_and_Economy/Financial_Services/Insurance +http://www.bergeroneal.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Roswell/Business_and_Economy/Financial_Services/Insurance +http://www.bobcalvert.com/ Top/Regional/North_America/United_States/Georgia/Localities/R/Roswell/Business_and_Economy/Financial_Services/Mortgages +http://www.budgetmoney.com/ Top/Regional/North_America/United_States/Georgia/Localities/S/Savannah/Business_and_Economy/Financial_Services +http://www.ryancapital.com/ Top/Regional/North_America/United_States/Georgia/Localities/S/Savannah/Business_and_Economy/Financial_Services +http://www.carverstatebank.com/ Top/Regional/North_America/United_States/Georgia/Localities/S/Savannah/Business_and_Economy/Financial_Services +http://www.gaheritagefcu.org/ Top/Regional/North_America/United_States/Georgia/Localities/S/Savannah/Business_and_Economy/Financial_Services +http://www.sfti.org/ Top/Regional/North_America/United_States/Georgia/Localities/S/Snellville/Business_and_Economy/Financial_Services +http://www.fdp-planners.com/ Top/Regional/North_America/United_States/Georgia/Localities/S/Snellville/Business_and_Economy/Financial_Services +http://www.dhhccpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/S/Statesboro/Business_and_Economy/Financial_Services +http://www.quantumbank.com/ Top/Regional/North_America/United_States/Georgia/Localities/S/Suwanee/Business_and_Economy/Financial_Services +http://www.wcgb.com/ Top/Regional/North_America/United_States/Georgia/Localities/T/Thomaston/Business_and_Economy/Financial_Services +http://southcrestbank.com/ Top/Regional/North_America/United_States/Georgia/Localities/T/Thomaston/Business_and_Economy/Financial_Services +http://www.tnbank.com/ Top/Regional/North_America/United_States/Georgia/Localities/T/Thomasville/Business_and_Economy/Financial_Services +http://www.apbcpa.com/ Top/Regional/North_America/United_States/Georgia/Localities/T/Tifton/Business_and_Economy/Financial_Services +https://fcbtifton.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/T/Tifton/Business_and_Economy/Financial_Services +http://www.wtm-insurance.com/ Top/Regional/North_America/United_States/Georgia/Localities/T/Tucker/Business_and_Economy/Financial_Services/Insurance +http://www.nexusfinancial.com/ Top/Regional/North_America/United_States/Georgia/Localities/T/Tucker/Business_and_Economy/Financial_Services/Mortgages +http://www.gpvfcu.com/ Top/Regional/North_America/United_States/Georgia/Localities/V/Valdosta/Business_and_Economy/Financial_Services/Banking_Services +https://fsbtc.synovus.com/ Top/Regional/North_America/United_States/Georgia/Localities/V/Valdosta/Business_and_Economy/Financial_Services/Banking_Services +http://www.valdostainsurance.com/ Top/Regional/North_America/United_States/Georgia/Localities/V/Valdosta/Business_and_Economy/Financial_Services/Insurance +http://www.darbybank.com/ Top/Regional/North_America/United_States/Georgia/Localities/V/Vidalia/Business_and_Economy/Financial_Services +http://www.altamahabank.com/ Top/Regional/North_America/United_States/Georgia/Localities/V/Vidalia/Business_and_Economy/Financial_Services +http://www.robinsfcu.org/ Top/Regional/North_America/United_States/Georgia/Localities/W/Warner_Robins/Business_and_Economy/Financial_Services +http://www.mcnealagency.com/ Top/Regional/North_America/United_States/Georgia/Localities/W/Warner_Robins/Business_and_Economy/Financial_Services +http://www.oconeestatebank.com/ Top/Regional/North_America/United_States/Georgia/Localities/W/Watkinsville/Business_and_Economy/Financial_Services +http://www.commercialcostcontrol.com/ Top/Regional/North_America/United_States/Georgia/Localities/W/Woodstock/Business_and_Economy/Financial_Services +http://www.firstate.net/ Top/Regional/North_America/United_States/Georgia/Localities/W/Wrens/Business_and_Economy/Financial_Services +http://financialservices.house.gov/ Top/Regional/North_America/United_States/Government/Legislative_Branch/House_of_Representatives/Committees/Financial_Services +http://www.boh.com/ Top/Regional/North_America/United_States/Hawaii/Business_and_Economy/Financial_Services +http://www.csihawaii.org/ Top/Regional/North_America/United_States/Hawaii/Business_and_Economy/Financial_Services +http://www.fhb.com/ins.htm Top/Regional/North_America/United_States/Hawaii/Business_and_Economy/Financial_Services/Insurance +http://www.hicommfcu.com/ Top/Regional/North_America/United_States/Hawaii/Hawaii_Island/Business_and_Economy/Financial_Services +http://www.paycheckloan.com/ Top/Regional/North_America/United_States/Hawaii/Hawaii_Island/Localities/Hilo/Business_and_Economy/Financial_Services +http://www.kauaifcu.com/ Top/Regional/North_America/United_States/Hawaii/Kauai/Business_and_Economy/Financial_Services +http://www.kcfcu.org/ Top/Regional/North_America/United_States/Hawaii/Kauai/Business_and_Economy/Financial_Services +http://www.kgefcu.org/ Top/Regional/North_America/United_States/Hawaii/Kauai/Business_and_Economy/Financial_Services +http://www.eabuck.com/ Top/Regional/North_America/United_States/Hawaii/Oahu/Localities/Honolulu/Business_and_Economy/Financial_Services +http://www.providentfinancials.com/ Top/Regional/North_America/United_States/Idaho/Business_and_Economy/Financial_Services +http://www.quefinancial.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.idahounited.org/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.trugrocer.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.providentfinancials.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.13d.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.dbfitzpatrick.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.laskacompany.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.taxproboise.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.moneyeducators.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.cdresource.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +https://www.caped.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services +http://www.tarterpa.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Accountants +http://www.wilsonharris.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Accountants +http://www.presnellgage.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Accountants +http://www.rlpcpa.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Accountants +http://www.travis-jeffries.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Accountants +http://www.americanhealthvalue.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.isrb.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.higginsrutledge.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.kashbrowne.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.msadmin.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.postins.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.ballardandco.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.fredhealthins.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://bcins-id.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Insurance +http://www.coventrymortgage.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Mortgages +http://www.idahobankingco.com/ Top/Regional/North_America/United_States/Idaho/Localities/B/Boise/Business_and_Economy/Financial_Services/Mortgages +http://www.unitedheritage.com/ Top/Regional/North_America/United_States/Idaho/Localities/M/Meridian/Business_and_Economy/Financial_Services +http://www.yourtaxguy.com/ Top/Regional/North_America/United_States/Idaho/Localities/M/Meridian/Business_and_Economy/Financial_Services +http://www.latahfcu.org/ Top/Regional/North_America/United_States/Idaho/Localities/M/Moscow/Business_and_Economy/Financial_Services +http://www.davidneighbors.com/ Top/Regional/North_America/United_States/Idaho/Localities/M/Moscow/Business_and_Economy/Financial_Services +https://www.ccb-idaho.com/ Top/Regional/North_America/United_States/Idaho/Localities/P/Pocatello/Business_and_Economy/Financial_Services +http://www.sandpointcpa.com/ Top/Regional/North_America/United_States/Idaho/Localities/S/Sandpoint/Business_and_Economy/Financial_Services +http://www.taylorinsurancesandpoint.com/ Top/Regional/North_America/United_States/Idaho/Localities/S/Sandpoint/Business_and_Economy/Financial_Services +http://stephensmithagency.com/ Top/Regional/North_America/United_States/Idaho/Localities/S/Sandpoint/Business_and_Economy/Financial_Services +http://www.naifa-il.com/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services +http://www.state.il.us/dfi/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services +http://www.icpas.org/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services/Accounting +http://www.illinoisaccountants.com/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services/Accounting +http://www.skco.net/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services/Accounting +http://www.iculeague.org/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services/Banking_Services +http://www.bcbsil.com/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services/Insurance +http://www.illinoisinsurance.org/ Top/Regional/North_America/United_States/Illinois/Business_and_Economy/Financial_Services/Insurance +http://www.statebankofcountryside.com/ Top/Regional/North_America/United_States/Illinois/Counties/Cook/Business_and_Economy/Financial_Services +https://www.seawaybank.us/ Top/Regional/North_America/United_States/Illinois/Counties/Cook/Business_and_Economy/Financial_Services +https://www.alecu.org/ Top/Regional/North_America/United_States/Illinois/Counties/Lake/Business_and_Economy/Financial_Services +http://www.myconsumers.org/ Top/Regional/North_America/United_States/Illinois/Counties/Lake/Business_and_Economy/Financial_Services +http://www.micpa.com/ Top/Regional/North_America/United_States/Illinois/Localities/A/Arlington_Heights/Business_and_Economy/Financial_Services +http://www.mcuonline.com/ Top/Regional/North_America/United_States/Illinois/Localities/A/Arlington_Heights/Business_and_Economy/Financial_Services +http://www.weinbergonline.com/ Top/Regional/North_America/United_States/Illinois/Localities/A/Arlington_Heights/Business_and_Economy/Financial_Services +http://www.givensbarnes.com/ Top/Regional/North_America/United_States/Illinois/Localities/A/Arlington_Heights/Business_and_Economy/Financial_Services +http://www.konen.com/ Top/Regional/North_America/United_States/Illinois/Localities/A/Aurora/Business_and_Economy/Financial_Services +http://www.meyerwealth.com/ Top/Regional/North_America/United_States/Illinois/Localities/A/Aurora/Business_and_Economy/Financial_Services +http://www.sullivan-agency.com/ Top/Regional/North_America/United_States/Illinois/Localities/B/Bartlett/Business_and_Economy/Financial_Services +http://www.bigonline.net/ Top/Regional/North_America/United_States/Illinois/Localities/B/Bartlett/Business_and_Economy/Financial_Services +http://clemensinsurance.com/ Top/Regional/North_America/United_States/Illinois/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://statefarm.com/ Top/Regional/North_America/United_States/Illinois/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.countryfinancial.com/ Top/Regional/North_America/United_States/Illinois/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.frontiermutual.com/ Top/Regional/North_America/United_States/Illinois/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.beneplanning.com/ Top/Regional/North_America/United_States/Illinois/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://bluestemfa.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Champaign/Business_and_Economy/Financial_Services +https://www.aboc.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.selfreliance.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://source1cu.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.mesirowfinancial.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.frontenac.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.williamblair.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.gatx.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.aon.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.ntrs.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.morningstar.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.nationalsecurities.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.nuveen.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.mycurrencyexchange.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.dimeoschneider.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.hagertygrain.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.lakesidebank.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.chicagofed.org/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.shci.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.billowbutler.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.rmk123.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.us.jll.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services +http://www.chicagocpa.net/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Accounting +http://www.popcocpa.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Accounting +http://www.jrwaters.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Accounting +http://www.blayer.net/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Accounting +http://www.kmpcpa.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Accounting +http://www.adlassoc.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Accounting +http://accountantjobschicago.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Employment +http://www.cboe.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Exchanges +http://www.chx.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Exchanges +http://www.cmegroup.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Exchanges +http://www.activeinsurance.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Insurance +http://www.cna.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Insurance +http://lincolnautoinsurance.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Insurance +http://chicagoactuarialassociation.org/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Insurance +http://www.oldrepublic.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Insurance +http://www.aschoeneman.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Insurance +http://www.totalbenefitservices.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Insurance +http://www.corbymortgage.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Mortgages +http://www.cfsmortgage.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Mortgages +http://www.cicchicago.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Mortgages +http://www.forummtg.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Mortgages +https://www.guaranteedrate.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/Mortgages +http://www.ggp.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.firstindustrial.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.equityoffice.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.equityapartments.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.horizongroup.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.mansurco.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.equitylifestyle.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.rrpchicago.com/ Top/Regional/North_America/United_States/Illinois/Localities/C/Chicago/Business_and_Economy/Financial_Services/REITs +http://www.wardlane.com/ Top/Regional/North_America/United_States/Illinois/Localities/E/Elgin/Business_and_Economy/Financial_Services +http://www.communityelmhurst.com/ Top/Regional/North_America/United_States/Illinois/Localities/E/Elmhurst/Business_and_Economy/Financial_Services +https://www.doylerowe.com/ Top/Regional/North_America/United_States/Illinois/Localities/E/Elmhurst/Business_and_Economy/Financial_Services +http://www.taxlien.com/ Top/Regional/North_America/United_States/Illinois/Localities/E/Elmhurst/Business_and_Economy/Financial_Services +http://www.mentoradvisers.com/ Top/Regional/North_America/United_States/Illinois/Localities/E/Elmhurst/Business_and_Economy/Financial_Services +http://www.insurancebenefit.com/ Top/Regional/North_America/United_States/Illinois/Localities/G/Glen_Ellyn/Business_and_Economy/Financial_Services +http://www.ekanda.com/ Top/Regional/North_America/United_States/Illinois/Localities/G/Glen_Ellyn/Business_and_Economy/Financial_Services +http://www.brummetandolsen.com/ Top/Regional/North_America/United_States/Illinois/Localities/H/Hinsdale/Business_and_Economy/Financial_Services +http://www.hinsdalebank.com/ Top/Regional/North_America/United_States/Illinois/Localities/H/Hinsdale/Business_and_Economy/Financial_Services +http://www.belcorp.net/ Top/Regional/North_America/United_States/Illinois/Localities/H/Hinsdale/Business_and_Economy/Financial_Services +http://jacksonvillesavings.com/ Top/Regional/North_America/United_States/Illinois/Localities/J/Jacksonville/Business_and_Economy/Financial_Services +https://www.fsbtco.com/ Top/Regional/North_America/United_States/Illinois/Localities/J/Jacksonville/Business_and_Economy/Financial_Services +http://www.fazioinsurance.com/ Top/Regional/North_America/United_States/Illinois/Localities/J/Joliet/Business_and_Economy/Financial_Services +http://www.horseshoe.com/ Top/Regional/North_America/United_States/Illinois/Localities/J/Joliet/Business_and_Economy/Financial_Services +http://www.prairietrailcu.org/ Top/Regional/North_America/United_States/Illinois/Localities/J/Joliet/Business_and_Economy/Financial_Services +http://www.dccu.com/ Top/Regional/North_America/United_States/Illinois/Localities/M/Moline/Business_and_Economy/Financial_Services +http://www.ihmvcu.org/ Top/Regional/North_America/United_States/Illinois/Localities/M/Moline/Business_and_Economy/Financial_Services +https://vibrantcreditunion.org/ Top/Regional/North_America/United_States/Illinois/Localities/M/Moline/Business_and_Economy/Financial_Services +http://www.cfo-pro.com/ Top/Regional/North_America/United_States/Illinois/Localities/N/Naperville/Business_and_Economy/Financial_Services +http://www.globalriskservices.com/ Top/Regional/North_America/United_States/Illinois/Localities/N/Naperville/Business_and_Economy/Financial_Services +http://www.p2pinsuranceagency.com/ Top/Regional/North_America/United_States/Illinois/Localities/N/Naperville/Business_and_Economy/Financial_Services +http://www.avenuemortgage.com/ Top/Regional/North_America/United_States/Illinois/Localities/N/Naperville/Business_and_Economy/Financial_Services/Mortgages +http://www.mpomrehn.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Brook/Business_and_Economy/Financial_Services +http://www.obb.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Brook/Business_and_Economy/Financial_Services +http://www.protaxcpa.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Brook/Business_and_Economy/Financial_Services +http://www.mcgreal.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Lawn/Business_and_Economy/Financial_Services +http://www.crownmortgagecompany.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Lawn/Business_and_Economy/Financial_Services +http://www.westpointinsurance.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Lawn/Business_and_Economy/Financial_Services/Insurance +http://www.ferrellinsurance.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Lawn/Business_and_Economy/Financial_Services/Insurance +http://www.insureone.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Lawn/Business_and_Economy/Financial_Services/Insurance +http://www.buschbach.com/ Top/Regional/North_America/United_States/Illinois/Localities/O/Oak_Lawn/Business_and_Economy/Financial_Services/Insurance +http://www.gray-hunter-stenn.com/ Top/Regional/North_America/United_States/Illinois/Localities/Q/Quincy/Business_and_Economy/Financial_Services +http://www.mercantilebk.com/ Top/Regional/North_America/United_States/Illinois/Localities/Q/Quincy/Business_and_Economy/Financial_Services/Banks +http://www.tri-statehealthcare.com/ Top/Regional/North_America/United_States/Illinois/Localities/Q/Quincy/Business_and_Economy/Financial_Services/Insurance +http://www.racadj.com/ Top/Regional/North_America/United_States/Illinois/Localities/R/Rockford/Business_and_Economy/Financial_Services +http://www.membersalliance.org/ Top/Regional/North_America/United_States/Illinois/Localities/R/Rockford/Business_and_Economy/Financial_Services +http://www.stillmanbank.com/ Top/Regional/North_America/United_States/Illinois/Localities/R/Rockford/Business_and_Economy/Financial_Services +http://www.bankalpine.com/ Top/Regional/North_America/United_States/Illinois/Localities/R/Rockford/Business_and_Economy/Financial_Services +http://www.johnmorrissey.com/ Top/Regional/North_America/United_States/Illinois/Localities/R/Rockford/Business_and_Economy/Financial_Services/Accounting +http://www.lsallp.com/ Top/Regional/North_America/United_States/Illinois/Localities/R/Rockford/Business_and_Economy/Financial_Services/Accounting +http://www.romeovillecu.org/ Top/Regional/North_America/United_States/Illinois/Localities/R/Romeoville/Business_and_Economy/Financial_Services +http://www.nscu.org/ Top/Regional/North_America/United_States/Illinois/Localities/S/Schaumburg/Business_and_Economy/Financial_Services +http://www.griesllp.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Schaumburg/Business_and_Economy/Financial_Services +http://www.chapman-usa.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Schaumburg/Business_and_Economy/Financial_Services +http://www.thecarrgroup.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Schaumburg/Business_and_Economy/Financial_Services +http://www.captiveresources.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Schaumburg/Business_and_Economy/Financial_Services/Insurance +http://www.jasinsurance.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Schaumburg/Business_and_Economy/Financial_Services/Insurance +http://www.perrino.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.cbai.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.ispfcu.org/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.sikich.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.ibankmarine.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.townandcountrybank.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.hcu.org/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +https://www.bankwithbos.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.horacemann.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.iltitlecenter.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.jmward.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.rwtroxell.com/ Top/Regional/North_America/United_States/Illinois/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.advantax.com/ Top/Regional/North_America/United_States/Illinois/Localities/W/Wheaton/Business_and_Economy/Financial_Services +http://www.northview.com/ Top/Regional/North_America/United_States/Illinois/Localities/W/Wheaton/Business_and_Economy/Financial_Services +http://www.hbtbank.com/ Top/Regional/North_America/United_States/Illinois/Regions/Central_Illinois/Business_and_Economy/Financial_Services +http://www.snyder-ins-agency.com/ Top/Regional/North_America/United_States/Illinois/Regions/Central_Illinois/Business_and_Economy/Financial_Services +http://www.firstambank.com/ Top/Regional/North_America/United_States/Illinois/Regions/Northeastern_Illinois/Business_and_Economy/Financial_Services +http://www.earthmovercu.com/ Top/Regional/North_America/United_States/Illinois/Regions/Northeastern_Illinois/Business_and_Economy/Financial_Services +http://www.standardbanks.com/ Top/Regional/North_America/United_States/Illinois/Regions/Northeastern_Illinois/Business_and_Economy/Financial_Services +http://www.trustcoil.com/ Top/Regional/North_America/United_States/Illinois/Regions/Northeastern_Illinois/Business_and_Economy/Financial_Services +http://www.streamlineplanning.com/ Top/Regional/North_America/United_States/Illinois/Regions/Northeastern_Illinois/Business_and_Economy/Financial_Services +http://www.peoplesnationalbank.com/ Top/Regional/North_America/United_States/Illinois/Regions/Southern_Illinois/Business_and_Economy/Financial_Services +http://www.fnbolney.com/ Top/Regional/North_America/United_States/Illinois/Regions/Southern_Illinois/Business_and_Economy/Financial_Services +http://www.botsch.com/ Top/Regional/North_America/United_States/Illinois/Regions/Southern_Illinois/Business_and_Economy/Financial_Services +http://www.badencpa.com/ Top/Regional/North_America/United_States/Indiana/Business_and_Economy/Financial_Services +http://www.statewidedocuments.com/ Top/Regional/North_America/United_States/Indiana/Business_and_Economy/Financial_Services +https://germanamerican.com/ Top/Regional/North_America/United_States/Indiana/Business_and_Economy/Financial_Services +http://www.stewartbrimner.com/ Top/Regional/North_America/United_States/Indiana/Business_and_Economy/Financial_Services/Insurance +http://donavanins.com/ Top/Regional/North_America/United_States/Indiana/Localities/A/Auburn/Business_and_Economy/Financial_Services +http://www.hoosierhillscu.org/ Top/Regional/North_America/United_States/Indiana/Localities/B/Bedford/Business_and_Economy/Financial_Services +http://www.cranecu.org/ Top/Regional/North_America/United_States/Indiana/Localities/B/Bedford/Business_and_Economy/Financial_Services +http://www.bedfed.com/ Top/Regional/North_America/United_States/Indiana/Localities/B/Bedford/Business_and_Economy/Financial_Services +https://www.iucu.org/ Top/Regional/North_America/United_States/Indiana/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.pjstaxservice.com/ Top/Regional/North_America/United_States/Indiana/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.prall-cpa.com/ Top/Regional/North_America/United_States/Indiana/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.stampflicpa.com/ Top/Regional/North_America/United_States/Indiana/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.slatterycpa.com/ Top/Regional/North_America/United_States/Indiana/Localities/C/Carmel/Business_and_Economy/Financial_Services +http://www.blueandco.com/ Top/Regional/North_America/United_States/Indiana/Localities/C/Carmel/Business_and_Economy/Financial_Services +http://www.reninc.com/ Top/Regional/North_America/United_States/Indiana/Localities/C/Carmel/Business_and_Economy/Financial_Services +http://www.conseco.com/ Top/Regional/North_America/United_States/Indiana/Localities/C/Carmel/Business_and_Economy/Financial_Services/Insurance +http://www.borshoff.com/ Top/Regional/North_America/United_States/Indiana/Localities/C/Carmel/Business_and_Economy/Financial_Services/Insurance +http://www.chiphone.org/ Top/Regional/North_America/United_States/Indiana/Localities/E/Elkhart/Business_and_Economy/Financial_Services +http://umbach.com/ Top/Regional/North_America/United_States/Indiana/Localities/E/Evansville/Business_and_Economy/Financial_Services +http://www.integrabank.com/ Top/Regional/North_America/United_States/Indiana/Localities/E/Evansville/Business_and_Economy/Financial_Services +https://www.oldnational.com/ Top/Regional/North_America/United_States/Indiana/Localities/E/Evansville/Business_and_Economy/Financial_Services +http://www.centurionfcu.com/ Top/Regional/North_America/United_States/Indiana/Localities/E/Evansville/Business_and_Economy/Financial_Services +http://www.isucreditunion.com/ Top/Regional/North_America/United_States/Indiana/Localities/E/Evansville/Business_and_Economy/Financial_Services +http://www.diamondvalleyfcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/E/Evansville/Business_and_Economy/Financial_Services +http://www.gencu.org/ Top/Regional/North_America/United_States/Indiana/Localities/F/Fort_Wayne/Business_and_Economy/Financial_Services +http://www.mwafcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/F/Fort_Wayne/Business_and_Economy/Financial_Services +http://www.3riversfcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/F/Fort_Wayne/Business_and_Economy/Financial_Services +http://www.lfaonline.com/ Top/Regional/North_America/United_States/Indiana/Localities/F/Fort_Wayne/Business_and_Economy/Financial_Services +http://www.gamacdonald.com/ Top/Regional/North_America/United_States/Indiana/Localities/F/Fort_Wayne/Business_and_Economy/Financial_Services +https://finpart.secure.cusolutionsgroup.net/ Top/Regional/North_America/United_States/Indiana/Localities/F/Fort_Wayne/Business_and_Economy/Financial_Services +http://hammondnational.com/ Top/Regional/North_America/United_States/Indiana/Localities/H/Hammond/Business_and_Economy/Financial_Services +http://www.horizoninvestment.com/ Top/Regional/North_America/United_States/Indiana/Localities/H/Hammond/Business_and_Economy/Financial_Services +http://www.finansfcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/H/Hammond/Business_and_Economy/Financial_Services +http://www.ogreninsurance.com/ Top/Regional/North_America/United_States/Indiana/Localities/H/Hammond/Business_and_Economy/Financial_Services +http://www.advocatetax.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.rjpile.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.indymortgage.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.senexco.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.indianamba.org/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.mcmahancapital.net/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.sheaffbrock.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.sikich.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.nbofi.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.thewellingtongroupllc.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.premierecredit.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.cccindy.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.bedelfinancial.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.cap-strat.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.ismloans.org/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.monument-capital.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.fiscaltax.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.sbcwealth.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://jdrsolutions.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services +http://www.londonwitteandcompany.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.cwmcf.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.fmrinc.net/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.ksmcpa.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.kbparrish.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.jongleux.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.haneycpa.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.crowehorwath.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.greenwaltcpas.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.somersetcpas.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +https://www.mcak.net/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://alerdingcpagroup.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Accounting +http://www.imcu.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.fcfcu.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.linkfcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.forumcu.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.northparkccu.org/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.familyhorizons.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.energypluscu.org/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.mykemba.org/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +https://www.hoosierunitedcu.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +https://www.elements.org/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.cardinalinsurance.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://reiman-insurance.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://www.oneamerica.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://www.baldwinandlyons.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://www.gregoryappel.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://www.graindealers.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://www.shepherdins.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://www.jensenfordinsurance.com/ Top/Regional/North_America/United_States/Indiana/Localities/I/Indianapolis/Business_and_Economy/Financial_Services/Insurance +http://www.duboispike.org/ Top/Regional/North_America/United_States/Indiana/Localities/J/Jasper/Business_and_Economy/Financial_Services +http://www.kunkelinsurance.com/ Top/Regional/North_America/United_States/Indiana/Localities/J/Jasper/Business_and_Economy/Financial_Services +http://www.eaglecrestfinancial.com/ Top/Regional/North_America/United_States/Indiana/Localities/J/Jasper/Business_and_Economy/Financial_Services +http://www.stevebruhncpa.com/ Top/Regional/North_America/United_States/Indiana/Localities/L/Lafayette/Business_and_Economy/Financial_Services +http://www.dwdcpa.com/ Top/Regional/North_America/United_States/Indiana/Localities/M/Marion/Business_and_Economy/Financial_Services +https://www.riversidecommunityfcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/M/Marion/Business_and_Economy/Financial_Services +http://www.cumortgageservice.com/ Top/Regional/North_America/United_States/Indiana/Localities/M/Merrillville/Business_and_Economy/Financial_Services +http://www.swartz-retson.com/ Top/Regional/North_America/United_States/Indiana/Localities/M/Merrillville/Business_and_Economy/Financial_Services +http://www.roggeinsurance.com/ Top/Regional/North_America/United_States/Indiana/Localities/M/Merrillville/Business_and_Economy/Financial_Services +http://www.nifedcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/M/Merrillville/Business_and_Economy/Financial_Services +http://www.bsfcu.com/ Top/Regional/North_America/United_States/Indiana/Localities/M/Muncie/Business_and_Economy/Financial_Services +http://www.equispec.com/ Top/Regional/North_America/United_States/Indiana/Localities/M/Muncie/Business_and_Economy/Financial_Services +http://www.scsew.com/ Top/Regional/North_America/United_States/Indiana/Localities/M/Muncie/Business_and_Economy/Financial_Services +http://www.investforyou.com/ Top/Regional/North_America/United_States/Indiana/Localities/N/New_Albany/Business_and_Economy/Financial_Services +http://www.selfridge.net/ Top/Regional/North_America/United_States/Indiana/Localities/N/New_Albany/Business_and_Economy/Financial_Services +http://www.monroeshine.com/ Top/Regional/North_America/United_States/Indiana/Localities/N/New_Albany/Business_and_Economy/Financial_Services +http://www.bradyware.com/ Top/Regional/North_America/United_States/Indiana/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.rmdpatti.com/ Top/Regional/North_America/United_States/Indiana/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.firstbankrichmond.com/ Top/Regional/North_America/United_States/Indiana/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.wayne-teachers.org/ Top/Regional/North_America/United_States/Indiana/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.natcocu.org/ Top/Regional/North_America/United_States/Indiana/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.barcons.org/ Top/Regional/North_America/United_States/Indiana/Localities/S/Shelbyville/Business_and_Economy/Financial_Services +http://www.1stsource.com/ Top/Regional/North_America/United_States/Indiana/Localities/S/South_Bend/Business_and_Economy/Financial_Services +http://www.comwide.com/ Top/Regional/North_America/United_States/Indiana/Localities/S/South_Bend/Business_and_Economy/Financial_Services +http://www.sbfcu.org/ Top/Regional/North_America/United_States/Indiana/Localities/S/South_Bend/Business_and_Economy/Financial_Services +https://www.tcunet.com/ Top/Regional/North_America/United_States/Indiana/Localities/S/South_Bend/Business_and_Economy/Financial_Services +http://www.unitedcuonline.com/ Top/Regional/North_America/United_States/Indiana/Localities/W/Warsaw/Business_and_Economy/Financial_Services +http://www.lakecitybank.com/ Top/Regional/North_America/United_States/Indiana/Localities/W/Warsaw/Business_and_Economy/Financial_Services +http://www.worthfinancial.com/ Top/Regional/North_America/United_States/Indiana/Localities/W/Warsaw/Business_and_Economy/Financial_Services +http://www.theheydegroup.com/ Top/Regional/North_America/United_States/Indiana/Localities/W/Warsaw/Business_and_Economy/Financial_Services +http://www.cropins.net/ Top/Regional/North_America/United_States/Indiana/Localities/W/Warsaw/Business_and_Economy/Financial_Services/Insurance +http://www.ssbindee.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.iowasavingsbank.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.securitysavingsbank.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.consumercredit-dm.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.fsb-iowa.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.tdtpc.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.westiowabank.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.gofirstclass.org/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +https://www.bankerstrust.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.iabgc.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.fnbfontanelle.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://www.citizensstateonline.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +https://www.westbankstrong.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services +http://hoganhansen.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Accounting +http://www.mhcscpa.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Accounting +http://www.evcpa.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Accounting +http://www.schnurrllp.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Accounting +http://www.sucpa.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Accounting +http://www.lattaharris.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Accounting +http://www.gisiowa.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Insurance +http://www.tcins.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Insurance +http://www.eiahu.org/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Insurance +http://brockerkarns.com/ Top/Regional/North_America/United_States/Iowa/Business_and_Economy/Financial_Services/Insurance +http://www.fnbames.com/ Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +http://www.shortcpa.net/ Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +http://www.esb1.com/ Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +https://www.greateriowacu.org/ Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +http://www.amesinsurancecenter.com/ Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +https://www.wfhm.com/loans/joel-rogers/index.page Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +http://www.hdfs.hs.iastate.edu/fcc/ Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +https://www.visionbank.com/ Top/Regional/North_America/United_States/Iowa/Localities/A/Ames/Business_and_Economy/Financial_Services +http://www.h-splccpa.com/ Top/Regional/North_America/United_States/Iowa/Localities/B/Bettendorf/Business_and_Economy/Financial_Services +http://www.sitrickcpa.com/ Top/Regional/North_America/United_States/Iowa/Localities/B/Bettendorf/Business_and_Economy/Financial_Services +https://www.ascentra.org/ Top/Regional/North_America/United_States/Iowa/Localities/B/Bettendorf/Business_and_Economy/Financial_Services +http://www.casebine.com/ Top/Regional/North_America/United_States/Iowa/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.cpaapc.com/ Top/Regional/North_America/United_States/Iowa/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.fmbanktrust.com/ Top/Regional/North_America/United_States/Iowa/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.threeicreditunion.com/ Top/Regional/North_America/United_States/Iowa/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.tworiversbank.com/SEI/ Top/Regional/North_America/United_States/Iowa/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.firstiowa.com/ Top/Regional/North_America/United_States/Iowa/Localities/C/Cedar_Rapids/Business_and_Economy/Financial_Services +http://www.future-systems.net/ Top/Regional/North_America/United_States/Iowa/Localities/C/Cedar_Rapids/Business_and_Economy/Financial_Services +http://www.etechtransactions.com/ Top/Regional/North_America/United_States/Iowa/Localities/C/Cedar_Rapids/Business_and_Economy/Financial_Services +http://www.collinscu.org/ Top/Regional/North_America/United_States/Iowa/Localities/C/Cedar_Rapids/Business_and_Economy/Financial_Services/Credit_Unions +https://www.metcocu.org/ Top/Regional/North_America/United_States/Iowa/Localities/C/Cedar_Rapids/Business_and_Economy/Financial_Services/Credit_Unions +http://www.quakeroatscu.com/ Top/Regional/North_America/United_States/Iowa/Localities/C/Cedar_Rapids/Business_and_Economy/Financial_Services/Credit_Unions +http://www.melfosterinsurance.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Davenport/Business_and_Economy/Financial_Services +http://www.familycu.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Davenport/Business_and_Economy/Financial_Services +http://www.principal.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services +http://www.consumercredit-dm.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services +https://www.araglegal.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services +http://www.gardinercpa.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Accounting +http://www.pittmancpa.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Accounting +http://www.hansontax.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Accounting +http://befcpa.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Accounting +http://origtaxdoctor.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Accounting +http://www.dmmcu.org/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Credit_Unions +https://www.marinecu.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Credit_Unions +https://www.capview.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Credit_Unions +http://www.financialpluscu.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Credit_Unions +http://www.emcins.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.indemnus.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.americanrepublic.com/ Top/Regional/North_America/United_States/Iowa/Localities/D/Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://capmgt.com/ Top/Regional/North_America/United_States/Iowa/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.bnvcpa.com/ Top/Regional/North_America/United_States/Iowa/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.wgclaims.com/ Top/Regional/North_America/United_States/Iowa/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.fsb-iowa.com/ Top/Regional/North_America/United_States/Iowa/Localities/M/Marshalltown/Business_and_Economy/Financial_Services +http://www.bankpinnacle.us/ Top/Regional/North_America/United_States/Iowa/Localities/M/Marshalltown/Business_and_Economy/Financial_Services +http://jeffkrough.nm.com/ Top/Regional/North_America/United_States/Iowa/Localities/M/Marshalltown/Business_and_Economy/Financial_Services +http://www.insurecentraliowa.com/ Top/Regional/North_America/United_States/Iowa/Localities/M/Marshalltown/Business_and_Economy/Financial_Services/Insurance +http://www.niccu.com/ Top/Regional/North_America/United_States/Iowa/Localities/M/Mason_City/Business_and_Economy/Financial_Services +http://www.corporatefarmer.com/ Top/Regional/North_America/United_States/Iowa/Localities/M/Mason_City/Business_and_Economy/Financial_Services +http://www.1stinsurance.com/ Top/Regional/North_America/United_States/Iowa/Localities/M/Mason_City/Business_and_Economy/Financial_Services +http://www.iowaheartland.org/ Top/Regional/North_America/United_States/Iowa/Localities/M/Mason_City/Business_and_Economy/Financial_Services +http://www.carneycpa.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/Waterloo/Business_and_Economy/Financial_Services +http://www.elliotthartman.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/Waterloo/Business_and_Economy/Financial_Services +http://www.easterniowaclaims.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/Waterloo/Business_and_Economy/Financial_Services +http://www.brookslodden.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/West_Des_Moines/Business_and_Economy/Financial_Services +http://www.lmcins.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/West_Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.guideone.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/West_Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.midamcat.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/West_Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.kristinsurance.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/West_Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.assetpro.net/ Top/Regional/North_America/United_States/Iowa/Localities/W/West_Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.theglienkeagency.com/ Top/Regional/North_America/United_States/Iowa/Localities/W/West_Des_Moines/Business_and_Economy/Financial_Services/Insurance +http://www.bankcsb.com/ Top/Regional/North_America/United_States/Iowa/Regions/Central/Business_and_Economy/Financial_Services +http://www.ksbiowa.com/ Top/Regional/North_America/United_States/Iowa/Regions/Central/Business_and_Economy/Financial_Services +https://www.ptsb.bank/ Top/Regional/North_America/United_States/Iowa/Regions/Central/Business_and_Economy/Financial_Services +http://www.bank-northwest.com/ Top/Regional/North_America/United_States/Iowa/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.csbsheldon.com/ Top/Regional/North_America/United_States/Iowa/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.hopkinsinsurance.com/ Top/Regional/North_America/United_States/Iowa/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.nsccu.org/ Top/Regional/North_America/United_States/Iowa/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.peoples-ebank.com/ Top/Regional/North_America/United_States/Iowa/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.epremierbank.com/ Top/Regional/North_America/United_States/Iowa/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.tckansas.com/ Top/Regional/North_America/United_States/Kansas/Business_and_Economy/Financial_Services +http://www.kscccs.org/ Top/Regional/North_America/United_States/Kansas/Business_and_Economy/Financial_Services +http://www.kansasbusinessloans.com/ Top/Regional/North_America/United_States/Kansas/Business_and_Economy/Financial_Services +http://www.sunflowerbank.com/ Top/Regional/North_America/United_States/Kansas/Business_and_Economy/Financial_Services/Banks +http://www.emprisebank.com/ Top/Regional/North_America/United_States/Kansas/Business_and_Economy/Financial_Services/Banks +http://www.patriotsbank.com/ Top/Regional/North_America/United_States/Kansas/Business_and_Economy/Financial_Services/Banks +http://www.elliottinsurancegroup.com/ Top/Regional/North_America/United_States/Kansas/Business_and_Economy/Financial_Services/Insurance +http://ogdenleasing.com/ Top/Regional/North_America/United_States/Kansas/Localities/L/Lenexa/Business_and_Economy/Financial_Services/Leasing_Services +https://www.ksstatebank.com/ Top/Regional/North_America/United_States/Kansas/Localities/M/Manhattan/Business_and_Economy/Financial_Services +http://www.greatplainstrust.com/ Top/Regional/North_America/United_States/Kansas/Localities/O/Overland_Park/Business_and_Economy/Financial_Services +http://www.caffreyloans.com/ Top/Regional/North_America/United_States/Kansas/Localities/O/Overland_Park/Business_and_Economy/Financial_Services +http://www.valleyviewbank.com/ Top/Regional/North_America/United_States/Kansas/Localities/O/Overland_Park/Business_and_Economy/Financial_Services +http://www.fancherfinancialservices.com/ Top/Regional/North_America/United_States/Kansas/Localities/O/Overland_Park/Business_and_Economy/Financial_Services +http://www.tppcpa.com/ Top/Regional/North_America/United_States/Kansas/Localities/O/Overland_Park/Business_and_Economy/Financial_Services/Accounting/Accountants +http://www.cbtks.com/ Top/Regional/North_America/United_States/Kansas/Localities/T/Topeka/Business_and_Economy/Financial_Services +http://www.communitybanktopeka.com/ Top/Regional/North_America/United_States/Kansas/Localities/T/Topeka/Business_and_Economy/Financial_Services +http://www.aghlc.com/ Top/Regional/North_America/United_States/Kansas/Localities/W/Wichita/Business_and_Economy/Financial_Services +http://www.powersfinancial.com/ Top/Regional/North_America/United_States/Kansas/Localities/W/Wichita/Business_and_Economy/Financial_Services +http://www.stukeyfinancialplanning.com/ Top/Regional/North_America/United_States/Kansas/Localities/W/Wichita/Business_and_Economy/Financial_Services +http://www.hardyaviationins.com/ Top/Regional/North_America/United_States/Kansas/Localities/W/Wichita/Business_and_Economy/Financial_Services/Insurance +http://www.blythewhite.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +http://www.centralbank.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +http://www.cbandt.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +https://www.forchtbank.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +http://www.kybank.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +https://www.kysaves.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +http://fieldandmain.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +http://deandorton.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services +http://www.riddleins.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services/Insurance +http://www.worthins.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services/Insurance +http://www.limestoneagency.com/ Top/Regional/North_America/United_States/Kentucky/Business_and_Economy/Financial_Services/Insurance +http://www.fnbky.com/ Top/Regional/North_America/United_States/Kentucky/Localities/D/Danville/Business_and_Economy/Financial_Services +http://gashfitzpatrick.com/ Top/Regional/North_America/United_States/Kentucky/Localities/D/Danville/Business_and_Economy/Financial_Services +http://www.dupree-funds.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services +http://www.hisle-cpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.livesaygroup.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.krfrcpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.pricestagner.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.donaldandco.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.dhudcpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://rfhco.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.mmssllp.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.kspencercpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Accounting +http://www.traditionalbank.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Banking_Services +http://www.bankofthebluegrass.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Banking_Services +http://www.kuefcu.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Credit_Unions +http://www.nancybarron.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Financial_Planning +http://ballastplan.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Financial_Planning +http://www.cambridgeinsurance.net/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Insurance +http://www.professionalplans.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Insurance +http://www.pikeandpreston.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Insurance +https://sladecollins.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Insurance +http://agency.nationwide.com/tim-hamilton-in-lexington-ky Top/Regional/North_America/United_States/Kentucky/Localities/L/Lexington/Business_and_Economy/Financial_Services/Insurance +http://www.mozaicir.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services +http://www.accreditedwm.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services +http://www.bluegrassfinancialplanning.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services +http://www.williamhollister.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.dmlo.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.amickonline.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://mcmcpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.strothman.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.jnmcpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.ltroth.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.bowdenandwood.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.ogreencpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.dkdcpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.hjcocpas.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.aplcpa.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.bccpas.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://nmscpas.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.welenken.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Accounting +http://www.fcbok.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Banking_Services +http://www.republicbank.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Banking_Services +http://www.lnfcu.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Banking_Services +http://www.syb.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Banking_Services +https://www.pbibank.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Banking_Services +http://www.kytelco.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Credit_Unions +http://www.membersfirst.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Credit_Unions +http://www.insurehorses.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.independentequineagents.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.amwarnerinsurance.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.carewisehealth.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.insuramax.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.dickwattsinsurance.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.kielyhines.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.smithmanus.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.bradeninsurance.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.claudereynoldsinsurance.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.dolackinsurance.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://agent-link.net/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://rhcgroup.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.familyselectinsurance.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://weinsurekentucky.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.fustingandduganins.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Insurance +http://www.mortgagenetwork.net/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Mortgages +https://www.americanfarmmortgage.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Mortgages +http://louisvillemortgagelenders.com/ Top/Regional/North_America/United_States/Kentucky/Localities/L/Louisville/Business_and_Economy/Financial_Services/Mortgages +http://www.republicbank.com/ Top/Regional/North_America/United_States/Kentucky/Localities/O/Owensboro/Business_and_Economy/Financial_Services +http://www.ofcuonline.com/ Top/Regional/North_America/United_States/Kentucky/Localities/O/Owensboro/Business_and_Economy/Financial_Services +http://www.firstsecurity.net/ Top/Regional/North_America/United_States/Kentucky/Localities/O/Owensboro/Business_and_Economy/Financial_Services +http://www.rineyhancock.com/ Top/Regional/North_America/United_States/Kentucky/Localities/O/Owensboro/Business_and_Economy/Financial_Services +http://www.1776bank.com/ Top/Regional/North_America/United_States/Kentucky/Localities/O/Owensboro/Business_and_Economy/Financial_Services +http://www.southcentralbank.com/ Top/Regional/North_America/United_States/Kentucky/Localities/O/Owensboro/Business_and_Economy/Financial_Services +http://www.craftnoble.com/ Top/Regional/North_America/United_States/Kentucky/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.baldwincpas.com/ Top/Regional/North_America/United_States/Kentucky/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://kyinsurance.com/ Top/Regional/North_America/United_States/Kentucky/Localities/W/Winchester/Business_and_Economy/Financial_Services +http://www.argentmoney.com/ Top/Regional/North_America/United_States/Louisiana/Business_and_Economy/Financial_Services +http://www.insure-mart.com/ Top/Regional/North_America/United_States/Louisiana/Business_and_Economy/Financial_Services/Insurance +http://www.lacompanies.com/ Top/Regional/North_America/United_States/Louisiana/Business_and_Economy/Financial_Services/Insurance +http://www.pelicanstatecu.com/ Top/Regional/North_America/United_States/Louisiana/Localities/B/Baton_Rouge/Business_and_Economy/Financial_Services +http://www.jdbank.com/ Top/Regional/North_America/United_States/Louisiana/Localities/L/Lake_Charles/Business_and_Economy/Financial_Services +http://www.smwcpa.com/ Top/Regional/North_America/United_States/Louisiana/Localities/L/Lake_Charles/Business_and_Economy/Financial_Services +http://www.2tsi.com/ Top/Regional/North_America/United_States/Louisiana/Localities/L/Lake_Charles/Business_and_Economy/Financial_Services +http://www.lammico.com/ Top/Regional/North_America/United_States/Louisiana/Localities/M/Metairie/Business_and_Economy/Financial_Services +http://www.metairiebank.com/ Top/Regional/North_America/United_States/Louisiana/Localities/M/Metairie/Business_and_Economy/Financial_Services +http://www.biz-capital.com/ Top/Regional/North_America/United_States/Louisiana/Localities/M/Metairie/Business_and_Economy/Financial_Services +http://personhuffcpa.com/ Top/Regional/North_America/United_States/Louisiana/Localities/M/Metairie/Business_and_Economy/Financial_Services +http://www.bankoflouisiana.com/ Top/Regional/North_America/United_States/Louisiana/Localities/N/New_Orleans/Business_and_Economy/Financial_Services +http://www.dorseyco.com/ Top/Regional/North_America/United_States/Louisiana/Localities/N/New_Orleans/Business_and_Economy/Financial_Services +http://www.fifthdistrict.com/ Top/Regional/North_America/United_States/Louisiana/Localities/N/New_Orleans/Business_and_Economy/Financial_Services +http://www.stanmor.com/ Top/Regional/North_America/United_States/Louisiana/Localities/N/New_Orleans/Business_and_Economy/Financial_Services +http://www.gillis.com/ Top/Regional/North_America/United_States/Louisiana/Localities/N/New_Orleans/Business_and_Economy/Financial_Services/Insurance +http://www.rbm.com/ Top/Regional/North_America/United_States/Louisiana/Localities/S/Shreveport/Business_and_Economy/Financial_Services +http://www.rko-cpas.com/ Top/Regional/North_America/United_States/Maine/Business_and_Economy/Financial_Services +http://www.lghcpa.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +http://www.efscpa.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +http://www.camdennational.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +http://www.emmcfcu.org/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +http://www.btacpa.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +http://www.deighan.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +http://www.roycpas.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +https://www.bangorfederal.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services +https://www.crossagency.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services/Insurance +http://www.varneyagency.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services/Insurance +http://www.dawsoninsuranceonline.com/ Top/Regional/North_America/United_States/Maine/Localities/B/Bangor/Business_and_Economy/Financial_Services/Insurance +http://www.otisatwell.com/ Top/Regional/North_America/United_States/Maine/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.smithwick-ins.com/ Top/Regional/North_America/United_States/Maine/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.anneromanocpa.com/ Top/Regional/North_America/United_States/Maine/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.insuranceinportland.com/ Top/Regional/North_America/United_States/Maine/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.holdenagency.com/ Top/Regional/North_America/United_States/Maine/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.pfpg.com/ Top/Regional/North_America/United_States/Maine/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.chessiefcu.org/ Top/Regional/North_America/United_States/Maryland/Business_and_Economy/Financial_Services +http://www.mksh.com/ Top/Regional/North_America/United_States/Maryland/Business_and_Economy/Financial_Services +http://www.drapermcginleypa.com/ Top/Regional/North_America/United_States/Maryland/Business_and_Economy/Financial_Services +http://www.glassjacobson.com/ Top/Regional/North_America/United_States/Maryland/Business_and_Economy/Financial_Services +http://www.rowles.com/ Top/Regional/North_America/United_States/Maryland/Business_and_Economy/Financial_Services +http://www.lswgcpa.com/ Top/Regional/North_America/United_States/Maryland/Business_and_Economy/Financial_Services +http://www.curreyfinancial.com/ Top/Regional/North_America/United_States/Maryland/Localities/A/Annapolis/Business_and_Economy/Financial_Services +http://www.insurewitholson.com/ Top/Regional/North_America/United_States/Maryland/Localities/A/Annapolis/Business_and_Economy/Financial_Services +http://www.hannonarmstrong.com/ Top/Regional/North_America/United_States/Maryland/Localities/A/Annapolis/Business_and_Economy/Financial_Services +http://www.dcameragroup.com/ Top/Regional/North_America/United_States/Maryland/Localities/A/Annapolis/Business_and_Economy/Financial_Services +http://www.firstannapolis.com/ Top/Regional/North_America/United_States/Maryland/Localities/A/Annapolis/Business_and_Economy/Financial_Services +http://kofcknights.org/FieldAgentSite/indexnew.asp?FA=84 Top/Regional/North_America/United_States/Maryland/Localities/A/Annapolis/Business_and_Economy/Financial_Services +http://www.cnrinsurance.com/ Top/Regional/North_America/United_States/Maryland/Localities/A/Annapolis/Business_and_Economy/Financial_Services +http://www.gma-cpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.rosedalefederal.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.theharborbank.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.provbank.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.jhfcu.org/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.masoncarter.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.rktongue.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.hoffberger.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.boltonpartners.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.afnw.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.browncapital.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.optimalsolutionsgroup.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.mfirstcu.org/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.ellinandtucker.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.grabercpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Baltimore/Business_and_Economy/Financial_Services +http://www.grossberg.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.mendelsoncpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.wattercpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.bigdough.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.lifefinancialplanning.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.dyercpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.bridgewaterwealth.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.americancapital.com/ Top/Regional/North_America/United_States/Maryland/Localities/B/Bethesda/Business_and_Economy/Financial_Services +http://www.cardoniwaddell.com/ Top/Regional/North_America/United_States/Maryland/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.corridormtg.com/ Top/Regional/North_America/United_States/Maryland/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.financialadvantageinc.com/ Top/Regional/North_America/United_States/Maryland/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.lgit.org/ Top/Regional/North_America/United_States/Maryland/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.businsure.com/ Top/Regional/North_America/United_States/Maryland/Localities/E/Elkridge/Business_and_Economy/Financial_Services +http://allstateagencies.com/LindaYoung Top/Regional/North_America/United_States/Maryland/Localities/E/Elkridge/Business_and_Economy/Financial_Services +http://www.bgrcpas.com/ Top/Regional/North_America/United_States/Maryland/Localities/E/Ellicott_City/Business_and_Economy/Financial_Services +http://www.cbfbusinesssolutions.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.moorewealthinc.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.rlhcpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.fcbmd.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.stevenkatzcpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.lacefinancial.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.dfinancialstrategies.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.murrayfinancialgroup.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.hlacpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +http://www.frederick.com/index.php?action=sponsor&id=3341 Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services +https://www.avemco.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services/Insurance +http://www.carmackig.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services/Insurance +http://www.fredmut.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services/Insurance +http://www.lebherzinsurance.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services/Insurance +http://www.insurance-financial.net/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services/Insurance +http://www.powell-insurance.com/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services/Insurance +http://www.adamsinsuranceagency.net/ Top/Regional/North_America/United_States/Maryland/Localities/F/Frederick/Business_and_Economy/Financial_Services/Insurance +http://www.deleonandstang.com/ Top/Regional/North_America/United_States/Maryland/Localities/G/Gaithersburg/Business_and_Economy/Financial_Services +http://www.mafcu.org/ Top/Regional/North_America/United_States/Maryland/Localities/G/Gaithersburg/Business_and_Economy/Financial_Services +http://www.baldersoninsurance.com/ Top/Regional/North_America/United_States/Maryland/Localities/G/Gaithersburg/Business_and_Economy/Financial_Services +http://www.heberinsurance.com/ Top/Regional/North_America/United_States/Maryland/Localities/G/Gaithersburg/Business_and_Economy/Financial_Services +http://www.lrmd-cpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/G/Gaithersburg/Business_and_Economy/Financial_Services +http://www.haleaccounting.com/ Top/Regional/North_America/United_States/Maryland/Localities/G/Gaithersburg/Business_and_Economy/Financial_Services +http://www.dndcpa.com/ Top/Regional/North_America/United_States/Maryland/Localities/G/Gaithersburg/Business_and_Economy/Financial_Services +http://www.kropschot.com/ Top/Regional/North_America/United_States/Maryland/Localities/M/Millersville/Business_and_Economy/Financial_Services +http://www.browningreagle.com/ Top/Regional/North_America/United_States/Maryland/Localities/M/Mount_Airy/Business_and_Economy/Financial_Services +http://www.acctcite.com/ Top/Regional/North_America/United_States/Maryland/Localities/M/Mount_Airy/Business_and_Economy/Financial_Services +http://www.mainstadvisors.com/ Top/Regional/North_America/United_States/Maryland/Localities/M/Mount_Airy/Business_and_Economy/Financial_Services +http://www.pro-finance.net/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.prochcpas.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.rosenbloomcpas.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.divorce-and-money.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.energyfcu.org/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.nihfcu.org/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.stanginsurancegroup.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.tie-inc.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.grantinsuranceagency.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.aronsoncompany.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.csrscollections.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.finadvinc.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.oxley-goldburn.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.tax-masters.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.santospostal.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.barkanicandames.com/ Top/Regional/North_America/United_States/Maryland/Localities/R/Rockville/Business_and_Economy/Financial_Services +http://www.ccassociates.com/ Top/Regional/North_America/United_States/Maryland/Localities/S/Silver_Spring/Business_and_Economy/Financial_Services +http://www.statlandkatz.com/ Top/Regional/North_America/United_States/Maryland/Localities/S/Silver_Spring/Business_and_Economy/Financial_Services/Insurance +http://barnesbollinger.com/ Top/Regional/North_America/United_States/Maryland/Localities/W/Westminster/Business_and_Economy/Financial_Services +http://www.billingslearealestate.com/ Top/Regional/North_America/United_States/Maryland/Localities/W/Westminster/Business_and_Economy/Financial_Services +http://crawfordyinglinginsurance.com/ Top/Regional/North_America/United_States/Maryland/Localities/W/Westminster/Business_and_Economy/Financial_Services +http://www.ccumd.org/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.towerfcu.org/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.1stmarinerbank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.chesapeakebank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.kbank.net/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.easternsavingsbank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.awfinancialgroup.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.harfordbank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.katzabosch.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +https://www.baybankmd.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Baltimore_Metro_Area/Business_and_Economy/Financial_Services +http://www.firstshorefed.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.cnbmd.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +https://www.averyhall.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.fawcasson.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.ashleyinsurance.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.eastonbankandtrust.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.hebronsavingsbank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.providentstatebank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.talbot-bank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.queenstown-bank.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.avondixon.com/ Top/Regional/North_America/United_States/Maryland/Metro_Areas_and_Regions/Eastern_Shore/Business_and_Economy/Financial_Services +http://www.mscpaonline.org/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services +http://www.countrybank.com/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services +http://www.massbankers.org/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services +http://www.fpama.org/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services +http://www.aafcpa.com/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services +http://www.masshousing.com/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services +http://www.fidelitybankonline.com/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services +http://www.dfmurphy.com/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services/Insurance +http://www.emiia.org/ Top/Regional/North_America/United_States/Massachusetts/Business_and_Economy/Financial_Services/Insurance +http://www.berkshirebank.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Berkshire/Business_and_Economy/Financial_Services +http://www.leebank.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Berkshire/Business_and_Economy/Financial_Services +http://www.wheelertaylor.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Berkshire/Business_and_Economy/Financial_Services +http://www.tooleinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Berkshire/Business_and_Economy/Financial_Services +http://berkshirequote.net/ Top/Regional/North_America/United_States/Massachusetts/Counties/Berkshire/Business_and_Economy/Financial_Services +http://www.pittsfieldcoop.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Berkshire/Business_and_Economy/Financial_Services +http://www.westfieldbank.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Hampden/Business_and_Economy/Financial_Services +http://www.dedhamsavings.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Norfolk/Business_and_Economy/Financial_Services +http://www.colonial4banking.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://www.bankatcommerce.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://www.websterfirst.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://www.unibank.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://www.hometowncoop.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://www.gaudette-insurance.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://www.danielsinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://www.northbrookfieldsavingsbank.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +http://icne.com/ Top/Regional/North_America/United_States/Massachusetts/Counties/Worcester/Business_and_Economy/Financial_Services +https://www.greylock.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/A/Adams/Business_and_Economy/Financial_Services +https://www.leaderbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/A/Arlington/Business_and_Economy/Financial_Services +http://www.neinsure.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/A/Auburn/Business_and_Economy/Financial_Services +http://www.goldcoastmortgage.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Beverly/Business_and_Economy/Financial_Services +https://www.beverlybank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Beverly/Business_and_Economy/Financial_Services +http://www.applebywyman.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Beverly/Business_and_Economy/Financial_Services/Insurance +http://www.lauranzano.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Beverly/Business_and_Economy/Financial_Services/Insurance +http://www.leslieray.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Beverly/Business_and_Economy/Financial_Services/Insurance +http://www.wellington.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.statestreet.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +https://www.bostoncapital.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.fidelity.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.moorscabot.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.waldenassetmgmt.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.mrm-horizon.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.teri.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.backbayfinancial.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.gregnewtonassociates.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.bostoncommunitycapital.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.marsoft.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.cantella.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.leerink.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.statestreetresearch.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.covingtonassociates.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.igsboston.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.debtx.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://bankruptcydata.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.carpenterhawke.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.naca.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://gryphoninc.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.accionusa.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.convexitycapital.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.gid.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services +http://www.thecooperativebank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.pciwiz.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.icu.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.mastatecu.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.firstprioritycu.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.bostonprivatebank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.mtwashingtonbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.ftub.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.mafcucreditunion.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://firsteastern.mortgagewebcenter.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Banking_Services +http://www.crosbiemac.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.libertymutual.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.gormanins.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.salhaneyinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.hrhatch.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.wgains.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.lymneos.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.alangray.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.statefundins.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Insurance +http://www.vimac.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.cmgi.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.mpmcapital.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.housatonicpartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.atlasventure.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.spectrumequity.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.brookventure.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.ta.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.summitpartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.sigmapartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.jafco.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.westonpresidio.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.harbourvest.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.egancapital.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.ascentvp.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.mtdc.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.bu.edu/ctf/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.fatechventures.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.masscapital.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.cullinane-group.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.millenniapartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.charlesbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.parkstreetcapital.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.mcpartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.polarispartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Boston/Business_and_Economy/Financial_Services/Venture_Capital +http://www.brooklinebank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Brookline/Business_and_Economy/Financial_Services +http://www.investorscircle.net/ Top/Regional/North_America/United_States/Massachusetts/Localities/B/Brookline/Business_and_Economy/Financial_Services +http://www.cambridgesavings.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.cambridgetrust.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.hcven.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.cbiz.com/tofias/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://cic.us/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.federatedinvestors.com/FII/leaf/display.do?cid=122548 Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services +https://convergevp.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services +http://www.galanteinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Cambridge/Business_and_Economy/Financial_Services/Insurance +http://www.arrowmutual.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Chestnut_Hill/Business_and_Economy/Financial_Services +http://www.casherassociates.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Chestnut_Hill/Business_and_Economy/Financial_Services +http://www.all-cpas.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Chestnut_Hill/Business_and_Economy/Financial_Services +http://www.hoff-man.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Chestnut_Hill/Business_and_Economy/Financial_Services +http://www.franchiseperfection.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/C/Chestnut_Hill/Business_and_Economy/Financial_Services +http://www.everettbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/E/Everett/Business_and_Economy/Financial_Services +http://www.framinghambank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/F/Framingham/Business_and_Economy/Financial_Services +http://www.partimecfo.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/F/Framingham/Business_and_Economy/Financial_Services +https://www.mwcfcu.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/F/Framingham/Business_and_Economy/Financial_Services +http://www.greenfieldsavings.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/G/Greenfield/Business_and_Economy/Financial_Services +http://www.greenfieldcoopbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/G/Greenfield/Business_and_Economy/Financial_Services +http://gilmoreandfarrell.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/G/Greenfield/Business_and_Economy/Financial_Services +http://www.haverhillbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/H/Haverhill/Business_and_Economy/Financial_Services +http://www.havfirecu.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/H/Haverhill/Business_and_Economy/Financial_Services +http://www.pentucketbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/H/Haverhill/Business_and_Economy/Financial_Services +http://www.kittredgeinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/H/Haverhill/Business_and_Economy/Financial_Services/Insurance +http://www.herlihygroup.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/H/Holden/Business_and_Economy/Financial_Services/Insurance +http://www.hcp.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/L/Lexington/Business_and_Economy/Financial_Services +http://www.taxxpert.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/L/Lexington/Business_and_Economy/Financial_Services +http://nardellataylor.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/L/Lexington/Business_and_Economy/Financial_Services +http://www.stonecpas.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/L/Lexington/Business_and_Economy/Financial_Services +http://www.capstonemortgage.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/L/Lexington/Business_and_Economy/Financial_Services +http://www.practicalplanner.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Maynard/Business_and_Economy/Financial_Services +http://www.payrightpayroll.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Maynard/Business_and_Economy/Financial_Services +http://www.vadarsystems.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Maynard/Business_and_Economy/Financial_Services +https://www.dcu.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Maynard/Business_and_Economy/Financial_Services +http://www.middlesexbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Medfield/Business_and_Economy/Financial_Services +http://www.chinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Medfield/Business_and_Economy/Financial_Services +http://pegaesusgroup.net/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Medfield/Business_and_Economy/Financial_Services +http://www.belforti.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Milford/Business_and_Economy/Financial_Services +http://milfordfederal.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.hughart.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.millburysavings.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Millbury/Business_and_Economy/Financial_Services +http://www.millburycu.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/M/Millbury/Business_and_Economy/Financial_Services +http://www.128cpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.intex.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.direct.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://otcgsw.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.bulfinchgroup.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.nationalfc.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.bsdcpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.fsscpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.jvkcpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.sagacpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.bondandco.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services +http://www.landy.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services/Insurance +http://www.faiu.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services/Insurance +http://www.aronsoninsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Needham/Business_and_Economy/Financial_Services/Insurance +http://www.alkon-levine.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://www.fgccpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://www.eagleinvsys.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://www.castaneapartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://www.bookkeepingboston.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://www.smallbusinessacct.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://waterstinvestments.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://www.paragonfinancialadvisors.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services +http://www.bermanadjusters.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services/Insurance +http://www.johntburns.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services/Insurance +http://www.ltc-cltc.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services/Insurance +http://www.telamonins.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services/Insurance +http://www.eisenbergassociates.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services/Insurance +http://www.imaagency.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services/Insurance +http://pagelugton.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/Newton/Business_and_Economy/Financial_Services/Insurance +http://www.newbedfordcu.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/New_Bedford/Business_and_Economy/Financial_Services +http://stannecreditunion.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/New_Bedford/Business_and_Economy/Financial_Services +http://www.leatham-cpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/New_Bedford/Business_and_Economy/Financial_Services +http://www.swqlaw.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/New_Bedford/Business_and_Economy/Financial_Services +http://www.toinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/New_Bedford/Business_and_Economy/Financial_Services/Insurance +http://www.insurancenewbedfordma.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/New_Bedford/Business_and_Economy/Financial_Services/Insurance +http://www.landmark-cu.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/North_Adams/Business_and_Economy/Financial_Services +http://www.gmcpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/N/North_Adams/Business_and_Economy/Financial_Services +http://www.coonaninsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/O/Oxford/Business_and_Economy/Financial_Services +http://www.brendacpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/O/Oxford/Business_and_Economy/Financial_Services +http://www.joycapmgt.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/P/Plymouth/Business_and_Economy/Financial_Services +http://www.cabot.net/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.ecabot.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.gkpc.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.jandrservices.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.ryancoscia.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.finer.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.salemfive.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services/Banks +http://www.corporatedesigninsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services/Insurance +http://www.gtmccarthy.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Salem/Business_and_Economy/Financial_Services/Insurance +http://www.anastasiinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Shrewsbury/Business_and_Economy/Financial_Services +http://www.ngendreau.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Shrewsbury/Business_and_Economy/Financial_Services +https://www.shrewsburycu.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Shrewsbury/Business_and_Economy/Financial_Services +http://www.taxcreditadvisors.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Shrewsbury/Business_and_Economy/Financial_Services +http://www.southbridgesavingsbank.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Southbridge/Business_and_Economy/Financial_Services +http://www.burnhamsystem.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Southbridge/Business_and_Economy/Financial_Services +http://www.wolfandco.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.braehead.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.jmobrien.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.allardcpas.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.chaseins.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.massmutual.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.consolidatedhealthplan.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.axiagroup.net/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.bainmortgage.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Sterling/Business_and_Economy/Financial_Services +http://www.mjflanaganinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Sterling/Business_and_Economy/Financial_Services/Insurance +http://www.ttiai.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Sterling/Business_and_Economy/Financial_Services/Insurance +http://www.nemerchant.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Sturbridge/Business_and_Economy/Financial_Services +http://www.onlinecreditcard.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/S/Sturbridge/Business_and_Economy/Financial_Services +http://www.mabroukfinancialservices.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/T/Taunton/Business_and_Economy/Financial_Services +http://www.dopscpa.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/T/Taunton/Business_and_Economy/Financial_Services +http://www.mechanics-coop.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/T/Taunton/Business_and_Economy/Financial_Services +http://www.specialneedsplanning.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Waltham/Business_and_Economy/Financial_Services +http://www.energycreditunion.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Waltham/Business_and_Economy/Financial_Services +http://www.nasro-co-op.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Waltham/Business_and_Economy/Financial_Services/Insurance +http://www.matrixpartners.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Waltham/Business_and_Economy/Financial_Services/Venture_Capital +http://www.greylock.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Waltham/Business_and_Economy/Financial_Services/Venture_Capital +http://www.atvcapital.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Waltham/Business_and_Economy/Financial_Services/Venture_Capital +http://www.janitronics.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Waltham/Business_and_Economy/Financial_Services/Venture_Capital +http://www.commerceinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Webster/Business_and_Economy/Financial_Services +http://www.grimesco.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Westborough/Business_and_Economy/Financial_Services +http://www.mackintire.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Westborough/Business_and_Economy/Financial_Services +http://www.msic.org/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Westborough/Business_and_Economy/Financial_Services +http://www.westboylstoninsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/West_Boylston/Business_and_Economy/Financial_Services/Insurance +http://www.boydstrategy.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services +http://www.cgscpas.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services +http://www.imaagency.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.woodsinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.marshkemp.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.midstins.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.premierins.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.sgdins.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.wolpert.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.advantagebenefits.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.hanover.com/ Top/Regional/North_America/United_States/Massachusetts/Localities/W/Worcester/Business_and_Economy/Financial_Services/Insurance +http://www.capecodfive.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Cape_Cod_and_Islands/Business_and_Economy/Financial_Services +http://www.sharoncu.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.hfcu.org/ Top/Regional/North_America/United_States/Massachusetts/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.thebankofcanton.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Eastern/Business_and_Economy/Financial_Services +http://www.almeidacarlson.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Eastern/Business_and_Economy/Financial_Services/Insurance +http://www.rapoandjepsen.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Eastern/Business_and_Economy/Financial_Services/Insurance +http://www.howesinsurance.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Eastern/Business_and_Economy/Financial_Services/Insurance +http://www.tremontcu.org/ Top/Regional/North_America/United_States/Massachusetts/Regions/Greater_Boston/Business_and_Economy/Financial_Services +http://generationtax.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Greater_Boston/Business_and_Economy/Financial_Services +http://www.cassinins.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Pioneer_Valley/Business_and_Economy/Financial_Services +http://www.dowd.com/ Top/Regional/North_America/United_States/Massachusetts/Regions/Pioneer_Valley/Business_and_Economy/Financial_Services +http://www.repomichigan.com/ Top/Regional/North_America/United_States/Michigan/Business_and_Economy/Financial_Services +http://www.connectcare.com/ Top/Regional/North_America/United_States/Michigan/Business_and_Economy/Financial_Services/Insurance +http://www.iiminfo.org/ Top/Regional/North_America/United_States/Michigan/Business_and_Economy/Financial_Services/Insurance +http://www.bankofannarbor.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services +http://www.university-bank.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services +http://www.edfvc.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services +http://www.questarcapital.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services +http://www.vintagefs.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services +http://www.millerpc.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services +http://stitesfinancial.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services +http://www.uferinsurance.com/ Top/Regional/North_America/United_States/Michigan/Localities/A/Ann_Arbor/Business_and_Economy/Financial_Services/Insurance +http://www.thefsb.com/ Top/Regional/North_America/United_States/Michigan/Localities/E/Eastpointe/Business_and_Economy/Financial_Services +http://www.parda.com/ Top/Regional/North_America/United_States/Michigan/Localities/E/Eastpointe/Business_and_Economy/Financial_Services +http://www.garceauins.com/ Top/Regional/North_America/United_States/Michigan/Localities/E/Escanaba/Business_and_Economy/Financial_Services +http://www.frankenmuthcu.org/ Top/Regional/North_America/United_States/Michigan/Localities/F/Frankenmuth/Business_and_Economy/Financial_Services +http://www.rummelinsurance.com/ Top/Regional/North_America/United_States/Michigan/Localities/F/Frankenmuth/Business_and_Economy/Financial_Services +http://www.beenegarter.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.ehtc.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.masconnect.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.bpsia.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.grandriverusa.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.wdmcu.org/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.dougzandstra.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.acebailbondsmi.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.ruqualified.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://efilingplus.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.centennialsec.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.calderadvisors.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://norrisperne.com/ Top/Regional/North_America/United_States/Michigan/Localities/G/Grand_Rapids/Business_and_Economy/Financial_Services +http://www.bfgroup.com/ Top/Regional/North_America/United_States/Michigan/Localities/K/Kalamazoo/Business_and_Economy/Financial_Services +http://www.chemicalbankmi.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services +http://www.mibankers.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services +http://www.casecu.org/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services +http://www.lafcu.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services +http://www.meafs.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services +http://www.accidentfund.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services +http://www.farmbureauinsurance-mi.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services/Insurance +http://www.auto-owners.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services/Insurance +http://www.lymansheets.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services/Insurance +http://www.deltadentalmi.com/ Top/Regional/North_America/United_States/Michigan/Localities/L/Lansing/Business_and_Economy/Financial_Services/Insurance +http://www.creditcheckup.com/ Top/Regional/North_America/United_States/Michigan/Localities/M/Marquette/Business_and_Economy/Financial_Services +http://www.mthn.com/ Top/Regional/North_America/United_States/Michigan/Localities/M/Marquette/Business_and_Economy/Financial_Services +http://www.marquettecomm.org/ Top/Regional/North_America/United_States/Michigan/Localities/M/Marquette/Business_and_Economy/Financial_Services +http://www.foyeins.com/ Top/Regional/North_America/United_States/Michigan/Localities/M/Marquette/Business_and_Economy/Financial_Services +https://www.afsb.net/member/kroncich/ Top/Regional/North_America/United_States/Michigan/Localities/M/Marquette/Business_and_Economy/Financial_Services +http://www.cssolutions.net/ Top/Regional/North_America/United_States/Michigan/Localities/M/Mount_Clemens/Business_and_Economy/Financial_Services +http://www.carabellleslie.com/ Top/Regional/North_America/United_States/Michigan/Localities/M/Mount_Clemens/Business_and_Economy/Financial_Services +http://www.yeoandyeo.com/ Top/Regional/North_America/United_States/Michigan/Localities/S/Saginaw/Business_and_Economy/Financial_Services +http://www.sbuins.com/ Top/Regional/North_America/United_States/Michigan/Localities/S/Saginaw/Business_and_Economy/Financial_Services +http://www.soocoop.com/ Top/Regional/North_America/United_States/Michigan/Localities/S/Sault_Ste._Marie/Business_and_Economy/Financial_Services +http://www.pas-cash.com/ Top/Regional/North_America/United_States/Michigan/Localities/S/Southfield/Business_and_Economy/Financial_Services +http://www.claytonmckervey.com/ Top/Regional/North_America/United_States/Michigan/Localities/S/Southfield/Business_and_Economy/Financial_Services +http://www.sandyhansell.com/ Top/Regional/North_America/United_States/Michigan/Localities/S/Southfield/Business_and_Economy/Financial_Services +http://www.sterlingagency.com/ Top/Regional/North_America/United_States/Michigan/Localities/S/Sterling_Heights/Business_and_Economy/Financial_Services +http://www.dgncpa.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.hagerty.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.frontstreet.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.discoverlegacy.net/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.etcfcu.org/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.falconergroup.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.fimg.net/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.fordinsurance.net/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.autonetfinancial.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://corerecovery.biz/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.horizonfinancialtc.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.lejeunepuetz.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.proutfinancialdesign.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.nwconsumers.org/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.mitchelltax.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +https://www.tcstatebank.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://lewilliamscpa.emochila.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +https://www.4frontcu.com/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services +http://www.bayloans.net/ Top/Regional/North_America/United_States/Michigan/Localities/T/Traverse_City/Business_and_Economy/Financial_Services/Mortgages +http://www.baywindsfcu.com/ Top/Regional/North_America/United_States/Michigan/Regions/Northwest_Lower_Peninsula/Business_and_Economy/Financial_Services +http://www.baybucks.org/ Top/Regional/North_America/United_States/Michigan/Regions/Northwest_Lower_Peninsula/Business_and_Economy/Financial_Services +http://www.icentralstatebank.com/ Top/Regional/North_America/United_States/Michigan/Regions/Northwest_Lower_Peninsula/Business_and_Economy/Financial_Services +http://harrisgroupcpa.com/ Top/Regional/North_America/United_States/Michigan/Regions/Northwest_Lower_Peninsula/Business_and_Economy/Financial_Services +http://www.agquest.biz/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services +http://www.vanahnco.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services +http://www.boa.state.mn.us/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services +http://www.carlsoncap.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services +http://www.mbfc.org/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services +http://www.cdscpa.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services +http://www.bankfirstfederal.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.northwoodsbank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.woodlandbank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.wadenastatebank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.wellsfederalbank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.fnbmilaca.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +https://www.community-resourcebank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.americanbankmn.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.logbank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.comdevbank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +https://www.pinecountrybank.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.americanafinancial.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.midwestbank.net/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.minnbankers.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Banks +http://www.slcu.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Credit_Unions +http://www.affinityplus.org/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Credit_Unions +http://www.trustarfcu.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Credit_Unions +http://www.novation.org/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Credit_Unions +http://www.mncun.org/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Credit_Unions +https://www.membersccu.org/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Credit_Unions +http://www.pdaminneapolis.com/ Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Insurance +http://www.iiaba.net/mn/default?ContentPreference=MN&ActiveTab=STATE&ActiveState=MN Top/Regional/North_America/United_States/Minnesota/Business_and_Economy/Financial_Services/Insurance +http://www.rollinthornton.com/ Top/Regional/North_America/United_States/Minnesota/Localities/A/Arden_Hills/Business_and_Economy/Financial_Services +http://www.austincityecu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/A/Austin/Business_and_Economy/Financial_Services +http://www.accentracu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/A/Austin/Business_and_Economy/Financial_Services +https://www.rbcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.torofcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.kohlnhofer.com/ Top/Regional/North_America/United_States/Minnesota/Localities/B/Bloomington/Business_and_Economy/Financial_Services +http://www.mnhealthnetwork.com/ Top/Regional/North_America/United_States/Minnesota/Localities/B/Burnsville/Business_and_Economy/Financial_Services +http://www.aircraft-marine.com/ Top/Regional/North_America/United_States/Minnesota/Localities/B/Burnsville/Business_and_Economy/Financial_Services +http://www.boyercpa.com/ Top/Regional/North_America/United_States/Minnesota/Localities/B/Burnsville/Business_and_Economy/Financial_Services +http://weinerman.net/ Top/Regional/North_America/United_States/Minnesota/Localities/B/Burnsville/Business_and_Economy/Financial_Services +http://www.credisolve.com/ Top/Regional/North_America/United_States/Minnesota/Localities/C/Coon_Rapids/Business_and_Economy/Financial_Services +http://www.diversifiedadjustment.com/ Top/Regional/North_America/United_States/Minnesota/Localities/C/Coon_Rapids/Business_and_Economy/Financial_Services +http://www.aspenagency.com/ Top/Regional/North_America/United_States/Minnesota/Localities/C/Coon_Rapids/Business_and_Economy/Financial_Services +http://www.mpecu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/D/Duluth/Business_and_Economy/Financial_Services +http://www.myshareadvantage.com/ Top/Regional/North_America/United_States/Minnesota/Localities/D/Duluth/Business_and_Economy/Financial_Services +http://www.hermantownfcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/D/Duluth/Business_and_Economy/Financial_Services +http://www.proctorfcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/D/Duluth/Business_and_Economy/Financial_Services +http://www.ecolabcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/E/Eagan/Business_and_Economy/Financial_Services +http://www.eatoncu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/E/Eden_Prairie/Business_and_Economy/Financial_Services +https://ahmannmartin.com/ Top/Regional/North_America/United_States/Minnesota/Localities/E/Eden_Prairie/Business_and_Economy/Financial_Services +http://www.bankmidwest.com/ Top/Regional/North_America/United_States/Minnesota/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.schoeppnercpa.com/ Top/Regional/North_America/United_States/Minnesota/Localities/L/Le_Sueur/Business_and_Economy/Financial_Services +https://www.bankvista.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Mankato/Business_and_Economy/Financial_Services +http://www.agstar.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Mankato/Business_and_Economy/Financial_Services +http://www.mnvalleyfcu.coop/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Mankato/Business_and_Economy/Financial_Services +http://www.ameriprise.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services +http://www.sherpapartners.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services +http://www.sitfunds.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services +http://www.crfusa.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services +http://www.ghjm.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services +http://www.clevelandcompany.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services +https://www.rbcwealthmanagement.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services +https://www.marquette.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Banks +http://www.usbank.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Banks +http://www.hiway.org/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.mncathcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.diversifiedcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.emcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.mnteamsterscu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.stpaulfcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://midlandcoopcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.starchoicecu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/M/Minneapolis/Business_and_Economy/Financial_Services/Credit_Unions +http://www.unitedprairiebank.com/ Top/Regional/North_America/United_States/Minnesota/Localities/O/Owatonna/Business_and_Economy/Financial_Services +http://www.fedecu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/O/Owatonna/Business_and_Economy/Financial_Services +http://www.hometowncu.coop/ Top/Regional/North_America/United_States/Minnesota/Localities/O/Owatonna/Business_and_Economy/Financial_Services +http://www.southmet.com/ Top/Regional/North_America/United_States/Minnesota/Localities/P/Prior_Lake/Business_and_Economy/Financial_Services +http://www.redwingcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/R/Red_Wing/Business_and_Economy/Financial_Services +http://www.firstalliancecu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.mayocreditunion.org/ Top/Regional/North_America/United_States/Minnesota/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.stearnsbank.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.stcloudfcu.coop/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.energyservicesfcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.mwhco.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.ebureau.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.collectionresources.net/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.starcorcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.graniteequity.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.preferredcredit.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +https://www.ceterafinancialinstitutions.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Cloud/Business_and_Economy/Financial_Services +http://www.springercollections.net/index.html Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services +http://www.bremer.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Banks +http://www.hiway.org/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Credit_Unions +http://www.stpaulfcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Credit_Unions +http://www.pipefitterscu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Credit_Unions +http://www.carpentersfcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Credit_Unions +http://www.ecolabcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Credit_Unions +http://savewithemployeesfirstcu.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Credit_Unions +http://www.citiescu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Credit_Unions +http://www.chuund.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Insurance +http://www.minnesotamutual.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Insurance +http://www.ebsobenefits.com/ Top/Regional/North_America/United_States/Minnesota/Localities/S/Saint_Paul/Business_and_Economy/Financial_Services/Insurance +http://www.diversifiedcu.org/ Top/Regional/North_America/United_States/Minnesota/Localities/W/Waseca/Business_and_Economy/Financial_Services +http://www.fnbwaseca.com/ Top/Regional/North_America/United_States/Minnesota/Localities/W/Waseca/Business_and_Economy/Financial_Services/Banks +http://www.roundbank.com/ Top/Regional/North_America/United_States/Minnesota/Localities/W/Waseca/Business_and_Economy/Financial_Services/Banks +http://www.winonanationalbank.com/ Top/Regional/North_America/United_States/Minnesota/Localities/W/Winona/Business_and_Economy/Financial_Services +http://www.rivercitymortgage.com/ Top/Regional/North_America/United_States/Minnesota/Metro_Areas/Minneapolis-Saint_Paul-Bloomington/Business_and_Economy/Financial_Services +http://www.lakeareabank.com/ Top/Regional/North_America/United_States/Minnesota/Metro_Areas/Minneapolis-Saint_Paul-Bloomington/Business_and_Economy/Financial_Services +https://www.fnber.com/ Top/Regional/North_America/United_States/Minnesota/Metro_Areas/Minneapolis-Saint_Paul-Bloomington/Business_and_Economy/Financial_Services +http://www.northeastbank-mn.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.centralbnk.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.sterlingstatebank.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.western-bank.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.premierbanks.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.thebankofelkriver.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.postalcu.org/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.ahcu.org/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +https://www.ahcu.coop/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +https://www.financialonecu.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +https://www.spire-banking.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.buildingtradescu.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://trustonefinancial.org/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.usfed.org/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.niri-twincities.org/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.primesecurity.com/ Top/Regional/North_America/United_States/Minnesota/Regions/Twin_Cities/Business_and_Economy/Financial_Services +http://www.trustmark.com/ Top/Regional/North_America/United_States/Mississippi/Business_and_Economy/Financial_Services +https://www.bankplus.net/ Top/Regional/North_America/United_States/Mississippi/Business_and_Economy/Financial_Services +http://www.communitybank.net/ Top/Regional/North_America/United_States/Mississippi/Business_and_Economy/Financial_Services +http://www.wwscpa.com/ Top/Regional/North_America/United_States/Mississippi/Business_and_Economy/Financial_Services +http://www.thebruceagency.com/ Top/Regional/North_America/United_States/Mississippi/Business_and_Economy/Financial_Services/Insurance +http://www.kfcu.org/ Top/Regional/North_America/United_States/Mississippi/Localities/B/Biloxi/Business_and_Economy/Financial_Services +http://www.pwlcpa.net/ Top/Regional/North_America/United_States/Mississippi/Localities/B/Biloxi/Business_and_Economy/Financial_Services +http://www.vectormm.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.eubankbetts.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.parkcpa.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.sbcorp.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.mecuanywhere.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.haddoxreid.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services +https://www.originbankonline.com/cimarron-mortgage/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.southgroup.net/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services/Insurance +http://www.epgbenefits.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services/Insurance +http://www.pyrongroup.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services/Insurance +http://mobleyinsurance.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services/Insurance +http://www.rossandyerger.com/ Top/Regional/North_America/United_States/Mississippi/Localities/J/Jackson/Business_and_Economy/Financial_Services/Insurance +http://receivablesolutionsspec.bizopiaweb.com/ Top/Regional/North_America/United_States/Mississippi/Localities/N/Natchez/Business_and_Economy/Financial_Services +https://www.unitedmsbk.com/ Top/Regional/North_America/United_States/Mississippi/Localities/N/Natchez/Business_and_Economy/Financial_Services +http://www.oldsouthfcu.com/ Top/Regional/North_America/United_States/Mississippi/Localities/N/Natchez/Business_and_Economy/Financial_Services +http://www.leavitt.com/natchez/ Top/Regional/North_America/United_States/Mississippi/Localities/N/Natchez/Business_and_Economy/Financial_Services +http://www.mechanicsbankms.com/ Top/Regional/North_America/United_States/Mississippi/Localities/O/Oxford/Business_and_Economy/Financial_Services +http://www.fnboxford.com/ Top/Regional/North_America/United_States/Mississippi/Localities/O/Oxford/Business_and_Economy/Financial_Services +http://www.fncinc.com/ Top/Regional/North_America/United_States/Mississippi/Localities/O/Oxford/Business_and_Economy/Financial_Services +http://www.bransonbank.com/ Top/Regional/North_America/United_States/Missouri/Localities/B/Branson/Business_and_Economy/Financial_Services +http://www.mcclaren.com/ Top/Regional/North_America/United_States/Missouri/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.merchantsandfarmers.net/ Top/Regional/North_America/United_States/Missouri/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.theinsurancegrp.com/ Top/Regional/North_America/United_States/Missouri/Localities/C/Columbia/Business_and_Economy/Financial_Services +https://missouricu.org/ Top/Regional/North_America/United_States/Missouri/Localities/C/Columbia/Business_and_Economy/Financial_Services +https://www.landmarkbank.com/ Top/Regional/North_America/United_States/Missouri/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.americansterling.com/ Top/Regional/North_America/United_States/Missouri/Localities/I/Independence/Business_and_Economy/Financial_Services +http://www.everscpas.com/ Top/Regional/North_America/United_States/Missouri/Localities/J/Jefferson_City/Business_and_Economy/Financial_Services +http://www.hrblock.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services +http://www.gkbaum.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services +http://www.edisoncu.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services +http://www.cbiz.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services +http://www.americancentury.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services +http://alliantservices.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services +http://www.commercebank.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Banks +http://www.countryclubbank.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Banks +http://www.mobank.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Banks +http://www.centralbankkc.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Banks +http://www.ffbkc.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Banks +http://www.kclife.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Insurance +http://kcinsurance.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Insurance +http://www.geha.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Insurance +http://www.naic.org/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Insurance +http://www.jamesbnutter.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kansas_City/Business_and_Economy/Financial_Services/Mortgages +http://www.bankofkirksville.com/ Top/Regional/North_America/United_States/Missouri/Localities/K/Kirksville/Business_and_Economy/Financial_Services/Banks +https://www.mypcb.com/ Top/Regional/North_America/United_States/Missouri/Localities/R/Rolla/Business_and_Economy/Financial_Services +http://www.batescpas.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Charles/Business_and_Economy/Financial_Services +http://www.mortgage-wiz.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Charles/Business_and_Economy/Financial_Services +http://www.webbinsurance.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Charles/Business_and_Economy/Financial_Services/Insurance +http://www.agdinsurance.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Joseph/Business_and_Economy/Financial_Services +http://www.martinhanway.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Joseph/Business_and_Economy/Financial_Services +http://www.trappfinancialgroup.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.firstbanks.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.1stmocu.org/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.vcu.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.kennedycapital.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.dustmanngroup.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.cmaconsult.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.jimzara.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.justinepetersen.org/ Top/Regional/North_America/United_States/Missouri/Localities/S/Saint_Louis/Business_and_Economy/Financial_Services +http://www.progressiveozarkbank.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.thebankofsalem.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.tcbanks.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.ercpa.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.bkd.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.greatsouthernbank.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://heimyoung.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.anpac.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.bpj.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.ciusgf.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services/Insurance +http://www.communitypartner.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services/Mortgages +http://libertyfinancial.50megs.com/ Top/Regional/North_America/United_States/Missouri/Localities/S/Springfield/Business_and_Economy/Financial_Services/Mortgages +http://www.warrensburgcpa.com/ Top/Regional/North_America/United_States/Missouri/Localities/W/Warrensburg/Business_and_Economy/Financial_Services +http://www.bigskybank.com/ Top/Regional/North_America/United_States/Montana/Business_and_Economy/Financial_Services +https://www.glacierbank.com/ Top/Regional/North_America/United_States/Montana/Business_and_Economy/Financial_Services +http://www.mmia.net/ Top/Regional/North_America/United_States/Montana/Business_and_Economy/Financial_Services +http://www.azworld.com/ Top/Regional/North_America/United_States/Montana/Business_and_Economy/Financial_Services +http://www.americanbankmontana.com/ Top/Regional/North_America/United_States/Montana/Localities/B/Big_Timber/Business_and_Economy/Financial_Services +http://www.westernsecuritybank.com/ Top/Regional/North_America/United_States/Montana/Localities/B/Billings/Business_and_Economy/Financial_Services +http://www.g-lac.com/ Top/Regional/North_America/United_States/Montana/Localities/B/Billings/Business_and_Economy/Financial_Services +http://www.jacksoniversonins.com/ Top/Regional/North_America/United_States/Montana/Localities/B/Billings/Business_and_Economy/Financial_Services +http://www.surwillinsurance.com/ Top/Regional/North_America/United_States/Montana/Localities/B/Billings/Business_and_Economy/Financial_Services +http://www.valleyfcu.com/ Top/Regional/North_America/United_States/Montana/Localities/B/Billings/Business_and_Economy/Financial_Services +http://www.valleybankmt.com/ Top/Regional/North_America/United_States/Montana/Localities/K/Kalispell/Business_and_Economy/Financial_Services +http://www.americanhomestead.com/ Top/Regional/North_America/United_States/Montana/Localities/K/Kalispell/Business_and_Economy/Financial_Services/Mortgages +http://www.abtbank.com/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services +http://www.bankfmb.com/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services +http://www.capc.com/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services +http://www.charterwest.com/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services +http://www.fbtfullerton.com/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services +http://www.nelifega.org/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services/Insurance +http://www.pianeia.com/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services/Insurance +http://www.hometownagencyne.com/ Top/Regional/North_America/United_States/Nebraska/Business_and_Economy/Financial_Services/Insurance +http://www.cornhuskerbank.com/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Lincoln/Business_and_Economy/Financial_Services +http://www.ccam.net/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Lincoln/Business_and_Economy/Financial_Services +http://www.dalcpapc.com/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Lincoln/Business_and_Economy/Financial_Services +http://www.hbecpa.com/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Lincoln/Business_and_Economy/Financial_Services +http://www.nslp.org/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Lincoln/Business_and_Economy/Financial_Services +http://www.ameritas.com/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Lincoln/Business_and_Economy/Financial_Services/Insurance +http://www.insproins.com/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Lincoln/Business_and_Economy/Financial_Services/Insurance +https://www.pinnbank.com/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Louisville/Business_and_Economy/Financial_Services +http://www.lpl.com/mark.leibman/ Top/Regional/North_America/United_States/Nebraska/Localities/L/Louisville/Business_and_Economy/Financial_Services +http://www.firstnational.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.berkshirehathaway.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.hancockdana.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.blandcpa.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.swartzbaugh.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.manarin.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.omahadouglasfcu.org/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.snbconnect.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.cashdepotomaha.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://corebank.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +https://www.firstwestroads.bank/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services +http://www.mutualofomaha.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services/Insurance +http://www.silverstonegroup.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services/Insurance +http://www.cso.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services/Insurance +http://universalinsgroup.com/ Top/Regional/North_America/United_States/Nebraska/Localities/O/Omaha/Business_and_Economy/Financial_Services/Insurance +http://www.sbtbill.com/ Top/Regional/North_America/United_States/Nevada/Business_and_Economy/Financial_Services +http://www.solarispwm.com/ Top/Regional/North_America/United_States/Nevada/Localities/H/Henderson/Business_and_Economy/Financial_Services +http://www.quantumcollections.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services +http://www.saivaluations.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services +http://www.jwenterprisesllc.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Accounting +http://www.mcnaircpas.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Accounting +http://www.falcpa.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Accounting +http://www.smithandfrancis.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Accounting +http://www.mk-cpa.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Accounting +http://www.llbradford.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Accounting +http://www.bankpolicies.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Banks +http://www.silverstatecu.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Credit_Unions +http://nchinc.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.corp95.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.nevadacorporations.net/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://nevadacorporationsonline.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.nvinc.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.chqinc.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.corpmakers.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.incorpnevada.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.incorporate.us/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Incorporation_Services +http://www.assurnetinsurance.net/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Insurance +http://www.insurenv.com/ Top/Regional/North_America/United_States/Nevada/Localities/L/Las_Vegas/Business_and_Economy/Financial_Services/Insurance +http://bluebirdcpas.com/ Top/Regional/North_America/United_States/Nevada/Localities/R/Reno/Business_and_Economy/Financial_Services +http://www.mascomabank.com/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +http://www.mbba-nh.org/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +http://www.hrcu.org/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +http://www.clarkmortenson.com/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +http://www.firstcolebrookbank.com/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +http://www.nhclf.org/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +http://www.woodlandscu.com/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +https://www.banknh.com/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services +http://www.nhhealthplan.org/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services/Insurance +http://piaonline.org/NH/ Top/Regional/North_America/United_States/New_Hampshire/Business_and_Economy/Financial_Services/Insurance +http://www.chartertrust.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/C/Concord/Business_and_Economy/Financial_Services +http://www.checkmatepayroll.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/C/Concord/Business_and_Economy/Financial_Services +http://www.kapiloff.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://www.olsoncpa.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://www.insurancesource.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://www.nhtrustco.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://rachelelkins.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://www.visfin.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://www.dalessio.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://www.robmclaughlin.net/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://agtaxfolks.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/K/Keene/Business_and_Economy/Financial_Services +http://www.regencymtg.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.stmarysbank.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.gscu.org/ Top/Regional/North_America/United_States/New_Hampshire/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.sfmllc.net/ Top/Regional/North_America/United_States/New_Hampshire/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.gallant-insurance.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/M/Manchester/Business_and_Economy/Financial_Services +http://www.hometownins.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.bhka.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/N/Nashua/Business_and_Economy/Financial_Services +http://www.slawsbyinsurance.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/N/Nashua/Business_and_Economy/Financial_Services +http://www.lpl.com/peter.dorfman/ Top/Regional/North_America/United_States/New_Hampshire/Localities/P/Pittsfield/Business_and_Economy/Financial_Services +http://www.hillsinsurance.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/P/Plaistow/Business_and_Economy/Financial_Services +http://www.dhkfinancial.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/P/Portsmouth/Business_and_Economy/Financial_Services +http://www.harboradvisorycorp.com/ Top/Regional/North_America/United_States/New_Hampshire/Localities/P/Portsmouth/Business_and_Economy/Financial_Services +http://www.schoonerfinancial.com/index.cfm? Top/Regional/North_America/United_States/New_Hampshire/Localities/P/Portsmouth/Business_and_Economy/Financial_Services +http://www.hrcu.org/ Top/Regional/North_America/United_States/New_Hampshire/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.njatm.com/ Top/Regional/North_America/United_States/New_Jersey/Business_and_Economy/Financial_Services +http://www.bederson.com/ Top/Regional/North_America/United_States/New_Jersey/Business_and_Economy/Financial_Services +http://www.whartoninsurance.com/ Top/Regional/North_America/United_States/New_Jersey/Business_and_Economy/Financial_Services/Insurance +http://www.wgcpas.com/ Top/Regional/North_America/United_States/New_Jersey/Localities/E/East_Brunswick/Business_and_Economy/Financial_Services +http://www.ctas.net/ Top/Regional/North_America/United_States/New_Jersey/Localities/E/East_Brunswick/Business_and_Economy/Financial_Services +http://www.advantageprocessors.com/ Top/Regional/North_America/United_States/New_Jersey/Localities/E/East_Brunswick/Business_and_Economy/Financial_Services +https://www.pandgassociates.com/ Top/Regional/North_America/United_States/New_Jersey/Localities/E/East_Brunswick/Business_and_Economy/Financial_Services +http://www.tfsweb.com/ Top/Regional/North_America/United_States/New_Jersey/Localities/L/Lincroft/Business_and_Economy/Financial_Services +http://www.slcinsure.com/ Top/Regional/North_America/United_States/New_Jersey/Localities/M/Millburn_Township/Business_and_Economy/Financial_Services +http://www.szerlip.com/ Top/Regional/North_America/United_States/New_Jersey/Localities/M/Millburn_Township/Business_and_Economy/Financial_Services +http://www.amboybank.com/ Top/Regional/North_America/United_States/New_Jersey/Localities/O/Old_Bridge_Township/Business_and_Economy/Financial_Services +http://www.monmouthpostalcu.org/ Top/Regional/North_America/United_States/New_Jersey/Localities/R/Red_Bank/Business_and_Economy/Financial_Services +http://housingnm.org/ Top/Regional/North_America/United_States/New_Mexico/Business_and_Economy/Financial_Services +http://www.slfcu.org/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.ffnm.org/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.nmeaf.org/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.pulakos.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.redw.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.nmstudentloans.org/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.truststeven.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.nmbf.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/A/Albuquerque/Business_and_Economy/Financial_Services +http://www.citizenslc.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/L/Las_Cruces/Business_and_Economy/Financial_Services +http://www.kriegelcpa.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/L/Las_Cruces/Business_and_Economy/Financial_Services +http://www.bmc-cpa.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/L/Las_Cruces/Business_and_Economy/Financial_Services +http://www.fierrocpa.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/L/Las_Cruces/Business_and_Economy/Financial_Services +http://www.fnbruidoso.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/R/Ruidoso/Business_and_Economy/Financial_Services +http://www.centinelbank.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/T/Taos/Business_and_Economy/Financial_Services +http://www.dimondmortgage.com/ Top/Regional/North_America/United_States/New_Mexico/Localities/T/Taos/Business_and_Economy/Financial_Services +http://www.sefcu.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services +http://www.nbtbank.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services +http://www.firsttierbank.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services +http://www.communitybankna.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services +http://www.fnfg.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services +http://www.sirchiacuomo.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services +http://www.nycm.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services/Insurance +http://www.armnortheast.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services/Insurance +http://www.haylor.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services/Insurance +http://www.rkinsurance.com/ Top/Regional/North_America/United_States/New_York/Business_and_Economy/Financial_Services/Insurance +http://www.ccblv.com/ Top/Regional/North_America/United_States/New_York/Counties/Cattaraugus/Business_and_Economy/Financial_Services +http://www.firsttierbank.com/ Top/Regional/North_America/United_States/New_York/Counties/Cattaraugus/Business_and_Economy/Financial_Services +http://www.senecasavings.com/ Top/Regional/North_America/United_States/New_York/Counties/Onondaga/Business_and_Economy/Financial_Services +http://benderlaneadvisory.com/ Top/Regional/North_America/United_States/New_York/Localities/A/Albany/Business_and_Economy/Financial_Services +http://www.anchoragency.com/ Top/Regional/North_America/United_States/New_York/Localities/A/Albany/Business_and_Economy/Financial_Services/Insurance +http://www.appleautoagency.com/ Top/Regional/North_America/United_States/New_York/Localities/A/Albany/Business_and_Economy/Financial_Services/Insurance +http://www.horanfinancial.com/ Top/Regional/North_America/United_States/New_York/Localities/B/Baldwinsville/Business_and_Economy/Financial_Services +http://www.senecasavings.com/ Top/Regional/North_America/United_States/New_York/Localities/B/Baldwinsville/Business_and_Economy/Financial_Services +http://www.capitalbank.com/ Top/Regional/North_America/United_States/New_York/Localities/C/Colonie/Business_and_Economy/Financial_Services +http://www.kgbcpas.com/welcome.php Top/Regional/North_America/United_States/New_York/Localities/C/Colonie/Business_and_Economy/Financial_Services +http://www.cdcpas.com/ Top/Regional/North_America/United_States/New_York/Localities/H/Hawthorne/Business_and_Economy/Financial_Services +http://www.centralcollect.com/ Top/Regional/North_America/United_States/New_York/Localities/H/Hicksville/Business_and_Economy/Financial_Services +http://www.morgancurtiscollects.com/ Top/Regional/North_America/United_States/New_York/Localities/H/Hicksville/Business_and_Economy/Financial_Services +http://www.progressive-fps.com/ Top/Regional/North_America/United_States/New_York/Localities/H/Hicksville/Business_and_Economy/Financial_Services +http://www.golubassociates.com/ Top/Regional/North_America/United_States/New_York/Localities/H/Hicksville/Business_and_Economy/Financial_Services +http://www.sciarabbawalker.com/ Top/Regional/North_America/United_States/New_York/Localities/I/Ithaca/Business_and_Economy/Financial_Services +http://www.tompkinstrust.com/ Top/Regional/North_America/United_States/New_York/Localities/I/Ithaca/Business_and_Economy/Financial_Services +http://www.mycfcu.com/ Top/Regional/North_America/United_States/New_York/Localities/I/Ithaca/Business_and_Economy/Financial_Services +http://www.commercialmortgageyes.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Brooklyn/Business_and_Economy/Financial_Services/Mortgages +http://www.joelisaacson.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://www.cit.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://www.wpcarey.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://www.premieradvisors.net/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://www.fwa.org/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://www.flashfleming.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://www.pcisvision.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://www.fpcm.net/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Business_and_Economy/Financial_Services +http://dowjones.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.jpmorgan.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.ml.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.cowen.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.praxell.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.americanexpress.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.medallionfinancial.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.dtcc.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.morganstanley.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.ambac.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.valueline.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.blackrock.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.tdameritrade.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +https://www.nyse.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.nyif.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.waminvest.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.rbgservices.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.globaleasing.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.goldmansachs.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +http://www.cmegroup.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +https://www.newyorkfed.org/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services +https://www.chase.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Banking_Services +http://www.bankaudiusa.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Banking_Services +http://us.hsbc.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Banking_Services +https://www.bnymellon.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Banking_Services +http://www.aig.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Insurance +http://www.equitable.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Insurance +http://www.metlife.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Insurance +https://www.sbliusa.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Insurance +http://www.transre.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Insurance +http://www.firstfunding.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Mortgages +http://www.pergolis.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Manhattan/Business_and_Economy/Financial_Services/Mortgages +http://www.astoriafederal.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Queens/Business_and_Economy/Financial_Services +http://www.eab.com/ Top/Regional/North_America/United_States/New_York/Localities/N/New_York_City/Queens/Business_and_Economy/Financial_Services +http://www.cwynar.com/ Top/Regional/North_America/United_States/New_York/Localities/N/Norwich/Business_and_Economy/Financial_Services +http://www.bwbcpa.com/ Top/Regional/North_America/United_States/New_York/Localities/O/Olean/Business_and_Economy/Financial_Services +http://www.investrak.com/ Top/Regional/North_America/United_States/New_York/Localities/O/Olean/Business_and_Economy/Financial_Services +http://www.pl-cpa.com/ Top/Regional/North_America/United_States/New_York/Localities/O/Olean/Business_and_Economy/Financial_Services +http://www.oleanfcu.com/ Top/Regional/North_America/United_States/New_York/Localities/O/Olean/Business_and_Economy/Financial_Services +http://www.paychex.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.rochesterleasing.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.wallstreetfinancial.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.empireval.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.excelsecurities.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.flowercityfundraising.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services +https://www.rethinkingdebt.org/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services +http://www.flowercitytax.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.demottsmith.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.stonebridgebp.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.rotenbergllp.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.heveroncpa.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.kronerandgamble.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.thaneycpa.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.bettyandelman.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.svcpas.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Accounting +http://www.spxfcu.org/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Banking_Services +http://www.esl.org/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Banking_Services +http://www.rufcu.org/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Banking_Services +http://www.summitfcu.org/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Banking_Services +http://www.gencoopfcu.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Banking_Services +http://www.rocmon.org/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Banking_Services +http://www.indiano.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Financial_Planning +http://www.licatafinancial.com/pages/index.htm?SPID=10567&OrgID=513 Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Financial_Planning +http://www.bene-care.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Insurance +http://www.gorsline.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Insurance +http://www.tukeagency.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Insurance +http://www.pinnacleinsurance.net/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Insurance +http://www.insurancemate.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Insurance +http://www.flahertyfunding.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Mortgages +http://www.normandy.com/ Top/Regional/North_America/United_States/New_York/Localities/R/Rochester/Business_and_Economy/Financial_Services/Mortgages +http://www.thehillagency.net/ Top/Regional/North_America/United_States/New_York/Localities/S/Saratoga_Springs/Business_and_Economy/Financial_Services +http://www.personalcoverage.com/ Top/Regional/North_America/United_States/New_York/Localities/S/Saratoga_Springs/Business_and_Economy/Financial_Services +http://www.adirondacktrust.com/ Top/Regional/North_America/United_States/New_York/Localities/S/Saratoga_Springs/Business_and_Economy/Financial_Services +http://www.dmconsulting.com/ Top/Regional/North_America/United_States/New_York/Localities/S/Syracuse/Business_and_Economy/Financial_Services +http://www.hamiltongroup.net/ Top/Regional/North_America/United_States/New_York/Localities/S/Syracuse/Business_and_Economy/Financial_Services +http://www.gsbdc.com/ Top/Regional/North_America/United_States/New_York/Localities/S/Syracuse/Business_and_Economy/Financial_Services +http://www.commonfundmtg.com/ Top/Regional/North_America/United_States/New_York/Localities/S/Syracuse/Business_and_Economy/Financial_Services +http://www.summitplan.com/ Top/Regional/North_America/United_States/New_York/Localities/S/Syracuse/Business_and_Economy/Financial_Services +http://www.fsource.org/ Top/Regional/North_America/United_States/New_York/Localities/U/Utica/Business_and_Economy/Financial_Services +http://www.bankofutica.com/ Top/Regional/North_America/United_States/New_York/Localities/U/Utica/Business_and_Economy/Financial_Services +http://www.uticanational.com/ Top/Regional/North_America/United_States/New_York/Localities/U/Utica/Business_and_Economy/Financial_Services +http://www.berdonllp.com/ Top/Regional/North_America/United_States/New_York/Metro_Areas/New_York_City_Metro/Business_and_Economy/Financial_Services +http://www.rgbrenner.com/ Top/Regional/North_America/United_States/New_York/Metro_Areas/New_York_City_Metro/Business_and_Economy/Financial_Services +http://www.fgmcpa.com/ Top/Regional/North_America/United_States/New_York/Regions/Finger_Lakes/Business_and_Economy/Financial_Services +http://www.reliablegroup.com/ Top/Regional/North_America/United_States/New_York/Regions/Long_Island/Business_and_Economy/Financial_Services +http://www.cottencoverage.com/ Top/Regional/North_America/United_States/New_York/Regions/Long_Island/Business_and_Economy/Financial_Services +http://www.rampartinsurance.com/ Top/Regional/North_America/United_States/New_York/Regions/Long_Island/Business_and_Economy/Financial_Services +http://www.americanamortgage.com/ Top/Regional/North_America/United_States/New_York/Regions/Long_Island/Business_and_Economy/Financial_Services +http://www.freedmaxick.com/ Top/Regional/North_America/United_States/New_York/Regions/Western/Business_and_Economy/Financial_Services +http://www.five-starbank.com/ Top/Regional/North_America/United_States/New_York/Regions/Western/Business_and_Economy/Financial_Services +http://www.bankofcastile.com/ Top/Regional/North_America/United_States/New_York/Regions/Western/Business_and_Economy/Financial_Services +http://www.tompkinsins.com/ Top/Regional/North_America/United_States/New_York/Regions/Western/Business_and_Economy/Financial_Services/Insurance +http://www.piedmontfederal.com/ Top/Regional/North_America/United_States/North_Carolina/Business_and_Economy/Financial_Services +http://www.bankofnc.com/ Top/Regional/North_America/United_States/North_Carolina/Business_and_Economy/Financial_Services +http://www.partnershealth.com/ Top/Regional/North_America/United_States/North_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.farrisins.com/ Top/Regional/North_America/United_States/North_Carolina/Counties/Burke/Business_and_Economy/Financial_Services +http://www.cabarrusbankandtrust.com/ Top/Regional/North_America/United_States/North_Carolina/Counties/Cabarrus/Business_and_Economy/Financial_Services +http://www.wallco.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/A/Asheville/Business_and_Economy/Financial_Services +http://www.fbginvestors.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/A/Asheville/Business_and_Economy/Financial_Services +http://www.webbins.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/A/Asheville/Business_and_Economy/Financial_Services/Insurance +http://www.wncins.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/A/Asheville/Business_and_Economy/Financial_Services/Insurance +http://www.fourstarinsurancegroup.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/A/Asheville/Business_and_Economy/Financial_Services/Insurance +http://www.mathinsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/A/Asheville/Business_and_Economy/Financial_Services/Insurance +http://www.christopher-smith-insurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/A/Asheville/Business_and_Economy/Financial_Services/Insurance +http://www.diannecarnescpa.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Cary/Business_and_Economy/Financial_Services +http://www.burnsbynum.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Cary/Business_and_Economy/Financial_Services +http://www.schnitzeragency.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Cary/Business_and_Economy/Financial_Services/Insurance +http://www.blackmansloop.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Chapel_Hill/Business_and_Economy/Financial_Services +http://www.franklin-street.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Chapel_Hill/Business_and_Economy/Financial_Services +http://www.crispagency.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Chapel_Hill/Business_and_Economy/Financial_Services +http://www.delynnalexander.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Chapel_Hill/Business_and_Economy/Financial_Services +http://www.novarecapital.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.greerwalker.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.sterling-capital.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://carolinaaccounting.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://eastovercapital.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.carolinasinvest.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://charlotteinvestmentmanagement.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://glandoncapital.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.andersonleneave.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.munigic.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.icgcap.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.matrixcmg.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://haydenharperadvisory.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services +http://www.bankofamerica.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Banks +http://www.sharonview.org/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Banks +http://www.newdominionbank.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Banks +http://www.aacinsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Insurance +http://www.americaquote.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Insurance +http://www.thebaldwinco.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Insurance +http://www.hoodhargett.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Insurance +http://www.hbcantrell.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Insurance +http://www.aho-network.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Insurance/Health_Insurance +http://www.amerisouth.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Mortgages +http://www.lendingtree.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Mortgages +http://www.defeasewithease.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/C/Charlotte/Business_and_Economy/Financial_Services/Mortgages +http://www.toddwashburn.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/D/Durham/Business_and_Economy/Financial_Services +http://www.ballminorcpa.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/F/Fuquay-Varina/Business_and_Economy/Financial_Services +http://www.sheldonsolutions.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/F/Fuquay-Varina/Business_and_Economy/Financial_Services +http://www.fidelitybanknc.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/F/Fuquay-Varina/Business_and_Economy/Financial_Services +http://www.creativeinsurancesolutions.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/F/Fuquay-Varina/Business_and_Economy/Financial_Services +http://www.tygof.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/F/Fuquay-Varina/Business_and_Economy/Financial_Services +http://www.completefinancialsolutions.org/ Top/Regional/North_America/United_States/North_Carolina/Localities/F/Fuquay-Varina/Business_and_Economy/Financial_Services +https://www.parksterlingbank.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Gastonia/Business_and_Economy/Financial_Services +http://www.dmj.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services +http://www.furrandassociates.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services +http://www.delmanandcompany.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services +http://www.rosenbaum-cpa.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services +http://www.baberconsulting.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services +http://www.newbridgebank.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services +http://www.gladwellinsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services/Insurance +http://www.heasleyinsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/G/Greensboro/Business_and_Economy/Financial_Services/Insurance +http://www.sbhcpa.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services +http://www.fsfinancial.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services +http://www.carlandcpa.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services +http://www.multiviewinc.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services +http://www.sallymassagee.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services +http://earleinsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services/Insurance +http://www.morrowinsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services/Insurance +http://www.sutherland-nc.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services/Insurance +http://www.themoltongroup.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services/Insurance +http://www.pryorins.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services/Insurance +http://www.barnetteandcoates.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services/Insurance +http://www.roperinsuranceservices.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Hendersonville/Business_and_Economy/Financial_Services/Insurance +http://www.highpointbank.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/High_Point/Business_and_Economy/Financial_Services +http://www.petersoninsuranceonline.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Holly_Springs/Business_and_Economy/Financial_Services +http://www.rdmbenefits.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Holly_Springs/Business_and_Economy/Financial_Services +http://www.piercegroupbenefits.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Holly_Springs/Business_and_Economy/Financial_Services +http://www.sosnowskicpa.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Holly_Springs/Business_and_Economy/Financial_Services +http://www.aldrichcpa.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Holly_Springs/Business_and_Economy/Financial_Services +http://www.gembookspro.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/H/Holly_Springs/Business_and_Economy/Financial_Services +http://www.premiermcdowell.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/M/Marion/Business_and_Economy/Financial_Services +http://www.estateplanningpro.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/M/Morganton/Business_and_Economy/Financial_Services +http://www.firstbrockland.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/M/Morganton/Business_and_Economy/Financial_Services +http://www.newberninsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/N/New_Bern/Business_and_Economy/Financial_Services/Insurance +http://www.capitalcf.org/ Top/Regional/North_America/United_States/North_Carolina/Localities/R/Raleigh/Business_and_Economy/Financial_Services +http://www.paragonbank.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/R/Raleigh/Business_and_Economy/Financial_Services +http://www.rrsb.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/R/Roanoke_Rapids/Business_and_Economy/Financial_Services +http://www.maddrey.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/R/Roanoke_Rapids/Business_and_Economy/Financial_Services +http://www.lndavis.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/W/Waynesville/Business_and_Economy/Financial_Services/Insurance +http://www.wedco.org/ Top/Regional/North_America/United_States/North_Carolina/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.hanovermortgages.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/W/Wilmington/Business_and_Economy/Financial_Services +http://www.whitleyreavis.com/ Top/Regional/North_America/United_States/North_Carolina/Localities/W/Winston-Salem/Business_and_Economy/Financial_Services/Insurance +http://www.ashevillesavings.com/ Top/Regional/North_America/United_States/North_Carolina/Metro_Areas/Asheville_Metro/Business_and_Economy/Financial_Services +http://www.jpspa.com/ Top/Regional/North_America/United_States/North_Carolina/Metro_Areas/Asheville_Metro/Business_and_Economy/Financial_Services +http://www.championcu.com/ Top/Regional/North_America/United_States/North_Carolina/Metro_Areas/Asheville_Metro/Business_and_Economy/Financial_Services +http://carolinaalliancebank.com/ Top/Regional/North_America/United_States/North_Carolina/Metro_Areas/Asheville_Metro/Business_and_Economy/Financial_Services +http://www.whiteinsurance.com/ Top/Regional/North_America/United_States/North_Carolina/Metro_Areas/Asheville_Metro/Business_and_Economy/Financial_Services/Insurance +http://www.tinsleyterry.com/ Top/Regional/North_America/United_States/North_Carolina/Metro_Areas/Charlotte-Gastonia-Rock_Hill/Business_and_Economy/Financial_Services +http://www.danielratliff.com/ Top/Regional/North_America/United_States/North_Carolina/Metro_Areas/Charlotte-Gastonia-Rock_Hill/Business_and_Economy/Financial_Services +http://www.peoplesbanknc.com/ Top/Regional/North_America/United_States/North_Carolina/Regions/Piedmont/Business_and_Economy/Financial_Services +http://www.firststatebanks.com/ Top/Regional/North_America/United_States/North_Dakota/Business_and_Economy/Financial_Services +http://www.gatecitybank.com/ Top/Regional/North_America/United_States/North_Dakota/Business_and_Economy/Financial_Services +http://www.dakotacdc.com/ Top/Regional/North_America/United_States/North_Dakota/Business_and_Economy/Financial_Services +http://www.firststatebanknd.com/ Top/Regional/North_America/United_States/North_Dakota/Business_and_Economy/Financial_Services +http://www.cavalierbank.com/ Top/Regional/North_America/United_States/North_Dakota/Business_and_Economy/Financial_Services +http://www.banknd.nd.gov/ Top/Regional/North_America/United_States/North_Dakota/Business_and_Economy/Financial_Services +http://www.nodakmutual.com/ Top/Regional/North_America/United_States/North_Dakota/Business_and_Economy/Financial_Services/Insurance +http://www.ffbnk.com/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Mayville/Business_and_Economy/Financial_Services +http://www.gooseriverbank.com/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Mayville/Business_and_Economy/Financial_Services +http://www.ucbnd.com/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Minot/Business_and_Economy/Financial_Services +http://www.farmcreditnd.com/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Minot/Business_and_Economy/Financial_Services +http://www.bankfirstwestern.com/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Minot/Business_and_Economy/Financial_Services +http://www.townandcountry.org/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Minot/Business_and_Economy/Financial_Services +http://www.ntiernd.org/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Minot/Business_and_Economy/Financial_Services +http://www.prairiefcu.com/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Minot/Business_and_Economy/Financial_Services +http://www.integrityvikingfunds.com/PortalIntegrityFunds/ Top/Regional/North_America/United_States/North_Dakota/Localities/M/Minot/Business_and_Economy/Financial_Services +http://www.ssandg.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.cohencpa.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.cshco.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.parknationalbank.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.ohsers.org/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.reacpa.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.opers.org/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.advantagebank.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.unionnationalmortgage.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.healthplan.org/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +https://www.lcnb.com/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.commstar.org/ Top/Regional/North_America/United_States/Ohio/Business_and_Economy/Financial_Services +http://www.centrusgroup.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services +http://www.cascadecapital.org/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services +http://www.brunercox.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services +http://www.midcapcpas.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://www.soulsbyaccounting.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://www.apc1040.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://www.seikel.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://www.haramisroe.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://www.bcgcompany.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://bmfcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +https://www.applegrowth.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://www.schlabigcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Accounting +http://www.firstmerit.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Banks +http://www.fofcu.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Credit_Unions +http://www.fefcu.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Credit_Unions +http://www.amerinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Insurance +http://www.klais.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Insurance +http://www.mckayins.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Insurance +http://www.summacare.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Insurance +http://www.buffingtonagency.com/ Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Insurance +https://sites.google.com/site/insuranceserviceagency Top/Regional/North_America/United_States/Ohio/Localities/A/Akron/Business_and_Economy/Financial_Services/Insurance +http://www.weissmancpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/B/Beachwood/Business_and_Economy/Financial_Services +http://www.enterprisedr.com/ Top/Regional/North_America/United_States/Ohio/Localities/B/Beachwood/Business_and_Economy/Financial_Services +http://www.homesteadins.com/ Top/Regional/North_America/United_States/Ohio/Localities/B/Brunswick/Business_and_Economy/Financial_Services +http://www.oxbowmkt.com/ Top/Regional/North_America/United_States/Ohio/Localities/B/Brunswick/Business_and_Economy/Financial_Services +http://www.hallkistler.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Canton/Business_and_Economy/Financial_Services +http://www.classicinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Canton/Business_and_Economy/Financial_Services +http://www.starkcu.org/ Top/Regional/North_America/United_States/Ohio/Localities/C/Canton/Business_and_Economy/Financial_Services +http://www.pioneerfinancialservices.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Canton/Business_and_Economy/Financial_Services +http://www.raylatiano.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Canton/Business_and_Economy/Financial_Services +http://www.cgaccounting.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Chesterland/Business_and_Economy/Financial_Services +http://www.bcvc.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services +http://www.rccf.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services +http://www.beckerbeggs.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services +http://www.lfservices.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services +http://www.finresource.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services +http://www.fortwashington.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services +http://www.khhcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.berberichcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.sheldonreder.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.fbd-cpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.barnesdennig.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.srcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.tristateaccounting.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.ericrothcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.mellottcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +http://www.shriverco.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Accounting +https://www.53.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Banks +http://www.fhlbcin.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Banks +http://www.fourthst.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://www.tapohio.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://www.probizservices.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://www.reninv.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://www.riverpointcm.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://www.rcawebsite.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://www.lgicfo.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://truepointwealth.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +https://www.matsonmoney.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Consulting +http://www.emeryfcu.org/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Credit_Unions +http://kemba.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Credit_Unions +https://www.cinfed.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Credit_Unions +http://www.copfcu.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Credit_Unions +http://www.gecreditunion.org/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Credit_Unions +https://www.trupartnercu.org/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Credit_Unions +http://www.wpdolle.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.woodcox.net/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.westernsouthernlife.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.columbuslife.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.greatamericaninsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.langgroup.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.globeagency.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.ampeck.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.cai-insurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.wardinc.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.crnstone.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.zimmerinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.premierinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.henryinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.rgmcgrawinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.cib-online.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.mariemontins.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.camargoinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.bergberry.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.landmarkinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://dwyer.biz/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.htt24.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Insurance +http://www.schaeffersresearch.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Investment_Services +http://www.nottinghilladvisers.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Investment_Services +http://www.dover-partners.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Investment_Services +http://www.fundisabella.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Investment_Services +http://zipfelmortgage.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cincinnati/Business_and_Economy/Financial_Services/Mortgages +http://www.mcmanamonco.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.walthall.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.zinnerco.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +https://www.key.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.jumpstartinc.org/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://leuschinsurance.tripod.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.prnfunding.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.bioenterprise.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.firstprincipals.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.hartlandco.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.vanfin.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.agentschoice.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.towerins.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.johndawsoninsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.ncafinancial.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.meadenmoore.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.dawsoncompanies.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.cose.org/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.thirdfederal.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.fmscleveland.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.gries.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.maxuscapital.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.kaspercpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.oles-cpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.johngerlach.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://gilholcomb.tripod.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.gbq.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://focuscfo.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.firstohioplanning.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.turner-associates.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.zehndercpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.acscollections.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.ellendorle.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.worthingtoncpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.beanstalkcfo.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services +http://www.tfwinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services/Insurance +http://www.pmnins.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services/Insurance +http://www.isnerinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Columbus/Business_and_Economy/Financial_Services/Insurance +http://www.wichert.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cuyahoga_Falls/Business_and_Economy/Financial_Services +http://www.leonardinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cuyahoga_Falls/Business_and_Economy/Financial_Services +http://www.kellyagency.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cuyahoga_Falls/Business_and_Economy/Financial_Services +http://www.westfield-bank.com/ Top/Regional/North_America/United_States/Ohio/Localities/C/Cuyahoga_Falls/Business_and_Economy/Financial_Services +http://www.wagnerinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/D/Dayton/Business_and_Economy/Financial_Services +https://www.u1cu.org/ Top/Regional/North_America/United_States/Ohio/Localities/D/Dayton/Business_and_Economy/Financial_Services +http://www.twinvalleybank.com/ Top/Regional/North_America/United_States/Ohio/Localities/E/Eaton/Business_and_Economy/Financial_Services +http://www.trustetc.com/ Top/Regional/North_America/United_States/Ohio/Localities/E/Elyria/Business_and_Economy/Financial_Services +http://www.cinfin.com/ Top/Regional/North_America/United_States/Ohio/Localities/F/Fairfield/Business_and_Economy/Financial_Services +http://www.gruttercpas.com/ Top/Regional/North_America/United_States/Ohio/Localities/F/Findlay/Business_and_Economy/Financial_Services +http://www.knuevenschroeder.com/ Top/Regional/North_America/United_States/Ohio/Localities/F/Findlay/Business_and_Economy/Financial_Services +http://www.hancockfcu.com/ Top/Regional/North_America/United_States/Ohio/Localities/F/Findlay/Business_and_Economy/Financial_Services +http://www.hitchingsinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/F/Findlay/Business_and_Economy/Financial_Services +http://www.odell-insurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/G/Gahanna/Business_and_Economy/Financial_Services +https://www.pathwayscu.com/ Top/Regional/North_America/United_States/Ohio/Localities/G/Gahanna/Business_and_Economy/Financial_Services +http://www.chacocu.org/ Top/Regional/North_America/United_States/Ohio/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.gilbertagency.com/gilbertins/ Top/Regional/North_America/United_States/Ohio/Localities/H/Hamilton/Business_and_Economy/Financial_Services +http://www.kostconsulting.com/ Top/Regional/North_America/United_States/Ohio/Localities/H/Hudson/Business_and_Economy/Financial_Services +http://younginc.com/ Top/Regional/North_America/United_States/Ohio/Localities/K/Kent/Business_and_Economy/Financial_Services +http://www.portfolio-view.com/ Top/Regional/North_America/United_States/Ohio/Localities/K/Kent/Business_and_Economy/Financial_Services +http://www.bpins.com/ Top/Regional/North_America/United_States/Ohio/Localities/L/Lancaster/Business_and_Economy/Financial_Services +http://www.snydercpas.com/ Top/Regional/North_America/United_States/Ohio/Localities/L/Lancaster/Business_and_Economy/Financial_Services +http://www.keybridgemed.com/ Top/Regional/North_America/United_States/Ohio/Localities/L/Lima/Business_and_Economy/Financial_Services +http://www.faheybank.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Marion/Business_and_Economy/Financial_Services +http://www.vernehart.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Marion/Business_and_Economy/Financial_Services +http://www.jdstewartcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Mason/Business_and_Economy/Financial_Services +http://www.snaac.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Mason/Business_and_Economy/Financial_Services +http://www.mason-ohio.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Mason/Business_and_Economy/Financial_Services +https://hunterins.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Medina/Business_and_Economy/Financial_Services +http://www.merinarcpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Medina/Business_and_Economy/Financial_Services +http://www.dyerroche.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Medina/Business_and_Economy/Financial_Services +http://www.redmondandco.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Mentor/Business_and_Economy/Financial_Services +http://www.grossinc.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Middletown/Business_and_Economy/Financial_Services +http://www.nenni.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Middletown/Business_and_Economy/Financial_Services +http://www.lovins-ins.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.orcuttfinancial.com/ Top/Regional/North_America/United_States/Ohio/Localities/M/Milford/Business_and_Economy/Financial_Services +http://www.create-wealth.com/ Top/Regional/North_America/United_States/Ohio/Localities/N/North_Canton/Business_and_Economy/Financial_Services +http://www.gbscorp.com/ Top/Regional/North_America/United_States/Ohio/Localities/N/North_Canton/Business_and_Economy/Financial_Services +http://www.battlesinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://www.flickinger-ins.com/ Top/Regional/North_America/United_States/Ohio/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://www.pncpa.biz/ Top/Regional/North_America/United_States/Ohio/Localities/N/Norwalk/Business_and_Economy/Financial_Services +http://www.fourstarinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/P/Parma/Business_and_Economy/Financial_Services +http://www.reynolds-cpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services +http://www.descofcu.org/ Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services +http://www.edwardjones.com/en_US/fa/index.html&CIRN=381113 Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services +https://www.bankwithasb.com/ Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services +http://www.portsmouthinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services/Insurance +http://www.shermankricker.com/ Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services/Insurance +http://www.berndtmurfin.com/ Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services/Insurance +http://www.hunterwilliamsinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/P/Portsmouth/Business_and_Economy/Financial_Services/Insurance +http://www.pcbbank.com/ Top/Regional/North_America/United_States/Ohio/Localities/R/Ravenna/Business_and_Economy/Financial_Services +http://www.katzbachinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/R/Rocky_River/Business_and_Economy/Financial_Services +http://afginsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/R/Rocky_River/Business_and_Economy/Financial_Services +http://www.verafast.com/ Top/Regional/North_America/United_States/Ohio/Localities/R/Rocky_River/Business_and_Economy/Financial_Services +http://www.danmortgageman.com/ Top/Regional/North_America/United_States/Ohio/Localities/R/Rocky_River/Business_and_Economy/Financial_Services +http://rueseinsurancegroup.com/ Top/Regional/North_America/United_States/Ohio/Localities/S/Sidney/Business_and_Economy/Financial_Services +http://mccrate.emochila.com/ Top/Regional/North_America/United_States/Ohio/Localities/S/Sidney/Business_and_Economy/Financial_Services +http://www.alliancegroupohio.com/ Top/Regional/North_America/United_States/Ohio/Localities/S/Solon/Business_and_Economy/Financial_Services/Insurance +http://www.jarvisinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/S/Solon/Business_and_Economy/Financial_Services/Insurance +http://www.insureohio.com/ Top/Regional/North_America/United_States/Ohio/Localities/S/Solon/Business_and_Economy/Financial_Services/Insurance +http://agents.allstate.com/mark-j-rensel-tallmadge-oh.html Top/Regional/North_America/United_States/Ohio/Localities/T/Tallmadge/Business_and_Economy/Financial_Services +http://www.parlan.com/ Top/Regional/North_America/United_States/Ohio/Localities/T/Toledo/Business_and_Economy/Financial_Services +http://www.mirakolena.com/ Top/Regional/North_America/United_States/Ohio/Localities/T/Toledo/Business_and_Economy/Financial_Services +http://www.wilcoxfinancial.com/ Top/Regional/North_America/United_States/Ohio/Localities/T/Toledo/Business_and_Economy/Financial_Services +http://www.vanwertfederal.com/ Top/Regional/North_America/United_States/Ohio/Localities/V/Van_Wert/Business_and_Economy/Financial_Services +http://www.lelandsmith.com/ Top/Regional/North_America/United_States/Ohio/Localities/V/Van_Wert/Business_and_Economy/Financial_Services/Insurance +http://purmortbros.com/ Top/Regional/North_America/United_States/Ohio/Localities/V/Van_Wert/Business_and_Economy/Financial_Services/Insurance +http://www.rhoadesinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/V/Van_Wert/Business_and_Economy/Financial_Services/Insurance +http://www.vanwertinsurance.com/ Top/Regional/North_America/United_States/Ohio/Localities/V/Van_Wert/Business_and_Economy/Financial_Services/Insurance +http://www.2mycu.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Waverly/Business_and_Economy/Financial_Services +http://www.thefirstnational.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Waverly/Business_and_Economy/Financial_Services +http://www.lpl.com/dr.robinson/ Top/Regional/North_America/United_States/Ohio/Localities/W/Waverly/Business_and_Economy/Financial_Services +http://www.askacpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Westlake/Business_and_Economy/Financial_Services +http://www.ohyi.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Westlake/Business_and_Economy/Financial_Services +http://www.lcs-cpa.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Wooster/Business_and_Economy/Financial_Services +http://www.waynesavings.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Wooster/Business_and_Economy/Financial_Services +http://www.ajtbs.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Worthington/Business_and_Economy/Financial_Services +http://www.retirementandwealthplanning.com/ Top/Regional/North_America/United_States/Ohio/Localities/W/Worthington/Business_and_Economy/Financial_Services +http://www.centurynationalbank.com/ Top/Regional/North_America/United_States/Ohio/Localities/Z/Zanesville/Business_and_Economy/Financial_Services +http://www.buckeyecu.org/ Top/Regional/North_America/United_States/Ohio/Regions/Northeast/Business_and_Economy/Financial_Services +http://www.packerthomas.com/ Top/Regional/North_America/United_States/Ohio/Regions/Northeast/Business_and_Economy/Financial_Services +https://www.farmersbankgroup.com/ Top/Regional/North_America/United_States/Ohio/Regions/Northeast/Business_and_Economy/Financial_Services +http://www.bancfirst.com/ Top/Regional/North_America/United_States/Oklahoma/Business_and_Economy/Financial_Services +http://www.arvest.com/ Top/Regional/North_America/United_States/Oklahoma/Business_and_Economy/Financial_Services +http://www.lnbok.com/ Top/Regional/North_America/United_States/Oklahoma/Business_and_Economy/Financial_Services +http://www.fnbokla.com/ Top/Regional/North_America/United_States/Oklahoma/Business_and_Economy/Financial_Services +https://www.cnb1901.com/ Top/Regional/North_America/United_States/Oklahoma/Business_and_Economy/Financial_Services +https://www.fb247.com/ Top/Regional/North_America/United_States/Oklahoma/Business_and_Economy/Financial_Services +https://www.nbcokonline.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/A/Altus/Business_and_Economy/Financial_Services +https://www.bankanb.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/A/Ardmore/Business_and_Economy/Financial_Services +http://www.1nb.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/A/Ardmore/Business_and_Economy/Financial_Services +http://www.shamrockbank.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/C/Coalgate/Business_and_Economy/Financial_Services +http://www.hefcu.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/D/Duncan/Business_and_Economy/Financial_Services +http://www.bocokonline.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/D/Duncan/Business_and_Economy/Financial_Services +http://www.buseygroup.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/E/Edmond/Business_and_Economy/Financial_Services +http://www.kirkpatrickbank.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/E/Edmond/Business_and_Economy/Financial_Services +http://www.pvcs-usa.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/L/Lawton/Business_and_Economy/Financial_Services/Insurance +http://www.jtneal.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/L/Lawton/Business_and_Economy/Financial_Services/Insurance +http://www.fnbmiami.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/M/Miami/Business_and_Economy/Financial_Services +http://www.fnbmwc.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/M/Midwest_City/Business_and_Economy/Financial_Services +http://www.rbt.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/N/Norman/Business_and_Economy/Financial_Services +https://www.ffb.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/N/Norman/Business_and_Economy/Financial_Services +http://www.normanpho.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/N/Norman/Business_and_Economy/Financial_Services/Insurance +http://ronpowellinsurance.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/N/Norman/Business_and_Economy/Financial_Services/Insurance +http://www.leearbogast.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services +http://www.arvest.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services +http://www.meritadvisors.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services +http://www.cccsok.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services +http://www.allegiancecu.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services/Banks +http://www.comfedcu.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services/Banks +http://www.oecu.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services/Banks +http://www.midfirst.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services/Banks +http://www.ghainsurance.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services/Insurance +http://www.kemptongroup.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/O/Oklahoma_City/Business_and_Economy/Financial_Services/Insurance +http://www.bbgcpa.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services +http://www.cckcpa.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services +http://www.americanbanktulsa.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +http://www.arvest.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +http://www.bankofoklahoma.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +http://dowellfcu.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +http://www.occu.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +http://www.weokie.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +https://www.goldeneaglefcu.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +https://tulsafederalcu.org/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Banking_Services +http://www.lewisandassociates.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Insurance +http://www.bcbsok.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/T/Tulsa/Business_and_Economy/Financial_Services/Insurance +http://www.fnbwford.com/ Top/Regional/North_America/United_States/Oklahoma/Localities/W/Weatherford/Business_and_Economy/Financial_Services +http://www.fsfcu.com/ Top/Regional/North_America/United_States/Oklahoma/Metro_Areas/Lawton_-_Fort_Sill/Business_and_Economy/Financial_Services +http://www.cccsso.org/ Top/Regional/North_America/United_States/Oregon/Business_and_Economy/Financial_Services +http://www.aktcpa.com/ Top/Regional/North_America/United_States/Oregon/Business_and_Economy/Financial_Services +http://www.bcsllc.com/ Top/Regional/North_America/United_States/Oregon/Business_and_Economy/Financial_Services +http://w3.gorge.net/jrichards/ Top/Regional/North_America/United_States/Oregon/Business_and_Economy/Financial_Services +http://opgcpa.com/ Top/Regional/North_America/United_States/Oregon/Business_and_Economy/Financial_Services +http://www.hawksoft.com/ Top/Regional/North_America/United_States/Oregon/Business_and_Economy/Financial_Services/Insurance +https://www.ormutual.com/ Top/Regional/North_America/United_States/Oregon/Business_and_Economy/Financial_Services/Insurance +http://www.bendcpa.com/ Top/Regional/North_America/United_States/Oregon/Localities/B/Bend/Business_and_Economy/Financial_Services +http://www.capstonewmg.com/ Top/Regional/North_America/United_States/Oregon/Localities/B/Bend/Business_and_Economy/Financial_Services +http://www.daveswisher.com/ Top/Regional/North_America/United_States/Oregon/Localities/B/Bend/Business_and_Economy/Financial_Services +http://www.griffonfinancial.com/ Top/Regional/North_America/United_States/Oregon/Localities/B/Bend/Business_and_Economy/Financial_Services +http://www.taxfortress.com/ Top/Regional/North_America/United_States/Oregon/Localities/B/Bend/Business_and_Economy/Financial_Services +http://www.gustafsonins.com/ Top/Regional/North_America/United_States/Oregon/Localities/C/Canby/Business_and_Economy/Financial_Services +http://www.insuranceteam.net/ Top/Regional/North_America/United_States/Oregon/Localities/C/Canby/Business_and_Economy/Financial_Services +http://www.oliverinsurance.net/ Top/Regional/North_America/United_States/Oregon/Localities/C/Canby/Business_and_Economy/Financial_Services +http://www.cpawa.com/ Top/Regional/North_America/United_States/Oregon/Localities/C/Canby/Business_and_Economy/Financial_Services +http://www.creditconcepts.com/ Top/Regional/North_America/United_States/Oregon/Localities/E/Eugene/Business_and_Economy/Financial_Services +http://www.foodcu.org/ Top/Regional/North_America/United_States/Oregon/Localities/E/Eugene/Business_and_Economy/Financial_Services +http://www.hartinsurance.com/ Top/Regional/North_America/United_States/Oregon/Localities/G/Grants_Pass/Business_and_Economy/Financial_Services +http://www.gptc.com/ Top/Regional/North_America/United_States/Oregon/Localities/G/Grants_Pass/Business_and_Economy/Financial_Services +http://www.roguerivermortgage.us/ Top/Regional/North_America/United_States/Oregon/Localities/G/Grants_Pass/Business_and_Economy/Financial_Services +http://www.mbdfs.com/ Top/Regional/North_America/United_States/Oregon/Localities/G/Grants_Pass/Business_and_Economy/Financial_Services +http://www.cutler.com/ Top/Regional/North_America/United_States/Oregon/Localities/M/Medford/Business_and_Economy/Financial_Services +http://www.cmcpas.com/ Top/Regional/North_America/United_States/Oregon/Localities/M/Medford/Business_and_Economy/Financial_Services +http://www.islernw.com/ Top/Regional/North_America/United_States/Oregon/Localities/M/Medford/Business_and_Economy/Financial_Services +http://www.aira.org/ Top/Regional/North_America/United_States/Oregon/Localities/M/Medford/Business_and_Economy/Financial_Services +http://www.kdpllp.com/ Top/Regional/North_America/United_States/Oregon/Localities/M/Medford/Business_and_Economy/Financial_Services +http://www.landmarktax.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Pendleton/Business_and_Economy/Financial_Services +http://www.readandbose.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Pendleton/Business_and_Economy/Financial_Services +http://www.tbcinfo.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.mtgxps.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.1031exchange.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.portlandcarloan.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.sigmainvestment.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.plumtreemortgage.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://2nd-site.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.transferonline.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.albinabank.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.silveroak.net/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.pmrloans.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://roberthoggpdx.com/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services +http://www.larrysherwood.me/ Top/Regional/North_America/United_States/Oregon/Localities/P/Portland/Business_and_Economy/Financial_Services/Insurance +http://www.roseburgaccounting.com/ Top/Regional/North_America/United_States/Oregon/Localities/R/Roseburg/Business_and_Economy/Financial_Services +http://www.wicksemmett.com/ Top/Regional/North_America/United_States/Oregon/Localities/R/Roseburg/Business_and_Economy/Financial_Services +http://www.creditservicescso.com/ Top/Regional/North_America/United_States/Oregon/Localities/R/Roseburg/Business_and_Economy/Financial_Services +http://www.cascadecu.org/ Top/Regional/North_America/United_States/Oregon/Localities/R/Roseburg/Business_and_Economy/Financial_Services +http://www.sgopro.com/ Top/Regional/North_America/United_States/Oregon/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.foodcu.org/ Top/Regional/North_America/United_States/Oregon/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.kuenzicpas.com/ Top/Regional/North_America/United_States/Oregon/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.saif.com/ Top/Regional/North_America/United_States/Oregon/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.gmscpa.com/ Top/Regional/North_America/United_States/Oregon/Localities/S/Salem/Business_and_Economy/Financial_Services +http://www.carbis.com/ Top/Regional/North_America/United_States/Pennsylvania/Business_and_Economy/Financial_Services +http://www.greycourt.com/ Top/Regional/North_America/United_States/Pennsylvania/Business_and_Economy/Financial_Services +http://www.fcbanking.com/ Top/Regional/North_America/United_States/Pennsylvania/Business_and_Economy/Financial_Services +http://www.youngadjustment.com/ Top/Regional/North_America/United_States/Pennsylvania/Business_and_Economy/Financial_Services +http://www.gannonassociates.com/ Top/Regional/North_America/United_States/Pennsylvania/Business_and_Economy/Financial_Services +http://www.pphn.com/ Top/Regional/North_America/United_States/Pennsylvania/Business_and_Economy/Financial_Services/Insurance +http://bbofpa.com/ Top/Regional/North_America/United_States/Pennsylvania/Business_and_Economy/Financial_Services/Insurance +http://www.crycpas.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/A/Allentown/Business_and_Economy/Financial_Services +http://www.levincpa.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/A/Allentown/Business_and_Economy/Financial_Services +http://www.millersearles.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/A/Allentown/Business_and_Economy/Financial_Services +http://www.equityedgefunding.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/B/Bethel_Park/Business_and_Economy/Financial_Services +http://www.popacpas.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/B/Bethel_Park/Business_and_Economy/Financial_Services +http://www.victorianfinance.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/B/Bethel_Park/Business_and_Economy/Financial_Services +http://www.armsins.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/B/Bethel_Park/Business_and_Economy/Financial_Services +http://www.billflinnagency.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/B/Bethel_Park/Business_and_Economy/Financial_Services +http://www.stoltenberg.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/B/Bethel_Park/Business_and_Economy/Financial_Services +http://www.driscollfinancial.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/B/Bethel_Park/Business_and_Economy/Financial_Services +http://www.millerinsuranceassoc.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/C/Carlisle/Business_and_Economy/Financial_Services +http://www.mabci.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/D/Doylestown/Business_and_Economy/Financial_Services +http://www.depalantino.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/D/Doylestown/Business_and_Economy/Financial_Services +http://weinsteinfinancial.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/D/Doylestown/Business_and_Economy/Financial_Services +http://brassardinsurance.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/D/Doylestown/Business_and_Economy/Financial_Services/Insurance +http://www.ibew56fcu.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services +http://www.lpl.com/graham.parsons Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services +http://www.marquettesavings.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services +http://www.thevargocompany.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services +http://www.eriefcu.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services +https://www.eriebank.bank/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services +http://www.skmco.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Accounting +http://www.appletreekern.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Accounting +http://www.pennyqualls.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Accounting +http://www.alanhilling.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://www.thebertco.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://crottyinsurance.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +https://www.erieinsurance.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://www.greatlakesins.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://www.lsinsure.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://pfefferinsurance.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://www.imcerie.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://www.wrins.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://www.lcbalife.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Erie/Business_and_Economy/Financial_Services/Insurance +http://www.freemanandcompany.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/E/Exton/Business_and_Economy/Financial_Services +http://www.rlhcpa.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/H/Hanover/Business_and_Economy/Financial_Services +http://www.stambaughness.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/H/Hanover/Business_and_Economy/Financial_Services +http://www.urlinsgroup.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/H/Harrisburg/Business_and_Economy/Financial_Services +http://www.fcfbank.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/I/Indiana/Business_and_Economy/Financial_Services +http://www.stbank.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/I/Indiana/Business_and_Economy/Financial_Services +http://www.evansandmackcpa.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/I/Indiana/Business_and_Economy/Financial_Services +http://www.fandco.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/K/Kennett_Square/Business_and_Economy/Financial_Services +http://www.pmc-pa.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/K/King_of_Prussia/Business_and_Economy/Financial_Services +http://carusoinsurance.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/K/King_of_Prussia/Business_and_Economy/Financial_Services +http://www.fultonbank.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/L/Lancaster/Business_and_Economy/Financial_Services +http://www.phcunity.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/L/Lancaster/Business_and_Economy/Financial_Services/Insurance +http://www.murrayins.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/L/Lancaster/Business_and_Economy/Financial_Services/Insurance +http://www.hostetlerinsurance.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/L/Lancaster/Business_and_Economy/Financial_Services/Insurance +http://www.duncangrp.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/N/North_Huntingdon/Business_and_Economy/Financial_Services +http://brcfs.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Paoli/Business_and_Economy/Financial_Services +http://www.pannoniafcu.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Philadelphia/Business_and_Economy/Financial_Services +http://www.phlx.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Philadelphia/Business_and_Economy/Financial_Services +http://www.mjcco.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Philadelphia/Business_and_Economy/Financial_Services +http://www.doyleconsultinggroup.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Philadelphia/Business_and_Economy/Financial_Services +http://www.myisolutions.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Philadelphia/Business_and_Economy/Financial_Services +http://www.whartonpevcconference.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Philadelphia/Business_and_Economy/Financial_Services +http://www.donnelly-boland.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://www.centurylending.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://www.alignedpartners.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://www.federatedinvestors.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://www.priorityfinancialservices.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://www.pittsburghafp.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://www.pgh-ahu.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://www.seubert.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +http://tylercolliercpa.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services +https://www.dollar.bank/Personal Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services/Banking_Services +http://www.alleghenyfinancial.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services/Financial_Planning +http://cccspa.org/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services/Financial_Planning +http://www.wintersetinv.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services/Financial_Planning +http://www.lifetimefinancialgrowth.com/ Top/Regional/North_America/United_States/Pennsylvania/Localities/P/Pittsburgh/Business_and_Economy/Financial_Services/Financial_Planning +http://www.firstrust.com/ Top/Regional/North_America/United_States/Pennsylvania/Metro_Areas/Philadelphia_Metro/Business_and_Economy/Financial_Services +http://www.ropinsurance.com/ Top/Regional/North_America/United_States/Pennsylvania/Regions/Northeast/Business_and_Economy/Financial_Services/Insurance +http://www.bmtc.com/ Top/Regional/North_America/United_States/Pennsylvania/Regions/Southeast/Business_and_Economy/Financial_Services +http://www.firstpennbank.com/ Top/Regional/North_America/United_States/Pennsylvania/Regions/Southeast/Business_and_Economy/Financial_Services +http://www.iwsb.com/ Top/Regional/North_America/United_States/Pennsylvania/Regions/Southeast/Business_and_Economy/Financial_Services +https://www.nationalpenn.com/ Top/Regional/North_America/United_States/Pennsylvania/Regions/Southeast/Business_and_Economy/Financial_Services +http://www.kebcpa.com/ Top/Regional/North_America/United_States/Regions/Midwest/Business_and_Economy/Financial_Services +http://www.hartfordloan.com/ Top/Regional/North_America/United_States/Regions/Midwest/Business_and_Economy/Financial_Services +http://www.horizonbank.com/ Top/Regional/North_America/United_States/Regions/Midwest/Business_and_Economy/Financial_Services +http://www.autocreditexpress.com/ Top/Regional/North_America/United_States/Regions/Midwest/Business_and_Economy/Financial_Services +https://www.thrivent.com/ Top/Regional/North_America/United_States/Regions/Midwest/Business_and_Economy/Financial_Services +http://www.riafcu.com/ Top/Regional/North_America/United_States/Regions/Midwest/Business_and_Economy/Financial_Services +http://www.mifpa.org/ Top/Regional/North_America/United_States/Regions/Midwest/Business_and_Economy/Financial_Services/Insurance +http://www.cooperativefund.org/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services +http://www.bancnorthinvestmentgroup.com/investment/index.html Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services +http://www.pieciak.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services +http://www.bdmp.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Accountants +http://www.citizensbank.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Banks +http://www.sum-atm.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Banks +http://www.bostonfed.org/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Banks +http://www.easterninsurance.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Insurance +http://www.nnebt.org/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Insurance/Health +http://www.vtbenefits.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Insurance/Health +http://www.middlesex-mutual.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.therichardsgrp.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.mortgages4u.com/ Top/Regional/North_America/United_States/Regions/New_England/Business_and_Economy/Financial_Services/Mortgages +http://www.woclaims.com/ Top/Regional/North_America/United_States/Regions/Northwest/Business_and_Economy/Financial_Services +http://waldoagencies.com/ Top/Regional/North_America/United_States/Regions/Northwest/Business_and_Economy/Financial_Services +https://online.firsttechfed.com/ Top/Regional/North_America/United_States/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.simmons-insurance.com/ Top/Regional/North_America/United_States/Regions/Northwest/Business_and_Economy/Financial_Services +http://www.thecapitalcorp.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.regions.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.bbt.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.decosimo.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.pioneercredit.net/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.cadencebanking.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.allenewing.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.shoemakerfinancial.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.frontierfp.net/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.dhgllp.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services +http://www.tenco.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services/Insurance +http://www.southpointriskadvisors.com/ Top/Regional/North_America/United_States/Regions/South_and_Southeast/Business_and_Economy/Financial_Services/Insurance +http://www.uboc.com/ Top/Regional/North_America/United_States/Regions/West/Business_and_Economy/Financial_Services +http://www.wib.org/ Top/Regional/North_America/United_States/Regions/West/Business_and_Economy/Financial_Services +http://www.bankofbridger.com/ Top/Regional/North_America/United_States/Regions/West/Business_and_Economy/Financial_Services +http://gallina.com/ Top/Regional/North_America/United_States/Regions/West/Business_and_Economy/Financial_Services +http://www.ieatraining.com/ Top/Regional/North_America/United_States/Regions/West/Business_and_Economy/Financial_Services/Insurance +http://www.ibawest.com/ Top/Regional/North_America/United_States/Regions/West/Business_and_Economy/Financial_Services/Insurance +http://www.idahoic.com/ Top/Regional/North_America/United_States/Regions/West/Business_and_Economy/Financial_Services/Insurance +http://www.applevalleyagency.com/ Top/Regional/North_America/United_States/Rhode_Island/Business_and_Economy/Financial_Services/Insurance +http://sfsp.net/main-pub.cfm?chapterdir=RhodeIsland Top/Regional/North_America/United_States/Rhode_Island/Business_and_Economy/Financial_Services/Insurance +http://www.textronfinancial.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/P/Providence/Business_and_Economy/Financial_Services +https://www.bankri.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/P/Providence/Business_and_Economy/Financial_Services +http://www.citizensbank.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/P/Providence/Business_and_Economy/Financial_Services +http://www.bookkeepingresources.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/P/Providence/Business_and_Economy/Financial_Services +http://www.lathropinsurance.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/W/Westerly/Business_and_Economy/Financial_Services +http://www.ppgadvisors.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/W/Westerly/Business_and_Economy/Financial_Services +http://www.schonninginsurance.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/W/Westerly/Business_and_Economy/Financial_Services +http://www.thorptrainer.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/W/Westerly/Business_and_Economy/Financial_Services +http://www.insuranceri.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/W/Westerly/Business_and_Economy/Financial_Services +http://www.mansfieldins.com/ Top/Regional/North_America/United_States/Rhode_Island/Localities/W/Westerly/Business_and_Economy/Financial_Services +http://www.websterrogers.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services +http://www.smartchoicetitleloans.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services +http://www.carolinaclaims.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.scinsnews.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.southcarolinablues.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.benefitcoordinators.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.firstseinsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.dgilston.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.scselfinsurers.com/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.sc-ifi.org/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +http://www.doi.sc.gov/ Top/Regional/North_America/United_States/South_Carolina/Business_and_Economy/Financial_Services/Insurance +https://cbcnationalbank.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/B/Beaufort/Business_and_Economy/Financial_Services +http://www.wracpa.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Charleston/Business_and_Economy/Financial_Services +http://www.dickdavis.biz/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Charleston/Business_and_Economy/Financial_Services +http://www.franklinassociatesinc.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Charleston/Business_and_Economy/Financial_Services/Insurance +http://www.thomascooperinsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Charleston/Business_and_Economy/Financial_Services/Insurance +http://www.tayloragency.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Charleston/Business_and_Economy/Financial_Services/Insurance +http://www.triestagency.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Charleston/Business_and_Economy/Financial_Services/Insurance +http://curtis274.tripod.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Charleston/Business_and_Economy/Financial_Services/Mortgages +http://www.dslcpas.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.trelys.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.scottmcelveen.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.sccommunitybank.net/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.southernpayroll.net/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.fullservicecpa.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services +http://www.coloniallife.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.thecasongroup.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.adamseaddy.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.tuteninsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.ltcbi.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.minkandassociates.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.abercrombie-ins.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Insurance +http://www.midlandmortgagecorp.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/C/Columbia/Business_and_Economy/Financial_Services/Mortgages +http://www.boscpa.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/F/Florence/Business_and_Economy/Financial_Services +http://www.firstreliance.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/F/Florence/Business_and_Economy/Financial_Services +http://www.lpl.com/reginald.armstrong/ Top/Regional/North_America/United_States/South_Carolina/Localities/F/Florence/Business_and_Economy/Financial_Services +http://www.palmettofirst.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/F/Florence/Business_and_Economy/Financial_Services +http://www.pdfcu.org/ Top/Regional/North_America/United_States/South_Carolina/Localities/F/Florence/Business_and_Economy/Financial_Services +http://www.aikenins.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/F/Florence/Business_and_Economy/Financial_Services +http://arborone.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/F/Florence/Business_and_Economy/Financial_Services +http://www.ken2.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Georgetown/Business_and_Economy/Financial_Services +http://www.gkcu.org/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Georgetown/Business_and_Economy/Financial_Services +http://www.elliottdavis.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenville/Business_and_Economy/Financial_Services +http://www.watermarkadvisors.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenville/Business_and_Economy/Financial_Services +http://www.azaleacapital.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenville/Business_and_Economy/Financial_Services +https://www.southernfirst.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenville/Business_and_Economy/Financial_Services/Banks +http://www.jbwattsco.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenville/Business_and_Economy/Financial_Services/Insurance +http://www.thestovercompany.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenville/Business_and_Economy/Financial_Services/Insurance +http://www.dcrotts.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenwood/Business_and_Economy/Financial_Services +https://www.ecountybank.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/G/Greenwood/Business_and_Economy/Financial_Services +https://www.coastalstatesbank.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/H/Hilton_Head_Island/Business_and_Economy/Financial_Services +http://www.luceymortgage.net/ Top/Regional/North_America/United_States/South_Carolina/Localities/M/Mount_Pleasant/Business_and_Economy/Financial_Services +http://www.bkurtzcpa.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/M/Mount_Pleasant/Business_and_Economy/Financial_Services +http://www.glasercompany.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/M/Mount_Pleasant/Business_and_Economy/Financial_Services +http://www.myrtlebeachcpa.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/M/Myrtle_Beach/Business_and_Economy/Financial_Services +http://www.summerinsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/N/Newberry/Business_and_Economy/Financial_Services/Insurance +http://www.firstrate-mortgage.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/N/North_Charleston/Business_and_Economy/Financial_Services +http://www.urquitmorrisinsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/N/North_Charleston/Business_and_Economy/Financial_Services +https://www.heritagetrust.org/ Top/Regional/North_America/United_States/South_Carolina/Localities/N/North_Charleston/Business_and_Economy/Financial_Services +http://www.palmettoheritagebank.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/P/Pawleys_Island/Business_and_Economy/Financial_Services +http://www.angelinsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/R/Rock_Hill/Business_and_Economy/Financial_Services +http://www.carolinacg.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/R/Rock_Hill/Business_and_Economy/Financial_Services +http://www.normanjohnsoncpa.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/S/Spartanburg/Business_and_Economy/Financial_Services +http://www.firstsouthbancorp.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/S/Spartanburg/Business_and_Economy/Financial_Services +http://www.wma-inc.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/S/Spartanburg/Business_and_Economy/Financial_Services +http://mshcpa.com/ Top/Regional/North_America/United_States/South_Carolina/Localities/S/Spartanburg/Business_and_Economy/Financial_Services +http://www.banksc.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Charleston_Metro/Business_and_Economy/Financial_Services +http://www.southcoastbank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Charleston_Metro/Business_and_Economy/Financial_Services +http://www.bgwcpa.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Charleston_Metro/Business_and_Economy/Financial_Services +http://www.commfirstbank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Charleston_Metro/Business_and_Economy/Financial_Services +http://www.tidelandsbank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Charleston_Metro/Business_and_Economy/Financial_Services +http://www.jjins.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Charleston_Metro/Business_and_Economy/Financial_Services +http://www.steadmanagency.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Charleston_Metro/Business_and_Economy/Financial_Services +http://www.fmbsc.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Columbia_Metro/Business_and_Economy/Financial_Services +http://www.palmettocitizens.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Columbia_Metro/Business_and_Economy/Financial_Services +http://www.safefed.org/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Columbia_Metro/Business_and_Economy/Financial_Services +http://www.firstcommunitysc.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Columbia_Metro/Business_and_Economy/Financial_Services +http://www.turbevilleinsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Columbia_Metro/Business_and_Economy/Financial_Services/Insurance +http://www.peedeefarmcredit.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Florence_Metro/Business_and_Economy/Financial_Services +http://www.abbank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Florence_Metro/Business_and_Economy/Financial_Services +http://www.mutualsavings.net/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Florence_Metro/Business_and_Economy/Financial_Services +http://www.hffcu.org/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Florence_Metro/Business_and_Economy/Financial_Services +http://www.spccu.org/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Florence_Metro/Business_and_Economy/Financial_Services +http://www.thecitizensbank.cc/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Florence_Metro/Business_and_Economy/Financial_Services +http://www.beachfirst.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Grand_Strand-Myrtle_Beach/Business_and_Economy/Financial_Services +http://www.carolinatrust.org/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Grand_Strand-Myrtle_Beach/Business_and_Economy/Financial_Services +http://www.sandhillsbank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Grand_Strand-Myrtle_Beach/Business_and_Economy/Financial_Services +https://www.haveanicebank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Grand_Strand-Myrtle_Beach/Business_and_Economy/Financial_Services +http://www.palmettobank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://www.cffcu.org/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://www.correllinsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://www.bankoftravelersrest.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://www.thecommercialbanksc.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://www.cornerstonenatlbank.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://www.securedadvantagefcu.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://accordfinancial.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Greenville-Spartanburg_Metro/Business_and_Economy/Financial_Services +http://www.firstseinvestor.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Lowcountry/Business_and_Economy/Financial_Services +http://www.ctlowndes.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Lowcountry/Business_and_Economy/Financial_Services +http://www.kinghorninsurance.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Lowcountry/Business_and_Economy/Financial_Services +http://www.capfg.com/ Top/Regional/North_America/United_States/South_Carolina/Metro_Areas_and_Regions/Lowcountry/Business_and_Economy/Financial_Services +http://www.blackhillsfcu.org/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.pioneercredit.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.ktllp.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.highmarkfcu.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.sentinelfcu.org/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.caseypeterson.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.expresscollections.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.oweesta.org/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.cccsbh.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/R/Rapid_City/Business_and_Economy/Financial_Services +http://www.nelsoncpas.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services +http://www.siouxempirefcu.org/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services +http://www.cbuskohl.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services +http://www.fairtaxinc.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services +http://www.nesstax.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services +http://www.bsallp.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services +http://www.howaltmcdowell.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.boen-associates.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.kouriinsurance.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.prinsinsurance.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.northcentralins.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.graberassoc.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.insconnection.com/ Top/Regional/North_America/United_States/South_Dakota/Localities/S/Sioux_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.lbmcstaffing.com/ Top/Regional/North_America/United_States/Tennessee/Business_and_Economy/Financial_Services +http://www.ucbcpa.com/ Top/Regional/North_America/United_States/Tennessee/Business_and_Economy/Financial_Services +http://www.seviercobank.com/ Top/Regional/North_America/United_States/Tennessee/Counties/Sevier/Business_and_Economy/Financial_Services +http://www.cnbtn.com/ Top/Regional/North_America/United_States/Tennessee/Counties/Sevier/Business_and_Economy/Financial_Services +http://www.tnstatebank.com/ Top/Regional/North_America/United_States/Tennessee/Counties/Sevier/Business_and_Economy/Financial_Services +http://www.frazier-rheacpas.com/ Top/Regional/North_America/United_States/Tennessee/Localities/B/Brentwood/Business_and_Economy/Financial_Services +http://www.dccuchatt.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Chattanooga/Business_and_Economy/Financial_Services +http://riverassociatesllc.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Chattanooga/Business_and_Economy/Financial_Services +http://www.ejpelton.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Chattanooga/Business_and_Economy/Financial_Services/Accountants +http://www.coffeyinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Chattanooga/Business_and_Economy/Financial_Services/Insurance +https://www.firstadvantagebanking.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Clarksville/Business_and_Economy/Financial_Services +http://www.kmacs.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.bankofcleveland.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://mcintireins.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Cleveland/Business_and_Economy/Financial_Services +http://www.angelfire.com/tn/wctax/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Cookeville/Business_and_Economy/Financial_Services +http://www.wilmothinsuranceagency.com/ Top/Regional/North_America/United_States/Tennessee/Localities/C/Cookeville/Business_and_Economy/Financial_Services +http://www.andrewjohnsonbank.com/ Top/Regional/North_America/United_States/Tennessee/Localities/G/Greeneville/Business_and_Economy/Financial_Services +http://www.westgreeneinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/G/Greeneville/Business_and_Economy/Financial_Services +http://www.steelemartin.com/ Top/Regional/North_America/United_States/Tennessee/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.bankofjackson.com/ Top/Regional/North_America/United_States/Tennessee/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.themcdonaldgroup.net/ Top/Regional/North_America/United_States/Tennessee/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.dentonandassociates.net/ Top/Regional/North_America/United_States/Tennessee/Localities/J/Jackson/Business_and_Economy/Financial_Services +http://www.greatereastern.org/ Top/Regional/North_America/United_States/Tennessee/Localities/J/Johnson_City/Business_and_Economy/Financial_Services +http://www.timeandpay.com/ Top/Regional/North_America/United_States/Tennessee/Localities/J/Johnson_City/Business_and_Economy/Financial_Services +http://www.wataugainsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/J/Johnson_City/Business_and_Economy/Financial_Services +http://www.thetrust.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services +http://www.dwmbeancounter.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services +http://www.pyapc.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Accountants +http://bhspc.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Accountants +http://www.burkhartcpa.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Accountants +http://www.kpocu.org/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Credit_Unions +http://www.utfcu.org/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Credit_Unions +http://www.chcu.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Credit_Unions +http://www.shaferinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Insurance +https://www.inter-agencyinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Insurance +http://www.shspecialists.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Insurance +http://fountaincityfinance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/K/Knoxville/Business_and_Economy/Financial_Services/Mortgages +http://www.faafcu.org/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +http://www.brunsonandcompany.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +http://www.cartyco.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +http://www.angelfire.com/ne/NSNBookkeeping/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +http://www.firsttennessee.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +http://www.simsfinancialgroup.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +http://www.firstsouth.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +https://orionfcu.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services +http://www.hgcpa.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services/Accounting +http://www.cannoncpa.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services/Accounting +http://www.employeebenefits.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services/Insurance +http://www.memphisbusinessgroup.org/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services/Insurance +http://www.lpinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Memphis/Business_and_Economy/Financial_Services/Insurance +http://lowlandcu.org/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Morristown/Business_and_Economy/Financial_Services +http://www.pccsc.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Morristown/Business_and_Economy/Financial_Services +http://www.strateinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Morristown/Business_and_Economy/Financial_Services +http://www.cnbla.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Morristown/Business_and_Economy/Financial_Services +http://www.dvf-pllc.com/ Top/Regional/North_America/United_States/Tennessee/Localities/M/Murfreesboro/Business_and_Economy/Financial_Services +http://www.harpethcapital.com/ Top/Regional/North_America/United_States/Tennessee/Localities/N/Nashville/Business_and_Economy/Financial_Services +http://www.cullendouglass.com/ Top/Regional/North_America/United_States/Tennessee/Localities/N/Nashville/Business_and_Economy/Financial_Services +http://www.wade-egbert.com/ Top/Regional/North_America/United_States/Tennessee/Localities/N/Nashville/Business_and_Economy/Financial_Services/Insurance +http://www.asmba.com/ Top/Regional/North_America/United_States/Tennessee/Localities/N/Nashville/Business_and_Economy/Financial_Services/Insurance +http://www.tnbank.net/ Top/Regional/North_America/United_States/Tennessee/Localities/O/Oak_Ridge/Business_and_Economy/Financial_Services +http://www.y12fcu.org/ Top/Regional/North_America/United_States/Tennessee/Localities/O/Oak_Ridge/Business_and_Economy/Financial_Services/Credit_Unions +http://www.sandrinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Localities/O/Oak_Ridge/Business_and_Economy/Financial_Services/Insurance +http://www.tvfcu.com/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Chattanooga_Metro/Business_and_Economy/Financial_Services +http://www.firstcentralbank.com/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Knoxville_Metro/Business_and_Economy/Financial_Services +http://www.homefederalbanktn.com/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Knoxville_Metro/Business_and_Economy/Financial_Services +http://www.ornlfcu.com/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Knoxville_Metro/Business_and_Economy/Financial_Services/Credit_Unions +http://www.firstchoiceccu.org/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Knoxville_Metro/Business_and_Economy/Financial_Services/Credit_Unions +http://www.ohcu.org/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Nashville_Metro/Business_and_Economy/Financial_Services +http://www.thefarmersbank.net/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Nashville_Metro/Business_and_Economy/Financial_Services +https://bankofnashville.synovus.com/ Top/Regional/North_America/United_States/Tennessee/Metro_Areas/Nashville_Metro/Business_and_Economy/Financial_Services +http://www.bpc-financial.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Cumberland_Plateau/Business_and_Economy/Financial_Services +http://www.ctcbonline.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Cumberland_Plateau/Business_and_Economy/Financial_Services +http://www.fnbotn.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Cumberland_Plateau/Business_and_Economy/Financial_Services +http://www.swallowsinsurance.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Cumberland_Plateau/Business_and_Economy/Financial_Services +http://www.cbtn.com/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.fcbtn.com/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.firstpeoplesbank.net/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.consumercreditunion.com/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.tvacreditunion.com/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.choicedata.com/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.citnatbank.com/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.southeastbank.net/ Top/Regional/North_America/United_States/Tennessee/Regions/East_Tennessee/Business_and_Economy/Financial_Services +http://www.kraftcpas.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://www.ffbtn.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://www.cfbk.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://www.wilsonbank.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://bankoffrankewing.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://www.fandmbank.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +https://www.cornerstonefinancialcu.org/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://firstbankonline.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://www.pnfp.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Middle_Tennessee/Business_and_Economy/Financial_Services +http://lowlandcu.org/ Top/Regional/North_America/United_States/Tennessee/Regions/Northeast_Tennessee/Business_and_Economy/Financial_Services +http://www.timeandpay.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Northeast_Tennessee/Business_and_Economy/Financial_Services +http://www.herinsgrp.com/ Top/Regional/North_America/United_States/Tennessee/Regions/Northeast_Tennessee/Business_and_Economy/Financial_Services +http://www.atacpa.net/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +http://www.bankatsecurity.com/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +http://www.insouth.com/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +http://www.cbtcnet.com/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +http://www.reelfootbank.com/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +http://bankoffayettecounty.com/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +http://www.carrollbank.com/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +https://www.firstcitizens-bank.com/ Top/Regional/North_America/United_States/Tennessee/Regions/West_Tennessee/Business_and_Economy/Financial_Services +http://www.tsbpa.state.tx.us/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services +http://www.tscpa.org/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services +https://www.comptroller.texas.gov/taxes/file-pay/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services +http://www.continentaladjusters.com/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services/Insurance +http://www.tpciga.org/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services/Insurance +http://www.tcais.org/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services/Insurance +http://www.fiwt.com/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services/Insurance +http://www.tdi.texas.gov/ Top/Regional/North_America/United_States/Texas/Business_and_Economy/Financial_Services/Insurance +http://www.eecu.org/ Top/Regional/North_America/United_States/Texas/Counties/Tarrant/Business_and_Economy/Financial_Services +http://www.verichek.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.firstabilenefcu.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.ffin.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.abileneteachersfcu.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.weekshunter.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.westernsurplus.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.funeraldirectorslife.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.perryhunterhall.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://jonbos.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +https://www.coafcu.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.cbsins.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://www.bigcountryagent.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services +http://wolfepak.com/tscpa/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services/Accounting +http://www.condley.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services/Accounting +http://www.dkcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services/Accounting +http://www.wolfecpa.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Abilene/Business_and_Economy/Financial_Services/Accounting +http://www.happytaxreturns.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Amarillo/Business_and_Economy/Financial_Services +http://www.anb.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Amarillo/Business_and_Economy/Financial_Services +http://www.tpfcu.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Amarillo/Business_and_Economy/Financial_Services +http://www.upshaw-insurance.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Amarillo/Business_and_Economy/Financial_Services/Insurance +http://www.gocolonial.com/pages/branch.aspx?branch=Arlington Top/Regional/North_America/United_States/Texas/Localities/A/Arlington/Business_and_Economy/Financial_Services +http://www.lfsw.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services +http://www.txp.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services +http://www.austinassetmanagement.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services +http://www.ausprs.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services +http://www.lsggroup.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services +http://www.peoplefund.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services +http://cagp.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services +http://www.austinbookkeeping.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Accounting +http://www.figg.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Accounting +http://www.bcpcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Accounting +http://www.rebelquillin.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Accounting +http://www.mlrpc.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Accounting +http://www.cpascount.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Accounting +http://truwest.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Banking_Services +http://www.ccutx.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Banking_Services +http://www.ufcu.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Banking_Services +http://www.aplusfcu.org/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Banking_Services +http://www.streamlineinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Insurance +http://www.nationalwesternlife.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Insurance +http://www.centralins.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Insurance +http://www.insconsultant.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Insurance +http://www.insureakid.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Insurance +http://www.iiaaustin.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Insurance +http://www.bridgettehearne.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Insurance +http://www.missionmortgage.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Mortgages +http://austinhomeloan.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Mortgages +http://www.austinmortgagepro.com/ Top/Regional/North_America/United_States/Texas/Localities/A/Austin/Business_and_Economy/Financial_Services/Mortgages +https://www.mobiloilcu.org/ Top/Regional/North_America/United_States/Texas/Localities/B/Beaumont/Business_and_Economy/Financial_Services +https://www.fnbsotx.com/ Top/Regional/North_America/United_States/Texas/Localities/B/Beeville/Business_and_Economy/Financial_Services +https://www.statenb.com/ Top/Regional/North_America/United_States/Texas/Localities/B/Big_Spring/Business_and_Economy/Financial_Services +http://www.m1st.org/ Top/Regional/North_America/United_States/Texas/Localities/C/Corpus_Christi/Business_and_Economy/Financial_Services +https://agents.farmers.com/tx/corpus-christi/gregorio-mendoza Top/Regional/North_America/United_States/Texas/Localities/C/Corpus_Christi/Business_and_Economy/Financial_Services +https://www.comerica.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.meritax.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.maplewoodinvestments.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.acecashexpress.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.lonestarcu.org/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.thevantgroup.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.stonebriarmortgage.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.macfarlan.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.amenityfs.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.energytransfer.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.gencappartners.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services +http://www.pepperdinecpa.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.ablonco.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.facpa.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.agadallas.org/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.dallasdata.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.mickits.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.rebelcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.foxbyrd.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Accounting +http://www.csicoverage.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Insurance +http://www.harrisoninsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Insurance +http://www.sheanerinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Insurance +http://www.insuranceplus.org/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Insurance +http://www.mkiselis.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Insurance +http://www.gainsco.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Insurance +http://www.coverica.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Dallas/Business_and_Economy/Financial_Services/Insurance +http://www.rameyking.com/ Top/Regional/North_America/United_States/Texas/Localities/D/Denton/Business_and_Economy/Financial_Services/Insurance +http://www.rmmc.com/ Top/Regional/North_America/United_States/Texas/Localities/E/El_Paso/Business_and_Economy/Financial_Services +https://www.weststarbank.com/ Top/Regional/North_America/United_States/Texas/Localities/E/El_Paso/Business_and_Economy/Financial_Services +http://palmsmexicoinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/E/El_Paso/Business_and_Economy/Financial_Services +http://www.cashamerica.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services +http://www.fortworthapa.org/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services +http://sgncpa.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Accounting +http://www.sga-cpas.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Accounting +http://www.southwestbank.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Banks +http://www.starbanktexas.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Banks +http://www.texascapitalbank.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Banks +http://www.buenaventure.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Corporate_Financing +http://www.ftwccu.org/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Credit_Unions +http://www.fwtpfcu.org/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Credit_Unions +https://www.fwccu.org/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Credit_Unions +https://www.unityone.org/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Credit_Unions +http://www.txam.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Insurance +http://www.agworkers.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Insurance +http://www.empowerins.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Insurance +http://www.jonderrick.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Insurance +http://www.thanksal.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Insurance +http://www.usquotehunter.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Insurance +http://www.contractbond.net/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Insurance +http://www.rogersco.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Investing +http://www.gimlink.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Investing +http://www.williamsfinancial.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Investing +http://www.tmico.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Investing +http://www.omegawp.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Investing +http://www.gocolonial.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Fort_Worth/Business_and_Economy/Financial_Services/Mortgages +http://www.rezagroup.net/ Top/Regional/North_America/United_States/Texas/Localities/F/Frisco/Business_and_Economy/Financial_Services +http://www.starwoodinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Frisco/Business_and_Economy/Financial_Services +http://www.ffplan.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Frisco/Business_and_Economy/Financial_Services +http://www.jmtexascpa.com/ Top/Regional/North_America/United_States/Texas/Localities/F/Frisco/Business_and_Economy/Financial_Services +http://www.colonialsettlement.com/ Top/Regional/North_America/United_States/Texas/Localities/G/Garland/Business_and_Economy/Financial_Services +http://www.lemsvcs.com/ Top/Regional/North_America/United_States/Texas/Localities/G/Garland/Business_and_Economy/Financial_Services +http://www.louannetrebing.com/ Top/Regional/North_America/United_States/Texas/Localities/G/Garland/Business_and_Economy/Financial_Services +http://www.firsttexasbank.net/ Top/Regional/North_America/United_States/Texas/Localities/G/Georgetown/Business_and_Economy/Financial_Services +http://www.dadyinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/G/Georgetown/Business_and_Economy/Financial_Services/Insurance +http://www.fnbgranbury.com/ Top/Regional/North_America/United_States/Texas/Localities/G/Granbury/Business_and_Economy/Financial_Services +http://www.heritagefinancialplanning.com/ Top/Regional/North_America/United_States/Texas/Localities/G/Grapevine/Business_and_Economy/Financial_Services +http://www.amadorcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/G/Grapevine/Business_and_Economy/Financial_Services +http://www.novotnycompany.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.davischambers.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.cyfairfcu.org/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.fccu.org/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.capitolbailbondshouston.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.houstontech.org/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.michaelbranda.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.acesbuilderswarranty.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.telecheck.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.autoexam.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.mattoxterrell.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.danmartinez.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services +http://www.taxproblem.org/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.weinsteinspira.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.wellsbedard.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.trmoore.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.1cpa.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.schrakamp.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.chaneycpa.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.bizdoc.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.panzercpa.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.batemanhouston.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.fcpcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.sbataxes.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.calvinmartin.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://molentax.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.cpa-houston.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Accounting +http://www.townandcountryins.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.cravenswarren.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.789auto.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.ehrmanmurphy.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.deltains.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.a-abana.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.jmstrange.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.cruse-insurance.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.benefitdesign.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.encoreins.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.ffga.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.houstonagencies.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://jebell.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.craiginsuranceagency.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.myronsteves.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.neweralife.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.adamsinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +https://www.americantitlehouston.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://ai-texas.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Insurance +http://www.freestonepartners.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Investment_Services +http://www.candlestickforum.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Investment_Services +http://www.ahcinvest.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Investment_Services +http://www.patriotwealth.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Investment_Services +http://www.patriotfund.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Investment_Services +http://www.mainstcapital.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Investment_Services +http://www.adammortgage.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://www.houseloan.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://www.citymortgagetexas.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgageassoc.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://www.loanhouston.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://www.amtexas.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://www.texasloanstar.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://www.1stcommunitymortgage.net/ Top/Regional/North_America/United_States/Texas/Localities/H/Houston/Business_and_Economy/Financial_Services/Mortgages +http://walkercountyfcu.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Huntsville/Business_and_Economy/Financial_Services +http://www.fnbhuntsvilletx.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Huntsville/Business_and_Economy/Financial_Services +http://www.cpiai.com/ Top/Regional/North_America/United_States/Texas/Localities/H/Huntsville/Business_and_Economy/Financial_Services/Insurance +http://www.schellcousa.com/ Top/Regional/North_America/United_States/Texas/Localities/I/Irving/Business_and_Economy/Financial_Services +http://www.felcor.com/ Top/Regional/North_America/United_States/Texas/Localities/I/Irving/Business_and_Economy/Financial_Services +http://www.bnkwest.com/ Top/Regional/North_America/United_States/Texas/Localities/I/Irving/Business_and_Economy/Financial_Services +http://www.valueinc.com/ Top/Regional/North_America/United_States/Texas/Localities/I/Irving/Business_and_Economy/Financial_Services +https://www.caliberhomeloans.com/ Top/Regional/North_America/United_States/Texas/Localities/I/Irving/Business_and_Economy/Financial_Services +http://www.vaughtinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Katy/Business_and_Economy/Financial_Services +http://www.thesaltgroup.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services +http://www.guadalupenational.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services +http://www.kerrcountyfcu.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services +http://www.tcfa.us/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services +http://www.thecollectionbureau.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services +http://www.ptcocpa.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services +http://www.hilcocu.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services +http://www.toddpeter.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services/Insurance +http://www.firstinsagency.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services/Insurance +http://www.garrettinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/K/Kerrville/Business_and_Economy/Financial_Services/Insurance +https://www.ibc.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Laredo/Business_and_Economy/Financial_Services +http://www.falconbank.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Laredo/Business_and_Economy/Financial_Services +http://www.geomtg.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Laredo/Business_and_Economy/Financial_Services +http://www.tmcu.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Laredo/Business_and_Economy/Financial_Services +http://www.kahnins.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Laredo/Business_and_Economy/Financial_Services +http://www.mcmtrader.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services +http://www.mwccpa.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services +http://www.yennik.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services +http://www.dwilliams.net/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services +http://www.firstunited.net/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Banks +http://www.theabcbank.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Banks +http://www.citybankonline.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Banks +http://www.lubbocknational.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Banks +http://www.firstbanklubbock.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Banks +http://www.hettlerinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Insurance +http://www.jimwhiteinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Insurance +http://www.nwtalubbock.com/ Top/Regional/North_America/United_States/Texas/Localities/L/Lubbock/Business_and_Economy/Financial_Services/Insurance +https://www.abtexas.com/ Top/Regional/North_America/United_States/Texas/Localities/M/Marble_Falls/Business_and_Economy/Financial_Services +http://www.whitmaninsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/M/Marble_Falls/Business_and_Economy/Financial_Services +http://www.planwealth.net/ Top/Regional/North_America/United_States/Texas/Localities/M/McKinney/Business_and_Economy/Financial_Services +http://mm-taxcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/M/McKinney/Business_and_Economy/Financial_Services +https://www.prosperitybankusa.com/loc-merkel.aspx Top/Regional/North_America/United_States/Texas/Localities/M/Merkel/Business_and_Economy/Financial_Services +https://agents.farmers.com/tx/merkel/stacy-cullins Top/Regional/North_America/United_States/Texas/Localities/M/Merkel/Business_and_Economy/Financial_Services +http://www.firststatebank.com/ Top/Regional/North_America/United_States/Texas/Localities/M/Mesquite/Business_and_Economy/Financial_Services +http://www.repodallastx.com/ Top/Regional/North_America/United_States/Texas/Localities/M/Mesquite/Business_and_Economy/Financial_Services +http://cbhins.com/ Top/Regional/North_America/United_States/Texas/Localities/N/Nacogdoches/Business_and_Economy/Financial_Services +https://www.cbtxonline.com/ Top/Regional/North_America/United_States/Texas/Localities/N/Nacogdoches/Business_and_Economy/Financial_Services +http://www.wittinginsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/N/New_Braunfels/Business_and_Economy/Financial_Services +http://www.cheneybrennan.com/ Top/Regional/North_America/United_States/Texas/Localities/N/New_Braunfels/Business_and_Economy/Financial_Services +http://www.1cb.com/ Top/Regional/North_America/United_States/Texas/Localities/N/New_Braunfels/Business_and_Economy/Financial_Services +http://www.southwest66.com/ Top/Regional/North_America/United_States/Texas/Localities/O/Odessa/Business_and_Economy/Financial_Services +http://www.mckenzieins.com/ Top/Regional/North_America/United_States/Texas/Localities/O/Odessa/Business_and_Economy/Financial_Services/Insurance +http://www.legacytexas.com/ Top/Regional/North_America/United_States/Texas/Localities/P/Plano/Business_and_Economy/Financial_Services +http://www.hometeammtg.com/ Top/Regional/North_America/United_States/Texas/Localities/P/Plano/Business_and_Economy/Financial_Services +http://www.armstrongbackus.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Angelo/Business_and_Economy/Financial_Services +http://www.fnbmertzon.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Angelo/Business_and_Economy/Financial_Services +http://www.txbank.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Angelo/Business_and_Economy/Financial_Services +http://www.sarma.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services +http://www.reposanantonio.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services +http://www.eagi.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services +http://dabowinc.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services +http://sanantonio.liftfund.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services +http://www.greenpath.com/about/locations/san-antonio Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services +http://www.fhkcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Accounting +http://www.ccgarcia.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Accounting +http://www.txcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Accounting +http://www.frostbank.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +http://www.sacu.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +http://www.rbfcu.org/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +http://www.ssfcu.org/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +http://www.broadwaybank.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +http://airforcefcu.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +http://www.swrcfcu.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +https://www.jeffersonbank.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Banking_Services +http://www.usaa.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Insurance +https://www.texashermannsons.org/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Insurance +http://www.benwarthan.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Insurance +http://www.saloans.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Mortgages +http://www.visionmortgageco.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Mortgages +http://www.swbcmortgage.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Mortgages +http://www.adler-mortgage.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Antonio/Business_and_Economy/Financial_Services/Mortgages +http://www.sra-ins.com/ Top/Regional/North_America/United_States/Texas/Localities/S/San_Benito/Business_and_Economy/Financial_Services +http://txie.com/ Top/Regional/North_America/United_States/Texas/Localities/S/Southlake/Business_and_Economy/Financial_Services/Insurance +http://www.moneymanagement.org/ Top/Regional/North_America/United_States/Texas/Localities/S/Sugar_Land/Business_and_Economy/Financial_Services +http://wjwealth.com/ Top/Regional/North_America/United_States/Texas/Localities/S/Sugar_Land/Business_and_Economy/Financial_Services +http://www.spjst.org/ Top/Regional/North_America/United_States/Texas/Localities/T/Temple/Business_and_Economy/Financial_Services +https://www.rvos.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Temple/Business_and_Economy/Financial_Services +http://www.intax.net/ Top/Regional/North_America/United_States/Texas/Localities/T/Texarkana/Business_and_Economy/Financial_Services +http://www.grlcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Texarkana/Business_and_Economy/Financial_Services +http://www.wilhen.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Texarkana/Business_and_Economy/Financial_Services +http://www.vkb-cpa.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Texarkana/Business_and_Economy/Financial_Services +http://www.rrfcu.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Texarkana/Business_and_Economy/Financial_Services +http://mikepowellins.com/ Top/Regional/North_America/United_States/Texas/Localities/T/The_Woodlands/Business_and_Economy/Financial_Services +http://ciaagencies.com/ Top/Regional/North_America/United_States/Texas/Localities/T/The_Woodlands/Business_and_Economy/Financial_Services +http://www.bailesco.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services +http://www.southside.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services +http://www.felicianofinancial.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services +http://www.unitedcu.coop/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services +http://www.henrypeters.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services +http://www.gmpcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services +http://www.horizonbusiness.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services +http://www.thompsonhicks.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services/Insurance +http://www.billymartininsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services/Insurance +http://www.bosworth-associates.com/ Top/Regional/North_America/United_States/Texas/Localities/T/Tyler/Business_and_Economy/Financial_Services/Insurance +https://www.firstvictoria.com/ Top/Regional/North_America/United_States/Texas/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.newmaninsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/V/Victoria/Business_and_Economy/Financial_Services +http://www.synergybank.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.cbtwaco.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.pbhcpa.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.cnbwaco.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.mytexasbank.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.hotfcu.org/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.gencofcu.org/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.fnbct.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.edcu.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.wacofcu.org/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.firstcentralcu.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services +http://www.baileyinsurance.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services/Insurance +http://www.wardlawclaims.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services/Insurance +http://www.americanamicable.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waco/Business_and_Economy/Financial_Services/Insurance +https://www.cnboftexas.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Waxahachie/Business_and_Economy/Financial_Services +http://www.eecu.org/ Top/Regional/North_America/United_States/Texas/Localities/W/Weatherford/Business_and_Economy/Financial_Services +http://www.gms-cpa.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Weatherford/Business_and_Economy/Financial_Services +https://www.lonestaragcredit.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Weatherford/Business_and_Economy/Financial_Services +http://www.hillbankandtrustco.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Weimar/Business_and_Economy/Financial_Services +https://www.amnat.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services +http://www.fss-cpa.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services +http://www.sentrymanagement.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services +http://www.jhpc.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services +http://www.wftfcu.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services +http://www.whitmireandwhitmire.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.piatx.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.boleyfeatherston.com/ Top/Regional/North_America/United_States/Texas/Localities/W/Wichita_Falls/Business_and_Economy/Financial_Services/Insurance +http://www.bvscu.org/ Top/Regional/North_America/United_States/Texas/Metro_Areas/Bryan-College_Station/Business_and_Economy/Financial_Services +http://www.austinbank.com/ Top/Regional/North_America/United_States/Texas/Regions/East_Texas/Business_and_Economy/Financial_Services +http://www.uacpa.org/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Accounting +http://www.cookmartin.com/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Accounting +http://www.dfcu.com/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Banks +http://www.farwestbank.com/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Banks +http://www.ambankcom.com/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Banks +http://www.bankofutah.com/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Banks +http://www.fnbutah.com/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Banks +http://www.americansavingslife.com/ Top/Regional/North_America/United_States/Utah/Business_and_Economy/Financial_Services/Insurance +https://www.bankaf.com/ Top/Regional/North_America/United_States/Utah/Counties/Utah/Business_and_Economy/Financial_Services +http://www.capitalcombank.com/ Top/Regional/North_America/United_States/Utah/Counties/Utah/Business_and_Economy/Financial_Services +http://b2acpa.com/ Top/Regional/North_America/United_States/Utah/Localities/B/Bountiful/Business_and_Economy/Financial_Services +https://www.sbsu.com/ Top/Regional/North_America/United_States/Utah/Localities/C/Cedar_City/Business_and_Economy/Financial_Services +http://www.oldstockresearch.com/ Top/Regional/North_America/United_States/Utah/Localities/C/Cedar_City/Business_and_Economy/Financial_Services +http://www.ahacpa.org/ Top/Regional/North_America/United_States/Utah/Localities/K/Kaysville/Business_and_Economy/Financial_Services +http://www.fnbutah.com/ Top/Regional/North_America/United_States/Utah/Localities/L/Layton/Business_and_Economy/Financial_Services +http://www.ls-bank.com/ Top/Regional/North_America/United_States/Utah/Localities/L/Lewiston/Business_and_Economy/Financial_Services +http://www.cookmartin.com/ Top/Regional/North_America/United_States/Utah/Localities/L/Logan/Business_and_Economy/Financial_Services/Accounting +http://www.loganmedicalfcu.org/ Top/Regional/North_America/United_States/Utah/Localities/L/Logan/Business_and_Economy/Financial_Services/Banking_Services +https://www.usucu.org/ Top/Regional/North_America/United_States/Utah/Localities/L/Logan/Business_and_Economy/Financial_Services/Banking_Services +http://www.lssins.com/ Top/Regional/North_America/United_States/Utah/Localities/N/North_Logan/Business_and_Economy/Financial_Services +http://www.jamescpas.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Ogden/Business_and_Economy/Financial_Services/Accounting +http://www.ogden-cpas.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Ogden/Business_and_Economy/Financial_Services/Accounting +http://www.tabbank.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Ogden/Business_and_Economy/Financial_Services/Banks +http://www.americafirst.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Ogden/Business_and_Economy/Financial_Services/Banks +http://www.bankofutah.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Ogden/Business_and_Economy/Financial_Services/Banks +https://www.gwcu.org/ Top/Regional/North_America/United_States/Utah/Localities/O/Ogden/Business_and_Economy/Financial_Services/Banks +http://www.gwic.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Ogden/Business_and_Economy/Financial_Services/Insurance +http://www.centralbankutah.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Orem/Business_and_Economy/Financial_Services +http://www.squire.com/ Top/Regional/North_America/United_States/Utah/Localities/O/Orem/Business_and_Economy/Financial_Services +http://www.premieradministration.com/ Top/Regional/North_America/United_States/Utah/Localities/P/Provo/Business_and_Economy/Financial_Services +http://www.hbeg.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Saint_George/Business_and_Economy/Financial_Services +http://www.brizzee.net/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services +http://www.beehivebailbonds.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services +http://www.trustcoinc.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services +http://www.larsco.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services +http://www.lexingtonlaw.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services +http://www.umlf.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services +http://www.mgis.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services +http://www.bementcompany.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Accounting +http://www.hallidaycpa.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Accounting +http://www.kha-cpa.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Accounting +http://www.tannerco.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Accounting +http://www.cbiz.com/ata-utah/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Accounting +http://www.hebsolutions.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Accounting +http://hayniecpas.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Accounting +http://www.libertybankofutah.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Banking_Services +http://www.ucreditu.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Banking_Services +http://www.celticbanking.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Banking_Services +http://www.utahfirst.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Banking_Services +http://www.venturecapital.org/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Investing +http://www.wasatchfunds.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Investing +http://www.lewisyoung.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Investing +http://www.saadvisory.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Investing +http://www.utahbusinessbrokers.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Investing +http://pelionvp.com/ Top/Regional/North_America/United_States/Utah/Localities/S/Salt_Lake_City/Business_and_Economy/Financial_Services/Investing +http://www.vtcpa.org/ Top/Regional/North_America/United_States/Vermont/Business_and_Economy/Financial_Services +http://www.veda.org/ Top/Regional/North_America/United_States/Vermont/Business_and_Economy/Financial_Services +http://www.ampeisch.com/ Top/Regional/North_America/United_States/Vermont/Business_and_Economy/Financial_Services +http://www.tcvermont.com/ Top/Regional/North_America/United_States/Vermont/Business_and_Economy/Financial_Services +http://www.investinvermont.org/ Top/Regional/North_America/United_States/Vermont/Business_and_Economy/Financial_Services +http://www.winooskiinsurance.com/ Top/Regional/North_America/United_States/Vermont/Business_and_Economy/Financial_Services/Insurance +http://www.hbinsurance.com/ Top/Regional/North_America/United_States/Vermont/Business_and_Economy/Financial_Services/Insurance +http://www.palmerinsurancevt.com/ Top/Regional/North_America/United_States/Vermont/Counties/Chittenden/Business_and_Economy/Financial_Services +http://www.frlcpa.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Bennington/Business_and_Economy/Financial_Services +http://www.raymondjames.com/Branches/corr/dbmckenna/ Top/Regional/North_America/United_States/Vermont/Localities/B/Bennington/Business_and_Economy/Financial_Services +http://www.willsinsurance.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Bennington/Business_and_Economy/Financial_Services +http://www.sriworld.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Brattleboro/Business_and_Economy/Financial_Services +http://www.prentiss-smith.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Brattleboro/Business_and_Economy/Financial_Services +http://www.parkplaceadvisors.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Brattleboro/Business_and_Economy/Financial_Services +http://homepages.sover.net/~pia/ Top/Regional/North_America/United_States/Vermont/Localities/B/Brattleboro/Business_and_Economy/Financial_Services +http://accountingsystemsdesign.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Brattleboro/Business_and_Economy/Financial_Services +http://www.cpavt.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.gfc.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.mbvt.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.oppsvt.org/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.northstarleasing.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.vcia.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.stocktransfer.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.prentiss-smith.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +https://www.vermontfederal.org/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.hansondoremus.com/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +https://www.peoples.com/portal/site/peoples/ Top/Regional/North_America/United_States/Vermont/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.loewertaxes.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Middlebury/Business_and_Economy/Financial_Services +http://www.misasicpa.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Middlebury/Business_and_Economy/Financial_Services +http://www.telling.info/ Top/Regional/North_America/United_States/Vermont/Localities/M/Middlebury/Business_and_Economy/Financial_Services +http://nbmvt.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Middlebury/Business_and_Economy/Financial_Services +https://www.holdeninsurancevt.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Middlebury/Business_and_Economy/Financial_Services +http://www.co-opinsurance.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Middlebury/Business_and_Economy/Financial_Services/Insurance +http://www.vsecu.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Montpelier/Business_and_Economy/Financial_Services +http://www.brandafinancial.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Montpelier/Business_and_Economy/Financial_Services +http://www.fsv-cpas.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Montpelier/Business_and_Economy/Financial_Services +http://www.unionmutual.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Montpelier/Business_and_Economy/Financial_Services/Insurance +http://www.vermontmutual.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Montpelier/Business_and_Economy/Financial_Services/Insurance +http://www.nwjinsurance.com/ Top/Regional/North_America/United_States/Vermont/Localities/M/Montpelier/Business_and_Economy/Financial_Services/Insurance +http://www.americanbenefit.com/ Top/Regional/North_America/United_States/Vermont/Localities/R/Rutland/Business_and_Economy/Financial_Services +http://www.vtcpa.com/ Top/Regional/North_America/United_States/Vermont/Localities/R/Rutland/Business_and_Economy/Financial_Services +http://www.4seasonsaccounting.com/ Top/Regional/North_America/United_States/Vermont/Localities/R/Rutland/Business_and_Economy/Financial_Services +http://www.greenmountaincu.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/South_Burlington/Business_and_Economy/Financial_Services +http://www.tspeck.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/South_Burlington/Business_and_Economy/Financial_Services +http://www.dapplc.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/South_Burlington/Business_and_Economy/Financial_Services +http://www.sopherinvest.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/South_Burlington/Business_and_Economy/Financial_Services +http://www.fjgfinancial.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/South_Burlington/Business_and_Economy/Financial_Services +http://www.grahamcpa.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.finnandstone.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.lawrenceandwheeler.com/ Top/Regional/North_America/United_States/Vermont/Localities/S/Springfield/Business_and_Economy/Financial_Services +http://www.nefcu.com/ Top/Regional/North_America/United_States/Vermont/Localities/W/Williston/Business_and_Economy/Financial_Services +http://www.futureplanningassoc.com/ Top/Regional/North_America/United_States/Vermont/Localities/W/Williston/Business_and_Economy/Financial_Services +http://www.tcva.com/ Top/Regional/North_America/United_States/Virginia/Business_and_Economy/Financial_Services +http://www.cuscva.com/ Top/Regional/North_America/United_States/Virginia/Business_and_Economy/Financial_Services +http://www.rfca.com/ Top/Regional/North_America/United_States/Virginia/Business_and_Economy/Financial_Services +http://www.vahu.org/ Top/Regional/North_America/United_States/Virginia/Business_and_Economy/Financial_Services/Insurance +http://www.piavadc.com/ Top/Regional/North_America/United_States/Virginia/Business_and_Economy/Financial_Services/Insurance +http://www.rutherfoord.com/ Top/Regional/North_America/United_States/Virginia/Business_and_Economy/Financial_Services/Insurance +http://www.naifa-virginia.org/ Top/Regional/North_America/United_States/Virginia/Business_and_Economy/Financial_Services/Insurance +http://www.afba.com/ Top/Regional/North_America/United_States/Virginia/Localities/A/Alexandria/Business_and_Economy/Financial_Services +http://www.fritzandco.com/ Top/Regional/North_America/United_States/Virginia/Localities/F/Fairfax/Business_and_Economy/Financial_Services +http://www.capitalplanningdirect.com/ Top/Regional/North_America/United_States/Virginia/Localities/F/Fairfax/Business_and_Economy/Financial_Services +http://www.themonitorgroup.com/ Top/Regional/North_America/United_States/Virginia/Localities/F/Fairfax/Business_and_Economy/Financial_Services +http://www.bbgbroker.com/ Top/Regional/North_America/United_States/Virginia/Localities/F/Fairfax/Business_and_Economy/Financial_Services +http://www.taxxshoppe.com/ Top/Regional/North_America/United_States/Virginia/Localities/F/Fredericksburg/Business_and_Economy/Financial_Services +http://www.virginiahealthinsuranc.com/ Top/Regional/North_America/United_States/Virginia/Localities/F/Fredericksburg/Business_and_Economy/Financial_Services/Insurance/Health_Insurance +http://www.hvafcu.org/ Top/Regional/North_America/United_States/Virginia/Localities/H/Hampton/Business_and_Economy/Financial_Services +http://www.oldpoint.com/ Top/Regional/North_America/United_States/Virginia/Localities/H/Hampton/Business_and_Economy/Financial_Services +http://www.langleyfcu.org/ Top/Regional/North_America/United_States/Virginia/Localities/H/Hampton/Business_and_Economy/Financial_Services +http://www.morganmarrow.com/ Top/Regional/North_America/United_States/Virginia/Localities/H/Hampton/Business_and_Economy/Financial_Services +http://www.mosaicfcu.org/ Top/Regional/North_America/United_States/Virginia/Localities/H/Harrisonburg/Business_and_Economy/Financial_Services +http://www.magricpa.com/ Top/Regional/North_America/United_States/Virginia/Localities/H/Harrisonburg/Business_and_Economy/Financial_Services +http://www.rockinghamgroup.com/ Top/Regional/North_America/United_States/Virginia/Localities/H/Harrisonburg/Business_and_Economy/Financial_Services/Insurance +http://www.ldbinsurance.com/ Top/Regional/North_America/United_States/Virginia/Localities/H/Harrisonburg/Business_and_Economy/Financial_Services/Insurance +http://www.icoh.com/ Top/Regional/North_America/United_States/Virginia/Localities/H/Harrisonburg/Business_and_Economy/Financial_Services/Insurance +http://www.kestlerfinancial.com/ Top/Regional/North_America/United_States/Virginia/Localities/L/Leesburg/Business_and_Economy/Financial_Services +http://www.richinsurance.com/ Top/Regional/North_America/United_States/Virginia/Localities/L/Leesburg/Business_and_Economy/Financial_Services +http://www.kellyinsurance.com/ Top/Regional/North_America/United_States/Virginia/Localities/L/Leesburg/Business_and_Economy/Financial_Services +http://www.ahtins.com/ Top/Regional/North_America/United_States/Virginia/Localities/L/Leesburg/Business_and_Economy/Financial_Services +http://www.dullesinsurance.com/ Top/Regional/North_America/United_States/Virginia/Localities/L/Leesburg/Business_and_Economy/Financial_Services +http://www.harperaccountingandtax.com/ Top/Regional/North_America/United_States/Virginia/Localities/L/Lynchburg/Business_and_Economy/Financial_Services +http://www.tax-business.com/ Top/Regional/North_America/United_States/Virginia/Localities/M/Manassas/Business_and_Economy/Financial_Services +http://www.duckworthins.com/ Top/Regional/North_America/United_States/Virginia/Localities/M/Manassas/Business_and_Economy/Financial_Services/Insurance +http://www.harrisinsurance.com/ Top/Regional/North_America/United_States/Virginia/Localities/M/Manassas/Business_and_Economy/Financial_Services/Insurance +http://www.dominionfirstmortgage.com/ Top/Regional/North_America/United_States/Virginia/Localities/M/Manassas/Business_and_Economy/Financial_Services/Mortgages +http://www.1stadvantage.org/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.rhsecu.org/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.vecu.org/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.nnmecu.org/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.brownplanning.com/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.atlanticbay.com/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.equidata.com/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.pbmares.com/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services +http://www.hpinsurancecenter.com/ Top/Regional/North_America/United_States/Virginia/Localities/N/Newport_News/Business_and_Economy/Financial_Services/Insurance +http://www.olddominiontrust.com/ Top/Regional/North_America/United_States/Virginia/Localities/N/Norfolk/Business_and_Economy/Financial_Services +http://www.cravergreen.com/ Top/Regional/North_America/United_States/Virginia/Localities/N/Norfolk/Business_and_Economy/Financial_Services +http://www.salliemae.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Reston/Business_and_Economy/Financial_Services +http://www.dbestax.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Reston/Business_and_Economy/Financial_Services +http://navfund.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Reston/Business_and_Economy/Financial_Services +http://www.davenportllc.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.atlfa.org/ Top/Regional/North_America/United_States/Virginia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.vacu.org/ Top/Regional/North_America/United_States/Virginia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.armbiz.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.vamllc.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.dyecoins.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://trustmorhomeloans.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Richmond/Business_and_Economy/Financial_Services +http://www.shenlife.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://www.bundyandcompany.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://www.broganinsurance.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://www.memberonefcu.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://www.denisoninsurance.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://www.andersonreed.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://www.accountingandtaxcenter.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://richardjbeasoncpa.com/ Top/Regional/North_America/United_States/Virginia/Localities/R/Roanoke/Business_and_Economy/Financial_Services +http://www.davehannett.com/ Top/Regional/North_America/United_States/Virginia/Localities/V/Vienna/Business_and_Economy/Financial_Services +http://www.hlhj.com/ Top/Regional/North_America/United_States/Virginia/Localities/V/Vienna/Business_and_Economy/Financial_Services +http://www.vbsfcu.org/ Top/Regional/North_America/United_States/Virginia/Localities/V/Virginia_Beach/Business_and_Economy/Financial_Services +http://virginiacapital.com/ Top/Regional/North_America/United_States/Virginia/Localities/V/Virginia_Beach/Business_and_Economy/Financial_Services +http://www.fmcvirginia.com/ Top/Regional/North_America/United_States/Virginia/Localities/V/Virginia_Beach/Business_and_Economy/Financial_Services +http://www.envestventures.com/ Top/Regional/North_America/United_States/Virginia/Localities/V/Virginia_Beach/Business_and_Economy/Financial_Services +http://www.baycapitaladvice.com/ Top/Regional/North_America/United_States/Virginia/Localities/V/Virginia_Beach/Business_and_Economy/Financial_Services +http://www.beskin.com/ Top/Regional/North_America/United_States/Virginia/Localities/V/Virginia_Beach/Business_and_Economy/Financial_Services/Insurance +http://www.assurancenow.com/ Top/Regional/North_America/United_States/Virginia/Localities/W/Warrenton/Business_and_Economy/Financial_Services/Insurance +http://www.rideemo.com/ Top/Regional/North_America/United_States/Virginia/Localities/W/Warrenton/Business_and_Economy/Financial_Services/Insurance +http://www.bankofclarke.com/ Top/Regional/North_America/United_States/Virginia/Localities/W/Winchester/Business_and_Economy/Financial_Services +http://www.valleyfc.com/ Top/Regional/North_America/United_States/Virginia/Localities/W/Winchester/Business_and_Economy/Financial_Services +http://www.yhbcpa.com/ Top/Regional/North_America/United_States/Virginia/Localities/W/Winchester/Business_and_Economy/Financial_Services +http://www.fbvirginia.com/ Top/Regional/North_America/United_States/Virginia/Localities/W/Winchester/Business_and_Economy/Financial_Services +http://www.tmba.org/ Top/Regional/North_America/United_States/Virginia/Metro_Areas/Hampton_Roads/Business_and_Economy/Financial_Services +http://www.bankofhamptonroads.com/ Top/Regional/North_America/United_States/Virginia/Metro_Areas/Hampton_Roads/Business_and_Economy/Financial_Services +http://www.bankofthecommonwealth.com/ Top/Regional/North_America/United_States/Virginia/Metro_Areas/Hampton_Roads/Business_and_Economy/Financial_Services +http://www.alvincents.com/ Top/Regional/North_America/United_States/Virginia/Metro_Areas/Hampton_Roads/Business_and_Economy/Financial_Services +http://www.colonycares.com/ Top/Regional/North_America/United_States/Virginia/Metro_Areas/Hampton_Roads/Business_and_Economy/Financial_Services +http://www.sareentax.com/ Top/Regional/North_America/United_States/Virginia/Regions/Northern_Virginia/Business_and_Economy/Financial_Services +http://www.ryanandwetmore.com/ Top/Regional/North_America/United_States/Washington,_DC/Business_and_Economy/Financial_Services +http://www.ustico.com/ Top/Regional/North_America/United_States/Washington,_DC/Business_and_Economy/Financial_Services +http://www.arcticintl.com/ Top/Regional/North_America/United_States/Washington,_DC/Business_and_Economy/Financial_Services +http://www.traceinternational.org/ Top/Regional/North_America/United_States/Washington,_DC/Business_and_Economy/Financial_Services +http://www.boltonpartners.com/ Top/Regional/North_America/United_States/Washington,_DC/Business_and_Economy/Financial_Services +http://www.bwmetro.axa-advisors.com/ Top/Regional/North_America/United_States/Washington,_DC/Business_and_Economy/Financial_Services +http://www.wabankers.com/ Top/Regional/North_America/United_States/Washington/Business_and_Economy/Financial_Services +http://www.communitybankers-wa.org/ Top/Regional/North_America/United_States/Washington/Business_and_Economy/Financial_Services +http://www.duncanins.com/ Top/Regional/North_America/United_States/Washington/Business_and_Economy/Financial_Services +http://www.mainspringwa.com/ Top/Regional/North_America/United_States/Washington/Business_and_Economy/Financial_Services +http://www.libke.com/ Top/Regional/North_America/United_States/Washington/Business_and_Economy/Financial_Services/Insurance +http://www.healthinsurancewashington.com/ Top/Regional/North_America/United_States/Washington/Business_and_Economy/Financial_Services/Insurance +https://www.deltadentalwa.com/ Top/Regional/North_America/United_States/Washington/Business_and_Economy/Financial_Services/Insurance +http://www.kitsapcreditunion.org/ Top/Regional/North_America/United_States/Washington/Counties/Kitsap/Business_and_Economy/Financial_Services +http://www.barenecpa.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.anchornetbank.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.coasttitle.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.lpl.com/jeremy.hawkins Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.davejohnsoninsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.graysharbortitle.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.plmcpas.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.markrossetti.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.bankofthepacific.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Aberdeen/Business_and_Economy/Financial_Services +http://www.hbtinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Auburn/Business_and_Economy/Financial_Services +http://www.superiorinsuranceservice.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Auburn/Business_and_Economy/Financial_Services +http://www.fbc-cpa.com/ Top/Regional/North_America/United_States/Washington/Localities/A/Auburn/Business_and_Economy/Financial_Services +http://acctgrp.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.bestmortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.mountainviewmortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.plnmortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.sammamishmortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.qualstarcu.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://merchantscredit.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.abacus-securities.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.accessbusinessfinance.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.mwboone.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.kpcom.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +https://clarknuber.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.absoluteloans.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.foundationbank.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.bell-anderson.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.vwc-cpa.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.ibainc.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellevue/Business_and_Economy/Financial_Services +http://www.wa-mortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.northcoastcu.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.industrialcu.org/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.wecu.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.genebellassociates.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.bellinghammortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.curnowcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.vshcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +https://www.hubinternational.com/bellingham/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services +http://www.metcalfhodges.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services/Accountants +http://www.vaughnhagencpa.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services/Accountants +http://www.archergroup.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services/Accountants +http://www.multop.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services/Accountants +http://www.curnowcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services/Accountants +http://www.steelefs.com/ Top/Regional/North_America/United_States/Washington/Localities/B/Bellingham/Business_and_Economy/Financial_Services/Accountants +http://www.templefinancial.com/ Top/Regional/North_America/United_States/Washington/Localities/C/Camas/Business_and_Economy/Financial_Services +https://www.northcascadesbank.com/ Top/Regional/North_America/United_States/Washington/Localities/C/Chelan/Business_and_Economy/Financial_Services +http://standenins.com/ Top/Regional/North_America/United_States/Washington/Localities/D/Deer_Park/Business_and_Economy/Financial_Services +http://www.mytaxgenius.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Edmonds/Business_and_Economy/Financial_Services +http://www.the-bank.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Edmonds/Business_and_Economy/Financial_Services +http://www.hagenfirm.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Edmonds/Business_and_Economy/Financial_Services +http://www.dmecpa.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Edmonds/Business_and_Economy/Financial_Services +http://www.whiterivercu.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Enumclaw/Business_and_Economy/Financial_Services +http://www.mutualofenumclaw.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Enumclaw/Business_and_Economy/Financial_Services +http://www.northseattlecpa.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Everett/Business_and_Economy/Financial_Services +http://www.frontierbank.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Everett/Business_and_Economy/Financial_Services +http://www.coastalbank.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Everett/Business_and_Economy/Financial_Services +http://www.toyercpa.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Everett/Business_and_Economy/Financial_Services +http://www.whitfields.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Everett/Business_and_Economy/Financial_Services +http://www.sentrycredit.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Everett/Business_and_Economy/Financial_Services +https://www.mountaincrestcu.com/ Top/Regional/North_America/United_States/Washington/Localities/E/Everett/Business_and_Economy/Financial_Services +http://www.rhodescpa.com/ Top/Regional/North_America/United_States/Washington/Localities/F/Federal_Way/Business_and_Economy/Financial_Services +http://www.brantleyjanson.com/ Top/Regional/North_America/United_States/Washington/Localities/F/Federal_Way/Business_and_Economy/Financial_Services +http://www.insurenw.com/ Top/Regional/North_America/United_States/Washington/Localities/F/Federal_Way/Business_and_Economy/Financial_Services +http://www.woodstonecu.org/ Top/Regional/North_America/United_States/Washington/Localities/F/Federal_Way/Business_and_Economy/Financial_Services +http://www.flscpa.net/ Top/Regional/North_America/United_States/Washington/Localities/F/Fife/Business_and_Economy/Financial_Services +http://www.snofalls.com/ Top/Regional/North_America/United_States/Washington/Localities/I/Issaquah/Business_and_Economy/Financial_Services +http://www.tamaelamortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/I/Issaquah/Business_and_Economy/Financial_Services +http://www.pbsbenefits.com/ Top/Regional/North_America/United_States/Washington/Localities/I/Issaquah/Business_and_Economy/Financial_Services +http://www.community1st.com/ Top/Regional/North_America/United_States/Washington/Localities/K/Kennewick/Business_and_Economy/Financial_Services +http://www.midcolumbiainsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/K/Kennewick/Business_and_Economy/Financial_Services +http://www.rates2go.com/ Top/Regional/North_America/United_States/Washington/Localities/K/Kennewick/Business_and_Economy/Financial_Services +http://www.mortgagemasterwa.com/ Top/Regional/North_America/United_States/Washington/Localities/K/Kent/Business_and_Economy/Financial_Services +http://www.cascadefcu.org/ Top/Regional/North_America/United_States/Washington/Localities/K/Kent/Business_and_Economy/Financial_Services +http://www.rotellacapital.com/ Top/Regional/North_America/United_States/Washington/Localities/K/Kirkland/Business_and_Economy/Financial_Services +http://www.teampsaradelis.com/ Top/Regional/North_America/United_States/Washington/Localities/K/Kirkland/Business_and_Economy/Financial_Services +http://www.mintvalleyfcu.org/ Top/Regional/North_America/United_States/Washington/Localities/L/Longview/Business_and_Economy/Financial_Services +http://www.rushins.com/ Top/Regional/North_America/United_States/Washington/Localities/L/Longview/Business_and_Economy/Financial_Services +http://www.twincitybank.com/ Top/Regional/North_America/United_States/Washington/Localities/L/Longview/Business_and_Economy/Financial_Services +http://www.fibrecu.com/ Top/Regional/North_America/United_States/Washington/Localities/L/Longview/Business_and_Economy/Financial_Services +http://www.cascade-title.com/ Top/Regional/North_America/United_States/Washington/Localities/L/Longview/Business_and_Economy/Financial_Services +http://www.paccrest.com/ Top/Regional/North_America/United_States/Washington/Localities/L/Lynnwood/Business_and_Economy/Financial_Services +http://www.diamondbenefits.com/ Top/Regional/North_America/United_States/Washington/Localities/L/Lynnwood/Business_and_Economy/Financial_Services +http://www.mckeonassociates.com/ Top/Regional/North_America/United_States/Washington/Localities/M/Marysville/Business_and_Economy/Financial_Services +http://www.walkeragency.net/ Top/Regional/North_America/United_States/Washington/Localities/M/Marysville/Business_and_Economy/Financial_Services +http://nrsmith.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.hf-wa.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +https://www.evergreendirect.org/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +https://www.twinstarcu.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.wsecu.org/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.nicholson-insurance.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.ghbinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.thurstonfirstbank.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.southsoundbank.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.vaguy.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.washingtonautocredit.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.occfactor.com/ Top/Regional/North_America/United_States/Washington/Localities/O/Olympia/Business_and_Economy/Financial_Services +http://www.bell-futchcpas.com/ Top/Regional/North_America/United_States/Washington/Localities/P/Puyallup/Business_and_Economy/Financial_Services +http://www.falcosult.com/ Top/Regional/North_America/United_States/Washington/Localities/R/Redmond/Business_and_Economy/Financial_Services +http://www.stephenlnelson.com/ Top/Regional/North_America/United_States/Washington/Localities/R/Redmond/Business_and_Economy/Financial_Services +http://www.colmaninsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/R/Redmond/Business_and_Economy/Financial_Services +http://www.sherwood-investments.com/ Top/Regional/North_America/United_States/Washington/Localities/R/Redmond/Business_and_Economy/Financial_Services +http://www.grasscpa.com/ Top/Regional/North_America/United_States/Washington/Localities/R/Renton/Business_and_Economy/Financial_Services +http://www.gesa.com/ Top/Regional/North_America/United_States/Washington/Localities/R/Richland/Business_and_Economy/Financial_Services +http://www.becu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.nordcu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.smcu.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.physndent.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.moneytreeinc.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.nwadmin.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.washingtonfederal.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.nacmbcs.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.avatarfinancial.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.stellar.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.freestonecapital.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.collinsgroup.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.excfac.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.rainierfinancial.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.trustguard.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.rlevansco.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.advance-capital.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.tcbwa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.soundcb.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.pierremoneymart.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://fraudresolutionforseniors.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.cosseinternational.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.carproscredit.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.thefranchisers.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +https://www.homestreet.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://venturesnonprofit.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +https://www.inspiruscu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +https://www.waterfrontfcu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://businessimpactnw.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services +http://www.mossadams.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.tremperco.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.kingoliason.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.bwkcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.agaseattle.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.sprute-breitenbach.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.badermartin.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.pscpa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.cpmcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.greenwoodcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://accountabilityservices.com/site/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.jmhseattle.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.dougfostercpa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.frederickcpas.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Accountants +http://www.midlakesinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.soundinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.safeco.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.ahtins.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.kpcom.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.chpw.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.gallagherreppond.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.boatinsurance.net/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.filerinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.capmont.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Insurance +http://www.atlasmortgages.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Seattle/Business_and_Economy/Financial_Services/Mortgages +http://www.olynorthwest.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Silverdale/Business_and_Economy/Financial_Services +http://www.primeonemtg.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.nationalcontractbuyers.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.omnim.com/StandardGroup.htm Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.abmortgage.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.farmerstockmaninsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.phenneger-associates.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.chapmanfs.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.fastcharge.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.spokanetitle.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services +http://www.fruci.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Accountants +http://www.ronandersoncpa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Accountants +http://www.grifco.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Accountants +http://www.jordanhatfield.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Accountants +http://www.watrust.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.globalcu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.hzcu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.northland.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.spokanefederal.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +https://www.stcu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.slecu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.primesourcecu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.avistacu.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +https://www.umpquabank.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.farm-credit.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.northcentralcu.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.inb.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.electronictransfer.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.wheatlandbank.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Banks_and_Credit_Unions +http://www.andre-romberg.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Insurance +http://www.serenitygroup.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Insurance +http://www.davechristyinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Insurance +http://www.equoteassist.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Insurance +http://www.ghc.org/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane/Business_and_Economy/Financial_Services/Insurance/Health_Insurance/HMOs +http://www.matheney-cpa.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane_Valley/Business_and_Economy/Financial_Services +http://www.statebanknorthwest.com/ Top/Regional/North_America/United_States/Washington/Localities/S/Spokane_Valley/Business_and_Economy/Financial_Services +http://www.dpcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +https://www.columbiabank.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.harborstone.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.seemoney.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://medicalbenefits.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.jeffbakerassociates.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.mcaps.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.stolzassoc.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.ozanich-ins.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.pheinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.propelinsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +https://www.soundcu.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://harberappraisal.com/ Top/Regional/North_America/United_States/Washington/Localities/T/Tacoma/Business_and_Economy/Financial_Services +http://www.cpajohn.com/ Top/Regional/North_America/United_States/Washington/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.riverviewbank.com/ Top/Regional/North_America/United_States/Washington/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.columbiacu.org/ Top/Regional/North_America/United_States/Washington/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.lshore4cu.com/ Top/Regional/North_America/United_States/Washington/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.nwatax.net/ Top/Regional/North_America/United_States/Washington/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://www.reganbail.com/ Top/Regional/North_America/United_States/Washington/Localities/V/Vancouver/Business_and_Economy/Financial_Services +https://www.statefarm.com/agent/US/WA/Vancouver/Kathy-Landers-TH6BY1YS000 Top/Regional/North_America/United_States/Washington/Localities/V/Vancouver/Business_and_Economy/Financial_Services +http://gpln.com/_goldplan/ Top/Regional/North_America/United_States/Washington/Localities/V/Vashon_Island/Business_and_Economy/Financial_Services +http://www.dogwoodassociates.com/ Top/Regional/North_America/United_States/Washington/Localities/V/Vashon_Island/Business_and_Economy/Financial_Services +http://safesecuremoney.com/ Top/Regional/North_America/United_States/Washington/Localities/V/Vashon_Island/Business_and_Economy/Financial_Services +http://trigginsurance.com/ Top/Regional/North_America/United_States/Washington/Localities/V/Vashon_Island/Business_and_Economy/Financial_Services +http://www.bannerbank.com/ Top/Regional/North_America/United_States/Washington/Localities/W/Walla_Walla/Business_and_Economy/Financial_Services +http://www.bakerboyer.com/ Top/Regional/North_America/United_States/Washington/Localities/W/Walla_Walla/Business_and_Economy/Financial_Services +http://www.tkcpa.com/ Top/Regional/North_America/United_States/Washington/Localities/W/Walla_Walla/Business_and_Economy/Financial_Services/Accountants +http://www.mcdonaldzaring.com/ Top/Regional/North_America/United_States/Washington/Localities/W/Walla_Walla/Business_and_Economy/Financial_Services/Insurance +http://www.michaelwarrenagency.com/ Top/Regional/North_America/United_States/Washington/Localities/W/Walla_Walla/Business_and_Economy/Financial_Services/Insurance +http://www.wallawallainsuranceservices.com/ Top/Regional/North_America/United_States/Washington/Localities/W/Walla_Walla/Business_and_Economy/Financial_Services/Insurance +http://www.bbmfs.com/ Top/Regional/North_America/United_States/Washington/Localities/Y/Yakima/Business_and_Economy/Financial_Services +http://www.yakimafed.com/ Top/Regional/North_America/United_States/Washington/Localities/Y/Yakima/Business_and_Economy/Financial_Services +http://www.efscollects.com/ Top/Regional/North_America/United_States/Washington/Localities/Y/Yakima/Business_and_Economy/Financial_Services +http://www.williams-callan.com/ Top/Regional/North_America/United_States/Washington/Metro_Areas/Seattle-Tacoma_Metro/Business_and_Economy/Financial_Services +http://www.sav-on.com/ Top/Regional/North_America/United_States/Washington/Metro_Areas/Seattle-Tacoma_Metro/Business_and_Economy/Financial_Services +http://www.seattlesavingsbank.com/ Top/Regional/North_America/United_States/Washington/Metro_Areas/Seattle-Tacoma_Metro/Business_and_Economy/Financial_Services +http://www.lmbcpas.com/ Top/Regional/North_America/United_States/Washington/Metro_Areas/Seattle-Tacoma_Metro/Business_and_Economy/Financial_Services +http://www.bssnorthwest.com/ Top/Regional/North_America/United_States/Washington/Regions/Northwestern/Business_and_Economy/Financial_Services +http://www.pcfcu.org/ Top/Regional/North_America/United_States/Washington/Regions/Northwestern/Business_and_Economy/Financial_Services +http://www.duncanins.com/ Top/Regional/North_America/United_States/Washington/Regions/Northwestern/Business_and_Economy/Financial_Services +http://www.timberlandbank.com/ Top/Regional/North_America/United_States/Washington/Regions/Northwestern/Business_and_Economy/Financial_Services +http://www.wncpa.com/ Top/Regional/North_America/United_States/Washington/Regions/Northwestern/Business_and_Economy/Financial_Services +http://www.firstexchangebank.com/ Top/Regional/North_America/United_States/West_Virginia/Business_and_Economy/Financial_Services/Banks +http://www.calhounbanks.com/ Top/Regional/North_America/United_States/West_Virginia/Business_and_Economy/Financial_Services/Banks +http://www.freedombankwv.com/ Top/Regional/North_America/United_States/West_Virginia/Business_and_Economy/Financial_Services/Banks +http://www.mcnbbanks.com/ Top/Regional/North_America/United_States/West_Virginia/Business_and_Economy/Financial_Services/Banks +http://www.wvlifega.org/ Top/Regional/North_America/United_States/West_Virginia/Business_and_Economy/Financial_Services/Insurance +http://www.mountaineercapital.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/C/Charleston/Business_and_Economy/Financial_Services +http://www.wvjit.org/ Top/Regional/North_America/United_States/West_Virginia/Localities/C/Charleston/Business_and_Economy/Financial_Services +http://www.dafassociates.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/C/Charleston/Business_and_Economy/Financial_Services +https://www.vestedhealth.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/C/Charleston/Business_and_Economy/Financial_Services +http://www.brickstreet.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/C/Charleston/Business_and_Economy/Financial_Services +http://www.alleghenyinsurance.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/E/Elkins/Business_and_Economy/Financial_Services +https://www.citizenswv.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/E/Elkins/Business_and_Economy/Financial_Services +http://www.huntingtonized.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/H/Huntington/Business_and_Economy/Financial_Services +http://www.huntingtonfederal.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/H/Huntington/Business_and_Economy/Financial_Services/Banks +http://www.firstsentry.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/H/Huntington/Business_and_Economy/Financial_Services/Banks +http://www.jelewiscpa.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/L/Lewisburg/Business_and_Economy/Financial_Services +http://www.serviceplusinc.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/M/Morgantown/Business_and_Economy/Financial_Services +http://www.citizensbankwv.com/ Top/Regional/North_America/United_States/West_Virginia/Localities/M/Morgantown/Business_and_Economy/Financial_Services +http://www.tenniescpa.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.schencksc.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +https://www.capitalcu.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.coopcu.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.heartlandcu.org/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.harborcu.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.becu.net/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.covantagecu.org/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.summitcreditunion.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.theleague.coop/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.wegnercpas.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.riverbank.biz/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.ahmcpa.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.meichercpas.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.communityfirstcu.org/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services +http://www.schwarzins.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services/Insurance +http://www.mcclone.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services/Insurance +http://www.community-insurance.com/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services/Insurance +https://www.johnsonbank.com/johnsoninsurance Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services/Insurance +http://www.tricorinsurance.com/westland-tricor/ Top/Regional/North_America/United_States/Wisconsin/Business_and_Economy/Financial_Services/Insurance +http://www.integrityinsurance.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/A/Appleton/Business_and_Economy/Financial_Services +http://www.secura.net/ Top/Regional/North_America/United_States/Wisconsin/Localities/A/Appleton/Business_and_Economy/Financial_Services +http://www.viainsurance.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/A/Appleton/Business_and_Economy/Financial_Services +http://www.bgh-cpa.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/A/Appleton/Business_and_Economy/Financial_Services +http://www.firstccu.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/B/Beloit/Business_and_Economy/Financial_Services +http://www.tcubeloit.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/B/Beloit/Business_and_Economy/Financial_Services +http://www.blackhawkbank.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/B/Beloit/Business_and_Economy/Financial_Services +https://www.adviacu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/B/Beloit/Business_and_Economy/Financial_Services +https://www.fiserv.com/index.aspx Top/Regional/North_America/United_States/Wisconsin/Localities/B/Brookfield/Business_and_Economy/Financial_Services +http://vrakascpas.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/B/Brookfield/Business_and_Economy/Financial_Services +http://www.mcucreditunion.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/B/Burlington/Business_and_Economy/Financial_Services +http://www.northwesternbank.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/C/Chippewa_Falls/Business_and_Economy/Financial_Services +http://www.atccpa.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/G/Green_Bay/Business_and_Economy/Financial_Services +http://www.pcmcu.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/G/Green_Bay/Business_and_Economy/Financial_Services +http://www.informedchoice.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/G/Green_Bay/Business_and_Economy/Financial_Services/Insurance +http://www.pccu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/J/Janesville/Business_and_Economy/Financial_Services +http://www.gatewaymortgagecorp.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/K/Kenosha/Business_and_Economy/Financial_Services +http://www.ccukenosha.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/K/Kenosha/Business_and_Economy/Financial_Services +https://www.southernlakescu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/K/Kenosha/Business_and_Economy/Financial_Services +http://www.couleebank.net/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +http://www.carrierinsurance.net/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +http://www.citizensstatebank.us/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +http://www.dpcreditunion.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +http://www.forlbcu.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +http://www.armitageinconline.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +http://www.gundersencu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +https://www.marinecu.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/L/La_Crosse/Business_and_Economy/Financial_Services +http://www.parkbank.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services +http://www.home-savings.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services +http://www.sgcpa.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services +http://www.wegnerassetmgmt.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services +http://www.smacu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Credit_Unions +http://www.uwcu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Credit_Unions +http://www.madisoncu.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Credit_Unions +http://www.baerinsurance.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Insurance +http://www.prairielandagency.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Insurance +http://www.neckerman.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Insurance +http://www.actioninsuranceagency.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Insurance +http://www.onlinehsa.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Insurance +http://www.insuremadison.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Madison/Business_and_Economy/Financial_Services/Insurance +http://www.firstchoicecreditunion.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Marshfield/Business_and_Economy/Financial_Services +http://www.dataflowcorp.net/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Marshfield/Business_and_Economy/Financial_Services +http://www.marshfieldinsurance.net/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Marshfield/Business_and_Economy/Financial_Services +http://www.burns-insurance.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Marshfield/Business_and_Economy/Financial_Services +http://www.middletonbank.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Middleton/Business_and_Economy/Financial_Services +http://www.ziegler.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Milwaukee/Business_and_Economy/Financial_Services +http://www.associatedbank.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Milwaukee/Business_and_Economy/Financial_Services +http://www.wipfli.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/M/Milwaukee/Business_and_Economy/Financial_Services +https://www.verveacu.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/O/Oshkosh/Business_and_Economy/Financial_Services +http://www.ecu.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/R/Racine/Business_and_Economy/Financial_Services +http://www.rmecu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/R/Racine/Business_and_Economy/Financial_Services +http://www.johnsonbank.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/R/Racine/Business_and_Economy/Financial_Services +http://www.edwardsinsurance.biz/ Top/Regional/North_America/United_States/Wisconsin/Localities/S/Sparta/Business_and_Economy/Financial_Services +http://www.sentrycu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/S/Stevens_Point/Business_and_Economy/Financial_Services +http://www.sentry.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/S/Stevens_Point/Business_and_Economy/Financial_Services +http://www.cfbwi.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/S/Stevens_Point/Business_and_Economy/Financial_Services +http://www.adams-claims.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/S/Stevens_Point/Business_and_Economy/Financial_Services +http://www.gofsi.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/S/Stevens_Point/Business_and_Economy/Financial_Services +http://www.stewart-peterson.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/W/West_Bend/Business_and_Economy/Financial_Services +http://bairdoffices.com/west_bend_wi/ Top/Regional/North_America/United_States/Wisconsin/Localities/W/West_Bend/Business_and_Economy/Financial_Services +http://www.glacierhillscu.org/ Top/Regional/North_America/United_States/Wisconsin/Localities/W/West_Bend/Business_and_Economy/Financial_Services +http://www.richardsinsurance.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/W/West_Bend/Business_and_Economy/Financial_Services +http://www.milkuslofycpa.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/W/West_Bend/Business_and_Economy/Financial_Services +http://www.thesilverlining.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/W/West_Bend/Business_and_Economy/Financial_Services +http://www.wcnbank.com/ Top/Regional/North_America/United_States/Wisconsin/Localities/W/Wisconsin_Rapids/Business_and_Economy/Financial_Services +http://www.wyomingcda.com/ Top/Regional/North_America/United_States/Wyoming/Business_and_Economy/Financial_Services +http://tegelerinsurance.com/ Top/Regional/North_America/United_States/Wyoming/Business_and_Economy/Financial_Services/Insurance +https://www.bcbswy.com/ Top/Regional/North_America/United_States/Wyoming/Business_and_Economy/Financial_Services/Insurance +http://www.burnsinsagency.com/ Top/Regional/North_America/United_States/Wyoming/Business_and_Economy/Financial_Services/Insurance +http://www.cpawyoming.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.hilltopnationalbank.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.killmerco.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.pmch.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.jonahbank.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.wvista.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.wyhy.org/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.groomsandharkins.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Casper/Business_and_Economy/Financial_Services +http://www.jonahbank.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services +http://www.wvista.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services +http://www.wyhy.org/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services +http://www.warrenfcu.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services +http://www.mhpllp.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services +http://www.timberlineins.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services/Insurance +http://www.ebenefitsinc.com/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services/Insurance +http://www.ronolson.biz/ Top/Regional/North_America/United_States/Wyoming/Localities/C/Cheyenne/Business_and_Economy/Financial_Services/Insurance +http://www.etax.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.virginmoney.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.asic.gov.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.orix.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.findmysuper.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.quantumgroup.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.entitysolutions.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.bp.com.au/bpplus/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.ge.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.esanda.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.suncorp.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.superannuation.asn.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.wesleycreditline.org/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.unifin.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.sct.gov.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.unionfidelity.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.ratecity.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.coopdevelopment.org.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.financeadvocates.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.ebbx.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://spaa.asn.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.australiansuper.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.grantsearch.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.deloitte.com/view/en_AU/au/index.htm Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.cashfirst.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +https://www.moneysmart.gov.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services +http://www.westpac.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.anz.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.macquarie.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.rabobank.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.nab.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.bankers.asn.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.abacus.org.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.membersequity.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.travelex.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.transoceangroup.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.xylo.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services +http://www.pcu.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +http://www.commbank.com.au/Netbank/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +https://onlineservices.amp.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +https://online.westpac.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +https://ibs.bankwest.com.au/BWLogin/rib.aspx Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +https://www.bendigobank.com.au/banking/BBLIBanking/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +http://www.hsbc.com.au/1/2/personal/services/internet-banking Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +https://inetbnkp.adelaidebank.com.au/OnlineBanking/AdBank Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +https://internetbanking.suncorpbank.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +http://www.anz.com/personal/ways-bank/internet-banking/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +http://www.nab.com.au/personal/internet-banking Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Banking_Services/Online_Banking +http://www.cua.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Credit_Unions +http://groups.yahoo.com/group/Ozzystox Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.intelligentinvestor.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.incrediblecharts.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.tradingroom.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.hotcopper.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.click2.com.au/se/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.angelfire.com/ego/mrstocky/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.weblink.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://groups.yahoo.com/group/australianshares/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.stock-anal.com/au/index.htm Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://voodoo_chook.tripod.com/tools/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.propertyinvestmentplanning.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.delisted.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.hsbc.com.au/stockbroking Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.topstocks.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.aussiestockforums.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.canterburyhill.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.mybusiness.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.newportcapital.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.cmcmarkets.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.chan-naylor.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.wrenadvisers.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.ig.com/au Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +https://www.vanguardinvestments.com.au/au/portal/homepage.jsp Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.fpa.asn.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Planning +http://www.itsyourlife.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Planning +http://www.auspak.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Planning +http://www.colonialfirststate.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Planning +http://www.consultum.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Planning +http://www.dixon.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Financial_Planning +http://www.ana.net.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Associations +http://www.icwa.wa.gov.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Associations +http://www.insurancecouncil.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Associations +http://www.insuranceombudsman.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Associations +http://www.strathearn.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Brokers +http://www.agririsk.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Brokers +http://www.steningsimpson.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.shcorp.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.gerling.com/local/au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.willis.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.pacificpremium.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.aami.com.au/business-insurance Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.hcf.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.nib.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.iselect.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.grandunited.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.medibank.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.gmhba.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.australianunity.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.ahm.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +https://www.hbf.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.bupa.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Health +http://www.clubmarine.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.associatedmarine.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Marine +http://www.aami.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Motor_Vehicle +http://www.shannons.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Motor_Vehicle +http://www.lumley.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.gio.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.qbe.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.zurich.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.allianz.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.apia.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.hiainsurance.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.amp.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.wfi.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.promina.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.iag.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.vero.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.aon.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.cgu.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.suncorp.com.au/insurance Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.ccinsurance.org.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.grandunited.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.worldcare.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.worldnomads.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.columbusdirect.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.travelinsurance.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.travelinsure.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.covermore.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.perpetual.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing +http://www.ampcapital.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing +http://www.asx.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing +http://www.hunterhall.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing +http://www.amscot.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing +http://www.comsec.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Online_Trading +http://www.macquarie.com.au/trade/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Online_Trading +http://www.e-shares.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Online_Trading +http://www.morrisonsecurities.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Online_Trading +http://www.fpmarkets.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Online_Trading +http://www.national.com.au/trading Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Online_Trading +http://www.cityindex.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Online_Trading +http://www.gpt.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Property +http://www.lendlease.com/au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Property +http://www.vicinity.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Property +http://www.bellpotter.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.ords.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.psl.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.prescottsecurities.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +https://www.evansandpartners.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.stateone.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.austock.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.cygnetcapital.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +https://www.jbwere.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.bridges.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +https://www.morgans.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.shawandpartners.com.au/#/home Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Investing/Traditional_Full_Service +http://www.hicaps.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Merchant_Services +http://www.ncrm.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Merchant_Services +http://www.excelaustralia.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Merchant_Services +http://www.visa.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Merchant_Services +http://www.intuit.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Merchant_Services +https://www.ezypay.com/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Merchant_Services +https://www.paymate.com/cms/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Merchant_Services +http://www.mortgagechoice.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Mortgages +http://www.choiceaggregationservices.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgageone.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Mortgages +http://www.choicehomeloans.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Mortgages +http://www.mortgagenow.com.au/ Top/Regional/Oceania/Australia/Business_and_Economy/Financial_Services/Mortgages +http://www.depositpower.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services +http://www.selectfunds.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services +http://www.beyondbank.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services +http://www.lawcover.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services/Insurance +http://www.harrisongray.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services/Insurance +http://www.desmond.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services/Insurance +http://www.keyperson.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services/Insurance +https://www.employersmutual.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services/Insurance +http://www.chsloans.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services/Mortgages +http://www.lainie.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Business_and_Economy/Financial_Services/Mortgages +http://www.humebank.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/A/Albury/Business_and_Economy/Financial_Services +http://www.parksidefg.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/C/Castle_Hill/Business_and_Economy/Financial_Services +http://www.loanclub.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/C/Chatswood/Business_and_Economy/Financial_Services +http://www.ksg.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/C/Chatswood/Business_and_Economy/Financial_Services +http://www.cloutassociates.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/C/Coffs_Harbour/Business_and_Economy/Financial_Services +http://www.newellpalmer.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/C/Crows_Nest/Business_and_Economy/Financial_Services +http://www.infocube.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/C/Crows_Nest/Business_and_Economy/Financial_Services +http://www.nwadvice.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/M/Manly/Business_and_Economy/Financial_Services +http://www.angelfire.com/tn3/tina1/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/M/Marrickville/Business_and_Economy/Financial_Services +http://www.lowdoc.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/Neutral_Bay/Business_and_Economy/Financial_Services +http://www.nib.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/Newcastle/Business_and_Economy/Financial_Services +http://www.dfkcrosbie.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/Newcastle/Business_and_Economy/Financial_Services +http://www.mccluskeyinsurance.com/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/Newcastle/Business_and_Economy/Financial_Services +http://www.perryure.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/Newcastle/Business_and_Economy/Financial_Services/Accounting +http://www.incentiveaccountants.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/Newcastle/Business_and_Economy/Financial_Services/Accounting +http://users.hunterlink.net.au/~ddjfb/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/Newcastle/Business_and_Economy/Financial_Services/Insurance +http://www.ayers.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/North_Sydney/Business_and_Economy/Financial_Services +http://www.mycreditfile.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/North_Sydney/Business_and_Economy/Financial_Services +http://www.bgcapital.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/North_Sydney/Business_and_Economy/Financial_Services +http://www.depositbondaustralia.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/North_Sydney/Business_and_Economy/Financial_Services +http://www.specialriskmanagers.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/N/North_Sydney/Business_and_Economy/Financial_Services +http://www.calarecu.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/O/Orange/Business_and_Economy/Financial_Services +http://www.cartermayfair.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Parramatta/Business_and_Economy/Financial_Services +http://www.mccarthysalkeld.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Parramatta/Business_and_Economy/Financial_Services +http://parramattaaccountants.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Parramatta/Business_and_Economy/Financial_Services +http://www.ebf.net.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Parramatta/Business_and_Economy/Financial_Services +http://www.quantitysurveyors.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Parramatta/Business_and_Economy/Financial_Services +http://www.cibaccountants.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Parramatta/Business_and_Economy/Financial_Services +http://www.agradetax.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Penrith/Business_and_Economy/Financial_Services +http://www.traceca.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Penrith/Business_and_Economy/Financial_Services +http://www.natcorpbro.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Penrith/Business_and_Economy/Financial_Services/Insurance +http://www.argeneral.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/P/Penrith/Business_and_Economy/Financial_Services/Insurance +http://www.premiersuper.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.allencapital.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.morningstar.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.locumsgroup.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.challenger.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.pittcapitalpartners.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.foxsymes.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.causbrooks.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.brentnallsnsw.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.halogen.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.xavax.biz/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/S/Sydney_CBD/Business_and_Economy/Financial_Services +http://www.dsr.net.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/T/The_Entrance/Business_and_Economy/Financial_Services +http://www.apfin.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/W/Wollongong/Business_and_Economy/Financial_Services +http://www.pclmoney.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Localities/W/Wollongong/Business_and_Economy/Financial_Services +http://www.sfe.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Regions/Greater_Sydney/Business_and_Economy/Financial_Services +http://www.superhelp.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Regions/Greater_Sydney/Business_and_Economy/Financial_Services +http://www.mcleancharge.com.au/ Top/Regional/Oceania/Australia/New_South_Wales/Regions/Greater_Sydney/Business_and_Economy/Financial_Services +http://www.qccu.com.au/ Top/Regional/Oceania/Australia/Queensland/Business_and_Economy/Financial_Services +http://www.coralcoastfinancialservices.com.au/ Top/Regional/Oceania/Australia/Queensland/Business_and_Economy/Financial_Services +http://www.powers.net.au/ Top/Regional/Oceania/Australia/Queensland/Business_and_Economy/Financial_Services +http://www.qtmb.com.au/ Top/Regional/Oceania/Australia/Queensland/Business_and_Economy/Financial_Services +http://www.insure4less.com.au/ Top/Regional/Oceania/Australia/Queensland/Business_and_Economy/Financial_Services/Insurance +http://www.mininginsurances.com.au/ Top/Regional/Oceania/Australia/Queensland/Business_and_Economy/Financial_Services/Insurance +http://www.1cover.com.au/ Top/Regional/Oceania/Australia/Queensland/Business_and_Economy/Financial_Services/Insurance +http://www.mraa.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.bdo.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.tooheyreid.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.highlandfinancial.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.humphreywealth.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.qpcu.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.canstar.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.pitcherpharmacy.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.whitehillkeir.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/B/Brisbane_CBD/Business_and_Economy/Financial_Services +http://www.kennas.com/ Top/Regional/Oceania/Australia/Queensland/Localities/R/Rockhampton/Business_and_Economy/Financial_Services +http://www.piranhainsurance.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/R/Rockhampton/Business_and_Economy/Financial_Services +http://www.therock.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/R/Rockhampton/Business_and_Economy/Financial_Services +http://www.harvardwealth.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/R/Rockhampton/Business_and_Economy/Financial_Services +http://www.cashdoctors.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/S/Southport/Business_and_Economy/Financial_Services +http://www.spectrumfp.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/S/Southport/Business_and_Economy/Financial_Services +http://www.grobusinesslink.com.au/ Top/Regional/Oceania/Australia/Queensland/Localities/T/Townsville/Business_and_Economy/Financial_Services +http://www.holdfast.com.au/ Top/Regional/Oceania/Australia/South_Australia/Business_and_Economy/Financial_Services/Insurance +http://www.andersonplan.com.au/ Top/Regional/Oceania/Australia/South_Australia/Localities/A/Adelaide/Business_and_Economy/Financial_Services +http://www.mercredit.com.au/ Top/Regional/Oceania/Australia/South_Australia/Localities/A/Adelaide/Business_and_Economy/Financial_Services +http://www.fbt.com.au/ Top/Regional/Oceania/Australia/Tasmania/Business_and_Economy/Financial_Services +http://www.mystatelimited.com.au/ Top/Regional/Oceania/Australia/Tasmania/Business_and_Economy/Financial_Services +http://www.nilstasmania.org.au/ Top/Regional/Oceania/Australia/Tasmania/Business_and_Economy/Financial_Services +http://www.hotkey.net.au/~trin-fin/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services +http://www.tcv.vic.gov.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services +http://www.gng.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Insurance +http://www.overton.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Insurance +http://www.midas.net.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Insurance +http://www.oamps.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Insurance +http://www.justcarinsurance.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Insurance +http://www.racv.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Insurance +http://www.hba.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Insurance/Health_Insurance +http://www.housingloansgroup.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Mortgages +http://www.fussfreefinance.com.au/ Top/Regional/Oceania/Australia/Victoria/Business_and_Economy/Financial_Services/Mortgages +http://www.cooke-foley.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/B/Ballarat/Business_and_Economy/Financial_Services +http://www.remingtons.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/B/Ballarat/Business_and_Economy/Financial_Services +http://www.tagwealth.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/G/Geelong/Business_and_Economy/Financial_Services +http://www.davidsons.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/G/Geelong/Business_and_Economy/Financial_Services +http://www.professionalwomensinvestments.com/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.secureinvestments.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.supereasy.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.saratoga.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.acorncapital.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.dgainsurance.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.guardianvaults.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.mwforensic.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.lanteri.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://redwoodadvisory.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.paradigmwm.com.au/ Top/Regional/Oceania/Australia/Victoria/Localities/M/Melbourne_CBD/Business_and_Economy/Financial_Services +http://www.smartsearchfinance.com.au/ Top/Regional/Oceania/Australia/Victoria/Regions/Greater_Melbourne/Business_and_Economy/Financial_Services +http://www.statetrustees.com.au/ Top/Regional/Oceania/Australia/Victoria/Regions/Greater_Melbourne/Business_and_Economy/Financial_Services +http://www.allfreightfinance.com.au/ Top/Regional/Oceania/Australia/Victoria/Regions/Greater_Melbourne/Business_and_Economy/Financial_Services +http://www.bankwest.com.au/ Top/Regional/Oceania/Australia/Western_Australia/Business_and_Economy/Financial_Services +http://www.bwptrust.com.au/ Top/Regional/Oceania/Australia/Western_Australia/Business_and_Economy/Financial_Services +http://www.sgio.com.au/ Top/Regional/Oceania/Australia/Western_Australia/Business_and_Economy/Financial_Services/Insurance +http://www.wallbs.com.au/ Top/Regional/Oceania/Australia/Western_Australia/Localities/K/Kalgoorlie/Business_and_Economy/Financial_Services +http://www.watc.wa.gov.au/ Top/Regional/Oceania/Australia/Western_Australia/Localities/P/Perth/Business_and_Economy/Financial_Services +http://www.westminsternational.com.au/ Top/Regional/Oceania/Australia/Western_Australia/Localities/P/Perth/Business_and_Economy/Financial_Services +http://investwa.com.au/ Top/Regional/Oceania/Australia/Western_Australia/Localities/S/South_Perth/Business_and_Economy/Financial_Services +http://www.tagpac.com/ Top/Regional/Oceania/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.investmentlink.co.nz/ Top/Regional/Oceania/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.cookislandstrust.com/ Top/Regional/Oceania/Cook_Islands/Business_and_Economy/Financial_Services +http://www.towerinsurance.co.ck/ Top/Regional/Oceania/Cook_Islands/Business_and_Economy/Financial_Services +http://www.oratrust.com/ Top/Regional/Oceania/Cook_Islands/Business_and_Economy/Financial_Services +http://www.towerinsurance.com.fj/ Top/Regional/Oceania/Fiji/Business_and_Economy/Financial_Services +http://www.suninsurance.com.fj/ Top/Regional/Oceania/Fiji/Business_and_Economy/Financial_Services +http://www.spse.com.fj/ Top/Regional/Oceania/Fiji/Business_and_Economy/Financial_Services +http://investinfiji.blogspot.com/ Top/Regional/Oceania/Fiji/Business_and_Economy/Financial_Services +http://www.fijianholdings.com.fj/ Top/Regional/Oceania/Fiji/Business_and_Economy/Financial_Services +http://www.housing.com.fj/ Top/Regional/Oceania/Fiji/Business_and_Economy/Financial_Services +http://www.rbf.gov.fj/ Top/Regional/Oceania/Fiji/Business_and_Economy/Financial_Services +http://www.communityfirstfcu.com/ASP/home.asp Top/Regional/Oceania/Guam/Business_and_Economy/Financial_Services +http://www.moylansinsurance.com/ Top/Regional/Oceania/Guam/Business_and_Economy/Financial_Services +https://www.bankofguam.com/ Top/Regional/Oceania/Guam/Business_and_Economy/Financial_Services +http://www.saturnportfolio.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://www.dandd.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://www.currencyonline.com/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://www.connectaccounting.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://financialsolutionz.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://www.grabaloan.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://www.strategicinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://www.squirrel.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Business_and_Economy/Financial_Services +http://www.inspired.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.gerryrea.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.diversified.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.acumen.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.insuranceservices.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.accountsenforcement.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.harrops.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.barnesjenkins.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.moneymatters.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.mortgagebrokersauckland.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.polipay.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.bdsaccountants.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.turnerslimited.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.cashburst.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://aucklandaccountant.net.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.protectainsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.hulst.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.latipay.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Auckland_Central/Business_and_Economy/Financial_Services +http://www.loansmart.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Newmarket/Business_and_Economy/Financial_Services +http://www.alliott.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Newmarket/Business_and_Economy/Financial_Services +http://www.affordableaccounts.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Newmarket/Business_and_Economy/Financial_Services +http://www.coopinsurancenz.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Newmarket/Business_and_Economy/Financial_Services +http://avantifinance.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Newmarket/Business_and_Economy/Financial_Services +http://www.gilligan.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Newmarket/Business_and_Economy/Financial_Services +http://www.stml.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Ponsonby/Business_and_Economy/Financial_Services +http://ilender.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Ponsonby/Business_and_Economy/Financial_Services +http://www.paramountinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Auckland/Localities/Ponsonby/Business_and_Economy/Financial_Services +http://upshotnz.co.nz/ Top/Regional/Oceania/New_Zealand/Bay_of_Plenty/Localities/Tauranga_Central/Business_and_Economy/Financial_Services +http://www.taxlinkbop.co.nz/ Top/Regional/Oceania/New_Zealand/Bay_of_Plenty/Localities/Tauranga_Central/Business_and_Economy/Financial_Services +http://www.goodreturns.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.publictrust.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.tenantcheck.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.mortgagelink.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.sorted.org.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.infoscan.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.interest.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.pgc.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.goodreturns.co.nz/rss/news.xml Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +https://www.orix.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.dinersclub.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.taxapproximator.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://directfx.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.creditrecoveries.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.crystalpayroll.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.instantfinance.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://tradinglounge.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +https://eway.io/nz Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.ezidebit.com/nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +https://www.harmoney.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.veda.com.au/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.bulliondeals.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://pacificwealthcreators.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.shorefinancenz.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +https://www.gemfinance.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services +http://www.banklink.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Accountants +http://www.crowehorwath.net/nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Accountants +http://www.staplesrodway.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Accountants +https://www.bdo.nz/en-nz/home Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Accountants +http://www.bankdirect.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.bnz.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.westpac.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.reesby.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.rabobank.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.hsbc.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.kiwibank.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://tsbbank.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.nbs.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.anz.co.nz/personal/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.heartland.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +https://www.asb.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +https://www.rabodirect.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.loadedfortravel.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.creditcardscompare.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.cucentral.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.co-operativebank.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +https://www.sbsbank.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +https://www.creditcardwizard.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Banking_Services +http://www.sharechat.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.broadbase.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.crowehorwath.net/nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://newzealanddebtsolvers.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +https://www.forsythbarr.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://uk-pension-transfer.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.everydollarcounts.org.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.camelotgroup.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.perpetualguardian.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.goodreturns.co.nz/asset-magazine.html Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Financial_Consultants +http://www.northcrest.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.amicus.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.baileysinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.fmg.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.nzi.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.aon.com/newzealand/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.acegroup.com/nz-en/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.myinsuranceguide.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.pic.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.mortgageandinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.aa.co.nz/insurance/life-insurance/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance +http://www.autosure.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Auto +http://www.aa.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Auto +http://www.aainsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Auto +http://www.travellerscarinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Auto +https://www.toyota.co.nz/toyota-finance-leasing/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Auto +http://www.avsure.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Aviation +http://www.icib.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Commercial +http://www.buckinghamcape.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Health +http://www.medicaldirect.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Health +http://www.nzmedical.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Health +http://www.lifebrokers.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Life +http://www.insurancelink.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Life +http://www.pinnaclelife.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Life +https://www.lifedirect.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Life +http://www.amp.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Life +http://www.aucklandinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.ami.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.crombielockwood.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.state.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.steningsimpson.com.au/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.fintel.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.rothbury.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.tower.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Multi-Line +http://www.uni-care.org/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Travel +https://www.scti.co.nz/travel-insurance Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.travelinsurancecover.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.covermore.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Travel +http://easytravelinsurance.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Insurance/Travel +http://www.nzx.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.equity.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.jbwere.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.craigsip.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://legendbrokers.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.infratil.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.maryholm.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.elevationcapital.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.tradewithprecision.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.mintasset.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Investing +http://www.udc.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://www.marac.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://www.approved.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://www.nzhomeloans.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://www.mikepero.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://www.lend2me.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://www.mlw.co.nz/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://sparkboxventures.com/ Top/Regional/Oceania/New_Zealand/Business_and_Economy/Financial_Services/Mortgages +http://www.hphanna.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.searells.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.ksl.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.faircity.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.justdollars.org.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.gfl.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.abbottinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.cmt.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.hastieaccountants.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.easybusiness.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.eurofinance.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.nztaxrefunds.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.admiralfinance.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.nzloans2go.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.e3accountants.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.rmlgroup.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.advancedmortgagesolutions.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://sfl.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.stadium-finance.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://dodd.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://contentsvaluations.com/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://murraygallott.co.nz/ Top/Regional/Oceania/New_Zealand/Canterbury/Christchurch/Business_and_Economy/Financial_Services +http://www.bizrescue.co.nz/ Top/Regional/Oceania/New_Zealand/Nelson/Localities/Nelson_City/Business_and_Economy/Financial_Services +http://rwcanelson.co.nz/ Top/Regional/Oceania/New_Zealand/Nelson/Localities/Nelson_City/Business_and_Economy/Financial_Services +https://www.mytax.co.nz/ Top/Regional/Oceania/New_Zealand/Nelson/Localities/Nelson_City/Business_and_Economy/Financial_Services +http://vmrisk.co.nz/ Top/Regional/Oceania/New_Zealand/Nelson/Localities/Nelson_City/Business_and_Economy/Financial_Services +http://www.yoursuccess.co.nz/ Top/Regional/Oceania/New_Zealand/Nelson/Localities/Nelson_City/Business_and_Economy/Financial_Services +http://www.sudburys.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.yovich.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.plusca.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.shp.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.accountantsnorthland.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.johnstonoshea.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.insurancebrokerwhangarei.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.nac.co.nz/ Top/Regional/Oceania/New_Zealand/Northland/Localities/Whangarei/Business_and_Economy/Financial_Services +http://www.gsm.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.mccombe.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.ph.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.npt.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.hgw.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.bepaid.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.switchaccounting.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.betterbusiness.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.yardleylo.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.impactconsulting.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.hdca.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +https://www.chasmont.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.mortgagelinkotago.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +https://thankyoupayroll.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.jwsmeaton.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.otagoaccountants.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.nicolaholman.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.clareandassociates.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://auditprofs.co.nz/ Top/Regional/Oceania/New_Zealand/Otago/Localities/Dunedin/Business_and_Economy/Financial_Services +http://www.mdp.co.nz/ Top/Regional/Oceania/New_Zealand/Southland/Localities/Invercargill/Business_and_Economy/Financial_Services +http://mmca.nz/ Top/Regional/Oceania/New_Zealand/Southland/Localities/Invercargill/Business_and_Economy/Financial_Services +http://www.businessadvisors.co.nz/ Top/Regional/Oceania/New_Zealand/Southland/Localities/Invercargill/Business_and_Economy/Financial_Services +http://www.sparrow.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.healthinsurance.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.dca.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.ancellclare.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.kingsfinance.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.devineaccounting.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.taxlinkhamilton.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.valueprophet.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.masbusiness.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.wibl.co.nz/ Top/Regional/Oceania/New_Zealand/Waikato/Localities/Hamilton/Business_and_Economy/Financial_Services +http://www.oxfordfinance.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Business_and_Economy/Financial_Services +http://www.kiwitaxrefund.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Business_and_Economy/Financial_Services +http://www.mytaxrentals.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Business_and_Economy/Financial_Services +http://www.sharekapiti.com/ Top/Regional/Oceania/New_Zealand/Wellington/Business_and_Economy/Financial_Services +http://www.fundsource.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://www.ipayroll.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +https://www.manchesterunity.org.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://www.theshapeofmoney.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://www.beenzbytes.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://www.eraccounting.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://lyfords.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://www.foxplan.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://www.desktopfinancials.com/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +https://www.kiwicover.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://smartinsure.co.nz/ Top/Regional/Oceania/New_Zealand/Wellington/Localities/Wellington_Central/Business_and_Economy/Financial_Services +http://www.kina.com.pg/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://www.pngbd.com/finance/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://www.bsp.com.pg/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://www.nasfund.com.pg/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://www.pomsox.com.pg/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://www.fifl.kenmore.com.pg/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://www.towerinsurance.com.pg/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://www.fincorp.com.pg/ Top/Regional/Oceania/Papua_New_Guinea/Business_and_Economy/Financial_Services +http://npf.ws/ Top/Regional/Oceania/Samoa/Business_and_Economy/Financial_Services +http://www.mooresrowland.com/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://www.vfsc.vu/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://www.ajc-vanuatu.com/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://www.investvanuatu.org/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://www.lawpartnersvanuatu.com/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://www.icount.biz/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://bap-international.com/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://www.pacificprivatebank.com/ Top/Regional/Oceania/Vanuatu/Business_and_Economy/Financial_Services +http://www.bmf.com.br/ Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services +http://www.datamark.com.br/ Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services +http://www.embraex.com.br/ Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services +http://www.tremuraconsulting.com/ Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services +http://www.fiesp.com.br/ Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services +http://darkwing.uoregon.edu/~sergiok/brfinancial.html Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services +http://www.crp.com.br/site_ing/content/home/index.php Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services +https://www.prudentialdobrasil.com.br/ Top/Regional/South_America/Brazil/Business_and_Economy/Financial_Services/Insurance +http://www.moneda.cl/ Top/Regional/South_America/Business_and_Economy/Financial_Services +http://www.bankofguyana.org.gy/ Top/Regional/South_America/Guyana/Business_and_Economy/Financial_Services +http://www.demerarabank.com/ Top/Regional/South_America/Guyana/Business_and_Economy/Financial_Services +http://www.bvl.com.pe/ Top/Regional/South_America/Peru/Business_and_Economy/Financial_Services +http://www.proinversion.gob.pe/ Top/Regional/South_America/Peru/Business_and_Economy/Financial_Services +http://www.amcham.org.pe/ Top/Regional/South_America/Peru/Business_and_Economy/Financial_Services +http://www.conapri.org/ Top/Regional/South_America/Venezuela/Business_and_Economy/Financial_Services +http://behindmlm.com/search-results/?cx=partner-pub-1989076782517459:6677894630&cof=FORID:10&ie=UTF-8&q=world+ventures&sa=Search&siteurl=behindmlm.com/&ref=www.dmoz.org/editors/editcat/index%3Fcat%3DSociety/Issues/Fraud/Business_Opportunities&ss= Top/Society/Issues/Fraud/Business_Opportunities/World_Ventures_Marketing_LLC +https://forums.digitalpoint.com/threads/world-ventures-unfair-deceptive-and-abusive-practices-in-romania.2792990/ Top/Society/Issues/Fraud/Business_Opportunities/World_Ventures_Marketing_LLC +http://www.complaintsboard.com/?search=world+ventures Top/Society/Issues/Fraud/Business_Opportunities/World_Ventures_Marketing_LLC +http://www.spaceflightnow.com/news/n0108/08aerospike/ Top/Society/Military/Aviation/Aircraft/Experimental/X-33_Venture_Star +http://www.fwa.org/ Top/Society/People/Women/Business/Organizations/By_Industry/Financial_Services +http://www.wibf.org.uk/ Top/Society/People/Women/Business/Organizations/By_Industry/Financial_Services +http://www.w-wifs.org/ Top/Society/People/Women/Business/Organizations/By_Industry/Financial_Services +http://www.ashoka.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.echoinggreen.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.benetech.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.enterpriseworks.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.nesst.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.venturesfoundation.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.equityforafrica.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.gsvc.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://plusacumen.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +http://www.newprofit.org/ Top/Society/Philanthropy/Social_Enterprise/Venture_Capital +https://www.americaschristiancu.com/ Top/Society/Religion_and_Spirituality/Christianity/Businesses/Financial_Services +http://www.wifonline.com/ Top/Society/Religion_and_Spirituality/Christianity/Businesses/Financial_Services +https://www.thrivent.com/ Top/Society/Religion_and_Spirituality/Christianity/Denominations/Lutheran/Organizations/Financial_Services +http://www.lcef.org/ Top/Society/Religion_and_Spirituality/Christianity/Denominations/Lutheran/Organizations/Financial_Services +http://www.orcf.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.mezz.at/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.drneuhaus.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.proma-investment.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.equita.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.s-refit.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.gamma-capital.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.ti-consult.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.adastra.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.westverm.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.bauchowitz-consultants.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.url-services.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.mayer-partner.at/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.nanoventure.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.ejventures.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.dvci.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.takeoff-vc.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.catcap.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.cross-ag.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.breakwaters.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.b-to-v.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.ivc-venture-capital.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.parklane-capital.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.nordholding.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.vc-stammtisch.net/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.bm-t.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.hannoverfinanz.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.ba-venture.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.didszuleit.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.af-vc.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.deutsche-beteiligung.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.strive-ag.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.kst-ag.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.schaeffequity.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.businessangels.ch/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.gk-law.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.creathor.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.venture-capital-finanzierung.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.mig.ag/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.bvkap.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.eventurecat.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.capvis.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.proventis.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +https://capitalacquisition.wordpress.com/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.fidura.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.covest-gmbh.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.lbbw-venture.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://genesventures.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital +http://www.private-equity-forum.de/ Top/World/Deutsch/Wirtschaft/Finanzgewerbe/Venture_Capital